>CAK8567127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489593945:489594426:1 gene:gene-LATHSAT_LOCUS20206 transcript:rna-LATHSAT_LOCUS20206 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKNTTSPKFKWCCHGGKVKLPMIDDPPLILQNLLFDSTTVDGKNYQLNTRTYNTMFSLASPSMKFDSKFTKGCGPPTLRLHGQACHRIKTMLPEIGQPPKYAQLYIFDTDDEIDNRMECFRDNNILTGE >CAK8561293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:138478259:138479419:1 gene:gene-LATHSAT_LOCUS14900 transcript:rna-LATHSAT_LOCUS14900 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPDKDPLSQLSLPPGFRFFPTDEELLVQYLCRKVAGHHFSLPIIAEIDLYKFDPWVLPGKANFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLLDASRKHNLGSSKLDDWVLCRIYKKNSSSQKEVQSFSSKEYSNGSSPSSSSHVDDMSDSFPVIDDQSFALPRVTSLKMLQQEEKFGFHNMGAGIFSDWVNPTEFDSIPEFDSGSQTQGMLNYSCNDFCVPSAPPFGQMDFSAGFRNPEEEVQSGMRTHRVTDNDNSNLYQHNPNVFLSGLGDPFGFGFSGH >CAK8542173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485837008:485840319:1 gene:gene-LATHSAT_LOCUS11037 transcript:rna-LATHSAT_LOCUS11037 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRGESRRFNTKQKGRHHLTTQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIEQMIADVDKDGSGSIDYDEFEHMMTAKIGERDTKEELMKAFHIIDQDKNGKISAADIKRIVKELGQNFTDREIQEMVDEADQNNDREVDPEEFLMMMNRTSFRH >CAK8579671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715411566:715412873:1 gene:gene-LATHSAT_LOCUS31599 transcript:rna-LATHSAT_LOCUS31599 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPPPLSPVVSTPVSQSQSVLRSNTGGKNLNTAEGAGIIYFGETSETSDAREDESIGKKRNNIELEKPNSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGSYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVTQPETNVLSKKVEDDNKGPNEIGTVNLDIVTSRKGNASSTAAEIPAIRNKEVEKVIEKWTEVIKSGRGRGKQIGKVGAAGMISHDNGFDALEILKDLLEAQNTGQ >CAK8571016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:264405176:264407574:1 gene:gene-LATHSAT_LOCUS23715 transcript:rna-LATHSAT_LOCUS23715 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGPSSTKIVGKRGVTRLQKIHKAKSNGKRIEVQWNERGQPIKHNSKSFASYIGVTVRRLVPISLDNWSAKENKEAVGVYKQNIWDEIEKAFVIGEEHHAYIYKEAGRLHKAFKTKLAKFYLRDSKGDFVKHRPAKYSYCIKQEDWDKFVAQRQNEKFQKVSSENRERALNPQHPYRKSCLGCARLEADMVEESGEDEINRSQVWKAARVNKNGVIDNENVQRVVDQCEKLTEAITEEERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQIRPTQMDFQRLYGFCNTLKSRLEVLEREKMERDKLDKEKIERRKTEEVAEKEQPEQVSNSLQQPEKGAQGLQQLEDVAERQQPEQVSKSL >CAK8533169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586692575:586699627:-1 gene:gene-LATHSAT_LOCUS2816 transcript:rna-LATHSAT_LOCUS2816 gene_biotype:protein_coding transcript_biotype:protein_coding MESPWNSDILKVEYTVFGWIAFVAWSTSFYPQLFLNFSRKSVVGLNFNYLLLNNTKQTLYLIYNASLYFSSTIQIQYRKEYGFDQMIPVAVNDVAFSAHAVLVTSILLFQVVIYERGSQTISKITIGIITVVWVTVGVCFFIAFPSNSWLWLVSIFNTMQVLLTSIKYVPQAVMNFMLKSTDGFCIGNVFLDFIGGISNFAQMVTQSIDQNSWVNFSGNLGKVLLSLVTLFFDIIFMCQRYVLYPSNKTPSIPLSKLNDKVKEPLIKSPNQALTTNLHEAENV >CAK8567812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546867954:546872673:1 gene:gene-LATHSAT_LOCUS20834 transcript:rna-LATHSAT_LOCUS20834 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLSLTITTPRFPQLQHRTSSPILKFKPNSPLSITRASSSMSTTSLSHLSVDEDLPPNYGDWLPKPEIHLRRRAGILLHPTSFQSPYGIGDLGIEAFRFIDWLHRTGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVEDGLLEKHELPEPIDAERVNFSVVANLKDPLITKAAERLISSKGELKTQLENFRRDPNISSWLEDAAYFAAIDDSLNTLSWYDWPEPLKNRHLVALEDIYEQKRDFINVFIAQQFLFQRQWQKVRDYAQSRGIRIMGDMPIYVGYHSADVWANKNQFALNKKGFPLLVSGVPPDAFSETGQLWGSPLYDWKAMEKEGYSWWIRRIRRAQDIYDEFRIDHFRGLAGYWAVPSEAKIAMVGKWKVGPGTSFFDAISKAVGRINITAEDLGVITEDVVQLRKSIGAPGMAVLQFAFGGGPDNPHLPHNHECNQVVYTGTHDNDTIKGWWEALNQEEKSHVLSYISLTEQDDIPWALIQTAVASVAQTAIIPMQDVLGLGNSARMNIPATQFGNWGWRVPKSVNFDRLDTEATRLKDMLSLYGRV >CAK8530645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37855923:37858324:1 gene:gene-LATHSAT_LOCUS495 transcript:rna-LATHSAT_LOCUS495 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFWFKAIISLRNRKSKDRRSKKPKGTLAPEKPSTLKSNKSPRNESTDLANGNQNENLASVETVAATRIQTAFRAYKARKALRRMKGFTKLKNLTQGYSIQKQASTTMTYLHSWSKIQGEIRARRICMVTEDKIRRKKQESQLKLEEKLHDFEVEWSGGPETAEETLGRIHQRGEAAVKRERAMAYAFSHQWRANSSQSQVLGSYELGRANWGWSWKERWIAVRPWESRVVSISPKKTQNKPSFKVQKDKKTSTSKTPVSVASPSSNAKGTPPPKGSTKARRLSFPTTEKEKSVVEGGVK >CAK8536609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2063980:2064480:-1 gene:gene-LATHSAT_LOCUS5963 transcript:rna-LATHSAT_LOCUS5963 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLPLPKAVIRKIDSICRSFIWTGKDSISRKCLVAWNRTCCPIAQGGLNLLNLQVWNKDKSVMNYETKAHNSWIMRGILKHRDNMGEIRNDWDQIVNAQEFKVSILYKGMIDDGTRVQWRNLVQFNKGRPRAVFCLW >CAK8530598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33665197:33667468:-1 gene:gene-LATHSAT_LOCUS451 transcript:rna-LATHSAT_LOCUS451 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNTDRPSNPNFLNFKIKATNWDKQVFTFLISYYKTFLKFKLVGFSFTHSLILGFQVEGFEDFFKMLASHPEKTISFNRSIRYGDLVIVYERHDIMKAVTVSEGSVLQNRFGVFKHSDWIGKPFGGKVFSKGGFVYLLAPTPELWTLVLSHRTQILYIADISLVVMNLEIVPGCLVLECGTGSGSLTTSLARAVAPRGHVYTFDFHEQRAALARDDFERTGISSLVSVGVRDIQGKGFPDEFIGKADSVFLDLPQPWLAIPSAAKMLKPDCTLCSFSPCVEQVQRSCDALQSCFTGIRTFEILLRQYEVREEKRESFHGDDNGSNGSLSRKRRQCSDGNYALSNSTSSVMARPCGEARGHTGYLTFARLKCFL >CAK8539063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502721905:502730775:1 gene:gene-LATHSAT_LOCUS8196 transcript:rna-LATHSAT_LOCUS8196 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWFPTSPASLNLKAARTKPTLPPTFRLTCYAQKINHNDEPRAVFSSVRTFAPATVANLGPGFDFMGCAVDGIGDIISARVDPAVRPGEISISDISGQTPNIHKLSKDPLRNCSGIAAIEAMKMLGVRSVGLSLSLQKGLPLGSGLGSSSASAAAAAVAVNEIFGRRLTIDELVVACLKSEEKVSGYHADNVAPSILGGFVLVRNYKPLELMRLKFPSEKVLYFVLVTPEFEAPTMKMRAALPLEIGMAHHVWNCSQAGALVAGVLQGDLLMFGKALSSDTIVEPKRAALIPGMEAVKKAAIKAGAYGCTISGSGPTAVAVTDDEEKGHLIGEQMVRAFQRDGNLKASAYVKQLDRVGARLLSGVRANCFDSISVGERY >CAK8567132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489936578:489937204:1 gene:gene-LATHSAT_LOCUS20210 transcript:rna-LATHSAT_LOCUS20210 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATDSPRFPFLNSHPTPSTRTQLKPIFLSPFSPKPSKTTYANNIVSAALSSSFNGRPGPPSKGHNFYKELQFDNTTENDFELELELERNPLDEDENDDKGSGKSENEMREDDLIRVRDDGEGDEGVDLRKDDKVEKFGGNFRLRKGKQVIRQSNLLAKQVISIQSALSLGFVSQFWVDTTSVSK >CAK8567133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489936578:489936904:1 gene:gene-LATHSAT_LOCUS20210 transcript:rna-LATHSAT_LOCUS20210-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATDSPRFPFLNSHPTPSTRTQLKPIFLSPFSPKPSKTTYANNIVSAALSSSFNGRPGPPSKGHNFYKELQFDNTTENDFELELELERNPLDEGLS >CAK8576926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525433591:525435471:1 gene:gene-LATHSAT_LOCUS29082 transcript:rna-LATHSAT_LOCUS29082 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLWNLQNLWPFSSFKSDDLKSSKQLVNKLNIPDHTKQFVFALRDPKTQSLIYILSSLNLSERSSFDAQSLINEIKPDAVIVQAGAGGFSPFEDEEFHVPVPTSSFGVVKRCFVDKIGRDKYESVAGDFVLREIFGTGFDGHLLAAKKAARDVGSDFIVVQSPLGNSCWSNNNDSNNNSGGVDGGNRFMSIVNSLVPQQQSAGSMALRRFSVSKNVRMVLAEGLSGYMDPLLIGNSKNDSVSAGSVEIQPTTSYDTPAFAKSVYPLLEDLHDMFSDLPSMGNALAHVQKMLLNVNRGEVLDTRTVSEVYTFRIAVEGLRIALNNKGLRPIGEKGVSKSDKVEFSELEVDEKSQVLFAQSIRSQTDKFKTIVAVVDAGVLAGIRKHWDTPLPDEVKEIVGELITDSEGKGVSLNNGDRKRLLADRPVMAVGAGATAVLGASSLTKVVPMSTLTKVVTFKIPTSLKIILSQMQKILSVAVGPSKVVAPGFATSGAKTSGLMKAAASAEKIRVVTHSVIASVEKTSVSAMRTAFYEIMRKRKLQRVGFLPWATFAGSIGTCTGLLLYGDGIECAIESLPAAPSIASLGRGIQNLHEASQAVMQTEGTRVQKSIESLVNRIRKARDQ >CAK8537389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:323641904:323642335:1 gene:gene-LATHSAT_LOCUS6682 transcript:rna-LATHSAT_LOCUS6682 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFNNVGSISIKYFLPGNKTTLISISNDKDLQRMVKFHHNSSTIEIYVFIEEVLALEVSIMPASRSSRTTLSETVLPINTIMNPDAEYAPPVASHDTIQIDTDMEIPLLSLSPNEEKLAKGAQQWQNTITGVGQRFNSVHDF >CAK8570895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:158524890:158525570:-1 gene:gene-LATHSAT_LOCUS23606 transcript:rna-LATHSAT_LOCUS23606 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRGLRTLNSDNDVLQMIRDVDGCDIVDLYVEHNISGPDIIDETKIGHDIISDDDVVEVKDEDDVHNDVDDDEDGMNKDVDKAVEQNDKDGVDTDAKLDEENVEVANEFERDDEVKDVEDSDHKLDWATALPKDTTRPYMNDFDHGEDSDQLQTPHESEDDEEYERFPTYKVGEGIKFELDMRFNTKDMVKDVTKEYAMLEKKYLPT >CAK8538246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473001906:473002370:-1 gene:gene-LATHSAT_LOCUS7466 transcript:rna-LATHSAT_LOCUS7466 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRVFRTGRRSNVFDPFSLDLWDPFQNFQLTTGTTNETAAFANAHIDWKETPEAHVFKADLPGVKKEEVKVEIEEDRVLKISGERKIEKEDKNDTWHRVERSQGSFLRRFRLPENAKVDDVKAAMENGVLTVTVPKEEVKKPDVKPIQITG >CAK8566936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473930331:473931410:1 gene:gene-LATHSAT_LOCUS20031 transcript:rna-LATHSAT_LOCUS20031 gene_biotype:protein_coding transcript_biotype:protein_coding MVILKIVSLGRKRFSADFQLMFRLLKVFLFIGVVVCLALMFNLLSLTVGDIFAILLAFLPTTWAIIQIAQACRPLVKGTGMWGSVKALARGYKYLMAVVIFTPVAILTWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKHKQN >CAK8539090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503501793:503502578:1 gene:gene-LATHSAT_LOCUS8222 transcript:rna-LATHSAT_LOCUS8222 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIVLVVALICAVFAFVGAQQPPSPTTSPAPPTPITPPANTPPTTPQASPPPVQSSPPPVQSSSPPPVQSSPPPVPSSPPPAQSPPPVQSSPPPVSTPPPVPASPPPAPVTPPPASPPPASPPPFSPPPATPPPATPPPALTPTPLSSPPATTPAPAPAKLKSKAPTVAPVSTPSEAPAPGPGLSSLSPAVTPAGNDDSGAENLLSQKMVGLVFGCAFLSLMF >CAK8565725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313444861:313446487:1 gene:gene-LATHSAT_LOCUS18913 transcript:rna-LATHSAT_LOCUS18913 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLYSAYLFSFVLLTIMVQMMRKKKNYSTYNLPRGPRKLPIIGNIHNLLSSQPHRRLRDLADKYGAVMHLQLGEVSTIVISSPECAKEVMKTHDLNFASRPHLLASEIMSYNSTNIAFAPYGSYWRQLRKICTSELLSLKRVNSYRSIREDVLSNLVKRIASENGSPINLTEAVISSIYSIVSRAAFGNKCKDQEEFISVVTESIKVAAGFDLGDLFPSAKWIQHVTGLRPKLERYHRQTDQIFENIIHEHKEEKYTKVKDDQGDVEEDLVDVLLKYEDDNNKEFSLTKNNIKAIILDVFGAGGETSASTIDWAMAEMIRDSRIMRKAQDEVREVFKMKGSVDENCLNELNYLKSVVKETLRLHPPAPLLLPRECGQACEINGFHVPIKTKVIINAWAIARDPNYWTEPERFYPERFIDSTIDYKGSNFEFIPFGSGRRICPGITFGLRNVDLTLAILLYHFDWKLPGGSKSEELDMTEQFGVTVRRKDDLLLLPIVYHPLRVT >CAK8561284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:136135561:136137542:-1 gene:gene-LATHSAT_LOCUS14892 transcript:rna-LATHSAT_LOCUS14892 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPFSAIIQKSRKFTSTFLLCRPYCSTVTNRKNLFSRISPLGDPSISISPILENWLQEGNPLDYQQLHRIIRTLRTSKRFNQALQVSEWMSSKGLCPISANDHAMQLNLIGKVRGLDSGESYFQNLNDEDKTEKAYGALLNCYVREGLVDKSLSLMQKMKDMGFVSVLSYNNIMCLYLQTEQYEKVPGVLALMKKDGVSPDTFSYRLGINSYGARSDLMSMEKLLEEMEQQSHVGLDWITYSMVANYYIKADLKEKALIYLKKCEDKADKRNKADKRDTIVYNYLISHYASLGNKNAMMRLWKLQKEKCKKQLNREYITMLGSLVKLGDLDETEKLLLEWELSGNFYDFRVPNILLIGYSKNSLIEKAETMLQSMVEKGKNPIPNSWAIIAAGYVDKGNMEKAFQYMKEAVSVQPKNKGWRPKRNVISSILSWATSNRDVDEVEDFVNSLKNVTSMDRDMYLLLIKMYVRCGKEVDKILESMAVDNIKLDDDIREILNSRSQ >CAK8544803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706361605:706364796:1 gene:gene-LATHSAT_LOCUS13453 transcript:rna-LATHSAT_LOCUS13453 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNIRTSFCSLLLCLLICVQFKPSLGSNKRTDEAYVTLLYGDEFLLGVRVLGKSIRNTRSNKDMVVLVSDGVSDFAKNLLLADGWIIEQITLLANPNRVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKNIDDLFKCRKFCANLKHSERLNSGVMVVEPSETVFNDMVNKIKTTASYTGGDQGFLNSYFSGFPNAHVFDPNLPPEVLKSRPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQDVRERLGESLPGTRGGQNPNDYFLVKFLFLLPFCALLFCCYHSFMKNQEYFGSFFRGSLCDQVRHLYYKIRTSGALAYTSMSTSATNSIHQLSNGSQCKVPTYLGGISVCVCLTTAVVSLGLALLIVPRQVMPWTGLLLMYEWTFTMFIILFGGYLHLIYRWGKYVASRAASSSLPESLDYDSGKRHQRQMSSCDVATWYYGLGMALLAIGAPSLPCLFGITALFLRLGLMVVGGIILASFMTYASEHLAIRSFLKGLDEWDIAPNRSLCLLC >CAK8573825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640546332:640546685:-1 gene:gene-LATHSAT_LOCUS26228 transcript:rna-LATHSAT_LOCUS26228 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENCLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYENNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYEPFSS >CAK8562386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:481696323:481699071:-1 gene:gene-LATHSAT_LOCUS15887 transcript:rna-LATHSAT_LOCUS15887 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSGSTLFEELGLCYISLLDGHNIDDLVAILDKVKSTEKTGPVLIHVVTKKGFGYPYAERAADKYHGVSKFDLANGKQFKGKALTPIPTSCFADALIAEAEVDKVIVGIHAAMAGGTGMNHFLFRLAWEGFKPFCTIYSSFLQRAYDQVVHDVDLQKLPVRFVIDKVGFVGEDGPTHCGSFDVTYKACLPNMVVMAPSDEAEMIHMVATAVAINNRPSCFRFPRANGIGVELPPGNRGTLLEIGKGRILIEGERVALLGFGTTVQSCVVAASILEQHGLHVTVADACFCKPLDHSLIRSLAKSHDVLITVEEGSVGGFGSHVAHFMALDGLDGKLKWRLMVIPDIYIDHGSPAEQLAVAGLTSSHIAATVFNILGQTRQALEMDIVT >CAK8531426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:109045974:109046716:-1 gene:gene-LATHSAT_LOCUS1219 transcript:rna-LATHSAT_LOCUS1219 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSFLLSVLALSLSVVFAADPNTLQDFCVTNPIGQGNSVCKDPKLVEANDFFFSGLHITGNTTNLVGSKVTPVFASQLPGLNTLGISMARIDIAPWGVNPPHLHPRATEILTVLEGTLEVGFITSNPENRHFTKVLQKGDVFVFPIGLIHYQRNIGYDNVVAIAALSSQNPGAITISNAVFGATPEISSEVLVKAFQLDRTVINYLQSKF >CAK8560533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23843204:23845869:1 gene:gene-LATHSAT_LOCUS14197 transcript:rna-LATHSAT_LOCUS14197 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKSFKGYGKVDEIEQQAFKKKTRKRITIIIISSLILIAVIIAAVAGILIHKRNTSSNPSPNSLPNTDLNPATSLKAVCDATQYPNSCIAAISSLPESNTTDPEKLFKLSLRVAIEELSNLTRFSSKFKASGDTRLKKAIDVCGSVLEDSLDRLNDSMSLVLGDEKILSPAKVRDVETWLSAAITDHDTCLDAVGELNSTAARAILTQIETAMRNSTELASNSLAIVTKVIRLLSNFEIPSHHRRLLGEFPEWLGAAERRLLADTVNTTADAVVAQDGSGQYKTISEALKLVKKKSDVRFVVYVKKGTYIENIDLDKNTWNVMIYGDGMTETIVSGSRNFIDGTPTFETATFAVKGKGFIAKGIQFVNTAGASKHQAVAFRSGSDQSVFYKCSFVGYQDTLYAHSNRQFYRDCEITGTIDFIFGNAAVVFQNCKIMPRQPLSNQFNTITAQGKKDPNQNSGIVIQKSTITAYDNLIAPTYLGRPWKDFSTTLIMKSEIGSFLKPVGWISWVANVEPPSSILYAEYQNTGPGADVTQRVKWAGYKPAIADEEAAKFTVESFIQGSEWLPNAAVQFDSTL >CAK8536216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917744045:917746016:-1 gene:gene-LATHSAT_LOCUS5606 transcript:rna-LATHSAT_LOCUS5606 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAADAKRGGKVAVAAASSKTASEKAVDSVANGIGDIQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPEHMDIYHLSREIEASDMSALEAVISCDEERLRLEKEAEVLGAQDDGGGEALERVYERLEAMDASVN >CAK8536327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931723281:931725568:1 gene:gene-LATHSAT_LOCUS5706 transcript:rna-LATHSAT_LOCUS5706 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCYKINGCNNEPNILAIIFDLDGTLLDTERVTRGVLNEFMGKYGKEVNKEREEKKRLGMTQKESAALIVKDYQLPLTPDQFIKEINPLYIQRWREAKALPGANRIIKHFLKNGVPMALASNSLREYIYAKIPYHKGWTESFSVILGNDQVKFGKPAPDLFEEAAKRMGVNAMNCLVFEDSLVGVKAAHAAKMKIVAVPSRGESDCCKLANVTLNSLLEFQPELWGLPPFDDWVDNTLPIEPIHVSGLYATGSLLETKENVAFGIPDQVVGIYFGWAKVDTNRNFKTLVSISLDLSSASYKKVNVWFIDANTDIKSEQQMQICLVGYIRAWDNKKLGSVELEKLEEYKSIAKASLDLPSFSSF >CAK8536328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931723281:931725568:1 gene:gene-LATHSAT_LOCUS5706 transcript:rna-LATHSAT_LOCUS5706-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCYKINGCNNEPNILAIIFDLDGTLLDTERVTRGVLNEFMGKYGKEVNKEREEKKRLGMTQKESAALIVKDYQLPLTPDQFIKEINPLYIQRWREAKALPGANRIIKHFLKNGVPMALASNSLREYIYAKIPYHKGWTESFSVILGNDQVKFGKPAPDLFEEAAKRMGVNAMNCLVFEDSLVGVKAAHAAKMKIVAVPSRGESDCCKLANVTLNSLLEFQPELWGLPPFDDWVDNTLPIEPIHVSGLYATGSLLETKENVAFGIPDQVVGIYFGWAKVDTNRNFKTLNVWFIDANTDIKSEQQMQICLVGYIRAWDNKKLGSVELEKLEEYKSIAKASLDLPSFSSF >CAK8535379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845646891:845648371:1 gene:gene-LATHSAT_LOCUS4844 transcript:rna-LATHSAT_LOCUS4844 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSFPFKLILLFLTIHDLFIMQIHGLNFGINYGQIANNLPSHSRVAALIKSLNVTRIKLYDADPNVLSTFSNSNVEFMIGLGNENLQNMRDPIKAQTWIQQNVQPYLSKTKITAINVGNEVLGNDDLNSYINLLPAMQSVYNALVNLGLSQQVTVTTSHSYVILSNSFPPSSGSFREDLVQYIQPLLSFHAQTKSPFLINAYPFFAYKGDPQHVSLNYVLFQPNPGSVDPVTNLHYDNMLYAQIDAVYAAIKALGHNDIEVKISETGWPSKGDLDEVGASLQNAEIYHTNLLKRIEMRQGTPAKSSVPVDIYVFALFNEDLKTGPSSEKNYGLYYPDGTPVYNIGLQQTQGGYFPEMVIESKSCILSNNFVICIFALFSAFVWEIRRF >CAK8568158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578124078:578140208:1 gene:gene-LATHSAT_LOCUS21148 transcript:rna-LATHSAT_LOCUS21148 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRSSWHSRLRQLLSNEGAIGPSIKLDSEPPHKIKAFIEKVIQCPLQDIALPLSGFWWEYNKGNFHHWRPLLLHFDTYFKTYLSCRNDLTLSDNLEDDIPLPKHAILQILRVMQIIFENCPNKSTIDGLEHFKLLLASTDPEIIIATLETLSALVKVNSSKLHGSSKMVGCGSVNSYLLSLAQGWGSKEEGLGLYSCVMANEKAHDEAPCPYPSDAENGSDHSNYRVGSTLYFEVNGPCAQSKDQNVDTVSSSLRVIHLPDMHLCEEDDLPLLKRCIEQYSVPPELRFSLLTRIRYARAFQARSISRLYNKICILAFIVLVQSNDAQDELVSFFANEPEYTNELIKAVRSEKTISGTIRTLAMLALGAQLAAYTSSHERARILSGSSITFTGGNRMILLNVLQRAILSLKSSNDPSSLAFVEALLQFYLLHVVSTSSSGTNIRGSGMVPTFLPLLEDSDLAHLHLVCFAVKTLQKLMDYSSSAVSLFKELGGIELLAQRLQTEVHRVIGLVGENDNLMLTGEITRHNTDMLHCQKRLIKVALKALGSATYNPANPTRSQHSNDGQLPATLVSIFQNVNKFGGDIYYSAVTVMSEMIHKDPTCFSALHEMGLPEAFLSSIVSGILPSSKALTCIPNGLGAICLNAKGLEIVKETSSLQFLVDIFTSKRYVLAMNEAIVPLANSVEELLRHVSSLRGTGVDIIIEIINKIASFGDGNATGSSEKANEGSAMETDSEDKENENHCCPVGSEDSATEGIRGEQFVQLCIFHLMVLVHRTMENAETCRLFVERSGIESLLKLLLQPAIAQSSDGMSIALHSTMVFKGFAQHHSTPLARAFCSALREHLKIAITGFDVDPRPLLLDPRMATENNTFSSLFLVEFLLFLAASKDSRWMTALLTEFGNDSKDVLENIGHVHREVLWQIALLENTKREIEDDVANCSTDSRQAEVDANETEDQRFNSFRQILDPLLRRRTSGWGIESQFFDLINLYRDLGRATGSPHRTNSIGSSNRRLGSSNQLNQSGSVDVSGANNKECDKQRTHYTSCCDMVRSLSFHITHLFQELGKIMLQPSRRRDDIVSVSPASKSVASTFACIALDHMNFGGHVTEASISTKCRYFGKVMDFFDIILMERPDSCNPVLLNCLYGRGVIQSVLTTFEATSQLLFAVNWSHASPMETDDGNAKLDDKQDTDHSWIYSALASYGKFMDHLVTSSIILSSSTKHLLAQPLISGDSPFPRNAEIFVKVLQSMVLKAVLPVWIHPQFVDCSHDFISTVISMIRHVYSGVEVKNVNGNSNARVTGPPPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEDTPEDDELARALAMSLGNSESDIKDAAANDNAQQVDEEMVQLPPVDELLSTCTKLLHKESLAFPVHDLLLMICSHDDGRYRSNVVTFLVDRIKECGLVSSHGNDTMLAALFHVLALILNEDLVARETASKSGFIKIASDILYQWDSSLDQGEKGQVPKWVTAAFLALDRLLQVDERLNSEIVEQLKKEVVNSTQTSLTIDDDKQHNIQSALGLSSKFADIHEQKRLVEIACSCMKYQLPSDTMHALLLLCSNLTRNHSVALAFFEAGGFSSLLSLPTSSLFSGFDNVAASIVRHVLEDPQTLQQAMESEIKHSLVDASNRHPNGRVNPRNFLLNLASVISRDPIIFMQAAQSVCQAEMVGERPYIVLLKDRDKDKSKDKEKERDKSLEKDKGPSTDGKVVLGSTTTAASGNGHGKINDSKGVKSHKKPSQSFVNVIELLLESVYTFVVPPLKDDTASNTIPSSPTSSDMDIDVSMVRGKGKAVATVSERNETNSQEASASLAKIVFILKLLMEILLMYSSSVHVLLRRDAEISSTRGTYQKSHTGLSGGGIFYHILRNFLPYFRNSKKDKKADGDWRQKLATRANQFMVAACVRSTEARRRIFTEISHVINEFVDSCTGVKPPGNEIQVFVDLLNDVLAARTPSGSSISAEASSTFMDAGLVKSFTRTLQVLDLDHADSSKVATGIVKALELVTKEHVHSVDLSAGKGDNSTKHSDPSQHGRTNNIDHISQSTETSSQVNHNSLPVDHVESYNAVQSYGESEAVTDDMEHDQDLDGGFAAADGDEYMHENAEDARGREDGIENVGLRFEIQPHGQENLDDDDEDDDDMSGDEGEEVDEDDEEHNDFEEDDVHHLPHPDTDQDDHDEIDPDYNAFLNEVEEDEDDEDEDGVILRLEEGINGINVFDHIEVLGRDNNFPNEALHVMPVEVFGSRRPGRTTSIYNLLGRTGDNSTPSRHPLLVGPSSSFHQSNGQPDRTAENSAGLDNIFRSLRTGRHGHRSNMWSENNQQSGRSNTGVVPQGLEELLVSQLRRPTPEKTSDNNSNEAGDSKIVKISQMHDSGGSGIETPVESNAIPEGGIVAPASIDNNNNNADNRPAGNRSPQADVSNTHSQAVEMQFENNDTVVRDVEAVSQESGGSAATFGESLRSLDVEIGSADGHDDGGERQVSADRIAGESQSARTRRANANVSFGHSSPLGGRDASLHSVTEVSENSSRDADQDGPSGEQQVNNDAGSETIDPAFLDALPQELRAEVLSAQPGQVAQPSNAESENSGDIDPEFLAALPPDIRAEVLAQQQAQRRHQSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYSRTLFGGHPRSRRGETSRHGEGTGSGLDGVGRSTASRRSGGAKVFEADGEPLVETEALHGMIRLFRLVQPLYKGQLQRLLLNLCAHSETRTSLVKILMDLLILDVRKPSSHCSTVEPPYRLYGRQSNVMYSRPQSFDGVPPLLSRRILETLTYLARNHPYVAKMLLQLRLRRPAAIDPDRADIARGKAVMVIEDQVNIGENDEEYISIAMLLSLLKQPLYLRSIAHLEQLLNLLDVIIDSAAGKCSSSDKSHVSTEPVPGPQISAVEGDVNTNSVVSPGLDACPKVDDSSKPTSSGSKGCETQQVLGNLPQTELQLLCSLLALEGLSDNAYGLVAEVMRKLVSIAPIHCQLFVSHLSGAVRDLTSSAMDELRTFSEAIKALLTTSANGASILRVLQALSSFVTSSTEKENDGISRAHFEFSEINSALEPLWHELSCCISKIESYSEPASEIFTPSTSSVSKPSSAMPPLPAGSQNILPYIESFFVVCEKLHPAQSGANNDNCIPYISDVEDASTSGTQQKTSGAAMKVDEKHGAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKIPRFIDFDNKRSYFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPAYFKNLKWLLENDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNTKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFGEIIPKELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSSDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >CAK8540421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559576155:559579936:1 gene:gene-LATHSAT_LOCUS9426 transcript:rna-LATHSAT_LOCUS9426 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITNFYTIQDASRHKTEDDCWIIVDGKVYDVTQYLEEHPGGDDVILAATGRDATEDFEDAGHSKSARELMEKYYIGEFDTSSTISTKKGYYEKFTTQLQNKSYLGFSVAVVGISVVIGLLYLRKK >CAK8535338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:840231309:840238982:-1 gene:gene-LATHSAT_LOCUS4804 transcript:rna-LATHSAT_LOCUS4804 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIRRFQKPPPPTTEDPTANSDSDSDSEIYPDRQPTDVVDIEAYLNAFRIQNTEQEDPESDFDPAANYKGVMEALQSVDAKNVLEKNARLAVHRFNPFEYPQDDEDWSEKDLREVWDNGNNVISGTGWDPNSVAPDEWVYVETQLANGRDVPVAPFYLPYRKHLPQVPPKNVNIKSPMDFIEELDRIEEFLKWVSYIFEDGTTYEGTVWDDVAQGKGVYSTHDGLIRYEGEWVRNDPEGHGVVEVEIPVIEPVPGSGLEEDMRVEEEIIKKDFMSPEERKWLDKDIQDSYHLANGQQEVPYYEGIGWVEKFGINPEKGRYRYAGQWKHGKMHGCGVYEFNERIIYGRFYFGEILDDDEGCDDETSALHAGIAEVAAAKARMFMNKPDGMVREKRGPYNDPQHAYLFEGEDVWMAPGFINQFYEVPDCWKTYAHEVDQEREGWLNSFYRSPLRIPMPAELEYWWSKEENHELPEFILINNEPEPDPEDPSNQIYPEDPLILHTPTGNFINYVEDEKHGIRMFWQPPLGSDEKVYQEKAAFLPLGYEDFFVKDDGKNIENASPWYKRLIEWAKSKKKTSEMKKEAMEKELELIEAEICLEETIEDMEELLRRSEEEEKKKSELGLSDEDDTVSVAKQDGNAQVDEEEEDDEEDEDDIAPSSFGSIEPEQKTDQQKEKPGKSPFSSSSLAFASSSLISAVPSKLQQSFTFWNKVGSKPALVLPQRIDPTSEVKIFASVSFPLATGQKCRLNATGKAKGRVEARSYLGGKFLEVSSMSRTRSCYMASASLKNNSKEQRRSGDAWLHSVPERDLDRVLSLNSISSVNNFYPNTQTSFQI >CAK8576236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:433234733:433235134:1 gene:gene-LATHSAT_LOCUS28442 transcript:rna-LATHSAT_LOCUS28442 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNLSILFLISLALVPTTTSQERAPHGLVYESPIAFPPSAYLFFHPNAPTSETKNSCITSKCSPLPMAAALVEANQKFENKASQKQIGAGVVGGIIFAFAFVVVLVVGVYYVKVIRQANMSRNINNVQSHA >CAK8577127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538889487:538890431:-1 gene:gene-LATHSAT_LOCUS29258 transcript:rna-LATHSAT_LOCUS29258 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQVHRGEVFSETFVEIGEIQIQEQRNEGEGGNNQKQQDIGELHGDRGKSQDKAVERKRREKAAKGKKQDQKEGKTKPVVVCETVVKVLPQKDNESSNEGSFERVQEREKAKKQGVLGETKTQHSEKMNKNRENNVLATYAEVVKGDDSAARRVQEQKLNDTILEKGFERKTQESQVGVMQNLHTVKEKLEVTVTDSAASRVQEQELDETIVGKGFEEEKQESHEGIVQNLHMVNEPLNNKVSEGGSTVLGAVGETVLEIGENMLKPAKKVIEKSEEGKEGGGVLSAIGETVAEIAETTKVIAVGDGESESK >CAK8559950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2302737:2303315:1 gene:gene-LATHSAT_LOCUS13679 transcript:rna-LATHSAT_LOCUS13679 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGSGKGRKACLAVTIVFIAILLLILILALTVFKAKHPVNTVDSVKLQNFDINLDIAKLKVDLNVTLDVNVSVKNPNKVGFKYSNTTALLNYRGHLIGEVPIPAGHISAGGTKGFNLTLTVMADRLLSDSQLYSDIISGTLPLNTFVTMKGKVSILGFIKVHVVSSASCDLAVNTSNRTLGSQQCHYKTKL >CAK8543163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584580730:584582262:-1 gene:gene-LATHSAT_LOCUS11940 transcript:rna-LATHSAT_LOCUS11940 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLLNNNTNEIDRISDLPSNVIDVILGNLEIRDQVSTSILSKKWRYLWTSAPHLCFDDDFFRRLMGVHDDVVFDIIMDVLMLHNGPIYKFSIDLFNSFEFNTEKFNMLIPFMSRDIKHLELVICGFGSVEDQMLDNLFLDILFSCKELTYFKFNGCKNLAISSNFRGIKKLLELDLECDEIESSALETFMSGCPILEKLSIGFRFGCDHLVISSPSLKVLVLELYSTKSICLKKANNLIDFTLKLSKLGTIVYIKSLPQIKKFSLTNWGEIPLADIIPPTLLTSSFSSLEYLKLDEFNLNDKGDILYFVTLLKSAPCLVKLVIEDCNFNDTTQVSDHLKELECRSCCLKLQTAEIFVAASTQHGMSLIQFILGNSPLLKTLTLNVCSYGELDGPMLKISQDLLRMERASPRAQVNFIHSAHRRRQGPMYL >CAK8543164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584580730:584582259:-1 gene:gene-LATHSAT_LOCUS11940 transcript:rna-LATHSAT_LOCUS11940-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNNNTNEIDRISDLPSNVIDVILGNLEIRDQVSTSILSKKWRYLWTSAPHLCFDDDFFRRLMGVHDDVVFDIIMDVLMLHNGPIYKFSIDLFNSFEFNTEKFNMLIPFMSRDIKHLELVICGFGSVEDQMLDNLFLDILFSCKELTYFKFNGCKNLAISSNFRGIKKLLELDLECDEIESSALETFMSGCPILEKLSIGFRFGCDHLVISSPSLKVLVLELYSTKSICLKKANNLIDFTLKLSKLGTIVYIKSLPQIKKFSLTNWGEIPLADIIPPTLLTSSFSSLEYLKLDEFNLNDKGDILYFVTLLKSAPCLVKLVIEDCNFNDTTQVSDHLKELECRSCCLKLQTAEIFVAASTQHGMSLIQFILGNSPLLKTLTLNVCSYGELDGPMLKISQDLLRMERASPRAQVNFIHSAHRRRQGPMYL >CAK8536797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:21434747:21435291:1 gene:gene-LATHSAT_LOCUS6129 transcript:rna-LATHSAT_LOCUS6129-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYERLKRIAENKKRLEALNLPKLSQSLHKTSSSSSKPSPSVKGRLRFVQPGELKVNKKRLCSTKMCKSSIIPPPIKTTITLPPIQTKITPLPIENTITHLPIQTAKDVVVEGEDEDVVVGDETEDDVVGDEDEDVVVGDEAEDVVVEDVT >CAK8536796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:21433628:21435291:1 gene:gene-LATHSAT_LOCUS6129 transcript:rna-LATHSAT_LOCUS6129 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQRSAAEIDKKAHREFAQWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKNQNSGVFGLFGTRSYSSNSDTQMRFGEVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDNLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIHVHLKPRDLNDMGDEDEDVVVGDEAEDVVVEDVT >CAK8576204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425345252:425345608:1 gene:gene-LATHSAT_LOCUS28410 transcript:rna-LATHSAT_LOCUS28410 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKAADLSSISVFHPPHIYSRRSNNVSNGLQALRDRSQPSQQSFSRDYLLSKGLCLISLKARSMKLSQQMIRERVLKNMRTLQGGFLVCLDTFFQRTIVNHTTQDLHPISWSNGTL >CAK8542527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523188648:523192809:1 gene:gene-LATHSAT_LOCUS11361 transcript:rna-LATHSAT_LOCUS11361 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPALSSSLSSAAFHRNNASKIPTCTLFSFRHRHYYNHSSLRVVNDSDRTELSSDAKTETPYSEADKIVDSMNFGELCNEFECNSSPSIESTARQLVRDIFELRSGNRALGTYAVSVTYKDPIRSFTGREKYKRPLWAAIALDNPSVTVQEMTMLSTSVLRIKWTIRGKPKSVLAGVGGDLILRVTSKFTLNQISGQVIQHEELWDLSASSASAQAFFWTSRVLFATVESIKDLADIAKNLSANFSTKKENMDIYPDPSGDPTKFFQRDDSFQQDIYQIALLLAVIYFVVQVLRITL >CAK8565671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:302395302:302412041:-1 gene:gene-LATHSAT_LOCUS18862 transcript:rna-LATHSAT_LOCUS18862 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEIDTQPLSVSNYHFEDDKDAPISFSVLPIQWSESENPKGKKEKVFLHGNADNGLKKIFMQVIAWRFDLLSNVKPEISVLSKDGKWIKLQKPRKSYEDTIRTILITVYFMHFVKKNPETSAKSVWDSLSKNKDFSYYEVKPSHNDLLNHMALMGEAATKDAVLAKSKLLLTVLKDKDTMRIKKLSDEEVKELARPGFIIDDIDNDEIDETAADEESDEEDALFDSVCSICDNGGNILCCDGKCMRSFHANKKDGEDSSCASLGFSRKEVEEIQNFYCKNCEYNQHQCFQCGKLGCSDKFAGAEVFKCASATCGFFYHPECVGKLLKLVVKDAPAELLSNIAKGEPFTCPAHYCRICKEMENKNEHELHFAVCRRCPKSYHRKCLPRKIAFEDDEDEGITARAWEDLLPNNRILIYCLKHEIDDELGTPIRDHIKFPGVKEKINPATKEVISNKNNAKLDDLLVKRTSAKSSISSGKMSSERVDIKNFRKISGSNIPKKKANDATRRCLSENKRSTLKETERSNYEENQASLGVQLYDLYHKGSERINSDNHVDNVADNTVSVKPKKPSSAPRQLDLDSERRLLALFKEASSSVTMENVIKEHNFGSTHAHSLKNVVEKTITVGKLEGSVEAVRTALRMLDKGDSIRDAEAVCGPDVMSRLFKWKDKLKVYLAPVLYGNRYTSFGRHFTQVEKLEGIVDKLQWYVQNDDMIVDFCCGANDFSILMKKKLEDTGKSCFFKNFDLLPTKNDFNFEMRDWMTVKRKELPSGSRLIMGLNPPFGVKAALANKFIDKALEFEPKLVILIVPPETERLDKKQSPYDLVWEDERFLSGKSFYLPGSVDTNDKQLEQWNVKPPPLSLWSRPDWTDKHKLIAQENGHLFRHHDVSKKESFDREKSSASHTMDDDYVDDTMLDRMLDHDFLKSTNGEDCSFMVGQVQQGLSHGNVDRKSQERHEYLVTKAESTSWKRKRSDENDGRGPAVTLPAKKQGVNEMPEGVLDHGTSNPLDSEGNQSGSDMILSDAHVGDNGYTPLEPHSSVGDDDQSWPNVADSLPDYGLADLQESSSRHMEDNISSFGYKPHLRGDDRYLRELETRQQIHHPGFQNSDPTSSSYLSGHGPAYNQMGSTYSVLGARPELPYMMNAPAMPTNTPAMQRYAPRLDELNHVRTNSLGPEHPTVNRSNISERSTPQPGSGNVLPGFPKYYVHR >CAK8570149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25929265:25932409:1 gene:gene-LATHSAT_LOCUS22927 transcript:rna-LATHSAT_LOCUS22927 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYLRELEGKQANDPLFIEKMNKNNNNSTSSNERCLWIPGPLIVGAGPSGLAVAAYLKQKGVPSLILERSNCIASLWKLKTYDRLRLHLPKQVCELPLMKFPSGFPTYPTKQQFIEYLENYSEKFDIRPWFNETVKHAEFDATLGFWRVRSEGKGGMVTEFVCRWLIVATGENAEAVVPEMEGVGEFGGSIKHTSFYRSGEEFRGKKVLVVGCGNSGMEICLDLCNHDAAPSIVVRDSVHILPRDMLGKSTFGLSMWLLKWLPMQLVDCILLIMSWLLLGDTARFGLVRPRVGPLELKKLSGKTPVLDVGALAKIKRGDIKVHPGIKRLKRYKVEFVDGKIENFDAIVLATGYKSNVPYWLKDKDMFSKKDGYPMKPFPNGWKGENGLYAVGFTKRGLLGASMDAKNIAQDIEWCWKSEAKHTLAFALLPQYSKS >CAK8572246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524278309:524281200:-1 gene:gene-LATHSAT_LOCUS24831 transcript:rna-LATHSAT_LOCUS24831 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPPPPPPPPPPPSPHPSLPPPPPLPPRPTLNHLNTTLSSLNNLLTFSNQILSITPKPQTLTSNLIPCLFNHNHLLPPSSLFLHHLRCPSSPRPLPDLHHLLTSLSYPKTLHNPQSIHLSSYFDFTSNFFYNNCPGVVTFSEANSVARTATFNLPDFLSRECSNNTTNTNHNPPSIVPSEYVYISREIESWNNFPTTYSTSVHHAILGIGIAKENDLDGWMISNSPRYGIVIDTSMQRHIFLLFSLCFKSILREASVLKSALMWLESQVSILFGVNGKLFVLEFVKKCILAGASVLLLFPLGNNEVADSVASKKERNNTDCIQERKISMPQVVAAVAALHERALLERKIKGFWFSHPSNSYQLKAEHCYLYDKANEERKNRADYRPIIEYDWVHRQCSYQQGTQKEKTIEELLAEERDYKRRRMSYRGKKRNQSPLQVMRDMIGEYMEEIKLAAGVKSPVSVSEDSLMPPPKLPSSHAIPMEANNSRKVCDESPAVTIRNPGYHEQQSHTNYSDKSKAVHDATSRDYEQRKQGHHRSHHNGGDRASTSPERHRSRNELHEHRVHHNKQEL >CAK8533925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672008787:672012617:-1 gene:gene-LATHSAT_LOCUS3512 transcript:rna-LATHSAT_LOCUS3512 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTFSFHYMLTFFLIILILNHAKSQSNYNLNLNDQEHETLMKIKQHLQNPPSLTHWTSSNTSHCSWPEINCTSGSITGLTLVNYNINETIPSFICDLKNLTHVDFNNNYIPGMFPTYLYNCSNLEYLDLSMNNFVGKIPNDISSLSSLNYLNLSYTNFSGGIPSSVGRLKDLRFLALQACLFDGTFPDEIGNLLNLEILDLSSNPFKPSKLSMNWTELSKLKVFYMYSCNLFGEMPELIGNMVSLEDLDLSQNGLTGEIPSGLFMLKNLSRLLLSRNDLSGELPDVVGALNLAIIDLAQNHLTGKIPEDFGKLQKLTGLSLPLNNFSGEIPQSMGRLPSLIDFKVFMNNLSGTLPPDFGLHSKLRSFHVTTNSFKGRLPENLCHHGELQNLTAYENHLSGELPESLGNCSSLLEVKIYNNEFYGKIPNGLWKAESLVLFMVSHNKFSGELPQNLSSSISLFDISYNQFYGGIPIGVSYWTNVVEFIASKNNLNGSIPQGLTALPNLQRLLLDQNQLKGSLPFDVISWNSLVTLNLSQNQLNGEIPVSIGHIPSLSVLDLSENQFSGEIPSILPRLTDFNLSSNNLSGRVPSEFENSAYDRSFLNNSGLCADTPTLNLTLCNSNSNTQRQSKDSSLSPTLIVVLVIVSILVAALISFMIIKLYRKRKQGFDNSSWKLISFQRLNFTESDIVSSMTEHNIIGSGGYGTVYRVMVDGLGYVAVKKIWENKKLDNNLEKSFHSEVKILSSIRHRNIVKLLCCISNNDTMLLVYEYVENRSLDRWLHGKINMKSSNVLSSSVHHIILDWTKRMHIAIGVAQGLSYMHHECSPPVVHRDVKTSNILLDAQLNAKVADFGLATMLIQPGEVATMSAVIGSFGYMAPEYVQTTRVSEKVDVYSFGVILLELTTGKEANYGDEHSSLAEWSWQHIQAGSRIEELLDREVMEPSHIDGMCKVFKLGVMCTSTLPSSRPSMKEVLQVLLNCGEFFGYGERNIEHNNDVVPLLRNSKRETKLDIDIDNDSS >CAK8567179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492798650:492798931:1 gene:gene-LATHSAT_LOCUS20252 transcript:rna-LATHSAT_LOCUS20252 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQNLAEKLMQIMLLAFAVIAFGTGYLTASFQTMILIYAGGVVLTTLVTVPNWPFFNRHPLKWLDPSEVEKHPKPQPSPIVNSKKKPIKK >CAK8562825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:543923352:543923879:-1 gene:gene-LATHSAT_LOCUS16290 transcript:rna-LATHSAT_LOCUS16290 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYIDTVQSCKVLRGSGVAELISAMAAGWNAKVIVETWSGGGVIETSIGLAVARKHTSGRHVCIVSNERSRLEYSDRIGETGASTEIIVGEPEEVMERFVEEIDFMVVDCKGSNDFSRVLKVAKLSVKERFWFARMRI >CAK8577226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545879985:545882969:1 gene:gene-LATHSAT_LOCUS29352 transcript:rna-LATHSAT_LOCUS29352 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSATSSLVAPPNTDNISRRSANYHPNIWGNHFIQYLLEPMELDEIMKQIIMVKENVRQMLVPTNPLNDANLIDSIQRLGLYRHFEHEIGELLEHIHNNYVQNGTITLNLNQDLHSIALVFRLLRQQGYHILSDVFEKFKNEQGNFNETLVGDVEGMLSLYEATHMRIHGEDILDEAFSFTSSHLKMMTTQLSPSLATKINHSLKRPLFKNLSRLVARNYISTYEEDPSHDATLLLFAKLDFSLLQKQHQKELGDISKWWKDLDFAAKLPFARNRIVEAYFWILGVYFESQYSVGRKIMTKVISMASVIDDIYDNYGTLEELQLFTQAIQRWDMSCMDFLPEYMKFCYKAILDVYEEMEQEMVKEGRAFCVYYVQNEMKRLIHAYFTEAKWFNSNFIPTIEEYMALGIVNSGYYLMTATSFIGMGCIATEDVFKWLTNNPKIVHASSIIARLMDDIVSNEFEQKRGHGASSIECYMNEHGVTREDAIEELSRQVTNAWKDINEELLDSNEVPKPVLMRVLNLSRVIHVLYKDEDCYTNSQGSTKNDIISILLDPCPI >CAK8578713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648869482:648872158:1 gene:gene-LATHSAT_LOCUS30701 transcript:rna-LATHSAT_LOCUS30701 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEVADGGVRRRGCTFQKNDFFPEESFKSWGNYRSSVMDTPFRFKDRVLKRSSDHAEIVDVKARSGNEMKKTLNWWDLMWFGIGAVVGSGIFVLTGLEAKEKAGPAVVLSFVISGVSALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFATLCNRNPDDFRIVIHNMNPDYGHLDPIAVVALVAITALAIYSTKGSSIFNYIATIFHMVVLIFIIIAGLSKAKIENYSTFTPFGFHGMVSASAVLFFAYVGFDAVATMAEETKNPARDIPIGLVGSMTITTLLYCLLATTLCLMQNYKDVDTNAPFSVAFSAVGMDWAKYIVSIGALKGMTTVLLVSSVGQARYLTHIARTHMMPPWFALVDDRTGTPMNASISMLIASSIVAFFTDLGILSNLLSISTLFIFMLVSLALLVRRYYSSGVTTKVNQVNFIVCLVLILGSSIGISACWATNNGWIGYTIVVPLWFLGTGGLWFFVPMAKKPNVWGVPLVPWLPSLSIAVNIFLLGSIDKDSYIRFAIWTGFLLVYYVLLGLHASYDTAKEFEKKWNNVELGVVGKNSNTAPVSN >CAK8537416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:346719518:346725746:1 gene:gene-LATHSAT_LOCUS6709 transcript:rna-LATHSAT_LOCUS6709 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVEESMVAERFDDGEKDKKKKRRSNRRTKQNIPSVLEVNEAVVVSSESSLKNGMASQTSGSVSNSRKQQGVIALSPNEQGLSEAPSVESISMPLIHFNDVDLQNKQIIHEGGVVSKPFSEPISCLVSSGTNKKKDSVPCSQFGFNGQINYFSPHWSIDAVEKALEVSDVFKAVFHVNAHNRLEAYCRIDGVPTDILIGGVPSQNRAVEGDVVAIKIDPLSLWTKMKGSNVANNNTAVLEDCNLLVQDNVVDDNNCEGEDKLNADHDSSNCRSSPCQKKINSGSEQSEVMNAVEKMCMLVNSFPFKRPTGRVVAVFERSPRRDCIVGHLNVKQLVFSRDINQKDARKNKNLVSEHEYIQLTPIDPKFPNIMLLVRDLPESIKGRLKSGDAAIEMDLVAAQIDDWFEESHFPEGHILQIFGRGSEVQPQLDAILFQNGICFSEFPANTLSSLPQVPWELPLGELQSRADLRNLCIFSIDPSTATDLDDALSIEKLCNGNYRVGIHIADASYFVVPDTFLDDQARFRSTSVYMLQKKIPMLPALITENIGSLNPGVDRLAFSMLLDLNVDGDVVDRWIGRTVIHSCCKLSYEHAQDIIDSAFNFESSSFHEDAYPKVHGRFDWPDVLTSLKSLYEISKVLKHKRFTRGALRLDNPKIVILIDDNGIPYDITFSEQRESNFLIEEFMLLANTTAAEVICRAYPEVALLRRHPEPNMRKLKELTAFCQKHGLNLETSSSGHIHRSLEQIKEKLKDDPVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADIADHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSVASDMNTEIKPAFFPLTVHPLSTIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8565813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337936087:337937295:1 gene:gene-LATHSAT_LOCUS18994 transcript:rna-LATHSAT_LOCUS18994 gene_biotype:protein_coding transcript_biotype:protein_coding MARANSALPICFFKIILQTNLQTIKIPNKFTRSHGAGLPNPVTIKPPDGTKWKVIWRNINGEVWFQEGWKNFTQNYSLRHGCLVVFKYKEGTSVLDVVIIGHNGVEIDYDSSCDNFVNLDHSDDESVEILNEWHFPKKAKQRSTLASTRPLKKVKGEIEHISQRTTSLNRPYESRARQVAEEFISSNPFFTILIKPANLAAKRLSAPNFSGIIENKNKNVKLQVGKRSWNLMLLRCYNNNTNRCLSAGWLSFARESGVQPGDVCVFELINKEDLVFKVHVFQQDC >CAK8542094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:472264733:472265209:1 gene:gene-LATHSAT_LOCUS10965 transcript:rna-LATHSAT_LOCUS10965 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEKWEELNLRASSTIRMSLAKNILVNVLGTSSAKELWENLEGIYQEKGISNRLLLKEQFHSLHMDEHTKISDYLSVLNGIVYELETIGVKIDDEDKALRLIWSLLSSYEHIKTVLIYGNETLSFEKVGSKIIFEEKRLKGKENTSLNLVLVAREKS >CAK8538261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473817416:473818350:1 gene:gene-LATHSAT_LOCUS7479 transcript:rna-LATHSAT_LOCUS7479 gene_biotype:protein_coding transcript_biotype:protein_coding MDFETLYSPYFVMDPNWFVEESHNTEWSREDNKKFESALAIYDKDTPDRWLKIAEMIPGKTVFDVIKQYRELEEDVSEIEAGNVPIPGYLASSFTFEVVENQNYDGNRRRHGSVRGSDHERKKGVPWTEEEHRRFLMGLVKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKVSSGGKDKRRPSIHDITTLSLTETSSASDKNKQKMCWNSNNHYNDGSLQGQDLYDCSFHKSYASRDFNKGAVFGIHAL >CAK8533594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640010778:640011521:-1 gene:gene-LATHSAT_LOCUS3212 transcript:rna-LATHSAT_LOCUS3212 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNRFYDISVVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPMSSQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELGVVVLVSLVPLVVHIPVMFLGLFTSGIQALMFATLAAAYIGESMEGHH >CAK8534917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789583374:789584584:-1 gene:gene-LATHSAT_LOCUS4416 transcript:rna-LATHSAT_LOCUS4416 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSIAFSPRLNGIINRNRNSKPILLNQPKSLLLFPSTSRSDRIYDSKVCPLRCGISSNGFSGDERRSVREWIEVGSEAISTAFPLWVTVGCVLGLVRPSSLNWVTPKLCTVGLSVIMLGMGMTLTLDDLRSAFCMPKEVLSGFFLQYSVMPISAFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALFVIMTAASTLSAVVCTA >CAK8578986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666127260:666134787:-1 gene:gene-LATHSAT_LOCUS30963 transcript:rna-LATHSAT_LOCUS30963 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSSSNVDSPKAAQSMQMRRAPSRGAATTFSLEVFDDEVVPSSLASISPILRVANEIELERPRVAYLCRFYAFEKAHRLDQSSTGRGVRQFKTLLLQRLERDNATSLASRVKKTDAREIQTYYQQYYQQYVRALDQADQADRTQLSKAYQTAGVLFEVLCAVNKTEKVEEVAPEIMAAAKDVQEKTEIYTPYNILPLDSAGASLPIMQFEEIRAAVSALWNTRGLNWPSSFEQSRQRGGDLDMLDWLRAMFGFQKDNVRNQREHLILLLANSHIRLHPKPEPFNKLDDRAVDSVMKELFKNYRTWCKFLGRKHSLRLPQGQPDIQQRKLLYMGLYLLIWGEASNVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPLYRVIEKEAKKSKHGKASHSAWCNYDDLNEYFWSLDCFSLGWPMRDDGDFFKSTNDLKQGKKGAPIKPRRLGKSNFVETRSFWHIFRSFDRLWTFFLLGLQVMFIIAWDGISLMDIFQKNVMYKLSSIFITAAILRLLQSILDLVLNFPGYHRWKFTDVLRNILKVIIGSIWVIILPIFYVTSFKGAPQGLKELLSFFKQIKGIPAMYMLAVALYMLPNLLAAALFLFPMLRRWIENSDWHIVRFFLWWSQPRIYIGRGMHESQFVLLKYTIFWVLLLASKFLFSFYVQIKPLVKPTKDIMNIQHVDYAWHEFFPKAESNYCAVAALWAPVLMVYFMDTQIWYAIFSTLYGGLVGAFDRLGEIRTLGMLRSRFLSIPGVFNTCLVPSNKKKGRFSFSKQFSENSASRRNEAAKFAQLWNEIICSFREEDLISDRKDLRDKLLEFFPFVLNHSF >CAK8576573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493747016:493747687:1 gene:gene-LATHSAT_LOCUS28749 transcript:rna-LATHSAT_LOCUS28749 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSFNLQAEKTNAILKHRKLQRVTTLLRLVEACVVLVLISRLSMKLPVVVRNSSEYLKDFSVFMNSPCFVFLIGNVIIITLFVQGLGKNVHEETEQDDIYEKFVRKEKEQIRKNDCSTEGGNRVVEEEKVKRGVKKGYCYRRCESEVLKKRRRVLRRCESENNKGRKSIEGGGGGEEEMVMRISYPEDEMSNEEFRRTVEAFIAKQQRVLRGEGEDCSYLV >CAK8568770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639717761:639720456:1 gene:gene-LATHSAT_LOCUS21695 transcript:rna-LATHSAT_LOCUS21695 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKREDDYFEYLHSFPPTKSRRLDSEVTMEMMEEDIHTDVNVVPPLMQPNQERSLVLYQPSNSSNTPLLKSPTSPPFTIVVNTNLIPGLKDYLLSRGTTKLEELGEDEMRRERTPELSKDCLAVIPWVPNPITCEEVVPETSQTLESEDSEMMEMDEPYANNNNEKVETCGVSSPWQQQQQCIMPNLLKPQFGTYFW >CAK8532253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231661657:231662244:1 gene:gene-LATHSAT_LOCUS1982 transcript:rna-LATHSAT_LOCUS1982 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLYKRPKINGAMLASIFPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMGPFFSVILSAMFLGERPTPWVIGSLVPIVGGVALASVTEASFDWAEFWSAMASNVTNQSPPAAIFMESVKFTPAYLQSAGLNMVSPVTHSVGNCVKRVVVIVSSVIIFETPVSPVNALGTAVGLAGVFLYSRVKQIKSKPKAV >CAK8577825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591654205:591656432:1 gene:gene-LATHSAT_LOCUS29895 transcript:rna-LATHSAT_LOCUS29895 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGIPIGAGNKEYPGNLTPFVTITCVVAAMGGLIFGYDIGISGGVTSMNPFLEKFFPAVYRKKNAQHSKNQYCQYDSETLTLFTSSLYLAALLSSVVASTITRRFGRKLSMLFGGLLFLVGALINGFAQNVAMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITIGILVANVLNYFFAKIEGGWGWRLSLGGAMVPALIITIGSLILPDTPNSMIERGDRDGAKAQLKRIRGVEDVDEEFNDLVAASETSMQVENPWRNLLQRKYRPQLSMAVLIPFFQQFTGINVIMFYAPVLFNSIGFKDDASLMSAVITGVVNVVATCVSIYGVDKWGRRALFLEGGTQMLICQVAVAISIAAKFGTSGEPGDLPKWYAIIVVFFICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMLFTFLVAQIFLTMLCHMKFGLFLFFAFFVVVMTIYIFTMLPETKGIPIEEMDRVWKSHPYWSRFVEHDDNGVEMGKGGVKSV >CAK8540458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:2446117:2446849:-1 gene:gene-LATHSAT_LOCUS9461 transcript:rna-LATHSAT_LOCUS9461 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTQIDDNDFGKNHIPMLKLLDSSLRLFAVPLSVATIWITVTNKEDNPSYGTLKYSNLSGLKYMVFVSALCACYAIVAAVCSWLRYVVTKAWIFFISDQVVAYLMVTSIAATMEIYYLAYNGAKEDSWSQACSSYGKFCSKVKLALIFHMITFACFFVLAVISAFRAFSVFDPPSVCSQYVHEDRH >CAK8571121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:307284726:307285493:1 gene:gene-LATHSAT_LOCUS23812 transcript:rna-LATHSAT_LOCUS23812 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEHANWSPYDNNGGSCVAVVGSDYRVIAADTRMSFGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQLLSDTLYYKRSFPYYSFNVLGGLDSEGKGRVFTYDAVGSYERVGYSSQSSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSETEAVDLVKTVFAPASERDIYTGDRVEIVILNASGIHREFLERLTFMFMIDLYLNLRDSAKVLSRKRSLVAHYLKRSS >CAK8575299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:73359439:73361159:-1 gene:gene-LATHSAT_LOCUS27573 transcript:rna-LATHSAT_LOCUS27573 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPGRGGGGGVKRFRSTPASWIESFNLKEEEEDEIQQQDNFSFTQLLSNNAAAGPSTPDSHPYLPDYYHYSSPHTPTAGNVSNNPFTQGVEESDNALDLKIDKILEDSVPCKIRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTAEMLDEAVAYVKFLQNQIEELSEHQQRCTCTIHE >CAK8541117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68002427:68004474:-1 gene:gene-LATHSAT_LOCUS10067 transcript:rna-LATHSAT_LOCUS10067 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSVQRNSLCSTTVDKLVILPSPIKDKPKNDNFMIDHVSSNTFNDSKDEAFFDSKGWLDSDCEDDFYSVNGDFTPSRGTTPVHHSFGTPAINKNSSLHIAPEPSAKKKNLLELFRESVREVRNDEVGKTFSNEEKQVIPVIVHDLTKSAQSTPYISGSIERTMNDENESVKPVQCCLPRLSSFSERRRKASLDIAANGKA >CAK8569047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666308765:666310797:-1 gene:gene-LATHSAT_LOCUS21944 transcript:rna-LATHSAT_LOCUS21944 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSNDRVDRHGNVADKRTTGGWKAAPFIIVNEVIERLAFFSIAVNMIRYLVVEMHQTIPDSATHVTDWIGAAYVLTLLGAFLADAYLGRFKTIIVFSSIYAVGMFMLTISASVDTLRPQKTKEASQYQMSFLYAALGLVALGTGGIKPCVSSFGADQFDEGDEKEVVMKYSFFNWFYFAINMGALLGITLLVYIQDKVGWGWGFGIPTVTTILSIVVLAFGIKYYRFQKPMGSPFTRFLQVIVASVKNHRRGVVVEDETHLYEVETTHSDIVGARKLPRTLQYKFFDKAAVVTEKETIKDRWSVCTVTQVEEFKSFVKILPVWASTIALAISFAQMSTFFTSQANIMNRKLGNSFEIPTGSVPVFGAINGLILVPFYERCIIPVLKKFTGHHRGITSLQRMGVGLFISIIAMASAALIEKTRREQHPQLHSMSVFWLVPQFFLVGAAEVFTYVGQLEFFYDEATDGTKSISSAMFLSTIGIGSWLSTAIVKIIIAATGGEAKGWLRNDLNQSKLDGFYWILAVINAINFLVYLTVAIRYKGKESPVVRVESMVELSKDQDMQP >CAK8566295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400974461:400977003:1 gene:gene-LATHSAT_LOCUS19434 transcript:rna-LATHSAT_LOCUS19434 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQLLPPLPKNASSVDSKIWRAIAGAAVHIPAVNSRVYYFPQGHMDQASSPPNNLSPRLLSRPYILCSVSGVQFLADPKTDEVFAKLFLQPLNDFAAIFPRVPAPEVDDGERIFSFAKILTPSDANNGGGFSVPRFCADSIFPPLDYSMDPPYQSLVITDVHGMAWEFRHIYRGTPRRHLLTTGWSRFVNGKKLVAGDSVVFMKNTRGNMFIGIRRAVRYVPARSANDTTRLCLPICGVRNRVEDEDEEKQVEEKCREEFSRNGKGKLSPKSVAEAAELAAQGLAFEVVYYPKAGWSDFVLKAEVVDAAMSVTWCPGMRVKMAVETDDSSRTTWFQGVVSSVSVPDNGPWGGSPWRMLHITWDEPEVLQTSKWVSPWQIELLSTTPSLHTPFPSIKRLRGPHGVLTDGDPFSITAFTNSTMGQLNQSLLSYGTFPAGMQGARHDLFSVSSFSNFPGENPRLCMSNSFGNNTVLGLKSLSTELNVGSSQSDDFSPESQSSLPSFGTDFVRNYNCNSMKPGPVSFQLFGVVIQTERPVESGSHGTGSPGDDSSKGCNETEGMNNPLEDSLIYSKLLDRLDGQCQIASTVEACYL >CAK8543674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630665383:630665838:-1 gene:gene-LATHSAT_LOCUS12418 transcript:rna-LATHSAT_LOCUS12418 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLAVVKPVWMKQAEEAKIKSEAEKDTAAKAAFEASCKGLEMAHKPIGPVDPSKCTAAGTGIAGGTACAASSFGVVTKDSDERKVLTGGAQIKVKVTPGLGVGGSDQEGIVKDMGDGIYTVIYGLISTPFLCSSIFSFTICISMTCDI >CAK8561552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:289725744:289726457:-1 gene:gene-LATHSAT_LOCUS15132 transcript:rna-LATHSAT_LOCUS15132 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEEHATHTRVVMADPPDCCSKPKNKLTSEIILVHRGKCSFTTKANIADEAGASAILIINNRTELFKMVCEVNETNVDIGIPTVMLPQDAGINLEKHIQNKSIVSIQLYSPLRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAVIEQDKLLKDEKLLLSKTSF >CAK8541491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:203042330:203042754:-1 gene:gene-LATHSAT_LOCUS10408 transcript:rna-LATHSAT_LOCUS10408 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITEPHIHGLLDVMFSSGLSTVLMETLEQISMSIPSLMPTIQDQLLYSISMVLSKSPYLGRPVQSIGKGTIINISQQVSELSGSSLIQLALQTLARFNFKVLYTLLCVNFCHG >CAK8576291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:445329173:445329400:1 gene:gene-LATHSAT_LOCUS28492 transcript:rna-LATHSAT_LOCUS28492 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSFAGFSETRKSCFGTSFLDKTFTSSYNNKKTFVRLCSNASKYVFWDGLHHTETANKFITSELISDSISLIT >CAK8532893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:545926723:545931418:1 gene:gene-LATHSAT_LOCUS2555 transcript:rna-LATHSAT_LOCUS2555 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVFLSALSVGVGLGVGLGLSSGQTVQKWVGGNRDFGEVSGDQIVEELKRLLVDGKDSKVTFDEFPYYLSERTRVLLTSAAYVHLKHLNFSKHTRNLSPASRAILLSGPAELYQQMLAKALAHYFESKLLLLDVTDFSVKLQSRFGYPRKEPCHRRSISEMTLERMSGLFGSFSTLPSTGETRGTLRQQSSESLNNLPRLRRNASATADLSSTSSQSGSLNPAPLRRTSSLCFDERLFVQSLYKVLVSISGTDSVILYIRDVEKLLLKSPRLYNLLQKMIQKISGSVLILGSQILDLEDESKEIDERITSLFPYNIEIKAPEDETHLGSWKGQLEEDMKVIKFQDTRNHIAEVLTENDIDCDDLNSICHADTMILSNYIEEIVVSAISYHLMNTKEPEYRNGKLVISSNSLSHGLSLFQEGKSSGNLKTNDSNKENAGEDIIVGTKAPENKGETEKSVPVTKKEGENSTPAKAEVPDNEFEKRIRPEVIPANEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLSGPNEQILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREMILKTLLSKEKHENLDFKELATMTEGFSGSDLKNLCITAAYRPVRELIQQERKKETEKKKKETESEGSEDASNNNDSEEREVILRPLSMEDMKQAKNQVAASFASEGSVMGELKQWNELYGEGGSRKKQQLTYFL >CAK8540110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540133393:540133766:-1 gene:gene-LATHSAT_LOCUS9143 transcript:rna-LATHSAT_LOCUS9143 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFHVLIFDKVLKKKASYLCEREAKDTKSQTAKIFHILPAGFCLCLFYCFILFRHKNLYLIFYVCCKKRLFRPTQGDYLQLKMMHTLWRSS >CAK8532396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:256194574:256198709:-1 gene:gene-LATHSAT_LOCUS2106 transcript:rna-LATHSAT_LOCUS2106 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGRRGISGKSEVPYIIYNIGESMQELIKLWKEYESSQSQVEKNAESLNDGLTLEIRIPAKHVITTNHQVRGAQLWGTDVYTYDSDLVAVLMHTGYCFPTPSPPPSAIKELRACIRVLPPLDCYISTQRNNLRSRAWGAAPGCSYRVERCCIVKKGGGTIDLEPSHTHTATIDPTLAPMAVERTITTRAAASNTSRLQRFIREVTIQYNLCNEPWIKYNISIVADKGLKKPLYTSARLKKGEVLYLETHSRRYELCFAGEKMVKAILATQLHNPDIKNSPNHQLHSTNGNKSDSDNVVVDVFRWSRCKKILPQRLMRTVGIPLPLEYVEVLAENIDWEDVQWSQTGVWIAGKEYALARVHFMSMN >CAK8563029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567410553:567410993:-1 gene:gene-LATHSAT_LOCUS16478 transcript:rna-LATHSAT_LOCUS16478 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGKRELKPHFKEGVVAFLAYAFAQECCRSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNREASSSQTHIGVEIGRETSSSQSHLQDREQFNLIDDMVGDALGVNVTYDEP >CAK8579322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691585665:691586223:1 gene:gene-LATHSAT_LOCUS31276 transcript:rna-LATHSAT_LOCUS31276 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQCYKTCEQSCQHKNNYHQQQQQHSSIGQKVTVLFKGHHNDGTQTKTEYYSETDVIYQPGYVAKNHSNTCNRTSHNRNHNHAATGTTIVTGTTAEKCQGRNRKERRNMFQRMKDGISGHSSDSGSSSDECDSDNEYRRHRKNCN >CAK8571450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:421652705:421652908:-1 gene:gene-LATHSAT_LOCUS24115 transcript:rna-LATHSAT_LOCUS24115 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSYNIKGGGSLIKRRRIRYTLASGKTDICLIKESKFNVLDSSKIFSFGYVKDVCWSGNPSLDLS >CAK8574742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7903347:7903718:-1 gene:gene-LATHSAT_LOCUS27056 transcript:rna-LATHSAT_LOCUS27056 gene_biotype:protein_coding transcript_biotype:protein_coding MDIENCNCLGSRNRNIWSSYERIGHDPIVCVNEFMTKIKIARLKTLWRKIKRDKKRRITRSSSPNFVYDPCSYLQNFDDGYINDHDHFSRSFSARFAARCSKMIVKNIEVMNDEEILEINEES >CAK8569003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662431184:662431734:1 gene:gene-LATHSAT_LOCUS21902 transcript:rna-LATHSAT_LOCUS21902 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSNTSSSSLERNRSLTKEEIDAFWKSKKDTEEEHLKAISNLSKTIQPGKYEDTVPLALRMKKTLGMDVNNNLEQLINKNGWWTKSNWAFLNEPPVMETSSNKYVSQFHVANSGSSKQNPGHGISA >CAK8532539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:285963013:285964651:-1 gene:gene-LATHSAT_LOCUS2234 transcript:rna-LATHSAT_LOCUS2234 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPTNNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIDRSH >CAK8563136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576705359:576706520:-1 gene:gene-LATHSAT_LOCUS16574 transcript:rna-LATHSAT_LOCUS16574 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERLFTNSPANNSNMNTLFHNHNQQQNTSQQPLDSLFLSSSLPFFGSRTMVSFEDVQGGKKRCNNYLFNGFDLDENGDDEMDEYFHQSEKKRRLSVEQVQFLEKSFEVENKLEPDRKTKIAKDLGLQPRQVAIWFQNRRARWKNKQLEKDYESLNDSYESLKTDYDNLLKEKQRLQSEVESLSEKVLARENQERESETKKLLQEPVMNKPLVDSISEGEGSKLSIVEACNESNHNNNRQEDISSARSDILDCDSPCYKDRVLQRNVFEPEYQSDLSQDDEDNLLPPYNIFTKLEDVHYSNPHHNSSGYGFQEDDHHHALWSWSGY >CAK8532932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551797238:551799409:-1 gene:gene-LATHSAT_LOCUS2591 transcript:rna-LATHSAT_LOCUS2591 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLDEHSNSFPQEDKGNNTNAAADDTPGSPSLEKTEDSTVGGDRETEKGGDVDNVEGEAEEEKKEEKNEGVTIEDTPETPPKLEKLSEDIDKFLAATHDNNDNDEEMIPEFIDKFLDLVEEKIMRYDSNQTKWGEIVEEDSLLLEGVNRVSKLMKLLTTIITEFQSREEEKKGGEEVDDKKEGNEEEKEEKEEKKAKKDHLILLNRVGAIQQRAMSYLEEEFRLLMEESRLQGKSDSTHDPKGKHAATPEPSENELTETVMDFPGFKEEAITVLNKIAREMLFAGYDSECCHLYTVSRKHCFGDGLYKLGYERISMEEVQKMQWESLEREIPTWINTFKECATVWFPGERKLAASVFAEHPSVADTLYANLTRVVAFQLLNFAESVAMTKRAAEKLFKFLDMYETLRDTIPNLDKLFPEDIVDETKAEMMSAKNRLGEAAVLIFCELENSIKSETGKTPVAGGAVHPLTRYIINYLRLACEYKDTLEEVFKEHSKIERSDSTSRPYYENQSQNENQKSNEKENVSPFTAQLTRLMELLDANLEGKAKLYKEVPLSCIFMMNNGRYIVQKIKGSAEIYQVMGETWCRKRSTELRTYHKNYQIETWSKILSYLSPKGLNDNGKVHKPVLKERFKNFNALFEEIHKTQSIWVVSDEQLQSELRVSISSLVIPAYRSFLGRFSQYLDPGRQTEKYIKFQAEDIETYIYQLFDGNPHHQAMVRKKA >CAK8539018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501789587:501790030:-1 gene:gene-LATHSAT_LOCUS8157 transcript:rna-LATHSAT_LOCUS8157 gene_biotype:protein_coding transcript_biotype:protein_coding MDREHVIEDYYMTDELDNGTDENSCNGRPIVIRFNGEETLRRGFTFKVGMEFSSLKQFKKSILEHDVLNGREVKFVKNDRNMYSFFCKDKKHCNYTVLCGIVLRTTTFKIKTLFQKHKYERNFFNKNANADWITRMIEEKLKNNTNS >CAK8544187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671192749:671194617:-1 gene:gene-LATHSAT_LOCUS12888 transcript:rna-LATHSAT_LOCUS12888 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGVTNNSILQKLKLKNPKLVLLSQCSNIHDLKIIHAHMLRTHLFFDVFASSRIIAFCIDHTAKFLTYAIKVFSQIHNPNLFIFNALIRGCSISQNPENSFHYYIQSQRIGLLPDNITHPFLAKACAQLESLTMGTQVHGQIIKHGFQCDFYVQHSLVYMYASLCDLKSARCVFKRMGRFDVASWTCMIKGYSKCGDVESARELFDRMPEKNLVTWSTIISGYSRNGRFDRAVEMFEILQAEGVVANEVVMVGVISACAHLGALAIGEKAHEYVMRNGLDLNVILGTAVVDMYARCGNVEKAIWVFEEMEEKDVLCWTGLISGLAMHGYAEKALKYFYVMVKTGIVPRDITFTAVLKACSHGGLVEKGLEVFESMKRDHGVEPRLEHYGCMVDLLGRAGKLEEAENFIHEMPVKPNAPIWGALLGACRIHRNVEVGERVGKILIEMKPEHSGYYVLLSNIYARTNKWKDVTVMRRLMKEKGVRKPPGYSLIEIDGKIHEFTIGDKSHPDIEKIERMWEDILQKIKLAGYIGNTGEALFDIDEEEKEDSLHRHSEKLAIAYGIMKIRAPTPIRIVKNLRVCEDCHTATKLISKVFNVELIVRDRNRFHHFTKGVCSCMDYW >CAK8536334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931982995:931983405:1 gene:gene-LATHSAT_LOCUS5711 transcript:rna-LATHSAT_LOCUS5711 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAIVKKGHEESLKAALSLLQEFELPEGLLPLADIIEIGYVKPTGYIWILQKKKVEHKFNLISKLVSYDTEITGYISKKKIKKLKGVKAKELMLWPPVNEITADEQPTGKIHFKSLAGITKTFPVEAFAAGQ >CAK8541568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:253801641:253804046:1 gene:gene-LATHSAT_LOCUS10481 transcript:rna-LATHSAT_LOCUS10481 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVAWILREVAAPLMESIPWINHFKQTPSREWFETDAVLRVSFGNFLFFTILATMMVGVKTQKDPRDGLHHGGWMMKIICWCLMVILTFFLPNEFISFYETISKFGSGLFLLVQVVLLLDFVHGWNDKWVGFDEQFWYVALFVVSLVCYVATLVFSGVLFHFFTPSGQDCGINVFFITMTLMLAFVFAIVALHPAVNGSILPASVISLYCTYLCYSALASEPRDYACNGLHKHSKAVSTGSLTLGLVTTVLSVVYSAVRAGSSATVLSPPSSPRAGKPLLPLDVKEEESNEKAKPVSYSYAFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIVTCWATALLYLWSLVAPIMFPEREF >CAK8571411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:402891799:402892728:-1 gene:gene-LATHSAT_LOCUS24078 transcript:rna-LATHSAT_LOCUS24078 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVNGLAVVGDTNVKYELVEELLGVPLERSDRKGQSLRTIWLKRIHGALNLTDESPEELKIYKTRIYILLLFADFLFSDTNGNTIHLQFLPLLEDLIQISRYSWGVATLAHLYKNLCRCARKNVHNFAGCGVSIQAWGWSIMPILAPINPNPYHFPYATKWSAYGMNYEKTPHHCVPGYQTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIFCFTYVEMHHSDRVKLQFRIQQDIPGPPTCIERYHKSTTNDQ >CAK8536412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939363654:939364477:1 gene:gene-LATHSAT_LOCUS5783 transcript:rna-LATHSAT_LOCUS5783 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQQIGQPCSLPKVERKVVEKNRRNKMKSLFSSLNSLCPNYNPKETLPLPDQVDKAINYIKSLETNLKSAKEKKESLMRNKRSRSGCLNSSDAKIEIHENGSSLQVIVTCGVDEQFIFCEIMRILHEDHVEVISANSSLAGDSVIHVVHAENPQSLFQFGATKVGERLKRFVNGSEGEVQIEPQLWDFEVGTETWDLNSIVNKFLPKS >CAK8535915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891257092:891258190:-1 gene:gene-LATHSAT_LOCUS5333 transcript:rna-LATHSAT_LOCUS5333 gene_biotype:protein_coding transcript_biotype:protein_coding MNINITRNGNGGIPQACSACKYQRRKCGPSCILAPYFPHERQKQFLNAHKLFGVGKITNLLKTVPPEARDLTMSTIIYQSDMRALDPVGGCYRHIQNLQSQIDFYSAQLHFALQQIAICRAAAASSSSNHHHHYNDIVVPDDNNNNNHDNHDNEAIIIPPNYLHQQQLPPPPPQHFVEEQLDGIGMFQPQPQMQPQYVVDDVVGVNPNYVPLQDQDLDTWVNSIPLSLLSLQDNKKEDDEVDQERVGDDRLNDDQKPSFDLINEMNSSDIVRSTNDPRHQL >CAK8535916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891257318:891258190:-1 gene:gene-LATHSAT_LOCUS5333 transcript:rna-LATHSAT_LOCUS5333-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINITRNGNGGIPQACSACKYQRRKCGPSCILAPYFPHERQKQFLNAHKLFGVGKITNLLKTVPPEARDLTMSTIIYQSDMRALDPVGGCYRHIQNLQSQIDFYSAQLHFALQQIAICRAAAASSSSNHHHHYNDIVVPDDNNNNNHDNHDNEAIIIPPNYLHQQQLPPPPPQHFVEEQLDGIGMFQPQPQMQPQYVVDDVVGVNPNYVPLQDQDLDTWVNSIPLSLLSLQDNKKEDDEVDQERVGDDRLNDDQKPSFDLINEMNSSDIVRSTNDPRHQVDNYLYIIGS >CAK8530858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56567817:56572690:1 gene:gene-LATHSAT_LOCUS693 transcript:rna-LATHSAT_LOCUS693 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKNESPNSRKFRLSHFLFGVGVLYLIFLSCNFTKFLKIVSTLSGDDESDIRLDGVVIGGSEDADLTKPFVSAVYKDAFHRKLEDNRDLDAPLRPKEEPKKEDRDRESVKDIPHGYGRITGETMLKMNRTSELSVLGKMADEAWTLGLKAWEEIEIVDDKEFGESSIVEGGKAESCPSWISMSGEELLKGDGLMFLPCGLAAGSSITVVGTPHYAHKEYSAQLAKLRQGDGLVPVSQFMFELQGLKSVEGEDPPKILHLNPRLKGDWSKRPVIEHNTCYRMHWGTAQRCDGRPSEDDDGMLVDGFRRCEKWMRSDIADSKESKTTSWFKRFIGREQKPEVTWPFPFAEGRMFVLTLRAGVDGYHINVGGRHMTSFPYRTGFTLEDATGLAVKGDLDVHSVFATSLPTSHPSFSPQRVLEMSETWKASALPKHTIRLFIGVLSASNHFAERMAVRKTWMQAAEIKSSDVVVRFFVALNPRKEVNAVLRKEAAYFGDIVILPFMDRYELVVLKTIAICEFGTKNVTAAYIMKCDDDTFIRVDTVLKEIEAVPPEKSLYMGNLNLLHRPLRNGKWAVTYEEWPESVYPPYANGPAYVISKDIVDFILSQQKDRKLRLFKMEDVSMGMWVERFNHTMTTVQYSHNWKFCQYGCMEGYFTAHYQSPRQMVCLWDKLSRGRARCCNFR >CAK8579444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699508200:699509721:-1 gene:gene-LATHSAT_LOCUS31391 transcript:rna-LATHSAT_LOCUS31391 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTVVLFDFDRTIIDDDSDRWVIDQMDLTDLFNQLRTTLPWTSLMDTIMQHLHSKGITIDNIAHSLQTAFLHPNIVSAIKSAHSLGCDLRIISDANLFYIQTILQHHDILGCFSQINTNPTFVDEKGCLHVTPFHDSVSLPPHDCHLCPPNMCKGLVIDKIRGSLAESETRFIYVGDGTGDYCPTLKLGEGDFVMPRKNYPLWNRICSDPKLVHAKVHDWSNGEELENILLNLVKKVSHIA >CAK8560087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7110140:7110868:1 gene:gene-LATHSAT_LOCUS13800 transcript:rna-LATHSAT_LOCUS13800 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFSPFVLALTVSIFVSLVFPTSALTCATQKLSPNHTFANCTDLAALGATLHHTYNETTRAFSVAYSATPPANGWVSWGINPTGGRMVGTQALIAYTNQGKVEVQLFNLTSYGSITPVKNLSVETSAISGEEANGVITIFATVILPEKTDSNITQVWQVGPVVNGRPMKHGSSPDNLNAFASLSVGTTAIGGANSTTGGGNSTAPAPSAAGGATSLAVPGLGYCFGFVMILLSLITM >CAK8564051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647886592:647887490:1 gene:gene-LATHSAT_LOCUS17394 transcript:rna-LATHSAT_LOCUS17394 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNNSSAAGISEIEEEEDAINHHRRHLDTTDTEIEEQEQDSIYVAVGKSDTSMEALSWTLNNLTTHSTMLYLIHVFPEIKHIPHPLGVGMIARNQVSAEQVEVYMEQERNKRRQLLHKFIQSCSLSKVKVDTILIESDLVAKAILDLIPILQISNLVIGANKFLLRKSRSRKGNGVANEVVQNAPESCKVRIICEGKEVNEQMMMMKTPSPKIIATTNTKQNDSVLCVCFKPKFK >CAK8533443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:618262118:618263941:1 gene:gene-LATHSAT_LOCUS3066 transcript:rna-LATHSAT_LOCUS3066 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRTSRFKDMNGEVVHNLFGISSFSEYTVVDVTHVVKIRHDFPLDKACLLSCGVSTGVGAVWKVTDVEKGSTVAVFGLGAVGLAADANHVIPQTNIDNLCAQGACSQPSQVMQHQAKEADIHALSELTRALDKKV >CAK8535219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826872979:826873263:-1 gene:gene-LATHSAT_LOCUS4692 transcript:rna-LATHSAT_LOCUS4692 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRVKQHLAGVVENVEICKSVPTKIRFQMNQYLN >CAK8533281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600254392:600259088:-1 gene:gene-LATHSAT_LOCUS2921 transcript:rna-LATHSAT_LOCUS2921 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEDPQKLKRLAADSYDYDNDSRWPDYWANVLIPPHMSSRSDVVSHFKRKFYQRYVDSDLVVEPMSFGSSSQPSRPTPTPSSSSAPPRSNDQARARSTGSTNRTSGTSATAGSNPPPLRWDRQTILFSVNAWVFVVAFLAAVPVIPKHLSHRAYRLSFMGTTCSSLYSLYSQYGKPRAWNMQALQVYFQSIIASKDFIYFVYCLTFVTSHLCLKFALIPILCWSFEHVAKFLRRNFSRSTLYRKYLEEPCVWVESNNTTLNILTSHAEIGLGFLLIISLFSWQRNIIQTFMYWQLLKLMYHVPVTAAYHQSVWAKIGRTINPLVDRHAPFLKTPLSAVQRWWLR >CAK8570620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75466471:75467712:1 gene:gene-LATHSAT_LOCUS23356 transcript:rna-LATHSAT_LOCUS23356 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKKGLKKGPWSLEEDEILTSYIQKHGHANWRALPKHAGLLRCGKSCRLRWINYLSPDIKRGNFTNEEEQIIIQMHQLLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLLKINNNQPNSSTKKRVSKSKVKTSDSNSNSNSSTLTQSYEPSNAPTSLPEMESTSLNDTFSSDFSFQGKNIDNSINCEDPEDSHVTMLEIDESFWSQTITDDEISSTMPTKSELPNQQHPFNYSVEIFQNSFEDDDGMDFWYDLFIRSGDSTELPEF >CAK8578055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604323788:604324562:1 gene:gene-LATHSAT_LOCUS30099 transcript:rna-LATHSAT_LOCUS30099 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTNNNNTNVNLPPGFRFYPTDEELVVHFLHRKASLLPCHPDVIPDLDLYPFDPWQLQGRALEEGNQWYYYSRRTQTRISNNGYWMPMGMDEQVVTSSSNKRVGMKKYYVFHIGQAPHGNKTNWIMQEYRLSDSSSSSSSRSSSKRKSHQKSEHSRWVICRVYERDEDDDEDGDGTELSCLDEVFLSLDDLDEVSLPN >CAK8532445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264256640:264257217:-1 gene:gene-LATHSAT_LOCUS2150 transcript:rna-LATHSAT_LOCUS2150 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSICHTFTVRIRTGNQNQMSFYPFVPHEISVLVVLILGHMCYLLIDVPPQPNSLPDNVFRPDRPTKKVGLESSSTGSSFPADSAKPVPLVVVSLDSRQGQWESR >CAK8575859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:340416514:340420750:1 gene:gene-LATHSAT_LOCUS28087 transcript:rna-LATHSAT_LOCUS28087 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMAVRWNWRSLSSLPLTHFTNKTLHTPNPNLRFTTSNLFSSASLQTSPPLNAELDPYLRCSLPQNPLRVAVLVSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWEDDLKYAKDVCNQVEVPLEVVHLTDEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFLDAIGGMGFDYVASGHYAKVVHSFVDKMDGPSILELSQDMVKDQTYFLSHLSQSQLKRLLFPLGCIPKDEVRRLATKFDLPNKDRKDSQGICFLGKIKFSEFVARHIGEKEGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSLDKRRRIFRVGSFKWLSGLPPKQTSQLRCKVRHGPGFYDCSLQMELEGDDVNDSAIVCISEDDQGLAAGQFTAFYEGRTCIGSGVILESGDDQSYPVCTKALEIARMEDKSKIGNPVKIKVKPDNPQEVCDSTELASKAS >CAK8569463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1955625:1957320:1 gene:gene-LATHSAT_LOCUS22312 transcript:rna-LATHSAT_LOCUS22312 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFDRWEKDPFFHAAEEVQESADRMESTYRTWIHATKDTSSMWNPNELRRDLLTTLGTTKWQLEEFQREIKSSYSRNSGNDARTRHRDFITAMEDKIKKVELSLNEAFPLGGKASRPWVCLDEGEKDELAMFLSGMPAAETNNQRLCDKDSDVNLSKNFHISSGRGETKEDASHGFRRVASASADIGYWKISVNDDSQQLSSSSGSSGGPMHKVPSLSGFFTSVESISKLKWPRNGYRKLKTVDHHKETDSALIPMNELNRGSNTCLEKTKSGLDSSDECYDKQLYGWCGAIQRQLQRSQYQMQYSRLAQITVSIVFLLCLIVLIAFHKM >CAK8531235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91880162:91884729:-1 gene:gene-LATHSAT_LOCUS1041 transcript:rna-LATHSAT_LOCUS1041 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGPSAAGGSCCS >CAK8575281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:70351901:70352214:-1 gene:gene-LATHSAT_LOCUS27555 transcript:rna-LATHSAT_LOCUS27555 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIGTILLFFLCGAILLGDNLKTVDAKICPQFCYVDRSYMTCPSSGNQHLTPPCNCCLASTGCTIYKKDGTSLCTAS >CAK8567271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499482203:499482754:1 gene:gene-LATHSAT_LOCUS20337 transcript:rna-LATHSAT_LOCUS20337 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKINGYPQEQYYGDDSSWMETKAQQLQSQGYPQTQQYPGMKPGYGKDSDYCMPNHHGYDSNNNMYQQDSKPHSHGYDNNYGRGNGQILPFGATTNHSPHHGKPFKHGGGGHNDYVSEHEYEVYKEERIGSGATKRDEVRYERRGTYGGDVYQANPYGYNNNMNNPNGHGTKKGNWTLKGV >CAK8570107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23913340:23918601:-1 gene:gene-LATHSAT_LOCUS22889 transcript:rna-LATHSAT_LOCUS22889 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTHAFSSFHIKPLHSSYIPISSSSSSPPIRRRLVTHKSKLKILTSRIVELTRRKQLRQILNEIEIAKKRFGKLNTIVMNAVMDACVRCGDIDLAVRIFEEMKCPEACGVDTVTFTTLLKGLGKARRFDEAFRLLESIENGTAVGSPKLSAPLVFGLLNALTEAGDLRRANGLLACYGFVLRDGKHFSISVYNLLMKGHINSGCPNAAISMLNEILHQGIMPDRITYNTLIKAYVQTEKLDFAMQLFAEMKEKAQKFFRDDLFPDIVTYTTLLKGCGQANDLISVRQIVLEMKSRSELYIDRTAYTATVDTLLKCGSYKGALCIFGEIQKQVGLNPELRPKPHLYLSIMRAFAFQGDYHLVKNLHKRILPDTAGTILLVAQEEADHLLMEAALNAGQVDVAIKTLTYIVSRWKGISWTSHGGMVASRIEALLGFSTSSLFNPFLLPQVSPSEPVEGFMIPFEAARPLQGTIKLKNVVTRFFNDAVVPIVDEWGSCTGLLHRKDCNQLDASLSTMMRSPPPSVTASTSVGHVIDLMLEKGYPMVIIVNCTDAYATSYGCRAVGVFTLEQLNRLVKPVSEGKRTDLSLCRR >CAK8569252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687797765:687798622:1 gene:gene-LATHSAT_LOCUS22129 transcript:rna-LATHSAT_LOCUS22129-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRCFVGGLAWATDTDALEKAFSTFGEIVESKVILDRETGRSRGFGFVTFASEQSMKDAIEGMNGQDLDGRNITVNEAQSRGSGGGGGGGYRGGGGGGGYGGGGGGYGGGGGGYSRGGGGGGYGDGGSRYSRGGGGGGSEGDWRS >CAK8569253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687797765:687798622:1 gene:gene-LATHSAT_LOCUS22129 transcript:rna-LATHSAT_LOCUS22129-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRCFVGGLAWATDTDALEKAFSTFGEIVESKVILDRETGRSRGFGFVTFASEQSMKDAIEGMNGQDLDGRNITVNEAQSRGGYGGGGGGYGGGGGRDRGYGDGGSRYSRGGGGGGSEGDWRS >CAK8569251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687797765:687798622:1 gene:gene-LATHSAT_LOCUS22129 transcript:rna-LATHSAT_LOCUS22129 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRCFVGGLAWATDTDALEKAFSTFGEIVESKVILDRETGRSRGFGFVTFASEQSMKDAIEGMNGQDLDGRNITVNEAQSRGSGGGGGGGYRGGGGGGGYGGGGGGYGGGGGGYSRGGGGGGYGGGGRRDGGGGGYGGGGGGYGGGGGGYGGGGGRDRGYGDGGSRYSRGGGGGGSEGDWRS >CAK8569254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687797765:687798622:1 gene:gene-LATHSAT_LOCUS22129 transcript:rna-LATHSAT_LOCUS22129-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRCFVGGLAWATDTDALEKAFSTFGEIVESKVILDRETGRSRGFGFVTFASEQSMKDAIEGMNGQDLDGRNITVNEAQSRGSGGGGGGGYRGGGGGGGYGGGGGGYGGGGGGYSRGGGGGGYGGGGRRDGGGGGYGGGGGRDRGYGDGGSRYSRGGGGGGSEGDWRS >CAK8571457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422552012:422557293:-1 gene:gene-LATHSAT_LOCUS24122 transcript:rna-LATHSAT_LOCUS24122 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVATTDSLDRTHSLDIFSNIDHISILTNREAVQSTEIAGFRVGEISLLNGESYSGSLLGNVPEGQGKYVWSDGCAYDGEWRLGMRNGFGKIQWPSGVVYEGEFSGGYIHGTGTYIGPENLTYKGRWRFNLKHGLGYQVYPNGDIFEGSWIQGTPEGPGKYIWANGNVYLGNMKGGTMSGKGTLTWVSGDSFEGSWLNGMMHGLGAYTWSDGGCYVGTWTRGLKDGKGTFYPKGSCLPSAQEVYLNALRKRGLLPDLRKQNQVHIHHTASVNMGDSKAGESQRSSGVSSDKLATRNLLNLDQSRSKNVSLERRWSLEVAIEKVIGHDSQLASTDSVFQNGNKEVNEKIPILEREYMQGVLISEVVLNNSFSSLTRRAKQLKKKIAKEIKRPGEQIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGAKASFWMNFPKEGSQLTPPHQSDDFKWKDYCPMVFRNLRELFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKSYENTLITKFFGLHRIIPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSSDKIEIDENTTLKDLDLNYCFYLEPSWRESLLKQIETDSMFLEEQHIMDYSLLLGVHYRAPQQLRPPASYNHSTSLEGLARLAEEDPLEDEVYNYPQGLVLVPRGADDGSVVVGSHIRGSRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEDSQMFHEAYDVVLYLGIIDILQDYNMTKKIEHAYKSIQFDSLSISAVDPTFYSRRFLDFIQKVFPSNVAG >CAK8532619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332088335:332091151:-1 gene:gene-LATHSAT_LOCUS2306 transcript:rna-LATHSAT_LOCUS2306 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHTPFITPRGSVSSSIGNSAELDANLTLSEKLKVFKSSTFDPNAYVASKSRSMNEKEIRHLCAYLVDLKKASAEEMRKSVLANYSAFIRTSKEISDLEGELLSMRNLLSNQAALVHGLAEGCQLGSLVTGNEDSDMDDIINEKTDISKTEKWLIGYLETLDVLLAEKRVDESMAALEEGEKMTREIIQGKTLSPSLFHALQNAITEHRQKLADQLAETICQPSTRGAEIRSTALALKILGDGPRAHTLLLKSHKEKLSRNMQSLESTTYGGVSAYTASISHLVFSTISQAASDSLTVFAGEEPAYTSELVTWAVRQAEHFSLLLKKRILVSIAASGGLRIASECVHVCLSHCHLLEASGMALSPVLIKHFRPFVEQALHTNLKRIEQSSAALAASEDWLLAYAPTSRSNNTGLHPVSSYSSLNSYQPKLSISAHKFNSMVQEIFEDVGPLEILQLDGLALEGLHQVFSFYVNLLINAMPGSAVIENLEGTGPKIVKIAETETQQIALLANAILLADELLPRAVIKLSVITKGDDDSQRRASDKQRLPEQRELKKRFQREVDRLRDSFCRQHALELIFTEDGEPLLNAQMYLSMEEKGEIPEWFPSPIFQELFVKLTGVAGIVSDVFVGRERFATILLMRLAETVILWLSDDQAFWEEIETGPTPLGPIGLQQLYLDMQFVMIFSSQGRYLSRHLHQAIKNIIGRAIDAVAATGLDPMSVLPEDEWFVEVSEIAIKMITGKAAFDNVEEDAYSPTGFAQA >CAK8562973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562957628:562959534:-1 gene:gene-LATHSAT_LOCUS16430 transcript:rna-LATHSAT_LOCUS16430 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPGTFPILSYVMSRLQSFNVRSPTHGSKSEFNPEQPHPSDHSSSSSSIVGQMPNLADPKLLASMTQAISDVSQARSVLKHMGDRPTHEEVDTAKAKLIDLEAHLSRQLEEIVSLPRPPEIDEQQWRAHVTERETKCRESAEKEKRLYKSLLQRDEMHDAYEKLLKDAETRLVKIYEEDDGGNGNDGDVGEDGEGGEHVKRMLQEAREQNVEQVDLKGQHLKTLPEGFCQISSLVVLNLSTNQLVVIPDTISGLQNLEELNVSTNVLNSLPDSIGFLHKLKILNVSGNKLTFLPDSISNCRSLVELDASFNSLSYLPTNIGFELQNLQKLLIGLNKIRSLPSSVCEMKSLRYLDAHFNELHGLPNAIGKLTSLEVLNLSSNFSDLQEIPETFGDLIGLKELDLSNNQIHALPDTFGQLDSLVKLNLEQNPIELPPMEIVNEGVQAIKSYMAKRWIELLAEEERKKTNELQEQGQGAWLTRSASWLKNVSGNVTEYIGSISPRSPKSPKDMFLNQQL >CAK8565024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:27702799:27704500:1 gene:gene-LATHSAT_LOCUS18265 transcript:rna-LATHSAT_LOCUS18265 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVNPPVHKTSKLANKTLVFDFEGTLLRSTSLFPYFMLVSFEAGGIVRSLILFLSYPLVWLVGEYQLGLKIMVFLTFFGIRKDSFRIGTSVLPKFFLEDVGLEGFEAVMCCERKVASSKLPRIMVQGLLKDYLGVEAVVAREIKSCNGYFLGVFEKDKKTISYDVKATCIDNSIGIIGSHVEYIDQKLFPHYKKVCFKLSSDERRNWRELPRKLYPKPLIFHDGRLALKPTLTSSFIMFMWLPLGILLSIFRVTFGVSLPFNASAPILAFSGTRTTVSRPQTSTETEKNRKNMLYVCNHRTLLDPLYISHTINKRLSAVTYSMSRFNEVISPIKTTRLTRDRDRDRKSMEKMLNEGNLVVCPEGTTCREPYLLRFSPLFAELTDDIVPVAVDVKGSMFYGTTASGHKCLDPFFHYLNPNPVYFVNILERIPSSQTCQEGGKSCVEVANFVQNEIGKRLGFCCTDLTRKDKYMTLAGNEGV >CAK8564444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674353287:674359538:-1 gene:gene-LATHSAT_LOCUS17749 transcript:rna-LATHSAT_LOCUS17749 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIETPIQQQQRLSSKRKLDDDDESVLDDDLVYVRMRKEETTETTVKPWSGGGCRGGGGGGDGLLKNRGPVCFFIRMVSEGYSVVMNAFPENTVQSIHERIYEMKRIPLFEQRLIFKGKQLQWEQTLAECGIQKDVILELVGRMRSTEHPQAWQVVNDMVTIAYDLCVGGNVQDPVKTVKNLLTTYVNLALAPKPKLDADSATKYFQIFTNCSAISILVTLYVSPFTGNKSCSDTCIRHFLNCCKTTLSETFHTQAARVALEFCKLLRRVGTHDPLYLYCRNCLGFFLEDADITLASSEDQNDKGSVLVQDLFPFVRELADSLLMDLDMSIDTPSFACPVTSNVGDFAAFLVPVRNGIKQQKSHRGFVPYHKVGRNSLVLKEIEYLFLLYDQLLCKIEICLQKMDKRFVNKEMSHEESYFHPACSLYLSVLKELHKISKLYDGASEKLQGVLTRQKTVLCQLLVKYAKRSDEHQWILEHKDVTNFEARRHLAMMMFPEVKEDYEELHEMLIDRSQLLTESFEYVARAEPESLRAGLFMEFKNEEATGPGVLREWFLLVCQAIFNQENALFVSCPNDQTRFLPNSASKVHPLHLEYFSFCGRVIALALMHGVQVGIVFDRVFFLQLAGKSISVEDIRDADPELYRSCKQILDMDSDLVDSDALGLTFVREVDELGHRKAIELCPGGKDVVVTSKNRARYIYLLIQNRFVTSISQQVSHFAKGFADILSSTDLQTSFFQGLDPEDLDWMLRGCKDAISVEDWKKHTEYNGYKETDIQISWFWEIVGSMTAEEKKILLFFWTSVKYLPVEGFCGLGTHLYIYKSHEPGDYLPSSHTCFYRLCFPAYSSMPAMQARLKVITQEHIGSSFGTW >CAK8572345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531504737:531505291:1 gene:gene-LATHSAT_LOCUS24921 transcript:rna-LATHSAT_LOCUS24921 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSTNPKDHQNSRLYHPYQHLNIPVDKLYNLPTSPEHLFPEEASRKHRSWGDNLQYYTGTGYLSGSIIGGTRGSIEGLKAAESGESFKIRVNRVLNSGGQGGRRLGNSLGVLGLIFAGLESAMIHVRETDDLVNSAVAGLGTGALYKAAAGPRSAAIAGALGGIAAAVAVAGKQALKRHIPI >CAK8577196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544290642:544290839:-1 gene:gene-LATHSAT_LOCUS29325 transcript:rna-LATHSAT_LOCUS29325 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLKHVTVEKIVGRTGSRGQVTQVRVSFVDDPTRRIMRNVKGPVRVGDVITLLESEREARRLR >CAK8538548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486974166:486975869:1 gene:gene-LATHSAT_LOCUS7734 transcript:rna-LATHSAT_LOCUS7734 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDCLQNTDVSQKLIKSSAIDINIVDPNPKSNSKEISNPDFVRNTKSESGKGENFKGKLKLKLNKGIKASATSLDQSPGGSRDVVDAVCRSITDLPPALISEILNCLDPKDLGIVSCVSTILHSLASEHHAWKGFYYERWGLPEAHVAASESGVGDSDTDEKSWKEIFVEREFRSKTFMGRYSMDVLYGHTEAVRTVFLLASAKLIFTSGYDTVVRMWNMEDGLSIASCRPLGCTIRAVAADTRLLVAGGTGGFIHCWRAVEELPHLFDLKGSRNKNTEVRLWGHDGPVTSLALDLTRIYSGSWDTTVRVWDRLSMKCIVVLRHSDWVWGLVPHDTTVVTTSGSDLYVWDTNSGTLVSIVPNAHVGNTYALARSHSGDFVFTGGEDGSIHMYEIVRDSFMTEALHVATWDPHSGPVHSLAFEFPWLVSASSDGKLALIDVRKLLRTSKRVLRKRASKANNSGKVNVEPPQRMLHGYKNNLYSVDIGADRIVCGGEEGVVRVWNFTEALEIERRVRASRGVRLENRMRRRKLQTELSSKGGRSDQCSVAAKKNSVPCIWPRGGKTKA >CAK8569813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12539927:12543177:1 gene:gene-LATHSAT_LOCUS22622 transcript:rna-LATHSAT_LOCUS22622 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQLSTLLFLAVVVSFLAVVSAGRDLPGDYIRLPSETSRFFREPENDDNEQGTRWAILLAGSNGYWNYRHQADVCHAYQLLRKGGLKEENIIVFMYDDIASNEENPRPGVIINKPDGDDVYAGVPKDYTGADVHADNFYAALLGNKSALTGGSGKVVDSGPNDHIFVYYTDHGGPGVLGMPVGPYLYASDLNEVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEDLNIYATTASNAEESSWGTYCPGDYPPPPPEYSTCLGDLYSVAWMEDSDVHNLRTESLQQQYKLVKDRTINGAYYGSHVMEYGDVGLSNNHLYLYLGTNPANDNSSFVGETENSLKLRTPSTAVNQRDADLIHFWEKFRKAPEGSPRKNEAEKQVLEAMSHRKHIDNSVKLIGKLLFGIEKGTELLNTVRPAGSPLVDNWDCLKTMVKTFETHCGSLSQYGMKHMRSFANICNAGIPNEQMAEASAQACASIPANPWSSLKGGFSA >CAK8564587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1524454:1524687:1 gene:gene-LATHSAT_LOCUS17879 transcript:rna-LATHSAT_LOCUS17879 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYGLTSEFLYTTMMNQHRESMNMHRETSRAIASLRNLIFNPPHSNTRVVDEEMEEEDDSEEEEDDDVDMPDEDL >CAK8572593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550276659:550279294:-1 gene:gene-LATHSAT_LOCUS25146 transcript:rna-LATHSAT_LOCUS25146 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFWVTIVIGLVFIKWVDAYHINETELSILEAHEGYSFSNFVNQPRMVGITFIQSAAAKGAVCLDGTLPAYHFDRGYGSGANSWLVNLEGGGWCNNVRSCVYRKTTRRGSSNFMEKAIPFTGIMSNNAQENPDFFNWNRVKIRYCDGASFTGDSEDRAARLQFRGQRIWLAAIEELMSKGMRYAKQALLSGCSAGGLATILHCDEFRGLLPRTTKVKCLSDAGLFLNVVDVAGGRTLQNFFNGVVTLQGAQKNLPRACANHLDPASCFFPENVISGIRTPLFILNTAYDSWQIQSSLAPPVDDPHGYWHDCRLNHAKCTGSQMQFLQGFRNHMLNVVRGFSRSNQNGLFINSCFAHCQSERPDTWFADNSPVIGNKAIALAVGDWYFNRAGVKDIDCPYPCDNTCHHLVFR >CAK8579428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:698217675:698218148:-1 gene:gene-LATHSAT_LOCUS31376 transcript:rna-LATHSAT_LOCUS31376 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMKKVDKIRQIVRLKQLMTRWKQLSLRRHSLRPEPEEPCVTPRRQPPSGFLFVYVGSERRRFAIPARFLNFPVFAGLLDATEEEFGLRGNGGLVLPCQVEFFTEIVKRLHRNEQKYGKLTLEEFVTMFADVAVEGCKEMENVVVLSPLLQNALV >CAK8574265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672841916:672842759:1 gene:gene-LATHSAT_LOCUS26625 transcript:rna-LATHSAT_LOCUS26625 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHELVVGTPIIYDQFQKPDNRYLLELASQKCQYPSNHQSKSRVSSNQKKRSRKADSNSEISARLGTSISETIKRNLSLGARILQVGGIEKVFMKYFSVMEGERLLKVCHCYLSTTSGPLAGLLFISTEKVAFCSDRSIKVFNKKGQMCRIRYKVSIPVKKIKSVRQSENVEKPRQKYINIVTVDNFDFWLMGVSKYRKTYKYLEQATSQT >CAK8537654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:416068342:416083192:-1 gene:gene-LATHSAT_LOCUS6920 transcript:rna-LATHSAT_LOCUS6920 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRSNWPSRLRQLLSSEGATGPSIKLDSEPPPKVKAFIEKVIQCPLQDIAIPLSGFRWEYDKGNFHHWRPLLLHFNTYFKTYLSCRNDLTLLDNLEDDSPLPKHAVLQILRVMQIILENCPNKSTFDGIEHFKLLLASTDPEILISALETLSALVKINPSKLHGNVKMVGCGSVNSSLLSLAQGWGSKEEGLGLYSCVMANEKAQNDALSLFPSDVEIGCDQSNYRIGTTLYFELHGPSAQSEELSADQSSRGLRVIHMPDLHLCKEDDLSLLKQCIEQYNIPSELRFSLLSRIRYAHAFRSPRICRLYSRICLLSFIVLVQSGDAHDELVSFFANEPEYTNELIRVVRSEETISGSIRTLAMLALGAQLAAYTSSHERARILSGSSSCFAGGNRMILLNVLQRAILSLKNSSDPSTLAFVEALLQFYLHHVVSTSTSGMVPTFLPLLEDSDPAHVHLVCFAVKALQKLMDYSSSAVSLFKELGGIELLAQRLWKEVQRVIELVGENDNMFLIGESSRHSTDQLYSQKRLIKVSLKALGSATYAPANTARSQYSNDNSLPATLSLIFQNVDKFGGDVYYSAVTVMSEIIHKDPTCFSILHDMGLPDAFLLSVGSELLPSSKALTCIPNGLGAICLNAKGLEAVRESSSLLFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSTGVDIIIEIIHKIASFGDENGTGISGKANEGTAMETDSEVKENEGHGCLVGTSCSAAEGISDEQFIQLCVFHLMVLIHRTMENSETCRLFVEKSGIEALLKLLLRPTIAQSSDGMSIALHSTMVFKGFAQHHSTPLAHAFCSSLREHLKKTLTGFSEASEPLLLDPRMTNDGGIFSSLFLVEFLLFLAAAKDNRWVSALLTEFGNGSKDVLEDIGRVHREVLWQIALLENKKQGSEEDGSSSSDSQQAERDAGESEEQRINSFRQLLDPLLRRRTSGWSIESQFFDLINLYRDLGRSTGSQHRSISTGPNMRSSSSNQLHHSGSDDNAEIVNKKESDKHRSYYASCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDIVNVSPASKSVASTLASIALDHMNYGGHVNLSGTEESISTKCRYYGKVIDFTDSMLMERPDSCNPVLLNCLYGRGVIQSVLTTFEATSQLLFAVNRVPASPMDTDDANAKQEDKEDTNNSWIYGSLASYGKLMDHLVTSSFILSSFTKHLLAQPLTNGDTPFPRDPETFMKVLQSIVLKTVLPVWTHPQFGDCSYEFISAVISIIRHVYSGVEVKNVSGNGGSRITGPPPNETTISTIVEMGFSRSRAEEALRHVGSNSVELAMEWLFSHPEEVPEDDELARALAMSLGSSESDTKDAVPNSNANTTAQQLEEEIVQFPSVDELLSTCTKLLMKEPLAFPVRDLLVMICSQDDGKHRSSVVTFIVDRIKECGIVSSNENYIMLATLFHVLALILNEDPVAREAASKSGFIRIASDLLNQWDSSLDCKEKQQVPKWVTAAFLALDRLLQVDQKLNSEITEQLKKEVVNSQKASITIDEDRQSKLQSALGLSMKYADIHEQKRLVEIACSCMKNQLPSDTMHAVLLLCSNLTRNHSVALAFLDAGGLSLLLSLPTSSLFSGFDNVAASIVRHILEDPLTLRQAMESEIKQNLLAVPNRHPNGRVNPRNFLLNLASVISRDPAVFMQAAQSVCQVEMVGERPYIVLLKDKDKVKEKEKEKCKSLEKEKVQNSDGKVGVGPTNAEAPGTGNGHGKTQDSNAKSIKGHRKPSQSFIDVIELLLESICTFIPPLKDDNASNVLPGTTTSSDMDIDVSMNKGKGKAVATTPDSNKTNSQEASASLAKTVFILKLLTEILLMYSSSVHVLLRRDAELSSSRVTYQKSPVGLSMGGIFYHILHNFLPHSRNNKKDKKVDGDWRQKLATRANQFMVAACVRSTEARKRIFSEISSIINEYVDSSHGVKPPGNEILVFVDLINDVLAARTPAGACISAEASATFIDAGLVKSFTRTLQILDLDHTDSSKVATGIIKALELVSKEHVHSADSNGGKAKPSDLQQPGRIDNIGDMSQSMDMSSQANHGSRQADQVGPYTGQTYGGSEAVTDDMEHDQDLNGNFPPANEDDYMHENSEDARDVENGMESVGLQLEIQPQENLDEDEDEDDDMSGDEGEDVDEDEEDDEEHNDLEHEVHHLPHPDTDQDDHEIDDDDFDDEVMEEDDEEDEEDEDGVILRLEEGINGINVLDHIEVLGRDNTFPNEAFHVMPVEVFGSRRPGRTTSIYSLLGRTGDTAIPSRHPLLVDPSSSFPPSMGQSDSFLENNPSGLDNIFRSLRSGRHGNRLNLWTDNNQQSGGSNTSVVPQGLEELLVSQLRQQTLENSPNQDVAEAGSHGNVETSQAQDSGGARPDIPVESNAIQGVSTTTPLIIDNSNEADIRPAGTREQTNVSNTHSLAVEIQFEHNDGAGRDVEAVSQESSGSGATFGESLRSLDVEIGSADGHDDGGERPVSAAGDSQAARTRRANMPPGHFPPVGRDTPLHSVAEVSENSSRDADQASPAAEQQANSDAGSGAIDPAFLDALPEELRAEVLSAQQGQVAQPPNVESQNSGDIDPEFLAALPADIRAEVLAQQQAQRSNQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDNILANLTPALVAEANMLRERYAHRYSRTLFGMYPRSRRGETSRRGDGTGSDLDAVGGPNSSRRSSGAKVVEADGAPLVDTEALHAMVRLFRMVQPLYKGQLQRLLLNLCAHSETRVSLVKILMDLLMLDVRKPVSSFGTVEPLYRLYGCQSNVMYSRPQSFDGVPPLLSRRVLETLTYLARNHLYVASNLLQSRLPHPEIREQNNASDARGKAVMVVEDEVNIGESNKGYISIAVLLGLLNQPLYLRSIAHLEQLLNLLDVIIDSAGSKSTPSDKPLISTSQSPPGPQISAVEAETNTGSDILTSKADASTTVNDSSKPTPVDNNVESESQRVLSNLPQSELRLLCSLLAHEGLSDNAYTLVAEVVKKLVAIAPTHCQLFVTELAEAVKNLTSSAMAELHVFSEAMKALLSTTSTDGAAILRVLQALSSLVASLTEDHGDRVTPAVLSEVWQINSALEPLWHELSCCISKIESYSESTSELFTPSTSSASQPTGAMPPLPAGSQNILPYIESFFVVCEKLHPTQPGVSHDSSIPVISDVENASTSTSQQKVSGLGVKVDEKNMAFVRFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRPTQELKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDILDLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSAASPVIQWFWEVVQDLSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >CAK8567965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559570834:559575029:-1 gene:gene-LATHSAT_LOCUS20970 transcript:rna-LATHSAT_LOCUS20970 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQNDNKNLTMVEKEERFTEPAVPSHNDSKLYDDDDRLKRTGTVWTTSSHIITAVVGSGVLSLAWAIAQLGWVIGPSVMLFFSLITWYTSSLLAECYRIGDPHYGKRNYTFMEAVHTILGGFNDTLCGIVQYSNLYGTAIGYTIAAAISMMAIKRSHCFHSSGGKDGCHVSSNPYMISFGVIQIFFSQIPDFHKMWWLSIVAAIMSFTYSLIGLGLAIAKVAENGSFKGSLTGVTVGTVTEAQKVWGVFQALGNIAFAYSYSQILIEIQDTIRNPPSEVKTMKQATKISIGVTTMFYMLCGGMGYAAFGDTSPGNLLTGFGFFNPYWLIDIANAAIVIHLVGAYQVYAQPLFAFFEKIMIKRWPKINKEYIVRIPGFHPYNLNLFRLIWRTIFVIITTFISMLIPFFNDVLGLIGAVGFWPLTVYFPVEMYIRQKKIPKWSYKWTCMQTLSVICFVVSVVAMVGSVASIVLDLKKYKPFTTDY >CAK8567966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559570834:559574869:-1 gene:gene-LATHSAT_LOCUS20970 transcript:rna-LATHSAT_LOCUS20970-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEERFTEPAVPSHNDSKLYDDDDRLKRTGTVWTTSSHIITAVVGSGVLSLAWAIAQLGWVIGPSVMLFFSLITWYTSSLLAECYRIGDPHYGKRNYTFMEAVHTILGGFNDTLCGIVQYSNLYGTAIGYTIAAAISMMAIKRSHCFHSSGGKDGCHVSSNPYMISFGVIQIFFSQIPDFHKMWWLSIVAAIMSFTYSLIGLGLAIAKVAENGSFKGSLTGVTVGTVTEAQKVWGVFQALGNIAFAYSYSQILIEIQDTIRNPPSEVKTMKQATKISIGVTTMFYMLCGGMGYAAFGDTSPGNLLTGFGFFNPYWLIDIANAAIVIHLVGAYQVYAQPLFAFFEKIMIKRWPKINKEYIVRIPGFHPYNLNLFRLIWRTIFVIITTFISMLIPFFNDVLGLIGAVGFWPLTVYFPVEMYIRQKKIPKWSYKWTCMQTLSVICFVVSVVAMVGSVASIVLDLKKYKPFTTDY >CAK8534913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789552585:789554046:-1 gene:gene-LATHSAT_LOCUS4413 transcript:rna-LATHSAT_LOCUS4413 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFASLAIYKVAKDAAGIAGNIFAFGLFVSPIPTFRRIIRNGSTEMFSGLPYIYSLMNCLICLWYGTPLISHDNILVTTVNSIGAVFQFVYIILFMMCAEKENKVKMLAWLMVVLGVFAIILIGSLRIDDIVMRRLFVGVLSCASLISMFASPLFIIKLVIQTKSVEFMPFHLSLCTFLMSTSFLVYGLLSDDIFIYVPNGIGTILGTIQLILYFHYEKKSRIDAEEPLIVSYA >CAK8571130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308647588:308655759:-1 gene:gene-LATHSAT_LOCUS23821 transcript:rna-LATHSAT_LOCUS23821 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQLEPWHKLDDKVVLVTGASSGLGCDFCLDLAKAGCRIVAAARRLDRLHSLCYQINNLYGNGTVRAVAVELDVSADSATIDRSIQKAWDAFGYIDALINNAGVRGSVKSPLNLSEEEWDHVLKTNLTGCWLVSKYVCKHMCDTQRKGSIINISSTSGLNRGQLSGAVAYASSKAGVNMLTKVMSLELGVHKIRVNSISPGIFKSEITEKLLEKAWLNNVITKINPLRSLVASDPALTSLARYLIHDSSEYVTGNNFIVDCGATLPGVPVYSSL >CAK8539625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518566905:518571286:1 gene:gene-LATHSAT_LOCUS8703 transcript:rna-LATHSAT_LOCUS8703-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSRLFTPLPSFSASSSSSSSSSSSTSHQYSHFHPQLKLQFKPISPKPSSFTPHFHTRNHQKLTQRNVFNEGLSGSFEEETFNSMEDKQFVRSFREAWPYLWVFRGSTFVIIISGEIVASPYFDPILKDIAFLHHLGIRFVLVPGTHVQIDKLLAERGSKPKYFGRYRITDDPSLEAAMEAAGGIRLMMEAKLSPGPSICNIRRHGDNSRWHDVGVSVASGNFLAAKRRGVVDGIDYGSTGEVKKVDAIRMRERLDGGCIVILTNLGYSSSGEVLNCNTYEVATACALAIGADKLICVIDGPILDENERLIRFLPLEEADMLIRKRAKQSETAATYVKTVDEEGFNHVEHNNFNGTVKSPPNGKHITEWRKASFHNGVGFENGDGLGNSEQGFAIGGQERLSRMNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQEKDLSGIKQIMQPLAASGILVKRTDEELLQALDNFVVVEREGQIIACGGLFPYFEEKCAEVAAIAVSPDCRGQGQGDKLLDYMEKKAAFLGLNKLFLLTTRTADWFVRRGFSECSIDCIPEKKKKTINLSRNSKYYMKELLPNKSGITIGGNKFASN >CAK8539624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518561984:518571286:1 gene:gene-LATHSAT_LOCUS8703 transcript:rna-LATHSAT_LOCUS8703 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTWKVPITLPNYYQRENQTLFSHDPIFCNFGSRVRSESLNNCCLVVRKTKFLQFSSSEDDYGETEEDEYFVKVLRESKPYLSVHRDRVFVVLISAQIVAEADYFNAILKDIAFLHHLGIRFVLVPGTHVQIDKLLAERGSKPKYFGRYRITDDPSLEAAMEAAGGIRLMMEAKLSPGPSICNIRRHGDNSRWHDVGVSVASGNFLAAKRRGVVDGIDYGSTGEVKKVDAIRMRERLDGGCIVILTNLGYSSSGEVLNCNTYEVATACALAIGADKLICVIDGPILDENERLIRFLPLEEADMLIRKRAKQSETAATYVKTVDEEGFNHVEHNNFNGTVKSPPNGKHITEWRKASFHNGVGFENGDGLGNSEQGFAIGGQERLSRMNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQEKDLSGIKQIMQPLAASGILVKRTDEELLQALDNFVVVEREGQIIACGGLFPYFEEKCAEVAAIAVSPDCRGQGQGDKLLDYMEKKAAFLGLNKLFLLTTRTADWFVRRGFSECSIDCIPEKKKKTINLSRNSKYYMKELLPNKSGITIGGNKFASN >CAK8572379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533410653:533413657:-1 gene:gene-LATHSAT_LOCUS24950 transcript:rna-LATHSAT_LOCUS24950 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNGENETQLSNSVTKVYTDVAVVPEQQNNEIQHLISNLQREVDELRVKQRVVDGKRRQALSKILDIKGSIRVFCRIRPSVSIEKRRNGEPVSAESERVRVKFGGTRKEFEFDKVFRQETIQENVFVEVEPILRSAMDGHNVCVFAYGQTGTGKTFTMDGTNEQPGIIPRAIEELFRQASMDASSSFTFSMSMLEVYMGHVRDLLTPRQACRTHEPLTKCNLNIQTDPKGLVEIEGLSEVQISDSAKARWWYNKGKRFRSTSWTNVNEASSRSHCLTRINIFRRGDSPEAKSETSKLWMVDLGGSERLLKTGARGLTLDEGRAINLSLSALADVLAALKRKRCHVPYRNSKLTQLLRDSLGDGSKVLMLVHISPSEEDVCETICSLNFAKRARAIESNKEVPMELKKQKEKKIMELEEDIMEAEKERQSLRDQIQKVELKLSENKKLFSTKCSLKESDDLETSTSLKDDFKEVTETPKASKKSIQRISNSVPRFMNSTVASRHRQIAAEQEIGSRTKSLRSIVTRSSIQFPCSQSLSHSDLRIKTILRSSTGKSRQTGTNNVPNTIIHSERPNCNELESKVISPQSTAVTSSDSNLRVTLCRHKRRMSSLI >CAK8568006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562392984:562394386:1 gene:gene-LATHSAT_LOCUS21008 transcript:rna-LATHSAT_LOCUS21008 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWMGDEHHGTQQNIQAKITSFSHISKINSLEIDSSLVVALLENWRPETHMFHFSTGECTITLEDVNMLLGLRVDEKAINGPTEVTNDVYMESLGVEPTEANKNRGSVRIMWLENLYEVLKNNSAPTEEHIVLQAKVYILLVIVTILFPDKSQNLLHSSWIPFIGDLEKCGTFSWGSACLTKLYREMCKATVKDVRSMSGCVLLLTSWAFTCIPLFAPVSTLQLSYPYAQRWAQRRMNYNANPRFDLQGYRNTLDHMQEKDFIWRPYIQYPVPNLRDNQIRSATTSLICFYIIEMYQTNRVKLQFGFEQQIPSSPRCLREHHAMSMRKAQKVH >CAK8567969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559699604:559699996:-1 gene:gene-LATHSAT_LOCUS20973 transcript:rna-LATHSAT_LOCUS20973 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVTNLGVIHGFKVNDNVSYNLLQFADDAILVCDESWSNLWAVKSILMGFEMVSGLKINTWESYLYGVGIEDHFLNDVAHFLACKKDSLPFKNLGLIVEATIEGCHFESWLSRVLRQDSHHGMVELCS >CAK8540618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12548315:12550876:1 gene:gene-LATHSAT_LOCUS9604 transcript:rna-LATHSAT_LOCUS9604 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERNLKDGKGGELCEVFEETNTNNMKSRKNRRHRQWMKMSPVQKLFLACQHVFANASPGIVPSSQHIELLSSILAGIKPEDLGLKPEMPYFTNNNAGTPKITYLHIFECEKFSMGIFCLPPSAVIPLHNHPEMTVFSKLLFGTMHIKSYDWAVNLHADVSQTETPEKRLAKVKVDADFTAPCNPSILYPNDGGNMHCFTAVTACAVLDVLGPPYSDPDGRHCSYYQSFPFSNFPVDGVSIAEEEKKEYEWLEEREKPESLQVIVKMYSNPKMVEN >CAK8534426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725459455:725471871:1 gene:gene-LATHSAT_LOCUS3973 transcript:rna-LATHSAT_LOCUS3973 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKAKLHKIKGEKKKKEEKVLPTVIEITVEIPDGSRVTLKGISTDRILDLRKLLAVHVETCHLTNFSLCHEVRGERLKDNVEIISLKPCHVLIVQEDYYTEESVVTHIRRLLDITACTSAFGKPIGKSNAAASVENSPKLKPKDQNLDLADGSMYPPPKLGQFYDFFSFSHLTPPFQYIRRSNRPFLEDKTEDDFFQIDVRICSGKPTTIVASRKGFYPAGKRLLMNHALVPLLQQISRVFDAAYGALMKAFTEHNKFGNLPYGFRANTWVVPPVVSDNPAVFPSLPMEDEAWGGNGGGQGRDCKHEKRQWARDFAILAAMPCQNAEERQIRDRKAFLLHSLFVDVSVFKAVSAINHFKDSKQSSFSNVPTSYEERTGDLTIEVTRDVSDASIKLDCKNDGTRVLGLSEEELAQRNLLKGITADESAAVHDTSTLGAVIIRHCGYTAVVKVSTETDWAGSPDDSLEIDIDDQPEGGANALNVNSLRMLLHKSSTPQSSNAAVQRVQSTDIDNSHSARSFVKKVLEESYLKLKEEDSIQRKSIRWELGACWVQHLQSQAPEKTEPKKAEEAKLEPSVKGLGKQGGLLKELKKKIDTRNSKVEQGNGIDTNKPDATQKEFERQCEEKETIWRKLLPDAAYSRLKESKTDFHLKSPDELMEMAHKYYEDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMSSLGRVVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDNDSEMASSIASCLNILLGTPSFETNDADITSCDELKWKWVEIFLLKRFGWKWNHESGKDLRKFAILRGLCHKVGLELVPRDYEMETASPFRKSDIVSMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDSVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPEADLKAREAQKKARAKLKEKPDQNGDIATDENHNKRDISPNDSIRQTSSDKENKSEAQFQELIKIDKVESTDIDQTTLNESNKLAQEDISDEGWQEAVPKGRSLIGRKSSSSRRPTLAKLNTNFMNNSQSSRYRGKPANISSPRPNLNETVAGPSLAVPKKFVKSPSFSPKPNGSNTPTAGVEKLADTKSAPVSPALSNQIAKPVSNSGGMSLQSTSKLFSYKEVALAPPGTIVKAVAEQPPTGNPTVEQNSEMSSKVIATKEIHSNVGTSDDINDNDQNSVAEKQQESLRVEEKEKKIDVVADDTETLKSNDVRKSKEGNSDGVLEKNVEVGNMTDMKVEKSNCLNNTTNATSKGSSEIETQATSLTISVESKIQVNGNDAPVSKGVITEGDEKELDFSQPTEEQKQEEIETGKEPTKKLSAAAPPFNPSTIPIFGSVPVPGFNDHVGILPPPVNIAPLLPRRSLHQSATTRVPYGPRISGGYNRHYGNRVPRGKIIFPSGEQSSDGNPNSPPTIMNPHAIEFVPGQTWVPPNGYIASPDGNPVSPNSFSPVSPNDGIPPESLNDTQVNQNESATSPTTSNDSVQVKNNLQIEKVFDEEVVESISCDEKHSEEQNPQQGPLVSNENCCPKLEENDIDNSHSIEDGATSKDKVDEVNPNKCWGDYSDNEVDTIEVIG >CAK8535514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856853094:856855881:1 gene:gene-LATHSAT_LOCUS4969 transcript:rna-LATHSAT_LOCUS4969 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMIWDNKFNDDENNINNEFDIESSKDDVDARTGMKKGPWTPQEDMILMEYVNKHGEGNWNSVQKNSGLLRCGKSCRLRWANHLRPNLKKGSFSEEEERIIIQLHAKLGNKWARMAAQLPGRTDNEIKNFWNTRMKRRQRAGLPLYPPEIHAEAIAYNNHIMLQHEPFSSSSSFPLLLSSCYPKKFEDPKTYDYNPLQNNSGSTYTNPCPQFSFSNDETLEIKENLALKNSPSLSPYPSPSSNVFNQGFTPPSDHSHDHQYSEKLSYDHHGFNAGSRYDSATPASSYASGVNDYYEVAPLSSEGKNSGLLEDVVMEGRSISGNDKGKSVDSYKRKRMEAEEYEDEGGVASLVSDSIKKKKKIIDETHKKDFSSSELSTGKKPFVEDPLAEMNSMYDDDLDCLLNNFPSEIPMPEWYCRGKNQTLGLETQTDHASSSSGPTNQEFAWTLGSSWNNMPGIC >CAK8536113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908588350:908589468:-1 gene:gene-LATHSAT_LOCUS5512 transcript:rna-LATHSAT_LOCUS5512 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGQWLWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSIAKLYNLKKFNVSTNFLVGPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRVSLAVDVGPGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVEEKERITTHANFLPQQISQTIMHTGLHLKLYRQYPAANLLHTTACKTKQPAQQLPC >CAK8544027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658126061:658126476:-1 gene:gene-LATHSAT_LOCUS12738 transcript:rna-LATHSAT_LOCUS12738 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSTSASEPVGYPGGPYDTSLLVKYENQVARRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPREMEGWISRSSLASFQRISLTKIDTNLVSAFAER >CAK8564183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655198371:655199210:1 gene:gene-LATHSAT_LOCUS17510 transcript:rna-LATHSAT_LOCUS17510 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHVHIMKNSIYDDVESESQSESEEEEALSLCDLPINEDSESLDDKSFKRNSNIRRPMSLPESSDFFSGFSSSGSSDMCPADDIIFCGKLVPFKELVNEQRKENLNVEKMNKPQLHRWRSGSVSAVIRSSSVSNFGGGSNSNRFMMRNSRSLNYCKLREHSSSFPISKAPEVVRDSSVRSVASSEGVAKKAMKPRWYSLVFGKMKVPPEMELNDIKNRQVRRISSKSMFLSSDSGENLNVNRSSGKVSWKILKALSCKDHNSVSVTTSFSLPQASS >CAK8544348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679308016:679308621:1 gene:gene-LATHSAT_LOCUS13036 transcript:rna-LATHSAT_LOCUS13036 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLRLMLLLTISIFLSLSTNTSQMVYPKGNNNNNVKEACSVTRYKNLCIHTLAQFSNVGRTPSQWARAGVSVTISEVKNVQAYLTKLKRSANMKGRNKVALSDCIETFGDALDELHKSLDVLRRLNKKTFSTQMGDLNTWISSALTDEDTCLDGFEGKNERQIKLLQNKVQNVSYITSNALALVNKLASTGFESIIIDP >CAK8534294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713722435:713725125:-1 gene:gene-LATHSAT_LOCUS3849 transcript:rna-LATHSAT_LOCUS3849 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSDLINFNLSDSTEKIIAEYIWVGGSGIDIRSKARTLPGPVSDPAKLPKWNYDGSSTNQAPGKDSEVILYPQAIFKDPFRRGNNILVICDVYTPAGEPLPTNKRYNAAKIFSHPDVAAEVPWYGIEQEYTLLQKDINWPLGWPIGGYPGKQGPYYCGIGADKAYGRDIVDAHYKACLFAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWAARYILERITEIAGVVVSFDPKPIPGDWNGAGAHANFSTKSMRENGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGSSIRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTILWKKP >CAK8563950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641427912:641433252:-1 gene:gene-LATHSAT_LOCUS17304 transcript:rna-LATHSAT_LOCUS17304 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSSYLCTWLEPASCMSVTCSEPDRIRTSFHYSRRINSSSISSFHLNNTNTLFRSITHKPRPIRSGKTMAVALEPAQEVTTKKKLPTKQRRVVVTGLGVVTPLGHDPDVFYNNLLNGVSGISEIENFDCAEFPTRIAGEIKSFSTDGWVAPKFSKRMDKFMLYLLAAGKKALINAGITGDVMDELEKTKCGVLIGSALGGMKVISDSIEALRISYRKMNPFCIPFATTNMGSAMLAMDLGWMGPNYSISTACATSNHCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQQNTDPSKASRPWDTNRDGFVMGEGAGVLVLEELEHAKKRGANIYAEFLGGSFTCDAYHVTEPHPDGAGVILCIERALVQSGVSKEDVNYINAHATSTPAGDLKEYQALIHCFGQNPELRVNSTKSMIGHLLGASGGVEAVATVQAIRTGWVHPNINLENPDKDVDTKVLVGPTKERLKIKAALSNSFGFGGHNSSIVFAPYK >CAK8536265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922937786:922939007:-1 gene:gene-LATHSAT_LOCUS5650 transcript:rna-LATHSAT_LOCUS5650 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKARVEGSICAHYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSVFGRPGRPSGKTSVHWLSEKEMQSAHVHVLINCVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSLEIIHLRSLSEGPHQRANEWHTYFVNGYKFHTQTWTEGKKTINSGVVVKGVTEGGEDDFYGVVTHIYELVYNYMDSENKVVLFYCDWYDPSSRGTKIDKKYNTVEIRMDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGVMEDDVAYQDDEISPMNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEEEEESGDSEDDNIVSDEDSDDYNDE >CAK8536266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922937786:922938986:-1 gene:gene-LATHSAT_LOCUS5650 transcript:rna-LATHSAT_LOCUS5650-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVKNKARVEGSICAHYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSVFGRPGRPSGKTSVHWLSEKEMQSAHVHVLINCVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSLEIIHLRSLSEGPHQRANEWHTYFVNGYKFHTQTWTEGKKTINSGVVVKGVTEGGEDDFYGVVTHIYELVYNYMDSENKVVLFYCDWYDPSSRGTKIDKKYNTVEIRMDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGVMEDDVAYQDDEISPMNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEEEEESGDSEDDNIVSDEDSDDYNDE >CAK8569775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10576539:10578309:1 gene:gene-LATHSAT_LOCUS22587 transcript:rna-LATHSAT_LOCUS22587 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIIYRRSFRVLSALHHSFSSTLTLPISSDHISPSSSSSSSSCSRSPNIVGVQSRTFMSTSMSLLSSRYSETPDAIGPDTILFEGCDYNHWLFVMDFPKDNKPSPQEMIRTYEETCAKGLNISVEEAKKKIYACSTTTYTGFQAVVTEEESKKFEGLPGVIFVLPDSYIDPVNKQYGGDQYIDGTIIPRPPPIQYGRNQGGRRDFNRQGQGNPSYNNQAGRNFGPSQNYTPQQGSQGYSPQQSHGQTSQGYQPQQNYSPPRNIGQVPQSYSQQQTFGSVPPQQSFGSPGQGYVPQQNFGPPGQGERRNYAPQQNFGPPGQEERGNFAPQQNFGPPRQGETGKFAPQQTFGPPRQGERRDSVPSEGGWDFKPSYTEEFEQADKGNHNTREQSGSRQRFPPPGLGNFTGEGRY >CAK8565330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:132060206:132061926:1 gene:gene-LATHSAT_LOCUS18552 transcript:rna-LATHSAT_LOCUS18552 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKKNLSSVENVEESKEELSLLDLPELTLECILEKLPPSSLYQMAGVCHSLRDKCVSDYFWERHMKKKWGGVIGHAAYREWKWYVASKRDVKDIKHATQRGFLMSYFSILWPFQWMKLKVDDVIDSCKMRSSLVVDSVMNCYLAIETGSFWFPAQVYNRENGHVGFMLSCYDAKVSYDSQTDTFQAKYPAHGRRGDARECGIPWKRLRAPPVDTCSHDLHISDCLNDLYPGDHIEIQWRKNKEYPYGWWYGVVGHLESCDGNKNYCHCHISETVVLEFNHYTPGSRWRQTSINRKDHREEGNEVDGFYGGIRKIESEKEISIWKLMWPSDVLN >CAK8564940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17946223:17953164:1 gene:gene-LATHSAT_LOCUS18190 transcript:rna-LATHSAT_LOCUS18190 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMKASFPLLMLLGIAFLASVCATTRSDPENPFIFESNRFQTLFENENGHIRLLQKFDQRSKIFENLQNYRLLEYKSKPHTIFLPQYTDVDFILVVLSGKAILTVLKPDDRNSFNLERGDTIKLSAGTIAYLVNRDDDEDLRVLDLAIPVNRPGQVQSFLLSGNQYQQSILSGFSNNVLEASFNTGYKEIEKILLEEQEKETQHRRSLRDKRQQSQEEAVIVKLSREQIEELSKNAKSSSKQSVSFESEPFNLRSRNPIYSNKFGKFFEITPEKNPQLQDLDILVNSVEIKEGSLLLPHYNSRAIVIITVNEGKGDLELVAQRNENRHGQRKEDDEEEKKGEEEINTEVQNYKAKLSPGDVVVIPAGHPVAIKASSNLNLLGFGINAKNNQRNFLAGKEENVISQIQRPVKELTFPGSAQEVDRLLENQKQSYFVNSQPQQREIGSHETRNRLSSILDAF >CAK8568589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621106788:621113746:-1 gene:gene-LATHSAT_LOCUS21531 transcript:rna-LATHSAT_LOCUS21531 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGMESELKDLNSKAAKSNVNDTVRDDRPLLQSDSVSSTTTATVTTAAELQELEKKFAAYVRRDVYGTMGRGELGAKEKLFLGFALVTLLPIRVILAVIVLAVYYVICRVCTLFLSPNLEDEQEDYAHMGGWRRIVIVRCGKALSRVMLFVFGFYWIPDSTTSINQEDKPQTEKTKRPGVIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPFVGLISKCLGCIYVQRESKSSDFKGVSAVVTERIREAHQNESAPLMMLFPEGTTTNGDFLLPFKTGGFLAKAPVLPVILKYHYQRFSPAWDSISGVRHVIFLLCQFVNNMEVIQLPIYYPSQQEMDDPKLYADNVRRLMASEGNLTLFDIGLAEKRIYHAALNGNNSLPSVLHQKDD >CAK8531533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121943585:121944530:1 gene:gene-LATHSAT_LOCUS1317 transcript:rna-LATHSAT_LOCUS1317 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKFEEKLTTMFYQMASFQGGQSSNTLYITLELDYKVNNMTTDKLSNKRVSTTSQRKLRSNDVKKN >CAK8539835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528323316:528324236:-1 gene:gene-LATHSAT_LOCUS8895 transcript:rna-LATHSAT_LOCUS8895 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFGGTMRSATAPNSSCSSGNRFNNDVCNFECNICLDLAQEPVITLCGHLFCWPCLYRWLQHRSHPQGCPVCKGVVDEEKLVPLYGKGRSATEPRIEIPPRPSDIHTLFARLEQMRLSCEATEQRFKEYRESTEQRFKEYRESTEQRFEEYRESTGERFKAYVDQRIEEFCVAQERRFALLQEPQQRRFDRLECRIKECCMDAEYHIKKCRADAELHVNECRAEAEQRIRECRADEKQRIKKLRADAEQRIKKLRADAERRIKELRAVCRAEAEQRIKEYRAEAEQHVMERRAETEQLFQIINN >CAK8573484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615676093:615683532:-1 gene:gene-LATHSAT_LOCUS25929 transcript:rna-LATHSAT_LOCUS25929 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEAVSVAEERVEDVENDTVYVAVGKNAKKSQRLLHWATEKFSGKRICLLHIHQPHPLNSLNTNVSEIEPKDDVIKEFQENDRQTVHELLDQFILTLVPEGVHASKLLIDKDNIEKGIIEAIAQHNIRCLVMGAAADRHMMRLDSEDRPVIETAPVLLMLDSNMKAKQSEKIKSQLIPDVLKYLDSGDIEETEDVNSQCSLNAEWPFNSVIAKTKLTDLLIHEDDEALLNWRAKEISRRKEVEEQLAREKQDVQEMKNQRDKIVCELEMLEDQISALRNQLFESECSATELEEKIILAVDLLISFKEKRDKLRIEHANAVRKVKMLRKFGEVDTSSSYVVEFPAFSFMEINEATQDFEQSWKIGEGSCGSVYKGLLRNMLVAIKMLPSYGCQNQSEFQHQVEVLSRVRHPNLLTLIGSCAETKSLVYEYLNNGSLESHLACKDKTPLPWQIRMSIATDICSALIFLHSSEPRITHGNLKPSKVLLDANFVAKLGDLGIPSLVQHSVDSADTGTVVCNNSHKDLVYVDPEYLDTGKLTPESDVYSFGIILLQLLTGRPLLGLVRDMKCALEKENLKTVLDFSAGEWPLHQTTQMACLALRCCEKTCLNRPDLVSEVWSVLKPFRTICINRRQELTSKKLQRAPSHFVCPIVQEVMEDPYIAADGFTYEEEAIRGWLDSGHNTSPMTNLKLEHTDLVPNYALHNAIREWQQQ >CAK8571350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:387699550:387702486:-1 gene:gene-LATHSAT_LOCUS24017 transcript:rna-LATHSAT_LOCUS24017-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVSVEPKKFGLLANWQREYSMEDILTQLKKEMAAPHNRKLVQPPEGTYF >CAK8571349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:387699550:387702486:-1 gene:gene-LATHSAT_LOCUS24017 transcript:rna-LATHSAT_LOCUS24017 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYSMEDILTQLKKEMAAPHNRKLVQPPEGTYF >CAK8536938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41013964:41014713:1 gene:gene-LATHSAT_LOCUS6262 transcript:rna-LATHSAT_LOCUS6262 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIVKPRCGVADIINGTTSMNSGKFKDPNLHTVAHYAFFPGKPRWPAGTKTLTYAFDPNENLDNATKQVFANAFNQWSKVTTITFTETTFYRGADIKIGFYSGDHGDGEPFDGVLGTLAHAFSPTDGRFHLDKAEDWVVNGDITKSSLSNAIDLESVAVHEIGHVLGLGHSSVEEAIMYPTISSGTKKVELEEDDIKGIQELYGSNPNFNGTTTGTTSRGRDSSYGGRRHVASLFLSLLFVGFGCLSL >CAK8537196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126923005:126924368:1 gene:gene-LATHSAT_LOCUS6502 transcript:rna-LATHSAT_LOCUS6502 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFQLANESSPLSLTPKFILPESKRPNLSEVKSLDSIPIIDLSYCHDTNHSSLEIIHKISKACEEFGFFQIVNHGVPEQVCHKMMKAITNLFELPSEERESLYSTDHTKSVRFLNYSLKVEGGEKVKCWSECFNHPWYPIDDAISLLPEKIRTQYREALTEYAKEIGSLVRRLLGLISIGLGLEEDCFLKKLGEQPRERAQANFYPPCPDPELTIGLTEHTDFNALTVLLQSEISGLQVNKDGKWISVPFVPNAFVINLADQIQVLSNGRYKSVLHRAVTNKMSPRLSMAMFFGPNADAMIGPIQELIDEEHPPKYRNYRFSEFLEEFFNQEGTRRMVKEAFELPNEIKIAV >CAK8565850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343426023:343426334:1 gene:gene-LATHSAT_LOCUS19029 transcript:rna-LATHSAT_LOCUS19029 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8576563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492884944:492887822:1 gene:gene-LATHSAT_LOCUS28740 transcript:rna-LATHSAT_LOCUS28740 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYSNQYLPRTHFLKVPTFHPFFKFNPFPYTNYASNRTHALSFTINCNLKKVGKKDLLSQKIVLSEAPPPPLTQDNDVPSTSKEKKGSNGLVKKLSKRVLQILSNLPLAIGEMFTIAFLMGLGTFIDQGETPEFYFQNYPEDHPVLGFFTWRWIFFLGFDHMYSAPIFLGMLVLLAASLMACTYTTQLPLIKVSKRWSFMHSAEVIRKQEFSESLPRASIQDVGTILMGAGYEVFLKGPTLYAFRGLAGRLAPVGVHIALLLIMAGGTLSALGSFKGSVNVPQGLNFVVGDVLGPIGFLSTPTDAFNTEVHVNRFSMDYYESGEVSQFRTDLSLLNMDGKEVMRKTISVNDPLRYEGITIYQTDWSISTLQVLKDKEGPFNLAMAPLKINGDKKLYGTFLPFGDVNSPNVKGISMLARDLQSIVLYDTEGKFAGVRRPNSNLPINIDGSEIVIVDAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQDGTTVVIGGKTNRAKLEFPDEMNLLLDKIPEIVESSSLSKQADSIGGTG >CAK8576564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492884947:492887822:1 gene:gene-LATHSAT_LOCUS28740 transcript:rna-LATHSAT_LOCUS28740-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSNQYLPRTHFLKVPTFHPFFKFNPFPYTNYASNRTHALSFTINCNLKKVGKKDLLSQKIVLSEAPPPPLTQDNDVPSTSKEKKGSNGLVKKLSKRVLQILSNLPLAIGEMFTIAFLMGLGTFIDQGETPEFYFQNYPEDHPVLGFFTWRWIFFLGFDHMYSAPIFLGMLVLLAASLMACTYTTQLPLIKVSKRWSFMHSAEVIRKQEFSESLPRASIQDVGTILMGAGYEVFLKGPTLYAFRGLAGRLAPVGVHIALLLIMAGGTLSALGSFKGSVNVPQGLNFVVGDVLGPIGFLSTPTDAFNTEVHVNRFSMDYYESGEVSQFRTDLSLLNMDGKEVMRKTISVNDPLRYEGITIYQTDWSISTLQVLKDKEGPFNLAMAPLKINGDKKLYGTFLPFGDVNSPNVKGISMLARDLQSIVLYDTEGKFAGVRRPNSNLPINIDGSEIVIVDAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQDGTTVVIGGKTNRAKLEFPDEMNLLLDKIPEIVESSSLSKQADSIGGTG >CAK8569150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676410393:676415169:1 gene:gene-LATHSAT_LOCUS22034 transcript:rna-LATHSAT_LOCUS22034 gene_biotype:protein_coding transcript_biotype:protein_coding MSALIGPLKYPAARRDDTVIDDYHGVKVADPYRWLEDPDAEEVKEFVEKQVQLTNSVIAECETRSKLNETITKLFDHPRYGAPFKRGDKYFYFHNTGLQPQDILYVQDSLEGEPEVLLDPNALSEDGTVSLNTLAVSEDAKYLAYALSSSGSDWATIKVMRIEDKTVEPDTLSWVKFSSISWSHDGLGFYYSRYPAPKAGEVVDAGTETNSNLYHMLYYHLLGTDQEGDILCWKDLQNPKYSVGGIVTDDGKYLLLYISDGCDPVNKLYYCDVTNLPSIFEGFRSENSVLPFVKLIDNFDAKYDYIANDDTVFTFLTNKDAPKYKLVRVDLDEPNTWADVLQESKNDVLESACAVNGNQLIVSYLSDVKHLLQVRDLKTGSLQHQLPIDIGTVSDVSARREDTVVFISFTSFLSPGIVYQCNLGTGIPDLKIFREIAVPGFDRSEFQVNQVFFPSKDGTKIPMFIVAKKGIILDGSHPCLLYGYGGFNISITPSFSVSRIVLTKHLGFVYCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFISAAEYLISTGYTQSRKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCADKEEEFHWLIKYSPLHNVRRPWEEHPDKSVQYPPTMLLTADHDDRVVPLHSLKLLATMQYELCSSLKESPQTNPIIGRIDCKAGHGGGRPTQKMIDEAADRFSFMAKMLEAHWIE >CAK8574733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7661760:7662128:1 gene:gene-LATHSAT_LOCUS27048 transcript:rna-LATHSAT_LOCUS27048 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEICNRLSTRNNSICTNYERISNDPIVCVNGLIPRSMKITKLKSLWRKINREKKRRIFRSSSHVFLYDPSSYIQNFDDGYISDSDNFSLLFSARFTPSRILEKDIEVIDDEEIFYINNDS >CAK8533228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:593319689:593320753:1 gene:gene-LATHSAT_LOCUS2873 transcript:rna-LATHSAT_LOCUS2873 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEDCCIRPCLEWIKCPKSQANATLFLAKFYGRAGLTNLINSGHENLRPAIFRSLLYEACGRIVNPVYGSVGLLWSGSWQLCQAAVEAVLRGEPITPIVSESASGPPLKAYDIRHVSKEGNNSEETQRVKTRSRFRRAVKPVLGKETGKVEEANRSESLLSEPGKDNESGVSVETSILFHEEPESEAKVSDRAEEGVSDEVGLELRLGFEPVEREEYLVPIKKRKIGLKDCGVPCKMELGLEFAA >CAK8562879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552765238:552768507:-1 gene:gene-LATHSAT_LOCUS16341 transcript:rna-LATHSAT_LOCUS16341 gene_biotype:protein_coding transcript_biotype:protein_coding MRETMSSSIYHMFISLLLFVSLQHTLAIKQSYIVYLGSHSFGPNPSLLDSEYVTNSHYDLLGSFLGSTQKAKEAIFYSYNKYINGFAAMLDEDEAAEIAKHPNVVSMVLNKRYELHTTRSWEFLGLEKGDEYPKDSLWKKTLGEDIIIGNLDTGVWPESKSFSDEGYGPIPKKWKGICQVTKGNPDKFYCNRKLIGARYFAKGYLSKGKPNVTIDSARDTKGHGTHTLSTAGGNFVAGANALGFGNGTVSGGSPKARVAAYKVCWDGCYDADILAGFEAAISDGVDVLSVSLGGMFPRDFPESTISIGSFHAIANNIVVVASGGNSGPRIYSVTNTEPWTFTVAASTIDRDFTRYVILGNKKIYKGASLSDLDLPPNKLYPLISAVDAKFDNVPPAFASVCEERALDPKKVKGKILVCLRGETLRVDKGVQASRVGAIGMILANDEASGNGVIADPHVLPATNVGCVDGSAIYNYINHTKSPVAYITKVKTQLGVKNTPTIASFSSRGPNELDTLILKPDITAPGVNIIAAYTLATSPTEQPSDKRRIPFVAMSGTSMSCPHVAGVVGLVKSVHPDWSPAAIKSAIMTTATTKSNNGVEILDSSLEKATPFAYGAGHVQPNLAVDPGLVYDLNVTDYLNYLCGRKYTSDQLKVFYGKPYTCPKSFSLADFNYPSISIYELDDWKSLNVTRIVTNVGPPSEYRVEIQQPPQFEVTVQPEILRFKRKGERKEFKVTITMKPNSKYITDFEFGKLIWTDGKHRVGIPISIKYT >CAK8533389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611864472:611866586:-1 gene:gene-LATHSAT_LOCUS3020 transcript:rna-LATHSAT_LOCUS3020 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGTEDEEKFLAAGIAGLQQNSFYMHRALDSNNLRDALKFSAQMLSELRTSKLSPHKYYELYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSKDKLPDIGSEYEGDADTVSDAVEFVLQNFTEMNKLWVRMQHQGPFREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDEIAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQPTVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMATAGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKNLSGKGKIEDKKATKQIVALLSAPLEKYNDIMTALKLSNYPCVMEFLDIPTNKVMATVIIQSIMKNGTRISTSDKVEALFELIKGLIKDSDVSPNKELDEDDFAEEQNSVARLIQMLYNEDPEEMFKIIDTVRKHILTGGPKRLPFTVPPLMFSSLKLVRQLQGHGQEENPFGDDSSASPKKIFQLLNQTIETLSGVLTPELALQLCLQCAEAANDCELEPVAYEFLTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDHDNMKDGERVLLCLKRALRIANLCTSDI >CAK8567122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489235849:489237068:1 gene:gene-LATHSAT_LOCUS20203 transcript:rna-LATHSAT_LOCUS20203-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRNMNMLVGVMIISSLVATCFANFNQDFDLTWGDHRAKIFNNGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRLFKNAETVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSNSFSDSTLQSNNELDANGRRRLRWVQKYFMIYNYCNDLKRFPQGIPAECKRSRF >CAK8567121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489235840:489237068:1 gene:gene-LATHSAT_LOCUS20203 transcript:rna-LATHSAT_LOCUS20203 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMASSIRNMNMLVGVMIISSLVATCFANFNQDFDLTWGDHRAKIFNNGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRLFKNAETVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSNSFSDSTLQSNNELDANGRRRLRWVQKYFMIYNYCNDLKRFPQGIPAECKRSRF >CAK8562429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:489819814:489821279:1 gene:gene-LATHSAT_LOCUS15925 transcript:rna-LATHSAT_LOCUS15925 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNDEKEQTKPIGHNQLAHKSLLQSDALYQYILETSVYPREHPCLKELREITAKHPRNHMATPADEGQFLSMLIKLINAKNTLEIGVFTGYSLLATALALPSDGKVLALDVSREYYDLGLPIIEKSGVANKIDFREGHALPLLDELLQNENNKGIFDFVFVDADKDNYLNYHKRVLDLVKIGGLIGYDNTLWSGSVVAPPDAPMMDYIKRLRGHVIELNKYLAQDSRIEICQLPVGDGITLCRRTS >CAK8533270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598376001:598377054:-1 gene:gene-LATHSAT_LOCUS2911 transcript:rna-LATHSAT_LOCUS2911 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKLLLKLTKNRIEVIRRKRRATEKFLKKDVTDLLHSGLDINAYARAEGLLVELKLSSCYGCGEIL >CAK8533487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:624230119:624233619:-1 gene:gene-LATHSAT_LOCUS3110 transcript:rna-LATHSAT_LOCUS3110 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRERSTYGKRPRSQSDYDGGGNKRRNGGDDRDQFVIDKEDTVFRYLCIARKIGSIIGRGGEIVKQLRVETKAKIRIGETVPGCDERVVTVYSSSGETNALDDGGKNVSPAEDALFKIHDRVVAEDLHSDQEEEGGPQVTARLLVPADQIGCVLGKGGQIVQTIRSETGAQIRILKDEHIPLCALRSDELVQISGDAAVVKKALHQIAYRLHLNPSRTQHLLASSVPGAYQSGGSFLGPTAGPPIVGIAPLAGSYGGYKGDTGDWPRSMYSAPRDEMSPMEFSIRLVCPTGNLGGVIGKGGGIINQIRQESGATIKVDSSAKGDECLITIATKEFFEENFSPTIEAAVRLQPRCSEKNERDSGIISFTTRLLVSSSRIGCLIGKGGSIITEMRRLTKSNIRILSKENLPRIASDDDEMVQISGDLDVAKDALVHVLTRLRANLFDREGAVSGFLPVLPYIPAPADSSDGLGYDSRDGRRYGRGHSYSSGYGGSSDLGAGDTYGSYGGSQLAGSSAYGDYESYSLGRDSTVWLPSQNNVSRRRNPAY >CAK8575826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332046928:332054230:1 gene:gene-LATHSAT_LOCUS28060 transcript:rna-LATHSAT_LOCUS28060 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRPSQDDPVSGPLRTSDRIKTRPPGYNRAPFLYYNSNLRRPRKNKNKTRTAASQIAKMLRPGPGNRKAHDSNANSGSANLRRSTRERRINVNLREFADSSGSEDADLMSRTHRPSRNRISNSVSRDDVVSSKRKRAVGTKPAPRREGLRPRRSKAAGSEGLISESDDGQDLSEEKVEQDETENGDDVEENDAEDGHEREEDAEGEDEGDEDEGDEDGDDEDGEEEQDGRRRYDLRNRADVRRFSMEEGKTRPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLTRPEDSDDSLLVDELDQGPAIPWGRGGGRSGPPFLFGGLDTHGTTAWGLNLAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACSASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILNIHTRKWKHPPPEELKKELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSIRVYKCHFIEAMSTITPAAHRGATVHSRPLSLVVQPCLQRYLEKAMSTISDIFPPVSVASELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFDVWWETAHEQLRAVLLTMLEELPSDLPILLLGTSSAALADVEEVPTSVFPHRSVYQVNMPSTEDRTLFFNRLIEAAMSILLERISNKSQDTGRHSELPRAPKLASSPKASELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFNAFHFPVSDEDAPNYRSIIQNPMDIATILQHVDNGNYITCAAFLQDIDLIVSNAKAYNGDDYNGTRIVSRACELRDTVHGMLSQMDPALVAFCDKIASQGGPAHLPEELGASTFPAAPVVQLGTTTRTSARLRHVQPEVNMDQGYEVLKRTKKIGDADHTAESKSQDPKPVKSSQEQHQIHDEDSERIEPEAIDGDLLGSCTNNLADGSSLHDITMQDGEFSRQVESVKQHFVKRSEKYSIPQLERLYTRIMKGVFETNDKGMNDNDLKNSVLGFLLKFVEDDANF >CAK8575827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332046928:332054230:1 gene:gene-LATHSAT_LOCUS28060 transcript:rna-LATHSAT_LOCUS28060-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRPSQDDPVSGPLRTSDRIKTRPPGYNRAPFLYYNSNLRRPRKNKNKTRTAASQIAKMLRPGPGNRKAHDSNANSGSANLRRSTRERRINVNLREFADSSGSEDADLMSRTHRPSRNRISNSVSRDDVVSSKRKRAVGTKPAPRREGLRPRRSKAAGSEGLISESDDGQDLSEEKVEQDETENGDDVEENDAEDGHEREEDAEGEDEGDEDEGDEDGDDEDGEEEQDGRRRYDLRNRADVRRFSMEEGKTRPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLTRPEDSDDSLLVDELDQGPAIPWGRGGGRSGPPFLFGGLDTHGTTAWGLNLAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACSASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILNIHTRKWKHPPPEELKKELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSIRVYKCHFIEAMSTITPAAHRGATVHSRPLSLVVQPCLQRYLEKAMSTISDIFPPVSVASELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFDVWWETAHEQLRAVLLTMLEELPSDLPILLLGTSSAALADVEEVPTSVFPHRSVYQVNMPSTEDRTLFFNRLIEAAMSILLERISNKSQDTGRHSELPRAPKLASSPKASELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFNAFHFPVSDEDAPNYRSIIQNPMDIATILQHVDNGNYITCAAFLQDIDLIVSNAKAYNGDDYNGTRIVSRACELRDTVHGMLSQMDPALVAFCDKIASQGGPAHLPEELGASTFPAAPVVQLGTTTRTSARLRHVQPEVNMDQGYEVLKRTKKIGDADHTESKSQDPKPVKSSQEQHQIHDEDSERIEPEAIDGDLLGSCTNNLADGSSLHDITMQDGEFSRQVESVKQHFVKRSEKYSIPQLERLYTRIMKGVFETNDKGMNDNDLKNSVLGFLLKFVEDDANF >CAK8566333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:409109475:409110548:1 gene:gene-LATHSAT_LOCUS19468 transcript:rna-LATHSAT_LOCUS19468 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGREGKAAAPSTDLLVCFPSRTHLRLMPKAICSPVRPLEPNKLHRHHQRKRSISRAGSGGQTSSPMLWTKSKSTVGSENSEPTSPKVTCAGQIKVRHKNTASRSWQSVMEEIEKIHNNKKQKKRLNWAESLGFKKEIMHFFTCLRAVRFDFRCFGSFSGTDIVTEDEEEDDDGEDEDEVYYKNDHVGVEESPDNDDNNESSRAVFSKWFMVLQENQNNEVHKEEEKKEEIGDGDEDGDGEIPVPPPNALLLMRCRSAPANSWLTEREEEVHGNNNEKENISHKEKVIEKELSQTHVKKGQSLKSLMEEETTNKKENLLVMRYNPEFYGISTDIAAETWIVGGLPDPMSRSRSWKR >CAK8570194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28307122:28307359:1 gene:gene-LATHSAT_LOCUS22967 transcript:rna-LATHSAT_LOCUS22967 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQVKGFMRFFSFSLLWSFFQWFYAGGDQCRFAQFPTFGLKNDSIL >CAK8536359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934215717:934217235:1 gene:gene-LATHSAT_LOCUS5736 transcript:rna-LATHSAT_LOCUS5736 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNITTSSIVILNLVIVCNLWITASASPAMHKKNSTNPEVIKKRYETWLKQHRRHYRDREEWEVRLDIYQSNVQFIEFYNSKNYSYKLADNKFADLTNQEFRSMYLGFLPRFHAQKKTKYHKHGDLPRNLDWRKKGAVTHVKDQGRCGSCWAFSAVAAVEGINKIKTGNLVSLSEQQLIDCDTKSGNEGCEGGDMYIAFNYIKKHGGLAAAKVYPYRGTNGKCNKAKAKKHVVTISGYENVPARNEKMLKAAVARQPVSVATDAGGYAFQFYSKGIFSGSCGKDLNHGMTIVGYGEENGEKYWIVKNSWANDWGESGYVRMKRDTEDKDGTCGIAMDATYPVKH >CAK8541411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:156608107:156608979:-1 gene:gene-LATHSAT_LOCUS10332 transcript:rna-LATHSAT_LOCUS10332 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKVAIMKLKVDLQCRKCCKKVKKVLCKYSQIRDQLYDEKNGIVTIRVVCCSPEKVRDNICCQGGGTIKSIEIVQPPKPKEQEKKPEVETKPKAQPGPPIDTQPKQTKSNPAPAPAPAPAPTAAAPAVIFPHTTPMSILSYPSPVVPYGFVYGSGQGGPAEVYGRPIYDSYGWSGPCYAGHHHHEYIHEDEAPGCTIS >CAK8531868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168184915:168185415:-1 gene:gene-LATHSAT_LOCUS1630 transcript:rna-LATHSAT_LOCUS1630 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVSAPDELLQLFSDTSTEGRCFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTIYHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPILNKAIVYKLQKILHQCNPFVIMFRQLALEPNVEEYRLLIKERPSNQPQYSLPSAS >CAK8562944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:559409122:559411215:-1 gene:gene-LATHSAT_LOCUS16404 transcript:rna-LATHSAT_LOCUS16404 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSFKSLTMAESCLLSQPSLFYTKTKSSFISNSAKPFKIQNPTYNSSRVSHSLSLTAGKRRTRYSSLLTFVAQTSDWAQQGEKEDGATWENQGEPKWGSETESNEGDGEVGGYGEPSEELKIFVGNLPFDVDSEKLAELFEQTPGTVEIAEVIYNRETDRSRGFGFVTMSTADEVERAVSKFSGYELDGRALTVNKAAPRGSPRPERVPRAVESGLRIYVGNLPWEFDNTRLEQLFSEHGKVESARVIYDRETGRSRGFGFVTMTNEAEINAAIAALDGESLDGRAIRVNVAEERPRRNF >CAK8576262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:439890146:439891421:-1 gene:gene-LATHSAT_LOCUS28465 transcript:rna-LATHSAT_LOCUS28465 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYIITIFLLFSTASYASSLTMRPLHQRRAWIESEDALSKGFHIADIVVAADGTGNFTRVVDAVLSAPLRSTRRFIIHVKKGVYNEHVVVGDDKWNIVMIGDGMDATISTGNLSEGHDHVGTYNSSTFSVLGRRFIAQYMSFENTAGALNGQAVALLSASNQSVFYRCGISGYQDSLWADSGLQYYRECKIRGSADFIFGRAAAVFQYCQILVRKGSTNQNPIAAQGGPYDNKTTFGFTFQFCNISADLDLIPYLGSIRTYLGRPWQNYSKTIFMECQISEVVDPEGWLKWGGTDHAQDTLFYAEYKNYGLGAGVQKRVKWPGYHVFTDPKQALNFTVAHLISGYYWLPSTGIPFTPYFG >CAK8542625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:532960436:532963717:-1 gene:gene-LATHSAT_LOCUS11449 transcript:rna-LATHSAT_LOCUS11449 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSRNLNSVFYSDVYHPIQAGSIDGTDILPHDNAILRAQLCSSIGLYDPFGDPKATGDPYCTLFVGRLSRLTTEDTLRKVMSQYGRIKNLRLVRDIVTGASRGYAFVEFEAEREMRQAYMDAHHLIIDDCEITVDYNRQQLMPGWIPRRLGGGLSGNKESGQLRFGGREKPFRAPLKPIPYEELKKLGIPPPPEGRYMSRFEVPSPPRRERNLSNKEEDSDKRGSSDRNRTKGSPVEMEEKQYKRSSSHRGDYSRGRSSLERSDDYYDRRSSERRDRYHDRSSSERSDRYDDMRSSERSDRYHDRSSSERSDRYQDRSSSKRSDHSSERSDRYHDRKSSKRSDRSSERSSLERSDSYHDRGSLEGADCYRDSSSSERRDRYRDRSSSERSGRYKSSTETDKHSRKRKEKSERSPRREKYSRYSPHEED >CAK8568117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574577604:574578913:-1 gene:gene-LATHSAT_LOCUS21112 transcript:rna-LATHSAT_LOCUS21112 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSQEQEISEGSEVTYQEMVENEVRDGRKGATQKFTVDSCKSTSMVIKKGHAMIPAHIIAEAISTIREIDLRWSGPITPKEMEYVEQYVLAKYPEYSRLIEGDGNGIDMSTFIINEEPLDEKGKSPRGTPSPRDSSVYSFGSSLPESDRAKIQLESSRLLDILNKKSSFPGSFISIPEIQAQNKVLKHYGLTDDEYLVLFTPGYKDAMMLVGESYPFVKGNYYMTILDQEEDYIKEFAFFKESKVIPAPKTWLDLRIKGSQLSQNFRRRCKISPKGLFTYPADASGTMHWISEAHRNHWHVLLDASAYVVGKDRFHLALHRPDFVICSLDNNTHSNNTHSNPSRITCLLIRKESFDTSAASSQVVE >CAK8573143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589217207:589219309:1 gene:gene-LATHSAT_LOCUS25623 transcript:rna-LATHSAT_LOCUS25623 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHFLFKRQGFDVSSYGTGTHVKLPGPSLREPNVYEFGTPYKHMLDELRRKDPELYKRNGILPMLKRNASVKLAPQRWQGNAADGIFDVVITFEEKVFDMVVEDLHNRDHVLLKTVLIVNLEVKDNHEEAAIGARLTLDFCHELEAVESWEESIDDVISGFEKCHRRKLLYNISFY >CAK8540615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12522938:12524491:1 gene:gene-LATHSAT_LOCUS9601 transcript:rna-LATHSAT_LOCUS9601 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGSCPLIKNILLLDSEGKRVAVKYFSDDWPTNSSKLAFEKYLFSKTLKTNARTEAEITLLDDNIIIYKFVQDLHFFVTGSDDDNEIVLASVLQGLFDAITILLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHNIDTDSSLSEQTLTQAWASAREHLTRTLLK >CAK8562483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500268741:500269004:1 gene:gene-LATHSAT_LOCUS15975 transcript:rna-LATHSAT_LOCUS15975 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYVLVLVFFAVFAATRPCFVSSRILQSPTKTEKTSHEPIKDVQHLKASMTTEKINTEYTMMKNRILVDSQFHTMTSGPSRRGSGH >CAK8530563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30391243:30393755:-1 gene:gene-LATHSAT_LOCUS420 transcript:rna-LATHSAT_LOCUS420 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAPGTGINIKELTPERIEKSFSASLKAHSYKAPYFLEKNSRSNPKEVIISFSASGGFKDWYSKTNFGEIKIDLTLFPSLRSIGNNEPALVNQYFLQRFQEILAKSSLQNEVETAIDKQQKQIIFAGHSSGGPVAILATLWALEKYQTPISHGGLPPLCVTFGSPLVGNHLFSHATRREGWSNYFFHFVLRYDIVPRILLAPLSSFDQRFETVSQLIDPKNKSFMSESSLGRISSTSDFYFEVISNAATVTRHAACKLMGTTEATLETIANFVPLSPYRPFGTYIFCTASGNEAKQIVIKNPDAILQVLFFSAQLSSEAETDEVSLKSLRQHLTYGAELPKTLGIQTAVHLDQLEKIPLSENTTTGGGNIATINTALNDLGLSTRARLCIQAAAALEERKRNNEKTIEEKKGFMDEKMKEVEKYREMWGHQKKGYYDGFKDQKDPEDFKANVKRLDLAGVWDEIIEKLLNYELPDEFEGVEDWIEIGTKFRRLVEPLDIANYYRHSRNRDGRAYMDKGGRPKRYRYTQRWLEHRERGKEGGYSESCFWAEVEDLSNDKKPFEDVKERVLNLEKQIKEWSEKKEVGKDVFLEGSTFVQWWKTLPLHHRQQSCIRSLVGG >CAK8541289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:105837316:105838190:1 gene:gene-LATHSAT_LOCUS10219 transcript:rna-LATHSAT_LOCUS10219 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAKLYIDVIKEKQPGWSDKFLSYKELKRLLRLIPDGNAEAEFMCLLNNEIDKFNDFFIEKEEEYIIRLKEFEQNIKKTIDLYKTNGSQQSESDYKNMMNMLIKNIVNLHGEMVLLINYCNINYTGLGKILEKYDSKTGALKRVPFLQKVLEHPFLSTDVISKLVRECENIIENVSHADEAVERAKEEVVLHSEGLFKSTVEVLFTTTENVMVIEDGNASASASN >CAK8535423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847048588:847048860:1 gene:gene-LATHSAT_LOCUS4887 transcript:rna-LATHSAT_LOCUS4887 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPVLKEDRCLVYAVTTEGKLTHTNKIDGHFIWDVDSTRCNPDCDCWMHANDIDRDIILPKTNKKGRCNPIHLLKGDLIQTMGMGRNPW >CAK8560514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22994835:22996802:-1 gene:gene-LATHSAT_LOCUS14178 transcript:rna-LATHSAT_LOCUS14178 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPASAAVVGSRRVTADILWPNLKKNGFGLKKSFLLDDDFEAGFREFKDDSDFDDEEDEEEDEGLMLGVKGFSFASGNNKASRTFSRGLGAAKPVVSKLNEQAEKDSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGSKAKVNFPEETPNASAKRVKPNAEMQLVNKNLNPFKPNGNAMFNFRENMENYYSPMDQVEQKPMVNNQFANMGAFAGNGFQLAAADVNAYFSSEHSSNSFEYSDLGWGEQAPKTPEISSVFSAPAPAPLEGESQINLQSNNAQVMLPMQDESAKTLSEELADIESQLKFFENSFDDNWSDASLATLLGTDSVQDGGNTMSLWSFEDMPAISGGVF >CAK8572941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572284786:572290465:1 gene:gene-LATHSAT_LOCUS25443 transcript:rna-LATHSAT_LOCUS25443 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMVGTDLLSEKAAIMRESLQKSQTITDNVVTILGSFDHRLSTLEAAMRPTQIRTHSIRQAHENIDKTLKAAEIILSHFDQYRQAENKILKGPHEDLENYLEAIAKLRSNIQFFGSKNSFRNSDGVVSHASSLLTKAISKLQDEFNQLLSSYSKPVEPERLFDCLPNSMRPSSGSPGHEGEHNGKSNHHSDSHNNNTDAVVYTPPVLIPPRILPLLHDLAQQMIEAGHRSQLLKIYREARSNVLEESLQKLGVEKLNKDDVQKLQWEILEAKIGNWIHFMRIAVKLLFAGERKVCDQIFEGFDSLAEQCFAEVTTNSVSMLLSFGDAIAKSKRSPEKLFVLLDMYEIMQELHSEIDTLFKGKACTEIRDAATALTKRLAQTAQETFGDFEEAVEKDATKTAVTDGTVHPLTSYVINYVKFLFDYRSTLKQLFQEFEGGNDSSQLATVTMRIMQALQINLDGKSKQYKDPALTHLFLMNNIHYIVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWAKILQCMSIQGLASSGGGSNTAGGDGGTGGSSGASRALVKDRFKTFNIMFEELHQKQSQWTVPDSELRESLRLAVAEVLLPAYRSFVKRFGPLVESGKTPQKYIKYTAEDLDRMLGEFFEGKNMSETKR >CAK8537161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:118016097:118018588:1 gene:gene-LATHSAT_LOCUS6471 transcript:rna-LATHSAT_LOCUS6471 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRLYLKEHEGIAHNFVGQLSSGNSGSATAPWWSSFGSQSVYGEIGGGQIKSFSLDPSFAVVDQLAGNKQSGRGAEHVMGKGHGNQFTIFPDDFKMSGDAQKPHTAISLQSSLPDTPTHFELGFSQPMICTKYPYVDQFYGLISTYGPQIPGRIMLPLNMTSDDGPIYVNAKQYHGIIRHRQSRAKAVLGQKLIKRRKGKNMQWQPQVATTRLLYRKCGFHYE >CAK8573980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651685221:651689176:-1 gene:gene-LATHSAT_LOCUS26373 transcript:rna-LATHSAT_LOCUS26373 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHQNGSLERRAQASNGDIATNGSNGVVGASNSITRLIGNPKIDHMPDNYEDLPLDFNPLVLTSLERHLPSHILNLSRDLKAHYMSNILLRYLPHSERIRMQKQKEYRQKIILHYPPLHKEMYTMLSENFFAPSFLRAIKENTEAGFRSIMAEPSRGIYTFEIFQPHFCEMLVSEVDHFERWVHETKFRIMRPNTMNQYGAVLDDFGLGTMMDKLMNDFIRPIARVFFSEIGGSTLDSHHGFVVEYGTNRDVELGFHVDDAEVTLNICLGTQFSGGELFFRGVRCDEHVNTETQSGEIFDYPHVPGHAVLHSGRHRHGARPTISGNRINLILWCRSSAFREIKKYQREFPSWCGECKRKKKERERIAVYATKAELLKRELKSAT >CAK8537772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:430093890:430097552:1 gene:gene-LATHSAT_LOCUS7026 transcript:rna-LATHSAT_LOCUS7026 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDHGGDRRKNRNLRSSNGHCRIVILFLTFHSIVNLVGASIHDYQNETFIRRANSFFFHGGSEGLYASKPISSNNSLSSQDNFPTGKSFIRFESINFRRTKESAQMASAMQQKTGLVEAIIIRVKDRKNIGGVSLNSDAICCTPELAKEGSCKLGEVIIRENTDDPNGPKRLQTFFEGQNEEANMGIQTVGINSTGMYYLYFMFCDPQLKDTVISGRTVWRNPDGYLPGKMTPLMTFYGLMSLAYLFLGLVWFLWFVRYWKDMIQLHYHITAVIGLGMCEMALWYFEYANFNSTGSRPMIITVWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGLTTKVLLLGVVYFVASEALELVEHLGNINDFSGKARLFLVLPVALLDACFILWIFSSLSKTLEKLQIRKSTGKLELYRKFTNSLAVTVLLSVIWIGYELYFNASDPLGELWRRAWAIPAFWTLLSYALLILICILWVPSRNPTRYSYSEETGDDFDDEAVTAVGSGVKVSGEMATMLERKDRKVSLTSLGDHHHVFGVIEDLEEDKRE >CAK8578785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654442290:654444037:-1 gene:gene-LATHSAT_LOCUS30769 transcript:rna-LATHSAT_LOCUS30769 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCFSFTAHRDRCYRFSFSNAGLKSTTTDLGRGTIMHCWIPKTHKTSKPSLLLLHGMGANAMWQWNDFISPLTRRFNVYVPDLLFFGESHTTRPDRSESFQAQCVAALMEAHGVRLMSVVGISYGGFVAYSLAVQFPERVEKVVMCCAGVCLEDKDMEEGLFRVKSIDEAATILIPQTPEKMKQLVQLAFVKPINVMPTCFLTDFIDVMCTEYRQEKKELIETLYKDRKLSNLPKITQPTLIIWGEQDQVFPLELAHRLKRHLGENAQLVVVKNAGHAINMEKPKKLYRILKSFLIDSVTSSMQENSSNGPKQD >CAK8573004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576204857:576205672:1 gene:gene-LATHSAT_LOCUS25499 transcript:rna-LATHSAT_LOCUS25499 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRTKLPTHALFSLVSILFVLLATNINSVQALSFNFTNLYTDNSDITLQGDAHFLDSGVIALTKSSPFPPDQNFPTVGRALSSTLVPLWDSATGNGVSFVTSFSFIIDTTKSPITDGLIFFIAPPDTVIPINSTTPFLGVVDSQTSINRFVGVEFDIYSNSWDPNWRHIGIDVNSIISTKTVKYNLMSGSLTKVIIIYDSPSNTLSAVVIYENGKISTIAQVIDLKTVLPNTVQIGLSAATLTGESYHIHSWSFVSDFGTTTGNIVSEV >CAK8574384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679247734:679250398:-1 gene:gene-LATHSAT_LOCUS26737 transcript:rna-LATHSAT_LOCUS26737 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLQASVIPRCLPSTPANQRGRRKTHFVVKSTLQKDTSTSPDGSEEGSSKKVNVSRRQCLTCLCSTPFLISSNATALEKPPGCRNCGGSGNIICDMCGGTGKWKALNRKRAQDIYEFTECPNCYGRGKLVCPVCLGTGVPNNKGLLRRPDAKKLLDKMYNGRLIPNS >CAK8569940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16731577:16732453:-1 gene:gene-LATHSAT_LOCUS22737 transcript:rna-LATHSAT_LOCUS22737-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELGREPTLDEVFLRTHTKKKDSSWVDERSKKTYETFQEKLKHASQVGETSNSGPKEVDSATRLNFWAEAAGGKTRGRLYGAGDLSKHYKPGVSSLITQQSRVSTCSGQVSAEIAAQMATIEERANAAEEDARVAREECRKANKRTQDLERQLRELAESVASIKGDKRRRRHSDYDDDSDSDDDSIGSI >CAK8569939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16731577:16732738:-1 gene:gene-LATHSAT_LOCUS22737 transcript:rna-LATHSAT_LOCUS22737 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKIVAWRPEDEFELKSIFKSKGSKRLSEILMDARKKQERPSWMGEGAWKGLKIKWETPEYKVKAARNKKNRASAKGGSVHTGGSISTNEHIIRMRRELGREPTLDEVFLRTHTKKKDSSWVDERSKKTYETFQEKLKHASQVGETSNSGPKEVDSATRLNFWAEAAGGKTRGRLYGAGDLSKHYKPGVSSLITQQSRVSTCSGQVSAEIAAQMATIEERANAAEEDARVAREECRKANKRTQDLERQLRELAESVASIKGDKRRRRHSDYDDDSDSDDDSIGSI >CAK8569683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7938563:7939293:-1 gene:gene-LATHSAT_LOCUS22507 transcript:rna-LATHSAT_LOCUS22507 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIFEKDNGEKVNGEGANVNVEGENDEVNVEGANDEVNVEGANDEVNIDVEGANDEVNVEGANDEVNVEGENDEEVSVDGVDEEVSVDGVDEEVTVDEVDEEVNVNVDDVDDESETDPDYSMGNEDDEEEDGDLEYEIDVMNLGDDVGINWKTVLPNATAEKPSKLDGNSDNGSHSDVFQTPPSSDAEGDMGKFPIFREPTKL >CAK8571567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:440923286:440925044:-1 gene:gene-LATHSAT_LOCUS24215 transcript:rna-LATHSAT_LOCUS24215 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNPCTHSSFLFFLLLLLHFTLGKSQLQVNYYSSSCPKAEEIIKQQVTALYHEHGNTAISWVRNLFHDCVVKSCDASLLLETAHGVVSEQTSERSFGMRNFKYVNTIKAAVEKECPMTVSCADIVALSARDGIAMLGGPSNIEMKTGRKDSKESYVAVVKDLIPNHNDSISSVLSRFQDIGVDLEATVALLGAHSVGRVHCMNLVHRLYPTVDPTLDPTHASYLKRRCPTPNPDPKAVEYVRNDLKTPMIIDNNYYKNILQNKGLLTLDAELATDPKTAPYVQKMADDNGYFNEQFSRAIVLLSENNPLVGDEGEVRKDCRYVNDK >CAK8544417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683187157:683188056:-1 gene:gene-LATHSAT_LOCUS13100 transcript:rna-LATHSAT_LOCUS13100 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPEICKIKLAISDWVNSSNLSIKEVANDGLDDYDAYVRKKKRARTSYVKTELDHYLEEEVLPQSSNFDILLWWKLNGIKYPTLRAIAKDILAILISTIASESAFNTSGHILSPHRNRLHWTTLEALMCAKSWLWSIENSGGMSSKLSNDYTTLLDEIKPDEEGEILTSGVISLFEDGE >CAK8544418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683187439:683188236:-1 gene:gene-LATHSAT_LOCUS13100 transcript:rna-LATHSAT_LOCUS13100-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIAILYENVFSRLRLRDTRYVCVPTSSQWKFAKDVCERLELFNKITEIIYGTKYPTANMFFPEICKIKLAISDWVNSSNLSIKEVANDGLDDYDAYVRKKKRARTSYVKTELDHYLEEEVLPQSSNFDILLWWKLNGIKYPTLRAIAKDILAILISTIASESAFNTSGHILSPHRNRLHWTTLEALMCAKSWLWSIENSGGMSSKLSNDYTTLLDEIKPDEEGKIFL >CAK8573864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643061952:643064999:1 gene:gene-LATHSAT_LOCUS26264 transcript:rna-LATHSAT_LOCUS26264 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVNEEDCRGSALVASPKRDIAFFDGDKDFEPPNGIEFESHEAAYAFYQEYAKSMGFTTSIKNSRRSKKTKEFIDAKFACSRYGVTPESDGGSSRRPSVKKTDCKACMHVKKKQDGKWIIHEFIKDHNHELLPALAYHFRIHRNVKLADKNNMDILHAVSERTRKMFVEMSRQSGGCQNIGSLASDMNYQFDRGQYLALDEGDAQVMLEYFKHVQKKSPNFFYSIDLNEEQRLRNLFWVDAKSINDYLSFNDAVSFDTTYIKSNDKLPFAPFVGVNHHSQPMLLGCALIADETKPTFVWLLKTWLRAMGGQAPKVIVTDQDKALKEAIEEVFPNAQHCFSLWHILEKIPENLSFVIKQYKNFLPKFNNCIFKSWTDEEFDMRWWEMVTIFELQDDLWFHSLYENRKKWVPTFMGGVFLAGLSTPQRSESMNSFFDKYIHKKITLKEFIKLYGLILQNRYEEESVADFDTLHKQPALKSPSPWEKQMSTIYTHAIFKKFQVEVLGVAGCQSRIEVGDETVAKFIVQDYEKDEEFLVTWNDLSLEVSCFCRLFEYKGFLCRHALSVLQRCGCSSVPAQYIIKRWTKDAKIREPIADSTRRIQTRVQRYNDLCKRAIELSEEGSLSEESYNVALRGLVDSLKNCVLVNNATDNGAETGNSGCSLREAEENQGTLALKPSKKRNTTRKRKVQQEQNPVLVDTQDSLQQMDNLTSDAMTLNGYYGTQQNVQGLQVQLNLMEPPHDGYYVNQHSMQGLGPLNSMAPSHDGFFGTQQSIHGMGGGQLEYRPTTTFGYGLQDESDPHFHNNNTRNT >CAK8565545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:265596794:265598559:1 gene:gene-LATHSAT_LOCUS18748 transcript:rna-LATHSAT_LOCUS18748 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCCASEERINKRSLKKSIKEYHEAKTITSFANISFKTDSGKRRFIADEIAKLGKGNVTSKVFSYRDLCNATQNFHPLNMIGEGGFGRVYKGMIKSTNQVVAVKQLDRNGFQGNREFLVEVLILSLLHHQNLVNLVGYCAEGDQRVLVYEYMENGSLEDHLLDVAPDRKPLDWKTRMKIAEGAAKGLEYLHEQANPPVIYRDFKASNILLDESYNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVFLEIITGRRVIDNSRPSEEHNLVIWAQPLLRDRNQFTKMADPLLGDNYPIKGLYQALAVAAMCLQEEADTRPLISDVVTALEFLANKKEEDGEKLTKETFASQGGNHESNVVKNDDEEEDEDDDEDEDEDEDDDEDGDDEDEEDDDNDNDDDKDDEKVHRRYSE >CAK8560028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5150348:5150920:1 gene:gene-LATHSAT_LOCUS13746 transcript:rna-LATHSAT_LOCUS13746 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYSFSSSNPSPKSSMFYYAGTEDFYDQPHFLQACFLCRKHLGQNKDIFMYRGNTPFCSKECREEQIEIDEFKEKSWKTSSKRSVRNSETNQNSTNNTTVRSESVAVA >CAK8577714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584759255:584761030:-1 gene:gene-LATHSAT_LOCUS29795 transcript:rna-LATHSAT_LOCUS29795 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYRERREETKREGSKSAEYQAVIRAGPHVRPLKQAHAHLIVTGCHRSRALLTKLLTLSCAAGSIAYTRRLFLSVTDPDSFLFNSLIKASSQHGFSLDTILFYRHMLFSPHKPSSYTFTSVFKACAHLSALKLGTLLHSHVFVSGYASNPFVQAAIVSFYAKSSALSFSRKVFDKMPQQSVVAWNTMISGYEQNGFANEAMTLFHKMNELGVRPDSATFVSVSSACSQIGSLELGCWVHDSIISNGIHVNVILGTSLINMFSRCGDVSRARNVFDSTSEGNVIAWTAMISGYGMHGYGVEAIELFYKMKKASRLAPNTVTFVAVLSACAHAGLIREGREIFASMKEEHGVIPGVEHHVCMVDMLGKAGLLTEAYDFIKELRPGELVPAVWTAMLGACKMHKNFDLGVEAAQHLISLEPENPSHYVLLSNMYAFAGRMDRVESVRNVMIQRGIKKQVGYSSIDVNNISYLFSMGDKSHPETEEIYQYLDKLMWRCKEAGYAPLPESAMHELEEEEREYALRHHSEKLAVAFGLMKTSHGTTLRIVKNLRICEDCHSAIKFISVVTNREIIIRDKLRFHHFKEGSCSCLDYW >CAK8540150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542282003:542286299:-1 gene:gene-LATHSAT_LOCUS9183 transcript:rna-LATHSAT_LOCUS9183 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKVFNGREEFSDSSNTFHSILSLSLWLGWIHFNIALVLSAIFLLPLSKSLLVIGFLLLFVVLPLGAKSKFGRRLSRYICKHACSYFPITLHVEDIKAFDPNRAYVFGYEPHSVLPIGVIALADNTGFMPIPKVKVLASSAVFYTPFLRHIWTWLGLTAASRKNFTSLLSAGYSCILIPGGVQETFLMQHGSEIVYLKSRRGFVRIAMEKGHPLVPVFCFGQSDIYKWWKPGGKFFLNFSRAIKFTPIYFWGIFGSPIPFRRPMHVVVGRPIELKKNPEPTTEEVAKIHSQFVEALQDLFERHKAQAGYPSLELRIV >CAK8542716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540236240:540236515:-1 gene:gene-LATHSAT_LOCUS11530 transcript:rna-LATHSAT_LOCUS11530 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRQKYYVGITKGNAWRAKTMVDEVVEGDAVKQYSLLWSYAEELKRQCGGTVPRSSWKGLFHVFHHDLRDSISVLMDAKWASLKVADLS >CAK8560462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20719182:20719884:-1 gene:gene-LATHSAT_LOCUS14131 transcript:rna-LATHSAT_LOCUS14131 gene_biotype:protein_coding transcript_biotype:protein_coding MIISENVLPKRFLDSYPSKKRKFAEEKSVISQINNSQKKEVFDGKEEFRQDGSVNQNRKVTEVTERPNAEKREWFKKMVSFYFFILIVDVPKFI >CAK8534236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709035378:709038637:1 gene:gene-LATHSAT_LOCUS3796 transcript:rna-LATHSAT_LOCUS3796 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYVEPIHIKNYAGKRVGIDAYSWLHKGAYSCSMELCLDSDSERKLRYIEYFMHRVNLLRHYKVTPVVVFDGGNVPCKSATEKERNKKRNAYRDLAMAKLKEGNVNAASELFQRAVNITPLMAYKLIQTLKSANIEFVVAPYEADAQLAYLSNLETEKGGIAAVITEDSDLIAYGCPDVIFKMDREGNGERIELEKVFSAESCKPSFRSFDMKLFTGMCVLAGCDFLPSVPGIGVARAHALVSKYQNIDRVLSVLKFEKGDQMPEDYAKSFRDALAVFQHARIYDIDTKELKHLKPLPENFLESLDENLDFLGPELPSTIVKAIAEGNLNPSTKKAFDKSEISRLPLHPIDPQTIGQLRKREVSTPIKQENCFSVFGSQKINHAITRLSDKETYSNEALALQKLIMPLGTKETMKKTITCDKTPFKVPNNNPFRIRKHKEEETVEEISIVSSVEFIDLDDCVSPSNSQGSKNEEISVVSSVEYINLDNYMSPHEKMSTNFSRKRKFENICLDKVEAKDEQVSGVTEVECLNVESQKSVKSKIRKSDDLKGSEKKSKKKGSNIRTILNFFSRV >CAK8534764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765504763:765505170:1 gene:gene-LATHSAT_LOCUS4279 transcript:rna-LATHSAT_LOCUS4279 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNINQQQSPVIAYPAMGEKNHQVAPPPPIGYPTKDDPQQQTVPVKTISRGDGFWKGCCAGLCCCCALDCCL >CAK8562894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554104704:554105132:-1 gene:gene-LATHSAT_LOCUS16356 transcript:rna-LATHSAT_LOCUS16356 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDMMEFERVLSFFDEDGDGKISLTELRSRMRKISGDFDLKEAEIAIESLDSDGDGLLILEDLITLMESVGEEEKLKDLKEAFEIYDNEGCGFITPKSLKRMLKKLGDSSKSIDECKLMIGRFDLNGDGVLSFEEFRIMMK >CAK8573388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607389044:607390822:-1 gene:gene-LATHSAT_LOCUS25840 transcript:rna-LATHSAT_LOCUS25840 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVRLPGHQKGKSRSSVHELQDQAPVIQNSRWASLPPELLRDVIKRLESNENTWPSRKHVVACAAVCKSWREMCKEIVGSLEYCGKITFPVSLKQPGYRDGPIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPSYNNSQLAAPPGRSSRRFYSKKVSPKVPSGNYNVAQVTYELNVLGTRGPRRMNCTMYSIPASSLEPGGVVPGQPELISRSLEDSFRSLSFARSIDNSTEFSSCRFSDIMGASIMGDSNEDEEGKERPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIASTQPAPVAPRATTGPAPSQPAQSDHDKIILQFGKVGEDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >CAK8562232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459517729:459520624:-1 gene:gene-LATHSAT_LOCUS15747 transcript:rna-LATHSAT_LOCUS15747 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIAFDRLIEPGASRAGQRSASTSAPVPTRLIEPGASRAGQRSASTSAPVPTTKKLEGKSSEPAARKKVPPRPQLKPLLYATPEVTQLPDSPLHNSPSSFPPSPYIINHKRRGPRLLKSLSEANVQAKQEVQEEGNANGKSSDTVVSSSAGDLQVTFVNPENVMEEQDNGVQETKLSSSNEGDVGLGQKENEISSDPNGMHDDMVAALKLERDGESEDFFDLNDSMSLTSYTDGEDNTGTARSARYSTAGGEFFDAWEELSSESGTQGSLRYLDAELREIRLSLLMEIEKRKEVEESLKSMQTQWERIRQGFSLVGIVLPADLTAFAKGGQLNSDPVDDICQQVYIARFISNTIGKATARAEMEAEMEAQLDSKNFEISRLLERLHYYETMNREMSQRNQEAVEMARRERQRKTKRQRWIWGSITTAIVLGTTAIAWSYLPSSKGSTSADADQVSEHDGGAK >CAK8535041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806610664:806611272:1 gene:gene-LATHSAT_LOCUS4524 transcript:rna-LATHSAT_LOCUS4524 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGDRLIGATQAVRLNAPRIGGSFAVWGGLFSSFDCTMVYVRQKEDPWNSIVAGAATGGFLAMRQGVAASARSAAFGGVLLALIEGAGIALNKFLSAQQPMPIMMDEMPPQTPENSDSNKSWFGGMFGGAKEEEKNTSGGSDVKILESFDAPPVPNFEYK >CAK8577829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591941772:591944631:-1 gene:gene-LATHSAT_LOCUS29898 transcript:rna-LATHSAT_LOCUS29898 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGVIWEIAKSLFSCTKAQAAYVYKLQENLESLMKKWKDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQNFEEKMKDIPTSQEIQSNQCLNGYCAKNCVSSYKLGKIIVTRLNEVNGLLARAGNLQIALKQPPKPIDEMPSSETIGLDVMVHKVWNSLKDDTIGVIGLYEMGGAGKTTLMKRIHNELGTRDHSFDLVLWVVVSRDCDINKLMNDIRNKLGIEEGFWNRSAPDQRVAKIYDRLKGKKFLLMLDDLWGKLELEAIGVPDPKKNNKSKVMFTTRSEDVCAKMQSQKKFKVECLSEKDAFDLFCNKVGDETLKCHTEIPKIAHEMAKECRGLPLALITAGSAMAGVKSFEAWMVAKNNLRSSHWTASDLEDKVFHILKFSYDKLPDAHKNCFLYCALYPEDFEIDIDDLIDRWIGEGFLYKDDMSIYDMYNQGKSVIEKLILSCLLEEVNNRTVKMHDVIRDMALWLARDEDENKDKVLVQGDVFSMSKMDSKRLNAVERISLITDESFNKHWNLSACPNLITFCLQIDRHLFLRHNPIFSINFQLLKRLRVLDISYTCYDQISPGIGELINLEFLNISGTFVSSFPIEFKMLKNLRVFLMDDMKSFRDEIMPLAVIESLEQLKVFRYSRIHLYSRILEGETSLLEKLESLPNLEELGIQLTSFTSVQRLFHSTKLQGCSRHLRIFWKEEDTVEMSSLLESLSKMTHLEYISLSAPYSLVDGSSVLEKCHLGKLQQVRISACNSITHLTWLRYAPLLEYLVVYECLSVEHIVKEAKDDEDVGSEFKNDNIFSNLKELIFSKMPKLVSIHKRALAFPSLKCIIVNDCPNLKKLPFNSNFASKDNLVAVLGSTEWWDNLKWDDTIIEHLLRPKFQHLEHW >CAK8579442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699400744:699401701:-1 gene:gene-LATHSAT_LOCUS31389 transcript:rna-LATHSAT_LOCUS31389 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKCSHCGKIGHNCRTCTSFTTKFIGLRLFGVQLSSSSLSSSSTIIKKSFSMDTFPSPSSSSSSFSSSTIHDNRHHHKYTSNLAYLSDCFIGTPQERKKGVPWTEEEHRIFLVGLENLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRLASLKNKKRRSSLFDLVGSKNTKREGQSNKLEDTKCKCEVEKEEEEEAKLDNQEINYSKANWLHNHHSSNYVAVPNLDLTLAVAPPKANQPSPAGPFLLGPIRVT >CAK8563610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620252425:620252715:-1 gene:gene-LATHSAT_LOCUS17004 transcript:rna-LATHSAT_LOCUS17004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKSRMVFRIMLVTLLLLLLFYIGRPLYWKISATVHDIRNNKQTVRQGLSQIVIQAQKSLGWFRQPF >CAK8574936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16295873:16297652:1 gene:gene-LATHSAT_LOCUS27234 transcript:rna-LATHSAT_LOCUS27234 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYGGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMLGFAPLTSRGSQQYRALSVPELTQQMWDAKNMMCAADPRHGRYLTASAIFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDEYGEEEDYPPEHDEDDI >CAK8566505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429784481:429784876:-1 gene:gene-LATHSAT_LOCUS19630 transcript:rna-LATHSAT_LOCUS19630 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLKEADLNTKLFHLSATTRSKFKSINMILNEDNQGVKDQVRLCDVAKIYFNKLFAAKEGVYDAVLTHIQLKINMEDNTKLLAPFTKAELYEAMKHMHQDKSSRLDGFNPTFYHNFWEVCGDDLMTATTM >CAK8573080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581682921:581683295:-1 gene:gene-LATHSAT_LOCUS25570 transcript:rna-LATHSAT_LOCUS25570 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNNKCGFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWALRNYGGKGWKSDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIVYRGWTSPKLRPHIARLLLP >CAK8531935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178755605:178756694:-1 gene:gene-LATHSAT_LOCUS1688 transcript:rna-LATHSAT_LOCUS1688 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTPTYPYSLSSPPMSFDLNNNEDHNHLHNLFNTNIHQYSSSSSSLSYSTLFNQNQDQGSSYSCEPNYIQSGVKVVKFVPSSGSCDYQELKKESRNEEFEAEDNSSMKLMPSKKRIVKRMMENQWVSEHKFEKEKVLSSVETDNSSNNNGSNNSNMIVRVCSDCHTTKTPLWRGGPAGPKSLCNACGIRQRKARRALAAAASANGEVHVAAEKPYVKGNKLQTKRKRSKTKECAPPQLKRKGKIGNKCTSFEDLITSLSNNIASHQVFPQDEKEAAILLMALSYGLLHEIGSS >CAK8569154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676906928:676909040:1 gene:gene-LATHSAT_LOCUS22038 transcript:rna-LATHSAT_LOCUS22038 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLRDYQIRSKHDWASVSFFSSTSPNIATSRVDVVVFVIWELVVLAFLVFSVVSLYFKHIRLAFILVFITVLLLLCMKITKQVRLARKKKRRMLLPLSM >CAK8570701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:90199890:90200663:-1 gene:gene-LATHSAT_LOCUS23434 transcript:rna-LATHSAT_LOCUS23434 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGEKVTITQVPAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDKSLVTTGRGIYTFCAQGTIYHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPTLNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKERPSNQPQYSLPSASQVVAIVIGGGDEDTIERGRDINVISCDGNLTKVQETIGYYDPLQYPILFPFGTYSWDIETKNNVGKNVTCREYYSYVLQVHAKLFFKEIYF >CAK8567469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516321555:516323573:-1 gene:gene-LATHSAT_LOCUS20518 transcript:rna-LATHSAT_LOCUS20518 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGVCRTLREATQLHLSLLKSGTLHSSVTTTNRLLQLYSRLGSFQDASKLFDEMPQTNAFSWNTLIEAHINSGNRNKSLQLFHAMPQKTHYSWNLLVSTFSKSGDLQLAHTLFSAMPMKNPLVWNSIIHGYSRHGHPRKALLLFKEMNLDPSEMVHRDAFVLSTVFGACADLFALDCGKQVHARVFVDGFEFEFDKILCSSIVNFYGKCGDLDSAAQVVGFVKEVDDFSLSALVVGYANAGRMRDARRVFDNMVDPCSVMWNSIISGYVSNGEEMEALALFKSMRRNGIWGDFSAVANILSTASSLLNVELVKQMHDHAFKIGATRDIVVSSALLDAYSKCQHPHEACKLFGELKAYDAILLNTMITVYCNCGRIEDAKWIFNTMPNKTLISWNSILVGLTQNACPSEALDTFCKMNKLDVKMDKFSFASVISACASKSSLELGEQVFAKAITLGLESDQIISTSLVDFYCKCGLVGMGRKVFDGMVKTDEVSWNTMLMGYATNGYGIEALTLFNEMRYGDVRPSAITFTGVLSACDHCGLVEEGRNLFHTMKHVYNINPGIEHYSCMVDLFARAGCFGEAMYLIEEMPFQADANMWLSVLRGCISYGNRTIGKMAAEQIIHLDPENPGSYIQLSNILATSEDWEGSAKVRELMRDKNVLKIPGCSWADC >CAK8566984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477718791:477719945:1 gene:gene-LATHSAT_LOCUS20078 transcript:rna-LATHSAT_LOCUS20078 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAIANVLILLLNLSTLLNVLACPYCPYPSPKPPTHKPPVVKPPVHKPPKPQPCPPPSSSPKPPHVPKPPHYPKPPVVHPPHVPKPPAVHPPHVPKPPAVHPPHVPKPPAVHPPHVPKPPAVHPPHVPKPPAVHPPIVHPPYVPKPPVVKPPVVKPPVVKPPVVKPPYVPKPPVVPVTPPYIPKPPVVPVTPPYIPKPPVVFPPHVPLPPVVPVTPPYVPKPPIVFPPHVPLPPVVPVTPPYVPLPPVVPVTPPFVPTPPIITPPTPTVPVPSPPSETPCPPPPPEVVPYPPPAQPTCSIDALKLGACVDVLGGLIHIGIGGSAKQTCCPLLQGLVDLDAAVCLCTTIRLKLLNINLVIPLALQVLIDCGKTPPEGFKCPSS >CAK8575084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27811408:27813919:-1 gene:gene-LATHSAT_LOCUS27374 transcript:rna-LATHSAT_LOCUS27374 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSRINNLVQGTQNFHHSSTSHKPKSANSVSLKSGLWGSSKSWSLKHKNGVFVGNCDVGRGNSFVMKASASVAAAEKPSTVPEIVLEPISEISGTITLPGSKSLSNRILLLAALSEGTTVVENLLDSEDIHYMLEALKVLGLRVEDDKITKQAVVEGSGGLFPTGRESKDEVNLFLGNAGTAMRPLTAALVAAGGNTRYILDGVPRMRERPIGDLVSGLKQLGADVDCFLGTNCPPVRIIGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVSVEHSDNWDRFLVHGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTITVIGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRDSSGRKVLQGIDVNMNKMPDVAMTLAVVALFANGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNIASIDTYDDHRMAMAFSLAACGDVPVTIKDPGCTRKTFPDYFQVLERFTKH >CAK8537628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:410905441:410906727:1 gene:gene-LATHSAT_LOCUS6897 transcript:rna-LATHSAT_LOCUS6897 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLATYSFGSNGSFYNKNKNPSVFSFNPKAYYLVIKFPTSGTWKIFYRLVILALFVASLPLISSSFGSRNPTSLDNAASNNMEILPQQKLSKFDHPINMEQLLSLLFSDLSNEGLVKNSKQHKSVFLGDKEQGFRQFLSLKDQYKMDYIPLKDVEKQKSILDNTVDFIFTSDFAASSKFIDRALKTNGIAAVVILNAAAFNKPSNYKVAYMRRFEKVVMAMKKVPTSPVTETNLKVGVHRKLFGYAAEAKKAALQKLEDVLLEPPRAASGKSRVYLKRTKFLPDLMGDTLENYPRRVFIDVGLPQKDGGSGTHWFSKNYPTRNKNFEMYKIETVTEGSTAAHVEMSDWLTKNVKADEYVVMKAEAEVVEEMMRSKAIMLVDELFLECKPHGLNLKQGTRGRRAYWECLALYGKLRDEGVAVHQWWG >CAK8565416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:179630406:179634215:-1 gene:gene-LATHSAT_LOCUS18634 transcript:rna-LATHSAT_LOCUS18634 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSATSSWWIHYKKVAFIWFISALCFFILFQMALHNSSNTLSSPSSDSSNLSSERRSKLYDKMARDLDEHGAAFLKHGETSQSLSISDLFTLNGGSVTPVLKPANPPVRANVLYLSTEFSVPVAEAVKNIFNPYFDKAIWFQNSSMYHFSMFHASHHIVPVPATKEEIESEASLVETVAAGLCPLNIVLDRVVLTSTGVLLGCWQVISGTDPITIRARLKNVLPRAPEKQLYDAAILHTTFARLLGPPRASSTEHLTTSGELKFFHELVNQLNRQIRGFKAVVSELWYVEEYDVLALALNGKMNGHKFILGCSSRD >CAK8536232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920037698:920040189:1 gene:gene-LATHSAT_LOCUS5621 transcript:rna-LATHSAT_LOCUS5621 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAEKSLDPQLWHACAGGMVQMPSVNTKVFYFPQGHAEHAQSNVDFGDSFRIPPLILCRVASVKFLADPETDEVFSKITLIPLRNSELENEDSDGFNGNASESSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFLRGENGELYVGIRRAKRGIVNGLETPSGWSSGNGSSGLGIGPYGGAFSVFLREENNKLLRNGIGDGGVGGNLGGGRVKVSGESVKEAMRLAASNQTFEVVYYPRASTPEFCVKVSSVKAAMRIQWCSGMRFKMPFETEDSSRISWFMGTISSVHVVDPVRWPNSPWRLLQVTWDEPDLLHNVKRVSPWLVELVSSMSVIHLSPFSPPRKKLRFPQHPNFPLDVVQFPIPTFSGNPLNPLCCLSSSSDHYNAPAAGIQGARHAQIGISLSDLRVNNINNNRIQLGVFPNNPETISNDKSKESLSCLLTIGNSHKRSLEINSDNNVKRHQFLLFGQPILTEQQISRKASSDKDKEKWFLSDTSQSSISEQFSPGKSSITTTSTTEFCWQQLGLDTGHCKVFLESEDVGRTLDLSCIGSYEELYRKLAKMFGIERSEMLSRVLYRDATGTVKQTGEEPFSDFMKTAKRLTILMDSASKDTRRVCITGARNGECGLDASNKTGPMSIFA >CAK8574673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5947259:5947621:1 gene:gene-LATHSAT_LOCUS26997 transcript:rna-LATHSAT_LOCUS26997-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRSQVSFRRSGSSGLVWDDRFLSGELNKLNPEQHQQRRKSTSTTTEESNYNNNIKELHDGTTIQRSRSTGAGRGYRTGKVSPPAFDPPSPKISACGFCSPFAKKNQRSKPGTRRSR >CAK8574672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5936697:5947621:1 gene:gene-LATHSAT_LOCUS26997 transcript:rna-LATHSAT_LOCUS26997 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSRSHVSFRRSGSSGLVWDDRFLSGELNKLNPEQHQQRRKSTSTTTEESNYNNNIKELHDGTTIQRSRSTGAGRGYRTGKVSPPAFDPPSPKISACGFCSPFAKKNQRSKPGTRRSR >CAK8577631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579471323:579471895:1 gene:gene-LATHSAT_LOCUS29721 transcript:rna-LATHSAT_LOCUS29721 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGGDSKKKMVSLPPKQTITQTTQPERSQDNDELNVKQHSQDMEAQKGTEEHSVTGDKVKTLESINSTDAQEPKEQRKFWVDVLNDNRNPTKGMSMKYVAPSIVAGEIQIVIEDEDVETELKFWESSLIMYVLGGDLSMNTMKNFMERMWNFIKLPDIHYHEEGYFILKFHSHRDIDTVMLKGPYTI >CAK8577439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563978796:563979212:1 gene:gene-LATHSAT_LOCUS29547 transcript:rna-LATHSAT_LOCUS29547 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGMPLGDTLLEHCSHHLIHMVYYLALLHLFRFHPHLPFIIALLLLIGSLGMRRQQVEYCSHYCNQLTSPLFSWFAIHNCSLVCLPSLRVREVSGGEKCPCEPCHLNRFSLDKRWRIWIRDGVEGNGIAHHLPDAC >CAK8560280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12951182:12952731:1 gene:gene-LATHSAT_LOCUS13968 transcript:rna-LATHSAT_LOCUS13968 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTRLEEGKDPAAISTLRVTHSRQFPLRLLQFFLLFLVIGIGGSFLSMYMIRHFGIHNVAFVQSSLGKPCFQRPAVVENWFKAPSSLLHSMNDAELFWRASFAPRIQRYPFKRTPKIAFMFLTKGPLPMAPLWERFFKGHEKLYSIYVHSLPSYNADFPPSSVFYQRQIPSQVAEWGMMSMCDAERRLLANALLDISNEWFVLLSEACIPLQNFSIVHRYLSRSRYSFMGAFDEPGPYGRGRYEENMKPEINLSDWRKGSQWFEINRELAVRIVEDSTYYPKLKEFCVPHKCYVDEHYFQTMLTINTPHLLANRSLTYVDWSRGGAHPATFGKNDIKEEFFKKILQDQTCLYNNQPTSLCFLFARKFAPNALGPLLDLAPKVLGIANSSRF >CAK8574191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668360899:668366411:-1 gene:gene-LATHSAT_LOCUS26557 transcript:rna-LATHSAT_LOCUS26557 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQHEALSENNEAKPDELSCKKSNTMQIYRQDVVKNKSSGIIGIVTEVNGDSDSDSDSDSGVTDDEDDSEDEDGDVEEGDESNNGRRSSDRNDASVHGKTAALQANEIRVLWKDESESTQNFSEVEVIDRGFLHGDFVAAASDPTGQVGVVVDVNISVDLLAHDGSVVKEVSSKDLKRIRDFTVGDYVVLGPWLGRIDDVLDNVTVLFDDGSVCKVSKADPMNLKPISKNILEDGHFPYYPGQRVRAKSSSIFKMARWLSGLWKANRLEGTVTNVTVGSVFVYWIASAGYGPFSSTAPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSSVLSSSASVYKSKSKSEHNVSVNIELDSNQTESGCDSEEATVEESHGNKDAMDLDSAGALIGNGGDDRNNPSHESSSCGSSISVSKDPVHEAWPLHRKKIRKVVIRKDKRARKKEESFEKALMIANTRTRVDVSWQDGTIERELDSTSLIPIENPGDHEFVSEQYVVEKTSDVGEDNCETSRVGVVRSLNAKERTACVRWIKPAAKVDDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPVSVGVEASVGASTEKSKLENEKSGIIKVVSTQSTRTGTLSGETDVEFSDLSWVGNITGLKSGDIEVTWADGMISTVGPQAIYVVGRDDDDESIAAGSDISDAASWETVNDDEMEVLEESREDVNRENSSNVTSEADENGERDLSRTAALSVPLAAIRFVTRLASGIFSRGQRSLNHVHTPPNREIECLSPVNVCETSSHGCIAIEGGDNSGRKSFKIEESVIPRGSENVEASETLCSLKNEDAPASCDDDACSLKHFDMVTDPLDHYYIGANGQRNNRKWYKKVQQDWGILQNNLPEEIFVRVYEDRMDLLRAVIVGPFGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQTGTAEGEKNSLSYNENTFLLNCKTMMYLIRKPPKDFEVLIKEHFKRRGHYILKACDAYMKGYLIGSLNRDASVSNNSSPNSTSVGFKLMLAKIVPKLYLSLSEVGADCEEFKHLKEL >CAK8543426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607388912:607389358:-1 gene:gene-LATHSAT_LOCUS12183 transcript:rna-LATHSAT_LOCUS12183 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSSNSKVHVKTTKVSSIVQHVPKQKMMSFPTEQGDSIKGTTTLRDDEYIGKHKIETPLDSDETFNNFIRRAKYRIRTDTMSKSNIEWEKSNNTVPPAPDHEVNIDNNSDKENYQMKQFDDFIQISKRKIRATSTIRNNSFLNKS >CAK8540917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31516873:31526580:-1 gene:gene-LATHSAT_LOCUS9874 transcript:rna-LATHSAT_LOCUS9874 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPSQTNKTNGDYAFYNNTTSDMSHFQFVQDYSNYDNHCLRSEFLFNHMAEQEESFSRLEPEPEPEPETISTSKENEEQERESNNNNSNNWLQLSIGNPVVTTTTTKHDADHTQAPANLLELELLPTNWTSSEQPSIRGCSTFFDQTTPSSSSSSVSISMSMPAGPTYSHHHQQHLVPHNWSFGPLLPHSMAIMASSFSSSQSCSSLRPNYYPQYHHASPFHFPSSSSSGFDQLDIAGPSSSSHLTFRVVDPPRRPHSGIWFMLQASQNQVKQPFLPQIPKNYLRIKDGRMTVRLLMKYLVNKLKLESESEIEITCKGQQLLPFLTLQHVRDNIWTPRDTTTTTTPLLSDSSTTDHVMVLHYSRSTS >CAK8539836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528326691:528327011:-1 gene:gene-LATHSAT_LOCUS8896 transcript:rna-LATHSAT_LOCUS8896 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGGKVSFSRVNAPIELQQLFLDGSVEVRHFRQHIRSYNHVLSFTSIGVHVDENILASGRGIYTFRAQGAFYHNIGGFYPNEGARPRFFQLYIYDTDNELHNRM >CAK8539853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529206124:529209064:-1 gene:gene-LATHSAT_LOCUS8913 transcript:rna-LATHSAT_LOCUS8913 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSNIASEVGLRLLLYPLGSNVVLRTACCSVGVALPVYSTFKAIESKNQDAQQRCLVYWAAFGSFSLVEVFTDKLISWVPMYYHVKFAFLVWLQLPPTNGAKQLYMNHVRPFLIKHQENLDRVLGLAYCEVIKLVSSYQKEIQSVKIIVGKITKSADKMLRSPPTASDRSSQHSSVDESVKPPNADPDQDNS >CAK8575097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28938652:28942247:-1 gene:gene-LATHSAT_LOCUS27386 transcript:rna-LATHSAT_LOCUS27386 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYATVLFLFFVMSTTSSFCLQEMEILQMAKTQVSQAMNWVDNSMKLHGLESMSVSSLNQTRVLALRDCAKLYEESEFRLRDMMVGKRGYSNDDALIWVSALMTNHRTCLDGLKEKGHVQGDHVLGRNLTSLLGQMLVLYSKNKVKSKDKFQRITISEENDGLLESWSVENHEADFTVAQDGSGSYKTIKEAVDALASRGHNRPSRVVIHVKAGVYNEKVEIGSKLHNIMFVGDGIDKTVITGNRNVLRGGTTLNSATFDVSGDGFWARDMTFENTAGPQNHQAVALKVSSDLSVFYRCSFRGYQDTLYLFSSRQFYRDCRIYGTIDFIFGDAAAVLQNCDIFIRKPLSHQSNFITAQGRDNPNEPTGFSIQASRVRPDSEFVTVKDSVNTFLGRPWKKYSRTVFLKSDLDGLVHPKGWGEWEGSFAISTLYYGEYMNTGNGASTENRVNWPGFHVLKSAEEASPFTVSRFLQGGNWISASGVPFVSGI >CAK8565639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296759591:296762314:-1 gene:gene-LATHSAT_LOCUS18833 transcript:rna-LATHSAT_LOCUS18833 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFIDKKASTTFQLMARDSTDPAFAQSDRVFVRVDNNPRSADSVFADSPDDPDAEFDTDDGFAQGSGPLPDDVRKEILELGFPDDGYNYLFHLREIKNTGGGSNFFSNPKFKLEHVSDVKAYDASRVRIKEAAEKEPEENTLYSVASHTANVRVQKAVDPEVAALLDDSDVSRFGSDVEDLEEDFVVQANLCEDEEGNEEKTHTSNEKKHVLQVSAYSTLPGDCGPLDGSLNGATDVHCVVEKPRGRRLLDEQFDLFERQEYGTDDNSDYDDYYGDYEENYRAEDESLAEKLKLSLGNHVMDNQELDAGGKYRVPAEGEEEEDSAADVIRRTKEYGEQYEVEDDSKDAVIFEESSDESEVWDCETIVSTYTNLDNHPGKIETPGATRKKKLAETVTAAFSSSSPIISLRGKAKLPLDFLPGGRKPAAEKVKDSTIEKTERYKRKQHGLESKEEKKERKAAVKEERREARRTKKEMKELYKCEANRAQRAAAVSGPSSIHLL >CAK8567519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520117426:520118114:1 gene:gene-LATHSAT_LOCUS20565 transcript:rna-LATHSAT_LOCUS20565 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYAGNICPRVQLALEKNKKFAESWSPTWYGDDDMAIFGVTSGIETYYVNIKEGTCACRKWDLTRIPCSHTITCIWHNKKHLEEFVYEYYRKTTFQNTCSHIIYPTNGPQLWLVDGILIVNPPVMRRAIGRPKKLRNKSNDEPKNPHVLRRKITTVTCTKYGSMEHNKRTCKGKRAADRVMPKGGVAVRIRYQAIGFNLNNKS >CAK8579127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677921723:677923166:-1 gene:gene-LATHSAT_LOCUS31095 transcript:rna-LATHSAT_LOCUS31095 gene_biotype:protein_coding transcript_biotype:protein_coding MSAICNILNVVLPPLSLIILPIIMLPYLFFKLLIHVKKMVYKENMARKVVLITGAASGIGEQLAYEYARRGARLSLVDIRKENLVAVAEMARSLGSPDVIIIGADVSDVQESKRFVDETIDHFKQLDHLVNNAGVAIPGLIEDCSDMTKYAPVLDVNFWGAVHGTLHAIPHLKKSKGRIVVIASTCGWFPLPRSSFYNASKAALISFFETLRIELGWSIGITIVTPGFIKTELALKAYENRPSLRIIPMGSAFDCAKDIVKSACRGDMYVTNPSWVKVLFPFKVLYPELVDWVQQHIFGLLPNPFCKKGNSKTD >CAK8543867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645355516:645356949:1 gene:gene-LATHSAT_LOCUS12592 transcript:rna-LATHSAT_LOCUS12592 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSRLQNVRSTAQLLREATSSFSSNLFTFLFLSLLIFSFRTIVENGTSRFITFVDRDPAVKALLSRIDLAGNVNHHHRQRSSSPQYQPSPAALRRRRPFLHLTRVGTLDDDFFSGDDDDGRTLFGSNSKAPVNGCVVAFGPFAVDSGFSDLVADNGVRVLEVVRSGITLRVEDSLIDVEKEEDEDDEDEDEREEKKKEKSDLGNGKQEMVTTVDLQLFVKGLEINHRDTAAFFALLGLLSVAYGWVIMVFLVTYSCVLGVVIVSVVNDLLGRFSSATGVVWDGFRLGIKRISAVVLIRWAVRDAVTQLVGIWYFAEIEDQFSFFKLFVRLKLMPFSVMSPWVKGFEKEISGFLFTWFLMDTFVTFIFSVDFWVALEDFRRGSKEIVKEGCYLLSSMLFQAIQIKCLEALLCGSLVRWGLSRIFGRVLAKMFQSTMEVYFMVTWLVFYFVAKSRDANQQGRRFGQREIEGLIDGHR >CAK8543194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586915506:586915721:-1 gene:gene-LATHSAT_LOCUS11967 transcript:rna-LATHSAT_LOCUS11967 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVPLDVMPLALVDSPNESSAVLPVYTIENLMKMKLTELRPMAKQHNILKIYKLKKAALVEQLVERLSSC >CAK8542941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561441798:561442658:-1 gene:gene-LATHSAT_LOCUS11738 transcript:rna-LATHSAT_LOCUS11738 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTENNPSIFYTHSNNSFSTLEGNKEFIVSHTTTTTNNDTLMMPPPSSTQSFSDRDIDFPQDPPKNLIKSSSKKRMGRPLGSKNRPKTRIVIEEKRETFTEVVTLHISSGEDIAESIIKYAHRRKANIIVSRGFGLISNITFLDPVSRIPLLPIEGPVHMTSLFGTYINPNCECPPRKFIIDPPCSSFTVYFSSLNGYVFGGIVGGKITAAGVILINATLVWKTTFHREVSSHKNVQEIKEVAPTYDAGVFTNVNHVAREFDNNNNVFHVPTRFDDMDANYQLPP >CAK8530392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18752934:18753230:1 gene:gene-LATHSAT_LOCUS260 transcript:rna-LATHSAT_LOCUS260 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVLSGAPVNFTYRALQIRTINFSQLLGTGGLGSVYKGSLGDGTSVASLIIVQESPIPNPPVLISTYP >CAK8530898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60563065:60563301:1 gene:gene-LATHSAT_LOCUS728 transcript:rna-LATHSAT_LOCUS728 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAVIAVAAVILGWITIEIACKPCLEQGREAIDRNLNPDYDPDDDNAVRAPLIPAASTKDPDAAASSTDAKFVS >CAK8569927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16016364:16016723:1 gene:gene-LATHSAT_LOCUS22726 transcript:rna-LATHSAT_LOCUS22726 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVAVNIAEFYCCRVEEFAARCRRRNESSDYDVVAARAMSYALLQVLVNNKFGCRCRLRLSSPLRGCRVHAKPDAVESSRVVVGETVLFLSCGFQNSALSSLNRESFVTASSKACFS >CAK8542430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512708153:512708626:1 gene:gene-LATHSAT_LOCUS11270 transcript:rna-LATHSAT_LOCUS11270 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALVEWLGSTDCVIKDMASSMLSKFDKYWKVVNGVMAIGIVLDPRYKVDLLDYFFPLIYGDEVDNEIEKVKSLFQNMVIEYNLKIKEKENVSSSSNQTQIVSDAIVVGKKEAWRSNFAKHVSAKKSVTSSRSEFDGYIEEKVLPDDKNFDILGWW >CAK8569768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10428547:10429606:1 gene:gene-LATHSAT_LOCUS22581 transcript:rna-LATHSAT_LOCUS22581 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSLSLPHFRSSFLSSPLKSFSSTPSSRNIIIQPKHTLYPRIRAIELDQNTVIAITVGVLSVAVGIGIPVFYETQIDNAAKRDNTQPCFPCNGSGAQKCRFCLGTGNVTVELGGAETEVSRCINCDGAGSLTCTTCQGSGIQPRYLDRREFKDDD >CAK8534002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681496797:681497162:1 gene:gene-LATHSAT_LOCUS3578 transcript:rna-LATHSAT_LOCUS3578 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIIVTCHFNGVVSANSPVGFSFSNTDTYAFKIHVSSDFFHFKDRMEKKLAQSVEEIIYRHPILNEDDCTIFYIMTPIRNDEDVKAMFRCHMVFGQLPIIELYVRPLDNPETFPTQET >CAK8532231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:226107648:226109045:1 gene:gene-LATHSAT_LOCUS1961 transcript:rna-LATHSAT_LOCUS1961 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNTPPHILVIPFPAQGHMIPLLDLTHKLATTNKNITITILTTPKNQTFLTPLLNSHPSTIHPLILPFPSTPSIPHSVENAKDLPNSFITFILSLSNLHHPLLQWFHSHPSPPHYIISDMFSGWTQHLASQLNIPRLVFSPSGAFAFSTICFLWKNLPTLVNPIHENELLSYHNIPNSPKYPWWQVSPLFRSYIPGDTDSEKLRDFFLCNIQSWGLIVNTFDEFEKPYIDYLKTEMGHDRVWAVGPLLPMDDSSAMALQRGGSSSVSANDIVSWLDQRQNRKVVYVCFGSQTILTKDQTDAIASALSKSGVHFIWSIKDAKTKNENEDAVVGNGRGLVIRGWVPQVLILRHRAVGAFLTHCGWNSVLESVVAGVPMLAWPMTADQYVDATLVVDELKVGKRVCEGGESVPDSDELGRVLAESVGGSGEEIREALKLQQAALDAIREGGSSDMNLRCLMEQLVNV >CAK8544474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687393398:687394637:1 gene:gene-LATHSAT_LOCUS13150 transcript:rna-LATHSAT_LOCUS13150 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNHITNYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQDEENLIIELHSVLGNRWSQIAAQLPGRTDNEIKNLWNSYLKKKLRQRGIDPVTHKPFSEVENGEEEDEKARSQEKVTEISESTSKSDAGSYEQKQSSIVVKAYEPEMEVEGSCSNSNNYLMGNYPIQMSFTSNDNLPNNISNSSAHWFNQTGLKPFDMNSEFTSFLPSSFCYKPSLVDVPSEDVSISSDSFHMKNSMLSPWGLTDCETSIKENQTEEEEDKWNEYLQNPMLMLQNQAFEGLCNQRKPATNLSHDDGLETTLPHSKQQESSSYL >CAK8562129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443361358:443368851:-1 gene:gene-LATHSAT_LOCUS15653 transcript:rna-LATHSAT_LOCUS15653 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRTLVLLFIYFYGTLVSAYTKYNTGASVVEGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNTIQGACVENVLDSLVCSLQKDPNRKFVFAEIAFFHRWWVEQSPENQEQVKKLVAAGRLEFVNGGWCMHDEATVHYIDMIDQTTLGHRFIKDIFNATPKAGWQIDPFGHSAVQGYLLGAELGFDSVHFARIDYGDRAKRRNDKSLEVIWRASKTFGSSSQIFANTFPVHYSAPKGFNFEIGSSDNIVPLQDDPLLFDSNIAQRVQDFIDAAIIQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKNAANQLWPLKTDDYFPYADGPYAYWTGFFTSRPALKRYVRFLSGYYLATRQLEFFAGKQSTIYNTFDLADALGIAQHHDAVSGTAKQHTTDDYVKRLAIGASKAEAVVSSSLAYLVSKQSGDQSSAVASTFSECQLLNISYCPPTEDNIPETKDLVVVLYNPLGWNRTDIVRIPVNEANLAVKDSSGNNLEVQYVDVDSVTADLRRFYVKAYLGLSPKKAPKYWLLFQVSVPPLGWSTYFISKATGKTTRRKGDLSHLNNKKGENIEIGPGRLKMSFSSTSGLLERMYNSKTGVNIPIQQNYLWYASSEGDLSDTQASGAYIFRPNGSSPHIVSRSVSLKVVRGPLVDEVHQTFSSWIYQVTRLYKGKDHAEIEYTIGPIPDDDRIGKEVITRMTTNLATNKEFYTDSNGRDFLKRVRDHREDWPLQVTQPVSGNYYPLNLGIYTKDKKSEFSVLVDRATGGSSIKDGEVELMLHRRLFNDDGRGVGEPLDEDVCTQYVNVTCEGLKVRGNYYISIDNIGAGSHWRRTTGQEIYSPLLLAFTHENAENWKSSHLTKGTVMDPNYSLPPNVALITLEELDGGIVLLRLAHLYEESEDAQYSTLAKVELKKLFATKTIKELKEVSLSANQEKSEMKKMTWKVEGDKGQEPQTVRGGFISTSDFVVELGPMEIRTFLLKF >CAK8572516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543065494:543066070:-1 gene:gene-LATHSAT_LOCUS25075 transcript:rna-LATHSAT_LOCUS25075 gene_biotype:protein_coding transcript_biotype:protein_coding MCENSTAEVLGFDDDIPPYASASDDAILKGVNFASAAGIREETGWQLGGHTTSSGQVNNYQETVSRVVNLLGTEDQAASYLGKCICPIRLGSNDIQLVVSIHQKPLLMFLLKIILNNFELYTIMEQGK >CAK8536820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24132532:24133353:1 gene:gene-LATHSAT_LOCUS6151 transcript:rna-LATHSAT_LOCUS6151 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPFNIYRGEKKGDAKSLQTLSGLSNNSTYGGSDVRRTGSELNSLDASSDNSSDSLRRNAFPNLSQRPSNLRVFAVSELKSATKNFGRSVMLGEGGFGCVYKGVIKTLDDPPSKIEVAVKQLGKRGIQGHKEWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLIYQYMPNGSVEHHLSPRSETPLSWSRRLKIAQDAARGLTYLHEEMDFQIIFRDSKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSIAVVGTMGYAAPEYIQT >CAK8536413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939476255:939476891:1 gene:gene-LATHSAT_LOCUS5784 transcript:rna-LATHSAT_LOCUS5784 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYSKPVSSVGDNMSVATAPTKPRILLATSGSVAAVKFANLCQCLCEWAEVRAVATNPSLYFIDRTAILKDVILYTDNNEYSSWKKLGDSVLHIELRISWSLLHYRQTLLARLLEACVTIK >CAK8536414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939476255:939476596:1 gene:gene-LATHSAT_LOCUS5784 transcript:rna-LATHSAT_LOCUS5784-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYSKPVSSVGDNMSVATAPTKPRILLATSGSVAAVKFANLCQCLCEWAEVRAVATNPSLYFIDRTAILKDVILYTDNNEYSSWKKLGDSVLHIELRISWSLLHYRQTLLAR >CAK8576186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:420456949:420460150:1 gene:gene-LATHSAT_LOCUS28393 transcript:rna-LATHSAT_LOCUS28393 gene_biotype:protein_coding transcript_biotype:protein_coding MINMDDDLAGELNGVFLCVLPMFHVFGLAVVTYAQLRRGSAVVSMGRFELESFLRAIEKYRVTDLWVVPPMVLALAKQSVVSKYDVSSLKCIGSGAAPLGKELMEECARRLPHVTVYQGYGMTETCAIVSKENPRVGIRHPGSAGMLASGVEAQIVSVDTQKPLPPKQLGELWVRGPNMMQGYYNNPEATRLTLDKEGWIHTGDLGYFDENEQLYIVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVVPFPNDEAGEVPIAYVVRSPNSSLTGEEIQKFIANQVAPFKRLRRVTFISSVPKTASGKILRRELIAKTRSKI >CAK8576187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:420456958:420460150:1 gene:gene-LATHSAT_LOCUS28393 transcript:rna-LATHSAT_LOCUS28393-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLAGELNGVFLCVLPMFHVFGLAVVTYAQLRRGSAVVSMGRFELESFLRAIEKYRVTDLWVVPPMVLALAKQSVVSKYDVSSLKCIGSGAAPLGKELMEECARRLPHVTVYQGYGMTETCAIVSKENPRVGIRHPGSAGMLASGVEAQIVSVDTQKPLPPKQLGELWVRGPNMMQGYYNNPEATRLTLDKEGWIHTGDLGYFDENEQLYIVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVVPFPNDEAGEVPIAYVVRSPNSSLTGEEIQKFIANQVAPFKRLRRVTFISSVPKTASGKILRRELIAKTRSKI >CAK8578198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612103462:612104379:1 gene:gene-LATHSAT_LOCUS30231 transcript:rna-LATHSAT_LOCUS30231 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWNPREMRNETRNMFASLHALLPDLPSKVDKLTIVDATTKEIKNLQLILENLEINKQEKLKSMFPFVSDSSSVTNSPLISYESRKTSIVDQGSSNYNNKFFNGAIKTSNAVSLYAPPPQQVVFQTWSSKNVVLNICGGKAQFCICASKKSSLLTTIAFTLEKHMIDVISINITRNGNENLYMILVHASQGLYNRNSMEKTCKRAVREILTWIS >CAK8574270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673024189:673025355:1 gene:gene-LATHSAT_LOCUS26630 transcript:rna-LATHSAT_LOCUS26630 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDNKGHGQNYSACSSPSSLTPTEETTSNLLHELHLPFDLVVEILCRLPVKHLLQLRCVCKSWNSLIAGDSKFAEKHLRLSTSNHERHYLIRIPKFTKELVVCNSPISSVFSSTSTTCVEQFTYFIGEIVIIGGASTCDGIICFRISNSLALLCNPSIRKYKILPPLTFLPQLFTLYTLVYDRFTNNYKIIAVSTHSTKIYVNIHTLGTDYWRRIPDFPAPFLLPKLGIFMSDSVNWLAHADDAHALFVVSLDFRKDSYQKLSLPGFDVQSTTSSMTLGTLRGCLSFLYQRDKFSHIWIMKEHGNEKSWTKLLIVPHMKECGFYGYSRALYISKDDQVLMEFLKNGKCSLVVYDFINNTFKIPKFPNNIHGKMMTHEVYVESLISPF >CAK8531197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88643612:88643980:1 gene:gene-LATHSAT_LOCUS1004 transcript:rna-LATHSAT_LOCUS1004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIRGVVNGFPLRIEAEKVLEKNVEMNSDFLKKMFEKIEWKAFVEASRGMGYTELPEEADSSMLDSDEFLNRFHHALLELHLEEGALVCPETGRRFPVRKGIPNMLLHEDEV >CAK8530168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3969904:3974131:-1 gene:gene-LATHSAT_LOCUS57 transcript:rna-LATHSAT_LOCUS57 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQFLCFHLHPQITPPLLSKRITNRFWNSNTDSTNNQTPHFLKMQLQLNSSSNSTPVPISESQRNKIPHVLTVAGSDSGSGAGIQADLKTCASRRVYCSTVITAVTAQNTLGVQGVNVVPEDFVAQQLNSVLSDMNVDVVKTGMLPSLNVVKVLCQSLRKFPVKALVVDPVMVSTSGDILAGPSVLAGFREELLPMADIVTPNVKEASALLGDVPMKSVSDMRTAAKLLHDLGPRNVLVKGGDLPNSSDAIDVFFDGQEFYELSSPRVNTRNTHGTGCTLASCIAAELAKGSSMLSAVKIAKRFVESALDYSRDLVIGNGVQGPFDHFLAFKNISQSSSRQDRFNPNDLFLYAVTDSRMNRKWGRSIAEAVKAAVEGGATIVQLREKDVETRDFVDAAKVCLEICRSYGVPLLINDRIDVALACDADGVHIGQSDMSARLARTLLGPEKIIGVSCKTPEQAHQAWIDGADYIGSGGVYPTNTKANNRTIGLDGLREVCKASKLPVVAIGGIGMSNAGAVMKLGVPSLKGVAVVSALFDRECILSETRNLHAVISEAALLPQ >CAK8578144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609609217:609610353:-1 gene:gene-LATHSAT_LOCUS30183 transcript:rna-LATHSAT_LOCUS30183 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTFSTMTLNLLLLIAMVATNILSLYHLSTTLQSPKSSPKPLPQIPDELLRQLHTIRATINHLTRLQDTNPNPNTKSSIPSDLLLYSHLSPIASSCHNHPDLLHKYMTYTPFSLCPSDSELAESLILRGCHPLPRRRCFSPTPKKQTPSSSFPHNPFPSSLPDSSVIWDRYSCKSFDCLNRQNPNLGFQPSRETSKFTTYTSDLDLPVQQLLQIAKSAKSVLRLGLDVGGGTGSFAAAMKLRNVTVVTTTMNVVAPYSEAVALRGLVPLHVPLQQRLPIFDGVVDIVRCGRAVNRWIPATMLEFLLFDVDRVLRGGGYLWLDHFFSKGVDLEKVYAPLIGKLGYKKVKWATGNKTDAGGVKNGELYLTVLLQKPLSR >CAK8542376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506696538:506699009:1 gene:gene-LATHSAT_LOCUS11220 transcript:rna-LATHSAT_LOCUS11220 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFNFGENSDARLSGDSFGYCRIWTSSDASNSNFSDCIDDSSYASEPSPFPSPSPSRWRDVKPGLSRLGMKLRKNSVDNKLDGNDLLDSAEVEMMKERFAKLLLGEDMSGGGKGVSTAVTISNAITNLYATVFGQSLKLEPLNPEKKAMWKREMKVLLSVCDYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDTMLIEILESFEDTEFWYAENISATSPRLRAASFRKIVPRKDEKWWLPVPCVLPGGLSEKSRKHLTEKRDCANQIHKAAMAINSNILAEIDIPETYIDDLPKLKFYCQVIDDSFKCDPIRQMSWNLSSNLISFLWKPIIAAESCRNRDDITSYKSGRGSLGDTIYNYMYTADMFSPDRLLDGLKISSEHEALDLADRVESSMYTWRRKACLSHSKSSWKEVKDLMDETDLEDKNFSLADRAEALLFCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLEGLAFNIVAWIEDVLYVDKTMTNREV >CAK8542377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506696538:506699009:1 gene:gene-LATHSAT_LOCUS11220 transcript:rna-LATHSAT_LOCUS11220-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFNFGENSDARLSGDSFGYCRIWTSSDASNSNFSDCIDDSSYASEPSPFPSPSPSRWRDVKPGLSRLGMKLRKNSVDNKLDGNDLLDSAEVEMMKERFAKLLLGEDMSGGGKGVSTAVTISNAITNLYATVFGQSLKLEPLNPEKKAMWKREMKVLLSVCDYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDTMLIEILESFEDTEFWYAENISATSPRLRAASFRKIVPRKDEKWWLPVPCVLPGGLSEKSRKHLTEKRDCANQIHKAAMAINSNILAEIDIPETYIDDLPKSGRGSLGDTIYNYMYTADMFSPDRLLDGLKISSEHEALDLADRVESSMYTWRRKACLSHSKSSWKEVKDLMDETDLEDKNFSLADRAEALLFCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLEGLAFNIVAWIEDVLYVDKTMTNREV >CAK8578585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640370301:640370600:1 gene:gene-LATHSAT_LOCUS30582 transcript:rna-LATHSAT_LOCUS30582 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSISLLMFLVLFASFCNRLEGRKLVVGGEKQWKKMKMKPSLRDGLFLSALPKGSVPSSSPTKKGHAVVVDEKLIERHLISMDRVLFASVPSPGVGH >CAK8572814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565285350:565285556:-1 gene:gene-LATHSAT_LOCUS25336 transcript:rna-LATHSAT_LOCUS25336 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHLHVSGENSPTNEFRKPVGEKLKRIEDASRKGGVQMCDKIERRRWSHRDEDPIRTLMFLGSWSHT >CAK8531767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148915782:148919334:-1 gene:gene-LATHSAT_LOCUS1533 transcript:rna-LATHSAT_LOCUS1533 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVEIASSSPFGCVLRDRNHRDAYRESSKVKATHGAFQRNIKNFVMDHLNTCISMSSDSQTTNENKNEGQIKSNKNNDDGSTWVSKPGNNLAKIRLTRNSPNDIINNKKDESSLASLISPRHSQLLDRWAAKQAREMVSTLEKEAELLSIDNANDDVPVRTTSSTTSDECSSEIPNLGASSLVQIWEKRLNKTSGTKPNTPMEKTSPNGGTSATCNNENVFVGVEQRGSEIGECLEGQLLGNEENDSSFPDWESDKTGDQGDQGDQSRWCSSESDRVSVADIIKKLTATTSQTQSPSPYIGDENDNEGCGGNSSVACSPCKDFAPELSDQKVTCSPRIRGRRAFTDLFMQLEKDRHGELKNLAERGTVSKFTQRGRIQALLRHKSLQRGVAANILSDQKSTSSEVSKLVQPQGSAIMQLREKFINGVEQRSTVEAEVVKTRNARKVIGNNIRQLENSSTTDQLSKDTSSEIAHGTTSHAIKTQNKYAKETSEAAKPVTDSNVNEKEEEETSRECNDTGYDYAADEEGSNQNYAESSWEEMVEENYDENSYDWFSEISRPRSYWEERRQAWYKEMQDTGSPNDDIQVLLQRRTVSTFLSSDFREKMDRLMHSHRGTQTHFVTSTDDEIDNEALMEFFKEHLQPERTPRENKIEKTVDEEEEMVNEQEEEEEEKQDEKEHEEEQGGESLVSDSYHEVGDYSYRSSSWSYRDNEAGDDFDRVPSTSSQQPFQSPSFYHENTPQNSSPINHHSIEMEIIYDMRGHMEQLYQEMSELRKSIKSCMDMHMQMQLQEKKSHNKADKKGNCCVCNEMKADSVFYRCGHMCACLKCANELQWKNGKCPVCRAKIDDVIRVHVEA >CAK8563872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636455789:636459106:-1 gene:gene-LATHSAT_LOCUS17236 transcript:rna-LATHSAT_LOCUS17236 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTKKVIAICQSGGEFVSNTDGSMSYSGGDAYAIDIDQETVLSDFKSEIAEMFNCNVDTMSIKYFLPGNKRTLITVSKDKDLQRMVSFLGDATTVDVFVLTEEVAARNTSNMPASRSSRTTVSEAVVPDVLPINIAAVDAAQSIEQVEMDIANEAPSQSLCSGANDDKPHRAAQQWENTITGVDQRFNSFSEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASKLSTTQLICIKKMTRNHTCEGSAVKAGYRATRGWVGSIIKEKLKASPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYTQLPFFCEKIKETNPGSFATFTTKEDSSFHRLFVSFHASLTGFQQACRPLIFLDKTPLNSKYQGELLAAISVDGNDGIFPVAFAVVDAETEDNWHWFLQELKSALSTSEQITFVSDFQNGLKKSLSEIFGNCYHGYCLRHLADKLNRDLKGQFSHEARRFMVNDFYAAAYATKPEIFERSIENIKGISPEAYNWVLQSEPEHWSNAFFNGTRYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGNMMETISTRREESNQWITKLTPSKEEMIQKEASDARSLQVLLSQGTTFEVCGESVELVDIDNWDCSCRGWKLSGLPCCHAIAVFECVGRDLYDYCSRYFTVENYRLTYAEPIHALPDIDRPVQVEPDMAVTIVTPPPTKRPPGRPKTKQVESIDIIKRQLQCGKCKGLGHNRKTCKLP >CAK8565988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365125699:365126382:1 gene:gene-LATHSAT_LOCUS19157 transcript:rna-LATHSAT_LOCUS19157 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGGAVAGASSSEPPTTSPTVTVTTVQPEGSSPPPPPPQAPPSRYESQKRRDWNTFLQYLQNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVSGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGAKAVRIYLREVREGQAKARGIPYEKKKRKRSAVTVSAVSSSGGGANDDSGGGNGGAGDNTVVGGGTTTSTTTAANASTS >CAK8561826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389864019:389864807:1 gene:gene-LATHSAT_LOCUS15380 transcript:rna-LATHSAT_LOCUS15380 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSEELTIFRYYIREIPPKYEIPFDMFKQIDIILSFASEKGDGRFQPTWIVDRFGIEWLKRFKQEHPNGRVVISIGGVGSEFPFNPAQKDGWICNAIETIKNIILLYKDIIDGIDIHYDVIESSEDDFSFCIGQVIKQLKNNIDLSIKVVSIAPTELVEPYYLKLYKDNKDIIDLVDYQFYNQKFSSKKEILELYQNLVTEYCPAKVLVGISFPIDPILHAAIRYLINHKLLPGIFFWNMFDSIDGPTNFSLEKILKDLI >CAK8544614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695837983:695839291:-1 gene:gene-LATHSAT_LOCUS13273 transcript:rna-LATHSAT_LOCUS13273 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAAGAKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNIYELRYFNIAENEGEEED >CAK8571226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:343833852:343834534:1 gene:gene-LATHSAT_LOCUS23908 transcript:rna-LATHSAT_LOCUS23908 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAGLVLALLCGLFPSQILFWRGMKLQQDLEHGFWLDELLWSKVDALSHSRFCGTTFTASHVGIVRFG >CAK8533552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:635980724:635982754:1 gene:gene-LATHSAT_LOCUS3172 transcript:rna-LATHSAT_LOCUS3172 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLVSQIGFAHFTPPSSLSSPSITHFRYNPCSSSMSSSSWTPSENKDGRKSKKVWIWTKNKQVMTAAVERGWNTFIFPSNLPQLANDWSSIAVICPLFLGEGEILDAQNKRVATVFDVSTPEELEGIRPEDEQAENIVVNLLDWQVIPAENIIAAFQNSQKTVFAVSDNTSEAQIFLEALEHGLDGIVLKVEDVEPVLELKEYFDRRTEENNVLNLTKATVTNIQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGRTSYLSELKSGKEVIVVDQQGRQRIAIVGRVKIESRPLILMEAKTESDNQTISVLLQNAETVALVCPLQGNKQSKSAVPVTSLKVGDEVLLRIQGGARHTGIEIQEFIVEK >CAK8533057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573206281:573209286:1 gene:gene-LATHSAT_LOCUS2709 transcript:rna-LATHSAT_LOCUS2709 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTVRKLIVEVINAKNLMPKDGQGTASAYAIVDFDGQRKRTKTKSRDLNPQWDEKLEFLILDKESMVSETLEVNLYNDKKTTGKRSTFLGKVKISGSTFVKLGEEVIVYYPLEKRSVFSQIKGELGLKVCYVDEILPVTETAGDEKKEEKAEEKPQEKVTETAGDEKKEEKEKAEEKPPETVTEAAGDEKKEEAPNPVVTTENQKPKEETPAPAVPPAAEVVNPPIAETEKVKIKEKQFEITQKRAELIVRDHELRSLSNDRSRSAYDLVDRMAFLYVRVVKAKRSTKPDEKALTLFSKLVIGSHSVRTKSENEGKDWDQVFAFDKEGLNSTSLEVSVWSENMENDQKTESSLGTVSFDLQEVPKRVPPDSPLAPQWYTLESEASPGNDVMITVWIGTQADEAFQESWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSGSEAKVRQPELYVKAQLGAQVFKTGRTTFLSSANPTWNEDLVFVASEPFEPFLVITLEDVANSKSVGKTKIHVSSIERRIDDRTDVKSRWFNLCGSDENNSYTGRIHIRICLEGGYHVIDEAAHVTSDVRASAKQLTKSPIGLLEVGIRGATNLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTIVDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRFEKDVSKTHRDVRMGKIRVRLSTLDTNRVYVNSYSLIVLLPGGARRMGEIEIAVRFSCSSWLSLMQAYTSPILPRMHYVRPFGPAQQDVLRQTAMKIVTARLARSEPALGHEVVQFMLDSDTHVWSMRRSKANWFRLIGCLSRATTVFRWLDGIRTWVHPPTTVLVHALLIAIVFCPYLILPTVFMYAFLILILRFRNRPRVPQNMDPRMSYVDMVSLDELDEEFDGFPTMRSVEMIRIRYDRVRALAGRAQCLIGDVAAQGERLEALFSWRDPRATGIFAVMCLVMSLVFYAVPFKGFVLLAGFYYMRHPRFRDDMPSISVNFFRRLPSFSDQIM >CAK8579094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674106125:674107295:-1 gene:gene-LATHSAT_LOCUS31064 transcript:rna-LATHSAT_LOCUS31064 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSLWSSLVIPNPNRNNRFPTFSPSSPATHKLSTTFAIPPLSTATAADVTGAIDGTTIAVVSGGFVAGLTAILSLSDPERRRREQAEEVGGDDKEVVKEYFNNNGFQRWKKIYGDTDDVNRVQRDIRLGHSKTVENALQMLKEEGSLEGVTICDAGCGTGSLSIPLAKEGAVVCASDISAAMVAEAEKQAKEQLVSSVMPKFVVSDLESLEGLYDTVVCLDVMIHYPQSKADGMIAHLASLAEKRLVLSFAPKTFYYDALKRVGELFPGPSKATRAYLHSEADVERALRKVGWTVKKSGLIATQFYFAKLIEAVPM >CAK8542913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:558960083:558961946:-1 gene:gene-LATHSAT_LOCUS11711 transcript:rna-LATHSAT_LOCUS11711 gene_biotype:protein_coding transcript_biotype:protein_coding MSDISLFPLANSEMGLEIFRPSSMFQSKQEDGYLGRFHRKNLSLKLGDGVEQKTSLSVKTGYSKLCSRGHWRPGEDAKLKELVAEYGPQNWNLIAEHLQGRSGKSCRLRWFNQLDPRINRSTFSEEEEERLLASHKMYGNKWAMIARLFPGRTDNAVKNHWHVIMARRHREQCSVYRRRKPLVESLSKGLKLSLSNNAASDSTLSSTIDGNASTCTNLSLTPSSLKLSPQLFDKLVANVQNHQTYGSLLGKSRESGVETSFDKYFGGCKKHEKLEKLKVMDVVQSNYSDSNSSEVSVSESVGTNNNRSNISISGESVNVGINMLVPPPFIDFLGVGAS >CAK8539217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506874818:506876685:-1 gene:gene-LATHSAT_LOCUS8332 transcript:rna-LATHSAT_LOCUS8332-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPKGSNQSKICYRPIRPSDLDVLERIHGRLFPIRYESTFFQDVVNGRDIVSWGAVDRSRPNGQSDELIGFVTARIVLAKESEIVDLLGYDSAKSDQTLVYVLTLGVVEAYRSLGIASSLIREVVKYASSIPTCRAVYLHVISFNISAINLYKKMSFKCVRRLQGFYFINGQHYDSFLFVHYVNGGRSPCSPLELLSAIISCMKSGFKAVAAKLCKNEVRKISRWEKCKESHSLVSLSTIPNKRNMAVECTGCEFV >CAK8539216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506874818:506876715:-1 gene:gene-LATHSAT_LOCUS8332 transcript:rna-LATHSAT_LOCUS8332 gene_biotype:protein_coding transcript_biotype:protein_coding MCWELSGKYSMVNPKGSNQSKICYRPIRPSDLDVLERIHGRLFPIRYESTFFQDVVNGRDIVSWGAVDRSRPNGQSDELIGFVTARIVLAKESEIVDLLGYDSAKSDQTLVYVLTLGVVEAYRSLGIASSLIREVVKYASSIPTCRAVYLHVISFNISAINLYKKMSFKCVRRLQGFYFINGQHYDSFLFVHYVNGGRSPCSPLELLSAIISCMKSGFKAVAAKLCKNEVRKISRWEKCKESHSLVSLSTIPNKRNMAVECTGCEFV >CAK8530327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14582344:14582931:-1 gene:gene-LATHSAT_LOCUS198 transcript:rna-LATHSAT_LOCUS198 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHFHGGTRIALNPHNSIVIRIPDTKVLRILSRSLFLVIILVALPFIGNILNGFSFTSSSHSFVASKFEFLHDMAEKGIYKNDDKTLIVSPPNGFGFEGIDVVMDNDYERKRLFLDESYDFVFASNSSDVEFVDRIVKMGGIVVMLNSLRDKPSKCAFKEQLHYRVVYFRSYGSSMIVALRKTSSAIKQQYGT >CAK8543296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596800503:596800936:-1 gene:gene-LATHSAT_LOCUS12062 transcript:rna-LATHSAT_LOCUS12062 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEGSGTYQQKVRKLKRDDTGSRKYNHPIVCRILPEERELVSDMTLNMVALENILITLKQKKPLNVSNIKQIYNVHARDNNVVRLPRSEMQKLLKTLEDEDYVSTTEL >CAK8542609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530256944:530260175:-1 gene:gene-LATHSAT_LOCUS11434 transcript:rna-LATHSAT_LOCUS11434 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTENRAVPYETAKAFADEIGIPFMETSAKDSTNVEQAFMAMASSIKERMANQPTNNARPPTVQIRGQPVGQKSGCCSS >CAK8579645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714428351:714432684:-1 gene:gene-LATHSAT_LOCUS31575 transcript:rna-LATHSAT_LOCUS31575 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFGCFKSSKTIYDFTVKDIRRNDVSLSLYSGKVLLIVNVASYCAFTEVNYKELSELYVKHKNQGFEILAFPCNQFLAQEPGSSEEIHKFACARFKAEFRIFQKIEVNGKNADPLYKYLKNEKGGIFRAIDWNFTKFLVNKQGKVVKRYAHTINPHKIEKDVIKLLHSS >CAK8542679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536912537:536914459:1 gene:gene-LATHSAT_LOCUS11498 transcript:rna-LATHSAT_LOCUS11498 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLASTCMPLSFKSNKTLLKHNNNIFHSQIPTLNLSTKRRTTILNAIRPTLSSNWLVSDDLSAKTASPWLPNFEELDTTNMLFRQRIVFLGSQVDEMTADLVISQLLFLDAEDPTKDIKLFINSPGGSITAGMGVYDVMKLCKADVSTVCLGIAASMGAFILAAGTKGKRYCMPNSKVMIHQPLGSVGGSATEMRIRAREMSYHRIKINKILSRITRKPEEQIALDTDWDNYMNPWEAKEYGLIDEVIDDGKPGLVAPIVEATPPPKTQIKDIWELKRTKGKKILPSEETPTAV >CAK8574678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6357577:6365505:-1 gene:gene-LATHSAT_LOCUS27002 transcript:rna-LATHSAT_LOCUS27002 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKAPFKGIVNDIRGRSQCYRDDWACAFRSGIGILAPTTYIFFASALPVIAFGEQLSRDTDGRLSTVETLASTAICGIIHSIIGGQPLLIVGVAEPTIIMYTYLYNFAKNRDALGQQLFLAWAGWVCVWTSFLLFLLAIYNAGNIINRFTRVAEELFGMLISVLFIQEAIKGIIKEFSVPKNENSKLEQYQFHWLYVNGLLGVIFTFGLLYTALKSRRARSWLYGTGWLRSFIADYGVPFLVVVWTVVSFSGPREIPSEIPRRLVAPLAWESTSLHHWTVIKDMGKVSPTYIFAAFIPALMVAGLYFFDHSVASGMAQQKEFNLKKPSAYHYDIFLLGFTTLLCGLLGLPPSNGVLPQSPMHTKSLAVLKGLMIRRKMVESAKDSIRNKASNTEIYGKMQAVFVEMDSSSHVTSVEKELENLKEVVLKGEDNGDNKKDTFDPEKHIDACLPVRVNEQRISNLLQSLFVGASVFAMPVIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVTPSRWFKLLEGHHASFVESVPIRNIVFFTIFQCVYFLVCFGVTWIPIAGILFPLPFFLLILVRQYILPKLFSLHHLRELDAAEYEEIVGAPRLSMDSPSFGSRELSDSEILDELTTSRGELKVRSLSFREERHGQVIYPNEIVCENE >CAK8533050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:571983439:571984778:-1 gene:gene-LATHSAT_LOCUS2702 transcript:rna-LATHSAT_LOCUS2702 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGDMCVKDSSIMLFGKKIPVPDNHIPVSSQMSCKIQANSTTMKSCSNSKHREMDMPHPRNIEKPKSSSNEENESKLTTNIVESSSTDQEKVLKKPDKIVQCPRCNSFDTKFCYFNNYNANQPRHFCRNCHRYWTAGGAMRNVAVGAGRRKNKHIDSRYRHKRNVDTTGNNFRENRDEESSSCVSSVINGYTRGNELSESEHNRSKYLQSYPSSSWMLPLNQRWNNVTSMVQSSMQMCNIFGIDPNAMHWCHAPIIAVTNIDVPFRTKDSKN >CAK8543357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602875415:602876212:1 gene:gene-LATHSAT_LOCUS12119 transcript:rna-LATHSAT_LOCUS12119 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPFGGLRVQQLVRDHNACHKHIKVELAKLHDDPDIVMYNKDAWRVAGVTEVSRCIGNAYLKREPFTLYPASEVPLTELEPSDFTRPLLSAEPEIYSRVLRDSNKFIIFGSGGLWKLLRNEDAAKIMNTGPQEGIAKRLVRVALEIAAREKNITYSELLKVPKGRSVSFERTRRSYHDISVMPDEITYISEPVPWINSFRSFSDIPFCSYSHHMYAPEALAICLTV >CAK8535247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:829794754:829798536:-1 gene:gene-LATHSAT_LOCUS4720 transcript:rna-LATHSAT_LOCUS4720 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPPFLNTYKTLLEKSLGEGSKKEDALYYMVDRSDDQQLPLIDLERLKNEEERDECMKEISEAASEWGFFQVINHGISNEIIENMISEQKKLFHEPFVKKLSAETVFNLSPNSYRWGNPCATNLRQLSWLEAFHFSLSDIPNMEQHITLRSRLEAFATRMATLAENLVEILAIKVNMNSNHFQENYLPKSSFIRLNRYPPCPISSKVYGLLPHSDTSFITILYQDQIGGLQLMKDGKWVDVQPNPSALVVNIGDLFQALSNNVYKSIKHRVVVAEEEERFSTAFFYCPFNDAVIQSENKPALYKKFTLREYRQQTQQDVNQRGDKVGLSRFVL >CAK8534693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755856690:755863699:1 gene:gene-LATHSAT_LOCUS4213 transcript:rna-LATHSAT_LOCUS4213 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNAYPNFQISPHFLASPQFSRTLPPVTRKRFRPISAFKEWREYEEAVKRKDLAGALSFLKSLETDQRLTAEPFGEETSPLSELTRSRFRELEMFGPQRDWEILDTCMSADNMKLVGNAYKFLKDRGFLPSFGKCRNIVLEGPRNVTPDVLSSSTGLEVTKLVPKKWGLTDGSRIALVAFLGGVSFLISQGIDLRPNLTVVLGLAIADSILLGGTCLAQVSSYWPPYRRRILIHEAGHLLIAYLMGCPIRGVILDPIVAMQTGIQGQAGTQFWDEKVANDLAEGRLDGSAFDRYCMVLFAGIAAEALIYGEAEGGENDENLFRSICLLLEPPLSVAEMSNQARWSVLQSYNLLKWHKSAHRAAVKAMESGSSLSGVIRRIEETLYREK >CAK8568473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:609947802:609949601:1 gene:gene-LATHSAT_LOCUS21428 transcript:rna-LATHSAT_LOCUS21428 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLTLWFLFFVFSFFLAASTGSTAATQTKVTDNPADKLVAAINENRTAHKDSSLYDNPGLACLALQYIKAYQGDCGAVGGPDAKKPPESQFVEVFAPTCGVKATTLAPITGRFLGCQTKYVHAPEAFSEILIRNQKSLDLLYSKNHTQVGAAVTGTDGGSPYFWCVLFGNGKPNSTFAFEGGVAKATKPGCYSGANDECSGAHDWSPINVMWLFAASVSIAMGFAFPL >CAK8566371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414957510:414958046:1 gene:gene-LATHSAT_LOCUS19504 transcript:rna-LATHSAT_LOCUS19504 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRGLKPHFKEGVVAFLTYVFAQECCRSEGGVRCPCLKCGCRNITSDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNREASSSQTRIGVEIGRETSSSQSHLQDHEQFSLIDDMVGDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGVF >CAK8579261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688808975:688816381:-1 gene:gene-LATHSAT_LOCUS31220 transcript:rna-LATHSAT_LOCUS31220 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESNRQRSSSSTVECKPQFKALLFLAYQSLGFLFGDISLSPLYVYQSIFSGRLKHVQNEDAIFGAFSLIFWTISLISLLKYAIFMLNADDNGEGGIVALYSHLCKNAKFCLLPNHQTSDEELSTYRKPGYSNRNIPPSYLKKFIEKHKSTKIILLVFVLLGACMIICVGALMPAISVLSSVEGLKIEAKIKNNSMVPLISCVLLVGLFVLQHRGSHAIAFMFPPIIILWLLSIFTIGIYNVIKWNPSIYRALSPYYIYKFFWVTGKGGWTNLGGVFLCVTGSEAMFTDLGYYKQAPVTAAFSCIIYPCLILQYMGQAAFLSKNLSAVPISFYASIPDVLFWPVFVLAALAAIVASQAVISSTFSIVQQCHAFECFPRVKAIQSRRWINGQTYIPEINWILMVISLAVTVGFGDTGRIVYAYGIACLIVSFVTTWLTLLVINLVWHRSLIVAMAFLLIFGSIEVLFLSSYCMKIPIGGWVPIVLSSLFMVVMYVWHYGSRKKYFFDMHNKVSMRGVLTLGPSLGIVRVPGMGLIYTELASGVPASFTHFLTNLPAFYQVVVFVCVKTVLVPSVPHAERYLIGRIGPKSYRLYRCIVRYGYKDVYSHENDFENELVMSIAEFIQLEAEGCSGNLDGTADGRMAVVRTSGKFGTRLRMSESAGVEEADSNNLSGALTVSSSKSPTLKKLQAMYEQESLELNTRRRIKFELLNTIYRDPLVKEELMELVEANRAGAAYVIGHSHVKAKWNSSFMKRFAMNLYSFLRKNCRSPAVGLNIPQTCLIKVGMNYHV >CAK8543232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590818494:590819351:-1 gene:gene-LATHSAT_LOCUS12003 transcript:rna-LATHSAT_LOCUS12003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFVNQGKEYADARPSYPPQLFQFIASKTLSHNLAWDVATGTGQAAKSLTTLYKNVIATDASEKQLQFATKHPNIRYQHTPSTMSMTQLEQMVSSQGTIDLVTIAQALHWLDLSSFYKQVNWVLKKPHGVIASWCYTSPRVNDAVDAMHNKLYSLDAKPYWDPRRELLEDNYRSIEFPFDPVEGVDHTGPFEFEGETVMDVDDFLNYIRSRSAYQSSKKKGVELLKDDVVERFKHAWGDDGQKIAKFQVYLRIGKVRDA >CAK8544330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678827510:678829158:-1 gene:gene-LATHSAT_LOCUS13019 transcript:rna-LATHSAT_LOCUS13019 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSEDPKQGTADMTVFVQNLLQQMQTRFQTMSDSIISKIDDMGGRINELEQSINDLRAEMGVESSPSPVAPAKPEEEESNKEGSA >CAK8577484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568168542:568169154:-1 gene:gene-LATHSAT_LOCUS29588 transcript:rna-LATHSAT_LOCUS29588 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKSLKEAALKDMLDILTHLWTRSHFKIYSKCDLQVNNMCEAFNKAILECRDKPIVTLLEGIKHYLTTRIISQKELMNIYTDDICPRTQLLLENNKKYAESWTPTWHDDDDFSIFGVTNDIDTYFVDLKQQICACRKWDLTGIPCSHPISCIWQNKTNLKIMSLNITGSLFQ >CAK8562586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512502419:512503832:-1 gene:gene-LATHSAT_LOCUS16072 transcript:rna-LATHSAT_LOCUS16072 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINNKWLSDLEMDEYNFFPEECNMNFLDVDDKLEFVSHDIDTVFQEQNQQKCLNSESTLNNSFTDETDFESFDFGFEKPTMDHNENITAETMSLKLSPSSSISSFQSQILSFENLPNSPATNTPHFYEFDPTSTTLNSKPNETRSVSLSSLPQLGNTHVSTQTPKGPSKNQNLETKTSQPKRSRANAEDHIMAERKRREVLSQSFIALAALVPNLKKMDKASVLAESIKYVKELKERLEILEEHNKKTKADSVVVLNKPDICSDEDSSSCDENIEGADGGESESLVQVEARALEKEMLIRIHCKKKKGVLVKMMGEIQSLELFVMNTSVLPFGDSILDITIIAQVGEGYKLSIKELVKKLRMAAMKFMSS >CAK8572835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566593643:566593942:1 gene:gene-LATHSAT_LOCUS25353 transcript:rna-LATHSAT_LOCUS25353 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTRLATEKGVVIFTKSSCCLCYAVNFLFQEIGVFPVIHEIDKDPEGKEMEKALKKLGCNAPVPAVFIGGKLVGSTNEVMSLHLRGSLIPLLRQHRV >CAK8538898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499182307:499183900:1 gene:gene-LATHSAT_LOCUS8047 transcript:rna-LATHSAT_LOCUS8047 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNNFSIFTLMASFLFLLLLFKIVKTWSTSKNSKANLPPGPWTLPFIGNIHQIITSSLPHRSLKTLAQKYGPLMYLKLGEVPYIIVSSPEMAKEILKTHDFNFCDRPNLMLSTIFSYKNTDIIFSVYGEHWRQLRKICVIELLSVKRVQSFRSIREQEVDDLVKSIAASEGSIVNLSLKIFDMTHGIVARAAFGKRSKHQQVFRSAIDEISDLLGGFCIADLYPSINMLQRMTMAKKKFEKLHREIDMIIQDILDDHRSIHREVSKDEDIVDALLKIQQENEQSEHPVTDINMKSIILDMFAAGTETSSEVTLWCMSEIVKNPKVMEEAQAEVRRVFDKKGGVDETDMHELIYLKCVIKETLRLHPIVPMLVPRENREKCQINGYDIPAKTRVMVNAWAIGRDSRYWVEAESFKPERFLNSPIDFKGADFEFIPFGGGRRMCPGIAFGLPNVELPLAQLLYHFDWKVPNEMKNEELDMTESFGITVRRKHDLCLIPIIRCL >CAK8574036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654861190:654869396:-1 gene:gene-LATHSAT_LOCUS26423 transcript:rna-LATHSAT_LOCUS26423 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKSTLCISSPLIYPYSITIFYFLTLNTLSYAVDPYFEACEPQTCGNQTITYPFYIIETQKPFCGYPGFGLTCDNTTGFPILNLFNTFYIINQIFYQNHSLRVSNPMFSRTDTKKGCLSFPLTQNLSFPNNMFYLAPNQTEVRLFFGCDSSKLPRSLQRNTIGCSAGNKTSSVVALYADDKNVSFVSRNCGDEVVYARVENGVEGGIEEALRKGFGLNWIAGDCRECNSTGGRCGFDADIFKFRCYCTDRVHSAKCNPAAVEVGEKSQVVLIVTIVCWFRKKIFPLFKKKNPTHQIIEKFLKEHGPLSAARYSYSDIKKITNSFKNKLGQGGYGSVYKGKLHDELNVAVKILSESKGNGDDFINEVASISRTSHVNVVRLLGFCSDGSKKSLVYEFMPNGSLEKFIYEEKNPMQDDIQLDCKTLYDIAVGVARGLEYLHRGCNTRIFHFDIKPHNILLDEDFCPKISDFGLAKICPSKESIVSVYGARGTPGYIAPELFSRNFGEVSHKSDVYSYGMMVLEMVGRRKNIKVGVDCSSELYFPHWIYKRLELNQDLGLKCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHLSSEVL >CAK8574035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654861190:654869396:-1 gene:gene-LATHSAT_LOCUS26423 transcript:rna-LATHSAT_LOCUS26423-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKSTLCISSPLIYPYSITIFYFLTLNTLSYAVDPYFEACEPQTCGNQTITYPFYIIETQKPFCGYPGFGLTCDNTTGFPILNLFNTFYIINQIFYQNHSLRVSNPMFSRTDTKKGCLSFPLTQNLSFPNNMFYLAPNQTEVRLFFGCDSSKLPRSLQRNTIGCSAGNKTSSVVALYADDKNVSFVSRNCGDEVVYARVENGVEGGIEEALRKGFGLNWIAGDCRECNSTGGRCGFDADIFKFRCYCTDRVHSAKCNPAAVEVGEKSQVVLIVTIVGSVAGFAFALLIALVCWFRKKIFPLFKKKNPTHQIIEKFLKEHGPLSAARYSYSDIKKITNSFKNKLGQGGYGSVYKGKLHDELNVAVKILSESKGNGDDFINEVASISRTSHVNVVRLLGFCSDGSKKSLVYEFMPNGSLEKFIYEEKNPMQDDIQLDCKTLYDIAVGVARGLEYLHRGCNTRIFHFDIKPHNILLDEDFCPKISDFGLAKICPSKESIVSVYGARGTPGYIAPELFSRNFGEVSHKSDVYSYGMMVLEMVGRRKNIKVGVDCSSELYFPHWIYKRLELNQDLGLKCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHLSSEVL >CAK8574037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654861190:654869000:-1 gene:gene-LATHSAT_LOCUS26423 transcript:rna-LATHSAT_LOCUS26423-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLAPNQTEVRLFFGCDSSKLPRSLQRNTIGCSAGNKTSSVVALYADDKNVSFVSRNCGDEVVYARVENGVEGGIEEALRKGFGLNWIAGDCRECNSTGGRCGFDADIFKFRCYCTDRVHSAKCNPAAVEVGEKSQVVLIVTIVGSVAGFAFALLIALVCWFRKKIFPLFKKKNPTHQIIEKFLKEHGPLSAARYSYSDIKKITNSFKNKLGQGGYGSVYKGKLHDELNVAVKILSESKGNGDDFINEVASISRTSHVNVVRLLGFCSDGSKKSLVYEFMPNGSLEKFIYEEKNPMQDDIQLDCKTLYDIAVGVARGLEYLHRGCNTRIFHFDIKPHNILLDEDFCPKISDFGLAKICPSKESIVSVYGARGTPGYIAPELFSRNFGEVSHKSDVYSYGMMVLEMVGRRKNIKVGVDCSSELYFPHWIYKRLELNQDLGLKCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHLSSEVL >CAK8567357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508489096:508489803:1 gene:gene-LATHSAT_LOCUS20421 transcript:rna-LATHSAT_LOCUS20421 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCYWEPFCAPFDENEVRFYQSFEQGHVGDFVRIKVRVKHELCITPQHPSLILSFDRFLHEQIIFVPYQDFLHHASVYMQVYFPNFIIPNEVMYTMLPFLMTYVLDARNSPSGFQYESSRIRVFPVGLDVFVQILLGDYTNVFNNNIAAMFDSIGEAQFVPTSKEAIDSLEKVKIKNCEANEKCSVCQFEFNVGMEVTKMPCNHLYHQECIVQWLETSHMCPMCRYPMPTSTSG >CAK8567358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508489399:508489803:1 gene:gene-LATHSAT_LOCUS20421 transcript:rna-LATHSAT_LOCUS20421-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVLDARNSPSGFQYESSRIRVFPVGLDVFVQILLGDYTNVFNNNIAAMFDSIGEAQFVPTSKEAIDSLEKVKIKNCEANEKCSVCQFEFNVGMEVTKMPCNHLYHQECIVQWLETSHMCPMCRYPMPTSTSG >CAK8534208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:705199415:705200632:1 gene:gene-LATHSAT_LOCUS3768 transcript:rna-LATHSAT_LOCUS3768 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTETLSLIHEIESLVSDQLQVVSYKWLSRSYLISSDEAKRLLQEFVQKHKGELEVVYALSGWLKSTHPSYHIRLVAEPKLAEAQQEFDGHCSVQVYSVQASIPKDPAVLWNEEYIQAEELFKQPPSADNCLRDNRLCGISNSFVRRNVEGQPAVFATPQIKYLAGLEPTKSNIVHQPPKNTTHSFVANVDHKSQNVVKDVKTENNGTGNTRVHDNTNKPTTEKEKSLPVPTGKKKGQGDKSSSGTEGSLASFWGRPSTKAKPCPVPAGNSNLISNPAEVTENAQTCAHEAVDRDSDDDDNQGVILRRSSNRKRRVVFDFSDEDEDVISLASPDVPIKQPPQDSRQNDKKSLEKTTLNFDLQVGNKPLVKEEKATEKKVFQPPREDLSIMTKCTSNGKSSTEKL >CAK8567479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516854798:516857412:-1 gene:gene-LATHSAT_LOCUS20526 transcript:rna-LATHSAT_LOCUS20526 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIKQLLLMVVVAVIYTKAATQPVLSLPNCPEKCGSVDIPFPFGTTVDCSLNNTFLINCNKTLVPFLPQSNQRVIDISLGGTLRVAWPVASDCYTKNGTLMNQTIPDINITYFPASPTRNKLIAVGCDTVGVFQTTDSGGGNFFITACVAYCNRLNNISDIKSCSGTGCCEVLIPQGDVFTNVKYGSTSGIAKNHSLVHNFNPCGYAFLVENGNYSFKPSDLKLEKKEFPVLLDWAIGNQTCEQAKKDNSSYACKADKSTCHNAGTKRSGYLCRCLDGYRGNPYLNHGCQDIDECVESNDCVKEATCKNLPPGSYHCKCPAGYEGDGKNNGTRCSHKSRKELILIIALCVSVTLVALLVGSFYVYLALKKRKLIKLKQHFFQQNGGLLLQQQIERCRGSSETAKVFTVEELNEATNNFHESRILGQGGQGTVYKGVLEDKRIVAIKKSKINDPNQIEPFINEVVILSQINHRNVVKLLGCCLETDVPLLVYEFIPNGTLYEHLHDENQSIKLTWKTRLRIAKETAGVLAYLHSAASTPVIHRDVKSSNILLDDNLTAKVSDFGASRIVPLDHSQITTLVQGTLGYLDPEYFHTSQLTDKSDVYSFGVVLAELLTGKKAFSFARPEEDRNLAMYFASSIKEGRLLDILDKNIEEANIEKLKEVANIAEMCLRVKCEERPTMKEVAMELEGIFVIEEHHWARGNMPSEENENLLKATSSIIDVEDSIGRNGISSSNSYSINEIPMSLIGGR >CAK8540832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24235688:24238081:-1 gene:gene-LATHSAT_LOCUS9798 transcript:rna-LATHSAT_LOCUS9798-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAFNVFDRMPQRDVISWNTMIFGYAGIGNMGFAQFLFDSMPERDVVSWNSLLSCYLQNGIHWKSVEVFVKMRSLRILHDYATFAVVLKACTGVEDYCLGLQVHCLAVQMGFESDVVTGTALVDLYSTCKKLDQAFKVFDAMPERNSVCWSAVIAGYVRNDRFIEGLRLYTEMLKEGIGVSQSTFASVFRACAGLSAFEFGTQLHAYALKTNFGYDSIVGTATLDMYAKCDRMFDARKLFNTFPNPTRQSHNAIIVGYARQDHGLEALKIFRSLQKSYLGFDEISLSGALTACSAIKGHLEGIQLHGLAVKCGLEFNICVANTILDMYAKCGALMEACLIFDDMERKDAVSWNAIIAAHEQNERVEETLSLFVSMLQSTMEPDDFTFGSVVKACAGQKALNYGMEIHGRVIKSGMGLDWFVGSAMIDMYCKCGMLVEAEKIHERLEEQTTVSWNSIISGFSSQKQGENALRYFSHMLQVGIIPDNFTYATVLDICANLATVELGKQIHGQILKLQLHSDVYIASTIVDMYSKCGNMQDSRVMFEKAPKRDYVTWSAMICAYAYHGLGEEAIKLFEEMQLQNVKPNHTIFISVLRACAHMGFVDRGLRYFRKMRSVYGLDPQMEHYSCMVDLLGRSGQVNEALKLIESMPFEADDVIWRTLLGICKLQGNVEVAEKAANSLLQLDPQDSSAYVLLSNVYAIAGIWGEVAKIRSLMKNYKLKKEPGCSWIEVRDEVHAFLVGDKAHPRSEEIYEQTHLLVDEMKWDGYVPDIDNFLLDEETEEQDHYEEQKIAACSVR >CAK8540831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24235688:24238249:-1 gene:gene-LATHSAT_LOCUS9798 transcript:rna-LATHSAT_LOCUS9798 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKKLTFSHIFQKCSNLKALNPGKQAHSQMIVTGFVPTIFVSNCLLQLYCKCSNMSYAFNVFDRMPQRDVISWNTMIFGYAGIGNMGFAQFLFDSMPERDVVSWNSLLSCYLQNGIHWKSVEVFVKMRSLRILHDYATFAVVLKACTGVEDYCLGLQVHCLAVQMGFESDVVTGTALVDLYSTCKKLDQAFKVFDAMPERNSVCWSAVIAGYVRNDRFIEGLRLYTEMLKEGIGVSQSTFASVFRACAGLSAFEFGTQLHAYALKTNFGYDSIVGTATLDMYAKCDRMFDARKLFNTFPNPTRQSHNAIIVGYARQDHGLEALKIFRSLQKSYLGFDEISLSGALTACSAIKGHLEGIQLHGLAVKCGLEFNICVANTILDMYAKCGALMEACLIFDDMERKDAVSWNAIIAAHEQNERVEETLSLFVSMLQSTMEPDDFTFGSVVKACAGQKALNYGMEIHGRVIKSGMGLDWFVGSAMIDMYCKCGMLVEAEKIHERLEEQTTVSWNSIISGFSSQKQGENALRYFSHMLQVGIIPDNFTYATVLDICANLATVELGKQIHGQILKLQLHSDVYIASTIVDMYSKCGNMQDSRVMFEKAPKRDYVTWSAMICAYAYHGLGEEAIKLFEEMQLQNVKPNHTIFISVLRACAHMGFVDRGLRYFRKMRSVYGLDPQMEHYSCMVDLLGRSGQVNEALKLIESMPFEADDVIWRTLLGICKLQGNVEVAEKAANSLLQLDPQDSSAYVLLSNVYAIAGIWGEVAKIRSLMKNYKLKKEPGCSWIEVRDEVHAFLVGDKAHPRSEEIYEQTHLLVDEMKWDGYVPDIDNFLLDEETEEQDHYEEQKIAACSVR >CAK8530383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18167432:18168982:1 gene:gene-LATHSAT_LOCUS251 transcript:rna-LATHSAT_LOCUS251 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLICLVIAFLLFNSSLASEANPPFPKAFSDLKESIVKGLGFTAEDDIKITGFDPREAQVGHSVEYQFDVEIDNKVIPFKLLEDTKRWDYVDLPIFRAEDQNGLVQKRDSGNELPVLAPFQLAGPMELWIQDAKDMRISLPHDVEAGVLKKVVLADGAVVTVKGARSVSLRHPLNLPLPLNRTQNGFATGLLALAEHLRHASLGQTDPLLSLRIVGPTSLEAPSSASTSSNNRLKLKRLAPGLVELSSQSKGKLIDALSTVDLQDGAPTLLTPTQFTALWPLASVNGSNANLLGFEKLLSSVLGPKSNQKGSFRLLKADVSAQTFVKIGFQAEKKLIEGDGVSFVGFPEWRTKPETVKLHFEVLAKVDGDKVIPERVMQVNPVIVEDSMALDMLTKNGTLSKMPIVQPPPIPFAL >CAK8568313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:593397560:593399224:-1 gene:gene-LATHSAT_LOCUS21280 transcript:rna-LATHSAT_LOCUS21280 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRVGNEQKEDRLSGLPDSLIDHILSFLPTKDAVATSILSKHWKPFWRSQQQSLYFDDRSFPDTFAFLQFFKSFMNMRDYSLPILSFHLICHSLSYDDFHRFAFDAIMNEVENLIIDFRLPTILPPLVLTNMDLSVLKLKRVTLNNVPYVKLPFLKVLHLESVTFTYNEYLKPLLRGCPLLEELETKDLRVENPTIMSLRGISTIDNLIRANISDYLIHFDWLLNVEHLHLRLNRTPHSIHGDMFMFHNLTHLDLIFNFDHDELFAVFQWKWLIELLQNTPILQTLVIHEVSKVIFQVDCFKEWGWENPKIVPECLLSHLTTCYLSTINCEPGFAKYIMQNSRLLSTMTIQSDKLLDTNAKLQMLRDLSSCPMISPTCIACFVFS >CAK8563888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637161279:637167913:-1 gene:gene-LATHSAT_LOCUS17249 transcript:rna-LATHSAT_LOCUS17249-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVGVKNLLQVEEMLYDKYTVGFGCSDLSLSLNSNNNNNSEELHQNQEQSLPLLKLGWLETKVEEVKKENQILRSMLNQVTQHYALLHTQYLTLMQQHKQRSSTAPNKINTQILEEDQSSELASCRRKGRVSIRAPSNFSLMSDGCRWRKYGQKTSKVNPCPRAYYRCNMGETTPCPVRKQVQRCAVDETVFIATYEGNHNHSLPPEARLTANTTSAALSMFLSGSTTSLHGNTLSNPCLFSPSSTSSSSSSSSSSSPSSISSTSIGLSTFYSYASSCPTITLDLTQPSTDFFKSQTPISPNQHYTQQSQEGLCLSSKLSNAMIPSEKNVPLMDDVIAAIIKDPSIKAALDAAVASLTGVVLHQ >CAK8563887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637161279:637167934:-1 gene:gene-LATHSAT_LOCUS17249 transcript:rna-LATHSAT_LOCUS17249 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLATKMEAKVGVKNLLQVEEMLYDKYTVGFGCSDLSLSLNSNNNNNSEELHQNQEQSLPLLKLGWLETKVEEVKKENQILRSMLNQVTQHYALLHTQYLTLMQQHKQRSSTAPNKINTQILEEDQSSELASCRRKGRVSIRAPSNFSLMSDGCRWRKYGQKTSKVNPCPRAYYRCNMGETTPCPVRKQVQRCAVDETVFIATYEGNHNHSLPPEARLTANTTSAALSMFLSGSTTSLHGNTLSNPCLFSPSSTSSSSSSSSSSSPSSISSTSIGLSTFYSYASSCPTITLDLTQPSTDFFKSQTPISPNQHYTQQSQEGLCLSSKLSNAMIPSEKNVPLMDDVIAAIIKDPSIKAALDAAVASLTGVVLHQ >CAK8544697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700102543:700103953:-1 gene:gene-LATHSAT_LOCUS13353 transcript:rna-LATHSAT_LOCUS13353 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKYCIILTIITTFLLPCSKSIHLDFPAVFNFGDSNSDTGTLISTGFETLHLPYGQTYFHPPSGRYSDGRLIVDFLMDAFDLPFLNAYLDSLGLPNFRKGCNFAAAGSTILPATASSICPFSFGIQVSQFLRFKARALELLAKGRKFDKYVPSQDSFDKGLYMFDIGQNDLAGAFYSKSLDQILASIPTILVEFETGIKRLYDEGARYFWIHNTGPLGCLTQNVAKFGTDPSKLDELGCVSAHNIAAKTFNLQLHAFSSKFQAQYPDSNVTYVDIFTIKSNLIANYSRYGFEQPIMACCGYGGPPLNYDSRVSCGNTKIVNGTTIAAKGCNDSSEYISWDGIHYTETANQYVASQILTGKYSDPPFSDKMPFLLKLKF >CAK8563912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638628643:638628951:1 gene:gene-LATHSAT_LOCUS17270 transcript:rna-LATHSAT_LOCUS17270 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAQIGSSGAQSNDQEDETPQFYTQGSLETFNLGEEVASVRVVNTHKQRFQQKEDGILIQSWLNVSKDSIVGLIKKEIVFGSGPVKLTTSIVTSTTKRGN >CAK8576671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504656268:504656569:-1 gene:gene-LATHSAT_LOCUS28844 transcript:rna-LATHSAT_LOCUS28844 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMNAGVEKLVPQDETASWWMNVFCRIIVVACISPSRHLQGLP >CAK8534509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737347735:737351040:-1 gene:gene-LATHSAT_LOCUS4052 transcript:rna-LATHSAT_LOCUS4052 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATPAASHFLRPLHQLQFPKCPRLFSESQFRPRLLRSHTQRFTIRATSAVAVDSDINTIKDQETQVDLFACPICYEPLIRKGPVGLNLSAIYRSGFKCKRCQKSYTSKDGYLDLTLTSGLKDYTEVQPVRTELFRSPFVSFLYERGWRQNFTRSGFPGLDEEFRMAQEYFEPAKGGLVVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCNDFIKKDATLSTLNIALVRADISRLPFASGSVDAVHAGAALHCWPSPSNAVAEITRVLRSGGVFVGTTFLRYTSSTPWIVRPFRERNSQGYGFLTEEEIKDLCTSCGLTNYSCKIQKSFIMFTAQKA >CAK8564463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676046923:676047477:1 gene:gene-LATHSAT_LOCUS17767 transcript:rna-LATHSAT_LOCUS17767 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLKFFITMLLATLSFQASGQFMEWCIADEQTPDDELQRAMDWACNVGGADCSKIKVNQPCYLPNTIKDHASYVFNNYYQKFKNKGGSCYFNSAALINALDPSHGSCKFEFIP >CAK8578898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661173476:661174825:1 gene:gene-LATHSAT_LOCUS30877 transcript:rna-LATHSAT_LOCUS30877 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPLQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLKSRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLFFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSAEDVHNKIKLIKEAIAEDLNKKVKEQSSLEMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8540737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18231957:18232343:-1 gene:gene-LATHSAT_LOCUS9711 transcript:rna-LATHSAT_LOCUS9711 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRMLPGRRGLTPNLEEGVKRFITWAFVQECCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNEEQLPSNVYAETTNTHASNSQSHMELDEQFNLIDDMVGNAF >CAK8564176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655070143:655071570:-1 gene:gene-LATHSAT_LOCUS17504 transcript:rna-LATHSAT_LOCUS17504 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAKVGFKAGVKDYKLTYYTPDYQTKDTDILAAFRVTPRSGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYEIEPVPGEDNQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERYKLNKYGCPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMHWRDRLLFCAEVIYKSQAETGEIKGHYLNATAGTCEEMLKRDVIARELSIPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVYLLRDDYIEKDRSRGIYFTQDWVSLPCVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRIALEACVQARNEGRDLAREGNAIICQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8576546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:490137989:490138603:-1 gene:gene-LATHSAT_LOCUS28725 transcript:rna-LATHSAT_LOCUS28725 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRSKREKRLRAIRREIVQPFYDEKEAAKLSAQEAALAAPKLQVPVRPNITMEISTSTLDNTNTMDVDMTDENKSKVSLKPAGRIGKKLKKKFKMAKGNRRKGNGKPSRKRHI >CAK8560939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61043863:61048792:1 gene:gene-LATHSAT_LOCUS14575 transcript:rna-LATHSAT_LOCUS14575 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPEPNGTETEKPTQTVQHQSDFILPENSVNGYETDSNNQSSLRDGGAYSKNEGNVGFVPPHSLLPIPVPPEVFQRNKNDESPPRISVSSTMPDFGSFIRQRSSDLSTAIAKRVSSFRKSMEQSDENGENDRKNNDQNQEVTEFNLSGHKVVVKVKPEEEPAMKGRITLFSRSCCRDCTAVRRFFKEKELKFVEINVDVFREREKELRDRTGITSVPMIFFNEKLIGGLVALNSLRNSGEFDRRLTEMVVEKFAGDDAPLPPMYGCDYIEDDRTDEMSGLVSLLRRKLFVQDWLRRMKIIQNCFIGNEFVAVVIQHFKCARNEAVEIGKELSRKHFIHYVSGDSDFVDGTNLYRFLEHEPFIPRCFNFHGAVNDNEPKTAASVCDRLAKIMSAILESYASDDRRRVDYAAISKSEEFRRYVNMTQDLQRVNICELSENEKLAFFLNIYNAMVIHAIISVGSPEGVIDRRSFFNDFLYLIGGYPYSLAIIENGILRCNQRSPYSIMKPFSTGDKRLEVALAKLNPLFHFGLCNGTRSSPKVRFFSPNRVVDELRGAAREIFENGGIEVDLEKRTVYLPRIFKWFSTDFGQEQEILKWILNYVEPNKAGLLTHLLSDSGPVNISYQNFDWSLNS >CAK8563028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567234877:567240492:-1 gene:gene-LATHSAT_LOCUS16477 transcript:rna-LATHSAT_LOCUS16477 gene_biotype:protein_coding transcript_biotype:protein_coding MASANDSGVEALRRLKEVEPPSLLVPNPTVSETARAASKYLFSSLRPFSPKSPLDQLLVDGYDAEQIWHQIDLQSQPLLSTLRRRLNQLVKNHEEIAPLKVSSDVANKAEPKDQNKWGEEGDGFDEELDEADEDEDEFEGLEEEKEEGETESEGEDEDGEEEKGEGGGIEDKFLKIDELNDYLRKEEDKYEKGEEGDQDSEDDDYLDKAGEFEFDEDEDEDDDDDDEEDEEAEGRGYERYEDFFGGKKEKGSKRKDQLFEKTKDFDVKDDMESDKQIRTASTHEKQLEKIQSNIKLMEKANIEPKTWTMMGEVTAAKRPLNSALEVDIDFQHNVRPPPVMTEEINSSIEEMIKKRIIEGRFDDVQRASKLPSKAPREVKELDDNKSKQGLAEIYEQEYVQKIDPTSAPLSARDKLKNEASILFKKICLKLDALSHFNFAPKPVIEDMCFQTNVPALAMEEIAPVAVSDAAMLAPEEVFDGKGDLKEEAELTQAERKRRRANKKRKFKAEAVKRSEKKAKSEKKADDAISGQVNGSFCIYPFLLNIRNAALISFC >CAK8563027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567234877:567240492:-1 gene:gene-LATHSAT_LOCUS16477 transcript:rna-LATHSAT_LOCUS16477-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASANDSGVEALRRLKEVEPPSLLVPNPTVSETARAASKYLFSSLRPFSPKSPLDQLLVDGYDAEQIWHQIDLQSQPLLSTLRRRLNQLVKNHEEIAPLKVSSDVANKAEPKDQNKWGEEGDGFDEELDEADEDEDEFEGLEEEKEEGETESEGEDEDGEEEKGEGGGIEDKFLKIDELNDYLRKEEDKYEKGEEGDQDSEDDDYLDKAGEFEFDEDEDEDDDDDDEEDEEAEGRGYERYEDFFGGKKEKGSKRKDQLFEKTKDFDVKDDMESDKQKIRTASTHEKQLEKIQSNIKLMEKANIEPKTWTMMGEVTAAKRPLNSALEVDIDFQHNVRPPPVMTEEINSSIEEMIKKRIIEGRFDDVQRASKLPSKAPREVKELDDNKSKQGLAEIYEQEYVQKIDPTSAPLSARDKLKNEASILFKKICLKLDALSHFNFAPKPVIEDMCFQTNVPALAMEEIAPVAVSDAAMLAPEEVFDGKGDLKEEAELTQAERKRRRANKKRKFKAEAVKRSEKKAKSEKKADDAISGQVNGSFCIYPFLLNIRNAALISFC >CAK8532407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257680767:257681294:-1 gene:gene-LATHSAT_LOCUS2116 transcript:rna-LATHSAT_LOCUS2116 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNVRGLNKAGKVREISSRLRNLDPAIIVLIETRVKKEKVVGIRKKLKMRGSYMDNYAQHDNGRIWIHWDDNSRQVEFVASTDQMIHCKVNDANDNFMFWMTAIYVQNQLHHRKKLWQDIEKICANQIGPWMLIGDFNNVMKIEDWIGGNEVTENEYMDLTEMMSKTEDWNQ >CAK8570017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21211796:21214211:-1 gene:gene-LATHSAT_LOCUS22808 transcript:rna-LATHSAT_LOCUS22808 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFGFSGDNFCHGGSIYTNPKEASFFLSLGPQVDVYYPPQKRSRVSVPFVFDGEWFEQKQKTTIDSLPDECLFEIFRRLPVGEERSACACVSKRWLMLLSSICKNEICSNKNTSDGENKMEGDSREFGGEGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLSIRGNNSNRGVTALGLKAVASGCPSLKVLSLWNVSSVGDEGLIEIANGCQQLEKLDLCRCPSISDKALIAVARNCPNLTELSLESCPNIHNEGLQAIGKFCSNLKSISIKDCAGVGDQGIAGLFSSTSLLLTKVKLQALTVSDLSLAVIGHYGKAVTDLVLNFLPNVSERGFWVMGNANGLHKLKSLTIASCRGVTDVGLEAVGKGCPNLKSVHLQKCAFLSDNGLISFTKAAISLESLKLEECHRITQFGFFGVLFNCGAKLKALSLVSCYGIKDLDLELSPSSPCESLRSLTICNCPGFGNATLSVLGKLCPQLQQVELTGLKGVTDAGLLPLLESSEAGLVKVNLSGCVNLTDKVVSSLVNLHGWTLEVLNLEGCKNISNASLIAMAEHCQLLCDLDVSMCAISDAGIAALAHAKQINLQILSLSGCTLVTDRSLPALRKLGHTLLGLNVQHCNSISSSAVEMLVELLWRCDILS >CAK8570461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47991711:47993418:1 gene:gene-LATHSAT_LOCUS23208 transcript:rna-LATHSAT_LOCUS23208 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEELPTSIPHPSSKEKVLMDDMMEKGLARFGWLEFMQCIVVSFASFFDAQQSFLAIYTEEYPTWHCTNSTLCNSDSNICNIPKSSWSWDGPPHKTVISQWGLECASSFITGLPQSSFFIGCFLGLFLLTTLADTSLGRKNTLVLSCLSTSIASVLIVFSTNIWIYSAFKFLIGFLRSSIGTCVLVLLTEKVSTQWRFTVGISEYLCFVLGYMSLSGIAYVNRFNSWRSVYIWTSVPAICYSVLAYVFVTESPRWLLTQGRYQEAMAMLTGVSSLENGNDLTVGLIEAPVNKQKASIFQLYSSIAELFGRGWALKRTVAVMVLGIGIGMMYFGMPLAVGNLGMDIYLAVVFSGLMEIPACVASYFLENRRRKPSILVFSVASGVCCIMCVVVGSGIQELRVGLAMTSFFSACTAFNVFLIYILELFPTSVRNTTTSLVRQAIVVGNVFTPFLISAGRKNDIFSYGVFGLVILLSCFTLLGLPETRGLAFCDTMDQQEKKDNMLE >CAK8566532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433346378:433349030:1 gene:gene-LATHSAT_LOCUS19656 transcript:rna-LATHSAT_LOCUS19656 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQLKCKACEKTVYPVDQLSADGTSYHKACFRCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKEHGNFSKNFQSPAKPTDKATPELTRSASKAAGMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPES >CAK8540166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542779075:542781501:1 gene:gene-LATHSAT_LOCUS9198 transcript:rna-LATHSAT_LOCUS9198 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKPLFWFFLLSISFSLHTYPPPLSALTIISSTQPLSGDQTLVSKDGNFELGFFNIGNSSNYYIGMWYKKVSTRTYVWVANRDYPVSPKSNSKLVISDGNLVLLDHSKSLVWSTNLSSTSSNSVVAVLLDSGNLILSNRPNASASEALWQSFDFPTDTWLPGTKLKLDKITNKTQHLTAWKNTEDPASGLFSLELDPNGTNSYLIFWNNTQQYWTSGSWNGQMFIMIPIMWSNHVFNLAFVSNHNESYFTFSLQNNSTISHFVLDVSGQLKQFTWLETTQQWNLVWSQPRGQCEVYAFCGAFGSCNDISKPYCNCLNGYGPKSQSDWDLGDYSHGCVITNKFQCEVSSDPSSGAKDRFLTKSNLALPERAQSVVEADLSEECESTCLSNCSCTAYAYNSSGCFIWRGELLNLQQFSEDDSNGQTLFLKLAASEFHDSKSNKGTTIGIVGGAVGGILILLVLLLILVFRRRNRLAGATSTVEGSLIAFAYRDLENATKNFSEKLGGGGFGSVFKGILPDSSVIAVKKLESISQGEKQFRTEVSTIGILQHVNLVRLRGFCSQGNKRLLVYDYMPNGSLDSNLFKNSKVLNWKVRFQIALGIARGLTYIHEKCRDCIIHCDVKPENILIDSEFSPKIADFGLAKLVGRDFSRVLTTIRGTLGYLAPEWISGVAITAKADVYSYGKVLFELVSGRRNSDPYKDGQVSFFPTLAANILHQGGNVISLLDSRLEGDGDVEEITRVIKVATWCVQDDETHRPSMGQVVQMLEGVLDVNLPPFPRLLQAIVDDHEDSILITESNSTHSTSQDAF >CAK8577469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566787483:566788668:-1 gene:gene-LATHSAT_LOCUS29575 transcript:rna-LATHSAT_LOCUS29575 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILSSSSTLGWHRVEVKGEVQTRKGLWWIPRHPETRKGVVSDEMLRGVEKKHRSEDSRIGQPFELLLNSRAGKRQPGELKHLSEAVECCTLDGESPVAESITSLYSDPSSMGHVKSRVNQQGPPCKAKYSWVTDSEVVAWLREITGAAAKASLHRAIVTAYGPEPGGEMPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSAGETMGDKLHRREGNNPDHQLRPLNDRSVIKEVGVHRQPEGLPRSSHPSKSA >CAK8575523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:150117060:150117314:1 gene:gene-LATHSAT_LOCUS27780 transcript:rna-LATHSAT_LOCUS27780 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDVGKRKLTPGISKSQEFETAKTRLSKHHRLSKSSNHSPISESKNDVFCTRRRLPSVPFIDFDIDRIKALDVIDRVDSIGGS >CAK8544680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699173057:699175271:-1 gene:gene-LATHSAT_LOCUS13337 transcript:rna-LATHSAT_LOCUS13337 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDEKEGPSPKIGPDHQAEILSDSDQLSLQISPAYSEDVYHESLSSSIGLPISVTWSDADAESFVLALFIFGKNFTLIKKFLENKGMGEILSFYYGRFFKSDGYHRWSECRKLKGIKHTIAKKLSTKMRQHDLLSRLSPQVSKKSQDTLSKVSKSYVEGKTSLEKYISSVKSIVGLGVLAEAIGIGKENGVLTRLDLEPRKNRCGEFYAPACKALSSLGPDDIIRSLTGGCQLSKTRSNELFWEAVWPRLLARGWHSEQLKNHDYLVFLIPGVKKFSRRKHLKGQHYFDSVRDVLSKVVAEPNIIVLEEEEVEEGGSNEDDFSDDHRQCYLKPRSSTSLVHCGKPSDLRKLKYVPSNKVNIVEADVDGKRYKGHTYSRRVKHSKDMSKSITQRSTKLSVIDTNGLPKRKLLKMKHKRYLPVEMEDASTMITDLLHERIGGSSINDSPMVVKSKVLIYGRKKTDSWIGVSNRRVFIKKEAHDNPDNDSNKMVESQKFQRGCMFDDSQLKRIMEHHQFNWRVTLGDSNHVTVPTKRRRLTACVKAENSRSVQKFSGGLGSDKVRFSCSSSFLDANQNVCDPISHQQNGSSTAPSEDRSRSRNDSFQCMSVEIEDPLRRPCDEQQADINPRRQSSRNSKMTVKALECITYGFWQSKNDIQTHTHIFNPCRKARTRGKIKPRRHFLDHWNAVSVQEEKHLKVDGSAS >CAK8569979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18973526:18975592:1 gene:gene-LATHSAT_LOCUS22772 transcript:rna-LATHSAT_LOCUS22772 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHLVPLPRKKKPGSVPVYLSVYDLTPMNGYAYWFGLGVYHSGVQVHGVEYGFGAHDRETTGIFEVEPAHCPGFTFRKSVYIGSTDLGPNEVREFMEKLSQDYAGNSYHLIQKNCNHFCNDVCVRLTGKSIPRWVNRLARLGFLCNCVLPTSLNETKVGQVSLDKFHEGESKKMRSQSRRQEASSNPSLPTSQRHCGIPSSSVINASSSSTLAVK >CAK8532737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:508549077:508549436:1 gene:gene-LATHSAT_LOCUS2413 transcript:rna-LATHSAT_LOCUS2413 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLVIHPSLSTSNIGFTAMTLMICAVVLLMCASHSRKWRHWLACNAFAEEPVIGLNNEVVMMSCCEQEQEDASIWQKNILMGGKCQLPDFSGVIIYDSNGNVVNPAKTSHPLLTWK >CAK8562529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506467970:506468666:1 gene:gene-LATHSAT_LOCUS16019 transcript:rna-LATHSAT_LOCUS16019 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8568798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641757975:641760230:-1 gene:gene-LATHSAT_LOCUS21722 transcript:rna-LATHSAT_LOCUS21722 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLPEEEADQIVNSGGGEVALKKGIEVDQTVNSGGGEVALKKGIEADQIVNAGGGEVALKKGIEADQIVNAGGGEVALKKGIEADSTSTRKRKVSSLSSGSDVGKRSKLSRSILPEEEADQRVEVALKKGPWTNEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGPFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIISKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSAAVPEVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNVASNISSSDPIYGSMNFEPPSFQNSQTQQPTWSDMDVSPLPSFEYVDTPVQAPPIESCPPIPNSLDWDRIIDAIELPSVEYVDTPVQAPPIESCPPVPDSLDWDRIIDAIGLPSVEYVDTLVQAPPIESCPPVSDSSDWDRLIDAIELPSVKYVDTLVQAPPIGSCLPVPDSTDLDRIIDAIELPSVEYVDTPVQPPPIESCPPVADSPDCCHLIDPINYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8540954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35260579:35261007:1 gene:gene-LATHSAT_LOCUS9908 transcript:rna-LATHSAT_LOCUS9908 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKEPPSWGTQQTEAVKALKKITQTPQALKIPEDGKRILQTDASDHYWGAVLIEELGGKRYYCGHANGQFKEPEKHYYTTCKEALAIKMRIQKFDFHLRGYQFEVQTDNCSFPKILEFKNKYVLILKLLGSKIGFPDMISL >CAK8538437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482574830:482575409:1 gene:gene-LATHSAT_LOCUS7631 transcript:rna-LATHSAT_LOCUS7631-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMVLLISSEVSARDLTETSTNAKDEVVDKSDELNDAKYYGGGYNHGGGSGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGGGYRGGGGHGGGYNGGN >CAK8538435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482574830:482575409:1 gene:gene-LATHSAT_LOCUS7631 transcript:rna-LATHSAT_LOCUS7631 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMVLLISSEVSARDLTETSTNAKDEVVDKSDELNDAKYYGGGYNHGGGSGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGGGYRGGGGHGGGYNGGN >CAK8538436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482574830:482575409:1 gene:gene-LATHSAT_LOCUS7631 transcript:rna-LATHSAT_LOCUS7631-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMVLLISSEVSARDLTETSTNAKDEVVDKSDELNDAKYYGGGYNHGGGSGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGGGYRGGGGHGGGYNGGN >CAK8568411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604647424:604649161:-1 gene:gene-LATHSAT_LOCUS21370 transcript:rna-LATHSAT_LOCUS21370 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHMVSMLLLCVFFSLLEFKVEAKKSSHLEREIEAKLKLLNKPAVKTIKSEDGDIIDCVDIYKQPAFDHPALKNHRIQMVPSFPLESENSSTVGVFNASSDVFQTWQKSGSCPEETIPIRRIRKEDLLRAGSLDRFGRKPPEIFDNSTSTMSGIVEVTNRSDAYLVAVGYNFIGGQANINVWNPRVEKPEDFTTAQLWLKAANGDNNFESIEAGWIVNPKLYGDHRTRLFVHWTRDTYRTTGCFDLLCSGFVHTNKNIVLGGAIEPISSPGGPQYELNYAIYSDYHGKWWLKVKNNIPVGYWPTEILRNLQHSASLVQWGGQVFSYEVKTDPPHTGTQMGSGGPAGGRFGHACYMSVVRIIDYSLKLKYPPIVGVHASEPDCYNTLNDVQYGKDPVFYFGGAGRNPHCP >CAK8565526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:260320220:260324001:-1 gene:gene-LATHSAT_LOCUS18732 transcript:rna-LATHSAT_LOCUS18732 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLRQPSISVSGSSVVLRKRHATLIQPQPQSFSPLLSREKSQRSVVSMKKPLHLACLGVGNFGSVKNFESEDSFGKSDLVKCGAYEADRSEVEGGGGTPSEAAKKVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAEAPKTDLEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPVPVYLSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSILSLAILTPFAIAVEGPAMWAAGWQTALSEIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPIQPVNALGAAIAVFGTFLYSQAKQ >CAK8531445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110738263:110739900:-1 gene:gene-LATHSAT_LOCUS1238 transcript:rna-LATHSAT_LOCUS1238 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKPIPLFYFLSTPFFLLCLFFSSSLAAPPKAESLYSTFLHCLTQNTTDPSISNIVFPQSNPSFSAVLQNYIRNARFNTSSTSKPLIIVTPKQPSHVQSTIICAKRVNVQVKIRSGGHDYEGISYISNESPFIVLDMFNLRTINVDAKREVAYIQAGATLGEVYYRIYEKSKVHGFPAGVCPTVGVGGHISGGGYGTMLRKYGLSTDNIIDAEIVDVKGRLLNRKSMGEDLFWAIRGGGGASFGVVLSYTIKLVAVPETVTVFRIEKTLEQNATDLVVQWQQVAPTTDNRLFMRLLLQPISSKTVKRKKTVRASVVALFLGGAKELVPILRKEFPHLGLKKEDCLELSWINSVIWYYSQLNFKNGAKPESLLDRNVNSAHYGKRKSDYVQKPIPRDALELIWKKMIELGKVGFVFNPYGGKVAEIPVDATPFPHRGNLFKIQYSVNWNDPSPTLALNYLNQAKSLYSFMEPYVTKNPRSAYINYRDLDIGINSFGKNSYEEGKVYGAKYFNKNFDRLVKIKTAVDPGNFFRNEQSIPVLLGKV >CAK8533660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646693357:646694331:1 gene:gene-LATHSAT_LOCUS3268 transcript:rna-LATHSAT_LOCUS3268 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALSSIIIAFLISLISLGLSLLGRSKKREAPIAKGAWPILGHLPIFNGTQSLHRVLGALADKYGPIFTIKLGSKHALIVNNWEMAKECFTTNDMALASRPRIVATQHMAYKGAMFGNAPYGPYWRNLRKIVTLEVLTNRRVEQQQHIRVSEVRTSIKELFDVWSSKRSDSDSSNYVLVDMKQWFTHLTFNMVLRMVVGKRYFGARTNVDEEEAQKTVKALKKMMHLFGVITVGDVIPCLKLFDFGGHVKAMNETSKELDEVLVEWLKERRHERSLGEKVDGEDKDIMDVLLSLLDGKTMEGFDSDTIIKAAMLVCILIFSNN >CAK8533388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611739061:611749289:1 gene:gene-LATHSAT_LOCUS3019 transcript:rna-LATHSAT_LOCUS3019 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVLQTFVLVFATFVFHIWLKLIDFSKMLATPAAKYPDQYFWPNFEEKQCYLLDMNPVGQQEKGARYAVISARKTGLVREECIAKMVLISFVDLSSGGSGQILYELIKNTLQIYDDEVEL >CAK8532614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:328512050:328520778:1 gene:gene-LATHSAT_LOCUS2301 transcript:rna-LATHSAT_LOCUS2301-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVRWDEANIGDIEANKPVRQKITEPKTPYHPMVDDDCSLSPVRGSFDARIDDENRTTQVEAIWTALTDAGSSSKRGPGQSFGWTSSEDELEAMEQDDDDRSRSFRKLRKAHYDEFLKVKEHRNKSSHVEDESDEDDNTELGKGEKKCDSCSLSDSVKDIDIEGGKSSTSPANGS >CAK8532613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:328512050:328520778:1 gene:gene-LATHSAT_LOCUS2301 transcript:rna-LATHSAT_LOCUS2301 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVRWDEANIGDIEANKPVRQKITEPKTPYHPMVDDDCSLSPVRGSFDARIDDENRTTQVEAIWTALTDAGSSSKRGPGQSFGWTSSEDELEAMEQDDDGSETDRSRSFRKLRKAHYDEFLKVKEHRNKSSHVEDESDEDDNTELGKGEKKCDSCSLSDSVKDIDIEGGKSSTSPANGS >CAK8579142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679383224:679386118:-1 gene:gene-LATHSAT_LOCUS31110 transcript:rna-LATHSAT_LOCUS31110 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSQKILVTGGAGFIGTHTVVQLLNNGFNVSIIDNFDNSVMEAVDRVREVVGSNLSQNLEFTLGDLRNKDDLEKLFSKSKFDAVIHFAGLKAVGESVENPRRYFDNNLIGTINLYEVMAKHNCKKMVFSSSATVYGQPEKIPCVEDFKLQAMNPYGRTKLFLEEIARDIQKAEPEWKIILLRYFNPVGAHESGKLGEDPRGIPNNLMPYIQQVAVGRLPELNVYGHDYPTRDGSAIRDYIHVMDLADGHIAALRKLFTSENIGCTAYNLGTGRGSSVLEMVAAFEKASGKKIALKLCPRRPGDATEVYASTEKAEKELGWKAKYGVEEMCRDQWNWAKNNPWGYSGKP >CAK8534094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:693863217:693864867:1 gene:gene-LATHSAT_LOCUS3664 transcript:rna-LATHSAT_LOCUS3664 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAKEVITCKAAICWGVGKPVTVEEIQVEPPKATEVRVKMLWSSICHTDISSLQGFPHNQFPLALGHEGIGVVESVGDEITNLKEGDLIIPTYIGECEECENCVSGKTNLCLTHPLRLNGLMPDNTSRLSVRGQTLYHVLSCATWSEYVVVDVNYLLKVDPTINLAHACFISCGFSTGYGACWKEAVVETGSTVAVFGLGAVGLGAISAAKMMGASKIIGVDKNEMKKEKGEAFGMTHFINTNVSDKSTSDLVKELTGMGVDYCIECTGAPSMFTESVEATKMGTGKTIAVGIAAEPVVPFGLHAIMSGRTLKGSVFGGIKAKSVLSIIAHKCQKQEIPLEELFTHEVPLIDINKAFEILKQLDCVKVVIKM >CAK8578206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612322880:612324913:-1 gene:gene-LATHSAT_LOCUS30239 transcript:rna-LATHSAT_LOCUS30239 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRLPRTSFYFVTAPAIFENPVSSFSSISFNTHSQNDNFSDTKQQFAKLCSKGCVKEAFESFVYELWEEPRLFSTLLQSCISTNSVFLGKQLHSLIFTSGCSSDKFINNHVLNLYSKFGELHAAVKLFERMPRRNIMSCNIMIKAYLETGDHANARKLFDEMPERNVATWNAMVTGLIKFGANEESLLLFSKMNVLGFVPDEYSFGSVLRGCAHLRALVGGQQVHAYVVKCGFEFNSVVGCSLAHMYMKAGSLHDGERIIKWMPNCNLVAWNTLMAGKAQNRYFEGVLDHYRMMKMAGFRPDKISFVSVISSCSELATLCQGKQIHAEVIKAGASSVVSVISSLVSMYSKCGSLQDSIKAFSECEERDVVLWSSMIAAYGFHGQGERAIKLFNDMERENLAGNEVTFLSLLYACSHCGLKDKGLGFFDMMIQKYGLKARLEHYTCVVDLLGRSGCLDEAEAMIRSLPVTADAIIWKTLLSACKIHKNEEMARRVAEEVLRIDPQDSASYVLLAGIHASAKRWQNVSEVRRAMKDKMLKKEPGISWVEVKNQVHQFRMGDESHPKSMEINQYLEELTSEMKMRGYVPDISSVLHDMDNEEKEYNLTHHSEKIAIAFALLSTPKGEPIRVMKNLRVCGDCHVAIKYISEIKNSEIIVRDSSRFHHFKNGVCSCGDYW >CAK8535454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849934186:849935034:-1 gene:gene-LATHSAT_LOCUS4917 transcript:rna-LATHSAT_LOCUS4917 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFKGEEQSNNNVLVRQVWAYNLESEFLLIRGILHKFPFISMDTEFPGVIYKSHEDSTVPYHLRRRDPSNDYKYLKANVDDLKLIQLGLTLSDWKGNLPKFGTKNSYIWEFNFSDFDIENDPHNQDSTDMLRLRGIDFKHNLYHGVNSLRFSELMMRSGLVFNNLVFWVTFHGAYDFGYLMKILMRRNLPNTLDRFLFHLKGIFRNVYDVKHMVHHCKDLYGGLDQIANTLDVNRVVGKSHQAGSDSLLTMHTFVKIIDIYYINKKIKINKHVGVLFGLEM >CAK8574283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673744756:673745955:-1 gene:gene-LATHSAT_LOCUS26642 transcript:rna-LATHSAT_LOCUS26642-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKTTPLLKRKQPQQTLTSPVTLKKQVQQTLTSLHLPFDLVAEILCRLPVKHLIQFCCVCKSWGSLISGDSKFAKKHLRLSNSRDDRHHLIMRMVQDSPEFFLCHSPISSILSSASTTQYKSPLEVILNKRYHGIEISACDGILCISIEWVNDSFVLLYNPSIRKLKILPPLKFTHQRYVVISYTLVYDRFTNNYKVIAIAASSSKKEVNIHTLGTDCWRRINQDFPGPHLILIPRSGIFVNDSVNWLVYEVAGGSGFIVSLDFVKESYQKLSLPVFDMLFTISFMTLGTLRGCLSLLTPLFQSRDKFSDIWIMKEYDNEKSWIKLLSVPHMKEYGFYGYTKALYVSKDDQVLMEFLKNGKYNLVVYDSINNIFKIPKFQNKTVARAVYVESLISSF >CAK8574282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673743194:673745955:-1 gene:gene-LATHSAT_LOCUS26642 transcript:rna-LATHSAT_LOCUS26642 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKTTPLLKRKQPQQTLTSPVTLKKQVQQTLTSLHLPFDLVAEILCRLPVKHLIQFCCVCKSWGSLISGDSKFAKKHLRLSNSRDDRHHLIMRMVQDSPEFFLCHSPISSILSSASTTQYKSPLEVILNKRYHGIEISACDGILCISIEWVNDSFVLLYNPSIRKLKILPPLKFTHQRYVVISYTLVYDRFTNNYKVIAIAASSSKKEVNIHTLGTDCWRRINQDFPGPHLILIPRSGIFVNDSVNWLVYEVAGGSGFIVSLDFVKESYQKLSLPVFDMLFTISFMTLGTLRGCLSLLTPLFQSRDKFSDIWIMKEYDNEKSWIKLLSVPHMKEYGFYGYTKALYVSKDDQVLMEFLKNGKYNLVVYDSINNIFKIPKFQNKTYDLLFQNNIYAEMTQKVYVESLISPL >CAK8566222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393026123:393029311:-1 gene:gene-LATHSAT_LOCUS19375 transcript:rna-LATHSAT_LOCUS19375 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRRHSVDIPISKALVALRRVRSLRDPSTNSMIKHSSLIDNLHWDNRSGNGISLLFPDDVRVCDSDDSGAFRTRNLGFEGERDMGGATGFELNHGLLNFRPSVYGSKSPNESCGSNHGGKGLGTNGFEDGELCHRTTGKSSKLGRIDRIKSGKRPPRKNQVKPSHVMGDTASHLSSPSRSVRDALLPRGTLGFINQDFDVQDNSDNGCGLSYCWSKSPRFEESDIYCETEDRPLILHCVEDMELHEHESARHNGGEVSPNLETPRMLSMKFRPKLFGDLVGQDVVVRSLLGAISCGRITSIYLFHGPRGTGKTSASRIFASALNCLAVGEQRPCGLCRECVLFFSGRSKDVKVVDSVKINQMDQVKSLVKNACSPPVSACFKIFIIDECQLLNEETWVCLSNNLENISQHVVFVMITPDLDKLPQSAVSRAQKYYFPKVKDADIACRLGKICAEEGLYYEQAALNLIAAKSYGSVRDAEIMLDQLSLLGKKINVSLVYELTGIVSDDELFDLLDLALSPDTTNTVIRARELMRSRIDPMQLVSQLANLIMDVLAGKCDYEARCRFSSRYTTEADLQKLSHALRILSEAEKQLRVSKNQTTWFTVALLQLSSIDYPSTNADGTKLLLRGQSLEHLATGSCENKSFRLVAHEDHEGTLDSIWYKAIEICQSSQLKTFLRKKGKLSSLHVDQSTSCLAIAELEFGHRRHVSKAEKSWKSIASSLQCILGCNIELRITHVPHTSNSPKRLSFNMFSCSRKIQQKSSSSNDQESEIDYADYTSQKPMTKNTTLSSSSDCGSQEPPLKSYEGMDVITTLRSCEGNLLSSRERFLNTSSSLETMGASCSRVDSYNEEGHNVAHVVPSVRNSDNQSNCFPQTLWLQKKFRASGTT >CAK8537697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421347680:421348826:-1 gene:gene-LATHSAT_LOCUS6961 transcript:rna-LATHSAT_LOCUS6961 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNPIIRPFLERAGFEEVIKITNYLLDTKFVLALQERWRPETHTFHLPIGECAVTLEDTHMLLGLPINGKAVNGLTNPSGSVIEELLGVEPTPRDLRGQKILLSWLKRIFGEMRLTQDSPEILKIRKTRIYIMLLLGLFLFPDTSGNAIHSMYLVLLDDIDKIRTYNWGSATLAHLYRSLCNNAIANSGNWTGCGVLLQAWGWSRMTNLPPIQQNNFEFPYATRWSSLGMNYDNCPHFSITQYRNLIDHLGQDDFIWRPYLGLEVIHEVNQHDSAVWSAKVPIINFTTVEMHNSNRVKLQFGMLQDIPCPPKCILDKYHTCKVSDQ >CAK8566811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464658230:464663033:1 gene:gene-LATHSAT_LOCUS19917 transcript:rna-LATHSAT_LOCUS19917 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEERVVAVIMVGGPTKGTRFRPLSFNVPKPLFPLAGQPMVHHPISACRKIPNLARIYLVGFYEEREFALYVSSISNELKLPVRYLKEDKPHGSAGGLYNFKDLIMEEDPSHIFLLNCDVCCSFPLPQMLEAHKKHGGMGTILVVKVSPESASEFGELVADPVTHELLHYTEKPETFVSDLINCGVYIFTPDIFTAIEGVSSQWKDRANLRRVSSFEAMVPDTRSQTRYVRLDQDILSPLAGKKQLYIHETLDFWEQIKTPGMSIRCSALYLSQFRHTAPHLLANGDGIKKAYISGDVYIHPSAKVNSSAKIGPGVSISANARIGAGARLINCIVLDDVEIKENAVVLHAIVGWKSSIGRWARVQGRGDYNAKLGVTILGESVVVEDEVVVINSVVLPNKTLNVGVQDEIIL >CAK8544700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700234593:700235573:-1 gene:gene-LATHSAT_LOCUS13356 transcript:rna-LATHSAT_LOCUS13356 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPCGVLVWMSVCCYLVFAIGPSALWRFKDFSCPPQCHDCYCSSTQYPPLDCGKHDPVINEDTLTMLSEELKLQKIVANETLEHTRRLVMNARNTFSQYIKEAQKCNIGMETCEQARERAEAEFVEEHKLTALWENRTRDYGWKDKKRTPLRS >CAK8533277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599809868:599814128:1 gene:gene-LATHSAT_LOCUS2918 transcript:rna-LATHSAT_LOCUS2918 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNNHRELIRRAAGRNNHRPRPARQRSFTGDIEHVAAETYLITRLAFTLLRYLGVGYRWIMKLLALGCYAMLLMPGFLQVAYYYFFSSKVKRSIVYGDQPRNRLDLYLPADISKPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERDIMVACIDYRNFPQGTISDMVNDTCQGISFVINNIATYGGDPNRIYLMGQSAGAHISSCALLEQAAIESGNRDGVSWSVSQIKAYFGLSGGYNLLDLVDHFHNRGLYRSIFLSIMEAEQSLKKFSPEIKIQDSCIKDSIPLLPPMFLFHGTGDYSIPSIASERFADALTKAGARAELILYDGKTHTDLFLQDPLRGGKEDLFEHVVSIVHANDSDALAKDATAPPRKRLVPEILLKLAHNISPF >CAK8572503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542098943:542101067:-1 gene:gene-LATHSAT_LOCUS25064 transcript:rna-LATHSAT_LOCUS25064 gene_biotype:protein_coding transcript_biotype:protein_coding MSETELSRMKQPLVPLATLIGRELRNGKTEKPFVKYGQAGLAKKGEDYFLIKTDCQRVPGDSSTLFSVFAILDGHNGISAAIFAKENIINNVMSAIPQGISRDEWLQALPRALVVAFVKTDMEFQKKGETSGTTATFVIIDGWTVTVACVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNIFGGNEVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSNAGGRLIIASDGIWDTLSSDMAAKACRGVPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDYPISPMPSTPRKKPNMLSSLLFRKKSQNSTNKGTNKLSAVGVVEELFEEGSAMLTERLGKDVSSNTNSGIYRCAVCLADQPSGNGLSVNTDHLITPVLVSKAREGPFLCTICQKKKDAMEGKRS >CAK8535508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855781487:855781894:-1 gene:gene-LATHSAT_LOCUS4963 transcript:rna-LATHSAT_LOCUS4963 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSIMHLTSSKVKELLAVLKDVESAQLRVEWLRAIVNDIAENIELINKHQTVEMEKAKSDKEMKSLKKELESKTEILVQKEQEVADIKTKIDGIIRERLGELEVKSSDLEKNMLGIKSKVDNLDSRSLLNELL >CAK8542532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523948669:523952494:-1 gene:gene-LATHSAT_LOCUS11366 transcript:rna-LATHSAT_LOCUS11366 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPSTSPSAATVKPVTLASTTKSPRFSHSSISFPLLSPNSNNTLRFFNKGLCSRVKAQLNQVSLDGSSNDVPPVEANSDVEPPTASSIEPSSSVLADEESINQFFTQVASLVKLVDSRDIVELKLKQLNCEVTIRKKEALPQPEYAPPSSVAYSPQLQAAPPVAPSNTQAPPPSPSTSLVAVKSSKSSRPPLKSPMAGTFYRCPAPGEPPFVKVGDEVKKGQVLCIIEAMKLMNEVEADQSGTIVEIVAKDAQPVSVGSPLFVIEP >CAK8536177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914513232:914515339:-1 gene:gene-LATHSAT_LOCUS5570 transcript:rna-LATHSAT_LOCUS5570 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFCCVGKSRQKNQTNNSSRRENHTNITSPDKVKVDLNLTVNDKKEDESKHDQLSLDVKNLNLKDGVSPDGKVAKTFTFEELEAATGNFRLDCFVGEGGFGKVYKGHIERINQVVAIKQLDPNGLQGIREFVVEVLTLSLADHPNLVKLLGFCAEGEQRLLVYEYMPLGSLENHLHDLSAGKKPLDWNTRMRIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEDYHPKLSDFGLAKVGPIGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDHRKPAKEQNLVAWARPLFRDRRRFSEMVDPLLEGHYPVRGLYQALAIAAMCVQEQPNMRPVVADVVTALNYLASQKYDPQTHPVQRPRKSSSSSRGSSAGHRRVTSNDSESNRIGD >CAK8531726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143679875:143681020:1 gene:gene-LATHSAT_LOCUS1495 transcript:rna-LATHSAT_LOCUS1495 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQSFKPIFLNLTTILFPISKFNSRYSSTISQQNQFTVSYLVANFGFSSETATKVSKRFHFDTSEKPDSVIAIFRNYGFSDFQIKNLVRQAPNILKCDPNKRVLPKFQFLLSKGASNSDIVEIVSRSPRILYSSLENSIIPTFELVRRFLPSNEKAIERILQCKFFFGHYQFIRNVKLLLDDGVTDSNLRHLLLKRPSILLSHDMRSALDMVKKMGFNDPSNVNFCTALLAKRAMSKSRWDAKVVVFKRWSWSDEMVLEAFRKRPSCMLSSTEKINKVMRFWVNELGWNSSALVKRPDIFQYSLEKRIIPRACVVSYLISKGLIEKNVELSTPFAVNEKVFLEKYVQCFKEEMHNLLKLYQEKMDGKKIKENGEAPGSY >CAK8567932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557320988:557322730:1 gene:gene-LATHSAT_LOCUS20940 transcript:rna-LATHSAT_LOCUS20940 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTDVISSTTENLSSLISNCVTAKSLKHAKALHSHLIKTTLFFDAFLANGLIDLYSKCGCLESTHKAFDDLPNKTTRSWNTLLSLYCKKGVFNEAHKLFDKMPQRNLVSYNSFISGLTRHGSYVEAVKLFRVMQKGCGGLMLDEFTLGSIVGCCSCLGNVKWLRQVHGVAVIVGFHSNVILNNALIDGYGKCGEPDASFHLFSSMFEKDVVSWTSMVVAYTRASRIDDACKVFDEMPIRNTVSWTALITGFAKNGRCYEALEAFRGMIEEGVMPNAQTFVSVLDACASEALIGKGKQVHCQIIRGRNSGNLFNVYVCNALIDMYAKCGDMKSAENLFEMMIHVRDVVSWNTLITGFAQNGCGDESLVVFSRMIEANIEPNHVTFLGVLSACNHAGLVNEGLELLDSMERRYGVKAQSDHYALLIDLLGRKNRLKEAMCLIEKVSYGTKNHIAMWGALLGSCRVHGNLELARKAAEALFELEPENTGRYVMLSNIYAASGRWGDANKIRNVMKEKGLKKEPACSWIELKNSKHEFVAKDKSHPQTHEIYEVNSKLIQHLMDVGYQPYSYPSLLDEDEDFY >CAK8544251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674199404:674201331:1 gene:gene-LATHSAT_LOCUS12947 transcript:rna-LATHSAT_LOCUS12947 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRSYYLQFEEQQTQSLIDQRIKEHLGQAAAFQQVGVAFNPMMAQRPSMPILPPPRFPIPGSAPGLGGQPLFPGMRPLMPRPGPMAPGAGYYSAPGMPPTLPPPGAPSVPGQANTLPRPPTLAPPPMVPGTNSSVPASNGAPPMVSSAMYPTNSSAPSTGGYESYNANAQPPSTGGYESYNANAQPPSTGGYESYNANTQPPEGNH >CAK8544250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674199404:674201331:1 gene:gene-LATHSAT_LOCUS12947 transcript:rna-LATHSAT_LOCUS12947-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRSYYLQFEEQQTQSLIDQRIKEHLGQAAAFQQVGVAFNPMMAQRPSMPILPPPRFPIPGSAPGLGGQPLFPGMRPLMPRPGPMAPGYYSAPGMPPTLPPPGAPSVPGQANTLPRPPTLAPPPMVPGTNSSVPASNGAPPMVSSAMYPTNSSAPSTGGYESYNANAQPPSTGGYESYNANAQPPSTGGYESYNANTQPPEGNH >CAK8569800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11622927:11631463:-1 gene:gene-LATHSAT_LOCUS22609 transcript:rna-LATHSAT_LOCUS22609 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVALLTSAGINIAVCTVLFSLYSVLRKQPSNVNVYFGRRLESHRSRHPDFWLERFVPSPSWIVKAWGTSENEILDIGGLDAVVFVRILVFSIRVFSIAAVICTVLVLPVNYYGKEIIHKNIPLESLEVFTIENVKTGSRLLWAHCLALYIITLAACTLLYFEYKSITKLKLLHIIGSPPRPSHFTILVRSIPWSAEESYCDTVNKFFSHYHASTYLSHQIIFKSGKVQKLKDEAEHFCKVVKDASSVNSCKTSFVPCCCFGSSTDSFKTDTNEIGSIHGRTCYTDIDTRKKELPAAFVFFKSRYAALMASQTLQTSNPMLWVTDVAPEPHDVHWSNIRVQYRQIWIRKMATFAASIAFMLVFFFPVTFVQGLTQLDKLQKTFPFLTGILSNKYVNRLVTGYLPSVILVLFFCAVPPMMILFSTIEGPISRSRRKKSACWKVLYFTIWNVFFVNVFTGSFISQLSVFSSIKDLPGQLAKAVPAQATFFTTYILSSGWASLGFELLQICPLLYNLFQRFLLRVKDDTLVGITFPYHTEVPRLLLFGFLGFTCSILAPLMLPFLLIYFFLAYLVYRNQILNVYITKYDSGGQYWPIAHNTTVFSLLVAQLIALGVFGIKRSTVASGFTIPLIIVTIIFHQYCRHRFLPVFRSCSAQILVDMDRKDEDSGKMEEIYEQLRSAYVQPTLVSQALSPSEPISPQDDKPSEISEDIEKGKTVGQQHRPGPVHRTVSSSSDRSVISGDETRDPACKLKKNIPNVPHPALEHLINDGL >CAK8543676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630785844:630787043:1 gene:gene-LATHSAT_LOCUS12420 transcript:rna-LATHSAT_LOCUS12420 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVKNKARAEGSICAHYLHRETSHFCSNYFSHMMLTPRIIRNEVHFSERSQFTLSVFGRPDRPSRKTSVHWLSEKEMQYAHVHVLINYVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKKQLYCIVAPSPEIIHLRSLSEGPHQRANEWHTYFVNEYKFHTQTWTEGKKTINSGVVVKGVTEGGEDDFYGVITHIYELVYNYMDSKNKVVLFYYDWYDPSSRGTKIDKNIYNIVEIRMDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGIMEDDVAYQDDEISLVNGVIEIEEITSLGDTVVVGQQVDATILLSTNHVEEESGDSEDNNIVSDEDSDDYNDE >CAK8544067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661049899:661052413:1 gene:gene-LATHSAT_LOCUS12775 transcript:rna-LATHSAT_LOCUS12775 gene_biotype:protein_coding transcript_biotype:protein_coding MESAARRRGGGVFEGLYKVLMRRNSVYVTFVIAGAFLGERAVDYGVHKLWESNNVGKRYEDISVLGQRPVDE >CAK8534379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721767114:721767737:-1 gene:gene-LATHSAT_LOCUS3929 transcript:rna-LATHSAT_LOCUS3929 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNYIVHAHFNGDTYISEDSGFGFQNTDVTRLTVSRKSIFLHFKKRIESKILSGPISQIIYRSFVFFDNNQIKYFQEKIQDDNEVDQMFDSHEHSGFDYIEVYLLLCQTQHQVGETTNVDEVDVVDKEEEETEAMVDQMVNLFETGDYTALTPLQDIDEETLPLRHMYCPPQHMTNLQLSGDDTSSNVFYNPSQQIEGVLKVGN >CAK8560427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18894808:18896036:1 gene:gene-LATHSAT_LOCUS14097 transcript:rna-LATHSAT_LOCUS14097 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPKEINKGAWSREEDDILSKYVATHGEGKWQKVAQNAGLKRCGKSCRQRWLNYLKPGIKRGDISTDEEDMIIRLHRLLGNRWSLIAKRLPGRTDNEIKNYWNTNLSKKLQKQPTSSSFFVSLHDNHNKHGHIVPEAPRPKRLKIVHQHNKILDNNNGSECDQTSDETSIADFLIDNDHQESLLACDDESNTTNSNIPQMEQDHKKVVSTNSTHNSSSSYDHFRLLSEKFDPLDTLLDVELKKMASFLGLEND >CAK8579758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721258565:721258833:-1 gene:gene-LATHSAT_LOCUS31678 transcript:rna-LATHSAT_LOCUS31678 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENRYAPLLARSTSDIMEDPYS >CAK8534987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800210235:800210858:-1 gene:gene-LATHSAT_LOCUS4475 transcript:rna-LATHSAT_LOCUS4475-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYNQNYYNTPIITNKSPSSSEDYMMNGFHPWPPRSYTCSFCRKEFKSAQALGGHMNVHRRDRARLRQSSPPTTHHELQTQTQGSSMLNLNNNPTNPNNFFSNNSSLLQQPSSSSSSSLATTLKPITCTLPLFVVDGILLNPSLSAMTSEKSKIEECDVFACEDDHECRIMKKGENIIRMDLEIGLPRDYDNLDLELRLGTTTYSN >CAK8534986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800210235:800210870:-1 gene:gene-LATHSAT_LOCUS4475 transcript:rna-LATHSAT_LOCUS4475 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNMKNYNQNYYNTPIITNKSPSSSEDYMMNGFHPWPPRSYTCSFCRKEFKSAQALGGHMNVHRRDRARLRQSSPPTTHHELQTQTQGSSMLNLNNNPTNPNNFFSNNSSLLQQPSSSSSSSLATTLKPITCTLPLFVVDGILLNPSLSAMTSEKSKIEECDVFACEDDHECRIMKKGENIIRMDLEIGLPRDYDNLDLELRLGTTTYSN >CAK8575113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:31677153:31678572:1 gene:gene-LATHSAT_LOCUS27402 transcript:rna-LATHSAT_LOCUS27402 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVAATDTTSATSVWAMTALMKNPRVMKKVQEEIRNLKSNKDFLEEHDIQNFPYLKAVIKETLRLHLPAPLLVTRESREKCTISGYNIPAKTILYVNAWTIQRDPKVWKNPNEFYPERFLESSINFNGKDFELIPFGAGRRICPGISMAVASLELILANLLYVFDWKLPHGLMEIDTEMLPGITQHKKNPLCLVANIHK >CAK8539979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533695757:533697255:-1 gene:gene-LATHSAT_LOCUS9024 transcript:rna-LATHSAT_LOCUS9024 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDACESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHGRYLLFRQRVEFPGDKPSNAENPSSQPLDPGDIKRGQSPLPKQKSGEKQQNHRMPPVPTLEPNADGNTKMDNKLIDLNMKPNRIHDHGSNHQS >CAK8578114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608056777:608061320:1 gene:gene-LATHSAT_LOCUS30153 transcript:rna-LATHSAT_LOCUS30153 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMMRKTKTKKKPPRLERLNAKKNITYQLPTTTAAAATIQSPTPSPSDEETSLRVLGIDGEFDRIFQSLGLSGPEDFSIPTQDWEARKVRSSNLASISVSDDSGDSGVVIVRDSPSSSGEHDVRDVVDPLRNGERSVLFTDSGSFTTSHDYDSDGGGERTAFPYGWLKPTFSSWQKGAVLGKGSFGTVYECFTDDGYFYAVKEVSLLDDGNQGKQSIFQLQQEISLLSRFQHENIVRYYGSDKNESTLYIFLELVSKGSLASLYQSYHLNDSQVSAYTRQILNGLKYLHENDVVHRDIKCANILVDVNGSVKLADFGLAKATKLNDVKSSKGSPYWMAPEVVNLRNQGYGLAADIWSLGCTVLEMLTRKPPYFELEGMQAIFRIGRGEPPPIPESLSNDARDFILKCLQVNSSKRPTAAQLSNHPFLRRRLSAGSPRINSIVQP >CAK8560015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4978246:4978575:-1 gene:gene-LATHSAT_LOCUS13735 transcript:rna-LATHSAT_LOCUS13735 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLPALDKLLGNVDQRLCVTHLYSNFRKKFPGLKLKELMWRATSASYVKAWEKIMIEIKGVNEEAFKHLIKFPPRF >CAK8544724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702446253:702449478:-1 gene:gene-LATHSAT_LOCUS13379 transcript:rna-LATHSAT_LOCUS13379 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVIGRQAVTSEKKKSSGGESNRKVDDGCETEKIGGGDRVHSFRGESRRSEANPRLSNPPKHLLGEQVAAGWPPWLTAVCGEALSGWIPRKADTFEKIDKIGQGTYSNVYKAKDSLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLHGLVTSRMTCSLYLVFDYMEHDLAGLAASPEIRFTEPQIKCYMNQLLLGLEHCHNRHVLHRDIKGSNLLVDNEGILKIADFGLASFFDPNHRHPMTSRVVTLWYRPPELLLGATSYGVGIDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKSSKLPNATLFKPREPYKRRVRETFKGFPPSALPLIDNLLAINPVERKTASDALRSEFFMTEPYACHPSSLPKYPPSKEMDAKRRDDEMRRQRALNKTRVDGSKKHRTRDHALKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDAQLGVPLGSSHRIDPDTIPADVSFISTTYTYSKEPFQAWSGPIGNAADIGLPMRKKHIAGDVFDLSKSPKDAHKDKFKGKRIIA >CAK8561113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97034224:97034983:1 gene:gene-LATHSAT_LOCUS14732 transcript:rna-LATHSAT_LOCUS14732 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRTVVKEAWEKTFLFTKFLCFLHVTDNYLVDPVKIYGPSMLPVIDLNPSIFLAERITPRSGKVTHRDIVVFRSPQNPRRTVTKRVVGLEGDTITYVSNPENSDNDKHETVVVPKGHIWVQGDNKYNSTDSRHFGPIPYGLIESKIFWKVFPLDDFGSFWRK >CAK8575117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:32532388:32537584:-1 gene:gene-LATHSAT_LOCUS27406 transcript:rna-LATHSAT_LOCUS27406 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSTITQQPFSSWTRSSIPIPLSFKSRLTSLNPQFRAALVEAKPSPNSPPLSTQPKDKSLKIPHLPIDRADDIQAETKAMARAVNASVYTPELVASRYGSKPFKVVGRAFEIVFSLGSFGLKLLWEQRNGVGDKNKRIRAIELRTIFTKLGPTFVKLGQGLSTRPDICPIEYLEELSELQDGLPTFPDDDAFECIERELGLSLDSIFSTISPSPIAAASLGQVYKARLKYSGKVVAVKVQRPGIEEAIGLDFYLIRGLGLLINKYVDRITTDVVALIDEFACRVFQELNYVQEGKNAKRFKKLYADKEDILVPNVFWDYTSAKVLTIDWVDGVKLNEQEAIERQGLNVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARYAIIGHVVHLVNRDYEAMAQDYYALDFLSRDVDVSPIVPALRNFFDDALDYTVSELNFKTIVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGRKDRDFSAKEALQPALKVLLSPDGEVLRNLVIKEAVRVSEAFTLGTISDTYQYVPAFLRTLVLNGMAKEPLLTSETERQSLIELRDQVIRIWRLLQSSNDFDPSLLLPILQVVQQPEARKLGGRVVGGITQRLAARFLLQLLGTPTSAST >CAK8572402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534277160:534278131:1 gene:gene-LATHSAT_LOCUS24973 transcript:rna-LATHSAT_LOCUS24973 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLIVLRGTMHAHTDVVTAISTPIDNSDMILTSSRDKSIIIWDLTKEDETYGVPRESLIGHSHFVQDVALSSDRLFAVSCSRDRELRLWDLNTRTSLHRFVGHTKDVLSVALSKDNCHIVSGSRDGAIRLWNTLGECEYTIEDAHADWISCVGISPRALRPIIVSSSWDKTVKVWRLSDGKLICTLSGHSRYVNSVAVSPDCCLLASGGKDGVIIVWDIESKGKRLFSFETGSTIHALCFCPNRYWVCAATESSIMIWDLESETVVDDLKINDLKPEAESTTTAGTDKVIHCTCLNWSADGTTLFSGYTDGVVRVWGFNTY >CAK8534867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779454823:779455274:1 gene:gene-LATHSAT_LOCUS4373 transcript:rna-LATHSAT_LOCUS4373 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGEQPICARTAWAYGKSGGRISHALSVYSCQLRQPSQVKVIFEFLKNGFQEISSSLDLSFEDDFVADEKIPFLAYLASILKDDFYFPYEPPAGSKRFRNLIVGFLKTYHHAPRTNE >CAK8563550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615528915:615530267:1 gene:gene-LATHSAT_LOCUS16948 transcript:rna-LATHSAT_LOCUS16948 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLLHLFLLSLSLLSLSSLSLALLPKPNPFILPIAKDAKTLQYSTTIKMGTPARSLDLVIDISERFLWFECDKSYNSSTYHPIQCGSKKCKLSKGTECITCTNHPLKTGCTNNTCALSVFNPIAQLFVSGDVGEDILSSLRTTAGDRGILKNINAPRFISSCVYPDKFGVQGFLQGISKGKKGILGLARTLISLPTQLATRFKLERKFTLCLPSSSKTNGLGSLFIGGGPYHLGSNKDDFSKFLTYTPLIANRHSTGPIFDNSPSTEYFIKVNSIKVDNNVVNYNTTLLSINKLGGGGTKLSTVIPHTKLHTLIYQPLVNAFVKKAEIRKIKRVKGVAPFGACFDSTTIGKSVTGPNVPTIGLVLKGGVEWRIHGGNSMVKVNENVLCLGFVEVALKDLGGLEPSFVIGGHQLEDNLLEFDLVSSKLGFSSSLLLNKASCSSFRRF >CAK8570873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:141146428:141148413:1 gene:gene-LATHSAT_LOCUS23585 transcript:rna-LATHSAT_LOCUS23585 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRDGCSLLLKLRKSPIPFVLTTNPISNPDKPSLNPSPIPESQVLQVLKHEPDIVSSLEYFTSLANSGTFKHTHSTYKIMIEKLGRNNEMDAVQYLLQQMKVESVPCSEELFICVMDSYRRARLGEQGLKMFYRIREFGCKPSVKIYNHLLDALLGEKLFKMISPLYNNMKGEGLEPNVFTYNILLKALCKNGKVDGACKLLEEMSNKGCPPDDISYTTIISSMCKSGEVDKAKELAMRFEPVVPVYNALIHGVCKGYRIKEAFDLMNQMVDKGVDPNVISYSTVISCLSDMGNVDLSLAVFAQMFVRGCSANVHTFTSLIKGYFVQGRLGEALGFWNLMIREGVKPNVVSYNTLIHGLCSNGRMDEVVSVWNHMEKDFVCPNVTTYSTIIHGFAKSGDLISACEIWNKMINRGCRPNVVAYTCMVDILCQMSMFDQAFDLIDNMISDGCPPTVVTFNNFIKGLCRGGRVEWAMSVLDQMEKYECFPNIRTYNELLDGLFKASAFREACELIRELEERNVELNCVTYNTIMYGLSFQGMHQQVLQLLGKMLVNGVKPDDITVNIAINAYCKLGKVRTAIKVLDTMTAEKKFCADIITHTIILWGICNWLGTEEASVYLHEMLNKGIFPNIATWNVLVRGFFNNLGHIGPIRILDDILGTR >CAK8561666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:345407272:345411700:-1 gene:gene-LATHSAT_LOCUS15234 transcript:rna-LATHSAT_LOCUS15234 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWMSMVAMGAVTNSTFLLSSQPILKTIIPFSLTKTLPYLVCLTAPSRRFLSAASSLPSVVSADHSFNFSDSGDGNGVAVSRTGHKVLLKGMTYSELEKWVQSHGYRPGQAMMLWKRMYGNNMWAHHTDELEGLNKDFKKMLSQNADFKALAVKEIHTASDGTRKILFTLDDGLVIETVVIPCDTGRTTVCVSSQVGCAMNCQFCYTGRMGLKRHLTAAEIVEQAVFARRLFTSEVGSITNVVFMGMGEPLHNIDNVIKAADIMVDDQGLHFSPRKVTVSTSGLVPQLRRFLNESNCTLAVSLNATTDEVRNWIMPINRKYKLDLLLETLREELCLKHKYKVLFEYVMLEGINDSNEDAKRLIELVKGIPCKINLISFNPHSGSFFRPTKDERMIEFRNMLAEAGCVVLLRPSRGDDQMAACGQLGNPGTIQAPMLRVPKQFQTAVGCST >CAK8575133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36640465:36641013:1 gene:gene-LATHSAT_LOCUS27420 transcript:rna-LATHSAT_LOCUS27420 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTRILLNAQAVGASLRKQAKDNLKQFQEQNLPNVLSSLAGKLANDEKPSERRRLAELILKNALDSKEQHKKIEFVQRWLAMDPTFKAPVKAFLLRTLSSPSPDARSIASQVIAKIAGIELPHKQWPELIGFLLSDAHQLPAPTRPTTLEALGYICEEVSPDMVEQDHVIRYSLQLFKE >CAK8536605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1825219:1828174:-1 gene:gene-LATHSAT_LOCUS5959 transcript:rna-LATHSAT_LOCUS5959 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEDEEPPLAVQIQRDDDQSISQQSSVGVTLITGYLGSGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERALINEGEEGAVVEEWVELANGCVCCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASILWLDEQLESDVKLDSIVTVVDAKNVRFQLKEHRGSSSFPEAYFQIAFADIVILNKVDLVSAEGSGALEELEEEIHNINSLVEIIHSVRCQVDSSKILNRHAYDTHAAHLEALLEESRSLSSNKLHDSGVRTICVFETGTIDLDKTRIWLEEILWEKKYDMDVYRCKGVLSIQNSDQLHTLQAVRELYEIVPARKWKKEENRVNKIVFIGHNLKEDVLISSLRALATC >CAK8530996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68683449:68697127:1 gene:gene-LATHSAT_LOCUS818 transcript:rna-LATHSAT_LOCUS818 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKYHGFGKNSEKKMEAKYHGFGKNSGPAEPFQAKPSFGFNDPSSSSPITTPILPSTHSSIESPGWSDGQNMLYKDLGAQTPERTTSVTKFIASRDSTFGITARVYRSPLLESTRSPPVSYADIDDLRNPNQTVLINNRPNVHMEGQGNLLPLKLQSPPLVPLNHQSVPNFQAPSVSVQQPALARSTLDGQGSPSINFPNFSVRPVQSSLTPYFDSQNPRPSFTKELNQGSKRTRSPPLLSTNIHEDFNDARKDFRRPSISSRLGSTSNVLKTSPHSQLHQISSPFRVAEAAGSRPIISTAPKRTRSPPPSFSATETFEGNFEDNSEREMLAKAKRLARFKVELSKSEHHNDDVVGDTTSVLEKKDMGGHMIDSASKITNGHGVSDNEGQETSNVIIGLCPDMCPESERGERERKGDLDQYERVDGDRNVTSRLLAVKKYTRTAEREASLIRPMPILKKTIGYLLTLLDQPYDERFLGIYNFLWDRMRAIRMDLRMQHIFNQGAITMLEQMIKLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKASVELFQLYDDHRKKGVYIPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQAPEVLFARNVARACRTGNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHSGVQNNQGLPVAHVANWLAMEDEDIEGLLEYHGFLIKAFGEPYMVKEGLFLNADTEYPTKCSKLVHKKRSGRIVEDVSPSIHAELLPGETMKEIPMAKAYKHEPQTVSAAKNNSSVQKLDEEIPESKAIYSPKDSKSGKAFKEMQSVQDSVKDSDMARTHPSPMSFPFGNIMPEPQQTRIEGLKIANSYMVAEASPRRNSPSNVDVRPLESIPKTLPPEGSLANSFFLPLPVAQSVSKDESLFIHQEHEEHEDEIHEVSESCHDEEVAEAKLKLFLRLWRRRVSKLRMLREERQLASNAALSSLALGPPIRQCIEKPGNFDKFDIDVTMRERYETQENSQSRLNVSDVVGDTIARRNPDSKCLCWKIILCSQKSIAYEMGKAGLWLTSKVMPSSGDDDVVISSPGLVIWRKWIRSRSNIDPTCCFSVIRDTSVGNRDEVVSGANGILFLVSESISWKHQRVNLHNLLMSIPCDASLPLLILCSSGSYDERSSSVIINELGLQDIDLRVSSYLVVFLRENQQMKHSNGFFSDTRLREGLQWLAGESASQPNLDCVKIRELVHTHISSFSGAQDIISNSKLGPNDCILLFNKALDYSMQEIVAAANSNPAGWPCPEIGLPDKSFDEDRVVKSYLPTLGWSSNEKTQPIIYALQNCKLPTFTDDLSWLARGSKVGQEIENQRTQLENCLIQYLSHTSNMMGISLAAKEARVITQTCAKLELCGSSYRVVPHWSLIFRRIFNWRLTGLSSREISTAYILERHHNVDLQNVGFSACLSSYYPDTSLDEMISVICNSPLPANDRRPRPKAIQRLPPMDFDDETTNLSDVERNLRLESPNTNTGGTYGINNAKSELLSSKKPNKEADKLSKLLEQCNLLQDGIDKKLSIYF >CAK8535861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886743478:886743828:-1 gene:gene-LATHSAT_LOCUS5281 transcript:rna-LATHSAT_LOCUS5281 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVRQVYYVPYPSIVPRKRGWCVVIKTKPLGHTETGNLVEDIAYQVDKVEQINDVIGVEQITTLSNTTVEGHQVDASIFLVENNMDKELEEFGSEDNITSDDENDMDEEHGDFE >CAK8532758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510789676:510790014:-1 gene:gene-LATHSAT_LOCUS2431 transcript:rna-LATHSAT_LOCUS2431 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITNTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPISGSLLYGNNIISGAIIPTSAAIVCTFTRYGKLHPLMNGYTMVLMN >CAK8531529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121239746:121241010:1 gene:gene-LATHSAT_LOCUS1313 transcript:rna-LATHSAT_LOCUS1313 gene_biotype:protein_coding transcript_biotype:protein_coding MATHYISIVVLIVSLVASSTANSETLLSDVNLLEFPLNLEYLEAEFFLFGSTGHGLDKVAPALAEGGPTPIGAKLAKFNDTVIRDIIYQFALQEVGHLRAIKNTVIGFPRPLLDLSHSSFAKVIDKAFGRPLRPSFDPYANDINYLIASYLIPYVGLTGYVGANPLLQNASSRQLVAGLLGVESGQDAVIRGLLYERRAWKVTPYGITVGEFTDRISKLRNVLGNEGVKDEGLDDTFSSGSILAGDKYSLAYSRTPKEILRIVYGSGNETVPGGFYPKGGNGHIARSYLK >CAK8572535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544575201:544580310:-1 gene:gene-LATHSAT_LOCUS25089 transcript:rna-LATHSAT_LOCUS25089 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASPTVNIHFTKFLILRRSLSHQPSCRLRYRLAPSRGLNLSYYQSCVSHRFVFLNSKCSITNTDVQLELDHANIIDEDKNEGECSILQPECPVPIKFNTDILLSEGTFLDSLLTSLPVLSKEEQHALASTPAHPAALYAFYSSCIVANFVEQLWTFAWPSAIALIHSSLLPVAVMGFFTKVAIIVGGPLVGKLMDHLPRVPAYNYLTIIQATTQLLSAAMIIHAHSVPPTSVSTLFLRPWFVILVSAGAIEKLCGVALGVANERDWVVLLTGVNRPVALAQANAFLNRIDLLSEIAGALLFGILLSKFHPVTCLKFASVLMIGLLPVTIVLTCLANKLSTGVLDRPKPSQTCGRSFNEDSEPDAESIVVKGLEAIKLGWKEYLGQPVLPASIAWVLLYFNIVLTPGSLMTAFLTQHGLHPSIIGGFSGMCAFMGVAATFVSSTLVKQFGILKAGAVGLVFQALLLSMAVAVYMSGSISHQSPLFVFLFLIILSRLGHMSYDVVGAQIIQTGIPSSKANLIGTTEVAVASLAESMMLGVAIIANDPSHFGCLAILSLLSVVSAAWMFCRWLSNPTDEQKSLFS >CAK8577454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565074474:565075730:1 gene:gene-LATHSAT_LOCUS29561 transcript:rna-LATHSAT_LOCUS29561 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKHYQLPLSFSITFLLCFHLVNSQILDVPIVSPILSPILSPLVPDILHFVDQRLELVFSIIQAFKNTITSDPLGITSTWVGSDICNYKGFYCDNPPDNRSATTVASVDFNGFNLAAPSLDNFIDQLPDLALFHANSNNFSGTISPKIASLKYLYELDLSNNMLSGTFPTSILNMATLSFLDIRFNQFTGTVPQQIFIKPLDAIFLNNNNFLLTLPNNIGETTASYITFANNKFSGPIPPSIGKASSTLIEVLLLNNQLTGCLPYEIGFLQNLQLFDAGSNLLTGPLPWSFACLKNVEELNFARNMLYGQVPEVVCALENLSNLTLSYNYFNRLGPLCRKLVTNGVLDVKNNCIFDLPDQRPMEECVKFFSLQRTCPRPGTFNVIPCRSPTRAKPPGNMRNLLSYSALERNRMVLI >CAK8530731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:45578850:45582142:1 gene:gene-LATHSAT_LOCUS575 transcript:rna-LATHSAT_LOCUS575 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKLEEISHPPMDQLHGLEYCIDSNPSWLESIVLGFQHYVLSLGTAVMIPSFLVPLMGGTDGDKVRVIQILLFVQGINTLLQTLFGTRLPTVMGGSYAFMVPIISIIHDSSLASIEDPHLRFVNTMRAVQGAMIVASSIQIILGFSQLWAICSRFFSPLGMVPVIALSGFGLFNRGFPVVGHCVEIGIPMLILFVVFSQYLKNFNARQLPIFERFALLISTTMIWAYAHLLTESGAYKHRPDLTQHNCRTDRANLISSAPWIKIPYPIEWGAPTFDIGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPPHVLSRGVGWQGIGILLNGLFGTLTGPTVSVENVGLLGSTRVGSRRVVQISAGFMIFFSMLGKFGAFFASIPFPIFAAMYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGFSIPEYFREFTSKALHGPTHTSARWFNDFLNTIFFSSSTVAFIVAVFLDNTLDYKHSSKDRGMPWWTKFRTFNGDTRNEEFYTLPFNLHRFFPPS >CAK8564283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665708623:665709546:1 gene:gene-LATHSAT_LOCUS17605 transcript:rna-LATHSAT_LOCUS17605 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLPLPKVVIRKIESICRSFIWTSKNAISRKCPVAWNHTCCPTAQGGLNLLNLQVWNNVLLLKCLWNLCNKTVNLWVKWIHTHYLKEKSVLNYEIKAYNSWIVRGILKQRDNLEFIRNEWEQFIITHKFKALVIYRVLIDDGTRVLWGNLIKFNKARPRAVFCLWQACHGKLATKERLKRFGMVEDNSCKLCQAEDETVNHLFFSCQETKHIWKEVLNWFNFSHDPQPWNAELVWISNNTKGKGWKVEVLKMLVAETIYNIWGYRNGKTFGNVVDITTMITNIIDHVIYRGWNNSRIRKHLISFMM >CAK8565859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:344221526:344225265:1 gene:gene-LATHSAT_LOCUS19038 transcript:rna-LATHSAT_LOCUS19038 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHTFCHLNIWRVCTKMRIWTVLLLLLLLKSDVIVVYCGSGYLVGLGSYDITGPAADVNLMGYAKIEQVASGIHFRLRARAFIVAASKGNRVVFVNLDACMGSQIVTTKVVERLKARYGEMYTEKNVAISGTHTHAGPGGYLQYFLYIITSYGFVRQSFDVIVDGIEKSIIQAHENLRPGSIFVDEGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDDERGPVGSFSWFATHPTSMGRRNSLISGDNKGAAARFMEDWFEEKDPGRMNSDVVRNDGLPQRISNIIPGLRDNHHELLELAVSFPSPPGRPVTKSSSVTRRVRGAPRNVDKPQFVAGFCQSNSGDVSPNVLGAFCLDTGLPCDFNHSTCGGRNEFCYGQGPSYPDEFESTRIIGERQFRKAVDLFNAATEEIEGEVDFRHAYIDFSKLEVTISDQGVNKVVKTCPAAMGFAFAAGTTDGPGSFDFKQGDDKGSHFWKLVRNLVKTISKKQIDCQHPKPILLDTGEMNIPYDWAPSILPIQILRVGQFVILCVPGEISTMAGRRLRQSVKTVLSNHKDFENVHVVIAALSNAYSQYTTTHEEYQVQRYEGASTLYGPHTLSAYIQEFKKLAKALISGEPVESGPLPPDLLDKQVSLLPPVVVDGTPFGVNFGDVSSDVPQNSTFTSGDVVTVSFWSACPRNDLLTEGTFALVEILQGKDTWVPAYDDDDFCLRFKWSRSFKLSPMSKATMEWRIPHGVTPGVYRISHFGAAKGLFGSINHFTGSSSAFVVLV >CAK8543788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639990446:639992248:1 gene:gene-LATHSAT_LOCUS12524 transcript:rna-LATHSAT_LOCUS12524 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGNSGTKKKIVKMEVEDSVAPQIKPTPGKLKRNSSTNSKDKSKNGSAQHIAAQTFSFRELASATRNFKAECLLGEGGFGRVYKGHMESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLLGYCADGDQRLLVYEFMSLGSLEDHLHDISPGKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEEYHPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDYSKSTAEQNLVAWARPLFKDRKKFSQMADPTLQGQYPSRGLYQALAVAAMCVQEQANMRPVIADVVTALSYLASQRYNHNTQTVQNSRHAPRTPSRTRRGQ >CAK8563321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592272879:592282804:-1 gene:gene-LATHSAT_LOCUS16745 transcript:rna-LATHSAT_LOCUS16745 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPYNKLVKLAARAFYDDLTSKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKIITRDHRRETAKGAKIYSAAVAATADAATGKEGEEKVKLHTHSYCCLDYAQIYDVVRYRLHRMKHKLKDELENKNTVQEYICTNCGKRYNALDALRLISFEDEDFHCERCNGKLEVESDKLAAQDGGDGDGDENARRRRREKLKDMLQKMEVQLKPLIDQLSRVKDLPVPEFGTLQAWEARASAAGRAANGENAGDSKMSHLGYNGAPMPYSGDTKVVVDFNGTEGKGEGVKSETDSTSLKVLPPWMITSGMNLTKEQRGEVKQEIKMDGTSTSTAAQYTDEKKSTIVHDDKNIQDEYIKAYYAALVKKQHELEEAAKNPLDGNGADDPSGSTSNRKVGGKSKREEDDDGTEWEEAPVRGNGGYKVDLNVEADAPAEDEEDEDDVDWEEG >CAK8576864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521137250:521137528:-1 gene:gene-LATHSAT_LOCUS29027 transcript:rna-LATHSAT_LOCUS29027 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYYCKPRANDTHTSNVVLILAVALVLLAIPKLFSGEQEEEAEEESGSSPFVVPIIVILILLMVSWLGSSRKRVYAKPRYCHCTHACYCYW >CAK8537987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:454174216:454175368:-1 gene:gene-LATHSAT_LOCUS7231 transcript:rna-LATHSAT_LOCUS7231 gene_biotype:protein_coding transcript_biotype:protein_coding MQGALRSFLSNGNVIKNAVLQRVRMVNPLLQPAAFSRFESVTSARIEEHGFESTTISDILQGKGKGADGSWLWCTTDDTVYEAVKSMTQNNVGALVVVKPGEEKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVISDKEMLGMVSIGDVVRAVVGEHRQELDRLNAYIQGGY >CAK8540866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26843883:26845781:-1 gene:gene-LATHSAT_LOCUS9826 transcript:rna-LATHSAT_LOCUS9826 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQWRVLHLLLRTHHKIPNQSQVLRSFSSTPLRFNPNHSKFHINPSFRHFSSEPVLADVDSDHTLIIDVFSKPRDLDDVKKQLDSNNVSISHDAVNAVLRKLQSDPDSARRFFQWLSENHPEKLSSRSYNAMLGVLGINGSVEEFWDLVGVMKKKGYGVSKWVNDRVLESFEKAGLDGEVVKLKDLFNKETAERKVYNLCRIVRRNVWSDDVEKEIRDLNVGFSSELVKLVLESLGSEPNKALIFFRWVEESGLFKHDECTYNAMARVLGREDSIDRFWKVVADMRSAGFEMEMETFVKVLGRFCKRRIIKEAVELYEFALAGANKPTPTCFTFLLRKVVSSKELDMDLFSRVLKVFTGNGSTLTDSIADAILKSLTSVGRIGEWNKVLKEMEDCGFVASGSLRSKIAFRLGVTGKKEQADEFVDRVEAYGSSPDHKMRKSLVEGHCVGGNLDKAFDSFKEMVEKEGVASAGYTFDLLMNSYCQMNKAKDAYKILCQWVNEKELKPRHSTYKLLITKLLAQGGFKDALNLLAVMRTTGFPPFTEPFIEHISKRGSGDDAVLLLKAMTSKKFPSTSVYNVMFNAFFKQGRHGEAQNFLSKCPSYIRDDADVLDLFCSMNSKKAAAASGMLAV >CAK8542865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554285964:554288275:-1 gene:gene-LATHSAT_LOCUS11666 transcript:rna-LATHSAT_LOCUS11666 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPSSSSGQSKNISRKPKYSRFSQQELPAWQPILTPGWVISIFTFIGLIFIPIGVASLFASERVVEVPFRYDDQCLPSLYSHDAMAYIKDDRINKTCAVKFTVKNRMKAPIYVYYQLGNFYQNHRRYVKNRDDKQLRSKADANEVSSCHPEAYTPSGQPIVPCGLIAWSLFNDTYRISNNNKDLVINKKNIAWKSDQKAKFGSDVYPRNFQSGGLIGGARLNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLEVNDEITIVIKNNYNTYEFGGRKSVVLSTTTWIGGKNDFLGIAYIIIGGLSWLYSLVFLIMYMMKPRPLGDPTYLSWNRNHESRR >CAK8566809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464531971:464532510:-1 gene:gene-LATHSAT_LOCUS19915 transcript:rna-LATHSAT_LOCUS19915 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIAYVVVKGETKDSWTWFLESLIPDLGGVRLCITYTLISDQQKGLLPALDELLGNVDQRFCVRHLYSNFRKKFPGLKLKELMWRDACASYVKAWKKIILEIKGVNEEAFKHLIKIPPRF >CAK8570819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:120749528:120771984:1 gene:gene-LATHSAT_LOCUS23538 transcript:rna-LATHSAT_LOCUS23538 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPDSIFLEDFGQTVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATTVSLCLDLRSHGRDSLLSDSLSQWQGPALLAYNNAVFSEEDFVSISKIGGSSKHGQASKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGVYLPRVSAANPGKRIDFTSSSALSFYKDQFFPFCAFGCDMQSPFAGTLFRFPLRNVDQAAKSKLSRQAYSPEDITSMFAQLFEEGILTLLFLKSVLCIEMYVWDAGKPEPKKIHSYSVSSVTNDTVWHRQALLRLSKCSNTPSELDAFPLEFVSETVSGGETERQTERFYVVQTMASASSRIGSFATTASKEHDIHLMPWASVAACISDDSPNNKVLRTGQAFCFLPLPVRTGLSVQVNGFFEVSSNRRGIWYGDDMDRSGKVRSIWNRLLLEDVVVPAFVHMLHCVKELLGPTDLYYSLWPIGSFEEPWSILVYQIYKSVCNAPVIYSELNGGRWVSPSEAFLHDEKFTKSKDLGLALMQLGMPVVHLPDSLFDMLLKNSTSKVVTPGTVREFLRECETSNYLSRSYKLLLLEYCLEDLVDDDVGKEAYNLPLLPLANGSFASFLEASKGNSYFICDELEYKLLQPVSDRVIDRSVPSNILSRLSGVAMSSNTNLALFSIQYFVQLFPAFMPADWKYKSKVFWDPDLCQKPTLSWFLLFWQFLGKHNETLQLFSDWPILPSTSGFLLRPSRQLKMINGSNLSNAVQDILVKIGCNILKSSYVVEHPDLFNYVSDGSASGVLQSIFNVFSSAEIMQISLDSLIAEERNELRKFLLDPKWYVGHSMDEFSSRFCRKLPIYQVYGRESVQDGQFSDLENPRKYLPPLDVPEFILVDIDFIVRSSSIEEEDILSRYYGVERMGKAEFYKEHVFHRVGELQAEVRDSIMLSVLQNLPLLSLEDVSIRDLLRNLKFIPTLTGALKCPSVLYDPSNEELYALLEDSDSFPCGAFREYDILNILRGLGLRTSVSPETVLESARCIAHLMHEDQQKAYSKGKVLFSYLEVNALKWLPDQVDGNKGGVNWMLSRAATAFRSRNSKSDLEKFWNDLRLISWCPVLVSPPLHSLPWQVVSSMVAPPKHVRPPNDLWLVSASMRILDGECSSTALLYSLGWMSPPGGGVIAAQLLELGKNNEIVTDQVLRQELALAMPRIYSILTGMMDSDEIEIVKVVLEGCRWIWVGDGFTTSDEVVLDGPLHLAPYIRVIPIDLAVFKKLFLELGIREFLQPADYANILHRMANRKGSSPLDTQEIRAVTLIVHQLAEAYHHEQKVQLYLPDVSGRLFLAGDLVYNDAPWLLGSEDLDGSFGNASTVRWNAKSTVQKFVHGNISNDVAEKLGVCSLRRMLLAESADSMNFGLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAGASEVIFLLDKSQYGTSSILSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKAFAIGRFGLGFNCVYHFTDIPMFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRQILEQFPDQFSSMLHFGCDLQQSFPGTLFRFPLRTASVASRSQIKKEVYTPEDVRSLFAAFSAAVSETLLFLHNVKSISIFVKEGTGDEMHLLHRIRRTCIGEAEIESTEAKDAFNFFKENRRVGMNRVQFLKKLSQSIGRDLPYKCQTILITEQGISGHHLHKWMITECLGGGNVRKGTSEASNSNCYNFVPWACVAAHLNSVKLGGDLLDSSEVEGDCVVSPDLFQIASLPTHQLENFEGRAFCFLPLPINTSLPAHVNAYFELSSNRRDIWFGSDMTGDGRKRSDWNIYLLENVVAPAYGRLLEKVALEIGPCNLFFSLWPTTLSLEPWASVVRKLYQFVAEFDLRLLYTEARGGQWISTKYAIFPDFTFPKAAELIKALSGASLPVISLPQSLLEKFMEICPSLYFLKPKLLRTLLIKRKREFKDRDAMILTLEYCLYDLQESMQFDALFGLPLLPLADGSFTLIDKKGVGERVYIARGDEYGLLKDSLPHQLVINAIPEEVHKKLCYIAQTDSTNISFLSCHLLEKLLVKLLPVEWQHASQVSWTPGIHGQPSLEWLQLLWNYLKAYCEDLLIFSKWPILPVGNDCLMQLTPNSNVIKNDGWSEKISSLLLKVGCLFLRQHLQVEHPELECFVQSPTARGVLNVFLAIAGEPQKIEGIFTHVSEGELHELRSYILQSKWFSEEQIDSTHIEIIKHLPIFESYQNRKLVNLVNPIKWLGPTGVREVLLRDSFIRIESEMEGVIMRRYLGIKEPTKMEFFKDHIFNHMSEFLLNQEFVTSILNDVQDLIKEDISLKSSLSAVPFVLAANGSWQQPSRLYDPRVPELKKMLHTDAFFPSEKFLDPEILDTLVSLGLRTSMGFSGLLDCARSVSLLHDSGDTEAFKNGRELLGFLDTLSRKLSNKGESKNGDEWIDMAVGSSNIMDDAVLCDGSCENESSTNDINSFISSSILDMPEEEFWSELKLISWCPVISDPPVRGLPWLQSSNQVASPTIVRPKSQMWMVSSSMLILDGECDEIYLQTKLGWMDCPNVSVLSKQIIELSKSYTQLKTHSLLDSDFDAHLQKEIPCLYSKLQEYINNDDFIELKARLDGVSWIWIGDDFVSPNALAFDSPVKFTPYLYVVPSELSEYKDLLIKLGVKLSFGISDYLHVLQKLQNDVHGVPLSKDQLNFVRCVLEAIQECCPEKSHFDPFGSPLLIPDAFGVLMSSEDLVYNDAPWLENNSLVGRHFVHPCISNDLAERLGVQSVRCLSLVSEDMTKNLPCMDYNKINELLALYGNSEFLLFDLLELADCCKAKKLHLVYDKREHSRQSLLQHNLGEFQGPALVAIFEGACLSLEEFSSLQLLPPWRLRGNTVNYGLGLVCCYSVCDLLSVVSGGYFYMFDPRGLVLAGASTNAPSAKMFSLIGTDLKQRFHDQFSPMLIDQNDLWSLSDSTIIRMPLSSDCLKVGSDLGANRVKHITDIFMEHGSRALLFLKSVLEVSISTWEEGQSHPCQNFSISIDPSSSIMRNPFSEKKWRKFQLSRLFSSSNAAIKMHVIDVNLCSEGTTFIDRWLLALTLGSGQTRNMALDRRYLAYNLTPVAGIAALISRNGHHTNIYSTSSIMTPLPLSGHINLPVTVFGCFLVCHNKGRYLFKYQDKGASAEGNFDAGNQLIESWNRELMSCVCDSYVEMVLEVQKLRRDASSSIIDSSTRPINQSLKASGDQIYSFWPRSSEREVPNDQFDGHSNIPSSSTTVLKADWKCLKERVIHPFYSRIVDLPVWQLYSGNLVKAEEGMFLSQPGNGIVGSLLPATVCSFVKEHYPVFSVPWELVTEIQAVGFSVREIRPKMVRDLLKVSSKSINLRSVDMYIDVIEYCLSDFRQTGPSSLPRDTVAVDLASTNVLFPETAVRSTSQLESNTHSSTGIPTQGAASSADALEMVTSLGKALFDFGRGVVDDIGRAGAPSAYRNYVTGVSQTRDIQLISFAAELKGLPCPTATGHLKKLGVTELWIGNKEQQSLMVPLGEKFVHPKMLDRQLLRDIFSNISLQALLKLQNFSLNLLAHHMKLIFHEDWVNHVMGTNSTPWLSWEKQPGSGSQGGPSSDWVRIFWKSFKGSQDELSLFSDWPLIPAFLGRPVLCRVRERHLVFIPPPLEHTTSTTRIIERESTDVSGVGVSRDNNSESELAESYVSAFEKLQTNYPWLLPMLNQCNIPIFDEAFIDCAASSNCFPIPGRSLGLAIASKLVAVKQAGYFTEPTNFSSSNCDALFSLFSDEFFSNGFRYAQEEIEVLRSLPIYKTVVGSHTKLQGQDQCMIPSNSFFKPYDEHCLSYATDSIESSFVRALGVLELHDQQILVRFGLPHFERKPQNMQEEILVYVFKNWHDLQSDQSVVEALKETKFVRNSDEFSTELLKPMELFDPGDALLISIFFGERKKFPGERFSTEGWIRILRKLGLRTASDVDVIMECAKRVEFLGVECMKSGDLDDFGAETANSRPEVSPEVWALGGSVVEFVFSNFALFFSNNFCDLLGKIACVPAELGFPSVGCKRVLASYSEAILSKDWPLAWSCAPILCRQYTMPPEYSWGALHLRSPPAFSTVLKHLQVIGKNGGEDTLAHWPIVSGLDIEKCTCEILKYLDKIWGSLSPSDVAELRGVAFLPAANGTRLVTADALFARLMINLSPFAFELPAVYLPFAKILKDLGLQDVLTLSAAKDLLLNLQKACGYQHLNPNELRAVMEILNFICDQIDEGNPFDGYDWKSEVIVPDDGCRLVHSTSCVYVDSDGSRYVKCINTSRIRFVHADLPERVCIVLGIKKLSDVVIEELDENQILQTLGSVGSVSLVTIKQKLSSKSLQSAVWTVVNSMGSYIPALNRFSSEEIESLLTSIAEKLQFVKYLKTRFLLLPNLVDVTCASKDFIIPEWNNESAHQTLYYMNQTRSCILIAEPPSYISLFDLISIIVSQVLGSPIILPIGSLFDCPEGFENAVVNILKLCSDKKEVESMNGSSNMVGKDVLPQDARLVQFHPLRPFYSGEIVAWRSQHGEKLKYGRVSEDVRPPAGQAALYRFKIEVAPGVTEAFLSSQVFSFKSVSASSPLKETSVHDSPVLESNRSRVDFPESSGRGELNSQVPSLREQSGKVSAAELVHAVNEILSAAGINMDAEKQALLQKTVDLQENLKESQAALLLEQEKVERSIKEADTAKAAWTCRVCLSYEVDITLVPCGHVLCRKCSSAVSKCPFCRLQVTKAIRIFRP >CAK8542553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525513419:525514351:1 gene:gene-LATHSAT_LOCUS11383 transcript:rna-LATHSAT_LOCUS11383 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHITDFTSTVGVCSTCLRERLVLLIAAQAQTQAQLTRVTSRASDESSRNSETNPPPPLIFPRSVSPYVSRRKSDYTPAWNGHDRRERLFYSTPQLAPTFYGGCTVNSNARSLKKRLSKFWIFSNLFKSRSEKFQSDPSCEEPSSSESPSWFSSILPPRRKNKNRTANTTEEFPVGARRRYRQSDRGMSPVRTEEFTDECDQCPSGSGYSSESSPWWKKTPSANVPSSRRSRLGHGKSASGSGIFCMSPLVRASPNRRWNNKGLPPEMAAAADVRTTAVKPHLSAAASFCANRSRKLADFGRVSHNR >CAK8544480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687994479:687995661:1 gene:gene-LATHSAT_LOCUS13156 transcript:rna-LATHSAT_LOCUS13156 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAYFVQIDGTLNKLSTLTEYVDDTEDYINIMLDDKQNHLLQMGVMLTMATLVVSAFVVVAGVFGMNIHIELFDSDKYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8565770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330282274:330289259:-1 gene:gene-LATHSAT_LOCUS18955 transcript:rna-LATHSAT_LOCUS18955 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRFASSQFGSNFLKPASFLLSSQRRRSISSSNETLTIETSIPFTAHNCDAPSRSVETSSSELMSFFNDMVLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAINSKDCVITAYRDHCTFLSRGGTLFEIFSELMGRSGGCSKGKGGSMHFYRKVGGFYGGHGIVGAQVPLGVGLAFGQKYCKDPNVTFSLYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRSAKSPAYYKRGDYAPGLKVDGMDVLAVKQACKFAKEHALKKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLVLAHDISTEKELKDIEKEARKQVDEAIAKAKESDMPDPSDLFTNVYVKGLGVEAYGADRKELKATLP >CAK8576558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492490747:492491055:-1 gene:gene-LATHSAT_LOCUS28735 transcript:rna-LATHSAT_LOCUS28735 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFQKLLVVGMLLIIGNAILSNSMTICNMTREERKSCEPYVTGDSNFRKPSRACCSATAKADLECICSYKDSGLLYLYGIDPKQALELPLKCKLKVSVQCE >CAK8531600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:128726294:128728433:1 gene:gene-LATHSAT_LOCUS1378 transcript:rna-LATHSAT_LOCUS1378 gene_biotype:protein_coding transcript_biotype:protein_coding MAECNREDYFAANDVESSSGGKKYGGLVPKKKPLISKDNERAYFDSADWALCKQGAGVNQQSTAAVETLRPKLQKTPHQQLPPRRPACTSG >CAK8531929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178011022:178011946:1 gene:gene-LATHSAT_LOCUS1684 transcript:rna-LATHSAT_LOCUS1684 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKGSIWFLPLVVATLIVAAAAGNFYQDFEITWGDGRAKILENGQSLSLSLDKASGSGFRSKNEYLFAKIDMQLKLVPGNSAGTVTTYYLSSLGPTHDEIDFEFLGNLSGDPYTLHTNVFAQGKGNREQQFHLWFDPTMDFHTYSILWNPKSIIFSVDGTPIREFKNLESKGVNFPRNQPMRIYSSLWDAENWATRGGLVKTDWAQAPFTATYRNFNAQVCVWTPSGSSCSSNKSPSSSSTQS >CAK8531149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84583270:84584559:-1 gene:gene-LATHSAT_LOCUS959 transcript:rna-LATHSAT_LOCUS959 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLHLTVTALCCLVVMLGGLPFSSDAQLDPSFYKTSCPNVHSIVREVLRNVSKTDPRILASFIRLHFHDCFVQGCDASILLNYTSTIVSEQGAFPNNNSIRGLDVLNRIKTAVENACPNTVSCADILALSAEISSVLAQGPDWKVPLGRRDSLTANQSLANANLPGPSSNITTLKSFFSRQGLNTTDLVALSGAHTIGRGQCQFFVNRLYNFSNTGKPDPTLNTTYLQTLQSLCPNGGPGTTLANLDLTTPNTFDSKYYSNLQAQKGLFQSDQELFSTSGSDTVAIVNSFNNNQTLFFENFKASMIKMGNIGVLTGSQGEIRKQCNFVNGASVGLDSMVTRESSQDGVSSY >CAK8578998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666500308:666505999:-1 gene:gene-LATHSAT_LOCUS30975 transcript:rna-LATHSAT_LOCUS30975 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESSVKLPPGFYFSPTDEELVLHFLSSKASLPYYPNIIPQLNLSQHHPWQLYGKALSSGNQHYFFTEEKENRSTENGHWKEIGVTKPINKLGIKKYFVFNLGEGTETSWIMEEYHISESEFPERPNSDESWSKWVLCKVYEKKMSQQGESCCYSDDDDDNGSEVSWLDEVFMSLDVDDLDQTSLHN >CAK8538888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498922360:498923559:1 gene:gene-LATHSAT_LOCUS8037 transcript:rna-LATHSAT_LOCUS8037 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSLMEDTEKFKSDVFTKTSCPLSKDIEVNRKEALALSNHGDEFVGVVDVYIHQARDIHNICIYHKQDVYAKVCLTGDPENSVSTKIINGGGRNPVFNDNLRLSVRTVESSLKCEIWMLSRVKNYLEDQLLGFVLVPLCDVLMEDRKLEKEFSLSSTDLFHSPAGFVRLSISYAGVSPDVMVISSWPNAELDRNGTEKDSETRESLVRDLDRIEFPDPKIENEDNLMVSEYFGTDSLATTDTESLGSERGVQFVESLSASSFESIQPPSSVSTNGVSSLSPSASSNDQEKRVDVKDGEPDSSNTLLSKSFPEPVASVNIEPEPKLVQQDIVDMYMKSMQQFTESLAKMKLPMDFENGPTSSGNSTSEPKLQSSKSSNSRVFYGSRAFF >CAK8574998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20549607:20550333:1 gene:gene-LATHSAT_LOCUS27291 transcript:rna-LATHSAT_LOCUS27291 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLNLSTNVSFDGVDVSSILSQLNSTLANILNYPKSWVTVSLEGSIPMSFGNTEDPAAYGEFVAIGILNPELNKKLSAEIALVLQTMLSIPKSRFFLKFNDIEGYNCGLDGGIMVVESK >CAK8565611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292780998:292783660:1 gene:gene-LATHSAT_LOCUS18808 transcript:rna-LATHSAT_LOCUS18808 gene_biotype:protein_coding transcript_biotype:protein_coding MNKILVRFHRFFIFLLLTRVSLSSSLPHTDTGYVDSDTARILNQEHGDVHRIHCSRERSRIAWKIIQEYLTPVVEKEKYNISRRCRLHPDNDIYRDQEQHKSHIDINEWQCGYCKKLFYEEKHLDQHFDNRHSNLLNLNESQCLADVCGALHCDHDINSRSKKSKCNPAAAARNKHLCETLADSCFPVNTGPAASRLHEFFLHQFCDAHSCAGSRKPFSRGRRKRRNGFYIAASIFLVMLLLFYYLYIYLYQRGLKRETQMLKRISQAGRKKKPS >CAK8571127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308308475:308308872:1 gene:gene-LATHSAT_LOCUS23818 transcript:rna-LATHSAT_LOCUS23818 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLDSKEDHGQNHSQKKSVITKVKEKAKKLRHSLSKKKHEDWNANSPPSSAGHEGDGAEEDAEHHEATLYESEMAYERQRKYKATFKTESSNPREACSVKQ >CAK8569755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9800770:9809476:-1 gene:gene-LATHSAT_LOCUS22569 transcript:rna-LATHSAT_LOCUS22569-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSSNEGSSDSTLHLNIKTLDSRNYTFQVQKNMPVTLFKQKIANEIGVPVDQQRLIFRGKVLKDEHVLSDYHVENGHTLHLVERQPNPPQTSASASLDTTNTDSNRGNDAGSGAPRNRVGQISHSVVLGTFNLGEQVEGTTQDLTRVIGAVLNSIANGGQTTVNIPNSTQHSSAPPGNETEGNQSLPGNQAPSGQTFPGLAFQSMPHVVQIPTIAGAIPIPSFNAPIPDSLNTLSEFINRMEQILSQNGYGPNISSTNSGDQELPSNTQGLPTLEALTTVLHRAEQLLSGQAVSALSHIAGRMEREGTSVDLGVRGQIQSESVQIGIAMQHLGALLLELGRTMLTLRMGRSSAESVVNSGPAVYISPSGPNPIMAQPFPLQTSSLFGGPPSSSTPATLGTVGVGNAPRNVNIHIHAGTSLAPIVSAIGSRPNNGEGTRTEHRSESGSGDSGSTRVLPVRNVISATLSSNPSGTGAAGSTPTGFSISTSQLPPDSAALSSVLAEIHSRLRNSVGNLQGDNPVLSGQTQSTNRDLSSGPELRSAQVNEQRDTVEMNECGAAGASSVGCTSESGVEKPQTEAIKTCSNDERDVLVDKFVSSSSNQDIQSSSSGETMVKSEKVQDVPSASERRDVTEPAKTAPLGLGMSGLERKRRTRLQPPVGKGAGDGSSSSSINQNQETRTDSQNILQTPAGQGSAVHSINGDRPSSQRPMPPGGNQIDVAGLMSQVLHSPALNGLLEGFSHQTGVDSPDGLRNMLQQFTQSPQMMNTVNQIAQQVDSQGMGNMLSGMGRGQGGGIDFSRMFQQMMPIVSQALGGGNPPSPFSAAEPETLAHRNENSDNQNLQPDLRPVVERINRLSPPADIFRAVAENAVQQSGNGSASDDLLDELCRNESLAGEYLEMLRDDVGQLLEGRSKRDKS >CAK8569754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9800770:9809476:-1 gene:gene-LATHSAT_LOCUS22569 transcript:rna-LATHSAT_LOCUS22569 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSSNEGSSDSTLHLNIKTLDSRNYTFQVQKNMPVTLFKQKIANEIGVPVDQQRLIFRGKVLKDEHVLSDYHVENGHTLHLVERQPNPPQTSASASLDTTNTDSNRGNDAGSGAPRNRVGQISHSVVLGTFNLGEQVEGTTQDLTRVIGAVLNSIANGGQTTAPPGNETEGNQSLPGNQAPSGQTFPGLAFQSMPHVVQIPTIAGAIPIPSFNAPIPDSLNTLSEFINRMEQILSQNGYGPNISSTNSGDQELPSNTQGLPTLEALTTVLHRAEQLLSGQAVSALSHIAGRMEREGTSVDLGVRGQIQSESVQIGIAMQHLGALLLELGRTMLTLRMGRSSAESVVNSGPAVYISPSGPNPIMAQPFPLQTSSLFGGPPSSSTPATLGTVGVGNAPRNVNIHIHAGTSLAPIVSAIGSRPNNGEGTRTEHRSESGSGDSGSTRVLPVRNVISATLSSNPSGTGAAGSTPTGFSISTSQLPPDSAALSSVLAEIHSRLRNSVGNLQGDNPVLSGQTQSTNRDLSSGPELRSAQVNEQRDTVEMNECGAAGASSVGCTSESGVEKPQTEAIKTCSNDERDVLVDKFVSSSSNQDIQSSSSGETMVKSEKVQDVPSASERRDVTEPAKTAPLGLGMSGLERKRRTRLQPPVGKGAGDGSSSSSINQNQETRTDSQNILQTPAGQGSAVHSINGDRPSSQRPMPPGGNQIDVAGLMSQVLHSPALNGLLEGFSHQTGVDSPDGLRNMLQQFTQSPQMMNTVNQIAQQVDSQGMGNMLSGMGRGQGGGIDFSRMFQQMMPIVSQALGGGNPPSPFSAAEPETLAHRNENSDNQNLQPDLRPVVERINRLSPPADIFRAVAENAVQQSGNGSASDDLLDELCRNESLAGEYLEMLRDDVGQLLEGRSKRDKS >CAK8562782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537293058:537293312:-1 gene:gene-LATHSAT_LOCUS16250 transcript:rna-LATHSAT_LOCUS16250 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETRQKVEEMVLDILRKAIIEEATEFTVRLGASERLGIDLSDSHSRANYLSEPLSTLTSSLSPPMATNRMNRRNRRKFPPRQ >CAK8533772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658701112:658702266:1 gene:gene-LATHSAT_LOCUS3370 transcript:rna-LATHSAT_LOCUS3370-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEEINYDSLPSLPIDLVGEIFCRLPVKLLLQLRCMCKSWNSLISDRNFTRKHLSLSTTRRLHYACYQYEPHELFHNSYPLESVLTNIPIKFKDRRVVFNSIVGSCDGIVCLADRSKGLVKFKDRRVVVNSIVGSCDGILCLANRSKRLVILWNPCFKKFKESPPFENSLIKVYSAFGFGYDHVSENYKVVVLYYSEPNLFNKTKVKVHTLGTNCWKTIESFPFGTVCNEQTAIYLRGTLNWIVYTRRVRLGPCFILSFDLGKESYQKLLPPPGHARIGLSLCVLRDCLCSIFDNHIWVMKEYGIYDSWTKLLSVSYMQDRSCVLFNALYIFDDDRVLLETEEDEKTKVVVYDPKNDTFKVALFKNCLYACLESLISPCSEC >CAK8533771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658701004:658702266:1 gene:gene-LATHSAT_LOCUS3370 transcript:rna-LATHSAT_LOCUS3370 gene_biotype:protein_coding transcript_biotype:protein_coding MATYISNKNTRQKRLRLRLRTNPNLVSDWPPELESEMATAEEINYDSLPSLPIDLVGEIFCRLPVKLLLQLRCMCKSWNSLISDRNFTRKHLSLSTTRRLHYACYQYEPHELFHNSYPLESVLTNIPIKFKDRRVVFNSIVGSCDGIVCLADRSKGLVKFKDRRVVVNSIVGSCDGILCLANRSKRLVILWNPCFKKFKESPPFENSLIKVYSAFGFGYDHVSENYKVVVLYYSEPNLFNKTKVKVHTLGTNCWKTIESFPFGTVCNEQTAIYLRGTLNWIVYTRRVRLGPCFILSFDLGKESYQKLLPPPGHARIGLSLCVLRDCLCSIFDNHIWVMKEYGIYDSWTKLLSVSYMQDRSCVLFNALYIFDDDRVLLETEEDEKTKVVVYDPKNDTFKVALFKNCLYACLESLISPCSEC >CAK8541305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:110715987:110720901:-1 gene:gene-LATHSAT_LOCUS10235 transcript:rna-LATHSAT_LOCUS10235 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWEVDENPQSHARSASLRSNSNSTAPHDVPMLDYDVAELTWENGQLSMHGLGLPRVPVKPLTTAPSKETWEKPRGSGTLESIVNQATSFPHHGKAPFLSVGGGVYGNMLVPWLDPQRAAAIAAATATSNGIVVDALVPCSNLKKEQRLHARDPISIRGIGSCMVGGPTPVGSCSAVGATPQEEGGIFTGAKRGRVTHVAGSGRDQSMSNSATLGRQSQQVTLDTYDREFGMTGFTSTSIASMENTSSDKQCTRTTTVDDHDSVCHSRPTRDDAEEDDKKRENRKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVNMVNRFNMSSMMMPMTMQQQLQMSMMNQMGMGMGPMGMAGMGMGMGMGMGMDMNPMNRANIPGMPQVLHPSAFMPMPAWDAGAAATATDRLQGPPATGMADPMSTFFGCQSQPMTMEAYSRIAAMYQQMQQQPPAPGSKT >CAK8578050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603973713:603974030:-1 gene:gene-LATHSAT_LOCUS30094 transcript:rna-LATHSAT_LOCUS30094 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSYNVRGCCSSLKRRRNSQTLQRGNADICLIQESKVKNMENGLACSIWKNSDVEWSALNSNGRSRGIITLWNKTEVSALFSFCGAGIFGHPIPVEESKPYCG >CAK8535540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860357366:860357825:-1 gene:gene-LATHSAT_LOCUS4992 transcript:rna-LATHSAT_LOCUS4992 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEQTLQEVSADSSKDQSNSSSITQVNPKVEISKDEEALIIRMYKLLGDRWQLIAGRIPGRTAEDIKKYWTSRDSSTSQSNVK >CAK8536939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41057365:41058366:-1 gene:gene-LATHSAT_LOCUS6263 transcript:rna-LATHSAT_LOCUS6263 gene_biotype:protein_coding transcript_biotype:protein_coding MFTELLRREKEAKIKPDPDIDAYMKAAALEGHQESMVTDYILKILGLEICADIMVGDGMIRGISGGQKKRVTTGEMLVGPIRVLFMDEISTGLDSSTTFQIISSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQRPRENVLEFFESTGFKCLERKGIVDFLQEVTSRKDQ >CAK8530132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2281558:2282655:-1 gene:gene-LATHSAT_LOCUS24 transcript:rna-LATHSAT_LOCUS24 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEDESPRNKVKFLCSYGGKVLPRPSDGVLKYVGGETRVICIPRDATFADMMKKVSGVVDGEVVLKYQVIPEELDTLVSVRTEDDLKHMIMELDRHESSGSSLLRAFLFPLKPMIVDSPSQMQMQQPHPLPIEPYFLEQRYLDAINGIIRPSPGSKCAACSACSSPRPSPGSKCAACSACSSPKSTSPDGCTTGESPFQHQGMQQLQKGMASMQRVRSSPSLSSLTSSYNNTQPLQQQQQQHNQGISRSRSSHYQHQHHPLVGGLRPIHEVGIGMGIGRLSPSQMSMNMMPDNISSSSSSRGMNYYYSNANRPYKAYAYHDDSVGHVVQSVPRSPRM >CAK8540716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16901583:16902041:-1 gene:gene-LATHSAT_LOCUS9693 transcript:rna-LATHSAT_LOCUS9693 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKNILVNVLRTSSTKEIWKKLEGIYQGNGISKYLLLKEQFHSLRMDEHTKVSDHLSALNGIASELEIIGVKIDDEDKALRLIWSLPSSYEHIKPILIYGKETLNFEEVAGKIISEERRLKGEENTSSNSVSVARGRSYVKKNNETNVRY >CAK8567840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549878760:549887658:1 gene:gene-LATHSAT_LOCUS20859 transcript:rna-LATHSAT_LOCUS20859 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKEDTSINENEIRITTQGRMRNYITYATTLFQEKGSDDIVFKAMGRAINKTVMITELIKRRIVGLHQNTQIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPADQVKPLNEYEEEGEGSPRMRGRGRGRGRGRGRGMYNAGMEYGDGWDGGRGYGGRGRGRAWGRAFRGRGRGYGSQPVGYYDNGEYDAPSAPRGRGRGRGRGRGRDFDAGNGAAA >CAK8562348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476386962:476387582:-1 gene:gene-LATHSAT_LOCUS15851 transcript:rna-LATHSAT_LOCUS15851 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQTFTPIEVMQENLVVSNADESNVVASNGPNVEINSTPNEIESPISCEVDTEGLKRKLTSEVWNHFKRQKIEGKLKAICNYCGAKLLGVPKQGTSHLKSHIKYCPRRTNQDIKQALIKTEQVDGQTVMVGSYAFNQDIARYGVAKMIILHEYPLAMVEHIGFREFCASMQPLFKVVSRNTIKNDIMKIYNDEKENTMKLLSKN >CAK8533105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:578045198:578046951:-1 gene:gene-LATHSAT_LOCUS2754 transcript:rna-LATHSAT_LOCUS2754 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNPSKGIGGSSSSYGNAGMQSPSMSTNPVFSQAQAQAQAQIAAGFQGQFPQSQAHAIVQAQSKAQAQAQAHAQAAAVAHAQLQAHLQAQGLTLNQNQVGGLGNLGVSSSSMSTPAKRGPLKPPMRPVGFLPQNNFSPLRPMELTPAARRKKQKLPEKQMQERVAAILPESALYTQLLEFESRVDSALSRKKVDIQEALKNPPCIQKTLRIYVFNTFANQVRTIPKKPNAEPPTWTLKIVGRILEDGVDPDQPGVVQKQSPMYPKFSTFFKKVIISLDQRQYPDNNIIVWENSRSPASQEGFEVKRKGDKEFTANIRLEMNYAPEKFKLSTALTEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFHCDQALQKVFGEEKVKFTTVSQKISQHLFPPQPIMLEHQIKLSGNSPAGSGCYDVTVDVPFPIQRELSALLANVEKNKEIETCDEAICGIIRKIHEHRRRRAFFLGFSQSPVEFIDALIESQSRDLKTAAGEPSRSAEKERKSDFFNQPWVEDAVIRYLNRKPAAGSDAPGST >CAK8568630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625452431:625455388:-1 gene:gene-LATHSAT_LOCUS21570 transcript:rna-LATHSAT_LOCUS21570 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLHGKLDVTIYEVDSLQTLRAFSFDIGNKGTYTSMGKKILSQLKNCIMCQCQFQPENIIGMGLYATVDLDKARVGRTRMINNQPFNPKWNENFHIYSAHSISNVIFTVKQDNPIGATLIGRAYVPVEQLINGNTVNTWAQILDVNQKPIQGGSKIHVQIKFSQVKNDPNWSQGLKSPTFQGVPHTFFKQNNGCQITLYQDAHVLDGSLPSITLDGGERYVPGKCWEDVYNAINDAKHFICITGWSVYTEITLIRDRNKSSRTSITLGELLKKKANEGVNVLMLVWDDRTSVPDFKKDGLMATHDQETNEYFRNTNVHCVLCPRNPGVGRSIVQGFETSTMFTHHQKTIIVDSRVVGSAQWNKRAITSFVGGIDLCDGRYDTMEHPLFSTLNTVHHDDFHQPNFPGASIKKGGPREPWHDIHCKLEGPVAWDVLCNFEQRWEKQVGRQLVPLPSSMLGEYGITRVSNVATGNENNSWNVQLFRSIDGGAASGFPQDPREACEKGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKSSDIKVEDIGALHLIPKELSLKIVSKIEAGERFSVYIVIPMWPEGVPESASVQAILDWQRRTLEMMYSDIAEALQRKGIRANPRDYLTFFCLGNREGKKMNEYSPIERPEPDSDYIRAQNSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAIGAFQPHHIATNNRPPKGQIYAFRRSLWYEHLGDIGDTSFFENPESLNCIKLVNRFAETNWDIYSRDAFDEQRTFHHLMRYPIQVANNGAITTLAEFEYFPDTKARILGSKSEYLPPILTT >CAK8575567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:173840870:173842257:1 gene:gene-LATHSAT_LOCUS27820 transcript:rna-LATHSAT_LOCUS27820 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCELMLKELKQWMTPEKAKTSITTFPSSAEIIPKPLGVVLVISPWNYPFLLSLDPVVGAIAAGNVVVLKPSEIAPASSSLLAKLLGEYLDNSSIRVVEGAVDETTALLQQKWDTIFYTGNGKVGRIVMVAAAKHLTPVVLELGGKSPTVVDSNVNLEVVARRIISGKWGCNNGQARISPDYLITTKEFAPKLVDALKTELENCFGKSPIESKDLSRIVNSNHFARLNCISSYHIINHFCCSEGIISYHKYTVIFLLFAL >CAK8563964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642508712:642512428:-1 gene:gene-LATHSAT_LOCUS17317 transcript:rna-LATHSAT_LOCUS17317 gene_biotype:protein_coding transcript_biotype:protein_coding MMVETSMLGRFHHQRLDFKRCVPAFITSHKTLFMILWIAAFLSVFLWQRNMVVGGILFLGRVAARPIPMMRPVAFNLTDFGGVGDGVTLNTEAFERAVSAISKLGKKGGGQLNVPPGRWLTAPFNLTSHITLFLAQDSVILAIDDEKYWPLMPPLPSYGYGRERPGPRYGSLIHGQNLKDVVITGHNGTINGQGQAWWKKFRQKRLNYTRGPLVQIMWSSDIVITDITLRDSPFWTLHPYDCKNITIKGVTILAPVIDAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGIAYGRPSMNIMIRNLVVRSMVSAGISIGSEMSGGVSNITVENLRVWDSRRGVRIKTAPGRGGYVRQITYRNITFENVRVGIVMKTDYNEHPDDGYDPTALPDIRDISFTSVYGHGVRVPVRIHGSEEIPVRNVTFREMSVGLTYKKKHIFQCAFVHGRVIGTIFPSPCENLDRYNEQGQLVKHSMSQNVTDIDYDF >CAK8577153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540634958:540637080:-1 gene:gene-LATHSAT_LOCUS29283 transcript:rna-LATHSAT_LOCUS29283 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRLSFDGLEETEKEIFLHIACFFKHRTQEYVMNILNCCGFQADIGLRVLVDKSLISLSEEIHMVIMHGLLEELGKKIVKEKSRKWSRVWLHEHFYNVKLENMENKVEAICFGTVVKQSDMITGEILSKMSHLKLLILRGVDFLQNLSCLSNELRYMEWYRYPLKYLPSSFQPNHLVELILRYSNIKQLWKDKKYFPHLRSLDLSHSKNLKKMPDFRGIPNLERLSFEGCVKLVRMDPFIGILKRLVFLNLKDCENLASIPNNIFGLSSLECVNLSGCPNMFRNQRLVNISENASHYQSTMSSILKWTTFSAHKNLGSCSLPFFISFSSLVKLDISFCGLSQLPDAIGCLRWLEELNLGGNNFIRLPSLEELYRLAFLNLEHCKLLESLPQLPFFTSMDRVFQRNKKGLVIFNCPKLSEREVGSTINFSWMTRFIQANQVFTSIYDQIWFVVPGSEIPSWCNNQSEGHSIRIDLSPIMPNNENNVCGIACCAVFSAAPLDPRAFRDNIQNKRSQQISGIQLAICTNKISTSLCGVIPVILQTDLIEIKSDHMCLIYFPLKAIFYFLVSKNKTLSHLDHCKLDFEHTRSINNNCTVQKCGYHWVYKQDHESLSNLLSSEVKVFGN >CAK8571160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:320086021:320089959:-1 gene:gene-LATHSAT_LOCUS23850 transcript:rna-LATHSAT_LOCUS23850 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGMKRSTRAFGVVMKGSDSGRVLRSGRRLFPEQSVEEKTKRGNVGDDWPKQPLPSKETAVPKKTDEGERAVGKVKRARDGYKVDRMYGIVYSRKRRRTSRSSKFVLYKKKREETVESGVCSVFSVVVKPCARKNGRFSSLLVSVLRYMKRVTVTLPKLLAFFMSEPIHAAFDSQGVKFLQGSPPASTGICRFFGITQFIPLFSVDFSAVPVYFEYLHSAMLLDFLFRSFFIVNSPINAHSEDVNGLEVIDFPEYNDKLQVSFDTVEREPSESETVIPDVIEINDSLSLPSPAKGTRQARSRNGQFRSLMGSRGIQKRRSSLRKRKANSPLTMTLRRSNQAVATNLVGGKKRNSQLSGTTSSMRLRSLTNRNAAGSLKEASSTIVDSTQSVESSVCSANILVIESDQCYREDGAGVTLEVSASREWLLTVRRDGLTRYTFKAEKVMPSWSSSRFTNATMFSLDNGWKLEFNNRQDWSLFKALYKQCFGRNIPGPVAKFIPVPSVHGVTSYAESNNFIFQRPTTYISVHGDEVTRAMARKTANYDMDSDDEEWLSKLNIELQERVSEDSFELMIDAFEKVYYCNPDDSFDVKSAVSSCQDLGSKEVIEAIYTYWMRKRKQKRSLLIRIFQNHQSKRAPLIPKPLLRKKRSFKRQPGQFGRSYQPSLLRAFAAEQEALEENAMLRLKEAKASANASMEIAIQKRKRAQALAENADLATYKAAMLIKIAEAAVATGSVEVGARYFLD >CAK8561433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:207465436:207466743:1 gene:gene-LATHSAT_LOCUS15026 transcript:rna-LATHSAT_LOCUS15026 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIMDPTKKRKLDENGFGGEADHLKLSPSEARKVIERFTPDQLINILQDAVIRHPDVLSAVRAIADTDISQRKLFIRGLGWDTTTDGLRSLFSTFGELEEAIVIVDKATGKSKGYGFVTFSHVDGALLALREPSKRIDGRVTVTQLAAAGNSGSNTNTADIAQRKIYVSNVPPDLAGDKLLAHFSLYGEIEEGPLGFDKQTGRSKGFALFVYKTPEGAQAALLEPVKNVEGRQLNCKLAITDGKQQRGGPDGVQARGNTHGNGMGMAPAAGPGPGQYGGSANMGPYGGFSSGHHGQPPMGNHPLNSSVGGGLSSVGSQAQSSVGGAGGYGSGHYGGYGGPTATGYGGSGGSGYGGGSGGTGAGAGGVLGSTGGNVGLSSLYRLPSSGGMQAGGYPDSGHYNSSGYQNQHLPPAGASPVPRVQPGSMYPNGPSYY >CAK8537676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420360685:420361266:1 gene:gene-LATHSAT_LOCUS6942 transcript:rna-LATHSAT_LOCUS6942 gene_biotype:protein_coding transcript_biotype:protein_coding MGMICNRVEHFEESTMKIQTEIPIHQVRLPPQRTSLKKLKQRLSEIFFPDDPLHRFKNQPSFTKFLLTLQFLFPIFQWGSHYNLKLLRSDIVSGLTIASLSIPQGISYAKLANLPPIIGLYSSFVPPLIYALLGSSRHVGVGPVSIASLVMGSMLSESVSFTKDPTIYLQLALTATFIAGSCTSCYVTTGSFS >CAK8542098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473299282:473302857:-1 gene:gene-LATHSAT_LOCUS10969 transcript:rna-LATHSAT_LOCUS10969 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTATLKLNLSHFSSSSSSLRKNSSSFPSNFPIRNPPSSNPRFFKIYAVSSNDIKVGTNVEVDGAPWRVIEFLHVKPGKGAAFVRTKLKNYLSGNTVEKTFRAGSSIDKADIVKETKQFTYKDGVQFVFMDLSTYEETRLDESEVGDKTKWLKEGMDCNLLLWNGKVIDVDLPITVKLKIVNADPGLRGDTVQGGTKPATLDTGATVNVPLFINVGEEILVDTRSGQYMGRA >CAK8562413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486472331:486473670:1 gene:gene-LATHSAT_LOCUS15910 transcript:rna-LATHSAT_LOCUS15910 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPSPGAPYYRERTRRYENNKGWSSERVSKQTNNNSSSRRHTMSGLTPFSGGRTMPSKWDEAERWICSPVSASYADTRRNSHAQQQLLQQRRPKSISGPIVPPPGVAFYSSYSPSAQQLRQGFVVRNLMVSSPFSTGVLAPVAVSVRHYEENDGNEESERSLVPRYDKGTQMSPTETENDVDSSPKSSPCSAIDQEDCHYPKLEVRDVEVDSQATVMRGSKRHGAKLIKNDSLHRTEFRENSADAQVSCWDIEESTLDTSKLRREEAKINAWENLQKAKAEAAMRKLEMKLEKKRSSTMDKILKKLRRAQLKAESMRSLVPVQQEHHASKICKVFSFPKYVQIRSLSSCFSSHSQ >CAK8541917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:432054439:432057491:-1 gene:gene-LATHSAT_LOCUS10805 transcript:rna-LATHSAT_LOCUS10805 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQIQQEQQPWLLENGHPKVLTKESRHGHGRSRTAHNMSSSSLRKKSDRTLVSKIRCASVRNVVANIQEVILGTKLSILFPAIPAAIVAHYLGFGRSWVFILSLLGLTPLAERVSFLTEQIAIFTGPTVGGLLNATCGNVTELIIAIFALTTNKIAVVKYSLLGSVLSNLLLVLGTSLLCGGIANIGEEQKYDRRQADVNSLMLLLALLCHLLPLLFTYSAASAELTAQPSLYLSRAASIVMLVAYFAYLIFQLWTHRQLFEAEDEGEGESNEAEEEAVIGFWSGFAWLAGMTVFIALLSEYVVDTIEDASDSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSSTQIAMFVVPLCVTVAWGMGVNMDLNFNLLETGSLAVAIIVTSFTLQDGTSHYMKGLVLLLCYLVIAACFFVQRIPNEPNDVHNITLKTVTDSVLSA >CAK8560897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:54276532:54280960:-1 gene:gene-LATHSAT_LOCUS14536 transcript:rna-LATHSAT_LOCUS14536 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFVENNSGGGGGVRNIGGEISYNNERMPFGSFSQPRLVTTPTLAKSMFNSSGLSLALQTNIDGQEDVNRSMHENFEQNGLRRSREEEQSRSGSDNLDGVSGDEQDADDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLPNSSLELGVGGNNNGFNVMSNVSSTLPDFSVGMNNNPLAIVSPSTRPTTLVGGFDRSVERSMFLELALAAMDELVKMAQTSEPLWIRSLEGGREILNHDEYSRTFTPCIGLRPNGFVSEASRETGMVIINSLALVETLMDSNRWIEMFPCIIARTSTTEVISNGINGTRNGALQLMQAELHVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGATTFVNCRKLPSGCVVQDMPNGYSKVTWVEHAEYEENTVHQLYRPLLSSGMGFGATRWVATLQRQCECLAILMSSAAPSRDHSAITAGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLSPGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNSNQSSMLILQETCIDEAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAVVPDGPGSRGPENGSTANGGEARVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKGALQCES >CAK8577756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586619368:586619805:1 gene:gene-LATHSAT_LOCUS29830 transcript:rna-LATHSAT_LOCUS29830 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVGSFTVKLPITFPCLITGIILNQHPEVLHPQETPNKKDEPLTFNKKLFVETHVLDILVTKYQGETTGGNSSLVFKATRKDALLELMEVSKILQEIIAASIIRKRNVDELIKMLTKEKDIKDEEKANSEEEEQYASDEEICSS >CAK8579287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689872081:689874957:1 gene:gene-LATHSAT_LOCUS31242 transcript:rna-LATHSAT_LOCUS31242 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDLLLGVSMFAEPINFKIIDRASLAMNELCNVGIIGKPLWHQHNSNQYEILNGIEYLKYVGHDAMLMDIVKLVEVGEIQTLPSFDSYGNQINSISNENSIQGLHIEASRDTTMINAGPNDIVELLMNVNQWGMTFHNIVSRATILGSFMNGVEGSYDGRLHVMNAEFHLPSPVVPTRECCFVRYCKQLSPNDWVVVDVSLEDLFPYPSTNFRKRPSGCMIKEMPNGYSKVTWVEHVEADHSQLNDLFKPLVTSGLAFGATRWLASIVRHFEWAETLMTTQFFSDRKVFIPQTGRTSFLKLADRMMRKFCGNLSATTTNPWMRLAPFPSSTDVRVMIQNNMPNTLNNPVGTTIVFCTTIWLNISPNRLFNFLRHEKSRNKWDILSQTLSIEQFACMTIGKHLENRVSLLRASDSKDKTEIFYLQKSYADATTSYVIYTPLDESALIHLAKGSNPDNVIAFPSGFAIIPGGLPKDNGNNVGSNESLLTISFHLFDKATNVTGIPPESVQTIYEIITETVTAIKDALSCHSRLNNWAQDELKNGTVKK >CAK8537495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:376961006:376961359:1 gene:gene-LATHSAT_LOCUS6784 transcript:rna-LATHSAT_LOCUS6784 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMTVSDQPTGCIIFELFTDVTPKTTENFCALCSSEKGVGRSSNSLHFKGSSFHRVIPDFMCQGGDFTAGNNTGGESIYGSKFADENFIKEHAGPGTLSMESVSPGTNGP >CAK8575057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25411611:25417152:1 gene:gene-LATHSAT_LOCUS27348 transcript:rna-LATHSAT_LOCUS27348-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSIILFTHLARRSLVHANDSIYEVAFQRGYRTLNLGSCSSSRVIGSNAPNVKGSVNLKNWLLLGVANSYLGVSRSIHGSAPLARDYYDVLGVSKDASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVTVAYEVLKDEEKRQQYDQVGHDGYVNQQSTGFGGEGGFNPFEHVFNGFNPFNNIFRQSADVKTVIELSFTEAIQGCTKTLTFQTDVLCNACGGSGVPPGTRPETCTRCKGSGVIFVQTGIFRMQSPCGTCKGTGRIVSSFCKSCKGEKVVKGTKTVKLDIISGIDNNEILKVYGGGGANPDGHHSGDLYVTIKVREDPVFRREGLDVHVNAVLSFTQAILGATIEVPSFTGNVMLKVPPGTQPGQKVVLKRKGIRKGTNTFKYGDQYVHFNVSIPTNLTERQRELIEEFAKEEQEESNKRKAASSSS >CAK8575056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25411611:25417152:1 gene:gene-LATHSAT_LOCUS27348 transcript:rna-LATHSAT_LOCUS27348 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSIILFTHLARRSLVHANDSIYEVAFQRGYRTLNLGSCSSSRVIGSNAPNVAVKGSVNLKNWLLLGVANSYLGVSRSIHGSAPLARDYYDVLGVSKDASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVTVAYEVLKDEEKRQQYDQVGHDGYVNQQSTGFGGEGGFNPFEHVFNGFNPFNNIFRQSADVKTVIELSFTEAIQGCTKTLTFQTDVLCNACGGSGVPPGTRPETCTRCKGSGVIFVQTGIFRMQSPCGTCKGTGRIVSSFCKSCKGEKVVKGTKTVKLDIISGIDNNEILKVYGGGGANPDGHHSGDLYVTIKVREDPVFRREGLDVHVNAVLSFTQAILGATIEVPSFTGNVMLKVPPGTQPGQKVVLKRKGIRKGTNTFKYGDQYVHFNVSIPTNLTERQRELIEEFAKEEQEESNKRKAASSSS >CAK8538981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500880734:500883601:1 gene:gene-LATHSAT_LOCUS8124 transcript:rna-LATHSAT_LOCUS8124 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVTAGVINVPRSSSSSKSLSFSSSSLSGDKIINVSRGGAPRGRCTRKHVIVTPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNLGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYEKFIQAHRESDADITVAALPMDEKRATAFGLMKIDEEGRIIEFSEKPKGEQLKAMKVDTTILGLDDERAKEMPFIASMGIYVISKNVMLDLLRDKFPGANDFGSEVIPGATSVGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADITDSVIGEGCVIKNCKIFHSVVGLRSCISEGAIIEDTLLMGADYYETEADKSFLAAKGSVPIGIGKNSHIKRAIVDKNARIGENVKIINSENVQEAARETEGYFIKSGIVTIIKDALIPSGTVI >CAK8576403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470502132:470502805:1 gene:gene-LATHSAT_LOCUS28592 transcript:rna-LATHSAT_LOCUS28592 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHVITALVFALALARIDLSACQMVKGKVSCVDCTHNYDLSDIKVLVKCEGIRTLAMTATEKDGSFKANLPSRHTKTSMNCLAKLLGGPTQLYTKKQNQVSQITKGKEENSYTISTPLSFMISCPKNTNCNAAKTIGSSKTFNLPLPPEWGLAPSSYYMPVVPIIGIP >CAK8567004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479208687:479209245:-1 gene:gene-LATHSAT_LOCUS20095 transcript:rna-LATHSAT_LOCUS20095 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVTMKNEKSRIRAMKIAVGVYGVESAAIQGDNKDEIVVTGVGVDPVKLTRLLRKKFCIANLTSVGDLKEEKKKEETSVAWPNCVPHYNWPVCEIRNQYEEPCNCSIM >CAK8563976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643262908:643265338:-1 gene:gene-LATHSAT_LOCUS17328 transcript:rna-LATHSAT_LOCUS17328 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSIFYLLAMLLLLVTVMALATKKTYIVHMKHNHNAYDSMYSSILQPSSDSILYTYTSSYNGFAVSLDTQQAQTLRSSDSVLGVYEDTVYELHTTRTPEFLGLLQIQTHPQFLHQPSYDVVIGVLDTGVWPESQSFHDSQMPSIPSRWRGKCETAPDFDPSLCNKKLIGARSFSKGYLMASNGGGRKKTTDLVSPRDREGHGTHTATTAAGSAVANATLLGYATGTARGMAPQARIATYKVCWSDGCFASDILAGIDQAIQDGVDVLSISIGGASSTPYYFDTVAIGAFSAVEKGIFVSCSAGNTGPRSGSLSNVAPWIMTVGAGTLDRDFPAYATIGNGKLFSGVSLYSGEGMGDEPVGLVYFNERFNSSSSICMPGSLDPEIVRGKVVVCDRGVNSRIEKGRVVSIAGGVGMILANTASSGEGLVADSYLVPAVAVGKNEGDEIREYASLDPNPTAVLSFGGTVLNVRPSPVIASFSSRGPNGVTPQILKPDLIGPGVNILAGWTGAVGPSGSQDSRKTQFNIMSGTSMSCPHISGVAALLKAAHPDWSPSAIKSALMTTAYTRDNTESPLRDATGEALSTPWAYGSGHVNPQKALSPGLVYDADTNDYVSFLCSLNYTLDHVKLIVKRPNVNCSNSLSDPGELNYPSFSVVFGNNSVVVQYKRTLTNVGETESVYDVAVSGPSTVGITVSPIKLVFEQVGERQTYTVDFVSNKDTFDDLVTSQFGSITWSNKRHQVRSPIAFTWTNL >CAK8575357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:93422991:93424357:1 gene:gene-LATHSAT_LOCUS27627 transcript:rna-LATHSAT_LOCUS27627 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIYFLVSILALASSVSFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPMLVKAEDFFKHVEPGNTSNALGSQVTAVTVDQLFGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDKNRLFTKVLNKGDVFVFPIGLIHFQQNVGYGNAVAIAGLSSQNPGVITIANALFKSNPPISDEVLTKAFQVDKSIIDYLQKQSWYDNN >CAK8571746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466894171:466897750:1 gene:gene-LATHSAT_LOCUS24380 transcript:rna-LATHSAT_LOCUS24380 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLALNIQSGEEVAVKLESVKTRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFTLKSVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISETKVSTPIEVLCKAYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGSSSRGRHGNGKAAMQAATPAHRPEKMPVGREIREKLSGAAEAFNHRNRPSSTPHHHHGEHVRHRTFDEAAMYKEMHYAQQNTTRYGSNSRRAMVPSSNRTNSSGDHTGRLATNGGGRPSAAHRVQPVYESKQAAFARNGSLRGHRDDPLRNFELLAIRK >CAK8536530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:952673586:952674965:1 gene:gene-LATHSAT_LOCUS5889 transcript:rna-LATHSAT_LOCUS5889 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHMKAELKATSISFQNPSLFNTITSPPPLPQPEALKGCLGSLDGACIEKLLLHCASALESNDITLAQQVMWVLNNVASPLGDTNQRLTSWFLRALISRASRICPTSMNFKGSNVIQRRLMSVTELAGYVDLIPWHRFGFCASNNEIFEAIKGFKRVHILDFSITPCMQWPTFIDSLAKLPEGPPSLRITVPSFRPMVPPLVNISIHEVGQRLGNFAKFKDVPFEFNVIGDNVSNSLTSEESRNIESTSFDFESMLSLLNPSMLNLREDEALVINCQNWLRYLSDDRKGQNISIRDVFMNLVKGLNPQIVLLVDEDCDLSSSSLTSRITASFNHLWIPFDALDTFLPKDSSQRTEFESDIGQKIENIISFEGHQRIERLESGMQMSQRMKNVGYFSVPFCDETVVEVKGLLDEHASGWGMKREENMLVLTWKGNSCVFATAWIPSEIRDHVGMDGRIS >CAK8567977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560643714:560644820:1 gene:gene-LATHSAT_LOCUS20981 transcript:rna-LATHSAT_LOCUS20981 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEIELPPGFRFHPTDEELVNHYLCRKCAGQSISVPVVKEVDLYKFDPWQLPEMGYHSEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKVKPMGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSAGKKNNLRLDDWVLCRIYNKKGKIEKFNTGNTLEVAHNYYEHEEEEHERKPEIQKLVNYQLYMDTSDSVPKLHTDSSSSGHVVSPDVMCDREVQSEPKWNELGIQLDDAFGFEFNYLDNNTLSFEYDDDPFGANDQYQINQLSPLQDMFMYQQKPF >CAK8577034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532835183:532839374:1 gene:gene-LATHSAT_LOCUS29177 transcript:rna-LATHSAT_LOCUS29177 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEAQRLELCFVKRREVVFGWLVFLLFMLFLSPVVGLRPLRDKTGSWGDEWIFSGKDESDIGPFSQWNITGTYRGTWKFLDNTNGSSRFPDIRKINGNSVIELVSTPTKITGVHYVQGVVIFHDVFDNEYNVGGAQIKIEGVYIWPFRQLRMVANSGKDGGGLNQDGDYILSNPYHLLGVFSSQVFQESSRHTIWRRKHSPLHGMEKNCNVEISARVSRLSSSKHEGEHDSFQLEGLMESPSVDDDGDCISPLQLNATSINIGVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSIIMIGQQAIVDAYLCLVHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLSNGEGWETMRRELSVLYSRFYGILLGGILFMYEFHYYLRPILLLVYSFWIPQIITNIVRDSRKPLHPHYILGITVTRLAIPLYVFGCPNNFLRIEPDHTWCACLVLFTGFQAAILLLQHYLGSRWFIPHQILPEKYSYYRRSSSQDTNHATDCVICMTAIDLTPRSNDCMVTPCDHFFHTGCLQRWMDIKMECPTCRRPLPPA >CAK8535780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880990880:880991676:-1 gene:gene-LATHSAT_LOCUS5203 transcript:rna-LATHSAT_LOCUS5203 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKETCYSSYTNLLWPCQPRTEQNHIVFSYRFRVTCTVSLLLLFTTVYIFWPSDPYLKIVRLKLKKIKVHRVPHITVDISMLLTLRVQNADVYSMDFGAVDVAVSYRGKPLGHVTSENGHVRAMGSSFVDADAEFSGIGVLPEILLLLEDLAKGTVPFDTVSQVRGKMGIFFFHFPIKAKLTCEVLVSMMNQTIVRQHCTYE >CAK8543660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:629897561:629898019:1 gene:gene-LATHSAT_LOCUS12405 transcript:rna-LATHSAT_LOCUS12405 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVFLHIVEALGNHDEYFQRRIDAVGRMGLSPLQKCTTALRILAYGSPVDSVDDYVRIGESTTLECLDRFIIGVCTIFGAQYMRRPNNEDIARLLQINAARGFPGMLGSIDCMHWEWKNCPVAWKGQFSRGDHGKPTIMLKAVASQDLWI >CAK8562008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424881894:424882244:-1 gene:gene-LATHSAT_LOCUS15546 transcript:rna-LATHSAT_LOCUS15546 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQRSVAEIDKKVHREFAHWFRNCICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGWFRT >CAK8536439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941505676:941506171:1 gene:gene-LATHSAT_LOCUS5807 transcript:rna-LATHSAT_LOCUS5807 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTLRIIRNGVHFSERSQFTLSVFGRPGRPSGKTSVHWLSEKEMQSAHVHVLINCVEVKLYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYFIVAPSPEIIHLRSLSEGPHQRANEWHTYFVNGYKFHTQTWT >CAK8561265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132163606:132163809:-1 gene:gene-LATHSAT_LOCUS14874 transcript:rna-LATHSAT_LOCUS14874 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIQSQGCNTSSESSSSRVVSPIEGIIEEMQSKIRRLERWLAINTVLWTFLMSPIVGYSLYQRRRQ >CAK8541014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44017261:44020742:-1 gene:gene-LATHSAT_LOCUS9967 transcript:rna-LATHSAT_LOCUS9967 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEAFSKGLIEEVHKWGCLKQTGVSLRYMMEFGSKPTDKNLLISAQFLQKELAIRIARRAIELESLPYGLSQRPAVLKVRDWYVDSFRDIRSCPEIKNMKDEKEFTDVIKAIKVRHNNVVPTMALGVQQLKKESNMDSEDLMEIHQFLDRFYLSRIGIRMLIGQHVELHNPNPPPHVVGYIHTRMSPVAVARNASEDARSICLREYGSAPDINIYGDPEFTFPYVPAHLHLMVFELVKNSLRAVQERYMDSDKVSPPIRIIVADGLEDVTIKLSDEGGGIPRSGLPKIFTYLYSTARNPLDEHADLGVADSVTTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >CAK8536170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913816561:913817852:1 gene:gene-LATHSAT_LOCUS5563 transcript:rna-LATHSAT_LOCUS5563 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHSNSINIPLFSTPFLQQNSINDFTEFDSFQDTLSQLNNSELSSGYSSYGGSSSPSTPIFMQRSISSHSLHHNNGTRRLPLSAFFAELLNSEDTPVRKVSSTGDLQRIKRMQHNHHHHVDSPLSSESSMIIEGMNRVCPYSPEEKKLRIERYKRKRNQRNFNKKIKYVCRKTLADRRPRIRGRFARNDDTDKNTTVQWSHIGGGEEEDEEDGNWSNMFDSIVAANLVHDEFQGNSSFGLLY >CAK8542232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492142243:492145600:1 gene:gene-LATHSAT_LOCUS11087 transcript:rna-LATHSAT_LOCUS11087 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYKKLYAKYTKLKTNKLSEFHEPAKEQEHKFRKFVSASEQLIDHLRNEYDKVLEHNNDLVNELTSIRLAKDNQLIDLQKLLGEETKKSEALLEEVEKLQKLLKEGTLKEGTSGDLNNSNKIKSNTSNNSSVRMTRKRMRQEQDALDKEARIIPFPCEVDEGNSVERESTRSFLKENASSKLLEPSTSKVNDQSGSCIDLQESGHSSWLAHALFEYTLGMKLSFDNQTGRSCLFANQSTGFSFSLSWIGKTPGEEAGDDAELLYQVESLGMLERLVPEWMAEDIKFSPTMCPIFFERVLRVINLKY >CAK8579630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713628311:713630941:1 gene:gene-LATHSAT_LOCUS31561 transcript:rna-LATHSAT_LOCUS31561 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTHFRKSTSLKFPFSFSATVVYSAMNYKLGFKQVKHVLYVAFKQRSSYTTTTSFNYVMSKSRLLEESFTAQLESLFRASSNSDASIVKQVRQIHAQVVVGGMGNSLTLGSRILGMYVLCGKFNDAGNLFFRVELCYSLPWNWLIRGFSVMGCFDFVLMFFFRMLSSNVAPDKYTFPYVIKACGGLNNVKLCKMVHDLARSMGFHMDLFIGSSLIKLYTDHGYVHDARCLFDELPVRDCILWNVMFNGYVKNGDFSSALGTFQEMRNSYVKANSVTFVCLLSVCATRGILGAGIQLHGVVIRSGFESDPQLANTLITMYSKCGSLFDARKIFDMMPQTDTVTWNGLIAGYVQNGLTDEAAPLLKAMVAIGVKLDSITFASFLPSILESGSLKHCKEVHSYIMRHGVPFDVYLKSALVDIYFKGGDVEMACKTFQQNMLVDVAVCTAMISGYVLNGLNVEAINIFRWLIQEGMIPNCLTMASVLPACAALASLKFGKELHCNILKKGLENVCQVGSSITYMYAKCGRLDLAYQFFRRMPEKDSVCWNLMIVSFSQNGKPEMAVDLFRQMGMGRTKFDAVSLSATLSACANLPALYNGKELHCFVVRNSFISDTFVASALIDMYSKCGKLALSRHVFDMMDCKNEVSWNSIIAAYGNHGRPRECLDLFHEMLEAGIQPDHVTFLVIISACGHAGLVDEGVYYFRCMTEEYGICARMEHFACMVDLYGRAGRLHEAFDTIKSMPFTPDAGTWGSLLGACRIHGNVELAKHASRHLVELDPTNSGYYVLLSNVHAGAGEWESVLKVRSLMKEKGVQKITGYSWIDVNGGTHMFSAADGSHSQSVEIYLVLKTLLLELRKQGYVPQPYLPLHPQIMSNN >CAK8534284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712588554:712591314:-1 gene:gene-LATHSAT_LOCUS3840 transcript:rna-LATHSAT_LOCUS3840 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKMNDSSKSDYEALRNARMSENKARLESLGILNSVSKLREISTPTKKERSCVKRVYGLAPLRRSQRIKGAADGTTVAADNLPARRSYRLKSSFSYAVTPKQDLGEEEKRPANAPFIELDPEDVEHFLSAENSARRCGSKGRGSVYNPVLGICCHFCRQKKLCGEEDCKRCGNCDVNEPCLGKTDCSVCHSSQGVFCRACLKVRYGEEIEEVRENKEWMCPHCIEEKGINPYWICNSSICLRKRKIPPTGIAIHKAREMGYESVAHLLMEQLKGRN >CAK8538995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501290789:501294418:1 gene:gene-LATHSAT_LOCUS8135 transcript:rna-LATHSAT_LOCUS8135 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGQSPEPLDFFIWTVEDVGMWLETINLGSYRQTFRENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGDQKVRRPWWAPACLSTVFLKVAKSNRQSRVVSLKLEP >CAK8544814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707021558:707022269:1 gene:gene-LATHSAT_LOCUS13463 transcript:rna-LATHSAT_LOCUS13463 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPCCERMGMRKGPWSAEEDHILISHIQTHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGKFSEEEQDSILKLHQILGNKWSTIAARLPGRTDNEVKNFWHTHLKKRVQNADISSYSYTLKLQEAQASDGSSGLGSSKDSSIVSCDTNLEENMENHGSCQISEEMEFWYNVFIKSGQKS >CAK8531048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:75707739:75708598:-1 gene:gene-LATHSAT_LOCUS864 transcript:rna-LATHSAT_LOCUS864 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFINELHQVLQSLESQKRRKSLSPSPNPSPKTLQPTFHQFDIFSGGIEANNTFKELGASCNSSVADVEVKISGPNVILRVISQRIPGQVSRIITVLESFSFEVLHLNISSMEETVLYQFVVKIELGCQLSLEELAMEVQQSFCSEAMKLIAV >CAK8538077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461597181:461597537:-1 gene:gene-LATHSAT_LOCUS7315 transcript:rna-LATHSAT_LOCUS7315 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDVSRMGNASTKTDAISNLDYNILFSCNVKLNPSKYCIVKEFLWLWELNHHILNWVKCNIDGAAVEVLGVAVCGGIYGDYKGNHLGSFLMYIRVGNALMAELKAIIVAIEIVKDKN >CAK8537845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441338226:441338827:1 gene:gene-LATHSAT_LOCUS7099 transcript:rna-LATHSAT_LOCUS7099 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESLLHCMDMVKMVGQLMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNINHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFSGRLQHWQQLMPILPTHFEL >CAK8537846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441338259:441338827:1 gene:gene-LATHSAT_LOCUS7099 transcript:rna-LATHSAT_LOCUS7099-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMVGQLMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNINHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFSGRLQHWQQLMPILPTHFEL >CAK8570642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:78646812:78648374:1 gene:gene-LATHSAT_LOCUS23378 transcript:rna-LATHSAT_LOCUS23378 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNIVEDTIIFEDPIHNMINDAFGVDMNHANEIPSVSNLEIGQEDYVMLSATQERNEVKEYYELTREGEQSLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMAMILELIKDAFEYANILGSFHEANKSITKLGLNYVKIHACPNGCMLYWGEDEERETSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTTKDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVMSTNNSIWPVVLIPYNTSPWVFMKHASFIMSMIIPGKEALGNNIDVYLQPLVKELKELWTNGVDTYDYFKKEMFKLHANLMWAISDFLGMGALSGWNTYTGLSCPSCNFQTTPLRLKASRKWCFMGHRRFLDWRHRFRLNRIRFNGEQEIRSPPRTLSGHEFLNRLKILKSSLVKSQ >CAK8539231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507212770:507214027:1 gene:gene-LATHSAT_LOCUS8344 transcript:rna-LATHSAT_LOCUS8344 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTVLKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFQPLNIDDYNSIFWIAHPGGPAILDQVEEKLGLKPEKMRATREVLSEYGNMSSACVLFILDEMRKKSAQEGLRTTGEGLDWGVLFGFGPGLTIETVVLHSVAI >CAK8572983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574406030:574408006:-1 gene:gene-LATHSAT_LOCUS25480 transcript:rna-LATHSAT_LOCUS25480 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLRQQVLVNSSWEAFNQNLPLFSVLFYSFILEKAPATKNMFPSLKDANEISLYNPSVNAHAEKVFGMVRDAGVQLRTTGEVILEDNMLGVVHTQKRVAGPHFVVVKEALLKTIKEAVGNKWSEELSNAWEIAYDGLAVAIMKEMS >CAK8571006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:259522332:259523953:1 gene:gene-LATHSAT_LOCUS23707 transcript:rna-LATHSAT_LOCUS23707 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGVNPHIIKRLVEFPPKSKLDRQLFGDNTIKVTKEHLQPNMCGFTVEQAIQTNRLYILDHHDPLFPYLRKINATDTKAYATRTVLFLQDNGTLKPLAIELSTPHPDADSFGPVSKVYLPANEGVEASIWLLAKAFIVVNDSCYHQLVSHWLNTLAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINSLARHILVNAEGIIESTFLLGSYSIELSSVVYKDWVFTEQGLPQDLLKRMAVEDPTFLHGLRLLIEDYPYAADGLEIWAAIKSWVEEYVNFYYKSDATIVQDSELQAFWKELVEVGHGDLKNATWWFKMQSRAELIEACTILIWIASALHAAVNFGQYPYGGYILNQPTKSRRFMLEKGSPEYDELSKNYQKTFLRTITPKNDTLTNLTIIARDFVTTLITK >CAK8571007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:259522335:259523332:1 gene:gene-LATHSAT_LOCUS23707 transcript:rna-LATHSAT_LOCUS23707-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNPHIIKRLVEFPPKSKLDRQLFGDNTIKVTKEHLQPNMCGFTVEQAIQTNRLYILDHHDPLFPYLRKINATDTKAYATRTVLFLQDNGTLKPLAIELSTPHPDADSFGPVSKVYLPANEGVEASIWLLAKAFIVVNDSCYHQLVSHWLNTLAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINSLARHILVNAEGIIESTFLLGSYSIELSSVVYKDWVFTEQGLPQDLLKS >CAK8539279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508249952:508250446:1 gene:gene-LATHSAT_LOCUS8389 transcript:rna-LATHSAT_LOCUS8389 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSTSTSDANSKRKFKGVRQRKWGKFVSEIRVPGSQERLWLGTYATPEAAAVAHDVAVYCLKRPSTLEKLNFPDILSSYCIQQRDDLMSPRSVQKVASDVAMDVDARHIAAQTTTALDQSHRVHNVVADDDVCWWEGLAADDQGMAQVSSQQEGLSISIEDYL >CAK8569914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15476060:15477556:-1 gene:gene-LATHSAT_LOCUS22714 transcript:rna-LATHSAT_LOCUS22714 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDIVKQELEELQKQLGKKQKFEDAVSSLNYLLQTTYPSASTSLRKSFYTVICRVATVLKTRYTAPGFWSAGLGLFEQAILLVSESSEKEHLKACIAQAREHLHLEDDPSQTLRPAETRENRGYLFEGHLTVDQEPPHPEWLVQANLLTAAARLFEAESSQGLATNENMADDVASVLEMLRNRMEEVVPLMENDGPVAPRVPPASKEVVAKLPVITLTEDILANMGKDAECAICRENLALNDQMQELPCKHTFHPPCLKPWLDEHNSCPICRHELQTDDHAYESWKEREKEAEEERKGAANALRGGEFMYV >CAK8564310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667478053:667481355:-1 gene:gene-LATHSAT_LOCUS17630 transcript:rna-LATHSAT_LOCUS17630 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSANSLLQLKCSSSHRTPLLNSRRNIAGSFNLKGDRLIHKGQRQFIRRSKVGVIRAVAIPLEPAPVESEEYRKELAERYGFNQIGEPLPDTVTLKDVITSLPKKVFEIDDVKAWKTVLISATSYALGLFMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSTNKLVEDIVGTLAFLPLIYPYEPWRFKHDKHHAKTNMLKEDTAWQPVWKDEIESNPLLRKAIINGYGPLRCWMSIAHWLVVHFDLTKFRPNEIKRVKISLACVFGFIAIGWPLIIYKTGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKYSEEWNAAQAQLNGTVHCAYPQWIEILCHDINVHIPHHISPKIPSYNLRAAHKSLQENWGKYLNEASWNWRLMKTILTVCHVYDKEQNYVSFDEVAPEESGSIKFLKKVMPDYA >CAK8560650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34344537:34345697:1 gene:gene-LATHSAT_LOCUS14309 transcript:rna-LATHSAT_LOCUS14309 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIAWKQLLLNALESNTHLKHSSYMQLATIGPNGKPSNRTVVFRGFQDNTDNILINTDTRTRKIEELKLCSFAEICWYFTDSWEQFRINGHVDIIDATNPDPLKLQQREKSWFSGSVRSRAQYLWPNPGLPCLNEQAQTEILLDPSIGPVDAFCLLILEPDEVDYLNLKSNQRLTFRSSLSAEAKKNWIAERVNP >CAK8544604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695212628:695212945:-1 gene:gene-LATHSAT_LOCUS13264 transcript:rna-LATHSAT_LOCUS13264 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHVQMCATTLTLFLSDVYRVTNLFGIYSTSFPVLSYDEYWPVYEGDQICHNPRMQRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHDRTRCPNVGTSNR >CAK8535148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817670333:817672244:-1 gene:gene-LATHSAT_LOCUS4624 transcript:rna-LATHSAT_LOCUS4624 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTAYLLPASFSIPKEGKVGASLKDSTLFGVSFSDSLKGDFTSSALRCKRELRQKVGAVRAETAAPATPAVNKSSSEGKKTLRKGNVVITGASSGLGLATAKALAESGKWHVIMACRDYLKAARAAKSAGLAKENYTIMHLDLASLDSVRQFVDNFRRSEMPLDVLVNNAAVYFPTAKEPSFTADGFEISVGTNHLGHFLLSRLLLEDLKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLTGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETEITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSEEESGKRLAQVVSDPSLTKSGVYWSWNNASASFENQLSQEASDAEKARKLWEVSEKLVGLA >CAK8576921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524542516:524545308:-1 gene:gene-LATHSAT_LOCUS29077 transcript:rna-LATHSAT_LOCUS29077 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSSEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTQSATKKCECPFRIRSIPAAEGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWPHPDSVKLLNIFPTVLVMDNTYKTNKYRQPLFEIVGMTSTELTFAVAFSYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLAWMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYRLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSGAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMLGFARRLQHWQQLTPILPTHYTL >CAK8577895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596268610:596273423:1 gene:gene-LATHSAT_LOCUS29959 transcript:rna-LATHSAT_LOCUS29959 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCEPGFFIHILIIVLLVVFAGLMSGLTLGLMSLSLVDLEVLAKSGTPRDRKHAEKILPVVRNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGASVTPFVRVLVWICYPVSCPISKLLDYLLGHRHEALFRRAELKTLVDLHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTRISETFAIDINSKLDRGLMNEILGKGHSRVPVYYEQPTNIIGLILIKNLLTIHPEDEEPVKSVTIRRIPRVPESIPLYDILNEFQKGHSHMAVVVRQCDKNKQPSSQNNANDSVREVKVDIDGEKPPQEKVLKPKIPIQKWKSFPHSNRSNRGSSRGRKWTKNMYSDILEIDGSPLPNIPEEEEVVGIITMEDVIEELLQEEIFDETDHHFEDS >CAK8533516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630324465:630326404:-1 gene:gene-LATHSAT_LOCUS3138 transcript:rna-LATHSAT_LOCUS3138 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDIILVSYVQEHGPGNWKAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFSDQEEKMIIHLQALLGNRWAAIAAYLPQRTDNDIKNYWNTYLKKKLKKLETSTSSESCLGHDDFSVSQPIARGQWERRLQTNIHMAKKALSEALSPEKSTSTNLFSPLESSFSNEASFCSTKPTQTQTQSLCYASSADNIARLLKGWMKNKPKGSNGNNTKVMQNYETSVSSEGIEKGSSTISENFESLFGYEDFDSSNSDSTTLFQDESKPQIGVGEIMPFSLLEKWLLDDGGCQEKVNLSEINCMF >CAK8570403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43322836:43324221:-1 gene:gene-LATHSAT_LOCUS23152 transcript:rna-LATHSAT_LOCUS23152 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSVSSDTKQQGIVGHIEDKKHNPVDENVLQKHAAFFDVNKDGVIYPWETFQAMREIGSGVLLSTAAAAFINVALSQTTRPGKFPSLLFPIEVKNIQLGKHGSDSGAYDSEGRFVESKFEEIFMKHAHTHPNALTHDEVDELIKANREPNDFAGRIGSFVEWKILYKLAKDKNGLLQKETIRGVYDGSLFDVLKNEHTKRISSS >CAK8566864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467811855:467815560:-1 gene:gene-LATHSAT_LOCUS19965 transcript:rna-LATHSAT_LOCUS19965 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVVSYPQKPHVVCVPFPAQGHVNPFMQLAKILRSIGFHITFVNNEFNHRRLISSLGENFVKGEPDFQFETIPDGLPESDKDSTQSIAALCDGTRKHCYAPLKELVKKLNSSANVPQVTSIMYDGLMGFARKVAKDLGLADQQFWTASACGLLGYLQFDELVKRNILPFKDESYITDGSLDKTLEWIEGMKDIRMRDLPSFVRTTTLDDISFTCFGFESQTCMKLSSSVIINTVHELEKEVLDYLMAINPNIYNIGPLEFLGNHFPGRENGFKIHGSNLWKNDVTCLKWLDQWEPNSVIYVNYGSIAVMSEEHLKEFAWGLANSKLPFLWIKRPDLVKGKGKSTPLPQEFLDEVKDRGYITSWCPQSEVLGHSSVGAFLTHCGWNSSLESICEGKPMIGWPFFAEQQTNCRFICNTWGIGMDIKDDVKREEVTELVVEMIKGEKGKEMREKCQEWKKKVVEAADPGGSSYDDFYRLLKEVFHCDVV >CAK8566533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433375284:433379947:1 gene:gene-LATHSAT_LOCUS19657 transcript:rna-LATHSAT_LOCUS19657 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSSTLRDTLMQLSNSIVDSLAATPYTSHKTSNISVKAFLESLLTSTNSTIHASIKDFALACALLSSSTDTNSEFLSWIPKHLSSLATASFFELSHAYLTVFDGRNSQKVEEFGLDCNLVPAQKRLLLELLPEVLPFLKDGIKESSIDKSDESDEFSAASARVPVGFAILAAHQLRWFVTQVDYPHLGKLSGWVIPCALTAVDHWSPEVKGQGMVSFMHLGKNVDAAEIGGFEDVILDACCQNIASDDEIWHYVVEASITLMSLTHKSNPRSPWFERMLNEMLSHLERQPRNKERRIAWLKSVDSLFNGAGLVLLAHFRRIFPLFFQWMHADDDDTVMLVMKCTYVVLRVTWIRNSPYVARSIDELALVYKEAALRTAREEIRANISHILLLLKESKGQHFNEAWDKHRSDPDLTTLSLSLSGKNNCNLDTLPSENSLQSSGIVQT >CAK8537272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:194429710:194432371:-1 gene:gene-LATHSAT_LOCUS6572 transcript:rna-LATHSAT_LOCUS6572 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKVITWLVFFIFLWSLVSFVVEGTESLLSPKGVNYEVASLMSMKSKMNDGLHVMDGWDINSVDPCTWNMVACSPEGYVISLEMVSVGLFGIISSGIGNLSHLRTLFLQNNQLSGPIPVEIGNLSELQTLDLSGNLLTGNIPSSLGSLTHLNFMRLSKNKLSGQIPQPVANLTGLSFLDLSFNNLSGPTPKILAKGYSISGNNFLCTSSSQLCMRGSKPVNDTRSSQTVNSHRHTLISVIIGISCTFIISVMLLVYWLHWYKSRILYSSYVEQDCEFGIGHLKRFSFRELQVATGNFTSKNIVGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPLMPNGSVADRLRESFRGKPCLDWNRRTRIAVGAARGLLYLHEQCNPKIIHRDVKVANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSYEKADVFGFGILLLELITE >CAK8537758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427405312:427409535:-1 gene:gene-LATHSAT_LOCUS7014 transcript:rna-LATHSAT_LOCUS7014 gene_biotype:protein_coding transcript_biotype:protein_coding METLDANSSSSSSSFGGVNSDDNNGSVTWYGMRLPSVNPFMSPLSFLLDYSGILRSTSDSEAVIVNNGVSGSGSELRSRVDTDTAASVAGSSAGEVAIRIIGAGESIQNQVGELGYDDCGEDLIGERSGMSALDDNDGGAGSRGGIEASEGVPLVSSSSSSSSLAGSGRVDGDASVNGSENNSRDSSSYQRYDIQLVAKWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNEIVKKQTALKGDRKVSLLAGISVAFILHVIGIYWWYRNDDILYPLVMLPPNPTPFWHAIFTILVNDILMRQVAMAFKCILLIYYKKGKGHNFRRQAQLLTLVEYTLLLYRALLPTPVWYRFFLNREYGSLFSSLTTGLYLTFKLTSVVEKVQSFISALKALSRKEIHYGVYATAEQVTAAGDLCAICQEKMHSPILLRCKHIFCEDCVSEWFERERTCPLCRALVKAADLRTFGDGSTSLFFQLF >CAK8571438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:416275831:416278091:-1 gene:gene-LATHSAT_LOCUS24104 transcript:rna-LATHSAT_LOCUS24104 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTICIFLISLLASFFIHGTSMLNLTLPAQHPDPESVAIEVHRKVNESMGRREMLSISSKDFSSSCQTGNPIDDCWKCDADWSNNRQRLADCAIGFGQNAKGGKGGKFYIVTDSSDNDPVNPKPGTLRYAVIQNEPLWIVFPSNMMIELCQELIFNSFKTIDGRGADVHIVGGGCITLQYISNVIIHNIHVHHCHPSGNTNVRSSPEHYGYRTMSDGDGISIFGSSNIWIDHCTLSRCKDGLIDAVMGSTAITISNNHFSHHNEVMLLGHSDHYKPDSAMQVTIAFNHFGEQLVQRMPRCRLGYIHVVNNDFTRWEMYAIGGSGGPTIYSQGNRYTAPEDVDAKQVTKRLDSGEGEWTTWNWKSEGDFMVNGAFFVDSGSESEGNFQKAYSVDPKTVDRISALTMSAGVLGVARDNNLGMWTRAPNGGGDYSISYSGEQEYTDDMSHSTMMLTHSFTLTLVSLFVGLLC >CAK8563342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594088517:594088795:1 gene:gene-LATHSAT_LOCUS16765 transcript:rna-LATHSAT_LOCUS16765-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENAFARSESFREGGEDEEALRWAALERLPTYKRARRGIFQNLVGDKKEIDVSELQTPEQKLILERLVDFVDNDPERFFHRMRSRFDA >CAK8563343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594089430:594098340:1 gene:gene-LATHSAT_LOCUS16765 transcript:rna-LATHSAT_LOCUS16765-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSFYLMHDLYRVHLEFPKIEVRFQNLTIETFVHVGSRALPTIPNFICNMTETLLRQLRLYRRKRSKLTILSDISGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGPGLQMSGNITYNGHGLNEFVPQRTSAYVSQQDWHVAEMTVRETLQFAGCCQGAGFKHDMLMELARREKNAGIKPDEDLDLFMKSLALGGQETNLVVEYIMKILGLDMCGDTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISTGLDSSTTYQIIRYLKHSTRALDATTIISLLQPAPETYELFDDVILLSEGQIVYQGPREAAIEFFKLMGFSCPERKNVADFLQEVTSKKDQEQYWSVLDRPYRYTPAGKFAQAFSLYREGKLLSEELNIPFNRRYNHPAALATCSYGAKRLELLKINYQWQKLLIKRNAFIYIFKFVQLILVALITMSVFFRTTMHHNTIDDGGLYLGALYFSMITILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWFLSIPTSLMEAGCWVVVSYYSSGYDPAFTRFLQQFLLFFFLHQMSIGLFRLIGSLGRNMIVANTFGSFAMLVVMALGGYIISKDRIPSWWIWGFWVSPLMYAQNSASVNEFLGHSWDKKVGNLTTYPLGKAVLKARSLYTESYWYWIGVGALVGYTILFNFLFTIFLAYLNPLGRQQAVVSKGELQEREKRRNGESVVVELREYLQHSASNGKHFKQKGMVLPFQPLSMAFRNINYYVEVPLELKQQGISEDRLQLLVNVTGAFKPGVLTALVGVSGAGKTTLMDVLAGRKTGGFIEGSVYISGYPKRQDSFARISGYCEQSDVHSPGLTVWESLLFSAWLRLSSDVDLETQKAFVEEIMELVELTPLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSSELISYFEAIEGVPKIRSGYNPATWMLEVTSSVEENRLGVDFAEIYRKSSLYQYNQELVERLSIPTSNSKELHFPTKYCRTPFEQFLTCLWKQNLSYWRNPQYTAVRFFYTVFISLMLGTICWRFGATRETQLDLFNAMGSMYSAILFIGITNGTAVQPVVSVERFVSYRERAAGMYSALSFAFAQVVIEFPYVFAQAIIYSSIFYSMGSFVWTFDRFIWYLFFMYFTMLYFTFYGMMTTAVTPNHHVAAIIAAPCYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWTLYGLLTSQYGGDDKLVKLSDGKSVPIRLVLKEVFGYRHDFLCVAATMVAGFCILFAFVFAYAIKSFNFQRR >CAK8563341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594088517:594098340:1 gene:gene-LATHSAT_LOCUS16765 transcript:rna-LATHSAT_LOCUS16765 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENAFARSESFREGGEDEEALRWAALERLPTYKRARRGIFQNLVGDKKEIDVSELQTPEQKLILERLVDFVDNDPERFFHRMRSRFDAVHLEFPKIEVRFQNLTIETFVHVGSRALPTIPNFICNMTETLLRQLRLYRRKRSKLTILSDISGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGPGLQMSGNITYNGHGLNEFVPQRTSAYVSQQDWHVAEMTVRETLQFAGCCQGAGFKHDMLMELARREKNAGIKPDEDLDLFMKSLALGGQETNLVVEYIMKILGLDMCGDTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISTGLDSSTTYQIIRYLKHSTRALDATTIISLLQPAPETYELFDDVILLSEGQIVYQGPREAAIEFFKLMGFSCPERKNVADFLQEVTSKKDQEQYWSVLDRPYRYTPAGKFAQAFSLYREGKLLSEELNIPFNRRYNHPAALATCSYGAKRLELLKINYQWQKLLIKRNAFIYIFKFVQLILVALITMSVFFRTTMHHNTIDDGGLYLGALYFSMITILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWFLSIPTSLMEAGCWVVVSYYSSGYDPAFTRFLQQFLLFFFLHQMSIGLFRLIGSLGRNMIVANTFGSFAMLVVMALGGYIISKDRIPSWWIWGFWVSPLMYAQNSASVNEFLGHSWDKKVGNLTTYPLGKAVLKARSLYTESYWYWIGVGALVGYTILFNFLFTIFLAYLNPLGRQQAVVSKGELQEREKRRNGESVVVELREYLQHSASNGKHFKQKGMVLPFQPLSMAFRNINYYVEVPLELKQQGISEDRLQLLVNVTGAFKPGVLTALVGVSGAGKTTLMDVLAGRKTGGFIEGSVYISGYPKRQDSFARISGYCEQSDVHSPGLTVWESLLFSAWLRLSSDVDLETQKAFVEEIMELVELTPLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSSELISYFEAIEGVPKIRSGYNPATWMLEVTSSVEENRLGVDFAEIYRKSSLYQYNQELVERLSIPTSNSKELHFPTKYCRTPFEQFLTCLWKQNLSYWRNPQYTAVRFFYTVFISLMLGTICWRFGATRETQLDLFNAMGSMYSAILFIGITNGTAVQPVVSVERFVSYRERAAGMYSALSFAFAQVVIEFPYVFAQAIIYSSIFYSMGSFVWTFDRFIWYLFFMYFTMLYFTFYGMMTTAVTPNHHVAAIIAAPCYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWTLYGLLTSQYGGDDKLVKLSDGKSVPIRLVLKEVFGYRHDFLCVAATMVAGFCILFAFVFAYAIKSFNFQRR >CAK8564004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645562580:645565858:-1 gene:gene-LATHSAT_LOCUS17353 transcript:rna-LATHSAT_LOCUS17353 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPIAEADEEDHNNLLKDVETITKRLSRNSTSLSLFKSIENDPNSKHDKEDNNSKKDKKSSIWSWKSLKALSLTKSKKFNCCFSLQVHLIEGLPLSFNDSTICVHWKRRDEHSVTPPAKVIQGVAKFQDILNYTCSIVGSKSGFNDSAKYEAKHFLLYASVLGVPEINLGSHRLDITRLLPLSLEDLEEEKSSGKWSTSFRLSGKAKGAVMNVSFGYMVVGDNSSLAPNVLTSRQNCMALSETDVNQCQYPARVIDEVKELHEVLTLTKSAMASSRNTLCKKLDDEDACSPLHKKDEPLLKENLEPVKFDVFQENLEQVESLKEKFYECEYNGFSVVNHGVGFSPNEHVKVEESIVEASVDSSKDSSVVHEFSDKSIELCTKELLMQEIESALNSVSELETAALESPKVMEVISECKFSQSLCLDDATEQIASEFLSMLGVDNSPTGSSSESEPESPRERLLRQFEKEVGSEGFSLFDVDIGYDDDEDEGDYGGYDASFEFEQGEFSTGITPPSLLRDLQKRLEFDDVRRKPKGQILEDLETEALMREWGFNEEAFQHSPPKGFAGFGSPIHLHEEHSRLPPLAEGFGSFLKTKNGGFLRSMNPSLFMNSKNGGSLIMQASNPVVMPAEMGSGIMETLQYMASVGIEKLSMQANKYMPLEDITGKTMQQISWEAMPRLEGKDRQWHLQHGLVTDKGSTCVQRDMKGLPSRLKSDEFTSSSIGNQGGSGFFSLEDLAPLAMDKIEALSMEGLKIQSGMSEEDAPSNIIAQQSFKDPPGLQHKGVNIGGSFGLDGAAALQLLDIKCSVDEIDGIMGLSLTLDEWMRLDSGEIDDIDDISEHTRKLLAAHHANSFDVVRESSKGRKKHSKGHSRKCGLLGNNFTVALMVQLRDPLRNYEPVGISMLALIQVERVLVPPKQKIYLTVSEVGNNSDEDDECEIKAKLDKNENKEEKSTKESGIAQFRITEVHVAGLKKLLGTSNKQKSGSRWLIANGISKSNKNPLMNSKAAAKFGSQANTTKVQPGDILWSLSSRIFGTGTKESGVLNPHIRNPNIIIPK >CAK8562223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:458637967:458638416:1 gene:gene-LATHSAT_LOCUS15739 transcript:rna-LATHSAT_LOCUS15739 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPVQYLLRHHPEQEGFSKQEVVRAKFGKFGLLSCNHLTPIVKLSRGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVILVSHDSRLISRVCDDEERSQIWIVEDGTVKIFPETFEDYKEDLLKEIKAEIDD >CAK8542544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525122734:525126259:1 gene:gene-LATHSAT_LOCUS11378 transcript:rna-LATHSAT_LOCUS11378 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSTIAVTTGVAVSHTQRLCSHSLPINYRLSFSPRNPLLSNSSFLKWKRNIASSNSNRFRMATKALAQPLQNADELIDSVETFIFDCDGVIWKGDSLIEGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAVPAYLKSIDFPKDKKVYVIGEDGILKELELAGYKYVGGPEDGGKKIELKPGFLMEHDEDVGAVVVGFDRYVNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLANKFGISKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTTAMLQSPNNTIQPDFYTNKISDFLSLKAAAV >CAK8532150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213570568:213571009:1 gene:gene-LATHSAT_LOCUS1883 transcript:rna-LATHSAT_LOCUS1883 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCLCFATIMLIASILVLGISAQFECGGNIIAIKTQCQTFVQKGGEKIPPSESCCAAIKDANVSCYCKYVTPAVESMISIEKALYVAKTCQAQNIPTDKCGSYIIPHPPSSKA >CAK8535390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845907194:845907406:-1 gene:gene-LATHSAT_LOCUS4855 transcript:rna-LATHSAT_LOCUS4855 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8567038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481116390:481122699:1 gene:gene-LATHSAT_LOCUS20126 transcript:rna-LATHSAT_LOCUS20126 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIDDGIDLDPSDFGTSVPLKKVPNGDVFQASRAGDVDRLRYLLECGVNVNARDQWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYSALNLKVRKLLKAFEARPPPLNPLQSSLRDTFFACPANSHSGGFQFPGIPSTQGLSSNYFPPDVVFTVQGRPIEAHRVILSARSPFFKKKFETDWKERSEVRFSREKLSYPALYSLINFFYSDRLEIAVDDMDDLVRICKVCKCESLQKILEKELTHQKYALYKALSDVDNSQKRFILQGLSLPEEDRLPAALRRILLAALSNSIHENGQDNGIDKLVSKMDAMQIDKPLNDLADVCIRVDRKNFRCHKVILASRSEYFKARLSRMKDFHEGKDELYIDFLPCLEEHDMSVEAFEKMIEYMYTDRLQEINPDQAEEMFDIASRYLMFPLKRAVADVLVPHLEMASPEELCQWLMLADMYGVLKIREFCLDTIACNFELFADTKEFRAMLLTLPPPSGDSSLRTTVPSMPGSTLNNDQGNLLDDLRDKWLEIEAAELDERDDSALQFDKRLEMLMLVAEHEKSSGDADSNCVKDVPSLAFSPITAVISGEHREP >CAK8538570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487425307:487427367:1 gene:gene-LATHSAT_LOCUS7754 transcript:rna-LATHSAT_LOCUS7754 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRLHSSSKFQYLSLRCFSSATPPIVLKHGDVLKKARVFTEEDVLHYSKVSHDSNPLHTDSNAARNVGFEGPLVHGMLVASLFPHIISSHFPAAVYVSQTLKFKLPVYIGDRIVGEVEATNLRENKNRYLAKFKTRCFKNGEVLVIEGEALALLPTLDYRAVEV >CAK8578839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657792746:657795902:1 gene:gene-LATHSAT_LOCUS30822 transcript:rna-LATHSAT_LOCUS30822 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRQHRFRNHRQNHAQSSRHSLDNESQSSVVQETRDGDNEEPEELTQPKIKLAMWDFGQCDAKKCTGRKLSRLGFLKELRVSNGFGGIALSPVGQQCVSREDYSLIQNKGLAVVDCSWARLDDVPFAKLRCPAPRLLPWLVAANPINYGRPCQLSCVEALSAALIICGEEETANLVLGKFNWGHSFTSLNKELLRAYSKCQNSAEIISVQNDWLSQARQVPRAPPTDSKDVMHENEDNVQNSSDSEDGLPPLERNVNHLNIENSDEESEDELPPLERNMNHLNIDNSDEESE >CAK8576969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528414274:528426065:-1 gene:gene-LATHSAT_LOCUS29121 transcript:rna-LATHSAT_LOCUS29121 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVPLLDATVAAFYGTGSKLERSAADSILRDLQENPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNFISDIIVQLSSNEASFRTERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKASETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRAELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPAYRNLTLQCLSEVASLQFGDYYDVQYVKMYGIFIGQLQNILPPTTNIPEAYAHGSTEEQDFIQNLALFFTSFYKVHIRILESTQENISALLLGLEYLISISYVDDTEVFKVCLDYWNSLVSELFEPHRSLDNPAASANLMGLQVAGMLPGMVDGHGSPLLQRRQLYSGSMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMIEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLSGLPITIADLEPHQIHSFYESVAHMVQAESDSQKRDEYIQRLMGLPNQKWMEIIGQAHQNVEFLKDQDVIRTVLNILQTNTSVASSLGTFFLPQITLIFLDMLNVYRMYSELISKSISEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIVNKYKATMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSQQLKLVMDSIMWAFRHTERNIAETGLNLLLEMLKKFQGSEFCNQFYRTYFLTTENEIFAVLTDTFHKPGFKLHVLVLQHLFCLVETGLLTEPLWDVTTTSYSYSNNAAFVREYTIKLLTASFPNMTTAEVTQFVNGLFKSTTDLSTFKTHIRDFLIQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPSELQDEMVDS >CAK8539921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531181977:531185090:1 gene:gene-LATHSAT_LOCUS8973 transcript:rna-LATHSAT_LOCUS8973 gene_biotype:protein_coding transcript_biotype:protein_coding MANHEEAKEWSSSGSHPKLNERILSSMSRRTVAAHPWHDLEIGPGAPAVFNCVIEIGKGGKVKYELDKKSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEDYKKNENKKVDVDDFLPAQSAIDSIKYSMDLYASYIVESLRK >CAK8579678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715602603:715606584:1 gene:gene-LATHSAT_LOCUS31605 transcript:rna-LATHSAT_LOCUS31605 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLALTAIVSSWVVPITIMVNHIVTEPYMDEVFHIPQAQQYCKGNFGSWDPMITTPPGLYYLSLAYITSLFPGLYSVEAASSFLDVCSASFLRSINGVLAITCSIILYDIIIHLKPTLGDRRAMLHAVVLSLYPLHWFFNFLYYTDVASVTAVLAMYLASLKKDYWLSALIGAFAVVVRQTNIIWVLFVACIGIIDISLMRGKGNARTAKSDVSVEHDFTYATGTSATGLNLKRRKSVKTVNTSEYSLPRISASSPSFSSDLFDEIWAVLLIIWHMKLELLISFSPYLMVLIAFLLFVYWNGSIVLGAKEAHAVSPHFAQILYFGLVSILAQAPMHFTFTHAVDMFQSFWRSRPLSYIQMFLALIAGILSVHFFSVAHPYLLADNRHYPFYLWRKIIMAHWSIRYLLVPVYMYSWLSIIHMLGKVRSKLWILAFFLATAAVLVPAPLIEFRYYTIPFYFLVLHCNIRDDPHWILTGMIYVGVNIFTMLMFLFRPFHWDHEPGIQRFIW >CAK8573188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592436803:592437174:1 gene:gene-LATHSAT_LOCUS25663 transcript:rna-LATHSAT_LOCUS25663 gene_biotype:protein_coding transcript_biotype:protein_coding MTWISWSFICKPKTHGGIGIKNIGVFNIALLPKWLWRFIKEPSALWKTFLEARYDSLKSKVMLKKELRDSKSYSLWWRDIMRVGDVMEEKGFTRKVSCKLGEGKFVSFGTLVGLGNYLSCALI >CAK8533705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651545728:651546871:-1 gene:gene-LATHSAT_LOCUS3308 transcript:rna-LATHSAT_LOCUS3308 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAVPFWRAAGMTYITYSNICANLVRNALKEPHKTEAIAREKVHFSLSKWVDGKPEKPTLRTDTPDL >CAK8568682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631688230:631689377:-1 gene:gene-LATHSAT_LOCUS21617 transcript:rna-LATHSAT_LOCUS21617 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGIPILDFRKSTGVTLEEGSEGWKEMSKKVREAFESHGVFLLRCDEIPNELQKEMFTFMKSLFELSEETKLKFSGSRLARGYLGKSPAIPHSQSFGIEDAFKPETSQNFTNLMWPEGNPSFCETLFSFTSKARELNSLILKMVVEGFGLPEQYILEVEELSSDTDSRLTRYQLPEENKDSAVTFVPHTDKGSITLICENEIQGLQVLQKSGNWVNVNVPPNGFIVIVGDMLQAWSNGRFKAPMHRVVLKGDKERFVFVLFSVPKEETFIKVPSELVDEQHHPLHYKPFKYEEFMNFIKKVSTKEGALEEFAGL >CAK8530316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14210662:14212967:1 gene:gene-LATHSAT_LOCUS190 transcript:rna-LATHSAT_LOCUS190 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALHSLSSPSSTFLFHRRPQPILRSQSSLPFNPSSRSIPSISQSFSFPSKASTFNPFSKPHFPIHANSSPPPSPSPTPPSKSEPLPTILQGAKPIPFLISISIGLIVRFFVPKPVEVTPQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTTSVVTKTLAFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGKSTLGLSYGLVFSEVLIAPAMPSTTARAGGVFLPIIKSLALSAGSEPNSPTSKRLGSFLVQNQFQCAANSSALFLTAAAQNLLCIKLAEELGVIISSPWVTWFKAASLPALVCLLATPLILYKICPPEIKDTPEAPAMATKKLETMGPVTRNEWIMVSTMLFAVSLWIFGDAIGIASAVTAMVGLSILLVTGVLDWQDCLNEKSAWDTLAWFAILVGMASQLTNLGIVGWMSDCVANSLRTFSLSWPASLAVLQSAYFFIHYLFASQTGHVGALFSAFLAMQRAAGVPGVLAALALGYNTNLFGAISHYSSGQAAVYYGAGYVDLPDIFRTGFIMAVINAIIWGGVGSVWWKFLGLY >CAK8562699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528487736:528488689:1 gene:gene-LATHSAT_LOCUS16173 transcript:rna-LATHSAT_LOCUS16173 gene_biotype:protein_coding transcript_biotype:protein_coding MANADEVSALKRIKLHLLGEFSPLPSPISQPWSFDFDFQFQTNQTTSDSSISLDHYFTDLLQTDTQIPIFEFDSKPQTTEPESPKALTSYPQPTVEKTPQLKRKPSLEIALPNKTEWIQFRKQDPEPEVVVQKPEVAEKQHYRGVRQRPWGKFAAEIRDPNKRGSRLWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVNAMAAAAENYGENKKRCREEEEDDVVEVKPVLKKEKTNSGENKKRCREEEEEDVVEVKPVVKKEKTEEFDVNCIKEMPLTPSNWTGFWDSDFKGTFDVPPMSPLSSFCFSPLVAV >CAK8562698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528487736:528488689:1 gene:gene-LATHSAT_LOCUS16173 transcript:rna-LATHSAT_LOCUS16173-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANADEVSALKRIKLHLLGEFSPLPSPISQPWSFDFDFQFQTNQTTSDSSISLDHYFTDLLQTDTQIPIFEFDSKPQTTEPESPKALTSYPQPTVEKTPQLKRKPSLEIALPNKTEWIQFRKQDPEPEVVVQKPEVAEKQHYRGVRQRPWGKFAAEIRDPNKRGSRLWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVNAMAAAAENYGENKKRCQEEEDVVEVKPVVKKEKTEEFDVNCIKEMPLTPSNWTGFWDSDFKGTFDVPPMSPLSSFCFSPLVAV >CAK8537769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:429638228:429647838:1 gene:gene-LATHSAT_LOCUS7024 transcript:rna-LATHSAT_LOCUS7024 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNIPESVRKRVIIKELVKGKKAATKLKFLLQNEKNPFGDASLLSYKLASNVLRSFTEALSIVSQPGCDNFLTLLNSGENGSPVVIDAGIDPPSGDSAGRAVKKSSRGCYKRRKCGETWSIVSQTIVDNHSWRKYGQKRIMNFEYPRSYFRCAFKHEQGCLAIKQMQKTQDNPDMYQITYIGTHTCNTTNVTDEQKQELMESDDIAESALVFGSLAMEFGDIDFHFD >CAK8535397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845927254:845928546:-1 gene:gene-LATHSAT_LOCUS4862 transcript:rna-LATHSAT_LOCUS4862 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVSGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGIEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8570311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35700978:35710225:1 gene:gene-LATHSAT_LOCUS23072 transcript:rna-LATHSAT_LOCUS23072 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHFLHHYNHNHHQEHRSRYAPPASQSHHHLPPPPALPPPPPISYRTIDTLPPPPPPPSYNPPLAHHSPRFPFYPIEEQRTLSNSFTNRNEHIPRRIVPESPWNPNPEDRPTRNCPPVDFHRDSHHHNHRPPPSYPPIRYESESSHGRLSSETSRLVGSPREAFVYGRTTNDDSYHRHHGTATSTSTSTSTSNLAYHPINEVSPRTENRRWLNDRKVHNSSPSHSPSFELVKDEIGTSVKREYHGSEAVRYSNGSNSGRSNSRECNHGREFSRTPPKKQIQKKSALLRIQTVKPNHRNRDIEQLRYVSDSNNNIFRGNNKDQHGGYTKGEDRKKGSSVELDISFESNSLVAKAIVTAPPSTSGATTAPVSGKLSSVVENLNDNNNNNTSQKNVGDACNPHDKHGVAVSVKGIGTSTRKLASKVVKKKKIVKRVVKKGSVNPTSSSMLASPSIAKAVGRTVQADSLTHISSNAASENVKTETCLEEKVNAVDKVSAPNNGKNVLCKDANRGLSLLSSGPECTQKCKINEDSDFGKESRLERGGSISSAPSCASSSLDKKCGSDSDCLNVCNSVHDLLSVTNIDKPTKSLNGSTSELNHLDCGNKQICQSEVSLSPGKYIDVGCSENKNLVDVGNELNSNVLSAYIIKTHNSADDSIYGFNSNDLTSSEEKITVDDSENNDIDAGAYCEKTGLAMTTLEHNSDTAIPLPCSGMVASLSLGDIRIQDGQDCLQHTSVLKKGSDDGSSSLKESIVVHQFGIIKDAEKQVSPGEVPVYAENCDIDKTFPNSNISLGFEVRDTSKIEKRNARTRLNFLSLDLDDISLTPVSHSNDADRGSKFLLKDPCPSEVLDHSIQSLDFYSLSSQVRGTALQGKRAFSETEFCVANNDSDDENKVSTVSRRKKVTASNPNLTQFQTEFIDSVVATTSSAEVPIGFSDSQEHKKDDVALSSTGMDIQYNAQSMTYSGNIAKLSDCIFTGGSFEPINANGETKTSEHLELQHSDIVSIQCVDLAIPNVQFSVLGCEQKDIVTPVVPITNTQTTDISVIGIIKGDDTDSQDAENNYHYRDDVQRFPRADMLSNDFNMKNDSLAQENLMSCPVAGDGVTISNSNNELIEDLPNALSDIYSHGMPSELPDRMITELTAINDDENICEGEENPKTESVVKHGFDSDTSTSLKHHSDKTMKLDCVVGCSDPITRNITPETTQVCSQVTPLGLNSSCSELNGSKTQLDGVILKASQDYSFAFPKPKTKTPASSSYALKSRTWHRTDNNNPPASLPRVKFSARSVPPRKPILERKKNFQNTSYIRKGNSLVRNPTPVSAIPQISSTSLMRKPTPVSAIPQISSANLLPLGLGEIPKGTKPESRADLTDSSIYSKTKASNNALPIDTKSEENISFRSLEPPSSGCCENTTDLRKFIESNDAPVPSGDVPKQYEALEKQTDPSSNGGCQAEANDGNISSLNSKRIVYVKPKTNQLVATSSSSDMIISTDDKGQTAFSDSYFKRRKNQLVRNTFENHTVAMPNNIGNSDVQGASRVLCNRRFPKRRLHKVAGMSSKSSRASLVWTLSSKSSSRNDRNSWHYQRFPWKRATYLRSFIHNSASSFNSGSLSAAGKKLLLSRKRDTVYTRSTRGFSLWKSKVLGVGGSSLKWSKSIEKHSKKANEEATLAVAAVERKKREKKKSARTGSQTKRERIFRVGSVRYRMDPSRRTLQRISDDESLSSASTSSGLVSKRGYIPRRLVIGNDEYVTIGNGNQLIRDPKKRIRKLANEKVRWSLHTARQRLARKQKFCQFFTRFGKCNKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHKVLPERMPDCSYFLQGLCSNKSCSYRHVNVNPNASICEGFLKGYCADGNECRKKHSYVCPSFEATGTCTQGTKCKLHHPKKQSKGKKRKRTGDQDNDSGRYFGSIPADVSETGLKVAPSHSQQNEEHENELSDYISLDVYEEAADMIDQSCELSTFCDNDTMDFQLDTSDELIQPISIIPKFALQSQSRSPQA >CAK8565415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:179086340:179086567:1 gene:gene-LATHSAT_LOCUS18633 transcript:rna-LATHSAT_LOCUS18633 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTEYYDVLGVTPTASESEIKKAYYMKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPAQRQAYDAYNKSGF >CAK8577578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575486388:575489592:-1 gene:gene-LATHSAT_LOCUS29672 transcript:rna-LATHSAT_LOCUS29672 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSIGMYRYGFVVAVVVVLALGCGASSEQLSSRECENLGFTGLALCSDCNTLSEYVKDKELVSDCLKCCAEDSKDATTKITYSGALLEVCMRKLVFYPEIVGFIEDEKNQFPSVKVQYVFNSPPKLIMLDDVGQHKETIRIDNWKREHILQYLREKVKPSVAAA >CAK8533000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564336931:564340150:1 gene:gene-LATHSAT_LOCUS2653 transcript:rna-LATHSAT_LOCUS2653 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSGKNASENNNNNNSENSHQIADSSSQLASDSHNIKETEERQARELKAGLHPLKSKFVFWYTRRVPGIRNQSYEDNIKKIVEFSTVEGFWVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDIVSVWNRNASDNQAVMALRDSIKRHLKLPHSYVMEYKPHDASLRDNSSYRNTWLRG >CAK8573071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580681996:580682328:-1 gene:gene-LATHSAT_LOCUS25561 transcript:rna-LATHSAT_LOCUS25561 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQNEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGWKVGKCCGMVSFSSWLWLLAFWD >CAK8567111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488474249:488475679:1 gene:gene-LATHSAT_LOCUS20194 transcript:rna-LATHSAT_LOCUS20194 gene_biotype:protein_coding transcript_biotype:protein_coding MENPALISRTSNNHHQLWFAFLISFLLFSLFLRFHYSNTFQSPNTVATFSINNTDSCHGRYVFIQNIPSRFNQFLLQNCQFLTKGTDRPNMCPYMNNRGLGPEIKSENVSDVLLTNSWYATNQFLLEVIFHNRMKNYECLTNDSALASAVFVPSYIGLDISRFLWVANLTVRDSSGFELVRWLVERPEWKRMWGRDHFLVSGRISWDFRRQFDDLAYWGSKFRFLPESMNMSMLAVEGSSWNNDYAIPYPTSFHPLLDNDVYQWQSKIRHQKRKFLFTFTGAPRPELEDSIRGKIIEQCRGSSLCKFIDCSSEKCDDPVNVMKVFENSVFSLQPAGDSYTRRSIFDSILAGCIPVFFHPGTAYSQYKWHFPKNRSEYSVYIPVKDVKEWNVDVGKVLLGIPENEVSAMREQVIKLIPKIVYADPRGKLDNFDDAFDIALKGILERIEKVREEIRRGRDPSIGFADEDHYKYTFSDN >CAK8544034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659306121:659312908:-1 gene:gene-LATHSAT_LOCUS12744 transcript:rna-LATHSAT_LOCUS12744 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTRDEVAISTSSRRSWTSTSFRDVWTATSTALPDVFERSDRHTLEDDEYHLTWAAIERLPTFERMRKGVMKHVAENGKVMHDEVDVAKLSLHDKKILLDSILKIVEEDNDKFLYKLRDRQDRVGIEIPKIEVRYENLSVEGDVYVGSRALPTLLNVTINAFESVLGLFHLAPSKKREIQILKNVSGIVKPSRMTLLLGPPGSGKTTLLLALAGKLDPHLRASGKITYCGHELNEFVAAKTCAYIGQHDIHYGEVTVRETLDFSSRCLGVGNRYEMLMELSRREREAGIKPDPEIDAFMKATALSGQKTSFVTDYVLKMLGLDICADIMVGDQMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHIMDVTVVISLLQPAPETFELFDDIILLSEGQIIYQGPRENVLEFFEYTGFKCPDRKGVADFLQEVTSKKDQQQYWSRRDEPYRYVSVPEFVDTFSSFHIGEQLVTDLMVPYNKRQTHPAALVKENYGISNWDLFMTCFSKEWLLMKRNAFVYVFKTIQITIMAIITFTVFFRTKMPVGTVQDGQKFYGALFFTLINVMFNGLAELAMTVSRLPVFYKQRDFKFYPAWAFGLPIWILRIPISFLESLIWIVLTYFTIGFAPSASRFFRQFLALFGIHQMALSLFRFVASIGRSLVVANSLGTLTLLVVFVLGGFIIAKDDIKPWMIWGYYISPIMYGQNAIAINEFLDKRWSKSNTDTRIDASTVGEVLLKSRGLYTEDYWYWICIGALVGFSLLFNLFFILALTYLNPLSDSKAVTADEDDKKTENSSSRHHPLEGANMAVRNSSDTMNSSNHEPERGMVLPFQPLSMAFNHINYYVDMPAEMKSQGVNKDKLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYQKNQTTFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVNRQTRKMFVEEVMELVELKPLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYTGPLGRFSHKLVEYFEAISGVQKIKDGYNPATWMLEVSSASIEAQLDVDFADIYKNSTLYQRNQELIKELSTPAPDSKDLYFPTKYSQSFFVQCKANFWKQNLSYWRHSQYNAVRFFMTILIGVLFGLIFWKQAKKTKTQQDLLNLLGGMYSAVLFLGATNSSAVQPVVSIARTVFYRERAAGLYSASPYAFGQVAVETIYNAIQTAIFALIFYPMIGFEWKVANFLWFYYYILMSFIYFTLYGMMLVAITPDEIVASICMAFFMSFWNLFSGFIIPRMQIPIWWRWYYWASPVAWTLYGLITSQLGDKNTEIVIPGAGSMELKEFLKQSWGYNHDFLPQVAVAHIGWVVLFAFVFAFGIKFFNFQKR >CAK8569837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13241403:13242382:-1 gene:gene-LATHSAT_LOCUS22646 transcript:rna-LATHSAT_LOCUS22646 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASYDIETPNSTQKILEHELWPLDPIDLKNAKFPCCLVWNPLPVVSWLAPFIGHVGICREDGAVLDFSGSYHVGVDDFAFGQVARYMKLDRRQCCFPPNLSAHTCKHGYRHAEYGTAITWDDALSTSLRHFESKTYNLFTCNCHSFVANCLNRLCYGGSMSWNMVNIGIMILFKGHWVDFWSIVRSFLPFVVVVCIGIFMVGWPFLLGLLSFSLLLIGWFLLGTYLVKSLLEC >CAK8535476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851510387:851510731:-1 gene:gene-LATHSAT_LOCUS4937 transcript:rna-LATHSAT_LOCUS4937 gene_biotype:protein_coding transcript_biotype:protein_coding MSARWLEYRHTCYTRKGCSTSPLLTIEDQQMLRDVGKRKLTPGISKSQEFETAKTRLSKHHRLSKSSNHSPISESKNDVFCTRRRLPSVPFIDFDIDRIKALDVIDRVDSIGGS >CAK8574793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9540717:9543292:-1 gene:gene-LATHSAT_LOCUS27101 transcript:rna-LATHSAT_LOCUS27101 gene_biotype:protein_coding transcript_biotype:protein_coding MRTERKIQTFNFNGTLPISQKSVNRSIIGRNLRKHELGGVIFGCTRHTMKECLSKQLFGLPAQHFSYVENINPKMPLFLFNYSDRKLHGIFEATSHGKMFIDPYAWINDDYTDETQYPAQVKVRVRVQCHPLLEDKFGEVIGENYYLNNHFWFELDHTQTSKLMYLFVSTAIATKTPVPQYNTKRRIEYANIPRETLKKDGFDRPLRNHRIKKEINHDEKDRVYKKLLEMALGKKNEDLYFIDNVRDTPNDSNIKGYKEAPLNLRKNDENCSASFKNLYTIVQSVQQRVGERKAFQKTKSLENDDLKQKRASLQIQHIKEDYAISKLTNVEKTIIQLLLSKNATKSFQPLNFIGLYTTQVRLNVISTTNGHKCFTNVEIFHLDYGRWISINSNSNKNFDLIEMELNDSLHVNGGYNGFDYLKYTMNGFDECTSVPYMDLFDPCIEARMKLMNYVENFCDAKDFKESMRSRESKFLKLF >CAK8574794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9540717:9542936:-1 gene:gene-LATHSAT_LOCUS27101 transcript:rna-LATHSAT_LOCUS27101-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLFNYSDRKLHGIFEATSHGKMFIDPYAWINDDYTDETQYPAQVKVRVRVQCHPLLEDKFGEVIGENYYLNNHFWFELDHTQTSKLMYLFVSTAIATKTPVPQYNTKRRIEYANIPRETLKKDGFDRPLRNHRIKKEINHDEKDRVYKKLLEMALGKKNEDLYFIDNVRDTPNDSNIKGYKEAPLNLRKNDENCSASFKNLYTIVQSVQQRVGERKAFQKTKSLENDDLKQKRASLQIQHIKEDYAISKLTNVEKTIIQLLLSKNATKSFQPLNFIGLYTTQVRLNVISTTNGHKCFTNVEIFHLDYGRWISINSNSNKNFDLIEMELNDSLHVNGGYNGFDYLKYTMNGFDECTSVPYMDLFDPCIEARMKLMNYVENFCDAKDFKESMRSRESKFLKLF >CAK8533456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620291226:620292626:1 gene:gene-LATHSAT_LOCUS3079 transcript:rna-LATHSAT_LOCUS3079 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLPEEEADQIVNSGGGEVALKKGIEADQTVNAGGGEVALKKGSTSTRKRKVSSLSSGSDVGKRSKLSRSILPEEEADQRDNAGEGEVALKKGPWTKEEDENLKDHIKKHGEGNCKAVQKESGLARCGKSCRLRWSNHLRPGVKKGSFTAEEERLIIECHFLMGNKWAHMATLFPRRTDNEIRNFWYTISKKRKRDGLPIYPDEITSKYSLNDSQESADTLPNESNQNDETETFNLDISDLDLKYYKFRPDMVPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRYSSRNAAVPEVFDQYGQYPMLSTSCDLILNTNLLHGYDNPITGFNSASNISFSDPIYGSMNFEPPSF >CAK8572050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505211121:505213458:1 gene:gene-LATHSAT_LOCUS24658 transcript:rna-LATHSAT_LOCUS24658 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVVDGIINRLLEVRGRPGKQVQLSEAEIKQLCLVSKDIFLNQPNLLKLEAPIKICGDVHGQYPDLLRLFEYGGLPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPRNFFLLRGNHECASINRVYGFYDECKRRYNVKLWKMFTDCFNCLPVAALIDEKIICMHGGLSPELHNLNQIKNLQRPCEVPESGLLCDLLWSDPSSDVQGWGESERGVSYTFGADRVKEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVNETLMCSFQILKPLDKKPKFTFGSTTTIKPGTPTKFKLTIFGDKA >CAK8544079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661567834:661568340:1 gene:gene-LATHSAT_LOCUS12786 transcript:rna-LATHSAT_LOCUS12786 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVKLAPLAVLILATICMYAKIEATNCWGGCSPFQIPPCGYPDDCRCIPWILVAGQCIDPIHSKSIVKMVEEHPYLCQSHGDCVKKGNGNFCGSYPNSEIQYGWCFSSKTKAKHFFTIGSKLAANELFNISSNSEAIGFKLAVNELFNISSNSKAKDFIKMAMEIST >CAK8535039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806249045:806252249:-1 gene:gene-LATHSAT_LOCUS4522 transcript:rna-LATHSAT_LOCUS4522 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKDGQDTQDELKRRNLRDELDERERRHFSSKNKFYNDDRDHGKGNHLFLEGTKRDFEDHIVPRSVDADDSDVEVNSDDESDDDDEDDTEALLAELEQIKKERAEEKLRKERQQQEEDLKVNEAELMRGNPLINNPTSFNVKRRWDDDVVFKNQARGETKLAKRFINDTIRNDFHRKFLHKYMK >CAK8569090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670113404:670114221:-1 gene:gene-LATHSAT_LOCUS21980 transcript:rna-LATHSAT_LOCUS21980 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKLSRNTEIDTRAPFRSVKEAVSLFGDKVLAGELYANVTKLKHQIHVGANENEADQSSRIENVAAELEETRENLEKAKEESMLMAHCMSSLQEELERTKQELEHLKQRETEKHQVEPSETEDVKFVENLNTFEVKSSRFDDELMMEFQKKRYVTFANPPSVSHVMLPQNQGVEKLERHPSLRKKKKKSLIPLIGGIFSRKKGTSQEVA >CAK8574428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681190887:681192525:1 gene:gene-LATHSAT_LOCUS26776 transcript:rna-LATHSAT_LOCUS26776 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFSVVGLVLVLLVWMMKLGRVKLGHHQLPPGPRCWPLVGNIFQLGLSLSPHEAFAILARKHGPIMTLWLGSMCTVVISSSDVARDMFKNNDVALAGRKIYESMKGNHEYGSEGSLITSQYNEHWRMLRRLSTTEFFVTSRLDTMRGVRAKCIDRMVSLINEASGDGESNNCAVDVGKFFFLMAFNLIGNLIFSKDLLDPEMERGGRFYYHAVKVMEYAGKPNVADFFPILKCLDPQGIRRNTQFHVERAFEIAGSFIKQRMENDTADSGNSKDFLDVLLQFRGDGVSGPYNFTSRTINVVVFEMFTAGTDTTTSTLEWAMAELLNNPRTLKKVQMEIRSKIDQDRKFEENDIENLPYLRAVIKETLRLHPPLPFLVPHMAMDSCKIGDYYIPKETQILVNVWAIGRDPKMWDAPCLFWPERFMEPNVADYKGHHFEFIPFGSGRRMCPALPLASRVLPLALGSLLNSFDWILPDNLKPEEMDMTEGMGITLRKAVPLKAIPVPYKGTSVAVAGK >CAK8535886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888825765:888828138:1 gene:gene-LATHSAT_LOCUS5305 transcript:rna-LATHSAT_LOCUS5305 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIWVNLFIIIFNCFLTATPIFSDSSTIPNFLDLAKEPQVFDWMVDIRRKIHENPEVGYEEFETSKLIRAKLDELGISYKHPVAVTGVIGYIGTGLPPFVALRADMDALLMQELVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILKDHEKYLHGTVVLVFQPAEEGCGGAKKIVDAGVLENVSAIFGLHVLYNLPLGEVASRSGPQFAGSGFFEAIISGRGGHAAIPQHSIDPILAASNVILSLQHIISREGDPLDSQVLTVAKFQGGGALNVIPDSVTIGGTFRYFSRESFNQLRHRIEQVITGQAAVQRCNATVSFLEKEKPLIPPTVNNDDLHDYFQSVAKSLLGADKVKGLEPMMGSEDFAFYQEALPGYIFLLGMEDVSVEHLPSGHSPHFKVNEDVLPYGAALHASLAVKYLAKLHQELHVVEGKYHDEL >CAK8530900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60948765:60949088:1 gene:gene-LATHSAT_LOCUS730 transcript:rna-LATHSAT_LOCUS730 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNKSGPKIVQIETRYVQTDAVNFRDVVQSLTGKNSSTDWIGRGNNETAVTSEIKRRNNKPEEEIIDATSTASTKLMMMSKMSFKDFERLVLELPPIQMEEMLWL >CAK8530901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60948768:60949088:1 gene:gene-LATHSAT_LOCUS730 transcript:rna-LATHSAT_LOCUS730-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNKSGPKIVQIETRYVQTDAVNFRDVVQSLTGKNSSTDWIGRGNNETAVTSEIKRRNNKPEEEIIDATSTASTKLMMMSKMSFKDFERLVLELPPIQMEEMLWL >CAK8535823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883703231:883707574:-1 gene:gene-LATHSAT_LOCUS5243 transcript:rna-LATHSAT_LOCUS5243 gene_biotype:protein_coding transcript_biotype:protein_coding MLERTLSSRRGNSHGEGDEDIFNDDESKTKKQQYILFRFTNRFSTYLSRTGSQCVPCVVIALILFLSFSFFFTSRNFVCISSSSFFKPAARAAFFGLDGLDSDFGVLGVPCCRSKHGKTVEWTSKDLLKGLEEFVPIYETRPIKNNMYGMGLDHSFGLWFIARWLKPDLMIESGAFKGHSTWVLRQAMPDTHIISITPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSIDWPTVMSKQGITDLSRVLIFFDDHQNELKRIEQALKAGFRHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDSDEARIRSKRKKFWEKAVDIDELCGPGEAWWGVRGYMRDNFNHSNKPISYAQHVQNSRYVESILDVYWELPPVAGPSLTHQTRYDPARAPIPIVEDGRYGLFQRLGLGKLDNSVFNGYTQMVYLQISEQ >CAK8536241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921189032:921189517:1 gene:gene-LATHSAT_LOCUS5629 transcript:rna-LATHSAT_LOCUS5629 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTKSHSNIRRPNYNHFLPSTDTNSVNESSLFEFDESELYNSARSNSISNSPEFRKSFIASRFHGTSSSTAGRVGDPMSLPVNVPDWSKILGEENRQNRRRNYDDSDDDGDDERVPPHEFLARTRIASFSVHEGVGRTLKGRDLSRVRNAIWAKTGFQD >CAK8534766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765508676:765508867:-1 gene:gene-LATHSAT_LOCUS4281 transcript:rna-LATHSAT_LOCUS4281 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHNIRELINNNISLKVKVIQAHIAKKYGYRISYRKAWIANIKAIKSLYRN >CAK8536487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946391711:946396641:-1 gene:gene-LATHSAT_LOCUS5851 transcript:rna-LATHSAT_LOCUS5851 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDSDLMKNFWIPNHVLVPGSEVEDGQIDGDGPKCPVLVFVNSKSGGQLGGELLKTYRALLKDKQVFDLGEETPDKVLSRIYVNLENLKVRGDPLAIRIMERLKLIVAGGDGTAGWLLGVVCDLKLSHSPPIATVPLGTGNNLPFAFDWGKKNPGTDEQSVLSFLKQVMKAKEMKIDNWHLLMRMKTPKHGACEPIAPLDLPHSLHAFHRVSETDELNIKGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFLASLFHPPSRNIAHMGKVKVMKTHGHWEDLEIPSSIRSIVCLNLPSFSGGFNPWGTPNRRRQRDSEFTPPYVDDGLIEVVGFRDAWHGLVLLHPNGHGTRLAQAKRIRFEFHKGAADHTYMRIDGEPWKQPLPVDDDTVLVEISHHGQVNMLATHESKSKSVNDPSSPHHHDAEDDDDEESLADEFRKFGAAETFKIPDEVDIAHLS >CAK8560470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20925906:20926403:1 gene:gene-LATHSAT_LOCUS14139 transcript:rna-LATHSAT_LOCUS14139 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQIIEEVKRCIEEVMSLNDIEEKSLRQRVKIERLRIGDGNNAFFHSSIKAKQNAKCLNYLQKYDGSIITTHHEIEKEVLEFYSQLMGKQDNNLKHIDIKAMRIENQLNMEQRENLIRSVSKQEIIKALKCMGDLKAPGIDGYGARLFKSSWPIIKEDVIAAMK >CAK8566573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:437469442:437469678:1 gene:gene-LATHSAT_LOCUS19694 transcript:rna-LATHSAT_LOCUS19694 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRPKKKNVLSPLVRTLELEKGTKSCSTSRSIRSSKHEGQDEGEGEKGDSTIPEFETTGQQGKVNNEEDQPKKL >CAK8531531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121630917:121631966:1 gene:gene-LATHSAT_LOCUS1315 transcript:rna-LATHSAT_LOCUS1315 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYISIVVLIASLIASSTANSEILLSDVNLLEFPLNLEYLEAEFFLFGSTGHGLDKIAPELAEGGPPPIGAKLAKFNDTVIRDIIYQFALQEVGHLRAIKNTVIGFPRPLLDLSQSSFAKVIDKAFGRPLRPSFDPYANDINYLIASYLIPYVGLTGYVGANPLLQNASSRQLVAGLLGVESGQDAVIRGLLYERHAWKVMPYGVTVAEFTDRISKLRNILGNEGVKDEGLDDTFSSGSILAGDKYSLAYSRTPKEILRIVYGSGNETVPGGFYPKGGNGHIARSYLK >CAK8533795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660810550:660813500:-1 gene:gene-LATHSAT_LOCUS3392 transcript:rna-LATHSAT_LOCUS3392 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTPLKQLKLSVPAQETPITSFLTASGTFHDGDLLLNQKGMRLISEEKESRPSDAKDLEFDFTLDDLETVKVIGKGSGGVVQLVRHKWVGKLFALKVIQMNIQEDIRKQIVQELKINQASQCPHVVVCYHSFYHNGIISLVLEYMDRGSLVDVIRQVNTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYGYSCDIWSLGMVVLECAIGRFPYIQSEDQQAWPSFYELLQAIVESPPPSAPPDQFSPEFCSFVSSCIKKDPRERSTSLELLDHPFIKKFEDKDLDLGILVGSLEPPVNFAR >CAK8532337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247973681:247974618:-1 gene:gene-LATHSAT_LOCUS2055 transcript:rna-LATHSAT_LOCUS2055 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQKQSQPSQTSKRLKLSQSSQKKSQPAQASKNLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVIANCYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFHSPAATSWMIGFAGRLQHWQQLTPILPTHFEL >CAK8566667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447194363:447194776:1 gene:gene-LATHSAT_LOCUS19782 transcript:rna-LATHSAT_LOCUS19782 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETVVHNGGCHCKSVRWKVIAPSSVVVWDCNCSNCYMRANTHFIVPAHKFELLGDSAEFLTTYTFGTHTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDAGTLTKVEIRNFDGKNWEQSYNETGISSCSKVQK >CAK8578313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619576676:619577625:-1 gene:gene-LATHSAT_LOCUS30335 transcript:rna-LATHSAT_LOCUS30335 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVFDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHCQPPTQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPP >CAK8573639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626860184:626860991:1 gene:gene-LATHSAT_LOCUS26067 transcript:rna-LATHSAT_LOCUS26067-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMTNISSRSRVNQFTNIPSVYIPSFRRNVSLKVRSMAEKEQPKVPVDPTTPIAPTPTPQPAYTPPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVSAMGVEIARGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFLQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8573638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626860157:626860991:1 gene:gene-LATHSAT_LOCUS26067 transcript:rna-LATHSAT_LOCUS26067 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRRNVSLKVRSMAEKEQPKVPVDPTTPIAPTPTPQPAYTPPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVSAMGVEIARGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFLQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8571592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:445144474:445147129:-1 gene:gene-LATHSAT_LOCUS24237 transcript:rna-LATHSAT_LOCUS24237 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFMDSRDSNSSKEDERCLDPQLWHACAGGMVQMPAVNSKVYYFPQGHAEHACGPVNFMNCPKVEPLIPCKVFSVKFLADPETDEVYAKVKLVPLSGNGNGVDYDNDVAGVENQDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPLQNILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLLAGDSIVFLRAENGDLCVGIRRAKKGIGGGHEDPSGWNPVGEKFPLPWFAQNENQIMRNINNNNGLNSSANVTGREKVRPEAVLEAVTLAANKQPFEVVYYPRASTPEFCVKAHLVEAAMQIRWCSGIRFKMPFETEDSSRISWFMGIVSGVQFVDPLLWPNSPWRLLQVKWDEPDLLQNVRRVSPWLVELVASVPSINLSPFSQPRKKLRMSPHSDFPLDGQIPMPTFSSNLLGTSNLFRCLPENTPAGMQGARHAHYGLPISDLHLNKVQSGLFPAGFVPFDHAAKLPNVSNNLMMQKSSMSENVSCLLSMASSTQSSRKFDDEKKPQLVLFGQKILTEQQISNDRSSDGNADKVSDFSDGSGTPLHQQNLRKRSSCETEASFESTDHCKVFLESEDVGRSIDLSLLESYDELHRKLADMFGIEKYEKVSRVLYRDISGTVKHIGDEPFSNFTRTARRLTILMDSNQ >CAK8540624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12635264:12637273:-1 gene:gene-LATHSAT_LOCUS9610 transcript:rna-LATHSAT_LOCUS9610 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKEEYIEEPVSPIGQYFNSSSLCLYIIAVLEFEVAIDDLQALALLKDVFLPISPRFSSIMVQEKNEDKRWKKVDVNLKDHMKTPIFSKGKSLEFYDKSFHDYLSTIAIEPLPQGKPLWEIHIVNYPTKTSLGNIIFKLHHALGDGYSLMGALLSCLQRADDPSLPLSFPSLKPSKSDASNLSFWRKMSWTISSIFNTASDFGWSVLKSSFIDDDKTPIRSGNEGADFQPISITCIAFSVDHIKDIKSKLGVTMNDVVTGIIFYGTRLYMQEIDSKSNTSNSTALVLMNTRNIEGYQSIEDMLNTKKSKGPWGNRISFLHVPIPKLNETRISNPLEFIHDTHNIINRKKQSLGLALTGTLLDIEGKFRGQEAVAKHIRKTITMSSAVVTNLVGPVQQMSLDKHPIKGLYFTLAGGPESLVISIMSYMGMLRVTLKTERDFIDEQRLKSCMQSAFEMIFKATMEIDFETN >CAK8542225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491277424:491279816:-1 gene:gene-LATHSAT_LOCUS11081 transcript:rna-LATHSAT_LOCUS11081 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIAKDVSDHTLKSLNSEVLRLREVKAQRMLKLQRFATSLLEMWSLMDTPLEEQQTFHNMTGQIAAMESEFLEPGILSSDNIIYVEREVRRLEQLKSTKMKELVQRKKLELEQICKSTHLTTQTVFPSGHRIESFDSESANHEHLLDQIDYQISKTKEEALSRKEILDKVEKWLAAIREESWLEEYNRDDNRYNAGRGAHLALKRAEKARVLLSKIPGMIEALILKVASWEKERGFEFSYDGIRLLSMLEDYNTLRQEKENERQRQKDQKRLNGQWMAEYETLFGSKPSQCKNGIKTPKCSSVPNTRKSSVNRPMLQDLRQATLIQQSNKKGKSVSKVFGHSTKKIPQSTEKVIGIQSPLSRKPLSPVSSTVLSKANIKNSQDSRKIQNAATKPILQKSEELIGTPPSRPVITGDEDNGSPKNMGLSVPSTPLTVPMQNITTPDSLISKTAAKIVQPFEYSFEELRAGFVIHLNSCSMTQ >CAK8542226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491277424:491279816:-1 gene:gene-LATHSAT_LOCUS11081 transcript:rna-LATHSAT_LOCUS11081-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIAKDVSDHTLKSLNSEVLRLREVKAQRMLKLQRFATSLLEMWSLMDTPLEEQQTFHNMTGQIAAMESEFLEPGILSSDNIIYVEREVRRLEQLKSTKMKELVQRKKLELEQICKSTHLTTQTVFPSGHRIESFDSESANHEHLLDQIDYQISKTKEEALSRKEILDKVEKWLAAIREESWLEEYNRDDNRYNAGRGAHLALKRAEKARVLLSKIPGMIEALILKVASWEKERGFEFSYDGIRLLSMLEDYNTLRQEKENERQRQKDQKRLNGQWMAEYETLFGSKPSQCKNGIKTPKCSSVPNTRKSSVNRPMLQDLRQATLIQQSNKKGDNLNALSIATYAGKSVSKVFGHSTKKIPQSTEKVIGIQSPLSRKPLSPVSSTVLSKANIKNSQDSRKIQNAATKPILQKSEELIGTPPSRPVITGDEDNGSPKNMGLSVPSTPLTVPMQNITTPDSLISKTAAKIVQPFEYSFEELRAGFVIHLNSCSMTQ >CAK8533974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:677486238:677487236:1 gene:gene-LATHSAT_LOCUS3555 transcript:rna-LATHSAT_LOCUS3555 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSALGGSLCNGLNFPYQVMLGRYVAIKIIKIKEKKLEDEDEEEDEDEDEVEDEKKIEDEDEDEEEEEEEGDDDDDDDDDEIPNNLTKVTYTVNNRSRCELEFRISDNLKTTSFTLGDTNKTSVLKIHFIIRKVESSYLEVARFAPKFDHLRKDRHFDLFLFESTCDSSMSNKECRGFTETSFLTYETERIQGGLVVVERKKKSKDKKPFMVTISHYYYVRELHIKNLTIDIGLSIIVKVESLKNGGLYCTMDGPTQHPPAALLYMIDQVAKTGIWSPTTCPHCATLEEQQSSRAKGSFSRRGINNDGTFKGNGSGNMIQGDFNQFTIYRK >CAK8577235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546270910:546272058:-1 gene:gene-LATHSAT_LOCUS29360 transcript:rna-LATHSAT_LOCUS29360 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNTLHSFLFLSLLLLLSPHLSLSSPSSIHDLLRSRGLPAGLLPEEVKSYTFSENGRLEVFLESPCLTKYENRVYFERIITANLTYGSLIGVEGLQQEELFVWLPVKDIIVDDPSSGLILFDIGLAYKQLSFSLFEVPPHCKPQGVLKNGVRKEKGFEAVR >CAK8568766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639632332:639632862:-1 gene:gene-LATHSAT_LOCUS21692 transcript:rna-LATHSAT_LOCUS21692 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCAACAMEWSIQLEKGLRSGKPGVPVKAILQMGPHIQRWSRELESGIVPNGMFDLVPGEGELFANTILLRLADAFRGGNTKIRLSVVRVFLIERKHHDNRKHKQCNGLLSMARVANHLELLKWVKFVFNSGDSESKTLALVLFGCWADFANDNAQIRYLILSSLVSPHDCEHP >CAK8576418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473595931:473598067:1 gene:gene-LATHSAT_LOCUS28606 transcript:rna-LATHSAT_LOCUS28606 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCHNNQNNNFKEGLKDKKIRKRNCSSSSSSSLARKYRFKRAILVGKKGGTTTPVPLWKTSTTNSPSMDTTTEQLFHSSASGLPFKDKEKEVSVSARKLAATLWEMNDLIPSRVKREFEVDQMRSCKETSMKSREKAVSLSRSGLLRSLMSDPCNSPTTERMKGFESDGYRRTVSGLSHPHRSGVDAHISSSLIEENCYKNKDGVKSRLKEARSGLSTSKKILKVLNQMCHREKQSSTMALVLALGSELEHVCGQIDKLIQEERSNSNQNDAEYMMRRFAEEKAAWKTREREKVHDAIKNVSEELKVEKKLRKQTERLNKKIAIEMASVKASHLEVSKELEREKRAKEILEQICDELARGIGEDRAHVEELKKESVKVLEEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNDFLEKLRNELEDFMRTRDEENGDVSPESTKFNDLETYFNTICQGIRNAEKLNDSDEDGSDLVSIELNMNNDNRGYDWSYTNEKDAQNDSKRVSTDKDSTGRKSFSERIQWGSICFNKRNSSFKKRDLDINIQEGRNHSDPNTSIEFLSRARIQDDEEGTPSNRSISGANPVQRNDQQLTLQCTNEEAELKILLAFEGANLKQEAEGKKPRCYLKSFDSDS >CAK8538637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489729847:489731951:1 gene:gene-LATHSAT_LOCUS7817 transcript:rna-LATHSAT_LOCUS7817 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGVDKLPSMSTIDRIQRFSSGTCRPRVDNVGSTGNFWLEGRSCSTSNSCQDDDDEEYTAEAFPWKRQTRNFPQDESLNQKASTKARKSMKFKMIDDSFSEYQYSPKFKNKDIPNLTYKFLEGIPRFVKIVEVGPRDGLQNEKNIVPTAVKVELIHRLASSGLSVIEATSFVSPKWVPQLADAKEVMRGVHRLTGVRLPVLTPNLKGFEAAIAAGAKEVAVFASASESFSKSNINCSVEESLARYRAVTRAAKELSVPVRGYISCVVGCPADGPTPPSKVAYVAKELYDMGCFEISLGDTTGVGTPGTVVPMLLAVIAVVPIEKIAVHFHDTYGQSLSNILVSLQMGISILDSSVAGLGGCPYAKGATGNVATEDVVYMLNGIGVKTNIDLDKLMLAGDFINNHLQRPSGSKTSTALNRSTADACFQDI >CAK8563414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601501259:601501750:-1 gene:gene-LATHSAT_LOCUS16830 transcript:rna-LATHSAT_LOCUS16830 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQDKTKDNENARKDMELLCNRKDLELKTLPNGKLLTPKATYSLTPQEAKLVCRWLTELRMSDGYTSNLAWCANSNNRKLNGMKSHDCHVFMERLLPIAFNSLLSKVLNPLTEISHFYRDICALVLRVDDLIKLDQDIPLILCKLEQIFPPDFFNSMQVDLI >CAK8560077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6493591:6494110:1 gene:gene-LATHSAT_LOCUS13790 transcript:rna-LATHSAT_LOCUS13790 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVLQKLHTAFGGSDEERVWWTRGAEMWKDLQNNSSVLRVDDFRGLRIKKEH >CAK8537165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:120183976:120184941:-1 gene:gene-LATHSAT_LOCUS6475 transcript:rna-LATHSAT_LOCUS6475 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGVASIIAGCSTHPLDLIKVRMQLQGENAPKPNPVQNLRPALAFGQTGTTSIHVGPTPVIQPRVGPISVGVRLVQQEGVRALFSGVSATVLRQTLYSTTRMGLYDILKNKWSDREAGTMPLLRKIEAGLIAGGVGAAIGNPADVAMVRMQADGRLPASQRRNYKSVVDAITRMAKQEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKEIILEKRVMKDGLGTHVTASFAAGFVAAVVTNPVDVIKTRVMNMRVEPGKEPPYAGALDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >CAK8542786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546564025:546565168:1 gene:gene-LATHSAT_LOCUS11593 transcript:rna-LATHSAT_LOCUS11593 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFWTVCLILASLVSSSFCALPRKPVDVPFGRNYYPTWAFDHIKYFNGGSEIQLHLDKSTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGQGNKEQRIYLWFDPTKEFHRYSILWNMYQIVFYVDDVPIRVFKNSKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCEASVNSKFCGTQGKRWWDQAEFRDLDAAQWRSLKWVRDKYTIYNYCTDRKRLPQIPSECKRDGDI >CAK8567167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492057780:492062798:1 gene:gene-LATHSAT_LOCUS20242 transcript:rna-LATHSAT_LOCUS20242 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSENNREDSERTAFRRTEKKYKLYYDNNASSKNKKNKQPKPVDLTEVLDFRSILECHHRNVELPQDVFVLQDKFSSPVFSLQNHPGFYFIPGALSIEKQCDLIRESLTEFPQPPNRTNHNAIYGPIHNLFVAAKEGKVLVEEKSPVTLHECSSDLDCRDGEEWKFLTEKEASLRKCRSVSGSSLLRKLRWSTLGLQFDWSNRNYNVSLQHNKIPEALCELAKQLAKPALPAGVEFQPEAAIVNYFASGDTLGGHLDDMEADWSKPIVSLSLGCKAIFLLGGKSREDAPLAMFLRSGDVVLMAGEARECFHGVPRIFTDKENAEIGHLETQLTQEDDLCFLNYIQTSRININIRQVF >CAK8544400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682366005:682384406:1 gene:gene-LATHSAT_LOCUS13086 transcript:rna-LATHSAT_LOCUS13086 gene_biotype:protein_coding transcript_biotype:protein_coding METPPLDDKARKMRDLLSSFYSPHPSMSPNSPNTISKYTSSDDINSSSFQPDHYMNLMAHEMNLEGLLHKHVEMVTQIKNLDTDLQMLVYENYNKFICATDSIKRMKSNILGMEGNMEQLLDKIMFVQSRSDNVNTSLTESREHIEKLNKTCNLLRKIQFIYDLPDRLSKCIKAEAYADAVRFYTGAMPILKAYGDSSFHDCKQASDEAISIIEEKLQEKLLLDSETTQVRAEAAILLKQLNHPVDNLKEKLLEKLENLLIDIQLIPEVVKTASSCSHEVAFQEFVEAIHAFQVIFPDSETQLVKLARDLLNKNFLIIEEYVKTRICVEDLLGVLRLVWNDVLKMDEVLQESSLYDHSLEAAKVSVKLYVNAAFSYLMQDISDSLLNILKRDGVEDHSLEAALDASTKILLQGGRKFLHDVRKILDDELLILDKLREWISDWVQEGFQDFFKQLQLKFQFFSGRNDLAHIQNHSFVEGSQSDKAFPGFVLVLAQLYAFVDQTAIPQINKELAASFSVGGYEHGLCFVSRETSRKFRSVSESFLHMYVKMRTQRISLLLKKRFTTPNWIKHKEPREVHMFVDLFLQELKDMGNEVKDILPHGTQKHHRVESNGSSNLSLSRSNSIPDDILSRSNIQKGRSQQLFETHLAKLFKQKVEIFTKVEYTQESVIVTMVKLCLKSLQEFVRLQTFNRSGYQQIQLDIQFLRTPLKEIVEDEATMDFLLDEVIVAAAERCLDPAPLEPPILDKLIRAKIIKN >CAK8544401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682366005:682370359:1 gene:gene-LATHSAT_LOCUS13086 transcript:rna-LATHSAT_LOCUS13086-2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPLDDKARKMRDLLSSFYSPHPSMSPNSPNTISKYTSSDDINSSSFQPDHYMNLMAHEMNLEGLLHKHVEMVTQIKNLDTDLQMLVYENYNKFICATDSIKRMKSNILGMEGNMEQLLDKIMFVQSRSDNVNTSLTESREHIEKLNKTCNLLRKIQFIYDLPDRLSKCIKAEAYADAVRFYTGAMPILKAYGDSSFHDCKQASDEAISIIEEKLQEKLLLDSETTQVRAEAAILLKQLNHPVDNLKEKLLEKLENLLIDIQLIPEVVKTASSCSHEVAFQEFVEAIHAFQVIFPDSETQLVKLARDLLNKNFLIIEEYVKTRICVEDLLGVLRLVWNDVLKMDEVLQESSLYDHSLEAAKVSVKLYVNAAFSYLMQDISDSLLNILKRDGVEDHSLEAALDASTKILLQGGRKFLHDVRKILDDELLILDKLREWISDWVQEGFQDFFKQLQLKFQFFSGRNDLAHIQNHSFVEGSQSDKAFPGFVLVLAQLYAFVDQTAIPQINKELAASFSVGGYEHGLCFVSRETSRKFRSVSESFLHMYVKMRTQRISLLLKKRFTTPNWIKHKEPREVHMFVDLFLQELKDMGNEVKDILPHGTQKHHRVESNGSSNLSLSRSNSIPDDILSRSNIQKGRSQQLFETHLAKLFKQKVEIFTKVEYTQESVIVTMVKLCLKSLQEFVRLQTFNRSGYQQIQLDIQFLRTPLKEIVEDEATMDFLLDEVGK >CAK8579136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679042936:679045910:-1 gene:gene-LATHSAT_LOCUS31104 transcript:rna-LATHSAT_LOCUS31104 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNNLKAPSWDLTEMEQGTLNNIETMDGSRSFGFGDYTTKGEFSVDLKLGQVGNSSSESSKSKDVVVGVGVGVGVGGVSKMSASSSSTTSGSSKRARAINNGTHVVSCLVDGCQADLSNCRDYHRRHKVCELHSKTAQVTIGGHKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQAEAISRSSSFLSNYQGTQLLPFSSSHIYPSTAVMNPTWGGTDVRLHSQHQQQLHHLVQKQDLFLGTTSPTTNNTYKEGKQVSSSSSSFIHHHHATNHQNHQHLSSSSMINPSFDRTNPFSERCKIFGDNSNNNNNNTTCALSLLSSPPLPVVSQTHNHHHHQMVNTTHSSFMQPLGLSLHDHSLGSVDHVLGPNDQSGHCSTIYNMGSNESDSQGNDNDAPPPLYPFQWD >CAK8572141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514325622:514326987:-1 gene:gene-LATHSAT_LOCUS24737 transcript:rna-LATHSAT_LOCUS24737 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMKIQPIDIDSEKMNQMRAVVRNDAVKPVLKSRLKKLFVFDRQFSNVSKTSSKLIAGEVPLTGDRFNEHFEPNSVCLAKMVQSFIEESNDKHAPVSKFARNSCNCFNGNSNDSSDEELDFFGESITSGSVNDSGDALKSLIPCASVAERNLLADTSKIVDKNSKVFKRKNDLRKIVAENLSSLGYDSSICHSKWDKTLSHPAGEYEYIDVIVEGERLIIDIDFRSEFEIARSTGTFKAILQFLPYIFVGKSDRLCQIVAAVSEAAKQSLKKKGMHVPPWRKAEYMLAKWLSASCTRGNPSLNSTVQNLKEDLSDRDSAASESVCGELELIFGEKTSLPDSKTVSGGEKSLLAVVTPTWQLPAVKVKSVERGAKVVTGLASLLKEKS >CAK8575458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:125206556:125210849:-1 gene:gene-LATHSAT_LOCUS27719 transcript:rna-LATHSAT_LOCUS27719 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSEDLHSYNSGGDKNGDKNGDKNGFKDSNLNRSFSSTGQRSFYYKQENVRKGLISSSSSRYERDRTVEEDREGPRLVRKRSDHDFDGFDRRKGFDRYSRDGGGYGGGGGSGDRNSIHRSESFSGPRREFPKGFRSERDRSRREGSVSSWRRGLKDFDESSRGSNSRVEERVVRSPKGGFPKEVKSPSWSKDSGSEQSKKRSSSPLVFRDAKSVSKSPSGSKDSESTQSKSVSVEVKRAEELSQQVQSGSGSEMEEGELEPEPVPKSEPKPASKDAAAGSEIQQTSEDKQVHQQIEGRLTDADVVMEEKQMLSSEKEANTMEDVDSEVKDAEKEVHELPKTQDVSIKETPFTENVIGTVDKDNDKKDTSLNVDDTLKEELEKKTNKEKALLNEEEHEADKGVCSGDKSESNAEGSTVNAVAEVKRETMESVTNNAKDKGKSVSVTPDVAHSSEDSLWVDRGSKDLTTCPVDAMEGPSTRGFELFSRSPVRKVEKSNRLVLKEENDDSLALGELDLTLSLPNVLLPIGAQETTTQAPGSPSQARSVQSLSNTFCTNSDGFTASMSFSGSQSLYHNPSCSLTRNSVDYEQSVGKSVGSRPLFQGFDWQALSQGDPKPKEIPSGQRTSMNGNGSLYQPQASWGILDTQALKGQHSRALEGSSKMGSGLERQLSFHKQLSGQSRRHDDVRSPTQSVGSHDNGSNYSFEKKREVKERSSSGSLHRTTSQKGQEQFPMGGLDFVKTIIARIVSEPVLPMSRKFNEMNAQYITRLKEGIRELMLSSDNHGQILAFQKVLMNRSDITLDVLVKCHRVQLEILVALKTGLTHYLHLDDNNISSSDLAQVFLSLKCRNVTCQSQLPVDECDCKVCVQKNGFCRECMCLVCSKFDNASNTCSWVGCDVCLHWCHTDCGLRESYIRNGHTTGTKGTTEMQFHCIACDHPSEMFGFVKEVFQSFAKEWSAESLRKELEYVKRIFSASKDIRGRQLHEIADQILPRLTKSNLPEVLRHIMSFLSDCDSSKLTMTTNFSGKEQVKENNGVAGPSQEAAWLKSIYSEKPPLLERPPNILPRFDQNDKRTLVQELQMSSVQKDFGFDELESIVKIKHAEAKMFQSRADDARREAEGLKRIALAKSEKIEEEYTSRLAKLRFTETDELRKQKIEELQALERAHLEYLNMKRRMESEIKDLLSKMEATKMNLAM >CAK8564800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10618186:10619529:-1 gene:gene-LATHSAT_LOCUS18065 transcript:rna-LATHSAT_LOCUS18065 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFTVVIVGGGPSGLAISALLTQNAISHVILEKEDCNVSLWRKNTYDRLNLHLASEFCSLPLMSHPSSSPTYLTKDQFLQYIDKYVDHFGIKPRYYRVVESAKYDGVTNKWIIEAKNTFQGTLEVYGAKFLVIASGENSEGFIPNVPGLEKFEGEVVHSKYYKSGSKYKSKDVLVVGCGNSGMEIAYDLHNWGANTSIVIRNPLHVVTRDMIRIGMWLVQYFPVYIVDTIITLQAKLKYGDLSKYGIYRPKDGPLYIKNVTGKSAVIDVGTIEKIKEGSIKVLPSGIKKIEMKNVIFENNVEKEFDAIVFATGYKSVANGWLKDYQYGLNEKGFPKNPFPKHWKGDYGLYCAGLARKGLFGVKKDAEAIAEDIKQTLKLEN >CAK8572165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516306265:516306858:-1 gene:gene-LATHSAT_LOCUS24758 transcript:rna-LATHSAT_LOCUS24758 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPLNMLVVPFLFLILAGDFPFKAKGSLLPFIDSPNTLLSDLLSDRFPDPFRVLEQIPFGVENNEPSMTLSHARVDWKETPEGHVIMLDVPGLKKDDIKIEVEENRVLRVSGERKKEEEKKEDHWHRVERSYGKFWRQFRLPENVDLDSVKAKMENGVLTLTLNKVSHDKIKGPRVVSIAEEGDKPHKLGNDELR >CAK8543394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604924533:604941828:-1 gene:gene-LATHSAT_LOCUS12152 transcript:rna-LATHSAT_LOCUS12152 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSKRFGSIGAKGVNSPSSSTTSSSKQFQETSNDAPSSPASSSVRSKPQQFYPETVPLEAQKTKENVTVTVRFRPLNPREIRHGEEIAWYADGDTVVRNEYNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDTFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRVREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNLNLLSSRSHTIFTLTIESSPCGEYIEGEAVTLSQLNLIDLAGSESSKAETIGMRRREGSYINKSLLTLGTVISKLTEAKASHIPYRDSKLTRVLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQQEIQCLKEELEQLKKGIVPVQPKDTGDDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKASQSTRFPNRPGHRRRHSFGEEELAYLPYKRRDLILEEENIDLYVNLEGNAATVDDSPKGGKKTKKHGLLNWLKPRKRENALTGTSDKSSGAKSTSTPSTPQADNGNHVESRLSHSLAAESSPSVDHISEAREDKDIHDDSLQGQETPLTSIKSVDRIDLLREQHKILSGEVALHSSALKRLSEETRINPQNSQIHVEMKRLKDEIKEKSEQIDLLEKQMSNYFIASEQTDQSGVSQAVAELMEQLNDKSFELEVKAADNRIIQEQLNQKICECESLQETVASLKQQLVDAIELTNFSPANHSQHFLGTKDYHGELHLDKGNINSTNEGGLLQAQVSEIEELKQKVAQLTESKDQLEVRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLASELAASKNSPTPRRTSGAAQNGRRESQVRLRRNDQGGSNSDVKRELALSKDRELSYEAALLEKDQKEADLQRKVEESKQREAYLENELANMWVLVAKLKKSHGAENDVSGSTKESLQLDGFDI >CAK8566426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422363677:422364056:1 gene:gene-LATHSAT_LOCUS19558 transcript:rna-LATHSAT_LOCUS19558 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVEVKVGLHCDDCIKKILKAIKKIQDIETYNVDTKLNKVIVTGNVTTEQVIRVLHKIGKNATPFQEDAI >CAK8543073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574101932:574103883:-1 gene:gene-LATHSAT_LOCUS11860 transcript:rna-LATHSAT_LOCUS11860 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLISFVSFLMILSKSFTNYKPLSSNGTKLVSCVFCGKLFSFLASRIKTRTSFLAFNLFQFSLFIQSPNKSLVLSKFEEDQRKVSLLDLHDLPLDCILEKLSPNELCNMAKVCKSLRKSCRSDYLWEKHMKMKWGKVFGDAGYREWKCFVASRNMEKSSNQHKNRKKVVRDFLPLFWIKSKAEKDMKLKLDDSVASLYLSLESGNFWFPAQVYNRENGHAGFMLSCYDASLCYDSRSNTFQARYSPHGRWTIEENIKWERLRVPPIDSCSHVLHISDCLDDLRPGDHVEIQWRRNKEFPYGWWYSVIGHLETCQGQGNHCQCDNKDRVILEFRQYTTSSRWRQTMINRRSHREEGNEIEGFYGGIRKLHSKEEITKWKKLWPIKNVE >CAK8571068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275205777:275206442:1 gene:gene-LATHSAT_LOCUS23762 transcript:rna-LATHSAT_LOCUS23762 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQHNNFEFPYATRWSSLGMNYDNCPHFSITQYRNLVDHLGQDDFIWRPYLALEAIHEVDEHDSAVWSAKVPIINFTAMEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYSPWTKYAKHECREWKHRHHFVLSDTMLPHKRKQSIQYMN >CAK8575202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:51921093:51926901:-1 gene:gene-LATHSAT_LOCUS27486 transcript:rna-LATHSAT_LOCUS27486 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRASTGAGYRFSASSTPSNSSGGSDPDDNESQGEVFIWGEVFADGVPSKTDVLIPKLLESHVVLDVRNIVSGVSHLAILNKKGEVFTWGEESGGRLGHGIDKGFSKPRLVENLEDVTLDIVACGEFHTCAVSKSAEFFTWGDGTHNVGLLGHGNEASHWIPKSVDGFLDGLQVVSVACGTWHSALATSNGKLFTFGDGTFGVLGHGDQESVSYPKEVQLLGGLRTIKVACGVWHTAAIVEVIFQSGSNVSSWKLFTWGDGDKHCLGHGNKETYLQPRRVSPLIEYNFQQIACGHTMTVALTTSGHVFTMGSTENGQLGNPNSAGKVPTLVQDKLLGEFIEEISCGAHHVAVLTSRSEVYTWGKGSNGRLGHGDTDERKSPTFVVALKDRHIKNISCGSNFTACICIRKSVTGTDQPVCFGCKQAFGLTRKRHNCYNCGLVFCHTCSSKKSFKAALAPTLEKPYRVCDICYTKLKVVDANDPSKVNGKATPSHCPTNGRERLDQGILRSTRTLFSSVTEPMKYLEIRNNKPGTEYGSTSLIRASLVPSLLQLKDIAFPSMLSSIQSVLKPSTHPSSSQSSTSPYTCRSSSPPPYVNQRFSGALINSLSRTSDVLNQQISNLQSQIRGLEEISETKDVEIQKLQRKTTEVIAWVAVESSCHREAKEFIESTKHQLKEITEKLPAEIPESNILSTIQTRAEGLLKEFFESEMSELESKQQSARDISAAVNDDTSKLENIRLVENADVREVDSCIDESKLESLQQNAHDMSAADNDSSKLENIRLVENSDIVEVDSRLDESKLECLQQNALDISTTHSCNFELQDHRIEENANVVEVDSCLDESELESLQQNAHDVSAADNDSSKLENIRLVEHADVVEVDSRLDESKLECLQQNAPDISTTHSCNFELQDHRIEENANVVEVDSCLDESELESLQQNAHDVSAADNDSSKLENIRLLEHADVVEVDSCPYDITTSDSDTSKLQEHVHEKIDKTARRDQSETGTNVCHESNGLSISSVGEVMSPRRSENGSISGDSSRDLSLQETQVFEKFESGVYVLLRLRADGIKKFKRVKFSKRRFTESQAEEWWSQNKVRVLRKYCPIHQNQKGSCKITPNVKENIESSLS >CAK8533392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612067355:612068146:-1 gene:gene-LATHSAT_LOCUS3022 transcript:rna-LATHSAT_LOCUS3022 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRLQFPSRVFDLIHCVQCRVPWHEEGGMLLLELNRVLRPGGYFVWSATPVYQTLEEDVETWKAMKALTKSMCWDLVTIKNDTLNQVGVAFFRKTSSNECYEKREQSEPPMCKDDDDPNASWYVPLQACMHKLPVEQTERGAKWPEVWPQRLQKAPYWLNDAEGEKLSTQKFCSR >CAK8566727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456216736:456221549:1 gene:gene-LATHSAT_LOCUS19839 transcript:rna-LATHSAT_LOCUS19839 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEMGVAESDGASLPSIGADAMKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLVIHYALHRRMQINRPFLAGPEDIRRFHADDYVDFLSSVSPEILSENSHSHYRQLKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVRLNRGDADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVVYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDTGVGSGKDYAVNVPLNDGMDDENFRALFRPIMQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPSNKLPYNEYYEYFGPDYTLHVEPNNMENLNTPKDMEKIRNMLLEQISRLPHAPSAPFQTTPPIIQVPEEEDEDMDIRPKPRIWNGDDYDSEDDDEYEDEKASIRSSNLSARMRRSAVDMEEDKPEVKPDVHSSSSS >CAK8536806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22403306:22404854:1 gene:gene-LATHSAT_LOCUS6138 transcript:rna-LATHSAT_LOCUS6138 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYHLFLFIILVVIIPTLVQANVKEQDSYWDNLLPFINDTYWREKAAKAEETNNKVYTPNPYTVTENLTSGVSEMIIGENSRKRSLVEKTKLRRGQPCIATNPIDRCWRCDPNWAKNRKKLADCAQGFGRKTTGGKAGRIYVVTDSSDNDMVNPRPGTLRYAVTRNRPLWIIFASSMVIRLNQELIMTSDKTIDGRGHNVIIARGAGFTIQFINNVIIHGIKIFDISPGNGGLIRDAENHFGQRTRSDGDGISIFGSSNIWIDHVSMRNCKDGLIDIIMGSTGITISNCHFTDHNEVMLFGATDSYDGDKKMQVTLAFNHFGKRLIQRMPRCRYGFIHVLNNDYTHWEMYAIGGTQHPTIISEGNRFIAPNNPNAKERYASTHKSCLGI >CAK8568367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599054786:599056113:-1 gene:gene-LATHSAT_LOCUS21329 transcript:rna-LATHSAT_LOCUS21329 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKDEEQQQHQFGVSEEEHVELLIQKEISFGFKKDGVLVFDESLKRARFNAINWIFKITDALDFHFQTAYLSVTYFDQFLTKRYIDAEKNWAIRLLSIACLSLAAKMEECNVPELSKFQLEDCYFFQGKVVQKMELLVLTTLDWNMSIITPFSFLSYFVKKFGNDSTSSLIVSDTMQRIFTVIMEGFNLMDHKPSVVAAAATLLALDQTLTVEDVRLKMNSILQIEPNDVFDCYNLIQRLYEEKMKREEYLYTPNSSVIRPRTIDYAVSMTKRRRLSFIDDEDGGDKKGPHQEDPKT >CAK8563944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640870275:640870724:-1 gene:gene-LATHSAT_LOCUS17298 transcript:rna-LATHSAT_LOCUS17298 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVRTAVKVSGDHKTSPSSLDLNGGIGVTPPYNSSYVLATRSSRKVLSYWTCSQLCATFFVGGVVFGYTLRGCVGRCVKRWASKILKKLS >CAK8543268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594171501:594173783:-1 gene:gene-LATHSAT_LOCUS12037 transcript:rna-LATHSAT_LOCUS12037 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLECFLRLTLLLCVSFGFLLHPAVTAVIPLGSKLSVVDNNCWVSTNGDFAFGFFNITNEPNQFSVGIRFNSKSIPYSQQTLVWIAGGHVKVSNVSYFQLTPQGELVLFDSLHGVTAWTSGTGNRSVVSAVLHDNGNLVLIDAKQKVIWQSFDNPSDTLLPGQRLSVSKYLRASSKDLETSYYSLYLNASGRLQLRWESNIVYWTSESHSSTENLTASITSDGSFQLQDQHSKAVWSVFGEDHNDSVSYRFLRLDFDGNLRLYSWMEDSQSWGSVWQAVDNQCKVFATCGERGVCVFNASGSAECRCPFKTIETNKCLVPNKQDCASGTEMKVYKNIYLYGIYPPDDSIVTSSLQQCEELCQNDSQCTVATFSNIGSPQCSIKKTKYITGYEDSSLTSVSFVRSCADPFAVNLSFTMSPPSEPSPHRLCVSCLVGAASGTFVIVGFVQLGIVFFICRRKGSTMRRVTLAFTVPNSKGLMVLSFAEIKSVTGDLKNQVGPNMFKGVLPSNRVIAIKDLNASIDERKFRSAVLKIGNIHHKNLMKLEGYCCELNHRYLVYEYTKNGSLDKYLDDCTLCKKLTWRKRVEICSSVAKAICYLHSGCREFISHGNLKCENVMLDENSAAKVSDYGFAIVDGEATYCGFSAEKDVADFGKLVLTLLTGCRDHEQVSEWAYKEWLEGRATNVVDKRIDGGANSDELERALRIAFWCVQLDEHKRPSMEEVVRVLDGTLNVDPPPPPFHLAFDPQENGSESEIVSVV >CAK8572808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564553598:564564761:-1 gene:gene-LATHSAT_LOCUS25330 transcript:rna-LATHSAT_LOCUS25330 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRGSSSSKRSLSSPSPSNAKRSKVSEDASSNPLPSVPVKESVTGNESGEPEIQRSDLSETASLKVVDGDNNKPPSVPIETDPLVSSQCPGETGEKAKAVQPLVSCRKKRTVAKPIHKAAAWGKLISQCSQTPHLSISDPIFTVGQGRQSNLTLKDPTIGNVLCKLSHIEQHGGSSVALLEITGGKGVVQVNGKTYRRNARLILNGGDEVIFGASGKHAYIFQQLKSNNVSTAGIPPVGILEAHGASMNGMQVEARSGDPSDVAGASILASLSNIPKDLSLVSPPAKTCKKQNSDISSLPSGNGDNVPDNERKDATNNDESTGVISSGKAVLASSTNVNENPNLDAMDVDANADTNVGKMPATNYELRPLLSMLAGGSGTEFDLSGSIHKILEDRKELGELLKGADTTTLLASTRRQAFKDSLEQRILNAEDIDVSFEAFPYYLSDTTKNVLIASTYIHLKCNGFGKYASDLPSVSPRILLSGPSGSEIYQETLSKALAKHFGARLLIVDSLSLPGGTPSKEADSAKESSKPERPSVLSKRSSQAPTLHHRKPTSSVDAEIIGGSTLSSQAMLKQEVSTASSKGIALKKGDRVKFVGNFPPTVSSLQNYSSSGRGPSYGFRGKVVLAFEDNDSSKIGVRFDKSIPDGNDLGGHIEDDHGFFCSASHLQRIESSGGDDDKVAINEIFEVASNQCKTGALVLFIKDIEKAMVGNNDVLKSKFETLPQNIVVIGSNTQLDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDNFSKLHDRSKESSKVTKQLNRLFPNKVTIQLPQDEALLSDWKQQLDRDIETMKAHSNVVMLRSVLKRIGWDCSDLDTICIKDQTMTTENVEKIIGWAISYHFMHSFEASTKEVKRVISAESIKYGLDILQGVQNENKSVKKSLKDVVTDNEFEKKLLGDVIPPTEIGVTFEDIGALENVKDTLKELVMLPLKRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERILVLAATNRPFDLDEAVIRRLPRRLMVDLPDAPNRGKILSVILAKEDLAPDVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERSLALSENKPEPELCSSADIRPLKMEDFRYAHEQVCASVSSESTNMNELQQWNDLYGEGGSRKTRSLSYFM >CAK8579221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684945427:684958999:-1 gene:gene-LATHSAT_LOCUS31185 transcript:rna-LATHSAT_LOCUS31185 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSSLDRLEAETFTNFHGNSSCLVGDRVNSTEIDKTKQKSLFCQFFPVYLKENCSRGNARPVPVMLGDGQLLDLYHLFSLVKKHGGHDGVSRKGLWDSVIVELGLDLHVLALVKLVYEKYLSGFEGWLSNAFNGDAASCVELSTEAFHSRKHEGESSSEMVTWTSHIAKHLFDPAVKLLPEPSKWKERDIHLVREHAEPNRGSYSQKVKMHPAMHEDPVALNHQGTKKLRYSKRLRSPGNRNKFLLDKNTAKPGVAGKKKFMSSLGGFHETCVPIGSHFQVKVPEWTGVASKSDPKWLGTQVWPVKDDSKPTTETDLVGRGRRGKCSCNVQGSVDCVRFHIAGNRMKLKHELGSLFYQWGFDRMGEGVSLQWTAEEEKKFKDSMRLNISAENKAFWIDFWNNTSADFLMKTREDLVNYYFNVYLIQQRSYQNRVTPKTVDSDDDEVEFGSFGDGFGRKAIKHRYMEFIECSKNTQCFDFE >CAK8567362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508587036:508588206:1 gene:gene-LATHSAT_LOCUS20424 transcript:rna-LATHSAT_LOCUS20424 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIDSFASGFGEAVGKLFNSPFEFLSGKSCSSVCGPTWDLFCYIENFCVANLLKLGVVFVLLYIVLLFFYVLHKLGVFKCLCYSACKITWACCSTCFHIWEYSCTFVCVKLHNVKRKRRRRRVRMRMNQKVYSTSEEDCVDKSLSYDFPTSEISRSFSRRRRDYKGSHLRKSLKPKRGHAQVEISRDLSYNKNRRNHSIRDHSYTSNADVKHTGHEIKVTQTSKFARKGLSNRKKVVRRQRK >CAK8563267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588207273:588208172:1 gene:gene-LATHSAT_LOCUS16695 transcript:rna-LATHSAT_LOCUS16695 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPRIKLRSLCIGHVATPRVRGCSSSFGSKFANRTEFSSNGVHDSGRDDKGDESGNKVMVVVDSSFEAKGALDWALSHTIQSQDTVVLVHVAKPIGEDGESDVKFNVKAYQLLLDMKKICETKKPGVQVNIVLLEGEDKGGAIVQEAKQQRMSLLVVGQRKRSILWCLMRKWTRKRTKGGVAEYCIQNSPCMTIAVRRKNKKLGGYLITTKRHKNFWLLA >CAK8565785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:332446868:332447525:1 gene:gene-LATHSAT_LOCUS18967 transcript:rna-LATHSAT_LOCUS18967 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKPSSGSIFEWKKMDSNVEEKPPKINIHIPNLDMTNSCKKQSQPPLSPTLQQPEVRKSSSGRWNCLCSPTTHVGSFRCRHHRSGSGGMFRGRSVGSNLVELGNKAGPISDSLHAQ >CAK8565784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:332446868:332447525:1 gene:gene-LATHSAT_LOCUS18967 transcript:rna-LATHSAT_LOCUS18967-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKPSGSIFEWKKMDSNVEEKPPKINIHIPNLDMTNSCKKQSQPPLSPTLQQPEVRKSSSGRWNCLCSPTTHVGSFRCRHHRSGSGGMFRGRSVGSNLVELGNKAGPISDSLHAQ >CAK8531282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:96300060:96301804:-1 gene:gene-LATHSAT_LOCUS1085 transcript:rna-LATHSAT_LOCUS1085 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGISPGTVPVYHSTDMKVFDRKVRITELVLRCVILGLGVLAVVLIVTDSQVRVFFTLEKKAKFTDMKALVFLVVANGLAAGYSLIQGLRCVVSMIKGSVLFNKPLAWAIFSGDQVMAYITVSAVAAAAQSAVFAKMGQPELQWMKICNMYGKFCNQVGEGLASAFLVSLSMVILSCISAFSLFRLYGGSKTKNAYW >CAK8572512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542434584:542436437:1 gene:gene-LATHSAT_LOCUS25071 transcript:rna-LATHSAT_LOCUS25071 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKKPSKGRSFLTFFDWNGKSQKKLFVDVANQAKENIEISPLPMSQIKMDENVESPSSIACSDFSFALSITSDDSQECETSSNSPGLVARLMGLDSLPPVSTLSHEIALHSLKDYCHVDSINMVLKSNRMSLVGPLSPSLRAPELRDKSERESGFNVNGKPTERRRNLYKAASTYKDSRDSGNSSSPHGKGKSVSLTTTQSKTNVLSKDTLNLKGNRTCVKEKEIKSNRKNCIGRNSDVLRPNGLTSKGKSTTKVRDNRPRRTCSSESSTGVRKTANKCAVSSNIESKRSSTRVADKQKEFSVSKRKNSSSPKKRCDQNDNAVKSYERKSVKCNVTTDERICNYSFSMNESKDVISFTFTSPLRRNMPESRSTTEQAMETMTGIDVDALSVMLSHINPPQCTFEVEDCSVGFKSISEDGFNSVMELEYVKDILSSAELMTEELAVDETENMIMPTLFDTLENQRTEVESYEEYSKLQRKAIFDCVSECLGLKCRQVFVAKCKTWPRWVTFMRRRWLTEEVYKEILELRSMEEEVVVDEIVRKDMCTPMGRWLDFDIEVFENGLEIELGIVTFLIDELVSDLWLV >CAK8569611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6814016:6822314:-1 gene:gene-LATHSAT_LOCUS22444 transcript:rna-LATHSAT_LOCUS22444 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSTSDTRFHANAATPLQISKDVQGSENPIPLSPQWLLPKPGESKSGIGPMENHAISNSSHGSRSETVKTSGDGEDAQKRKDVFRPSMFDSESGRRDRWRDEERDTERDTKSSIRKDRWRDGDKDLGDSRKVDRWGENPAPKNFGETRRVPSDTHRWNDLGNREANFDQRRESKWNTRWGPNEKEPEGLREKWSDSGKDGDIHLDKGLSHGKDEKEGDQVRPWRPNFAQSRGRVEPPHSQSTPPNKQASIFSYGRGRGENTPPPVYSPGLGRGGSSLNSTYTGTAFDKVESEHEEPFRYNRTKLLDIFRVTNMGRNRNLVDDFVQVPSLTHDESLEPLALTTPNSEELTVLNGIEKGEIVSSSAPQVAKEGRGSTDFTQTRRMKPGITPLQDKSEDGGSYKVADELSSNRDSSFEGNSSPHPGAAWRAMPAGEHTSALLHNSRDASSDARLRKSDVSSHQPKDPHSSWESKLGFVSESKEVGKWHASSEDPIVKRQLSGVLESEHGTRRVPPTAPEELSLLYKDPKGLIQGPFKGIDIIGWFEAGYFGIDLPVRLESSAVDSPWFSLGDVMPHLRAKARPPPGFSAPQPNELADMTGRQNPTTFGNALTGLSEVEMLKSDSRHRQGSGTGVESRYLESLMSGNRSGPTINNLALSEGFKGFAGNNSGNLGPTGVDNGNNIYLLAKRMELERQMSLPNSYPYWPGQDAASLAPKSDVPEASLHSKLLSSVSDNSRQSQSQNSELLSIIQGLSDRTSASINNGAVGWPNNPIQGGLDLIQNKVDSVHDQNFSQMPFGIQQQRPTLQNQLSLSNLLALAGDNPASSLTAEKLLSSGLAQDPQMMNLLQQQYLLQLHSQAAASAPQLPLLDKILMLKQQQKQEEQQLFLRQQQQQLLSKMLQDQQSSQLFGNSSYGQLQSSLPMGNLRVDPSQLQTPQDAFPMSSQIPIPSVHNELSTDSMNLHLKGSSVRLPHLPHQVFGDTIPQNNWAPTPAEQINESHKKEPPAPYVESSLVHDLNSSKEESHIVQDSVCDYTSKSLKQVPDSALRSDGVAIPAISMPSVHLQHDAPSVDKSSTGSSGIELPPASLAEIDSSTAVHVETHEPKKATEKKSKKQKSNKPQSSDQAKGLLKNTTLQPSKQSEIEIPHFNELGETNGGSHETHLQKTRSKASQIEENAVLETAGQHDVSVTRSITETIFSGDFVAVGSVSTHNAEVPAGRAWKPAPSVKAKSLLEIQLEEQRKAQTETLVTDVAASVNSMSLATPWAGVVSYPDLVKVSSESHEGDNTEYPVNSQTSQNMKSKKSQLHDLLAEEVLKKSNETDAEVPDSTLSLNDIAVQSESINGSNFIEAKDTKRSRKKSAKKGSGVKAPVSAVSAEVPISSSPIEKGKSSRSAQQEKEALPSIPAGPSLGDFVLWKGERDQPIPSPSPAWSSDSGRVPKPTSLRDILKEQEKKASFAVPAIPVPTPQKAQPAQANWNSASSRPISASSPSKAGSPLLINSHASNQSKYKGDDDLFWGPIEQSKQETKQTDFPQLASQGSRGSKNVPLKGTSPGLLTRQKSASGKPTERPLSSSPASSQSVLKLKKDAMTKHSEAMGFKDWCENECVRLIGTKDTSFLEFCLKQSRSEAEMLLKENLGSFDPDHQFIDKFLNYKEMLPSDVLDIAFQSKHGKKVNGLGAAPMASTNADIQDVDQTEGSSKGGGKKKGKKGKKVSPLVLGFNVVSNRIMMGEIQTVED >CAK8542513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521692594:521694018:1 gene:gene-LATHSAT_LOCUS11350 transcript:rna-LATHSAT_LOCUS11350 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEVETVDEDDDVLIPPPNFSMVEDCIYRSSLPHPSNFPFLQTLNLRSIIYLCPEPYPEENLEFLKAQNIRLFQFGIEGKTEVSLPILRDSIMEALKILLDVRNHPVLIHCKRGKHRTGCVVGCFRKMQNWCLSSVFEEYQRYAGAKSRTADLTFIEMFDIITLRQCVYTIIYQYQGASQKRRLMYQGEPTQKPPRLTSF >CAK8578080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605453653:605458467:1 gene:gene-LATHSAT_LOCUS30122 transcript:rna-LATHSAT_LOCUS30122 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERDHRQLQLDENDDNEWRLYEAYNELHALAQELHTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYGPHCESPSCHLLSDDDPSLSHQMSLPQIQAYIEAENARLERDSCSQFSAKEIIIKVEYKYCPNLTIIDTPGLVAPAPGRKNRALQVQARAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTVIVSTKLDTRIPQFARPSDVEVFLSPPTSTLDGCILGDSPFFTSVPSGRVGSGSHCLYSSNDDFKQAVSFREIEDVASLEEKLGRPLSKQERSRIGVSKLRLFLEEILQKRYINNVPLIIPLLEKEHRSVTRKLSDIKQELSTLDEAKLKEKGRAFHDMFLTKLSLLLKGTVVAPPDKFGETLPDERINGGAFVGADGVQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKAHDTFEPFLHQLGSRLLHILKRLLPISFYLLQKDCEYLSGHQVFLRRVASAFDNFAESTEKSCREKCMEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTEHSNDPTATALSQPNVQDKEDTKPQLEVKLSHVASGTDSSTSSQTAETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPARLREDLESAFEDDLENVFDITNLQLSLGQQKGDMEIELKRINRLKDKFRMIHEQLIQRQAV >CAK8564882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14897798:14898748:-1 gene:gene-LATHSAT_LOCUS18138 transcript:rna-LATHSAT_LOCUS18138 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPNSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIHKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQNEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8577143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540305041:540311022:-1 gene:gene-LATHSAT_LOCUS29274 transcript:rna-LATHSAT_LOCUS29274 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSNTSSSLVKSTKRNYYHVFVSFRGKDTRFSFIDHLFAALQRKGIYAFRHDTKLNKGESIAPELLRAIEDSQIFIVVFSKNYASSTWCLRELEHILLHCGQPPEKRVLPVFYDVDPSEVRHQKGTYGKALAKHEQKFQQDSEKVIRWREALAQVADLSGWDLRDKPQHAEIEKIVEEVIKILGCKFSSIPKDLVGIHSPIQELEKHLLLDSFDDLCVVGICGMGGIGKTTLANALYNKISHQFDVCCLIDDLSKSYRQDPISAQKQILLQTLGDQQLQTFNSCNASNQIGNRLCRVKALITIDNVNQVEQLEKLDH >CAK8539387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511050269:511053664:-1 gene:gene-LATHSAT_LOCUS8487 transcript:rna-LATHSAT_LOCUS8487 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKLDRFKKQQEKCQTTLSSIAATKTASASMPTSLVPIKFSTDTERLQHINSIRKAPVGAQMKRVIDLLYETRQALTLEQINETCHVDMKANKDVFDNMRKNPKVRYDGERFSYKSKHSLRDKKELLFLIRKFPEGIAVIDLKDSYPTVMEDLQGLKAGREIWLLSNFDSQEDIAYPNDPKVPIKVDDDLKQVFRGIELPRDMIDIERDLQKNGMKPATNTAKRRSAAQMEGIPSKPKPKKKKNEITKRTKLTNAHLPELFQNLKNS >CAK8534113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696232019:696232639:1 gene:gene-LATHSAT_LOCUS3682 transcript:rna-LATHSAT_LOCUS3682 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSKQSSLSAISALLILRNLLTTSWLNYIRYKDLDKSLMLHHKLKQIEDGMTFVGRSTKQARSQGKKIQITAEQEISRILDNLAWAYLQKGNYKTIEEHYRKALSFEVDRNKQCNLAICLMKMNKITEARFLLQAVTAAMKKQKNGRFFRQVFWTRYTNAAGNRINTVGRLSQR >CAK8572519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543294557:543295630:-1 gene:gene-LATHSAT_LOCUS25078 transcript:rna-LATHSAT_LOCUS25078 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAMWLGSGSGCYIQHHHYHHLRNPCNLPPTPTSPAAVAMSASPQSKHSNISANTPIIAESYPVPLSPPLPAISKELELNRATIASSNSDLFSLSKTHTIYEDEWLLAVNKPQGIYCDNVLSSLQSQKTAPPELHLANRLDRDTSGIMLITKSHKVASNLVKAFTDHKVKKTYIALCTGVIPNWETITVRSGHGRSKFGAWRVYAFSDVGCRLPGGSGVREMETSFEVLSVNGKGSFREVCETGFEGSRVVVIEEKAVKMDGGDEIVVRAYPQSGRTHQIRLHCQYLGISIIGDVKYEGVYEWKGRVHDGHHLHAETLSFDHPVTGVHIMLRAPLPQWVNQALSNSTNIDTVHVKS >CAK8572520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543294557:543295510:-1 gene:gene-LATHSAT_LOCUS25078 transcript:rna-LATHSAT_LOCUS25078-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPQSKHSNISANTPIIAESYPVPLSPPLPAISKELELNRATIASSNSDLFSLSKTHTIYEDEWLLAVNKPQGIYCDNVLSSLQSQKTAPPELHLANRLDRDTSGIMLITKSHKVASNLVKAFTDHKVKKTYIALCTGVIPNWETITVRSGHGRSKFGAWRVYAFSDVGCRLPGGSGVREMETSFEVLSVNGKGSFREVCETGFEGSRVVVIEEKAVKMDGGDEIVVRAYPQSGRTHQIRLHCQYLGISIIGDVKYEGVYEWKGRVHDGHHLHAETLSFDHPVTGVHIMLRAPLPQWVNQALSNSTNIDTVHVKS >CAK8531098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80653166:80653558:-1 gene:gene-LATHSAT_LOCUS910 transcript:rna-LATHSAT_LOCUS910 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRGDSLGSGRFTTINLPKHTKPSVNIPSLTVVKSYELSCSFSLQNKKNIVERLGSCPHVIKYSGHDQTVKNREEYYNIFLEYANGGTLNDQLKNHDGKLPKNSFVITQGSWLKVSSIFMKMVLFIAT >CAK8542347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502668437:502669003:-1 gene:gene-LATHSAT_LOCUS11192 transcript:rna-LATHSAT_LOCUS11192 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFCPKLSFLLFLCLILTTCVHSIAQTCRPSGRIEGKNAPPGQCNQENDSDCCVQGKMYTTYECSPSVSTYTKAYLTLNSFQKGGDGGGPSECDRKYHSDDTPVVALSTGWFNHESRCLKNISISANGKSVVAMVVDECDSTKGCDKDHDYQPPCPNNIVDASKAVWKALGVPKEQWGGLDITWSDA >CAK8567234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496577542:496578138:-1 gene:gene-LATHSAT_LOCUS20303 transcript:rna-LATHSAT_LOCUS20303 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAMMINSKCLNTAIPKLQNPSTTAKLTTTTCKITTVQNLPKALTSVDNTNVVVSPSIAIAGAIFSSLATCDAAFAAQQIAEIAEGDNRGLALLLPLVPAIGWVLFNILQPALNQINRMRSTRGVIVGLGLGLGGLAVSGMVSEASASEIGMIADAAGSDNRGTLLLFVVAPAILWVLYNILQPALNQINKMRSE >CAK8572167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516473899:516480034:1 gene:gene-LATHSAT_LOCUS24760 transcript:rna-LATHSAT_LOCUS24760 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGGKSLKRVKKRVTADHHDFFTFPSSSLAASENFSVNPFRSNIRSFLTKYALLPPPSALFPHLLTWQILFRVGEVTEGSESGPAVVCLDVVEEDVARSRSVYCDQCRVFGWSGNPVCGKRYHFIIKADGGSIGGYHKPCMCCGDSLHLSESKCKSCNHVTTSDDVEEWVYHQLENTSHLLHGVVHANGYGHLLRVNGREGGSRFLSGCHIMDFWDRLCKTLGVRKVSVMDVSKKYGLEYRLLHAIMKRHPWYGEWGYNFGSGSYCLTKEAYKSAVDNLSNLPLSIFLSQEQKPHSRVQDMILYYQSLSEHELVNMRDLFCFLMGLMHSARKNASKSDDVTCKKRRFDASGLSSSWGKSDIERVEEAMLRVLRAVSGSNWVSRRALRGAVCKVASPELLDYCLAELGGKVVYGGVVNSRCNPQTGVEEFRIDATSFDGFMANGNSSGSKCPSEENLLQCLRYLYESLLHPRMMLNYVDEETRTLAMSSAQKLLDCKQLIKDYCTEMLPVSDLYKIRISCQVELGDQPEDPAARTPPEIIVLPTNATVSDLKIEAANVFQDVYLMFRRFQVDELLGYSGVDDSTQVKNLLKPHEVVCIRGRCSGKNGLSKFRMERGLERWTVECSCGAKDDDGERMMACDTCGVWRHTRCSGIPDTAPVPGRFVCLRCQNCDSKPVSGGHIKDETVASVSTTRSSCFGNSVPVLSDVR >CAK8570996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:243747869:243748381:1 gene:gene-LATHSAT_LOCUS23699 transcript:rna-LATHSAT_LOCUS23699 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTAYAVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSSQQDHYPATTHPKQQTIHPPLSQTLCT >CAK8568828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644700766:644701221:1 gene:gene-LATHSAT_LOCUS21747 transcript:rna-LATHSAT_LOCUS21747 gene_biotype:protein_coding transcript_biotype:protein_coding METKLRDEEARRAIGKGNAAGSKKSRPRDRSGRGIAVPEVNAELQENINVCKRNINGCKRASSRDLVLVSSRTSQENRNRKKDIVQQIGSMLLHHSLLRILLLGHMLVAEVKTHLIVL >CAK8533015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566275400:566276341:1 gene:gene-LATHSAT_LOCUS2667 transcript:rna-LATHSAT_LOCUS2667 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEATRAKEIAKRKFIEEDTLGVKKFALKAQNLFPSLEGIPQMIATLDIYISADNKVKGEADWYGILGVSPHADDDTVQKHYRKLALMLHPDKNKSIGADGAFKLISEAWSILSDKARRAAYDEKINARAQKGSAIFGGSSAKATANGANNSKKKTPSMGKSQKNTAKENTSSSNKSKSTFWTTCKRYKMQYEYLRVYLNLKLVCPSCHEAFLAVETDPPLASGIRPGTSWIFKQKYDNEGPNKSKSVGKNNTAPPNDGAKSNKNSFQWAPFSKTSGVSDVTQAANVVQQAYDKVRRDCEEAQAANKRK >CAK8571517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434887764:434887907:-1 gene:gene-LATHSAT_LOCUS24174 transcript:rna-LATHSAT_LOCUS24174-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHDQLLRGTMAA >CAK8571516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434887418:434887907:-1 gene:gene-LATHSAT_LOCUS24174 transcript:rna-LATHSAT_LOCUS24174 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8563954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641988138:641988632:-1 gene:gene-LATHSAT_LOCUS17308 transcript:rna-LATHSAT_LOCUS17308 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANGIVKHIVIGKFKDDITQERIDEFIKGYANLVNLIPTMKSFHWGTDVSAENLHQGFTHVFESTFDSVEAVAEYVAHPAHVEYANLLLPCLDKVIIIDYKPTTVNL >CAK8578848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658199016:658201298:1 gene:gene-LATHSAT_LOCUS30830 transcript:rna-LATHSAT_LOCUS30830 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSQFVSSRNIGVYDPMHQFSIWEEHFKTNGDLSASMSLIEEADMKINNQDASYGILGTSIKFEQEGNKITNKMQRRLAQNREAARKSRLKKKAHIQQLESCRLKLMQVEQELDHTRQQGSYGGGPDSNHSGFAGSANSEIATFKMEYEHWVEEQNRQILELKSALSAHISDIQIGELVNGIMNHYFKLFCMKSDAAKVDVFYVITGMWKTTAEGFFLWIGGFRPSELLKVLVPLIEPLTEEQRFDAYNLEKSCQQAEDALSKGMEKLQGMLVDTVAAGNFVEGIYIPEMATAIERLEALASFVNQADHLRQETLQQMCRILTIRQTARWLLALGEYFQRLRNLSKLWADRPRDPA >CAK8562681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524854511:524856290:-1 gene:gene-LATHSAT_LOCUS16157 transcript:rna-LATHSAT_LOCUS16157 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTSFFDSQSSSRNRWNYDSLKNFRQISPVVQNHIKLVYFTLCCAIAASAVGAFIHVLWNIGGFLTTVAGIGTMIWLLSTPPFEERKRVYLLMASAFFQGASIGPLIDLAIVIDASLIVTAFVATSLVFACFSAAALVAKRREYLYLGGLLSSGLSILMWLHFASSIFGGSTALFQFELYFGLLVFVGYIVVDTQEIIEKAHLGDLDYVKHALTLFTDLAAIFVRILVILLKNSAEKNERNERKKKRRDDD >CAK8543993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654653438:654656167:-1 gene:gene-LATHSAT_LOCUS12708 transcript:rna-LATHSAT_LOCUS12708 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLGSNGSTIGPSLGDIPENCVARVFLHLSPPEICNLARLNRAFRGAASADYVWESKLPSNYQDLVRLMPEERCRNLSKKDIFAALSRPLPFDDGNKQLWLDRVTGRVCMSISAKGLLITGIDDRRYWTWVPTEESRFNVVAYLQQIWWFEVDGEVDFPFPADSYTLSFRLHLGRFSKRLGRRVCNYEQTHGWEIKPVIFELSTSDGQQASSECCLDETENDDTYGNHKRGYWVDYKVGEFIVSGSKPTTKVRFSMKQIDCTHSKGGLCVDSVFIVPSNLRDRKGGGFLK >CAK8541652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:336567167:336567799:-1 gene:gene-LATHSAT_LOCUS10556 transcript:rna-LATHSAT_LOCUS10556 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGNPRDNRNNKGPMSIFIVFALCGFFYILGLWQRSGFGRGDNIAVETTKHTNCSVLSDLNYETHHDGEAGTDDDPNEQVKEFKPCDDRFIDYTPCHDQARAMMFPRENMNYRERHCPPEEEKLHCLIAAPKGYATSFPWPKSHDYILYANAPYKSLTVEKAMQNWIHYEGNVFRFPGGGTQFPQGLMLTLINLQLLFHWIMGWLELH >CAK8530123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1850738:1851574:-1 gene:gene-LATHSAT_LOCUS16 transcript:rna-LATHSAT_LOCUS16 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKSQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFDNVTRWLKELRDHADANIVIMLIGNKTDLKHLRAVNTEDAQSYAEREGLSFIETSALEATNVEKAFQTILGEIYRIISKKSLSSSNDSAGGSNIKEGKTITIEGSENAGTNKPCCTS >CAK8579606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712328487:712329464:-1 gene:gene-LATHSAT_LOCUS31539 transcript:rna-LATHSAT_LOCUS31539 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKMEEKGGLGSWSTGFCDCFSDCSSCCLTVWCPCVSFGRVADIVDRTNSCCVQGTIFCVLGGYSPFLSLYSCIYRTKLREQYGIEGNRCKDCMASCLCPRISICQEYRELQARGFDVSAGWKGNVQMGSRGVTAAPAVQGGMSR >CAK8579607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712328487:712329449:-1 gene:gene-LATHSAT_LOCUS31539 transcript:rna-LATHSAT_LOCUS31539-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGLGSWSTGFCDCFSDCSSCCLTVWCPCVSFGRVADIVDRTNSCCVQGTIFCVLGGYSPFLSLYSCIYRTKLREQYGIEGNRCKDCMASCLCPRISICQEYRELQARGFDVSAGWKGNVQMGSRGVTAAPAVQGGMSR >CAK8535470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851104722:851109494:1 gene:gene-LATHSAT_LOCUS4931 transcript:rna-LATHSAT_LOCUS4931 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNQATNIHDDLLLKNFFAEVSEVERDNEVLRILACFKLNPFEHLNLSFDSSIDEVKKQYRKISLMVHPDKCKHPQAKEAFGALAKAQQLLLDENERDYLLSQVNSAKEELRVKRKKQLKKDTASKMKSLVDEGKYDKQYEQSEEFKQELKLKVRELLTEKEWRRRKMQMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREKRVSSWRDFMKGGKKNKKGEIRPPKLKTEDPNKSYVQRPVKRG >CAK8573842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641726351:641732433:1 gene:gene-LATHSAT_LOCUS26243 transcript:rna-LATHSAT_LOCUS26243 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSFSLALFLGFLLLSLTPSSTAKFLVEKNSLRVTSPDSIKGTYDSAIGNFGIPQYGGSMAGNVVFPKDNQKGCKEFDESGVSFKSKAGALPTFVLLDRGSCFFALKVWNAQKAGASAVLVADDIEEKLITMDTPEEDRSSAKYIENITIPSALIEKSFGKKLKSAISSGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGFTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVVENLRQLCVYKVANETQKPWVWWDYVTDFQIRCPMKEKKYNKKCADAVIESLGLDIKKIEKCMGDPDADSENTVLKEEQDAQIGKGSRGDVTILPTLVVNSRQYRGKLEKGAVMKAICSGFEETTEPAVCLSSEVETNECLDNNGGCWRDKGANITACKDTFRGRVCECPLVDGVQFKGDGYTTCEASGPGRCKINNGGCWHDARNGHAFSACSDNGGIKCECPAGFKGDGVKDCIDIDECKEKKACQCPECSCKNTWGSYNCTCNGDLLYIRDHDTCISKTSSQEGKSAWAAFWVIVTGLVLAAAGAYLVYKYRIRSYMDSEIRAIMAQYMPLDSQSEVVNHVNDERA >CAK8562649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:521061949:521062507:-1 gene:gene-LATHSAT_LOCUS16128 transcript:rna-LATHSAT_LOCUS16128 gene_biotype:protein_coding transcript_biotype:protein_coding MTATADEIQKISEWILKIEDGIMSKSNDGYVEISIPQEFLISNFSNPIEAIVESTYSNLIHNHNDSNYLQNRAILTSTIEVVYDINQYITNLLSDEKEYFSSDSVDRSEATGFDAFEHLTSEFLNVLKISGLPNHSIKLKVGSTIMLLQNLDQSE >CAK8532425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259802855:259805416:-1 gene:gene-LATHSAT_LOCUS2132 transcript:rna-LATHSAT_LOCUS2132 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFHHHQHRPHRISVPPRTTATYDANPNSPFPYSSPTLTPGLTPSKSKHRSSFSFNSKPNSKSNSKSISFIFLLLLSLRSLYSLFPFLRSSSPSFSLFPFSFLVSLLSFFLTLSFSLFSSSRATFHKPKQPSLFNFSTSITNSQQKILISKSILLALVFLLRFSALRYCSTAAMIIAEFMGTVTARRFQRNRRNCWVEIRGFVLLFSGLFMLSFGWDRAECFPLSRIGVDNCVRVWQLLLPFLSGFLACYEPCVSVDSYGSFKQLDRKRVRLVTLFFTTVVLFVPAVISYFIYEAGEDSVSFGNLAWPLANTVVFGVLLSENCYSGDDLMSFNDSKREFLVMFTCTLILELFYYPDISLWGLLICGLLLYVAVRDLDSFNSDDIVFGDDSSQFLTEMVMKPIRHILSERKSRKIALFLLINAGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANNHYNYGRGRFEVLSGYTNAVFLVLVGALIVVESFERILDPQEISTNSLLVVSVGGLVVNVIGLVFFHEEHHHAHGMSGSCSHSHPHSHSELHNHDPHNHHHESNREIISVSSSCQDNSCSGDLGHHNHSSHERKVEFHTDSHRIQSIKHHNDDHHDHNSHADHHDHNHHAHIQKHDHHDHIQKHDHHDHNHHANHHDHDHNHHADHHDHDHNHHTGHLDHNHNHHTGHLDQKQSHRHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLAADPVCSIFISVLIVSSVIPLLRNSAEVLLQRVPRAHEHDLKDSLASVLKIKGVYGIQKFHSWSFTNTDVVGTLHLHVSTDTDKISVKSQVSHLLHNAGIKDLTLQVECVG >CAK8564861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13866146:13869865:-1 gene:gene-LATHSAT_LOCUS18118 transcript:rna-LATHSAT_LOCUS18118 gene_biotype:protein_coding transcript_biotype:protein_coding MINRKFRIGDIVWAKPTIPSPPNATNWSPALVTSSTDLTISLSFFNNPNTPIPTRTFFLESELLPFDKPFPFTTSISPQNDAFRSALRLFGLRVVSSLTCRCIKGHHDVKLISSGYCFEPIRVFGFVLDAAVFPWVEVEASRVVDAVKLVAYVHAFRRYSSLQQKKVYQENRKLGDNVKLHRRSSLIQKVHLVTRKSTALEPKEKSQVISKQGEENSTIGAIRRLKPTVPDLEGFSGKAELVMHSPALIPLHIWGKNLELERQNLLRFKSILPHGLVDVCFGNCSRMREAYFSISSNFKTPLISYISKRKDHVPRLCSRLQETEITISLNRKRKRRDKHDLGHDFPQTGRVEESEGNAHISKNTRPRISHMMLEPEESIQIDDQARIHFGETNINFTDKDQKLDMRSQNLTLQQSFTCESSPNLMSDFQSDNCEVDASATKVNFVLGSNSSVYQEKLQTICNGDMTPLKSKESMRLKSSSGDCLVESKDSYPYVASCSTFKSKAGQQSKPHVPLCSKSLHMKFPKNFNLPSKEQLIKSFSGFASVDSSSTRVSWYRGSAQVVFVKESDAVAAYQYAKRKIWFGEPNIRFWLDPIEHERRELKCSYPTVPLCSKSLHMKFPKNFNIPSKEQLIKSFSGFGSVDSSCTRVSWYCGSAQVAFFKESDAVAAYQYAKRKIWFGEPNIRFWLDPFEHKRRDLKCSYPMQTSSNKLIGPPLKSCLKKSNSLKQENRKKHYRVRFTIET >CAK8535259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831049633:831051012:1 gene:gene-LATHSAT_LOCUS4732 transcript:rna-LATHSAT_LOCUS4732 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPLHITMFPWFAMGHLTPYLRLSNKLAAKGHRISFFTPKNTLNKLLHLNLHPNLITFFPLNVPHVDGLPPNAETTSDVPVSLFPLIATAMDQTEEQIELLLKELKPHIVFFDFQYWLPNLTQKLGIKSLQYLIWNSISTAYLGNITRKSRGKELTEVDLMKPPSEFPDSCIKFHSHELQFLVSGRKLEFGSGVLIYDRIDIGTEFSDAVAFKGCREIDGLYTDYLQTVFGKPVFLSGPLLPEPPNTTLDEKWAAWLKGFKHGSVVFCAYGSEGPLEKNQFQVLLLGLELTGFPFLAALKPPNGFESIEEALPEGFSERVKGKGIVYGSWIQQQLILEHPSIGCFITHCGAASITEGLVNKCQLVLLPLVGPDHIMNARVMSTKLKVGVEVEKGDEDGMFTKESVCKAVKIVMDDENEVGREVRANHDKMRNLLLSNNLESSCVDNFCQKLYDLF >CAK8566296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:401056917:401057210:-1 gene:gene-LATHSAT_LOCUS19435 transcript:rna-LATHSAT_LOCUS19435 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDKLCKHFYEVAEVAAESKETIEDLHETLCLFSSNMSIKDSTLIEENGDKDSNAINSNRIRRPKHVKQKGHPPSKRKTSIAETITKRSRKGTKK >CAK8576930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525734067:525734348:-1 gene:gene-LATHSAT_LOCUS29086 transcript:rna-LATHSAT_LOCUS29086 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAIAGHTKEKSWKVVIMNTAIARHTKEKSWKVVIMNIAIADNIYGIWQHINDICFDKNIDKANVVSKIIENIVYRGCMRPKLRDHIAKLMM >CAK8577061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535107461:535115204:-1 gene:gene-LATHSAT_LOCUS29203 transcript:rna-LATHSAT_LOCUS29203 gene_biotype:protein_coding transcript_biotype:protein_coding MATETEQRSLEDTPTWAVSICCLFVLVISLIIEHGLHKFTEVLKKQKRKSMGKALTKTKTEMMKFGFVSLLLTISEVPISKICINKDMANSFLPCKDSMEKLAVSSELDQTPSANELGNQVNYCEAKGMVSLISDDGVLQLNVLISILAVFHILYCTLTMCLGMAKMRRWKKWEEETQTLEYQIDNDSRRFQYISQTLGGKRHFKFWNNYSPLLWIVCFMRQFYSSVSKDDYFALRNGFIAANISEGSNFNFNFKKFLSRTYDEDFEKIVGIRFWIWLFSIFFIFLSAHEFYNYYWLPFIPLVIALLAGTKLQVIITKMHLDSCKESSVIKGTILVKPSDEYFWFHRPEWLLHLLQIILIQNSFQLAFFTWTWYEFGPRSCFNREIEDIAIRVVLGLAVQLLCAYVTLPLYALVTQMGSNMRKEIFTEHVTQGLKNWHKKAKLSLSKNSNSASLHSKTSENSVRGSLEELQNNEDIITPNSANNSGSGEEEEVVVAAVEKEMSTESTSEDNGKIITRGIYDGEISFGIYVGSSSRGIGEIVSIAEEE >CAK8568342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597362611:597363100:1 gene:gene-LATHSAT_LOCUS21305 transcript:rna-LATHSAT_LOCUS21305 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDEDGHVTSVSWAPDGHHIAIGLNNSEVQLWDTTSDKQLRTLRGGQRQRVGSLAWNNHILTTGGMDGRILNNDVRVRSPIVDTYRGHEQEVCGLKWSASGQQLASGGNDNLLYIWDRGTSSSASPT >CAK8532806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:519968540:519972330:-1 gene:gene-LATHSAT_LOCUS2474 transcript:rna-LATHSAT_LOCUS2474-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQEIPAAVSAIQFYENAQPSIRGRNVYVQFSSHQELTTVDQQSQGREDEPNRILLVTVHQMLYPITVDVLQQVFSPHGYVEKVVTFQKSAGFQALIQYDTRQSAITARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTEQKGRPSQSGYGDAGMYGVQGSGPRSAGFSQVGTFQAKLKSPYMQLLMLSLL >CAK8532805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:519963783:519972330:-1 gene:gene-LATHSAT_LOCUS2474 transcript:rna-LATHSAT_LOCUS2474 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQEIPAAVSAIQFYENAQPSIRGRNVYVQFSSHQELTTVDQQSQGREDEPNRILLVTVHQMLYPITVDVLQQVFSPHGYVEKVVTFQKSAGFQALIQYDTRQSAITARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTEQKGRPSQSGYGDAGMYGVQGSGPRSAGFSQMGNAAAVAAAFGGDFPPGITGTNERCTILVTNLNPDRIDEDKLFNLFSIYGNIVRIKILRNKPDHALVQMGDGFQSELAVYFLKGSMLFGKRMEVNFSKHPNIIQGADTHEYVSSNLNRFNRNAAKNYRYCCSPTRIIHLSSLPQEINEEEIASLLQEHGIIVNCKVFEMNGKKQALVQFESEEEATEALVCKHATPLSGSVVRISFSQLQNI >CAK8539999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534885985:534904721:1 gene:gene-LATHSAT_LOCUS9042 transcript:rna-LATHSAT_LOCUS9042 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQNFEQHSRHLVESDLTVQARLQMVMEVRDSLEIAHTAEYLNFLKCYFRAFSGILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFENMAMTGEDVKPIDNSLSDQGINPATATGSQLNPSTRSFKIVTESPLVVMFLFQLYSHFVQANIPQLLPLMVTAISVPGPERVPPHLRTHFTELKGAQVKTVSFLTYLLKSYADYIRPHEESICKSIVNLLVTCTDSVTIRKELLISLKHVLGTDFRRGLFPLIDTLLEQRNLVGTGRACFETLRPLAYSLLAEIVHHVRQDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQQSTDEARILLGRILDAFVGKFSTFKRTIPQLLEEGDEGKDRSTLRSKLELPVQAVFNIVGPPEYSKEVNDYKHLIKTLVMGMKTIIWSITHAHSPRSQVLVSPSSPPQASRGMREDEVYKASGVLKSGVHCLALFKEKDEEREMLHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLAAPKVYRPFADVLVNFLVNSKLDVLKNPDSPAAKLVLHLFRSIFGAVSKAPSDFERILQPHVPVIMENCMKSSTEVERPLGYMQLLRTMFRALSGCKFELLLRDLIPLLQPCLNLLLAMLEGPTVEDMRDLLLELCMTMPARLSSLLPYLSRLMKPLVLCLKGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGAKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPATPFLVPLDRCINLAVEAIMNRNCGMDAFYRKQALKFLRVCLSSQLNLPGTVTDEGSTSKQLSTLLASTVDHSSRRSESIDVKADLGVKTKTQLMAEKSVFKILLMTIIAANGEPDLTDSADDFVVNICRHFAMIFHVDSSFSNVSAAAMGSSLSVHVGSRIKSSVCSNLKELDPLVFLDALVDVLADENRLHAKAALDALNVFAETLVFLARSKHTDFVMSRGPGTPMIVSSPSMNPVYSPPPSVRVPVFEQLLPRLLHCCYGLKWQAQIGGVMGLGALVGKVTVETLCLFQVRIVRGLTYVLKKLPIYASKEQEETSQVLTQVLRVVNNADEANSEARKKSFQGVVDFLAQELFNQNASIIVRKNVQSCLALLASRTGSEVSELLEQLYHPFLQPLIVRPLKLKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQDALQIAESEDNAWVAKFINPKVVTSLTKLRTACIELLCTTMAWADFKTPNHSDLRAKIISMFFKSLTCRNQDIVAVAKEGLRQVINQRMPKELLQSSLRPILVNLAHTKNLSMPLLLGLARLLELLSNWFNVTLGGKLLEHLRRWLEPEKLAQCQKSWKAGEEPKIAAAIIDLFHLLPPAASKFLDELVTMTIDLEGALPPGQVYSEINSPYRLPITKFLNRYAPVAVDYFLARLSEPKYFRRFMYIVCSEAGQPLRDELAKSPQKILSSAFFEFLPKSEVSMASSSTTTHTTLSSEESHVSASTDAPNVLVPTSNATSDAYFQGLALIKTLVKLIPGWLQSNRVVFDTLVLVWKSPARISRLENEQELNLVQIKESKWLVKCFLNYLRHDKNEVNVLFDILTIFLFHSRIDYTFLKEFYIIEVAEGYSSSMKKALLLHFLNLFQSKQLGHDHLVIVMQMLILPMLAHAFQNGQSWEVVDPAIIKTIVDKLLDPPEEISAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDTASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENRMLVRQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTTENRRLAIELAGLVVNWERQRQNEMKVVTDSDAPSQISDAFNPNSAESKRAVDGSTFADDTTKRVKAEPGLQPLCVMSPGGPSSIPNIETPGSTSQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASAMYKQALELLSQALEVWPNANVKFNYLEKLLSSIQPSQAKDPPTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKHKLLDAGKSFCSLLRMICVAFPQEAASTPPDVKLLYQKVDDLIQKHVSTVTAPQTSSDDNNAGAISFLLLVIKTLTEVQRNFIDPLVLVRLLQRLQRDMGSSSGSHIRQVC >CAK8541651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:334988247:334988815:-1 gene:gene-LATHSAT_LOCUS10555 transcript:rna-LATHSAT_LOCUS10555 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCKCGSGCGGCKMYADLSYTEATTSETLIMGVGSEKTQFESAEMGAENDGCKCGANCTCNPCTCK >CAK8538853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498152648:498157632:1 gene:gene-LATHSAT_LOCUS8008 transcript:rna-LATHSAT_LOCUS8008 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTRTFTSSLLFNPSFSLSYSNSLLLRFNTSLKPFKFNLSYFTSRIQQPSLYHRTSSPFTISASSVSLDIENDRLPAKLKVSLTEESNSRVRLHVEVPPLVCQDCYKRVLVEFTKHAKVPGFRPGRNVPESILINYVGSKNVQKATIEAILRRTISHAVTVVSGVALRDSVGIATKFSEMEETFSSLGFLRYEVIVDVAPEIKWISDGAYKNVKVVVKIDSDIDAHIASEREFKRRYKSTGVLKVVTDRGLQVGDVAVLDISATTIDKDETNIKSIPAAETKGMSLDTEETDQLIPGFLDSIIGIGRGETKSFPLVFPETWMPEDLQGVHAQVTVECKELFYRDLPELNDSIADKLFSGCTTVEQVKELLLQRCQEIEQTAREQATDNAILEQISKMVEVDIPQYLFEEQGRQFYGASLLEMQTEAKLTKEQLASLSSPKAVNEYLEHHKENITNKIKQSLAVGDIYKRENMEIPIEDIVKEVERSIAEFKRTKKEYDEERVKEQVQEILEGAKVLEWLRENADIQYITV >CAK8530122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1780163:1783588:1 gene:gene-LATHSAT_LOCUS15 transcript:rna-LATHSAT_LOCUS15 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLIIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLHEIDRYANDSVCKLLVGNKCDLTETKVVQTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAAEIKKKMGSQPSGSKSAESVQMKGQPIPQNSNCCG >CAK8544366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680050795:680051691:1 gene:gene-LATHSAT_LOCUS13052 transcript:rna-LATHSAT_LOCUS13052 gene_biotype:protein_coding transcript_biotype:protein_coding MSPENEHQSQTKLSEENEHQSQTKVSHEEELQLQTKMSQQESSDGNINCSSSDISYEEDSSLLSDCLLTAVKVLMNLTNDNPIGCQLIAANGGLEAMPMLIAGHFPSFSSSQSFAQIKDNSLRTEKDHLCDMLLNDHELDFLVAILGLLVNLVEKDSQNRSRLAAASVLLPSSKGLDQEVRRDVIQLLCSIFLANQGESEVAGGEDKKFELNDEAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIRESIADNLPDHNLASLVPVLDRFVEFHLSLDMISPEAHKTVSEVIESCRIR >CAK8570378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41488671:41490124:1 gene:gene-LATHSAT_LOCUS23129 transcript:rna-LATHSAT_LOCUS23129 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKHKKNHNANSGKRLLVSINVLGSAGPIRFVVDEDELVEAVIDTTLKSYAREGRLPRLGSDHRDFFLYCPHRGSDVALSPWDKIGSHGARNFVLCRKPHTTNEAADNGSRTSSLSRRGNGSWKSWFHLNLKISSH >CAK8530176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4318453:4323871:-1 gene:gene-LATHSAT_LOCUS64 transcript:rna-LATHSAT_LOCUS64 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFSSYDSSSFNRKHHHEVKEDYTSSSSFNLRNISKLILPPLGVSRDNQVYSRWIISPMDSRYRCWESFMVVLVAYSAWAYPFEVAFMHSSPNRKLYIVDNIVDLFFAIDIVMTFFVAFIDGTTHLLVRNSKKIVVRYLSTWFIMDVASTIPYEAIAYILTGKHKLGLPYFLLGMLRFWRIRRVKQFFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYMLADRYPHQGNTWIGAVIPNFRETSPRTRYISAIYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASSFVCRNRLPPRLKEQILAYMCLRFKAERLNQHQLIEQLPKSICKSICQHLFFPTVEKVYLFKGVTKEILLSLVAKMNAEYIPPKEDVIMQNEAPDDVYIIVSGEVEIIDSVIEKERVLGTLTRGDMFGEVGALCCRSQSYTYRTKTLTQLLRLKTSALIEAMQIKQEDNILILKNFLQHYKQLKDLTIKDLMVENVEEEDPNMAVNLLTVAGTGNAAFLEELLRAGLDPDIGDSKGKTPLHIAASNGHEECVKVLLKHTCNIHIKDMNGNTALWYAIASKHYSIFRILYQLFALSDPYTAGNLLCLAAKRNDLTIMNELLKQGLNIDSKDGHGTKAIQIAITENLVDMVQLLVMNGAEVADIHTHEFSASTLNELLQKREIGHLINVNEEMPSEFVLKGDNQEEHKQIRGRYNGVEFPRVSIYRGHPIVRREKDLNEAGKLIKLPDSLEKLKTIAGEKFEFDARDAMVTNEEGAEIDSIDVIRDNDKLFIVE >CAK8563595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619434588:619435637:-1 gene:gene-LATHSAT_LOCUS16989 transcript:rna-LATHSAT_LOCUS16989 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWSFTTTSKVVVLLLSLLSLLQPLTSIRLGLVRLPLPDLPSFREAPAFRNGVGCGSSEADRINVAMTLDVNYLRGTMAAVLSMLQHSTCPENLAFYFLSAHESPKLFTSIRTTFPYLNMTIYRFDSNRVRGKISKSIRQALDQPLNYARIYLADTIPENVQRVIYLDSDLVVVDDIAKLWSVDMEGKIVAAPEYCHANFSLYFTKEFWEDPFLSKTFKGRNPCYFNTGVMVMDVDKWRKEKYTEKVEEWMAVQKQRKRIYHLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNLEGRCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSRNFFEE >CAK8530803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50944970:50945413:1 gene:gene-LATHSAT_LOCUS643 transcript:rna-LATHSAT_LOCUS643 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTIAEKTPAEKKPKAGKKLPSKDGAAGDKKKKRNKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >CAK8560665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34967557:34968087:1 gene:gene-LATHSAT_LOCUS14323 transcript:rna-LATHSAT_LOCUS14323 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVKEVNIANPSCVKWNPLQEGWLKCNVDVGFNNQIKTTNRGWCVRDNVGRFISAGVAWDTGIMSTVEAEALALKEAIQCAITRNMNFVIFESDSQVVVNVVLSTHVGCSEFSCIISSIKQLLPLIQNFEVKFIKCQANMVAHSLPKAANSWSRRSVINVIPPCIESLLINERS >CAK8570057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22582452:22584062:-1 gene:gene-LATHSAT_LOCUS22842 transcript:rna-LATHSAT_LOCUS22842 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLFNKLPRKSSKIDEDELTRVGDSPRTAGKGHNRQQGGAASLKRASSSAVFPASMVSGIEPLVPFKDVPNAEKMNLFVSKLSLCCVTFDFTDPGKNIADKDVKRKTLVELVDFVACGSMKFSEPAILAMCRMCAINLFRVFPPNYRVNGVVASGGENDDDDPMFDPAWPHLQLVYELLLKFISSSSLDAKVAKRYIDHSFISKLLELFDSEDPRERDCLKTILHRVYGKFMVHRPFIRKTINNIFYRFVIETEKHNGIAELLEIFGSVICGFALPLKEEHKIFLWRVLIPLHKPKSLGVYFQQLSFCITQFLEKEPKLASIVISGLLKYWPVINSQKEVMFLGELEEILEGINMVEFQRIMIPLFLRISCCINSLHFQVAERTLFLWNNDHIVNLIAHNRQVILPIIFPALDRNIKSHWNPAVVNLTHNIRKMFLEMDEKLFISCHTQFMEEEAILISEAEKRKEAWKQLEQAASLKPVIGNTAVLVSPLMT >CAK8562064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:434658048:434658722:-1 gene:gene-LATHSAT_LOCUS15595 transcript:rna-LATHSAT_LOCUS15595-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPTETLPAPYRNPVWNRPRGPPQYNFPFRPSGGGTYPSPRFEPPGGPSYNNAPGMNQWPNHNSNPSSGYSPNHSEGYSPSPSSGYSPNHSPAFRNSPNTSQGRGRGFWHSTRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYYKRSMMEDPWKCLKPIIWCSTYHFSNISFTPENSKPQAPSESTSTKREGPSAVFSKSNSGPSLAEYLASAFNEAANTEE >CAK8562063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:434658048:434658767:-1 gene:gene-LATHSAT_LOCUS15595 transcript:rna-LATHSAT_LOCUS15595 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSSPHTESTNLQMSPSPTETLPAPYRNPVWNRPRGPPQYNFPFRPSGGGTYPSPRFEPPGGPSYNNAPGMNQWPNHNSNPSSGYSPNHSEGYSPSPSSGYSPNHSPAFRNSPNTSQGRGRGFWHSTRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYYKRSMMEDPWKCLKPIIWCSTYHFSNISFTPENSKPQAPSESTSTKREGPSAVFSKSNSGPSLAEYLASAFNEAANTEE >CAK8568718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635165299:635165983:1 gene:gene-LATHSAT_LOCUS21650 transcript:rna-LATHSAT_LOCUS21650 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSNSNRRTSGRTRKVASKMVAALASSDNRTQAALARLDALENDNAGFEVTDPNIDDDEASLDDDDQGFMQRKQSKGTKRKTRQAKALEARKAPRTFLELLHDANLESLPPHVPSYWKAAVGPPSSTARRHFCTVCGFSANYTCVRCGMRFCSNRCQNVHNDTRCLKFVA >CAK8561394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:188113136:188114422:1 gene:gene-LATHSAT_LOCUS14993 transcript:rna-LATHSAT_LOCUS14993 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLALRSLTRHCHFSVEDSKWLTLPPVNTTSVNTCSNQLSSSTSTTALKWVTRCCPQLPKTLVHKLFRLKQVRMLPPQEQEQDHKFKKVTPKDTLNAGDRIFLPHSVKQQTPAPPKRLDSPLTPTLTSKQINFMSALVIYKDAEILVLNKPPGMPVQGGINIKWSLDDVAASCLKYECPESPRLVHRLDRDCSGILVMGRTKTSTTVLHSIFRDKTSRASDDNGTEKRILQRKYWALVLGCPRRSSGLVTAPLGKVVVDNGKSDRITIVDNSTSLSPQHAITEYQVIASSSHGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWGQFDLSNTEDSTEELLKEEALPFGLNLNKGSISDKRPHLHLHCKQIVLPDISQALQNVQSLSALSYDLSAVKALELEADLPPFMKKSWDVT >CAK8544275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675191674:675193493:1 gene:gene-LATHSAT_LOCUS12968 transcript:rna-LATHSAT_LOCUS12968 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTREIDEVQEVISGKASEKEEETRDEVLARHRKEISQLQKKEVEMKKQAARGSKAEQKTKKKQVEEEVSQLSTKLKEKHAKELSTLGYSSGNGNEKSNLDNLVKAIAGVTVSTQPENTKVSKAKQRRDKRAQQEAERELRIQAEQNDIISDRMVENEKLERKLKPLGLTVCEIKPDGHCLYRALENQLGHLSGGKSPYTYQELRQLAAAYMRKHTSDFLPFCLSENLIEGDSDESIAQKFENYCKEVESTAIWGGQLELGALTHCLKKHIVIFSGSFPDVEMGKEYKPDGGIGSSSSSIMLSYHKHAFGLGEHYNSVVST >CAK8531994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:187778085:187780824:-1 gene:gene-LATHSAT_LOCUS1740 transcript:rna-LATHSAT_LOCUS1740 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGCLDPNLKLTVPASNQASFAKFLTQSGTFKEGNLLVNRDGVRIVSQSEVETQPPIKPIDNQISLAEIDTIKVIGKGNGGIVQLVQHKWTNQFFALKQIQMNLEDSTCRQIAQELKINQSAQCPYVVVCYQSIYDNGTISIILEYMDGGSLEDLLNKVITIPEPFLAAICKQVLKGLMYLHHEKHIIHRDLKPSNILINHRGEVKITDFGVSIILETTSGQANTFIGTYNYMSPERIDGSQQGYNYKSDIWSLGLMLLKCATGRFPYTPPDNSDGWENLFQLIEAIVENPSPSAPSDECSPEFCSFISACLQKNPRDRPSARNLLRHPFVNMYDDLDVDLSDYFSNAGSTLATI >CAK8534709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757110058:757113133:-1 gene:gene-LATHSAT_LOCUS4229 transcript:rna-LATHSAT_LOCUS4229 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHNTPSNPKPPPNFPNPPNNHHPQMGVAGNYQNQNLMQQPFMPNMQQPRPPFMNPPNQLHMPHMGGFGFGPQNNGTFNPMFPVHGQGQGQPPQMNLSQIQGQILAQNIVNLLQQPNMNMNMSMPNAGQFSAPYPMQNMNQQQLPMQMQRQNPSQPVPYGMHPGQQQPMFGFPPNQVPPRPMVPQNPMFSGNSQFGAVPGNQVRPQIGPNPAAGNSNGFVSGPFPSQPLQGNSSVPHNTNNAQSSAFRNSHSQENPNSNINTNFANSNWKGSPNKNFKNKQNRGGSQGGFQKSKFRDNNNKGNMRAGFQKDREDRGPNNEKAGTFGLNSEEHQQQPKRSYSVIYSEQEILQWRDTRRKNHPSRGKIEKKQSEQPKNSKCIDREVLQRELKEVLAKQAELGIEVAEIPSYYLKNAANQGIQSEEKETTFTDKRKFKNKSRRNQNKRRWNSKKQKLADTDFLKNKKKPTLLQKLLSADIKRDQSYLFQVFRFMTANSFLKDYPDKPLVYPPVLVKEMGYEVYDGKKHLHGGKDAVEDDGAKGIVERFEESNDDDGDNGDGMVEFEEEEGEIVE >CAK8560552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24647730:24649246:1 gene:gene-LATHSAT_LOCUS14215 transcript:rna-LATHSAT_LOCUS14215 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEVESSKVRERSFKRITCSAMKAKVESDEKTTHLLGQRGAVGVRGNDSDQNSPSFKSRNQKAKEYDNRDSKNVKKNKQDFDSYDSIVDLKKGYETQVIDSHEPVSHSSKDIGSFMMFANDKESVKKSVDAPISNPQQVDKFQNPVDVFVDRTVAECEPEMEVCYKENCYHVVKDICFDKGAYAKHKFMFDESVDGAAYNFFPLENFEYNRNPKDNTGMKVLNQPETDDSDEASSNHDQHNNVIHKDDSEIEELVDNFTKAMDSREDTQDSIPTGGKDEQLSVEHNSHSQLKDSSNMIEEEVLASPALGLTVDESESDYQFGPSAPAVCVKKELHQFGGCNCDKTQLPLTTIEDSSGDFSEIQPVETSQIRSDLGKSSFSAAGAVSGRISYSGSIPYSRSISIRSDSSTTSTRSFAFPM >CAK8538738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494687008:494689363:1 gene:gene-LATHSAT_LOCUS7907 transcript:rna-LATHSAT_LOCUS7907 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSLNVALSKKTSVLGLKRWVLIGIGVGAFIVLILCMLSIWVMFRRKSRSRRSLDKAQIPNVSKDIDVDIVGVQNSHVQYGNVFVPNDSNLDKMSVRMKTGKFSDPDSVSQCSSVYHHERGLSSLSWEEGSSGNFKKRSTLSYGGGPTTTSPLIGLPEFSHLGWGHWFTLRDLEQATHYFSKDNIVGEGGYGVVYRGRLINGTDVAVKKLLNNLGQAEREFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHEEKLGTLTWEARIKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDKEFNAKVSDFGLAKLLESGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVNYSRPSDEVNLVEWLKMMVGARRAEEVVDPRLEVKPSARALKRSLLVALRCIDPDAEKRPKMSHVVRMLEADEYPFREDRRNRKSATTTSLEIETVDDISGPSDAEKAVQSIENHNPQTIKPASEKRMKPENSYGQ >CAK8543448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608444879:608447290:1 gene:gene-LATHSAT_LOCUS12205 transcript:rna-LATHSAT_LOCUS12205 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKAVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEEAPRIKPKKPTAGGKPLGRGRGRGRGRGRGRGR >CAK8536809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22523742:22525609:-1 gene:gene-LATHSAT_LOCUS6141 transcript:rna-LATHSAT_LOCUS6141 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYHLFLSTILVVIIPSLVHANVKEQESYMNNILPFINDTYWRGKASDAEKANNIAYTPDPYAVSENMTSGVSEMITEGNTGRRNLTEKKLVRGRPCLATNPIDRCWRCDPNWETNRKKLADCVQGFGRKTTGGKAGPIYVVTDPSDNDMQNPRPGTLRYAVTRNGPLWIIFAHSMVIRLNQELIMTSDKTVDGRGFNVVIAKGAGFTIQFIRNVIIHGIKIFDIQVGSGGLIRDAENHFGLRTQSDGDGISIFGSSNVWIDHVSMRNCKDGLIDVIMGSTAITISNCHFTDHNEAMLFGASNDYDGDKKMQITLAFNHFGKRLIQRMPRCRYGFIHVLNNDYTHWEMYAIGGSQNPTIISEGNRFIAPNNPNAKQITKRDYAPESEWKNWQWRSINDVYMNGAFFVQGGPELTNRPFSEKDMIKSKPGTYVGRLTRYSGSLRCRKGSPC >CAK8579700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717474826:717476498:-1 gene:gene-LATHSAT_LOCUS31626 transcript:rna-LATHSAT_LOCUS31626 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLDASSTTRSPRRQAYYVQSPSHDGEKTTTSFHSTPVISPMGSPPHSHSSSSRFSGSRKINNNHRNTKPWKDIDVIEEEGLLQNQDHDRSLSRRYYFLAFILGFFLLFTLFSLILWGASRPMKPHVVIKSIKFDHVRVQAGSDATGVATDMITVNSTVRFTYRNKGTFFGVHVSSTPLDLSYSDIVIGTGNMKKFYQSRRSQRLVSVSVMGSKVPLYGSGASLSSTTGMPSTPVPLKLSFEIRSRAYVLGKLVKPKYYKKVQCSVTFDPKKINVSVPLKKNSCTYD >CAK8534251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710013849:710015492:1 gene:gene-LATHSAT_LOCUS3811 transcript:rna-LATHSAT_LOCUS3811 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVSRLRLALSIPALNILPISTFLLRLYSQPLPSFIPNVDDAISSFNRILYINPTPPIFEFGKILGSLVKMNQIHTAISFSQQMELRGIQPNIVTLSILINCFCHLRQLNFAFSVLAKILKLGYQPNTVTLTTLMKGLCLNGEVKNALRFRDDVIAKGFQLNEFSYGTLIDGLCKAGETKAALMLLRMLEEQLVRPSVVMYTTLIDSLCKNMLVFDAYELYSKMVEKNIFPNVVTYSTLIYGFCIVGRLKDAIGLFNEMILKNINPDVYTFNILIDGLCKGREVKKATNVLGVMIKSGVKPNVVTYSSLMDGYFLVNEVNKAKDVFDTMARWGVTPNLHSYNIMIDGLCKHKMVDDAVKMFTEMHTRNMIPNTVTYNSLIYGFCKSGRISDVLDLINEMRDRGQPANVITYTSLIDALCTNHNLDKAIELFTEIKDRGIEVDVYTYTVLIDGLCKGGRLKNALEVFRILLIKGYHLDVLTYTVMINGLCTEGMFNEVLALLSKMEENGCIPDAVTYEIIIRALFEKGKIDMAEKLLSEMIARGLL >CAK8578673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646087397:646087859:1 gene:gene-LATHSAT_LOCUS30663 transcript:rna-LATHSAT_LOCUS30663 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVFIPNVCSFKQCGLMRLCLAHYWKLSVVLFDQKVEPYQPLDMVSKLSGQRRRNLKVEFAGFKWEMRS >CAK8562714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530920644:530921475:-1 gene:gene-LATHSAT_LOCUS16188 transcript:rna-LATHSAT_LOCUS16188 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRKDGPTKKTMNRGAWTPEEDQKLAHCIQTHGAKKWKTVSAKSGLNRCGKSCRLRWLNYLRPNIKRGNISDEEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLCKKASHIEEKPETSTTQETIVQDNIIGDSVMLENKDSVSGSVDSDAIFDVNEFLDFSNDESYEFDWVNELLEFEQIQFP >CAK8576100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:406081428:406082009:-1 gene:gene-LATHSAT_LOCUS28315 transcript:rna-LATHSAT_LOCUS28315 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQYEQSGGGGGGDDQGDAKQVTNLRSYECNFCKRGFSNAQALGGHMNIHRKDKAKLKQQSSNMEANTSDIHEVNKLLLPNLNSNIALSQSQSQQQDQQHHVPSRDVDETVVAQLRQQLPLFSESPTKSVMQKPQQPQGETQGEKTTTATAATEEALLLSGQDSSLELDLELRLGPEPHDSSAPTGTRKFF >CAK8571454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422245146:422247458:1 gene:gene-LATHSAT_LOCUS24119 transcript:rna-LATHSAT_LOCUS24119 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRNGFNDDDDDESNGIFEEQALVVEETDTPPHLRDLSHAAQTGDINALRLALDNLSGSIDEPLEDGDSALHLTCLYGHFGCAQLLLERGADLEAKDEDGAIPLHDACAGGFLEIVQLLLNRANDAEHIKRMLESVDSEGDSPLHHAARGEHADVIRLLLSNGASATKENLYGKTPAELPEQGTNARRLLEAAATAMAT >CAK8535255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830978306:830979685:1 gene:gene-LATHSAT_LOCUS4728 transcript:rna-LATHSAT_LOCUS4728 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPKNTLNKLQHLNLHPNLITFFPVTVPHVDGLPHNAETTSDVPFSLFPLIATAMDQTEDQIELLLKELKPQIVFFDFQYWLPNLTQKLGIKSLQYLIWNPISSAYLGNIPRKSRGKELSEVDLMKPPSEFPDSCIKLHSHELRFLASARKLEFGSGVLIYDRIDIGTKLSDAVAFKGCREIDGLYTDYLQTVFGKPVLLSGPLLPEPSKTTLEEKWESWLKGFKHESVVFCAYGSEGPLEKNQFQELLLGLELAGFPFLAALKPPIGFESIEEALPEGFNERVKGKGSVYGSWIQQQLILEHPSVGCFITHCGAASITEGLVNTCQLVLLPRVGSDHIMNARVMSEKFKVGVEVEKGDEDGLFTKESVCKAVKIVMDDENEVGREVRKNHAKMRNLLLSNNLESSCVDNFCQKLYDLL >CAK8542675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536574010:536575016:1 gene:gene-LATHSAT_LOCUS11494 transcript:rna-LATHSAT_LOCUS11494 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKGSFRMEPKSSSSSNTTTTTSSEQKHHRAFMMAQNILRILVILLTAVSIVVTVTNNQTVTLYTFRFEAHFYYTSSLKFFVVANSVVCFLSVLLLVFNLLRRKQQTHQLKDYYFFLFLFDLVMTVLLIAGCAAATAIGFVGQYGEDHVGWIPMCDNVKKFCRINLASLLISYLAFLVNLGLTALIAYKCTI >CAK8540564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10897343:10901754:1 gene:gene-LATHSAT_LOCUS9559 transcript:rna-LATHSAT_LOCUS9559-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMQGVRGPKPRFFTGNILDMASLVSKSTSQDMKTISHDIVGRLLPHFLLWSSQFGKRYIYWNGSEPRLCLTETELIKEFLSKYSTVSGKSWLQKQGSKHFIGDGVLMANGENWYHQRHIVAPAFIGDRLKSYAGHMVECTKEMLESLEKGLECGESEVEIGEYMTKLTADIISRTEFGTSYQKGKKIFHLLTVLQTRCAQASRHLCFPGSRFFPSKYNREIKSLKMEVEKLLMEIIQSRKDCVEIGRSNSYGNDLLGILLNEMKKKENSLNLQLVMDECKTFFFSGHETTALLLTWTVMLLASNPTWQEKVRDEVKRVCNGGIPSLDQLSKLTMLHMVINESLRLYPPASVLPRMAFEDIILGDLYIPKGLSIWIPVLAIHHNEKLWGKDANEFNPERFTSKSFIPSRFLPFAFGPRNCVGQTFALMEAKIILAMLISRFSFTISDNYRHAPVVVLTIKPKYGVQVCLKPLES >CAK8540563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10897238:10901754:1 gene:gene-LATHSAT_LOCUS9559 transcript:rna-LATHSAT_LOCUS9559 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTILLFILVTLLFKLLYENLSCYFLTPLRIKKMMEMQGVRGPKPRFFTGNILDMASLVSKSTSQDMKTISHDIVGRLLPHFLLWSSQFGKRYIYWNGSEPRLCLTETELIKEFLSKYSTVSGKSWLQKQGSKHFIGDGVLMANGENWYHQRHIVAPAFIGDRLKSYAGHMVECTKEMLESLEKGLECGESEVEIGEYMTKLTADIISRTEFGTSYQKGKKIFHLLTVLQTRCAQASRHLCFPGSRFFPSKYNREIKSLKMEVEKLLMEIIQSRKDCVEIGRSNSYGNDLLGILLNEMKKKENSLNLQLVMDECKTFFFSGHETTALLLTWTVMLLASNPTWQEKVRDEVKRVCNGGIPSLDQLSKLTMLHMVINESLRLYPPASVLPRMAFEDIILGDLYIPKGLSIWIPVLAIHHNEKLWGKDANEFNPERFTSKSFIPSRFLPFAFGPRNCVGQTFALMEAKIILAMLISRFSFTISDNYRHAPVVVLTIKPKYGVQVCLKPLES >CAK8571918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493187700:493191668:1 gene:gene-LATHSAT_LOCUS24540 transcript:rna-LATHSAT_LOCUS24540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSPTTPLRSIFQNHPKPLLLSHSASSYTVKRSSTYANFTLSRNGKPLLSKGLLTLHKRKGIVRAATIEEIEAEKAFIEKDKSRMEKTLDNVRTNFSSIRTGRATPAMLDKIEVEYYGSPVSLKSIAQISTPDAKSLLVQPYDKSSLKSIEKAIVNSDVGMTPNNDGDVIRLSIPQLTSDRRKELTKIVSKQVEEGKVALRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDDYIKKVDVIYKQKEKELLTV >CAK8571917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493187700:493191668:1 gene:gene-LATHSAT_LOCUS24540 transcript:rna-LATHSAT_LOCUS24540 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSPTTPLRSIFQNHPKPLLLSHSASSYTVKRSSTYANFTLSRNGKPLLSKGLLTLHKRKGIVRAATIEEIEAEKAFIEKDVKSRMEKTLDNVRTNFSSIRTGRATPAMLDKIEVEYYGSPVSLKSIAQISTPDAKSLLVQPYDKSSLKSIEKAIVNSDVGMTPNNDGDVIRLSIPQLTSDRRKELTKIVSKQVEEGKVALRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDDYIKKVDVIYKQKEKELLTV >CAK8538416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482080265:482080866:1 gene:gene-LATHSAT_LOCUS7620 transcript:rna-LATHSAT_LOCUS7620 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKAFIILGLLAMVLLISSEVSARDLTETSTNTKEKAVEKSNEVNDAKYYGGGYGGYGGYGGGYGGYRGGYGGYNGGYGGYRGGYGGYNGGYGGYRGGYGGYNGGYGGYRGGYGGGGGYGYGGGGGYNSDVSDNGN >CAK8566229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393712678:393714270:-1 gene:gene-LATHSAT_LOCUS19381 transcript:rna-LATHSAT_LOCUS19381 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKSCSVFSQMEKTINDAVSNSFIGTYFKLQQRKTCFTKELRAATATFLTMAYIITVNATIITASGGTCSVADCSPPATPDCTLKPNAGYETCLAKTKNDLVVATSVTAMVSSVAMGLLANLPLGLAPGMGPNAYLAFNLVGYHGSGSISYRTAITVVFVEGCAFLFVSVFGLRGKLAKLIPHSIRLACAAGIGLFIAFVGLQSSQGVGLIGPDASNLVTITACKSIDPETGACLGGKLQSPKFWLGVLGFLITSYGLMKNVKGSMIYGILFVTFVSWFRHTEVTYFPDTLIGDGNFSYFKKIVDFHKIESTALVFRFSDFNKREVWEALVTLFYVDVIAMTGIMYTMAEIGEFVDEKGNFEGEYMAYIVDAAGTIVGSALGVTTTATFVESSAGMREGGRTGLTAVIIGLFFFLSLFFTPLLSSVPPWAIGPSLVMVGVMMMKVVKDIDWSNVKEAVPAFAVMILMPLTYSIANGIVAGIGLYVALSLFDYAASIINWLGKMRRMVIKEHNQVSATATCVDPIVEII >CAK8563193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582166384:582167088:1 gene:gene-LATHSAT_LOCUS16627 transcript:rna-LATHSAT_LOCUS16627 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVMLKGPYTIRNMPMLLREWQPGFNLKNDMLRALPIWIKLPNLPLHLWGEKSLSKIGSAIGKPVTTDECTANKFQFSYARLLVEVDVTQALVKEIIINDRNGAKLVQPIEYEWKPKFCEQCQRFGHICEAPKPVKVWQPKQKQKAGHVRTDDVERMTLATVAAEPSSTPTAEGTNLYIQKNANLENWTTSTKSGRDKHKGTPKDKVLLNCTNGFGLLGDMDVSKMLVDGDPC >CAK8567551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524151904:524159128:1 gene:gene-LATHSAT_LOCUS20594 transcript:rna-LATHSAT_LOCUS20594 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQQDKFVRFQDWNSEMNSPGIHVTRSGRIRTTLKSVSRKFQRGFESSSERIKGFAKPFKSFSHHSLLARFFSSGKEILDPQGPFLQKWNKIFVLSCLIAVSIDPLFFYIPMIDDDKKCLSRDRKMETTATVLRSFSDIFYITHIIFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYMSSYFLIDILAVLPLPQVVILFIIPKMRGSESLNTKNLLKFIVFFQYVPRFVRIAPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQNACGKNSTCIKAQMYCDDRHGLGNISTFLNASCPIQNPNTTLFNFGIFLDALQSGVVGSTDFPQKFFYCFWWGLKNLSSLGQNLATSTFVWEICFAIFISIAGLVLFAFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDSLRERIRRHEQYKWQETRGVDEDNLIRNLPKDLRRDIKRHLCLALLMRVPIFEKMDEQLLDAVCDCLKPVLYTKESCIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPRSSANLPISTRTVQTLSEVEAFALKAEDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYCKKKLEESLREEENRLQDALAKEGGSSPSLGATIYASRFAANVLRAIRRNGTRKTRVPERLPPMMLQKPAEPDFTAEEQ >CAK8564035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647053488:647056166:1 gene:gene-LATHSAT_LOCUS17380 transcript:rna-LATHSAT_LOCUS17380 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSQNPPFQQEKVIIPNKYGNKLVGILHEAGTKEIVILCHGLQASKEDIIMTQLAAALENAGISSFRFDFTGNGESEGSFEFGIYWREVDDLHSVAQHFHEANRRVMAIIGHSKGASAVLLYASKYHDIKTVVNLSGRYDLKAGLENILGKNFMERIRKEGFIELKTKSGSVDYRITEESLKDRLSINMHETCMQIDKECRFLTVHGDADAIIPVGDAFEFANILPNHKLHIVEGADHVYTDHLAEIASVVVDFMKETFAKEQI >CAK8560610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28444233:28444811:-1 gene:gene-LATHSAT_LOCUS14271 transcript:rna-LATHSAT_LOCUS14271 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYILDNTKEHIKDIGNNKVSTPLALGAGHVDPNRAIDPGLVYDVGVQDYVNLLCALNYTQQNIAVITRSTSNNCSKPSLDLNYPSFIAFSNSKNSSSRIIQQFYRTVTNVGEGQTTYVAKITPIKGFNISVIPNKLVFNKKNEKISFKLKIEGSINTQNDEVVFGYLTWEDGKHVVRSPIVITTRNFSL >CAK8543270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594635543:594637327:-1 gene:gene-LATHSAT_LOCUS12039 transcript:rna-LATHSAT_LOCUS12039 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSQLQLPFNLNQQQPLYRNICNTLLSLTYSRSLSKGVQLHAHIIKLGLQTIPLLSHHLINFYSKTHLPYSSLQIFNDSPHKSATTWSSIISSFAQNDLPLVSLEFFRRMLRHGLTPDDHIFPSATKSCAILSSLPVAQSLHCFAFKTAYHVDLFVGSSIIDMYAKCGDISFARKVFDEMPNRNVVSWSGLIYGYVQLGEDDEALKLFKQFLVEEDDGVNDFTLSSVLRVCGGSTLLQMGKLIHGLSFKTSFNSSCFVASSLISLYSKCGVVEEAYDVFEEVTVRNLGMWNAMLIACAQHAHTNKTFELFDKMKSVVGVKANFITFLCVLYACSHAGLVEKGKYYFELMKDYGIEPGTQHYSTMVDLLGRAGKLDDAVKMIEEMPMEPTESVWGALLTGCRIHGNPELASYVADRVSETGYVSSGLHVMLSNAYAAAGRWEEAAKARKTMRDSGIKKETGLSWVEEGNRVHTFAAGDRSHAKTLEIYEKLEELGEEMDKAGYVADTSFVLKEVGGEEKNRTIRYHSERLAIAFGLITFPQGQPIRVMKNLRVCGDCHTAIKFISKCTGRVIIVRDNNRFHRFEDGKCTCGDYW >CAK8568242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585539747:585543076:-1 gene:gene-LATHSAT_LOCUS21220 transcript:rna-LATHSAT_LOCUS21220 gene_biotype:protein_coding transcript_biotype:protein_coding MFIELHTFLVFLYSFYTVGALNSDGMALLSFMSHWTSVPARINSSWTPSHLTPCSWIGVQCNSYHRAISLNLSHYHIYGQLGPELANCTELAHIDLGHNSFNGQIPYSFTNLYNLKYLCLSSNLLTGPFPHFLSQIPHLHLLDLSYNQLNGSIPASIANMTQLRNLYLQNNHFSAAIPSSVGNCTQLQDLFLNHNQLQGVIPHTINHLKHLLHFRVASNNLTGIIPLGSSSCPNLLTLDLSLNSFHEGIPSGIGNCTALIQFVAISSNLVGTIPFSMGLLTKLSLLQLSNNHLSGKIPPELGNCKSLNVLHLNSNQLDGNIPNELGKLSQLQDLELFSNQLSGEIPLDIWKIQCLEHLLLYNNSLSGELPVEMTELKNLKNISLFDNMFSGVIPQSLGINSSLVQLDFINNSFTGNLPPNLCFGKKLKLLNMGINQLQGRIPPDVGRCTALKRVILKENSFIGPLPEFERNTNLLYMDISSNKINGSIPSSLGNHTNLTVLIMSWNKFSGYIPPELGNIVNLQMLNLSHNNLEGPLPFQLANCTKMHKFDLGFNFLNGSLPSSLQRWTKLNTLFLSENRFSGGIPAFLSAFKELYELQLGGNMFGGRIPGSVRALQNLRYGLNLSSNGLIGDIPVEIGNLKALQMLDLSQNNLTGSIEVLDELPSLFEINISNNYFWGPIPKTLMKRLNYHWSSFLGNPGLCISCSPSSGLVCNGTSYVKPCDNNKTTGLSKIAVVMIALGSSIFVVLLLMGLVYIFAYGKISKQQVHITDNENGGPSSLLNQIMEATSDLSDRYVIGRGAHGVVYKALVSQDRAYAVKKLAFAASNGNKLSMIREIQTLGHVRHRNLVKLENFWFRQDYGLILYSYMSNGSLYDVLHEKKPAPSLEWNVRYKIAIGIAHGLAYLHYDCDPPILHRDIKPNNILLDSDMEPHIADFGIAKLLEQTSSNPSSSVLGTIGYIAPEKAYTTVSSRECDVYSYGVVLLELITRKKVVDSSFMEEGIDLVGWVRRLWSEKEEINQIVDSSLANECEDTNIMENVTEVLMVALRCAEKDPHKRPKMTDVTKQLSDSNPQKISKKG >CAK8571969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:498294857:498297199:1 gene:gene-LATHSAT_LOCUS24580 transcript:rna-LATHSAT_LOCUS24580 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSETLDNSIEMDESLIGVLGCDLGASEGELNDGLGFGGSIKEGKDLNPVIEGVLGFEGSQDIESEMIELGGNEAALKTLDEKEKEVMMSDAVEVELDEGKVGISDKIDNLHEEQEFSDADEVKVVKHVSVKNSGKSYQARYQLPAEKEGKFSMNDLVWGKVRSHPWWPGQIFDPLDSSAEAMKHFKKNSYLVAYYGDGTYAWNEASKLKSFRSHFSNIEKNKNSEVFQSALDFALDEVKRRVEFGLACSCIPKDTYEKIKHQTIENSGIQQEPSFINRVDESLSVSSFLPENLMKYLKDLSKFPTGGFDRLELLIAKAQLLAFYRLKGYSCLPEFQYFRGLDNEINPSINDTDNRLSEVYEHTIHVSKIGDQTGTGNSKTTNRSRRKHKNNLKDDVYPAKKKSLLERASVTPDSTHGDYLNDEANANVTYPVFSKKRKTINHHTDVSGMKGRRKTISLVKSSNTTVQSFKIGECIRRVASQLTRPPSLLKCSGNRSQMTDGNADSFSGNESDSFSPSLEETQKSSLTIPTEVSSLDDLLSLLQRVAQEPQGDYRLLNVIVSFFSDFRNSIAMADDSKKEILPTDEVGTIRRKQPVGGSPKTFDSDDLNDTHCIQNGSEEQQSQRSSRLDHPEKPVHVYARRSYSKKQCFDSNHAEIREKPSGYIDEKSPAELVLTFNELDSVLSETSLNNIFKQFGPLKESETQIDRGSSRARVVFKKCADAEVAFSSAEKFNIFGQSHVDYRLNYSPSAFLKTASFSTMPDEEMYLNLSNVELNMA >CAK8539165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505823151:505823828:-1 gene:gene-LATHSAT_LOCUS8285 transcript:rna-LATHSAT_LOCUS8285 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGVSLNHISRESTDINRLAKFYQEIFGFEQVESPKFGEFKVVWLRLPSSSLYLHLIERNPSNNLPEGPWSAASPVKDPSHLPRGHHLCFSVPNFQSFIHTLKDKGIETFQKSLPDGKIKQVFFFDPDGNGLEVASKENSS >CAK8532873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:540799739:540802709:-1 gene:gene-LATHSAT_LOCUS2535 transcript:rna-LATHSAT_LOCUS2535 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMRWRPWPPLVTRNYHVRLTVNKLTGCHLLRHSCSRLTVEIKWKGSKSSSLASLRRSSVARNFTKEAVVECDGGDHGGFVVNFDEEFQKFCNLNGYKDNVVHPWEIAFRIFNGLNEKPKKKRVVVGTALLNIAEFANSNDENGFDLNIAEFANSNDENGFDLNIPVTIPGGSSQHSPSLCISISLMEVRGAQEKTLSVHRSVVPVSSPQAESGDSMITEKEVLSASKGGFWKVKSFTEFVSSRKSQKPCRGEERSTDSKCSGSGDVNPSYAVDSGSLDDFGGDPDEGNEDSFVGNSGYGTLASANAGGSHSYYSNTKVNCDDGDWVYYSYRMPDAGPSEMEDSTVSSSESYLSQSIKRSILPWRKRKLSFRSSKGVKGEPLIKKDYAEDGGDDIDFDRRQLSSDESFSSKSLKTEDNWCSEFGDDMFVVGKWEQKEITSRDGHMKLETQVFFASIDQRNERAAGESACTVLVAVIADWFQNCHDHMPLKSQFDTLIRDGSLEWRNLCENETYRNRFPDGHFDLETVIEAKIRPLSVVPGKSFIGFFHPEGMDEERFEFLRGSMSFDNIWDEISGSEHEWLSNGEPHLFIVSWNDHFFILKVESDCYYIIDTLGERLYEGCNQAYILKFDSNTVIHKTQNVAQSSSDNKTTGGDRQTVAQVFERNNKSEQQVNNGNEVDSIVEQEDNEVVCRGKEACKEYIKSFLAAIPIRELQVDITKNNITFSPHQRLQIELHYTQLLQSCLSTPVAEATVAAAETPVAEATVGAAETPVAEATVAAADTLALAINEIST >CAK8571678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460502606:460502806:-1 gene:gene-LATHSAT_LOCUS24317 transcript:rna-LATHSAT_LOCUS24317 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAPKIIDGEMEIQIEEEDVEKEVKFWESALIMSALGVDISMNAVKQFMSKSWNFVKLPDIFYN >CAK8578158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610280918:610281175:1 gene:gene-LATHSAT_LOCUS30196 transcript:rna-LATHSAT_LOCUS30196 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLWRNNFCQWSNDGGNNGTIDAFNNRGGQQDFGEAEFKTGAQITNGGYTNLHNNGTKHAFNNSYGGTQNFGKATFNTGARIGN >CAK8543273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594706505:594721517:1 gene:gene-LATHSAT_LOCUS12040 transcript:rna-LATHSAT_LOCUS12040-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPGRENELLWKSEPQPESIVSVTLTRSITSLLSARPTKLHDSISRLSSHSPSPTTTASLHDSLLFFHSFATDAANHNRSLDQLFVPIIHSSLKCKDSKHGGQAMILLNWLFQDELIFVPVVESLASIITRNHDRYLLFGWCLLLRSLVDYESSVHQSMLGGIRDRYVDLLKILSTCLPDLAGIVSKESILQDGFELPSRLGVSAADCFLAISGALTKGAKIKDKKSKINTKTKDQAITFIQCPTVDKKVKSDSKSLLMSKFEKDYTLWHHIDDLICLVQRLHSWSKKSRFLHAKGLEQVLKWLEEIKDLYGSFQPEADSNAFKTGDLLLSSCWKHYYLLLHLEDQKFSQHHKELLDQYLSGIQYYIDNHASESADNKDGGLETTKFFLNCLCLLLGRLDSKRFESTMSEIGMKISRILVPQLKCTDEDVIVGVVSIFKAIILKPNHSQEEALVNSRQANIVIPILLHLLDEQDGTSRAVVMLIAEYCSISKDDMCLMEVLKRLASENISQRRNAMDVIAEILHISSETRKSLPSTAWQETANTLLERLGDKDIGIREQASKLLPMIDPSMYLPALVRLVYSPDENQSSASDAIIGVLKGHNQNIEIIFLLVDCLNNISQSLDLPQSAGDKESKLDTARVLKLVPEWSKSVQDWSNLIGPLIDKMFADPSNAAIVKLFSYISDDLAIVVDLVLHHVLLHVREQKGIDESFLSKWECRTYTSDEYDEMQRTLFDHLCPLLIIKMLPMKTFDDLNSSVMYGHFSQNKMHGSKSPELDHECIASFLLSRALYEFEFEDVRKLSAELCGRIHPQVLLPIICSKLELFVDSKNVLKIKACLFSICTSLMVRGWESLSHPLMHTIKRMVETVLLWPCLNADSVSKVQHGCIDCLALMICVELQAEESITDSTSDRIRVIGKKAAGDSIVTRVMNQFFIDRKEQTSNPEFGEENCESVAAVPLSFRLCMGNVLISTCQKISESCKKHFAAQVLPFLIDSLKFELKSEIRAACIQVLFSAVYHLRSAVLPYAYDLLKTSLKALRKESGKERMAGAKLIASLMASEDVILESISVGLLEARSVLSTVSSSDPSPELRQLCSKLLACISSP >CAK8543272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594706505:594721517:1 gene:gene-LATHSAT_LOCUS12040 transcript:rna-LATHSAT_LOCUS12040-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPGRENELLWKSEPQPESIVSVTLTRSITSLLSARPTKLHDSISRLSSHSPSPTTTASLHDSLLFFHSFATDAANHNRSLDQLFVPIIHSSLKCKDSKHGGQAMILLNWLFQDELIFVPVVESLASIITRNHDRYLLFGWCLLLRSLVDYESSVHQSMLGGIRDRYVDLLKILSTCLPDLAGIVSKESILQDGFELPSRLGVSAADCFLAISGALTKGAKIKDKKSKINTKTKDQAITFIQCPTVDKKVKSDSKSLLMSKFEKDYTLWHHIDDLICLVQRLHSWSKKSRFLHAKGLEQVLKWLEEIKDLYGSFQPEADSNAFKTGDLLLSSCWKHYYLLLHLEDQKFSQHHKELLDQYLSGIQYYIDNHASESADNKDGGLETTKFFLNCLCLLLGRLDSKRFESTMSEIGMKISRILVPQLKCTDEDVIVGVVSIFKAIILKPNHSQEEALVNSRQANIVIPILLHLLDEQDGTSRAVVMLIAEYCSISKDDMCLMEVLKRLASENISQRRNAMDVIAEILHISSETRKSLPSTAWQETANTLLERLGDKDIGIREQASKLLPMIDPSMYLPALVRLVYSPDENQSSASDAIIGVLKGHNQNIEIIFLLVDCLNNISQSLDLPQSAGDKESKLDTARVLKLVPEWSKSVQDWSNLIGPLIDKMFADPSNAAIVKLFSYISDDLAIVVDLVLHHVLLHVREQKGIDESFLSKWECRTYTSDEYDEMQRTLFDHLCPLLIIKMLPMKTFDDLNSSVMYGHFSQNKMHGSKSPELDHECIASFLLSRALYEFEFEDVRKLSAELCGRIHPQVLLPIICSKLELFVDSKNVLKIKACLFSICTSLMVRGWESLSHPLMHTIKRMVETVLLWPCLNADSVSKVQHGCIDCLALMICVELQAEESITDSTSDRIRVIGKKGDSIVTRVMNQFFIDRKEQTSNPEFGEENCESVAAVPLSFRLCMGNVLISTCQKISESCKKHFAAQVLPFLIDSLKFELKSEIRAACIQVLFSAVYHLRSAVLPYAYDLLKTSLKALRKESGKERMAGAKLIASLMASEDVILESISVGLLEARSVLSTVSSSDPSPELRQLCSKLLACISSP >CAK8543271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594706505:594721517:1 gene:gene-LATHSAT_LOCUS12040 transcript:rna-LATHSAT_LOCUS12040 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPGRENELLWKSEPQPESIVSVTLTRSITSLLSARPTKLHDSISRLSSHSPSPTTTASLHDSLLFFHSFATDAANHNRSLDQLFVPIIHSSLKCKDSKHGGQAMILLNWLFQDELIFVPVVESLASIITRNHDRYLLFGWCLLLRSLVDYESSVHQSMLGGIRDRYVDLLKILSTCLPDLAGIVSKESILQDGFELPSRLGVSAADCFLAISGALTKGAKIKDKKSKINTKTKDQAITFIQCPTVDKKVKSDSKSLLMSKFEKDYTLWHHIDDLICLVQRLHSWSKKSRFLHAKGLEQVLKWLEEIKDLYGSFQPEADSNAFKTGDLLLSSCWKHYYLLLHLEDQKFSQHHKELLDQYLSGIQYYIDNHASESADNKDGGLETTKFFLNCLCLLLGRLDSKRFESTMSEIGMKISRILVPQLKCTDEDVIVGVVSIFKAIILKPNHSQEEALVNSRQANIVIPILLHLLDEQDGTSRAVVMLIAEYCSISKDDMCLMEVLKRLASENISQRRNAMDVIAEILHISSETRKSLPSTAWQETANTLLERLGDKDIGIREQASKLLPMIDPSMYLPALVRLVYSPDENQSSASDAIIGVLKGHNQNIEIIFLLVDCLNNISQSLDLPQSAGDKESKLDTARVLKLVPEWSKSVQDWSNLIGPLIDKMFADPSNAAIVKLFSYISDDLAIVVDLVLHHVLLHVREQKGRIDESFLSKWECRTYTSDEYDEMQRTLFDHLCPLLIIKMLPMKTFDDLNSSVMYGHFSQNKMHGSKSPELDHECIASFLLSRALYEFEFEDVRKLSAELCGRIHPQVLLPIICSKLELFVDSKNVLKIKACLFSICTSLMVRGWESLSHPLMHTIKRMVETVLLWPCLNADSVSKVQHGCIDCLALMICVELQAEESITDSTSDRIRVIGKKGDSIVTRVMNQFFIDRKEQTSNPEFGEENCESVAAVPLSFRLCMGNVLISTCQKISESCKKHFAAQVLPFLIDSLKFELKSEIRAACIQVLFSAVYHLRSAVLPYAYDLLKTSLKALRKESGKERMAGAKLIASLMASEDVILESISVGLLEARSVLSTVSSSDPSPELRQLCSKLLACISSP >CAK8543260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593268863:593270437:-1 gene:gene-LATHSAT_LOCUS12029 transcript:rna-LATHSAT_LOCUS12029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMQRQAVPLSRSEKCIVGTGLERHVDLDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8578357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623196189:623198328:-1 gene:gene-LATHSAT_LOCUS30374 transcript:rna-LATHSAT_LOCUS30374 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSRKTGSTIFRGHPCRRSVESRPFSRSSQFIFPGTGVSHTKSVDGDDYSDVFGGPPRSLLVHKFSNSDSFYEEIIRMPEFTPPAEKCDITLPVFRIPARNEGFFSDIFGSDDDRKSRERSGSLSQANSSSALSSEELSPCRPIIGEDVPLSVLASKLRPISVPWKWNSSAMVPEECPSKQDVSFFPCNVQSFENNEYKKNFKSSPHRFSKRVSSPETISLGSCSYQGVKVFADDSDLNSPSESKCSVHDHVLLEQIMQPEEDDGESDDDYSDDDDDFMSSYVIEINSDLKKGECEASDIDEAIAWAKEKFQSGSPNEESRMKNDSNEQTLQRQGSSDASIHDNGIGKVQPPKKQQTETEKLDENIRMWSYGKETHIRLLLSTLHHILWPESGWYTISLVNLKENSQVKRAYQKARLCLHPDKLQQKGETFLHKYVAEQAFSILQDAWAAFISEDVSI >CAK8569846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13572393:13573526:1 gene:gene-LATHSAT_LOCUS22655 transcript:rna-LATHSAT_LOCUS22655 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSPNPAGQNDVSPVKQDRPVLFNLLGQFEENHDPKDHKLVLHYGGSSSSSINPPQLHNSSNSSSGSAMVDQSSARDTNLSSSVEMEDSGQINGDLLAKWTSSKMRLMRKLMMPERSYVAARNEEKEIINTSASAATTPYRGVQNERYNQGSPTSRVCAECQTTSTPLWRSGPMGPKSLCNACGIRQRKAIRAEEEAGITSSKTNKEKEKKLLVSKCTQFKKKNKTASAAATTTTTGGSSSEEMEERLELFVSRVRETSGLEDTTEDVVDYALLLMDISCGYVYP >CAK8564578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:924638:927969:1 gene:gene-LATHSAT_LOCUS17873 transcript:rna-LATHSAT_LOCUS17873 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSCDWTYDVFLSFRGTDTRNNFTGNLYSSLHQRGINTFFDEEEIQKGDELTPTLLQAIEHSRIFIAVFSNDYASSTFCLTELVTILECANSQGRLFFPIFYDVNPSHIRHLTGTYGEAFKKHRKRFKKDKDKVQKWRDALQHAANVSGCHFKPGSESEYKFIGKIVDEISIKINRVPLHVANNPIGLESRELEVISLLGFESGDRVNMVGIYGIGGIGKSTLARAVHNLIANQFESVCFLADIRQREARDGLVHLQETLLSEILGEKDMKVGDINKGISIIKRRLQKKKVLLILDNVEKVQQLQALVGGDDWFGSGSKVIITTRDKNLLTTHGNVKLYEVKQLTNDKALDLFSCHAFKNHENNLDYVDIAKRAVSYCHGLPLALEVIGSQLCGKSLSVWKSSLDVYERVIHKDIHAILKVSYDNLEEDVKGMFLDIACFFNSYEIGYVKEILYLHGFHAEHGIKELTDKSLMKIDTNGCVRMHDLIQDMGREIVRQESTLEPGRRSRLWFSDDILHVLEENKGTDSIEVIIADLRKVRKVKWCGKAFGQMKNLRILIIRNGRFSQGPQILPNSLKVLDWSGYQSSSLPSDFNPKNLAILNLPESCLKQFESFKAFEMLNFLDFEGCNFLTEIPSLSRVPNLGALCVDNCTNLNRIHESVGFLDRLVLLSAQGCNQLENLVPKINLPSLETLDLRGCSRLESFPEVVGVMENIKDVYLDQTAIKQLPFTFGNLVGLQRLFLRGCQRMIQLPSYRFPKCEIVTTYGCRGFRSFDNEEKVRPKVFEDTMFVYSEHGWSYLNMYSRYTTSNDVIEVCSPLHNSEVTKFQFFDASKNVEVKKNKSSVCFWFRNKFPRIVLVCDVESEKHLDNMALNFELNVLINGTNQLASSCEYIFYPEKKTVHTLHCQVQCKVEGVFSKNEWNQVDILCEIKHLMPCDFEGVMAYQDRTSKRIVKWYVISVMIENKRDD >CAK8533125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580666226:580666540:1 gene:gene-LATHSAT_LOCUS2773 transcript:rna-LATHSAT_LOCUS2773 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSYQLHFFVDSSSYFTNINNSLATHTLHVHQDNSISNQETSCVDDQASKNSLSPESSMVVDNLEKGEQVTQKVSSMEKKRRVRTNKYSSSSPLSKVELFGK >CAK8539741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523765457:523766709:-1 gene:gene-LATHSAT_LOCUS8811 transcript:rna-LATHSAT_LOCUS8811 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQVGVRTRARAALAMEATSSPQRTTKRRKINNRTENRKFSTVKPKIATVMPEPETEKYSSRSTSDEEFPASCCSSNGSVELDEERIKSLDLEVESAQGETSMCNCDEEIERREMSRSSEFRGNSHELESMETNSRRPISSPKNTPTEFELEEFFAAAEKDIQKKFQEKYNYDILKDVPLEGRYEWVQLKP >CAK8560490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21979722:21985110:-1 gene:gene-LATHSAT_LOCUS14158 transcript:rna-LATHSAT_LOCUS14158 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSFEIDINQRRHYPTPVSYQSVSGSSSFIHSTSRSNVTPRTRRTRRNRIPSTPFASDDDRSWQSEVSWKFEPTGLREHSTNFGSVLSPWPTNSVSDRSRVFRQSANDYYLSRMGGFRGHRDSTNEHSSYGRVELRSHVARDNNDHSYFDQYSGFSKLGIIKGVSGGNRNIHDKTSPLAEEDELSVIDDSISDEQVIRDRDHGHAVPPYGSKSPSQIYGGGGGYSHYESKLVSGYDNEGDDDMDDDDDAAGPPKNVGLFSLFRYTRNWDWLLVFIGCVGALINGGSLPWYSYLFGNLVNKLSREAKNDKGQLMKDVEQICVFMTGLAAVVVVGAYMEITCWRLVGERSAQRIRTEYLRAILRQDISFFDTEINTGDIMHGIASDVAQIQEVMGEKMAHFIHHVFTFICGYAVGFRRSWKVSLVVFSVTPLTMLCGMTYKALYGGLTAKEEASYRKAGSIAEQAISSIRTVFSFVAESQLGEKYSDLLEKSAPIGARIGFAKGAGMGVIYLVTYSTWALAFWYGSILISKGELDGGSAIACFFGVNVGGRGLALALSYFAQFAQGTVAASRVFYIIERIPEIDPYNSEGRKLSSARGRIELKNVIFAYPSRPDSLILNSINLVFPSSKTLALVGASGGGKSTIFALIERFYDPIEGIVTLDGHDLRTLQVKWLRDQIGMVGQEPILFATSILENVMMGKDNATKEEAISACIAADAHKFISSLPLRYDTQVGDRGTKLSGGQKQRIALARAMIKNPKILLLDEPTSALDAESEAAVQRAIDKISAGRTTIVIAHRIATVKNADAIVVLEHGTVTEIGDHRQLMAKSGTYYNLVKLATESISKPLPVENGMQKTKDLSSMNNKYVSDIAKSSYLVDISRSKHMDSMQDESQEDIEDKQDKKARKYKLSEVWKLQKPEFMMLSSGLVMGMFAGAFLSLFPLVLGISLGVYFGNDTSKMKRDVGYLCLVLVGLGFGCILSMTGQQGLCGWAGSKLTLRVRNLLFQSILRQEPGWFDFDENSTGVLVSRLSIDAVSFRSVLGDRFSVLLMGLSSAAVGLGVSFAFNVKLTLVAAAVTPLTLGASYINLIINIGPKINNDSYARASNIASGAVSNIRTVATFSAQEQIVKSFDKALSEPRKKSLKSSQLQGLVFGLFQGAMYAAYTLTLWFGAYLVKRDEGKFEDVYKIFLILVLSSFSVGQLAGLAPDTSMAATSIPAVQDVINRKPLIGNDGRKTRKVDKSKSFKIEFKMVTFAYPSRPEITILRDFCLKVKGGSTVALVGPSGSGKSTVVWMTQRFYDPDQGKVMMSGVDLREIDVKWLRRQIGLVGQEPALFAGSIRENIAFGDQKASWAEIEAAAMEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKKSKVLLLDEASSALDLESEKHIQEALKNVSKEATTIIVAHRLSTIREADKIAVMKNGEVVEYGSHDTLISTLQNGLYASLVRAETEANAFS >CAK8565298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:107653841:107655318:-1 gene:gene-LATHSAT_LOCUS18522 transcript:rna-LATHSAT_LOCUS18522 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTQASAAVFRPCCLKSRFLSGSSGKLNREVAFRPVGCSPSASFKVEAKKGEWLPGLASPGYLTGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTSIGIINVPKWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPEGEVGYPGGIFNPLNFAPTLEAKEKEIANGRLAMLAFLGFIIQHNVTGKGPFDNLLQHISDPWHNTIVQTLGGN >CAK8573869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643188034:643190083:1 gene:gene-LATHSAT_LOCUS26269 transcript:rna-LATHSAT_LOCUS26269 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCFSPLDFCFRRRAASDFLLWHTDLKPHASGDFSIAVAQANYSLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNNRLFPYLHKFASEQGGLSVDVIKKAFSATEEDFLHLVKLSLPLSPQIASVGSCCLLGAISNNVLYVANLGDSRVVLGRKYTENKSCTVEAVRLSTDHNVADEEVRKEVEALHPDDSHVVVYSRGVWRIKGIIQVSRSIGDVYLKRPDFYRDPVFRQFGNPIPLKRPVMTAEPSIIIRELESDDLFLIFASDGLWEQLSDEAAVDLVFKYPRAGIAKRLVRAALQEAAKKREMRYADIKKIDKGIRRHFHDDITVIVIYLDQQRGSSNGGSKQTAVGYTTAPVDIFSLNADEAEKSMLGSVA >CAK8543319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599860982:599862031:-1 gene:gene-LATHSAT_LOCUS12084 transcript:rna-LATHSAT_LOCUS12084 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMFSGTAIVLSFLSLLLLSPVTFSEKCNPQDKEVLLKIKQELNNPYLLASWDPKTDCCDWYCVECDIKSHRITALILQSSVPDTNLSGHIPPSVGDLPYLQNLEFHKLPRLTGPIQPTIAKLKNLKYLFIEYTNVSGSIPAFLSELKNLQLLHLSTNNLTGSIPSSLSQLPNLESLHLDRNKLTGPIPESFGSFKKPGPDLILSHNQLSGPIPASLGQIDPERIDLSRNKLEGDASVLFGSKKRTQILDVSRNLLSFDLSKVDFPKQSLIWLDLNHNKIYGKIPVALTKVENLQQFNVSYNKLSGEIPQGGELQKRFDIYAYFHNKGLCGLPLPPCKNDNGDNLAMF >CAK8577868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594879606:594879914:-1 gene:gene-LATHSAT_LOCUS29936 transcript:rna-LATHSAT_LOCUS29936 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKRSRGRPKATVSPVPTQPSPHVVQSMVNTEKQDEEGESERNKNVGETEDENVKGETLKEDQNGKLENRKLWVDVISENWNPTKGRSMEYVTPKVMKEK >CAK8541078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:59236580:59238998:1 gene:gene-LATHSAT_LOCUS10029 transcript:rna-LATHSAT_LOCUS10029 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLCGSSNSIKEKSVQPNSSKKSVTNSCDDLDQITSILTPQSLNLPSLKFDLDGDVEVQSPDSSMWESFFNDHLDNDFMISSPIRNNINPNSPQPYNNSNYNNNNYVQGMQIQTFSPPRLNSYNNNQQKGKGLSPLHRVFNSPNNQYMQHVENLSLPAIEEFLEDFQVDVDFSSTKAISSNDQCFDMETPISTILDSLTMQNSSSRYCGSVNEESSVMHAGGGSSQVSQESDMYHQMGSMASASLSQALQQERYQEKHQKLQAQQQGLTVPIPIGIEQEQDSGLQLVHLLLACAEAVAKEEYMLARRYLHQLNRVVTPLGDSMQRVASCFTESLSARLAATLTTKSSSMKKITPPSSSSSSSSSFSTFPSNAMEVLRIYQIVYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGPCIESVRETGRCLTELAHSLRIPFEFHPVGEQLEDLKPHMFNRRVGEALAVNTVNRLHRVPGNHLGNLLSMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPMESAPRAKVEQYIFAPEIHNIVACEGEERIERHERLEKWRKIMEGKGFKGVALSPNAVTQSRILLGLYSCDGYRLTEDKGCLLLGWQDRSIIAASAWRC >CAK8530689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41047687:41049311:1 gene:gene-LATHSAT_LOCUS534 transcript:rna-LATHSAT_LOCUS534 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYTSNRFLIFIIFTACLCLYVAIQKKKPDENKDILNISSRLEIPTSKYTSISDLKLDKLPNQDDVIELFQGWKKENGRVYNDLEEMSKKFATFVSNLKYIVESNAKRDSPNSVRLGLTYFADLSITEFRETHMPLNTDAMDIVNDDDVQDVTCSDPPSSLDWRLKGAVTPVKNQRLCGACWAFGTVGAIEGIVAIKTGKLISLSEQEILDCDVTGSCARGLVGRAFYWVEENNGIATNESYPYTASKGVCRSSQIQNSENSSISAHKSAPRSDRGLLCAVAKQPIVVMIYSRSQSYQLYTHGVFQGDDCPLDSVEVSHTMLLVGYNSTDTEDYWIVKNSGGPTWGMQGYMWIKRNTNKKYGVCAINAWASFPIKN >CAK8539600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517544938:517547331:-1 gene:gene-LATHSAT_LOCUS8682 transcript:rna-LATHSAT_LOCUS8682 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTSNYIVHAHYNGETFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKTLSGQISQIIYRSPVFFYNNEVKYFQEKILDNSDVDQMFDSHEQSGLDYIEVYLLLCQTEHEVGETTDIDEIDVVDEEEEDPETMVDQMVNLFGSGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQCSGEDTSSDYFYNPSQQIESVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVARSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSNAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIIDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGTCRLPGHTRKNCPNVGTSSR >CAK8537756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427034893:427038247:-1 gene:gene-LATHSAT_LOCUS7012 transcript:rna-LATHSAT_LOCUS7012 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSGRRITVSPRPCCGRRVVAKKKQGRGGAGDGFINSVRKLQRREICTKSIRGFSITDAQERFRNIRLQEEYDTYDPKGPSSVVLPFFRKRSKIIEIVAAQDIVFALAQSGVCAAFNRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRTEYIRRVQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTLLYSVADKNVQEIKISPGIMLLIYSKTSSHVPLKILSIEDGTVLKSFNHLLHRNSKVDFIEQFNEKLLVKQENENLQILDVRTFELKEVSKTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDESLSEGNAGSINVSNILTGKCLAKIRASNSFPITKECNCSDDCPSGGCNSKKRKQASRIRSTVTEALEDITALFYDEDRNEIYTGNRHGLVHVWSN >CAK8574704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7042536:7059830:-1 gene:gene-LATHSAT_LOCUS27023 transcript:rna-LATHSAT_LOCUS27023 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLPPKEANLFKLIVKSYETKQYKKGIKAADAILKKFPDHGETLSMKGLTLNGMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIAFSVAHHLNSNASKAVEILEAYEGTLENDYPPDNERGEHGEMILYKVSLLEECGFLDKALEELRQNESKIVDKLAFKEQEVSLVVKLGHLEEAESLYRILLSMNPDDYSYYEGLQKCVGLYLEDGKYSADQIDQLVSLYETLGQQYTWSSAVKRIPLDFLQGDKFREAAENYIRPLLTKGVPSLYSDLSSLYTHPGKADILEQLMLELEHSIRTSSQYPGSMEKEPPSTLLWTLFFLAQHYDRRGQYAISLSKIDEAIEHTPTVIDLYSVKSRILKHAGDLNAAAAFADEARYMDLADRYVNSECVKRMLQADQVDLAEKVAVLFTKDGDQHNNLHDMQCMWYELASGESFFRQSKLGWALKKFLAVEKHYADITEDQFDFHTYCLRKMTLRTYVKMLKFQDQLHSHAYFHKAAAGAIKSYIKLHDFPPKSTTEEDEHMSNLLPSQKKKLRQKQRKAEARAKKETEEKNEESNSSTVSKSGKRNVKPLDPDPHGEKLLQVEDPLSEAVKYLKLLQKNSPDSLETHLLSFELYTRKQKILLAFQAVKQLLRLDADHPDSHRCLIKFFHQFGSMGSPLTESEKLIWSVLEAERPTISQLHEKSLFDANNAFLDNHKDSLMHIAAFAESLYILDSNRKSEAVKLIEANNIIPRNEAIGPIGKSKLKDCIAVHKFLGTVLVDQDAALRWKVRCAEYFPYSTYFEGKHSSASPNSAFNQLRKNSENVSPNNAVDNQNVGSATSNGKPFENLTI >CAK8574706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7042536:7059830:-1 gene:gene-LATHSAT_LOCUS27023 transcript:rna-LATHSAT_LOCUS27023-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLPPKEANLFKLIVKSYETKQYKKGIKAADAILKKFPDHGETLSMKGLTLNGMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIAFSVAHHLNSNASKAVEILEAYEGTLENDYPPDNERGEHGEMILYKVSLLEECGFLDKALEELRQNESKIVDKLAFKEQEVSLVVKLGHLEEAESLYRILLSMNPDDYSYYEGLQKCVGLYLEDGKYSADQIDQLVSLYETLGQQYTWSSAVKRIPLDFLQGDKFREAAENYIRPLLTKGVPSLYSDLSSLYTHPGKADILEQLMLELEHSIRTSSQYPGSMEKEPPSTLLWTLFFLAQHYDRRGQYAISLSKIDEAIEHTPTVIDLYSVKSRILKHAGDLNAAAAFADEARYMDLADRYVNSECVKRMLQADQVDLAEKVAVLFTKDGDQHNNLHDMQCMWYELASGESFFRQSKLGWALKKFLAVEKHYADITEDQFDFHTYCLRKMTLRTYVKMLKFQDQLHSHAYFHKAAAGAIKSYIKLHDFPPKSTTEEDEHMSNLLPSQKKKLRQKQRKAEARAKKETEEKNEESNSSTVSKSGKRNVKPLDPDPHGEKLLQVEDPLSEAVKYLKLLQKNSPDSLETHLLSFELYTRKQKILLAFQAVKQLLRLDADHPDSHRCLIKFFHQFGSMGSPLTESEKLIWSVLEAERPTISQLHEKSLFDANNAFLDNHKDSLMHIAAFAESLYILDSNRKSEAVKLIEANNIIPRNEAIGPIGKSKLKDCIAVHKFLGTVLVDQDAALRWKVRCAEYFPYSTYFEGKHSSASPNSAFNQLRKNSENVSPNNAVDNQNVGSATSNGKPFENLTI >CAK8574705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7042536:7059830:-1 gene:gene-LATHSAT_LOCUS27023 transcript:rna-LATHSAT_LOCUS27023-3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLPPKEANLFKLIVKSYETKQYKKGIKAADAILKKFPDHGETLSMKGLTLNGMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIAFSVAHHLNSNASKAVEILEAYEGTLENDYPPDNERGEHGEMILYKVSLLEECGFLDKALEELRQNESKIVDKLAFKEQEVSLVVKLGHLEEAESLYRILLSMNPDDYSYYEGLQKCVGLYLEDGKYSADQIDQLVSLYETLGQQYTWSSAVKRIPLDFLQGDKCQEAIESYIRPLLTNGVPSLYSDLSSLYTHPGKADILEQLMLELEHSIRTSSQYPGSMEKEPPSTLLWTLFFLAQHYDRRGQYAISLSKIDEAIEHTPTVIDLYSVKSRILKHAGDLNAAAAFADEARYMDLADRYVNSECVKRMLQADQVDLAEKVAVLFTKDGDQHNNLHDMQCMWYELASGESFFRQSKLGWALKKFLAVEKHYADITEDQFDFHTYCLRKMTLRTYVKMLKFQDQLHSHAYFHKAAAGAIKSYIKLHDFPPKSTTEEDEHMSNLLPSQKKKLRQKQRKAEARAKKETEEKNEESNSSTVSKSGKRNVKPLDPDPHGEKLLQVEDPLSEAVKYLKLLQKNSPDSLETHLLSFELYTRKQKILLAFQAVKQLLRLDADHPDSHRCLIKFFHQFGSMGSPLTESEKLIWSVLEAERPTISQLHEKSLFDANNAFLDNHKDSLMHIAAFAESLYILDSNRKSEAVKLIEANNIIPRNEAIGPIGKSKLKDCIAVHKFLGTVLVDQDAALRWKVRCAEYFPYSTYFEGKHSSASPNSAFNQLRKNSENVSPNNAVDNQNVGSATSNGKPFENLTI >CAK8578488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632193143:632198432:1 gene:gene-LATHSAT_LOCUS30494 transcript:rna-LATHSAT_LOCUS30494 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLQCGDCGALLKSVEEAQEHAELTSHSNFSESTEPVLNLVCTTCSKPCRSKTESDLHTKRTGHTGFVDKTAEAAKPISLEVPKGDAESQEGGTSDQNEEMVVPEVDKTLLEELESMGFSTARATRALHFSGNASLEAAVTWIENHENTPDIDEMPLVPAVSKTKATKPSLTPEETNAILKELREKARKKKEEEEKRNEREREKERIRIGKELLEAKRIEEDNERKRLLALRKAEKEEERRAREKIRQKLEEDKAERRRKLGLPPEEPSTAKPSTVVEEKKSFLPIRPATKTEQMRECLRSLKQNHKEDDARVKRAFQTLLTYVGNVARNPDEEKFRKIRLSNAAFQERVGALKGGIEFLEICGFEKIDGGEVLFLPRDKVEIPVLNSAGSELDSAIKNPFFGVL >CAK8572789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563697606:563700480:-1 gene:gene-LATHSAT_LOCUS25315 transcript:rna-LATHSAT_LOCUS25315 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMSHKPSIDSCVLHLRNWKPFHLHQKTPPLDTSHNNNNNLNKRPCLSDRTTTSFSLDLSKLTLADDDNRPINRTGSYRLVARKRRRRGSRSVSGRSSDRSATRRCCSVGASAAYGTCSDFPVAMGTDSSGELFGNGDANWSSDVSEAKNSRDCGSGEKEKENVGVGFGVNGCSEANGNESGYGSEPGYRGDAEFGYGDEFDEEEDDHRVLFWGNQLGGGADSKMEMVGENTLLDQKSHHRCRRRKNDCRMIDALR >CAK8531423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108892532:108893274:-1 gene:gene-LATHSAT_LOCUS1216 transcript:rna-LATHSAT_LOCUS1216 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSFLLSVLALSLSVVFAADPNTLQDFCVTNPIGQGNSVCKDPKLVEANDFFFSGLHITGNTTNLVGSKVTPVFASQLPGLNTLGISMARIDIAPWGVNPPHLHPRATEILTVLEGTLEVGFITSNPENRHFTKVLQKGDVFVFPIGLIHYQRNIGYDNVVAIAALSSQNPGAITISNAVFGATPEISSEVLVKAFQLDRTVINYLQSKF >CAK8576654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:501510250:501512094:-1 gene:gene-LATHSAT_LOCUS28827 transcript:rna-LATHSAT_LOCUS28827 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNLKFFLCNANAITDMCIVKPILSSKTNLSEAVSSLDLLHPKGIRLPSNVLVTLLRRCSDTKSYREGKLVHLHLKLTGFKRPTTLIANHLIHMYFCCGDYVHARKVFDRMEVRNLYSWNNMLSGYVKLGMMKQARSVFYQMPDKDYVSWNTMVVGYAHCGRFSEALRFYGQLRRLCIGYNEFTFGSVLIVCVKLKEFELSRQIHGQVLVVGFLSNVVVSSSIVDAYAKCGKMEDASRLFDDDMSARDISAWTTLVSGYALCGDMESAAEMFSRMPKKNSYSWTSLIGGYARNGMGHKALGVFRNMIMHRVRPDEFTFSNCLFACATIASLKYGKQIHAFLVRNNVRPNPVVVSAIVDMYAKCGSMETAWLVFNFSGNLHDVVLWNTMISALAYYGYGNDAVMIFNDMLKSGVKPNRTTFVAILNACSHSGLVHEGLQIFKSMNNEHGVDPDLEHYAHLLDLFGRSGCFNELMKDLFRMDCKPEDHVWYSLLGVLTLNVSGSILLGREVTEFLIKWQPQSSSAYVLLSSIYVALLKWGLVEEVRHIMDERRMRKDLSISWVEIENQVHDFTVSDGLHPLKETLYSALGHLNNQIEDTMLECSGNAGMQITTPK >CAK8563078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572086076:572086474:1 gene:gene-LATHSAT_LOCUS16523 transcript:rna-LATHSAT_LOCUS16523 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFMPRLSGDMIYEVRHTRLTGEKFTVDLRRLEFSCWSWMLTRIPCYYEISYMQSRSLNPIDYIPACYRKEACQACYQPFIYPTNGDNLWKHTPYRDILP >CAK8565509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249317415:249317924:1 gene:gene-LATHSAT_LOCUS18718 transcript:rna-LATHSAT_LOCUS18718 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMDALSKICEDVPQIIDADVSGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMLSALCVSMDQYLQGLFILANDPTSEVWKLVCATFVQLIEVHPLVLAALLSLNFEILSEIFVNVISELIHPGVLLVLPQVRILKSKLDRSVRTLIMSSMDQTLSWH >CAK8566548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434069835:434070470:-1 gene:gene-LATHSAT_LOCUS19671 transcript:rna-LATHSAT_LOCUS19671 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPIMPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASIRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGETYNKHRDINYKERKPTQLKCRWHKTNPSVQKFVGCYK >CAK8539623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518493666:518499733:1 gene:gene-LATHSAT_LOCUS8702 transcript:rna-LATHSAT_LOCUS8702 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHTSQSASFLRLIFLCASALLVLANENEHSLFIIQNTTLQLSRGFPVGNSPGSKPGATVIVERVHLHGRSRFKNLGKYAHSVKVKLLPANSNVRVPNIEVCFHRNASLAIGMCPQSQWEKVAKGSWVRSMSPFDHKLLDIRTVGSTLENFEVSAEEEFFAYRIILLILGVTLMSSASFLSQSLAFYYSSAMAIGIILVILIILYQGMKLLPTGRKSSFAIFLYSSAIGLGTFLLRYIPGLVRSILSELGIDEDMYNPLAIFLLTFVAIAGAWLGFWVVKKLVLTEEGSVDLSTALFVAWAIRILAAITILQSSMDPLLGTSALICGSLVPSLKRVLRLRFIRRLPRRLFKSPKKNRRPYVYNLSPFDDEDDEHYDNIKDSSLNRIQRKSSPITPCKSSERGNRSLHKTLTKELYPSIIHTTPERRKYSAAEWDEFTKKSTEKALEELVQSPDFGKWLSTNADRISVTPNSRTDRPRRWLWS >CAK8532522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:278411323:278412142:-1 gene:gene-LATHSAT_LOCUS2220 transcript:rna-LATHSAT_LOCUS2220 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARIVEISQDEGTTNRIVGTYGYMSPEYAMLGQFSEKSDIYSFGVMLLEIIAGRKNMNSYTPHQGAEGVNGLLNYMSVWRQWKDQTPLSILDPNIVEDYSKVEVIKCIQIGLLCVQHNPDSRPSMVTVASYLSSYSIELPTPEEPTFFLHRRTNSKYHAQESSSTQSANNSSIFSMNEMSITNFIPR >CAK8532724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:501022057:501024550:1 gene:gene-LATHSAT_LOCUS2402 transcript:rna-LATHSAT_LOCUS2402 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDLEREGENKNYSSSIPPPSSRVYDPETSWTSWLVPLFVVANLVIFILAMYINDCPGKVRAVEGDCVFKFLGRFSFQPTKENPLFGPSSLTLTKMGALKWDAVVNHHQAWRLVTCIWLHAGIIHLAANMLSLVFIGIRLEQQFGFVRIGIIYLVSGFGGSILSSLFIRNNISVGASGALFGLLGAMLSELITNWSIYTNKVAALVTLLFIVVINLAIGMLPHVDNFAHIGGFLTGFLLGFIFLPRPQFGWLAQRHVPPGARLKSKYKVYQYVLWILSVILVIAGLCIGLLMLLRGENGYDHCHWCHYLTCVPTSKWKCSDS >CAK8541072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58098657:58106277:-1 gene:gene-LATHSAT_LOCUS10023 transcript:rna-LATHSAT_LOCUS10023 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDGKMNLPDDLFSSKLSDSHSSLKDEASGGHGEKGIAAPLDDSKDQVSSDSSIPLSPQWLYSKPVDAKQTANPVGVNSNDPVLKDNWRLEGSLDKKDWRKTAPDVDISRRWREEERETSLLGRRDRRKEDRRLENTSTSDNRSLPADRWSDSRGSGHDSRRENKWSSRWGPEEKEKDSRSEKRNDVEKEDGHAEKQSTGASNRTVSDRDTDSRDKWRPRHRLESQAAGVATYRAAPGFGLEKGRTEGPNVRFSPGRGRANFNGNLQIGRPPIGSSVGPVLMDKSKTILGKSSLGADSYCYPRGKLLDIYRKQKVDPTFESMPSEVDHTSPVTQIDPVAPLAFVAPVDEEEAVLRDIWKGKVTSSEVSDYSFRGKDGGSIDDISGSGVALSEGKQPSIGSGGKVVLGNEILNESDKFFIGSALTTGGSLTNVAEEVASFQEGKQKHGPVIGMQWKDDSFGSSIREGIIHRKKVVESEAFGYHQGQFSAFEEHANQDGIKSMASEINTSHPDDSRSLFDLSSMRQNPSINPHDLKMNEKIYPSDSVAAPEELSLCYLDPQGMIQGPFLGIDIILWFEQGFFGIDLPVRLSDAPESSPFQELGDIMPHLRANTGLGSDSNMVIQSESSDAVGRNLKVDVNRFDYNGSFDDDQPWPSSRPDSTSSVGIPVQLPNQSYHPEINFSDEQHFDNVAAQDEGITLSKLAGSNNDNPLMRPGDANASYSHHTGKPSNEVIGNDALNSEADKLHPFGLFMSELQDGSHLRRAQSSNSSLRLGDQSHFTDPLNDRDAHFTDQSSMGGMVNPSFRDTWTDEYGMNRHFNPNQRVGSLEDQFLSRMGQNFNNFDVTDHLMLQKLQKERLQQAERLQQQQQAERLHQQQQAERLHHQQQAERLHQQQAERFQQQTNISNHFPSHLNGSDLDRFPGFSPSQSNNSGIQQMMQTPGSDLERLFELQAQQRQLELQQQQEIHHQQLLHQQLKLQPQQQSQAQLMHQDILEQLMHHQLPDLNFVQSKHDPSNLSDQVQLRRYLYDLQQNSHSSGHLDPSTEQFIQANMALNAAQGRQADLSELLLQARHGNILPSEQHARFQQEQVQAQQLSLALRQQLGLDGERHFGRSWPINETGQLVRNPSNHQLGHSAGFNVSEIHKQQQRLVAQDEQLNFLGRNHLEQNQRGFYDPSSMMFERSSPVSVQGRELLERRRYMHPTDQLGSLSSHHNLQSSDDLFGHHSLSGNNGHVENNWIDPRLHLQQLESIRQRRELGDSIASADLSISASAGGHEESSGRGFVDLLHQKLGLQSAQSSTVDKWHPLSSRSQDKSWHVPEANTSSHPFELPQDQQSHLNDPFLERAKSANSSGLMHDNLTNIHINDHYNNLGNAERVPLRSRSGSLLEEQSLLSANKDILHPNYRIPFQIGKSSVDKDLLELDSNKGHRHEYLGTMSKFVPGMSDLSEQVESSMPSMEMPAIAHSRHSSLSSAGGDGGSFAREMSLNSSRGDEVSSDRIPPSTKGFDHAFNKRPHVSRVLSSPDVQSDQPSATHVNQNQLLNLTSSEGRREPSGNLSTTSMTDAQAAGKKEARFRSSSFSEGAISEASFIDMLKKPVLPEADVHPTGGAGAESADAGQAGRGGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRPDD >CAK8566501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429281553:429283373:1 gene:gene-LATHSAT_LOCUS19626 transcript:rna-LATHSAT_LOCUS19626-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSKEEKSKRILRVVKTLFFLITMILSLLLFSAPVLLVIADALLPSALLSTLYVSPLSLTTLSSHFNNYDFRYSLVDIPLLSIIRSFIIFCVYSLCDGPRLSRSRGPYLGITTLCSVLSLLFVSFKAVYVFGHGSGGYVGGSEIALFLCSCVLAVGHVVVAYRTSCRERRKLLVYKIDIEAISACTNGYQRYPKILQEVRTK >CAK8566500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429281511:429283373:1 gene:gene-LATHSAT_LOCUS19626 transcript:rna-LATHSAT_LOCUS19626 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGTKFLLFKGFEMGFSSKEEKSKRILRVVKTLFFLITMILSLLLFSAPVLLVIADALLPSALLSTLYVSPLSLTTLSSHFNNYDFRYSLVDIPLLSIIRSFIIFCVYSLCDGPRLSRSRGPYLGITTLCSVLSLLFVSFKAVYVFGHGSGGYVGGSEIALFLCSCVLAVGHVVVAYRTSCRERRKLLVYKIDIEAISACTNGYQRYPKILQEVRTK >CAK8564844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12205900:12209087:-1 gene:gene-LATHSAT_LOCUS18102 transcript:rna-LATHSAT_LOCUS18102 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPNVRDALLKKNYHENCPGCKVDKAKELKTDVTFLNLLNIWIVVLCSSLPIASLFPYLYFMVRDFNIAEREEDISAYAGYVGSAFMLGRSLTSIFWGVVADRYGRKPVAVFGILSVIIFNTLFGLSTSFWMAVATRFCLGSLNGLLGPMKAYSTEIFREEKQGLGLSTLSAAWGIGLILGPAIGGYLAQPTVKYPHLFPKDSFWDKFPYFLPSLVISAFAIVVAIACIWLPETLHNHPLSDDAEALEAGKTRKDVDKIIQKDESLLMNWPLMSSVVVYSIFSLCDIAYQEVFSLWAVSPRRLGGLNFTTDNVGDVLAISGIGLIVSQLSIYPTLERTFGPVRFARFAAVLSIPLLQSYPFIAMLSGITLYLVINIASVLKNVISMTVITGLFIMQNRAVEQHQRGAANGIAMTVMSIFKAVGPAGGGAVLAWSQKRMHASFLPGTHMVFFVLNSVAGLGVILMFTPFLREKKKPSQEIVTLS >CAK8571623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:450912426:450912752:1 gene:gene-LATHSAT_LOCUS24265 transcript:rna-LATHSAT_LOCUS24265 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFVGKVQKSLSLCVPRKHALSYWNEDHATATTELADDVMKGYFAVLARKGDEARRFIVGLDYLTDPAFVGLLDEASEEYGFRQKGTLVVPCRPMELQNILDGRKT >CAK8537730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425521389:425522051:-1 gene:gene-LATHSAT_LOCUS6992 transcript:rna-LATHSAT_LOCUS6992 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLNLKIHHNGEFVDDEMSVYEGGQVAELKIDVDRWSYFELLGCFKDLRYNLIEKVYYRDPTFRMNLLVNDKCALEITYLYRVHLNVDIYIQHTLSQPDYYDGPVDEIVVEQNEIVDETEQVIAAMYEEAVNGGLKETELMEGDGSGKNGARENDVMENDVLENVVRENVISENAVMETDGNVNNEQGGSEVNDVFSSDDSEDESFIYDSAMKVAFDD >CAK8562544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508420681:508421523:1 gene:gene-LATHSAT_LOCUS16034 transcript:rna-LATHSAT_LOCUS16034 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKIVLVTGCAKGGIGYEYCKAFSEKKCRVIASDISTRMQDMSDLESDDNIETLELDVSSDQSATSTVNTIISKHGRIDILINNAGIGSTGPLAELPLDTIRKAWEINTLGQLRMVQQVVPHMAMKKSGIIVNVGSVVGNISTPWAGSYCASKSGVHAMTNSLRLELKPFGINVVLVLPGSVRSNLGKANLEKLSDYDWKLYKDFKEVISERARASQGEKATDGRVFARHVVNKILGSKPPKQIVFGHMTGLFALLSWSPLWVRDMFFSSRFGLNRKV >CAK8563011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566391469:566392113:1 gene:gene-LATHSAT_LOCUS16461 transcript:rna-LATHSAT_LOCUS16461 gene_biotype:protein_coding transcript_biotype:protein_coding MENSWIAAMCLVMATICSTTATNESPPYTVVHTDSDFEIRLYQPSVWMSAPALNIISFEKATWNGFHRLFQFIQGANLNFSRIPMTAPVLTTMVPGAGPLESQGYYVSFYLPVKFQADPPLPLPELNIKPYNFDSHCVAVRRFSGFAKDERIVKEAEKLDSSLSRSPWGESKSHRGYSIAQYNSPVRIAKRTNEVWVDIHAPELGCTLVGFAAN >CAK8539597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517470036:517470800:1 gene:gene-LATHSAT_LOCUS8679 transcript:rna-LATHSAT_LOCUS8679 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHQNLRIKVPKSPVATTMMQKNFHLYFKDKAIASDIENVNLMAKPRTSLGSVFSGLFGNKRFSLPKCPIKEKKNGKERRHIAAMALANEFEPKPVVTALSQLLSIERALENDQRGEGKQSRMDKHRLKILLGFLEKRKELNSFIASKWRVGKNNLYGVVARFGQVGRKVSSWHLFKIPSKFVVIGLKLKMIRSLGKKKVRKQFENESGDKDNERELCKKRILMGRRCRPLLSPSPRCLVYDEDRFLLPKLTS >CAK8572348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531521242:531522834:1 gene:gene-LATHSAT_LOCUS24923 transcript:rna-LATHSAT_LOCUS24923-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8572347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531521161:531522834:1 gene:gene-LATHSAT_LOCUS24923 transcript:rna-LATHSAT_LOCUS24923 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8569165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678003256:678006614:1 gene:gene-LATHSAT_LOCUS22049 transcript:rna-LATHSAT_LOCUS22049 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLTAKPSFRPQLTLSSSSSSTSASLSSSSISRFSLRTRSPSSITFPRNSNHKGRLSFRVESSKNDSPNSASSGDSKSPNGTLSKSRREILLEYVKNVQPEFMELFVKRAPQPVVDAMRQTVTNMIGTLPPQFFSITVTTVAENLAQLMYSVMMTGYMFRNAQYRLELQESLEQVALPDVQDKKDVPDYAPGTQKNVSGEIIRWNNISGPERMDAKKYIEILEAEIEELNRQVGRQSNNAQNELLEFLKSLEPRNLKDLTSSAGEDVVFAMNTFIKRLLAVADPSQMKTSVTETSAPELAKLLYWLMVVGYSIRNIEVRYDMERVLGTPSKLAELPPGEIV >CAK8538549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486989421:486990989:1 gene:gene-LATHSAT_LOCUS7735 transcript:rna-LATHSAT_LOCUS7735 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSLVYSPLGVFILMCRFISIVLAQQYTEKTISNVNGNVRNGSLVSALFVLGDSSVDCGDNTLFYPLLHGRLSLHPCNGSDATLLPQLIAEKIGLTSIRPFYAQNGSLTEVLGGLNFGSTQATIMNQGSFSHQSLNQQLRQVSESMQLLQLQLSENSATEFTKSSIFFLSFGKEDYIDLFLHNSSSPMLNHDAQYFATILVNQMTNAMRYLYDANARKIICLGVLPLGCAPRIAWESNQTSNGDDINGNGCVDNVNDWILEYNRMLDEHIVQLNAEFSDAHIVFCDVYSGILEIINNPRFYGFEDTNSACCGLGLNGAMIGCISTEMACNQASSHVWWDLFNPTQAVNSILAEAAWSNQPIPDLCRPLTIHDLVNTKT >CAK8567925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556988329:556992909:1 gene:gene-LATHSAT_LOCUS20934 transcript:rna-LATHSAT_LOCUS20934 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTTQEFDYLFKLLMIGDSGVGKSSLLLCFTSDSFEDLSPTIGVDFKVKYVNIDDKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMAYDVTRRDTFTNLSEIWAKEIDLYSTNQDCIKMLVGNKVDKESDRVVTKKEGVDFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLIAEGSKGVKKNIFKDKDLQSNGATSGCC >CAK8569791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11490317:11494596:-1 gene:gene-LATHSAT_LOCUS22601 transcript:rna-LATHSAT_LOCUS22601 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNLSSCNLSSSTSISSFPSNAARRRTSNTVALTRKNRNLKVSAMAKELHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVAELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMTVEFENCKLLLVDKKITNARDLINILEDAIRSGSPILIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDKAGNEVLGTAAKVVLTKDTTTIVGDGSTQEVVNKRVSQIKNQIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLSSKVDAIKATLANDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNTRFGYNAATGKYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPESVAAGNPMDNSGYGM >CAK8539403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511963811:511969328:-1 gene:gene-LATHSAT_LOCUS8503 transcript:rna-LATHSAT_LOCUS8503 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAASPSSSPSEEPQNQNQHHDLQHQLQQEQQQQQQLSPVKDFLHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNQLGLSLDVAEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNISDAIDLLPRLATGIDVNLKFTRIDDFEFTSECAIFDLLDIPLYHGWIVDPQDHDTATAIGSKSYNALMGELVSLETRNIDTLPKNNPEEEDCVDFVAATTAALGVPSPSLSKAMSFNDSPHSVSDQAPRKGDLEEEEQLLRALKMSEASMSDPFEGHAIDNKGEVSFGTDGNMCDKQAVTVESEDSLGKNTGKESNDCHESETSVPDVCTASSKDYNEDTSTMGETANLCSKNDAAGGFRQLASMGPEESIKQNDVDEKLNLDALVQNCADGFRGDENVHNQSSLIATIDHEVSDESQGLDATGAPCLSSSHTNSDSYNIRFHQTDASGAFPSTVDRSEPLYEGEECVLDTRTGNLENREPVYEGEVVLQEQADKSTLAVLDLRAKEEITPEQGEHAKNFLRNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKLEGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKVESHENNTWDENNVMTSTADYLASIDSATQAGLDINSDLQLAIALQQQEFEQQPPRQIQQTPSTSGSSRLVTGPQVARSTVRNPSSSPKPEAKSKEKCTVM >CAK8539713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522664394:522668988:1 gene:gene-LATHSAT_LOCUS8786 transcript:rna-LATHSAT_LOCUS8786 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGSSRVIPTPTNPMVTPLLTDHYQFTMAYAYWKAGKHQERAVFDLYFRRSPFGGEYTIFAGLEECVRFISNYKISEEEINFIKNNLPASCEDGFFDYLRGIDCSEVEVYAIAEGTVVFPKVPLMRIEGPVAVVQLLETPFVNLINFASLVATNAARHRFVAGKSKTLLEFGLRRAQGPDGGISASKYGYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSYMGVNEIADKSLPKKDGSGACEDFVVLVQKWLNKIKWSNSLCGIFSDTNQSELAAFTSYALAFPDNFLALVDTYDVVRSGVPNFCAVALALSDLGYKAVGIRLDSGDLAYLSCESRKFFCSIEKEFGVPDFGKMCITASNDLNEETLDALNKQGHEVDAYGIGTYLVTCYTQAALGVVFKLVEINKQPRIKLSEDVSKVSIPCKKRIYRLYGKETYPLVDIMKGENEPPPKVGERILCRHPFQESKRAYVVPQQVEELLKCYFAGSSDKKSETLPSLKDIREKCIKQLEQMRPDHMRRLNPTPYKVCVSAKLYDFIHFLWLNEAPVGELQ >CAK8536976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:47245858:47249480:-1 gene:gene-LATHSAT_LOCUS6297 transcript:rna-LATHSAT_LOCUS6297 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATAFPAPNNSVAALSAKHTCPYLEPTRVICPRSSFASSFNLLQSRQLPVKCFGAVRGGRGFVLAAAKKQGSGSVNLVEIERDFDDENDEDVSFEYDEEDEEDVAAAADDNDDVEEEIGASDAMRKWFEKKPKGFGEGKVYDTSIEDKMLEELQRSKRAQAANLKKLKTNPINNASKNDAQKKKDEKAVPIRSQVRLVNLPKKRKIDRDLKSAFQGIPGIVNIVPAVIGNKKTRDPICKGFAFVDFKHEGDAVRFVELYTGQTITFGKIQKQIKCELVNAQSSSSSLELRKNHNTALPLLPSFEDDSNEDSYMDDSALGTWEETDLDNTDDQRESDGENEELVTALKLDSDDRVEMVNNSEINSLPSKQVGGKKKASDKVGQKNAPKQKPTKENAKKVLDIPGSAKRLKIKEKAVLGGVFSKYGSKTALVSKDN >CAK8534792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769049134:769050305:-1 gene:gene-LATHSAT_LOCUS4306 transcript:rna-LATHSAT_LOCUS4306 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMASLSLKPTPFTVQKSSVKGLPSISRPFRIVASGVKKIKTDTPYGTGGGMDLPNGLDASGRKQKGKGVYQFVDKYGANVDGYSPIYEPKEWSATGDVYAGGTTGLAIWAVTLAGLLAGGALLVYNTSALSQ >CAK8563977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643330124:643332148:-1 gene:gene-LATHSAT_LOCUS17329 transcript:rna-LATHSAT_LOCUS17329 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMKIMWKTIFPSCYKAEDTEYSSAKETTKVVATKTNSFNRISLTDLSFPSATTLSEDLSISLAGSNLYVFTLAELKIITQGFSSTNFLGEGGFGPVHKGFIDDKVRHGLEPQPVAVKLLDLDGSQGHKEWLTEVVFLGQLRHEHLVKLIGYCCEEEHRLLVYEYLPRGSLENQLFRRYSASLPWSTRMKIAVGAAKGLAFLHDAKKPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSVDKARPPREHNLVEWARPVLNDARKLTRIMDPRLEGQYSEMGARKAAALAYQCLSHRPRNRPTMSTVVNTLEPLQDFDDVPIGPFVYTAPSDVSNNEVKNENNNMDTPKERKRESTHHHHQRRNQHEKDSEEYYENPKEKRRESSENHRRHHHHHRQNGHRHPLKSPKEQRHVHQSGSHSPDTSITSESQGN >CAK8579063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671199885:671202263:-1 gene:gene-LATHSAT_LOCUS31036 transcript:rna-LATHSAT_LOCUS31036 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVVKDLGVGNFGVARLLRNKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCKHLLSRIFVANPLKRISLREIKTHPWFLKNLPRELTESAQAAYYQRGNPSFSIQSVDEINKIVGEAREPPPVSRPVKGFGWEGEEEEEEVEEEEVEEEEDEEDEYDKRVKEVHASGEFQIS >CAK8564373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670729481:670732522:-1 gene:gene-LATHSAT_LOCUS17685 transcript:rna-LATHSAT_LOCUS17685 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVKILTLVTAITALWIGLLQTSIIPQSHTWLLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKDIVEAKEYLNQRGVDVSTS >CAK8540654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15329132:15330691:1 gene:gene-LATHSAT_LOCUS9640 transcript:rna-LATHSAT_LOCUS9640 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRIGITTPIPNLVTLKQIHALIIINGFDTSSNVVFLRNLVSTIATSLVGPIATPTVTNYAHQLFAQIPEPDTFMWNAMIRGSSQSPDPLRAISLYSQMHRCCVKPDNYTFPFVLKACTKLFWVNTGSAVHGRVLRIGFGSNTFVRNALLVFHAKCGDLKIATSLFDDSCKGDVVAWSSLIAGYAKRGDLSYARKLFDEMPERDLVSWNVMITGYAKQREMENARLLFDEAPIRDVVSWNAVIAGYVVSNLNQQALELFDEMSRVGVCPDEVTLLSLLSACADLGDLEIGKKVHDKVMEISKGKLSTLLGNAIVDMYAKCGNIDETLHVFRSIRDKDVISWNSVIVGLAFHGHAEESLDLFKEMLRTKVCPNDISFGCVLAACSHAGKIDEGYKYFDIMRSEYKIEPNIRHYGCMVDMLGRAGLLKEAVKFIESMKIEPNAIVWRTLLGACKVHGDVELAKVANENLLRMRKDQSGDYVLMSNLYASRGQWDGVEKVRKLMDDSGVTKSRGSSFVEA >CAK8575484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:134079942:134082501:1 gene:gene-LATHSAT_LOCUS27743 transcript:rna-LATHSAT_LOCUS27743 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFCHVPPGFRFHPTDEELVDYYLRKKIASRRIDLDVIKDVDLYKIEPWDLVELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGTPQEEGWVVCRVFKKRVTTTLRKMSEHDSPCWYDDQVSFMQDMDSPNQTSQPNLIYQQLPYPCKKELDNSPYQNLPRDHFLNLPLLHSPKLTIDHTNLNSMIPSPMLLQEEQHVLQANQQNFHAMYGNNSDVDDQVTDWRVLDKFVASQLSQDATKDDNDNMFHGNVEFGNSEKQEMVDHENASTSNSSCPIDMWK >CAK8565842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342909937:342910311:1 gene:gene-LATHSAT_LOCUS19021 transcript:rna-LATHSAT_LOCUS19021 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLMMILHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGLL >CAK8531504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116985191:116986120:-1 gene:gene-LATHSAT_LOCUS1292 transcript:rna-LATHSAT_LOCUS1292 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPNTQPITTTSLSLPKLCKKTNSHKIENLIEYSHVPEDVQISETIPPLLSPYNIFKRQRSVTRSIRNLISTNRPHMKEYVQSSRLDQCSLRATNQEQYVDLEIPQYLINHWKTEGYTTLHFGAVKLILSLHGRKNQPVFCKIALLDSSYLHYENVVIRTVLTTLHAGSVILTIFPNYNVSLNDNTLSTRLKVQIQITGTDQVPEAMSATLHHQIIYRLQNHSIYLPISRCSSDSLLVVTNREENIPSIVQIPRKITREELTQLIPLEWITNYERLHVDRRPIQSQEATFRRSVDKTVKMIFKKPD >CAK8544017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656271171:656271572:-1 gene:gene-LATHSAT_LOCUS12728 transcript:rna-LATHSAT_LOCUS12728 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAYEMNYEKTPHCASEYQTFFDHFEEDDFLWRPYLELEDENPTESDRWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPGPPEMYNLLPVEPPLTSAGSKLIKDE >CAK8574655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5749211:5754067:1 gene:gene-LATHSAT_LOCUS26980 transcript:rna-LATHSAT_LOCUS26980 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSSMGFGSGSLMWFRKGIRIHDNPALDYASRGSSNLYPLFIIDPHYMKPDPNAFSPGSSLAGLNRINFLLQSLTDLDLNLKKLGSRLLILKGDPHEVLIRCLKEWDIGKLCFEYDTDPYYQALDVKIKDYALKAGIEVFSPVSHTLFDPSDIIQKNGGKPPLSYQSFVKLAGEPPSPLSTKYSSLPPVGHLGSCDISEVPTVKDLGYEDAKLEEFSPFIGGESEALKRLEECMKDKKWVANFEKPKGNPSAFLKPATTILSPYLKFGCLSSRYFYQCIQDVYKSLPKHTSPPVSLLGQLLWREFFYTAAFGTPNFDKMKGNRICKQIPWKDDDKLLEAWRDSKTGFPWIDAIMVQLRQWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDFIRHFLPVLKDMPKAYIYEPWTAPPSIQTKANCIIGRDYPMPVVSHDSASKECKRKMGETYALNKSLNGLVGEDDLKNLRRKLDEGEEQETKAKKRSRQLLIG >CAK8565828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:340425312:340426497:1 gene:gene-LATHSAT_LOCUS19009 transcript:rna-LATHSAT_LOCUS19009 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPPDGTKWKVFWKNINGDIWFEKGWKTFTRNYSLQHGCLVVFKYKERTSELDVLIIGQNGVEIDYDSSCNTLAETDNPDHCDDESIEILNVDDSDDESVEILNECLNKKKTRPNSPLVSPRPCEIKKTIGKTTSLNWPRENRAQEVAAKFISSNPFFTVLIKPNHLLHYVLTVPNLEGVIENEEKNLILQIGKTSWNVKLLRFKKNSNSRFLSAGWSLFASENRLQPGDVCVFELINKEDLVLKVHVF >CAK8573808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638686292:638689011:-1 gene:gene-LATHSAT_LOCUS26212 transcript:rna-LATHSAT_LOCUS26212-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSSFSIENEKYDDDGVIKRTGTWVTASAHIVTAVIGSGVLSLAWAVAQLGWIGGTVSLILFSLITLLTSCLTADSYRYPDPVHGIRNPTYKAMVKNILGGFQYKLCALAQYTNLVGVTIGFTLTGSISMEAIKKSNCFHKFGHEADCSTDTYQFMAIFGIFQIILSQIPNFHELSWLSIVAAVMSFSYSFIGIGLSIAKIAVEGNHVKTGLTGLIVGVDVTSSEKMWNSFQAIGNIAFAYAFSMVIVEIEASNDTLKSSPPENQVMKKSNAIGVSITTFFYALCGLLGYAAFGNNAPGNFLTGFGFYEPFWLVDIANIFITVHIVGAFQLFSQPIYSAVENWSSKRWPQSELIIKEYPIRIPLIGLWRMNMFKLIWRTVYVTIITMIAITFPFFNSVVGLLGAISFFPLTVYFPIEMYLTRAKVRKYSLIWTAMKVLSVVCLAVTLVAAVGSVVGIISELKTYKPFKSY >CAK8573807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638686292:638689011:-1 gene:gene-LATHSAT_LOCUS26212 transcript:rna-LATHSAT_LOCUS26212 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSSFSIENEKYDDDGVIKRTGTWVTASAHIVTAVIGSGVLSLAWAVAQLGWIGGTVSLILFSLITLLTSCLTADSYRYPDPVHGIRNPTYKAMVKNILGGFQYKLCALAQYTNLVGVTIGFTLTGSISMEAIKKSNCFHKFGHEADCSTDTYQFMAIFGIFQIILSQIPNFHELSWLSIVAAVMSFSYSFIGIGLSIAKIAVEGNHVKTGLTGLIVGVDVTSSEKMWNSFQAIGNIAFAYAFSMVIVEIEDTLKSSPPENQVMKKSNAIGVSITTFFYALCGLLGYAAFGNNAPGNFLTGFGFYEPFWLVDIANIFITVHIVGAFQLFSQPIYSAVENWSSKRWPQSELIIKEYPIRIPLIGLWRMNMFKLIWRTVYVTIITMIAITFPFFNSVVGLLGAISFFPLTVYFPIEMYLTRAKVRKYSLIWTAMKVLSVVCLAVTLVAAVGSVVGIISELKTYKPFKSY >CAK8577871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595036487:595037934:-1 gene:gene-LATHSAT_LOCUS29939 transcript:rna-LATHSAT_LOCUS29939 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKDLGKKKACVIGGTGFVASMLIKQLLEKGYAVNTTVRDPDNSKKVSHLVALKSLGELNLFKAELTVEGDFDASIAGCELVFQLATPVNFASQDPENDMIKPAVNGVLNVLKACAKAKEVKRVILTSSAAAVTINELKGGDHVMDETNWSDVEFLNAAKPPTWGYPASKVLAEKAAWEFAEKNDIDLITVIPTLTMGPYLTQDMPSSVGLAMSLITGNDFLINALKGMQFLSGSISVTHVEDICRAHIFVAEKESASGRYICCAHNTSVPELAKFLSKRYPQHKVPTEFEDCPSKAKLIISSGKLIKAGFSFKYSIGETYDQTVEYLKTKGVLKK >CAK8563241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585378342:585381355:-1 gene:gene-LATHSAT_LOCUS16672 transcript:rna-LATHSAT_LOCUS16672 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKRCHYEVLGLSRDSSPEEIRSGYRKLALQRHPDKLVKSGLSQAEATAQFQELQHAYEVLSDPKERAWYDSHRSQILFSDPNSHSNSVVPDLFSYFSNTVYSSYSDTGKGFYKVYSDVFDKILANEINFARKLGLGVDSVRQAPLMGNLDSPYKQVTAFYGYWLGFSTVMDFCWADEYDAIAGPNRKSRRVMEEENNKVRRKAKREYNDIVRRLADFVKKRDKRVIDMKVKKNLEMEKKKEEEKERKRKLEMEKKERAMAYEEPDWAKVDEEVEDLFEDDEFEKKKDEKEFYCVLCGKKFKSEKQWKNHEQSKKHKEKVAEFRDSLDDEEERIRDCLNVAREESANGVELNDDGDDDDGNDEFFDASHAKGGEEDGVSVDLDDNNDDGDDENGVLETMVAGHKERVDFDENDDEDDEIDVLEAMLAGHKSRKPGASTHKPTVSVTPTQIESESESENENDRVSAMEYNNRKIPKKKRRAKKEKGGKNGDESNVPTNGKYEKNVHTNGNDNSNAQESSSQYFDDNEDNRSNENEQLGRDKKKNLNQPIDKKGTSKDTKTKAKISSKGRKAKNTSKNLGHFCETCGEDFESRNKLHRHLGESGHAAMKSR >CAK8539312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509039850:509040383:-1 gene:gene-LATHSAT_LOCUS8421 transcript:rna-LATHSAT_LOCUS8421 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKLSQEEEIKESNNYSNKTVWDCGSTLYDSFELNSFKHQLDSAINRRTLSMSHLPDRQVTVLQKSSSSSSSSSSSVTSRKPYKISRSFHKFIRSVFKSSNDKSNVSSSSNSFKVEEKYSNERFYVVFDKSGSVLSTIPEAPEFEIGSLSPEISSLVKRSASERFTTTTIGIACA >CAK8570369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:40054584:40055939:1 gene:gene-LATHSAT_LOCUS23122 transcript:rna-LATHSAT_LOCUS23122 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNCTQNHSRALEGVHGVQVVPHSPFSLIETNQSGDLHPSTGGTSTTRENQLLIMQRAWQQRPACLRPIHCSISCHGDQHVAETIANVLTSIPFIALGMTAPRKNLSSKMYANSLIGVGVASSMYHCSRGKLRKFMRWVDYTMIATTTVCLSRALRNENPKLLMAASAAFLPVNPLMVTVIHTGMMEVAFAKRALKDPNLRMAHTVHKMSSLMGGILFIADDLYPKTPYLHAAWHLAAAVGVGTCNKLLE >CAK8570945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:185501214:185508515:-1 gene:gene-LATHSAT_LOCUS23652 transcript:rna-LATHSAT_LOCUS23652 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPQFSNGSSPAMNCISANGFWSKNRDDVGYNQLHKFWSELSPQARQELLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQPDGTGFQFSCGRPGGMKNQSYGGSSVSYGVQEEIRDPSVHPWGGLTTTREGSLTLMDCYLYSKSLKGLQIVFDGARARERERELLYPDACGGGGRGWISQGIVSYGRGHGTRETCALHTARLSCDTLVDFWSALGDETRQSLLRMKEEDFIERLMFRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDSIQADWRQTFADTVGSYHHFEWAVGTTEGKSDILEFENVGTSGCVQASGLDLGGLSACFITLRAWKLDGRCTEFCVKAHALKGQECVHCRLIVGDGYVTITKGESIKRFFEHAEEAEEEEDDDLIDKDGNELDGECARPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIVTLEKQTKLLEEEEKEKREEEERKERKRTKEREKKLRRKERLKGKDKNKERNCSESIDVPSSPEGSKEELSAPVDSEQNNAITCMNSGNATDEANLSQHDYLNIQDDDFSSESSTLRAQDCAYGDYDGDDIANAHDRNDTFTAEQPKFYNQRLRYRKDFQPDMSSKWSDRSEPRHYGDNFGTSSRGINVLNRHSKINVPKTSGRNIGHKCNEKPYSSNYRMSEKYDFHSCSCSLNNRMTRSSWEMKAASKSESTVDTSKQFYRGSKYNQVDSMHESSGRPKNRVFSGNYFQSKKVWEPTESLNKYARSNSDSDVTLRSTGKVFEFDSVRSPVDEVDDSGEIDNDGSDLKRSGMTEGCQNDLDAEAEGSCSSIETRRSTINNSSDRSQGSISSSDNCSSCLSEGDNNTTSSNRENTESSNSDSEDASQIYEVRDSSVRNDNDLSGCYEPEIKNTHNANGDGLSNKSQSVPSLDIAESESLGNHVLETTQNFENGTVQNFENGFSSTNVRSHPESMLPPMANRNIHFPVFQTPPTMSYYHQNPASWPPVAPTNGLMPVLHPNQYMYAGPLGYNLNEDPRYCLQYGSLQQPTPQFNHAAIPVYHPVVRAKGLNGEELNQTSKPSSMQDHLHESIAERFVPYAANSRKAVLSGEDRHGHGNSAKSQDSNNDFSLFHFGGPVAFSNQCKGAAASSDYVGDFNSKGFPDDKDHGCNKKENAFIEEYNLFAASNTWFTIF >CAK8577547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572879115:572881929:1 gene:gene-LATHSAT_LOCUS29645 transcript:rna-LATHSAT_LOCUS29645 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLFSSLLRAPLTRSPLEFHLPTYSRPRSFSNLTSPPIGFTGFSQTNAFLRVEPGNCLTRLPSVQHGSMLVPHFRSRFMSTETSSVDFSSQDSSLPEPEVPRPIKFKRLDKTARHIMQILDKEAVEEVKGQREMPDIKPGYIVQLKVEVPENKRRVSIIKGIVIARRNAGLNTTFRIRRMVAGVGIESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRDKMNALR >CAK8544636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696709440:696709814:-1 gene:gene-LATHSAT_LOCUS13294 transcript:rna-LATHSAT_LOCUS13294 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSFYSHLLLVICAAVLLTLTISPPTVHAGGINLGMEWVRQTKTTCQGTIADCMLQQGEEEFQFDNEINRRILATTKYISYGALQRNTVPCSRRGASYYNCRPGAQANPYSRGCSAITRCRS >CAK8534194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703491233:703491715:1 gene:gene-LATHSAT_LOCUS3755 transcript:rna-LATHSAT_LOCUS3755 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFILLVVVGACLVLGMSQYVDGRKLKKEKEDVKNPEWLFDVPSKGFGGGGGFGGGGIGGGSGGGYGGGAGSGLGGGYGGGSGLGGGYGGGDGSGLGGGYGGGVGSGFGGGISKGIVGGIGGYAYKGIGGGVGGIGGGVVGGVGGFIGGHKNVEANKP >CAK8576216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:427771163:427775560:1 gene:gene-LATHSAT_LOCUS28422 transcript:rna-LATHSAT_LOCUS28422 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRNSTGRSGDSLEGMLNDYVAGKTKMKPQKAVSSKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPDFTRIAQQWKHLMITPHIVGRYQESSSSLIQEDNNNQLPSLVCESEKIDFLQKKSSDVQMIKLKRELYEEVLSFQNKTIGTETLQELMKMKSKWDLNGPNLKKPKINVLLNHFKRKTLCAQLDSLLQQTLPFHQVWVLSFGSPNEASLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLEILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFKSREAGLYLPDPAYDITIDRIVQVDFLSSSWFLSAELVKTLFIETPFTFSTGEDLHLSYQLQKYRNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSSGYITQWAAMYPQKIDALFYAHSVDEVKALSPLLEKFRSTVGKKAYIVVSGGNFCPCEHAAAALKWPLMVCKERRFKIFDLGVGSLSGVSNSEAPVIQAVYTSLKGLIKIHNPSVVITVDDIDVNVRKALKMASEANSNGTTLVLLPRASVSKVLWMADLRSTALPNWNRMSLSINIITQNRVNSLSRLLKSLTNAYYLGDEIPITFNMDSKVDESTIKLVGSFEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDNFGLLLEDDIEVSPYYYLWIKYALMNYHYDPQVSLPQLSSISLYTPKIVEVVKERPKWNATDFFKQVHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKKNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKMDFEVPLLKDDFRNFLPGMKMPSASRLPSLNLFNQAVSLKGLKAAGAKLGQDVLKCDNATEVVEVDHRTGLPQRCSKF >CAK8542328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502000385:502004927:-1 gene:gene-LATHSAT_LOCUS11175 transcript:rna-LATHSAT_LOCUS11175 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRARYPLGNGLGRGGGGGGFQQRPHQQQYVQRHMMQNQHPQQQYQQHQQNQQYQQQQQQQQQWLRRNQLSGSDTSVVEEVEKTVQSETNDSSSQDWKARLKIPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCVPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPSNRQILMFSATFPVTVKDFKDRYLRKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAIYCR >CAK8567816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546955558:546963096:1 gene:gene-LATHSAT_LOCUS20838 transcript:rna-LATHSAT_LOCUS20838 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMAKTQKNKATAHHLGLLKAKLAKLRRELLTPTTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRRKEKGGINFTSTATNTHLDLDTVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLDKVWEYLCLTRIYTKPKGMNPDYEDPVILSSKKKTVEDFCDRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >CAK8530552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29851259:29851930:1 gene:gene-LATHSAT_LOCUS410 transcript:rna-LATHSAT_LOCUS410 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISMRYQEDQTPSSLPSPKTHQIPSPRPITRSEPGNPYPTTFVQADTTSFKQVVQMLTGSSETSKQASSKPNHNIPPINNIPKKQQQSGFKLYERRNSLHKNLNINPLLPIFSSNNHNNIINNNNNSCFSPRTKQEILSPSILDFPSLVLSPVTPLIPDPFDRSGSSRSSCLNAEAEDKAIKEKGFFLHPSPVSTPRDSEPRLLPLFPTTSPRASGPYSAS >CAK8533602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641001441:641002145:1 gene:gene-LATHSAT_LOCUS3219 transcript:rna-LATHSAT_LOCUS3219 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNRAILEYKDKLIISLLEEIKHYITVRISAQKEKLSRYTSVTSHNIQKVLEKTKRASEGWIATWHADDDFAIFGVSNGVGTYVVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEEYVSSFYRKSTVLATYSHIVMQTNDPQLWLVNVANPISPPIMRRFIGCPKKNRNKANDESRIRNTLHKTLQTVKCKKYGSFGHNK >CAK8574067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656463424:656463813:1 gene:gene-LATHSAT_LOCUS26446 transcript:rna-LATHSAT_LOCUS26446 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDAKVTYYQAWEKKMFELRQLDKKSWEWLMGVPTKLWCKHAFSFYLKCDVLMNDISESFNSTILQARDKPIITMCEWNRNYLMNRRVVNLTKLDKWKHKIMPMHRKQLDNEVLMSGQWVPTWSISMV >CAK8534942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792774198:792808160:-1 gene:gene-LATHSAT_LOCUS4436 transcript:rna-LATHSAT_LOCUS4436 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSQCLFFSLIAISLISLSAFAATTIHPDEKKALQDIANSLGKKGWNFDTDPCSNKLNWVTNLENSVTCSCSVAGDDFCHVVTIVLNKQNLPGTLPRELNRLHYLQIIDLSRNYLNGTIPKEWGSMMNLLNITLSGNRLTGSIPKEIANISTLIQLDLTGNQMSGNIPPELGSLTHIRTLQLSSNNFTRELPVTLARLSALQDFRISDNQLSGKIPDFIQNWTNINTLIVQGSGLSGPIPFEISLLRNIIELRVSDLNGSDYAPLPQLSNMKSLSRLVMRNCNINGTLPNNIGNLTALKHLDLSFNKLSGAIPINYATMSSVNFIFLTGNLLTGSVPPAWGMNADVDLSYNNFTINQESQICQKKDKVNLFSPSWARNDVGTNSCLQFECSKHFDSLYINCGGNHEQVNGTSYEGDHDSSGPARFDVTDNPTVKWAFSSTGKFIDSEILGKTFTPENISRLTMVDAELYTKARISPISLTYYGFCLTNGSYTVNLHFAEIMFTDDETFSSLGRRVFDIYLQGKRVQKDFNIAEEAGGAGKKVIKQFKNVAVSSNTLEIRLYWAGKGTQYLPNKSVYGPLISAISVESDSPRRRIPAGAVIGIVVAATIIIILVFGILWWKGCFGKKNSLAKELKSLELQTDLFTFRQIKSATNNFDVSNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIKLDWSTRKKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLKPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGVVALEIVSGRSNTLYRSKDEAFYLLDWAHLMKEKGDLMELVDRRLGSDFNKKEAMAVINVALLCTNVTSNLRPSMSLVVSMLKGRTVVPEFVADSSEVMDEKKFEVMRKYYYQIEGNEISKSETQSQSLSIDRPWTAASSSAVDLYPVHLDSSYWEERN >CAK8569679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7910122:7911837:1 gene:gene-LATHSAT_LOCUS22504 transcript:rna-LATHSAT_LOCUS22504-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGQTTSLQRLQNVEKVLELAGGVMDELASPVGPRKDLVQNHCLEFMQLIKDIQVALRDEIKSACEYRPFEKCDYGSRIANEICFKKVEYVMSQLDSIKQTIDEYTSEPENFAS >CAK8569680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7910122:7911837:1 gene:gene-LATHSAT_LOCUS22504 transcript:rna-LATHSAT_LOCUS22504 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGQTTSLQRLQNVEKRIVKVLELAGGVMDELASPVGPRKDLVQNHCLEFMQLIKDIQVALRDEIKSACEYRPFEKCDYGSRIANEICFKKVEYVMSQLDSIKQTIDEYTSEPENFAS >CAK8539400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511919700:511920046:-1 gene:gene-LATHSAT_LOCUS8500 transcript:rna-LATHSAT_LOCUS8500 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGRDGNNQIFPIAHAIMEAETRASWEWFIYLLLVDLCEIKHRAYAFTSDEQKGLVPVVQSVSEHVEQRLCVKHLYDNWKKKHPGLELKEAM >CAK8533280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599821373:599822299:1 gene:gene-LATHSAT_LOCUS2920 transcript:rna-LATHSAT_LOCUS2920 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELKVDVNDGSEVDMSSAKDELWKRFRTLDVVGKRALKSRVCELAYPTMTSLCPPPEKIKTKGGVKKKGKKSVGSYIEDVVDVASDGNCEFRVIASLHGYGEDDWPIVRQDLELEIIHKEISSLYDNLFGNPLAEVREPLMIETFGPQPPQKWLTLPDMSYLIANHYNVVLVSLGNPCLTFFPMTSSYPPYASIFCIDFVNQNHWVQLNMK >CAK8536909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:37878044:37878463:-1 gene:gene-LATHSAT_LOCUS6234 transcript:rna-LATHSAT_LOCUS6234 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEGKPMGHYKVNLLNGWCDCGKFQAYRVPCSHVIAAYSNVRHDAYALLSDVYRVANLFGVYNTSFPVLPYDEYWPIYEGDQIFHNPRMRRNKKGRIVSTRIRTEMDKVDKFERKYSMCRQTGHNQTRCPNVGTSNR >CAK8543125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580690025:580691036:1 gene:gene-LATHSAT_LOCUS11907 transcript:rna-LATHSAT_LOCUS11907 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFCSPEFSYSNMVWLLQELDPPQSLNIIHKEKNYATNLEYSLPQYHQFSSLKQQHVEIETPPPSPKLMVKKLNHNASERDRRKKINTLISSLRSLLPDEDQTKKMSIPVTIARVLKYIPELQKQVEGLTKKKEDLLSRISQQQYAVNKESHRKIIPNFNSSFVVSTSRLNDNEVVVHISSYEAYKIPLSEILLCLENNGLFLLSSYSSKTFEGRLFYNLHFQVEKAQILECDVLIQKLLSMYEKNQCNQFSVGR >CAK8575031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22673332:22673562:1 gene:gene-LATHSAT_LOCUS27324 transcript:rna-LATHSAT_LOCUS27324 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYRDDFNYSYNNVDNNNSTTKTFKGPHPNLTTKLQRRASLREKQVHRQLQRNLVEYIWKRFGHENDEI >CAK8538222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471683619:471687326:1 gene:gene-LATHSAT_LOCUS7445 transcript:rna-LATHSAT_LOCUS7445 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVAVDGGVRKLVQKQPPPQQIGTLSQLLAGGVAGAFSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLRTVPQLQSNKDNVSADLCIHFVGGGLAGITAATSTYPLDLVRTRLAAQTNFIYYRGIGHALQTIINEEGLLGLYKGLGTTLLTVGPNIAISFSVYESLRSFWMSHRSDDSPVVVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYNTGLFGTFKHIFRTEGLRGLYRGILPEYYKVVPGVGICFMTYETLKMVLAEITTA >CAK8568808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642951494:642953865:-1 gene:gene-LATHSAT_LOCUS21731 transcript:rna-LATHSAT_LOCUS21731-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHFPRLSSSLSTLSSPSFSRRASRTFNTLLHPPPNSTPTTSQPNQVSTVTPNPETLSLEKLFVPPNTHVTHENARILNGSNILLSNYATGAKIIQADFVKSSPGTEDCPSDGLPEFALVGRSNVGKSSLLNSIVRRKNLALTSKKPGKTQLINHFRVNDSWYLVDLPGYGYASAPHELRMDWAEFTKDFLLNRSTLVSVFLLIDASIPAKKIDLEYARWLGENQVPMTLIFTKCDKRKKTKNGGKRPEDNVNDFQELIRSSFETVPPWIMTSSVTHQGRDEILLHMAQLRNYWLKH >CAK8568807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642951494:642953937:-1 gene:gene-LATHSAT_LOCUS21731 transcript:rna-LATHSAT_LOCUS21731 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLDFGFVSLPKSQLPPEKHIFMAFLHFPRLSSSLSTLSSPSFSRRASRTFNTLLHPPPNSTPTTSQPNQVSTVTPNPETLSLEKLFVPPNTHVTHENARILNGSNILLSNYATGAKIIQADFVKSSPGTEDCPSDGLPEFALVGRSNVGKSSLLNSIVRRKNLALTSKKPGKTQLINHFRVNDSWYLVDLPGYGYASAPHELRMDWAEFTKDFLLNRSTLVSVFLLIDASIPAKKIDLEYARWLGENQVPMTLIFTKCDKRKKTKNGGKRPEDNVNDFQELIRSSFETVPPWIMTSSVTHQGRDEILLHMAQLRNYWLKH >CAK8570640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:78643976:78644947:-1 gene:gene-LATHSAT_LOCUS23376 transcript:rna-LATHSAT_LOCUS23376 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIKHNASFSRYGPRNLWPSVSNYVVQVSVSDDLQSLLAFLYFLDSGGGSYSEVISTGQAEWFLQKSKEINSDSRVPEIIFWHIPSKAYKTVAPKFGIRKPCVGSINKEKVAAQEAETGIMDLLVKRTSVKAIFVGHNHGLDWCCPYKKLWLCYDRHTGYGGYGDWPRGARILEITDKPFSIRPWIRMEDGNVHSEVVLS >CAK8563012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566394307:566396331:-1 gene:gene-LATHSAT_LOCUS16462 transcript:rna-LATHSAT_LOCUS16462 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSPSKDKRFATKHKLLFKIGAVIFILILLFLGFYLESYNWKPNASSYYTVVVDCGSTGTRVNVYQWMLGSVVGSRNLPVLLHSYPDSNATDRNNSLWKTSCQYHCMQTEPGLDKLVNDSLGVKQALEPLIVWAESLVPREMRGDTPIFVLATAGLRRLQREDAFRVLEDVEAVVKDHSFMFDRSWIRILSGREEAYYGWVALNYKMGSFDDGSTLGLVDLGGSSLQIVVEIDRATGDDVNAMRSEFGSIEHRIVAYSLPAFGLNEAFDRTVVMLRNNQSVKRTRGISELRHPCLMSTFVQNYTCSYCSRFDATDGKNHSQPQKTFSLSGEPDWEQCREIAIAAALNLSHSQVSHQAVGSNCQASLFSGIGTSALNLTAVAHPMKRFHALSGFFFVYNKLNLSPRANVTMIWESGKQICSNLWSGLSSISENPNYAGQFCFRVAYMASLIEYGLCLGEVEMEFGPGDVSWTLGAALVEGKFQWLNIASNKSQTIISTLKNVKVMSSSTCLFAVLLLLLLIVYCTQIKLPMPSRRASAPGSSLPSHTHTRHRAN >CAK8574372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678317387:678318816:-1 gene:gene-LATHSAT_LOCUS26726 transcript:rna-LATHSAT_LOCUS26726 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGSCTDETTTSNDSLSLSVSLIPAKLSPPPPMNTLSRVGSGASAVIDPENCVGSGEVESQELSNTKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDIAALRFRGKDAVTNCKSLGGVENDTDEAEAEFLNSHSKSEIVDMLRKHTYDDELKQSMRTNILTNILTSSNSDQLLNI >CAK8564560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681262902:681265027:-1 gene:gene-LATHSAT_LOCUS17857 transcript:rna-LATHSAT_LOCUS17857 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGGAATSSPTITMSLSHTSHEDGTSNSNDNNIITKATPTTAIVDDDHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERYQKGEISLCRVYKRAGVEDHPSLPRCLPITRPSSIPSSSRISHSEKNKQNETLGLGFVVGQSSKIIDNHIVNKINGNNSSSGDCNSDQVTTALGLSKYNHTTPTYSTEEEEQLMMMQQQQQQQTPRQLVQYAGGNSLLVSACGGGGAIFPVSAASVSCSNIGSTSAAMMMDDLNRLVSYQQQQYCYNVQNHSNPNPNHLSTLLMQPMSLPNQLPTTFSDRLWDWNPIPEDHNHPNSDTGNFK >CAK8562452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:494378890:494379864:-1 gene:gene-LATHSAT_LOCUS15947 transcript:rna-LATHSAT_LOCUS15947 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTTTVTDSTKHIISELPSYITVYNDGTIERPRQAPTVPPNLNDPNSPVSSKDIIISQNPNVSARLYLPKNPTQKLPILVFFHGGGFFFESAFSKLYHEHFNIFAPQANTIVVSVEYRLAPVHPLPAAYHDCWSSLQWVASNQEPWLTNHGDLNRIFIGGDSAGGNIVHNIAMRAGSEALPNDVKVLGAILQHPFFYGSHPVGLEGVKLKSSDHDFYCSVWNFVYPSAPGGIDNLHVNPLGLEAPSLEGLGCDKMIVCVAGKDGIRERGVWYYEIVKKSGWKGKLEFFEEEGEDHVYHIFYPESENGQKLIKRLASFLHE >CAK8570412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43924296:43925162:1 gene:gene-LATHSAT_LOCUS23160 transcript:rna-LATHSAT_LOCUS23160 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVSRTGRAMQRYNETGGRQVVGCIPYRYRKDIDGNISNELEVLLVSSQKAHTFMFPKGGWEVDESIEEAACRESLEEAGVIGTVEEELGEWSFISKRYGIYYEGHMFPLLVKEQLHIWPEKSIRTRLWMNVVEARDVCEHWWMKEALDILVHRLTLQ >CAK8534721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759217434:759217745:-1 gene:gene-LATHSAT_LOCUS4241 transcript:rna-LATHSAT_LOCUS4241 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQRERRAQHARDRRQHMSVEQRQQELARRRSNYRQNKDKEKQVQTYNTSNMRTIMPFQDLTNVNLASRLFSMARDSEAGPSNAHVSRIPSPG >CAK8533716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653230608:653232755:-1 gene:gene-LATHSAT_LOCUS3319 transcript:rna-LATHSAT_LOCUS3319 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLYFDTSTCRGNNSNMNMLFLGNADLGFRGRSMMSMEEGSKRRPFFSSPDELYDEEYYEEQSPEKKRRLTSEQVHLLEKSFEEENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDVLKSSYDSLLSTYDSIVKENEKLKSEVVSLNEKFQVQAKDMLEEPLSEKKVDPLPEDIAQIFGMKLDEHVSSGSVGSAVVDEGSPRVVIDSVDSYFPADNYGGCVAPIERVQSEEEDGSDDGRCCFDVFVASETEQQNHDGEGLNWWGNMYYVA >CAK8533717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653230608:653232755:-1 gene:gene-LATHSAT_LOCUS3319 transcript:rna-LATHSAT_LOCUS3319-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLYFDTSTCRGNNSNMNMLFLGNADLGFRVGRSMMSMEEGSKRRPFFSSPDELYDEEYYEEQSPEKKRRLTSEQVHLLEKSFEEENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDVLKSSYDSLLSTYDSIVKENEKLKSEVVSLNEKFQVQAKDMLEEPLSEKKVDPLPEDIAQIFGMKLDEHVSSGSVGSAVVDEGSPRVVIDSVDSYFPADNYGGCVAPIERVQSEEEDGSDDGRCCFDVFVASETEQQNHDGEGLNWWGNMYYVA >CAK8540734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18100534:18101696:1 gene:gene-LATHSAT_LOCUS9708 transcript:rna-LATHSAT_LOCUS9708 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIHNRKPSEIFKGQAHLYKHMYGMLDSMCLKWCIEMNIPNIIHNHGQPISLSNLVSILQVPSTKFGNLQRLMHYLAHNGFFEIITNQELENREEAYALTVVSELLVKGTDLCLAPMVELALDPTFSGSYHELKKWIYEEDLTLFDITLGSDIWKFLNKNPEYNRLFNEAMASDSKMINLAMKDCNFVFDGLDSIVDVGGGTGTLGKIICETFPKLKCVVFDLPQVVENLSGNNNLTYVGGDMFESIPKADAVLLKTILHDWTDKDCIKILKKCKEAITSDEKTGKVIAIEIVMNEKKDNSEITLLKMQIDTCLACINGKERNEEEWKKLFVEADFKDYKIFPLTGFLSLIEIYP >CAK8565547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:265847000:265849643:1 gene:gene-LATHSAT_LOCUS18750 transcript:rna-LATHSAT_LOCUS18750 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKQNETNVYYKTCIYLPPRVNSQIPWWKITNSDTISVNSLPVLQMQMCLIFFATFWFKYLLSRFGIHRFTSMSIVGLILAATFNENWTKMMKTMFFFDSQENLGLVSIFGYMLFLFYIGVKTDMSVVHKSRNGATNIGSLAIMAPFLCGMATLSFFSSNHLQSYQTKILGVVIGLFSMTPFPVISSTLSDLKILNSELGRIGQSASLVSEIFNVFIVSILTFSKLYQDHGLVRALVCIAAAVLFILLVIFIIRPSMLWIIKQTPEGYHVSDNYVYSILIVTLLSSYASNRFGFFGLFGPFVLGMAIPEGPPLGTAIIKKIDTFVNGILMPTFVTTCAMRVDLKDLLCWRNKMDGSVDYFMVQALVIVAVCFVSKFVACMIPPLRSEMPLSDAFSLSLIMSSKGIVEMAALSVLRDTTEIPNNIFALLMVCIIVNTTMIPMLLGYIYDPTKKYTGYTKRNIADLKSNSELRVLACIHRPDNVPATINLLEAAYPTKEEPICTYALQLIELIGRASPIFISHNLQEKKKPNSNTSMAEKLLESFEIFEKEFKGCLVVNTFTAVSPAEMMYDDICTLALDKFTSLIILPFHRKWSCNGDSVELEDESLRDLNYRVMERAPCSVGVLIERAQMTHIFSPETPYNVCLLFIGGKDDREALTLTKRMTKNPHVKITVVRFMSLEGDSKGCWEGLLDNELLDEIKTKNKVGDVNVKYVEERVKDGPETALIIRCLVAEFDLIIVGRQAGIETPQTCGLLQWSEYPELGVLGDLLASTDAAGKTSVFVIQQQRTAMDF >CAK8534012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681749284:681751181:1 gene:gene-LATHSAT_LOCUS3588 transcript:rna-LATHSAT_LOCUS3588 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVLAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPCYLQLYIYDTDHELQNRMRKNPILNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVINCDGNLTKVQETIGYYDPLQYPILFSFGIYGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSGRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNAYNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPDIFLTMTCNPSWIEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKGVLGRVRSYMYVTEFQKRGLPHVHMLLILDTNDKLREPEEYDSVVKAEIPQHESEPELYEAVLKHMIHGPCGVLNQKSPCMKNGHCKKRYLKEFCEETRQGNDSYPEYRRRFSDPIFLNRNKSIDNRWVVPYNPWLLLEYDCHINVEICSSIKSIKYLYKYVYKLFVVTVLSIVQQIVYQ >CAK8573400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609596227:609597845:1 gene:gene-LATHSAT_LOCUS25850 transcript:rna-LATHSAT_LOCUS25850 gene_biotype:protein_coding transcript_biotype:protein_coding MENMAVTLAFIDGANKLSREEERHENVDEDNSNRLAITQCIGETDTHLDAIISRYAHTLTNYSLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMKFEVSVLDWFAKLWEIEKNEYWGYVTCGGTEGNLHGILVGREQLPDGILYTSEDSHYSIFKIARMYRMKCVKVGSLISGEIDCSKLEASLLANKDKPAIINLNIGTTLKGGIDDLDLVIKTLDKCGFTNDQFYIHCDGALFGIMLPFMKQAPRISFKKLIGSITISGHKFLGCPSPCGVLITRLKYINALSRDVDIIASRDATITGSRCGHAPIFLWYALQKRGLTGLENEVHKCIFKARYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTARWNLASNKNIAHVVVLKHVTFEMLDTFIREFVQKRYVWYKGGQVQPPCIANDVGSKNCACSIHKLSRKY >CAK8573401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609596236:609597845:1 gene:gene-LATHSAT_LOCUS25850 transcript:rna-LATHSAT_LOCUS25850-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLAFIDGANKLSREEERHENVDEDNSNRLAITQCIGETDTHLDAIISRYAHTLTNYSLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMKFEVSVLDWFAKLWEIEKNEYWGYVTCGGTEGNLHGILVGREQLPDGILYTSEDSHYSIFKIARMYRMKCVKVGSLISGEIDCSKLEASLLANKDKPAIINLNIGTTLKGGIDDLDLVIKTLDKCGFTNDQFYIHCDGALFGIMLPFMKQAPRISFKKLIGSITISGHKFLGCPSPCGVLITRLKYINALSRDVDIIASRDATITGSRCGHAPIFLWYALQKRGLTGLENEVHKCIFKARYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTARWNLASNKNIAHVVVLKHVTFEMLDTFIREFVQKRYVWYKGGQVQPPCIANDVGSKNCACSIHKLSRKY >CAK8535810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883340295:883340754:1 gene:gene-LATHSAT_LOCUS5232 transcript:rna-LATHSAT_LOCUS5232 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVEPCSVDAAADEISQQSERRKGGLITMPFIIANEAFSSMASVGISPNMIVYLMGSHRLHLGNATQILLLSSAANNFTPVIGAFLVDSYLGRFLETMHSRSSRRTKSPCKSNSVVVNRDHDVP >CAK8570282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33989623:33990683:1 gene:gene-LATHSAT_LOCUS23044 transcript:rna-LATHSAT_LOCUS23044-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITVLALFCLAFVRTGAIASGEDYWKYVWPNTPLPKAFSDLLLPYGKTNDLPIRVEELNQYSTLFFPHDLYPGKKIVLGNTQSVAKTARPFTEPTQGVTDSIWLENKERQSLNDFCNSPTAKGEYKHCVSSLESMIDHVISHFRTSKIKAISSTFDKNQDQYMVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8570281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33988863:33990683:1 gene:gene-LATHSAT_LOCUS23044 transcript:rna-LATHSAT_LOCUS23044 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLTLFCLAFVRTGAIASGEDYWKYVWPNTPLPKAFSDLLLPYGKTNDLPIRVEELNQYSTLFFPHDLYPGKKIVLGNTQSVAKTARPFTEPTQGVTDSIWLENKERQSLNDFCNSPTAKGEYKHCVSSLESMIDHVISHFRTSKIKAISSTFDKNQDQYMVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8564919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15629970:15633609:-1 gene:gene-LATHSAT_LOCUS18170 transcript:rna-LATHSAT_LOCUS18170 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSSCFTDSSSFSVPNTFIGIVLLNSKIRSSSSCNNSTLLFTRSQLLRDFAAREFNAFLWLFLLVITSLLLTRLFNVFTLLYKARTIPGPPASSFFGHFKLLSEENFTDVLSKSHEKYGPVVKLWLGPTKLLVSVKDPVLIQEMLIKAEDKLPAGKAFRLAFGQSSLFSPSYEKVQKRRELLETELNESLMKTADLNHRKVADFIVDQIENIRVRGSVSRELVSQHMAFKLMGVTFFGDGFLTWPKAAIYEELLMMIAKDAFFWASYNVTPFWNRGFWRYRRLCKKLICLTEGILHCRKCYEILDHIDQNVRSESSNPETKSANDQQNSKEEHYGNIMRVMLHGSQTTAALIANVLTNLIMNSEIQDKVYSEISMAGRNPSKYEHEDVYRMPLLLATIYESARLLPTGPMLQRCSTKQDLRFANGVRIPAGTVLVVPVQLVQKDDFNWGKNASDFNPYRFLSNVTERSGSEEQLDYKINSFVLNDPSENASFLPFESGKRSCVGQKFVIQAVATLLASLLKKYEIRFNSDSDGNSEPLQNLPLQHHPNSEILFVRRNQ >CAK8564920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15629970:15633609:-1 gene:gene-LATHSAT_LOCUS18170 transcript:rna-LATHSAT_LOCUS18170-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSSCFTDSSSFSVPNTFIGIVLLNSKIRSSSSCNNSTLLFTRSQLLRDFAAREFNAFLWLFLLVITSLLLTRLFNVFTLLYKARTIPGPPASSFFGHFKLLSEENFTDVLSKSHEKYGPVVKLWLGPTKLLVSVKDPVLIQEMLIKAEDKLPAGKAFRLAFGQSSLFSPSYEKVQKRRELLETELNESLMKTADLNHRKVADFIVDQIENIRVRGSVSRELVSQHMAFKLMGVTFFGDGFLTWPKAAIYEELLMMIAKDAFFWASYNVTPFWNRGFWRYRRLCKKLICLTEGILHCRKCYEILDHIDQNVRSESSNPETKSANDQQNSKEEHYGNIMRVMLHGSQTTAALIANVLTNLIMNSEIQDKVYSEISMAGRNPSKYEHEDVYRMPLLLATIYESARLLPTGPMLQRCSTKQDLRFANGVRIPAGTVLVVPVQLVQKDDFNWGKNASDFNPYRFLSNVTERSGSEEQLDYKINSFVLNDPSENASFLPFESGKRSCVGQKFVIQAVATLLASLLKKYEVCPVNNFLAHASSITRERHENNRYHKVTDKDNNYFWTYIQIRFNSDSDGNSEPLQNLPLQHHPNSEILFVRRNQ >CAK8570634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:77654733:77655170:1 gene:gene-LATHSAT_LOCUS23370 transcript:rna-LATHSAT_LOCUS23370 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWRLLKDEPKWKGQEMNNSSKRSKVSSTGTYSSTSNLENPIDCSEYNSGTQTDRPTRQKAAKRKGKGKASPSITPTVDFTGMENASEKKLAIYSKIAEARLAESILMLYEILMKDKSAIDDEQRREHEEICQSIKEKYFKRS >CAK8574141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665512693:665514078:-1 gene:gene-LATHSAT_LOCUS26514 transcript:rna-LATHSAT_LOCUS26514 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSALSRGIVARSMDSTSRGAFTRFFSNKGKVLSEEEQAKENVYIQKWERERLEKQKQEAEKAKAERAKESADNKKSDGTQKS >CAK8537128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104210125:104210421:-1 gene:gene-LATHSAT_LOCUS6442 transcript:rna-LATHSAT_LOCUS6442 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGYVKVNIEVAIVPNAQLPISIEGGDVSMVCQAIGTVVPWPMKLLEFVVECEKNPDQSQNKEYPTKC >CAK8543921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649420467:649422320:1 gene:gene-LATHSAT_LOCUS12643 transcript:rna-LATHSAT_LOCUS12643 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNTPFQDDVPWRASSSSLKPLPKIHHSPILRVSHTPLSDYAISIMRHPNPIGNGLGDVAIVEAAGPECIVPGQSAPIKVLGLKVWPIEVDLKFLEPVGRELKALGKFLDDAVELMNKSFIDR >CAK8537072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:77068670:77071185:1 gene:gene-LATHSAT_LOCUS6388 transcript:rna-LATHSAT_LOCUS6388 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNISFSLIFFLSLLMFTFVTFSVDARKNNMKKKLHKHHKEPHPHPTQINTYDIMSFGAKGNGVSDDSEAFLAAWISACKVSGATIKVPEKLKFLIKAITLQGPCMPGLTLQIDGTILAPSQVSSWPKSSLFQWINFKWVQNFTIKGHGTIDGQGFNWWKNSELFDIQVQKTHSKQIPSMKPTAIRFYSSNLIRVRDIKIINSPLCHLKFDNSNGIKVDNITISSPPSSPNTDGIHLQNTHDVEIQHSNIGTGDDCVSIQTGCSNVHVHHIKCGPGHGISLGGLGKDKSLACVSDIIVEDIAMKNTLYGARIKTWQGGNGMVKNVTFSRIKVHDVMYPIMIDQYYCDKQICKNQTGTVMISGVKFDQISGTYAMQPVHLACSNSIPCTDVDLTDIQLRPSLEYRGYEQAMCWNSYGKSQGELVPSSIDYCLRNGGGLMKRIAKSHDSVCYKFL >CAK8562242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460322076:460323962:-1 gene:gene-LATHSAT_LOCUS15755 transcript:rna-LATHSAT_LOCUS15755 gene_biotype:protein_coding transcript_biotype:protein_coding MASCISTPSPSSRLHLTKTTFIAATSLPLYHSSKCEMTRRSLAVKGIVATGVSAMAMASTLTSQAQPSQDKELERLAYKPEGYNYWKWKDHNIHYVVQGEGPPLVLIHGFGASAFHWRYNIPELAKKHKVYALDLLGFGWSDKALVDYDAMVWRDQVTDFLKEIVKEPAVLVGNSLGGFTALIAATGLPEFVNGVALLNSAGQFGDGNKESKNSEETPLQKFFLKPLKEVFQRVVLGFIFWQSKQPARIKSVLKSVYVNSSNVDDYLVESITRPAQDPNAGEVYYRLMTRFMMNQSKYTLDSVLSELSCPLLLLWGDLDPWVGPAKANKIKDFYPKTTLVHLQAGHCPHDETPELVNTALLDWLTTLTPQASFQTV >CAK8562309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469897884:469898284:1 gene:gene-LATHSAT_LOCUS15816 transcript:rna-LATHSAT_LOCUS15816 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSSSSSSKNRSDSHVFSISNLPWKPFCRCGDIVVLRRARIVNNYGKLFWGCQRFKRYSNDGCGFFEWFYEEVRDGKEQIMMKQQSKIEELTQEMEEAKN >CAK8564470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676552877:676553811:-1 gene:gene-LATHSAT_LOCUS17773 transcript:rna-LATHSAT_LOCUS17773 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEKVTTIMKLKVDLQCNKCYKKVKKVLCKFPQIQDTVFDEKNNMVIIKVVCCSPEKIRDKICCKGCGVIKSIEILEPPPPPIPKPKEPVKPKEPEKPKEPEKPKQPEKPKEPEKPKEPEKPKEPEKPKELPPPPPAPKPEPPKEPAKPPPQPEYIPGPPPSIPVCPPQMVVPIGVCCGSCYEGRGGGPCFQGYGGPPQVSCYDGYYGRPIYDSYGGGRPCYEYLCEENASGCTIM >CAK8560731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38869201:38877428:-1 gene:gene-LATHSAT_LOCUS14385 transcript:rna-LATHSAT_LOCUS14385-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPIQLLFIITFLGSFFHARPETDSCTSNLSLKVPLPFATTNLHCLSVWDAQGFILRYVQTSANIWSFILSTPDTNSYIAMGFSASGSMVGSSAIVGWVASRGASGGIKQYYLGGVTSNQVVPDKGNLQVIGNSTFISFQSSRLYMVFQLETNEPLPWLILATGSTGIFPAAPNYSLKKHLDKFSTRIDYSKANPGSSQVNGSSSSQGDGSSSQGDSCSSKLNLSVPLLFDTTKLNCLPVWNAQGFILRYSQTSSNIWSFILSAPNQNSYISIGFSPNGGMVGSSAIVGWISSNGASGGMKQYYLSGYGPNQVVPNRGNLPIINNSTLITSQSSRLYMAFQLQTNHPLSRLIYAIGPNGVFPTAPSFGLMKHQDKVSITVNYATGSSAIGNPNMNLKRSHGVLNILGWGIFIIMGAIVARYFKDWDPFWFNFHVSVQSLGFILGLIGVISGLILNNQLHIDFNLHKALGIIILVLACLQILAFVARPKKGSKVRKHWNLYHHNIGRMVIILSIANIFYGIHLGNEGSGWMVGYGIVLAILISIALIFEIGVWSKH >CAK8560732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38869201:38877428:-1 gene:gene-LATHSAT_LOCUS14385 transcript:rna-LATHSAT_LOCUS14385 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPIQLLFIITFLGSFFHARPETDSCTSNLSLKVPLPFATTNLHCLSVWDAQGFILRYVQTSANIWSFILSTPDTNSYIAMGFSASGSMVGSSAIVGWVASRGASGGIKQYYLGGVTSNQLETNEPLPWLILATGSTGIFPAAPNYSLKKHLDKFSTRIDYSKANPGSSQVNGSSSSQGDGSSSQGDSCSSKLNLSVPLLFDTTKLNCLPVWNAQGFILRYSQTSSNIWSFILSAPNQNSYISIGFSPNGGMVGSSAIVGWISSNGASGGMKQYYLSGYGPNQVVPNRGNLPIINNSTLITSQSSRLYMAFQLQTNHPLSRLIYAIGPNGVFPTAPSFGLMKHQDKVSITVNYATGSSAIGNPNMNLKRSHGVLNILGWGIFIIMGAIVARYFKDWDPFWFNFHVSVQSLGFILGLIGVISGLILNNQLHIDFNLHKALGIIILVLACLQILAFVARPKKGSKVRKHWNLYHHNIGRMVIILSIANIFYGIHLGNEGSGWMVGYGIVLAILISIALIFEIGVWSKH >CAK8571851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483126738:483127536:1 gene:gene-LATHSAT_LOCUS24474 transcript:rna-LATHSAT_LOCUS24474-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRNSYLVQDVPNFYDKVEQNAIKRFKLLHIWLLREYLELDMKIQDPPKNCIVDFERIVDDFIFICFFDGNDFLPQLPSLDIYEGAIDLLMTIYKKEFHKLGRYLVDITKMGEKHSAFVKLSRVEKFVIMVGTYEEKIFNRRSPIRAKKLRRLIIDHENSVSSLSFSGKIIKFRL >CAK8571850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483126556:483126924:1 gene:gene-LATHSAT_LOCUS24474 transcript:rna-LATHSAT_LOCUS24474 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFQLYERMFLIFMIRWNKMLLRGSSFCIFGC >CAK8543636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628553403:628555313:-1 gene:gene-LATHSAT_LOCUS12382 transcript:rna-LATHSAT_LOCUS12382 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFRTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8566658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446315949:446316857:-1 gene:gene-LATHSAT_LOCUS19774 transcript:rna-LATHSAT_LOCUS19774-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSQENVVTLVSRTGRELQRYRKGRRQVVGCIPYRFKIGEKKSLDILDELEVLVISSQKGKGMLFPKGGWELDESQKEAAKRETMEEAGVKGIVEGKLGKWSFKSKTYDTLYDGYMFPLLVKEQMEFWPEQNLRQRTWMSITEAREVCQHWWMKEALDRLVNRLLGQKLVRENLRPMNCIGDAKSDLLIV >CAK8566659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446315949:446316857:-1 gene:gene-LATHSAT_LOCUS19774 transcript:rna-LATHSAT_LOCUS19774 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSQENVVTLVSRTGRELQRYRKGRRQVVGCIPYRFKIGEKKSLDILDELEVLVISSQKGKGMLFPKGGWELDESQKEAAKRETMEEAGVKGIVEEQMEFWPEQNLRQRTWMSITEAREVCQHWWMKEALDRLVNRLLGQKLVRENLRPMNCIGDAKSDLLIV >CAK8579085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673216842:673218572:-1 gene:gene-LATHSAT_LOCUS31057 transcript:rna-LATHSAT_LOCUS31057 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSNVKMTNTKTILHDLYEKQRQSPYYDNLCRPVSDLIPFVTSGIRGVTTNPAIFEKAISSSNAYNRQLRDLVEAGKDIESAYWELVVKDIHDTCKLLEPIHIESEGVDGYVSVAVSPKLANDTKGTIEAAKWLHKMVACPNVYVKIPAIDESIPSIKEVISLGISVNVTLIFSLPRYEAVINAYLDGLEASGMNDLSKVSSAAAFYISRVDVAIDKKLDEIGTAEALDLTGKAAVSQAVLAYQLYQKMFSGPRWELLEKRGAKKQRLMWASTNVKNSSYPDTFYVNSLIGPDTISTIPPDPALKAFMDHGILSRTIDAKVSEAESIYNAIETLGIDWSCVGSELENEVLDSFKRSFENVIECLGKKARRQVVDG >CAK8574576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2746526:2747191:-1 gene:gene-LATHSAT_LOCUS26906 transcript:rna-LATHSAT_LOCUS26906 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKHKRESSSQLVLDLSLSSKDSCDDESKLELNLLNCFHTNLSESSSESSHENELDPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLARRGYTTSMTSSLPSYGLCNKSLGIQVHSMINKPSYQTQTPFFGFCSNGQNGWKRQIFDSQPAIGKPPSGNFHVRNETEPSFGSGIQRVAMFPKSLVNEGFGHGGYVLDNSISHLKSKQEKLQKLDLSLKL >CAK8530181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4471294:4472947:-1 gene:gene-LATHSAT_LOCUS69 transcript:rna-LATHSAT_LOCUS69 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNPRSPPLDLHNFFKMPKTKSLKDVSKVCKTFVSKKHNEKKQIEEPTSSGLFFDHNEKRREDENIWSPKMMARCESRRSKTPNPRTRPLSRHGSRRCTTPMSLGRSESRKSATEIATSSIKRIMSRRNNKMDISEPELLFNSADSPTDDFASRSSKQKDRIHLVSLAKNPSRRSATPIIFSQTTTRRKPPEIEKNLQFSLEELCFGCVKKIKVTRDVIKHPPGVIIQEEEILKIEVKPGWRKGTKITFEGVGDEKPGYLPADIVFLIDEKKHPLYKRDGNDLEIGVKVPLVDALSGCCVPIPLLGGESLNVSFENTVIYPGFEQVIKGQGMPNPKNNSTRGDLHVKFLITFPTNLSDEQRREAVSILRDCCE >CAK8560050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6161456:6164662:-1 gene:gene-LATHSAT_LOCUS13765 transcript:rna-LATHSAT_LOCUS13765 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQRAVTTLPNLIRNLRNEQPFKLKPPHNPLPSLRRAFSLYDQINLIDNVPEDQLRFQGFNDTGFTVNGVEYEGSLLCVGNLIMSWKPQKFSEITADSLSLFQIVRPIPEILIVGCGRNIQHVDPELRRFIRSTGMKLEAVDSRNAASTYNILNEEGRIVAAALLPYGVSS >CAK8537162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:119458164:119458412:-1 gene:gene-LATHSAT_LOCUS6472 transcript:rna-LATHSAT_LOCUS6472 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAVLDPRYKTDLLEYYYGVFYGNDVDFQVKSIRQVCYELLYDYQSKMTNESRSESQTLDANVDTVSNDGLKDYYLYVIL >CAK8560078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6495856:6496190:1 gene:gene-LATHSAT_LOCUS13791 transcript:rna-LATHSAT_LOCUS13791 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGVQRCGKICRITQ >CAK8560446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19807919:19809680:-1 gene:gene-LATHSAT_LOCUS14115 transcript:rna-LATHSAT_LOCUS14115 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAESAETQSTTTTAIDSISNSDSNSSNPTSLESVIAEAAAYGNQNTENVEEMAQKALDCPCIADLRSGACGFQFSEAFLCFLKSTSEEKGSDCVNPFVALQSCIKANPDAFSKDILGEDESTESEPVQEYKIIPPDWSKESKKSKKSKSKL >CAK8536365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934685181:934686003:1 gene:gene-LATHSAT_LOCUS5741 transcript:rna-LATHSAT_LOCUS5741 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLPLVLILLTLIPLLTQAQKAPAPAPSGPINITEILDQGSQFTFLIRLLNETQQLNQIQSQLNSTSQGFTIFAPTDNAFQNLPSGAINNLSDEQKVQLILYHVTPKYYTLSDFLTVSNPVRTQASDKDGPWGLHFTSQGNQVNVSSGVVSEPINNSLRQKFPLAVYQLDKVLLPTELFGPKPPASSPAPKSSKTPSKTPSSAAVEGDAPAPASSKKDDSAAGRNGGFGFVVGFGLICMAVLT >CAK8543258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593263603:593265759:1 gene:gene-LATHSAT_LOCUS12028 transcript:rna-LATHSAT_LOCUS12028 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSINCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEMDLSDPSDTDYPYYIDGSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETLETSDPDYINDPDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8543259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593263627:593265759:1 gene:gene-LATHSAT_LOCUS12028 transcript:rna-LATHSAT_LOCUS12028-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSINCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEMDLSDPSDTDYPYYIDGSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETLETSDPDYINDPDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8572255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524928921:524935771:1 gene:gene-LATHSAT_LOCUS24840 transcript:rna-LATHSAT_LOCUS24840 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHMKIIFLYLCFLYFLAESSSSSSTIQNYTRYKQISSLRLERINNHLENINKVPVLTIESPDGDLIDCVHKRKQLALDHPLLKNHKIQKVPSEMPRGMKVKKDDNDDTNNNTKTNVGGGEGKGRVRRDVWQMWHRNGTRCPKGTVPIRRSTVHDVLRAKSLYDYGKKQRTQIPLVRRSDAPEPDILAAGNGHEHAIAYTGSSSQEMYGAKASISVWDPSIEVMNEFSLSQIWVLSGSFDGPDLNSIEAGWQVSPELYGDTRPRLFTYWTSDSYQATGCYNLLCAGFIQTNSKIAIGAAISPVSSYAGNQYDISILIWKDPKVGNWWMSFGDNTLVGYWPSELFTHLAGHATMVEWGGEVVNSRSNGRHTSTQMGSGHFAEDGFGKASYFRNLEIVDVDNTLSSVQSISTLAENTNCYDIQSSYSNEWGTYFYYGGPGNNPQCP >CAK8533917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671260257:671263894:-1 gene:gene-LATHSAT_LOCUS3504 transcript:rna-LATHSAT_LOCUS3504 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTIITLLAISTSYLLLLTTPTKNPTYYHSLFISNSLSDNVSISNNLEILTHRPHTAGSEANNEAAAYVVSVLTSCNILSRVTSYDVALTYPVSRSLVLTKSSSESSSVSFNLSQQVYEGDPYADVADEVVPTFHAYAKSGTAVGSVVYANYGRVEDYLKLKEIGINVSNTVVLAKYGKIFRGDIVKNAYKEGAIGVVIYSDKKDYGGGGGGTKWFPDEKWLPPSGVQVGSVYDGTGDPTTPGWASSGACERISKDEVEKSGDVPLIPSLPVSGEDGEKIIRSIGGPVAEDDWQGSKDAPTYRVGPGPGILNLSYTGQDVIARIQNVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTATLLEVAQRLGKLQKRGWKPRRTIILCNWDAEEYGLIGSTEWVEENREILTSRAVAYLNVDCGIAGPGFHVHATPQLDELIKKAIKQVKDPNNSSRSIYDSLTSTGSSPQFGRLGGGGSDYKAFLQHVGIPATDMSFGEGYPVYHSLYDDFIWMKEFGDPMFQRHVAAASVWGLVALWLADEEFLPFNYLSYARELKLNMEELKNEVSNNDINLSPMYKSIMELEKAATKINNQIKELEASENWRTWKMEYLKVRELNDRLMMAERAFTDRDGLSGMQWHKHLIYGPSKHDRYGSQSFPGIGDAIQMAKKLRTVESWRQVQHEVWRVSRVIRHASLVLSGQLT >CAK8562226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:458974087:458974365:-1 gene:gene-LATHSAT_LOCUS15742 transcript:rna-LATHSAT_LOCUS15742 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDHYLAMARPTPDFTFPLAKVEKTLIWIRFSGLNLLYYDESVLLGLTFVVGTPVKIDTNTLNVEKGNFARICVKIDLTLQVIRKVIVNGH >CAK8532138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211341063:211343945:1 gene:gene-LATHSAT_LOCUS1871 transcript:rna-LATHSAT_LOCUS1871 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQQSSFCVTPSSLFSHSLKQRNPLRLQQQQLGSFHFPRKAKASAFVVSSSLGAGFFNDIAQIAQNKVLIAAGVSMAIGQFSKPFTSVFLYGKKFDIKALIQAGGFPSSHSSATVACATLLGLERGLSDPIFGLALVYAGLVMYDAQGVRREVGIHARTMNKLLLHMHVNYLHSKQKDVLINSQSGSSIPPKLEETQETTSLEAQQGNARVLVKPEGKIRQSDEELLSTSGFSEEISNLVGDGLLPFKESVGHTDVEVVAGGLLGFLVGLAVFVLK >CAK8577685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583368251:583368592:1 gene:gene-LATHSAT_LOCUS29768 transcript:rna-LATHSAT_LOCUS29768 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIRPWLAFLVLAMAITLVAAEASKVHEFSFHNPVSVGDLIGEDNEMMMDSESNRRSLAGRKKYISYGALRANSIPCGRRGQSYYDCQKRQRANPYRRGCNRITHCARNTG >CAK8535271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832482494:832482987:1 gene:gene-LATHSAT_LOCUS4744 transcript:rna-LATHSAT_LOCUS4744 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEPYTVEYILEAIKGCDNNKCPRPDEFNFEFIKHCWDFIGPDIISCIQVFHNNPFLPKALNSAFITFVPKILATRLGKVIGGLVSPSQTASIPGRQLLDGVLVVNELIDLAIRRKRRCLLFKVDFIQAKECIDWDFCVN >CAK8544467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686674425:686678369:1 gene:gene-LATHSAT_LOCUS13143 transcript:rna-LATHSAT_LOCUS13143 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPTQPNVGFIPSNPDKTSITPPPKFPPALLHLKKDQGTPHSNAASPANGAVATGSPVPHMSTPPGPPVFTSPVRPAAVPFRTLPASPQPHALSSGSSLPMSSQPQYSNGSFDLQPQVSDSIGDHVSLGEPSFVLFSAHKVLKQKKQANVPSLGFGALVSPGREVSTGPQVIQRDPHRCQSCGAYANKYCNILLGSGQWQCVICRKLNGSDGEYIAHNKEDLHRFPELASPMVDFVQTGTKRPGFVPVSDSRMSAPVVLVIDECLDEPHLHHLQSSLHAFVDSLPPTTRLGIILYGRTVSVYDFSEESVASADVLPGDKSASQESLKTLIYGTGIYLSPMHASLAVAHSVFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADLSRGVVKRSGGNSRIIVCAGGPNTYGPGSVPHSFNHPNYPYMEKTALKWMENLGREAHRHHILVDILCAGTCPVRVPILHPLAKASGGVLVLHDDFGEAFGVNLQRASSRSAGSHGLLELRTSDDILITQVVGPGEESHVDTHETFKNDTALYIQMLSVEETQSFSLSMETKGDIKSDFIFFQFAIQYSNVYQADVSRVVTVRLPTVDSVSGYLESVQDEVAAVLIAKRTLLRAKNQSVAVEMRKTIDERIKDIGLKFGTQLPKSKLHCFPKELSLLPELLFHLRRGPLLGCIIGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELVADEGKSAAALAACRTLAEELTEFRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTSEQRTRLKSNFVHFDDPSFCEWMRSLKTVPPQLS >CAK8561221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:122198025:122198891:-1 gene:gene-LATHSAT_LOCUS14830 transcript:rna-LATHSAT_LOCUS14830 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLITELGIKTPADKFYKLFASELHEVQNLCERIHHTKLHEGEDWHHSDTVKHWTYVIDGEVHTCNESIEEVDDENKKITYKLFGGDIDNFTVFKLILQVINKADGTAAVRWTIDYVKINEEVDTPNGWMDYLSKSTRDIDGHLLKGERVAL >CAK8542788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546692434:546693576:1 gene:gene-LATHSAT_LOCUS11595 transcript:rna-LATHSAT_LOCUS11595 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFWTVCLILASLVSSSFCALPRKPVDVPFGRNYYPTWAFDHIKYFNGGSEIQLHLDKSTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGQGNKEQRIYLWFDPTKEFHRYSILWNMYQIVFYVDDVPIRVFKNNKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCEASVNSKFCGTQGKRWWDQAEFRDLDAAQWRSLKWVRDKYTIYNYCTDRKRLPQIPSECKRDGDI >CAK8573016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577351634:577353333:-1 gene:gene-LATHSAT_LOCUS25511 transcript:rna-LATHSAT_LOCUS25511 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGSSALLFLLTCIVTYFVGSLYARTKNSNYKLPPGPSFFTIMSNVVDLYNKPQQTLAKFAKFYGPVMLINLCTETTIIISSSDMAKQILHTHDSLFSDRSVPHNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSTKTLDGSQELRRMKLKDLLNDMRKSSLTGEAVDIGRAAFKACINFLSYTFVSQDFVESLDDEYKDIVSTLLKAVGTPNISDHFPVLKIFDPQGIKKHTTNYVAKVFYALDIIIDKRMKLRESEDYISNNDMLDTLLDISKEDIQKMDKKQIKHLLLDLLVAGTDTTAYGLERAMSELVRNPEIMSKAKKELEEIIGLGNPVEESDINRLPYLQAVVKESLRLHPPAPLLLPRKARVDVEISGYTIPKGAQVLINEWAIGRTDIWEDAHVFSPERFIGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEFKDMDLDKSLRAIPVALNKVY >CAK8534036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:683714698:683715838:-1 gene:gene-LATHSAT_LOCUS3611 transcript:rna-LATHSAT_LOCUS3611 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLTYFFICLQYSVRKLTNMTEKLSKTLLNGVGIVSGSLMTPVLKSQPGQAFLKMLPGEVLLASLDAVNKVFEAAEAAEKQTFSATSQAATRMVSNRYGEDAGEATEHVFASAGHAANTAWNVSKIRKAINPASSAATLRNSAKNRYIGY >CAK8569128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674327163:674328266:1 gene:gene-LATHSAT_LOCUS22012 transcript:rna-LATHSAT_LOCUS22012 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLPINGKAVNGLTNPSGSVIEELLGVEPIPRNLRGQKILLSWLKRIFGEMSLTQDSPEILKIRKTRIYIMLLLGLFLFPDTSGNTVHSMYLVLLDDIDQIRTYSWGSATLAHLYRSLCNNAIANSGNWTGCGVLLQAWGWSRMTNLAPIQQNNFEFPYATRWSSLGMNYDNYPHFSITQYRNLIDHLGQDDFIWQPYLGLEAIHEVNQHDSAVWSAKVPIINFTTVEMHNSDRVKLQFRMLQDIPCPPKCIPDKYHTSKVSDQWEYSPWTKYAKHECREWKHRRHFILSDTVFPHERK >CAK8535334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:839547493:839548698:1 gene:gene-LATHSAT_LOCUS4800 transcript:rna-LATHSAT_LOCUS4800 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQKNTAATKMISTSTTDPQKEETSQSENETCFKNIKEVGSDIPMYDSSNFPWPLPLDCSPSITPKKRKEGPETDSSFAETPTKIRRRHRCPCGSGSESKKRKQGPSLITSSQTEGTSDTSSAETPTKKRPPRPPGKLTPYFIDLNVGEDMVDTIYTISQAFPHQTFNIISAIGTISDIGLFTPDGLAHQKGEFEILSLSVKSLVGDDGLHCRAKAMCSVSWINDKGIMYASTSVNSLIAAGPVKIIMAYFNTHNAKKAGARIWESAAAAINGDSDSKMLVEGQTSRHSPRLGITPVRRVVDRKIFPIEAIPLQMIRT >CAK8562812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:539044537:539045283:1 gene:gene-LATHSAT_LOCUS16277 transcript:rna-LATHSAT_LOCUS16277 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVWKKLQRLQPHVMKLSKPLAEIHKEIARAREELNKAQDTLMTDRLDADKIHMVKQCSDNLIRLQELDDSMVRQRAKIDWLRLRDGNNKYFHASIKGRQQLNNITQIQRIDGTMVTDQKGMENEVISFYRNLMGTKLNHLEGIDTAALRNGSQLDAAQRYMLTGHVTEDDITTALKGIGDDKAPGIGGFGAYFYKKAWNIIKLDVIAAVQDFFKHNRLYRAANCSAVTLVPKHKSAKEIKDYRPND >CAK8542296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499182487:499186469:-1 gene:gene-LATHSAT_LOCUS11143 transcript:rna-LATHSAT_LOCUS11143 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGNFWDLLKPYARNEGFDFLRNKRVAVDLSFWIVQHNNAIKTHVKKPHLRLTFFRTINLFSKFGAFPVFVVDGTPSPLKSKARSARFFRSSGIESTSLPVSEGVSAERNHSFSRCVQECVELAELLGIPVLKAKGEAEALCAQLNCEGYVDACITSDSDAFLFGAKCVIKSFSPNSKEPFECYNVSEIEAGLGLKRKHLIAIALLVGNDHDTSGVQGIGLDSALRFVQAFSEDDVLNRLHEIGNGNAFQVPIDVKPEDNMDIDGNSPSSKQPHCSFCGHPGNKRDHSKSSCKFCVTDDNEGCLKKGEGFKCDCNSCGMNRKNKEQKKIENWHTKICDKIAKEPNFPKDEIIAMYLCNDNGYFSAIDGPKILWERPKIELLIDFLNFHQNWDPSYIRRIMFPMMSTIFLREMASTTTTDSLLFGQFEFNSLKRVKTRYGYQIYVVKWKRAMGNIASKTLSSQSGTQEDVIEVDDDTVDLLDDCDFPVICEEDGCSFLLTDENMDLVGAAYPEEVKRFWHEQELKDAKRKKNQSSKSQENEKSATSPNSKGVQLNITEFFPTTKSKHNSKHGEESSSRKSDSPDSGGSKVKRKSSSPNIPKSVRRRLLFDD >CAK8561806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386638037:386639503:1 gene:gene-LATHSAT_LOCUS15361 transcript:rna-LATHSAT_LOCUS15361 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRSSVFSFQKFCYIVIISSICSIILFLSWTHCCSQCYPSFAYQTTLQNQTQSINLLSYPLAWNNLIFSVNLPPKFLKIALFVKKWPQKSHAGGLERHALTLHLALAKRGHELHIFTTSPNPSFSNHSINNLNFHFSKPTPAGYLDQATIWEQFQLQNSTTKPFDIVHTESVGLRYTRSRYVTNLAVTWHGIAYETIHSDIIQELLRPPDEPQTNAMKERIVKVVEEIKFFTNYAHHVATSDHAGDILKRVYMIPKERVHIILNGVDQQVFKPDISKGEEFKQKYGVPNSKSLVIGLAGRLVKDKGHPLMFEALKQIIEENNTFLESSIILVAGDGPWAARYRELGSNVLVLGPLEQSELASFYNAIDIFVNPTLRAQGLDHTLLEAMLSGKPVMATKLASIVGSVIVGNEMGYTFSPTVIALKKALYESWHSGRGTLNKKGQVARERGLQLFTATKMVAAYERLFLCISSSKHDDDFCEYHPLGN >CAK8543210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588533672:588541152:1 gene:gene-LATHSAT_LOCUS11983 transcript:rna-LATHSAT_LOCUS11983 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFHIANSLLWKLGTNPYSHEEVSRAYGVYKDLQEFKDTLSIVRGLLLDAEYKKDQKHGLREWMRQIQNICYDAEDVFDGFEFQHKRKQVVQASNKTRTKVRYLFSSSNPILLRSRMAHQIKEIRERLDKVAADGNKFGLARIDVGLEPVFQRRELTHSHVDASSVIGRENDREEVIKLLMQPHPNGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDELFQLKMWACISDDFDIRQIIIKILNSVSAFGPPSIPHKENINNLDPEQLLSRLRDKLSGQKFLLVLDDIWSDNRAKWVELIDLISVGAIGSQIIVTTRSKSIAKMTGTLPSYVLSGLSQDDCLSLFLKWAFKEGEEINHPGLVKIGKEIVKKCAGVPLAVRTLGSSLFSKYDSKKWISLRDCEIWNFEQKKDDILPALKLSYDQMPSYLRQCFAYFSLYPKDHVIFVDDITRLWIALGLVQSQNGSEQLMDIAREYIEELNSRSFLQDFKQLGYLAFKVHDLIHDLAMYVAKEECVAVDSHTRNIPQHVRHLSVVESNSIDKALLSNLRGLRTILFPVKGVSFGSETLLDTWISKYKYLQYLDLNCFSFETLPYSIAKLEHLRVLDLSYNMNIKRLPHSICKLHNLQVLKLMGCTGLETLPKRLGWLISIRELYITTKQSVMSLIELANLNDLQVLYFYKCDNMKFLFSETQHFTFLERLIVRSCGSLESLPLFLFPKLQYLAISDCQLVNLSLYNESPIPKLMMKHLYIGILTGLLTIPIWIEGVVETLETLYIFDLPDLTTLPECLTTMTRLKRLWISRCPQLLSLPSDFHHLTSLENLFIYGCPKLFKKYQPQFGEYWPMIAGMKITSITIEKGMMKE >CAK8543679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630916248:630918533:-1 gene:gene-LATHSAT_LOCUS12423 transcript:rna-LATHSAT_LOCUS12423 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFQLFFFTFFLLILTIHAQTLATYIVQLHPHGTTKSLFTNKLEWHLSFIQQTISSDEDPSSRLLYSYRSAMDGFAAQLTEAEIEYLQTSPDVISIRPDRNLQIQTTYSYRFLGLNPARENGWYQSGFGRGTIIGVLDTGVWPESPSFVDHDMPPVPKKWKGICQSGQAFNSSNCNKKLIGARYFTKGHLAVSPTKIPEYLSPRDSSGHGTHTSSTAGGAPVPMASVFGYAKGVARGMAPGAHIAVYKVCWFNGCYNSDIMAAMDVAIRDGVDVLSLSLGGFPVPLYDDSIAVGSFRAMEKGISVICAAGNNGPMAMSVANDAPWIATIGASTLDRKFPAIVRMANGQILIGESMYPVNNRMASKSKVLELVYLTDGDTESQFCLKGSLPKDKVQGKMVVCDRGVNGRSEKGQAVKEAGGAAMILTNTELNLEEDSVDVHLLPATLVGFDESIILKAYINSTKKPLARIEFGGTVIGKSRAPTVAIFSARGPSFTNPSILKPDVIAPGVNIIAAWPQNLGPTGLPEDNRRVNFSVMSGTSMSCPHVSGIAALIRSAHQNWSPAAIKSAIMTTADATDHTGKPILDEDKPATSFSMGAGNVNPHRALNPGLIYDIKPNDYVNHLCSIGYTNSEIFSITHKNISCHAIMQKNRGFSLNYPSISVIFKDGTSRKMFSRKVTNVGNPNSIYSVEVVAPQGVKVIVKPKKLVFKQENQSLSYKVWFISRKRVTMNFAEGHLTWIHSENGSYRVRSPIVVSWKTK >CAK8574278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673641198:673642967:-1 gene:gene-LATHSAT_LOCUS26638 transcript:rna-LATHSAT_LOCUS26638 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFTWVLNLVLIFSLLSNFFSESAYSPKPPICSEQDRASLLTFKAGILKDTTDTLSSWISRDCCDGGWEGVQCDASTGRVNMLQIQSPDVRDSGSFMMGTLSPALGNLQFLEVIMISGMKHITGPIPSSFSNLTNLKHLVLEDNSLGGCIPPSLGFLPLLETLSLSGNHLKGQVPPTIGNLKNLVQVNLARNFLSGSIPLGFKNLRNLNYLDLSYNLISGPIPDFVGEFRNMTNLDLSYNLLTGKIPISLFSLVNLVDLSMSYNKLTGNIPDQVGNLKSLTSLQLSGNQLTGHFPLSIAKLQKLWYLNVSRNGLSDPLPAIPINGTPSLLSVDLSYNNLSLESVPDWIRSKELRDVHLAGCKLKGDLPHFVRPGTLSSIDLSDNFLVGGISNFFTNMSSLQDVKLANNQLSFDISKIKLPSGLSSIDLHANLLIGSLSSFINNMTSSSLEVIDVSNNFISGHIPEFVEGSSLKVLNLGSNNLSGSIPVSISNLVELERLDISRNHIMGNIPSSLGQLENLQWLDVSKNGITGQIPGSFSQITNLRHASFRANRLCGEIPQTRPFNIFPPAAYAHNLCLCGKPLQPCKG >CAK8543986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653610856:653611473:1 gene:gene-LATHSAT_LOCUS12701 transcript:rna-LATHSAT_LOCUS12701 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDVFPKQVISSNVQGLIVKEVDVDNNFKNEQEFIGNINLVVNLVIKWIHMEVSKLGFGVVIRRSDNVLDRTCAFVTITCERSRKYRHSLRKFKRDDTGSRKCECSFKLVGHPSVCRLMSEEKERVADMTLNMVQMKKILANGKDPKIYQISRKSIIFDTKLTRRLEGIELKCNNS >CAK8571878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488605254:488606891:1 gene:gene-LATHSAT_LOCUS24501 transcript:rna-LATHSAT_LOCUS24501 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQPYGKSDLESGARPLYPMMLESPELRWSFIRKVYVIIAIQLLATIAVGAVVVSVRPISTFFATTNAGLALYIVLIFVPLITLCPLYSYYQKHPVNYFLLGIFTLSLSFVVGLSCAFTSEKVILEAAILTAVVVIALTLYTFWAASRGYDFNFLGPFLFGAVLVLMVFGLIQVFFPLGKISTMIYGCLAAIIFCGYILYDTDNLIKRYSYDEFIWASVSLYLDIINLFLSLLTIFRAAE >CAK8575342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:88809263:88809940:1 gene:gene-LATHSAT_LOCUS27612 transcript:rna-LATHSAT_LOCUS27612 gene_biotype:protein_coding transcript_biotype:protein_coding MSITNESQPQASASPTSTTKPTSKHRDSTFHGVRKRTWGRYVSEIRLPGQKTRIWLGSFQTAEMAARAYDSAAFFLKGSSASLNFPEEAAFLPRPGSSSRKDIQSAAAKAAHQRSRHHQQQSSSTSIETKNENENKNIIIINNENNNNNNNINNSSNTNNGIDDFSIEMMELWNQEECASTTSFWDMKDAPLMSPTRVGSIYGDMMTWNEVFDFNDDILLAMAHP >CAK8537256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:182014193:182019575:-1 gene:gene-LATHSAT_LOCUS6558 transcript:rna-LATHSAT_LOCUS6558 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFYLRDSKGGFVKHRPAKYSYCIKQEDWDKFVAQRQNEKFQKVSSGNRERALNPQHPYRKSRLGCARLEADMVEESGEDEINRSQVWKAARVNKNGVIDNENVQRVVDQCEKLTEAITEQERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQTRPSQMDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPEQVSKSLQQPEKGTHGLQQLEDVAERQQPEQVSKSLQQPEKGTQRQELSDKGSCNPGSFDNIPEGLLPVNMYLSSLSRCLVARGKLYNTKGNTVHGMTLPPGYVMVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQQSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEKSIFGEEFIEHLRVESIKEILDHNWLSAYVITVFSRYLYDKFISPNGLINKFSFISPHVSQEDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKIRCPGQINSIDCGYFVMRFMKEVIMENEIMIPINYFPNHKCRTYSKDKLTEVKDDWATYTVDDIFGKQEAMILPS >CAK8577011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531394392:531399686:1 gene:gene-LATHSAT_LOCUS29157 transcript:rna-LATHSAT_LOCUS29157 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHRHSPHASTPPHEEEPYNIIPVHNLLADHPSLRFPEVRAAAAALRSVGNLRRPPFGQWRPHMDLLDWLALFFGFQKDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDAAVLRRFRKKLLKNYASWCSYLGKKSNLWIHDNRRSGETDLRRELLYVSLYLLIWGESANLRFVPECICYIFHNMANELNRILEDYIDDNTGQPVMPSISGENAFLNYVVKPIYETIKSEVENSKNGTAPHSEWRNYDDINEYFWSRRCFEKLKWPPDVGSNFFVTVGKGKHVGKTGFVEQRSFWNLFRSFDRLWIMLVLFLQAAIIVAWEEKTYPWQALGDRTVQVRVLTIFFTWSGLRFLKSLLDAGMQFKLVSRETKMLGVRMVLKCIVAAVWLVVFGVFYARIWEQRDHDRRWTKAANDRVLNFLEAVLVFILPELLAIALFILPWVRNFVENTNWRIFYMLSWWFQSRSFVGRGLREGLLDNIKYSLFWILVLATKFCFSYFLQIKPMIAPTKAMLDLKNVEYEWFEFFHSNRFSAAILWIPVVLIYLMDIQIWYSIYSSFVGAAVGLFAHLGEIRNMQQLKLRFQFFASAIQFNLMPEEQLLNARGTLKSKFKDAIHRLKLRYGFGRPYRKLESNQVEANKFALIWNEIILSFREEDIISDREVELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVNDTDKRLFKKICNNEYRRCAVVEAYDSVKHLLFEIIKPNSEEHSIVTVLFQEIDHSLEIEKFTKTFKTTALPQLHSKLIKLVELLNKTVKDYNQVVDTLQALYEIAVRNLFKDRRNPKQLEDDGLAPRNPSSGLLFQNAVQLPDTSNENFYRQVRRLHTILTSRDSMQNIPINLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEDVSYSKEQLRTENEDGVSTLYYLQTIYDDEWKNFVERMRREGMMKDSDMWTDKLRDLRMWASYRGQTLSRTVRGMMYYYRALKMLTFLDSASEMDIRDGSRELVSVRQDNLDSFNSERSPYSKSLSRASSSVSSLFKGHEYGTAIMKFTYVVACQIYGTQKAKKDPHAEEILYLMKNNEALRVAYVDEKTTGRDEMDYFSVLVKYDQQLEKEVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQILSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYAFLWGRLYLALSGIEKSMESNSNNNKALGTILNQQFIIQLGIFTALPMIIENSLEHGFLQAIWDFLTMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKCFAEIYRLFSRSHFVKAIELGLILVIYATHSPVASDTFVYIALTITSWFLVASWVLAPFMFNPSGFDWLKTVYDFDDFMNWIWYNGSVFAKAEQSWERWWYEEHDHLKVTGIWGKFSEIILDLRFFFFQYGIVYQLGISAGNHSVAVYALSWIYVVVVSGIYVVVVYARNKYSAKEHIYYRLVQFLVIILAILVIVALLEFTDFNFVDIFTSLLAFLPTGWGLILIAQVFRSHLQSSIIWSGVVAVARLYDILFGVLVMAPVALLSWLPGFQNMQTRILFNEAFSRGLRISQIVTGKKPQS >CAK8538423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482287509:482288313:-1 gene:gene-LATHSAT_LOCUS7625 transcript:rna-LATHSAT_LOCUS7625 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARELAETSTDTKEEVVEKSNEVNDAKYFGGGYGHGGHGYGPGYGHGYGHGGSYGHGGSYGHGGSYGHGGYGGGYGHGGGGYGHGGGGYGHGGGGGYNGGGFSNNEVVETSNEVNDAKYGGGHYYGGGPHYNGGGNRYHGGGGRYHGGGGGYHGGHGGHGGASDNGN >CAK8530455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22501413:22507192:-1 gene:gene-LATHSAT_LOCUS318 transcript:rna-LATHSAT_LOCUS318 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFFQRLSRTFRDNHRTVKLVLLCTAVSGGGIVAYGELAPSEAVTDRKKVVVLGTGWAATSFMKNLDNPKYEVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIFRKKKVDVQFSEAECFKIDAENRKVYCQSNGNNSLNGKEEFVVDYDYLIIGVGANVNTFNTPGVVENCHFLKEVEDAQKIRRTVIDCFERANLPEVSEEEKKRILHFAIVGGGPTGVEFAASLHDFVNEDLVHLYPGIKDLVKITLLEAGDHILSMFDKRITAFAEDKFHRTGIDVKTGSMVVKVSEKEISTKELKNGGNITIIPYGMAVWSTGIGTRPFIKDFMSQIGQASRRALATDEWLRVEGCTNVYALGDCATINQRKVMEDIAAIFKKADRRNLGTLTVKEFQEVVNDICVRYPQVEQYLKSKQMRNIADLLKEAKGDVKKETIELNIEELKTALSKVDSRMKFLPATAQVASQQGIYLAQCFNRMEECEKNPEGPLRFRGEGRHRFNPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRVLVVTDWTRRFIFGRDSSRI >CAK8569665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7516462:7516851:-1 gene:gene-LATHSAT_LOCUS22492 transcript:rna-LATHSAT_LOCUS22492 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSANSHHVQDPVCGCNRSMKMFMSNSIENPKRRFWKRQNSWLMSGCKLFIWDDELERNLASDLKSSSGRNCSKMLKDLGSIIKEIEIVKKEKMKIKLKKKKRKATLLKLVLVVSWGFFFAYHKWW >CAK8563328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592972653:592973747:1 gene:gene-LATHSAT_LOCUS16752 transcript:rna-LATHSAT_LOCUS16752 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATIWSYEEEKAFENAIAMHWIEKQDSEEQQWEKIASFVPNKSMEEVKQHYQVLVDDVSAIEAGHVSFPNYANELETSSNKDSSKSTTSLDKRSSCNFGSGFSGLGHDSSTHHSSGKGGLSRSSSSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDVASNHQAPITGQHGNTIPSNLGQSMKHRVHPHHPGSGLGMYGAPVGHPVVAPPAHMASAVGTPVMLPHHPHHHPPYVVPLAYPMAPPPMHQ >CAK8540629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13300299:13302391:1 gene:gene-LATHSAT_LOCUS9615 transcript:rna-LATHSAT_LOCUS9615 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEITNLSPRATEKDVHDFFGYCGVIERVDVIRHSDFDSTAYVTFKEAYALETALLLNGSMILDQSISISRWEAYTDDNNWNRNKIEDSITYSQDIHMDKFVSSPGEAFTMAQQVVKTMVAKGYVLSKDAFVMAKAFDESCSVSSTASAKVAELSNKIGLTETINSGIETFKSVDEKYHVTDITKSAAAVTGTTAIVVATVTGRAAVAASNAIVNSSYFAKGALWVSDMLSRAAKSAADLGQHQNK >CAK8564610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2281554:2284713:1 gene:gene-LATHSAT_LOCUS17898 transcript:rna-LATHSAT_LOCUS17898 gene_biotype:protein_coding transcript_biotype:protein_coding MASECIVRLRNEGSIESIRYFECRRTLMEVLRDRGYNVSESDLTLTLPEFRSKFGQYPKPEALGVIVSHRSNPSNKVQVIFRGTDIIKKKALMEIYSQIVHLENLSRLILVVQSKMTAYARKDLEICQYNVEIIQLNDLVVNVTKHVLQPKYEILTADEKQELLKKYRVEEKQLPLMQRTDAIASYYGLEKGQVVKISHSGEMFNSLVTYRCVV >CAK8562726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531618695:531621754:-1 gene:gene-LATHSAT_LOCUS16198 transcript:rna-LATHSAT_LOCUS16198 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFQVATSSLCNLNGSHRRPIPLSRFMASGPGFRSHHSLYFTRSSSSHFFGTNVNSISFASPRVARTRRSFSVFAMSTEDSKRAVPLKDYRNIGIMAHIDAGKTTTTERILFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQLPIGAEDSFKGVIDIVRMKAIVWGGEELGARFSYEDIPEDLLEQAQDYRSQMLESVVELDDEVMENYLEGVEPDEQTIKRLIRKGSIAATFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMNGTDPDNPEITIERIASDDEAFSGLAFKIMSDSFVGSLTFVRVYSGKLTAGSYVLNSNKGKRERIGRLLEMHANSREDVKVALTGDIVALAGLKDTVTGETLCDPESPVVLERMDFPDPVIKIAIEPKTKADIEKMATGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREYKVEANVGAPQVNYRESISKVSEVRYVHKKQSGGQGQFADITVRFEPLDPGSGYEFKSEIKGGAVPKEYIPGVVKGLEECMSNGVLAGFPVVDVRAVLVDGTYHDVDSSVLAFQLAARGAFREGIRKAGPRMLEPVMKVEVVTPEEHLGDVIGDLNSRRGQINTFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYSMQLAMFDVVPQHIQNQLATKGQEVAA >CAK8563437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603857969:603874742:1 gene:gene-LATHSAT_LOCUS16849 transcript:rna-LATHSAT_LOCUS16849 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSSPRALSSSSSSSSHESWESMLPGPSSRNNFGSSDLSSHGLLAFPSGSSISIVDARSMQLVSSFPIPPPPSSAAPFVTSVRWIPLPLNRHLLSSEPSSTHLLLAAGDRQGRIALLDFRLKAAILWFETDSKQGIQDLCWIQARPDLFILAAITGPSTLSLFNATTGRCVWKYDASPEYFSCIRRDPFDSRRICAIGLKGFLLSLLHLGDSEEGVVINELQIRTDSSELLKLERDSGGGSSSATAPPASAAFPLYNARFIFSQHWRHILYITFPRELIVFDLQYECVIFASTLPRGCSKFLDVLPDPSNEWIYCVHVDGKLSTWRRKRGEQVHIMYSMEELMPSVGTSVPSPSILSVILCQSDTTLQKIGKNYSDMPSSPYLHEDFDNPFDFCDGSQIISKIHLISISDDGKIWDWLLTVEGNADTQKDDKKLGLVNDDTMVSFAGGRELNVGRPLEHLNENRSRPPSSTFNPEEISMKISLVGQLQLLSSTVTMLAIPTPSLTATLARGGNYPAAAVPLVALGTQCGTIDVVDVSANAVTSSLSVHNGIVRGLRWLGNSRLVSFSYTQVNEKSGGYINKLVVTCLRSGLNKMFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPPKDQTSVASDDASKPSKISSPDSKDGSSAEGSQDDTSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVTSDGLVTAMAYRLPHVVMGDRMGNIRWWDVTTGHSSSFNTHREGIRRIKFSPFVPGDNSRGRVAILFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADGSFRLVDINVNDKRFGSAPQIRKTRERFRAMPICCPILLPSPHALALQMILQLGVKPSWFNTCSTTIKKRPHLIPGAPSPTGDLRTYMISIPLLGDSVVPEMLLKVLEPYRKEGCMLDDERAKLYARIVDKGCAARFAFAATVFGETSEALFWLQLPQALKHLINKLSTKPPSKGLTTESVSVVDETSLLSRISSKGKPTEEMGSDALSQGQQRLMAFDQEELWKSASERISWHVKLEGEEAIQKRVHELVSVGNLEAAVSLLLSTPPESSYFYINALRAVALSSAVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRYQEACSQLQDAGCWDDAATLAATHLKGADYARVLQRWAGHVLHSEHNIWRALILYVAAGALHEALAALREAQLPDTAAMFILACREIRAEIVSDLNMTDDESSSSVNEKILNLQALDPVNEDVIAVDEYFGQYQRKLVHLCMDSHPSSE >CAK8531063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76928617:76929195:1 gene:gene-LATHSAT_LOCUS879 transcript:rna-LATHSAT_LOCUS879 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHRWRVGIMTEMAPIGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMIYSEHDANLYALDKQMHELS >CAK8573000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575648381:575653924:-1 gene:gene-LATHSAT_LOCUS25496 transcript:rna-LATHSAT_LOCUS25496 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEKDFDSKVKIQRTSSSSTGAGIVQRSKSFVFRAPQENYTIQDFELGKIYGVGSYSKVVRAKKKDTGVVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDSFSLYMALESCEGGELFDQITRKGRLTEEEARFYAAEVVDALEYIHGLGVIHRDIKPENLLLTGEGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIAREIRFPDYFSDEARDLIDRLLDLDPSRRPGAGPDGYAILKIHPFFKAVSWDNLRAQTPPKLALEPGESSWSPSHIGDGSAASARQPDGAASSSEGTGSITRLASIDSFDSKWQQFLEPGESVLMISMVKKLQKITSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNPNDLSIQVTSPSHFKICTPKKVMSFEDVKQRATIWKKAIEGLQNR >CAK8573001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575648381:575653924:-1 gene:gene-LATHSAT_LOCUS25496 transcript:rna-LATHSAT_LOCUS25496-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEKDFDSKVKIQRTSSSSTGAGIVQRSKSFVFRAPQENYTIQDFELGKIYGVGSYSKVVRAKKKDTGVVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDSFSLYMALESCEGGELFDQITRKGRLTEEEARFYAAEVVDALEYIHGLGVIHRDIKPENLLLTGEGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIAREIRFPDYFSDEARDLIDRLLDLDPSRRPGAGPDGYAILKIHPFFKAVSWDNLRAQTPPKLALEPGTQSPAADDVQESSWSPSHIGDGSAASARQPDGAASSSEGTGSITRLASIDSFDSKWQQFLEPGESVLMISMVKKLQKITSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNPNDLSIQVTSPSHFKICTPKKVMSFEDVKQRATIWKKAIEGLQNR >CAK8533404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613937741:613938433:-1 gene:gene-LATHSAT_LOCUS3031 transcript:rna-LATHSAT_LOCUS3031 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGNIGFKISFHLLPGDFSIPRNIWKFRIACLKSRDFSSSSVTANYIPITSCRVKIPRLEYCSFADGSAIKINDGKIGHATIAHCETSQNSDEVLTDLLFHDIEEGSEQMEEIEDSREQDFIRLDKSINDVEQSAVKLLASRALTTVELRKKLHSKRFSPNAVEAVIKKLLRQGFINDKLYAESFSQSRWSSSTWGPRQIKQALFNKGVYDTIRYQRRR >CAK8533405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613938065:613938433:-1 gene:gene-LATHSAT_LOCUS3031 transcript:rna-LATHSAT_LOCUS3031-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGNIGFKISFHLLPGDFSIPRNIWKFRIACLKSRDFSSSSVTANYIPITSCRVKIPRLEYCSFADGSAIKINDGKIGHATIAHCETSQNSDEVLTDLLFHDIEEGSEQMEEVRELTVP >CAK8577189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543745436:543748069:1 gene:gene-LATHSAT_LOCUS29318 transcript:rna-LATHSAT_LOCUS29318 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGTPADSFYETRAECTDVPKSRFRIKAGKTLSAKRWQAAFSPEGYLDIGKTLSRIHRGGIHPSIRGEVWEFLLSCYDSKSTYEEREEIRQRRREKYAEWKEECRQLFPLVGSGKFITAPVVTDDGLPIQDPIVLLENNPENGVIVPVPEIGSTNTINAAKKVTDKKVIQWMLTLHQIGLDVIRTDRTLVFYEKKENLSKLWDILAVYARIDTDVGYGQGMSDLCSPMIILLDDEADAFWCFERLMRRLRGNFRCTNNSVGVEAQLSNLALITQVIDPKLHQHIEHIGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPNLFWLYEDSEDTSEKSDEAKARLKSIRHYGKFERENMRNGAKNTEEPPLPISIFLVASVLKEKSATLLQQARGLDDVVKILNDTNGNLDAKKACMAALKLHKKYLKKAKKP >CAK8542256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494061760:494064572:-1 gene:gene-LATHSAT_LOCUS11105 transcript:rna-LATHSAT_LOCUS11105 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPFLIFITFMCATILIFILRKLNQTESSTKLPPGPQPLPIIGNILKLGKNPHKSLTKLSKIYGPIMTLKLGTITTIVISSPQVAKQVLQENSQIFSNRTIPHAGYTHDHDKFSLVWLPTLDLWRKLRKICATKIFSTKMLDSTEVLRQQKLQQLLNYVNEKSKKGEAFDIGEAVFTTVLNSISNTLFSMDLAHAIQGEESQGFKKIIWGIMEEAGKPNFSDFFPILRPLDLQGVYANVTNYVKKLYKIFDEIIEERISSRSTKGDSEDVYNDVLDSLLKSNNIGETALELSRDEMMHLFMDLFVAGIDTTSSTIEWIMTELLRNPEKLTKAKKELCQEIGKDEVIEESHIIKLPFLQAVVKETLRLHPPAPFLLPHKCDESVNILGFNVPKNAQILVNVWAMGRDSTIWKNPNMFIPEIFLECDINYKGNSFELIPFGASKRICPGLPLAQRSVHLMVASLLHNFEWKLIDGLKPEDMNMEENFGLTLKMVQSLRVQATSRA >CAK8565440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:204749466:204751632:1 gene:gene-LATHSAT_LOCUS18657 transcript:rna-LATHSAT_LOCUS18657 gene_biotype:protein_coding transcript_biotype:protein_coding METPTRLEKLKEEKVVVEDENVVIQTQPEKEEEGHPYAFHVSGPRNLVNLNWRDLISSSWKDANYKRTVIACFIQAVYLLELDRQENRQPENALAPNWWIPFKYKLTKTLIDERDGSIFGAILEWDRSAAMSDLVLIRPSGAPKAVLALRGTLLKSLTMRRDIEDDLRFLAWESLKGSVRFKVALETLKAVSNAYGSSNVCVAGHSLGAGFALQVGKSLAKDGIYVETHLFNPPSVSLAMSLRNIGEKAELAWRRIKSMIPSRNEEANNINNDENRKKNKNWMMPWLTSLKNNGFGVGKWVPHLYVNNSDYICCSYNEPDRSNEKNEGGGDSNKEDVGPNNNGCHVSAKLFVVNKEKQKFHEAHGLEQWWSNDSSQLQQTIHTSKLISRQLKSLYTNGGGGSGSGSSSSQVLQGKTSK >CAK8571587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444683048:444683212:-1 gene:gene-LATHSAT_LOCUS24232 transcript:rna-LATHSAT_LOCUS24232 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGIRNISSWMEVAPLPIIFPSKPSNYPTLATIKEVAEEYDDIQSTINNESMK >CAK8566048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:373613474:373615267:1 gene:gene-LATHSAT_LOCUS19216 transcript:rna-LATHSAT_LOCUS19216 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQSHNNIFSIFILLSFLANASAQLSPDFYATTCPKALSIINSAVCSAVAKEHRMGASLLRLHFHDCFVNGCDASVLLDDTSSFTGEKSAGANVNSLRGFDVIDNIKTQLETACPGIVSCADIVAASARDSVVALGGPTWSVGLGRRDSTTASKDTATSDIPSPLMDLSALITAFSNKGFTTKEMVVLSGAHTTGQARCQLFRGRIYNETIIDSNFATSVQSNCPSTGGDSNLTPLDVTTNVLFDNAYFKNLVNKKGLLHSDQQLFSGGSTDSQVTTYSTSFSSFYTDFATAMVKMGNLSPLTGNNGQIRTNCRQVN >CAK8533839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664398035:664452377:1 gene:gene-LATHSAT_LOCUS3431 transcript:rna-LATHSAT_LOCUS3431-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKFSLKPQCSPWKLLLATSTLLFLVASSIGSPVETQKSGRSSVFSLFNLKEKSRFWSEDVIHHDFDDLKYSNHGKASAINYTNAGNIANYLKLQEIDSIYLPVPINFIFIGFEGKGNQGFKLLSEEIERWFTKIDHIFEHTRIRHEEVLTAFYRTTVDKMQWHPHPVASHINYNYSVHAIEMGEKVTSIFEHAIKVFGRKDDPVGSSGNNDADWQVDVDMIDGLLSSLVEYLQLENAYNIFILNPKREERRTKYGYRRGLSESEINLLKENKSLQGKLLQSEVVPEDALVLPKIQRPLYSKHPMTKFAWTKTEDIDTVEWYNIWLETLDNYGKLRQGIEIAKTIEAKALQLLKGKDQDLKLLLERVLKSGDYGGLRAECLTDTWIGKDSRWAFIDLSAGPFSWGPAVGGEGVRTEASLPNVERTTGSASEISEEEAEDLLQNAIHEKFAVFGDDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLKNELQSFEGEEYDESHKAKAIETLKRMESWNLFSDTHEEFQNYTVARDSFLAHLGATLWGSMRHIVSPSVSEGAFHYYEKISFQLFFMTQEKVRHIKQLPVDMNAIKEGLSSLMAPSQQPMFSPHMLPLSDDPDLAMAFSVARRAAAVPLLLVNGTYRKTIRTYLDSSILQYQLQRLNKHGSLKGRHANSRSMLEVPIFWFIHSEPLLLDKHFQAKALSDMIIVVQSEPSSWESHLHCNGHSLLINLRQPIKATVAATAEHLAGLLPLHLVYGQARESALEDWIWSVGCNPFSATSQGWRISQFQSDSIARSYVITTLEETIQLVNSAIHRLLMERTTLNTFVIFQSQEHELVNKYNFVVSLWRRASTVTGELRYADALRLLNTLEDASKKFVDQVNTTLAILHPINCTKERKMQMVFDVTTVPAFLIVLGCLYMVLRPRRPKPKIN >CAK8533840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664398035:664452377:1 gene:gene-LATHSAT_LOCUS3431 transcript:rna-LATHSAT_LOCUS3431 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKFSLKPQCSPWKLLLATSTLLFLVASSIGSPVETQKSGRSSVFSLFNLKEKSRFWSEDVIHHDFDDLKYSNHGKASAINYTNAGNIANYLKLQEIDSIYLPVPINFIFIGFEGKGNQGFKLLSEEIERWFTKIDHIFEHTRIRHEEVLTAFYRTTVDKMQWHPHPVASHINYNYSVHAIEMGEKVTSIFEHAIKVFGRKDDPVGSSGNNDADWQVDVDMIDGLLSSLVEYLQLENAYNIFILNPKREERRTKYGYRRGLSESEINLLKENKSLQGKLLQSEVVPEDALAVLPKIQRPLYSKHPMTKFAWTKTEDIDTVEWYNIWLETLDNYGKLRQGIEIAKTIEAKALQLLKGKDQDLKLLLERVLKSGDYGGLRAECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTEASLPNVERTTGSASEISEEEAEDLLQNAIHEKFAVFGDDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLKNELQSFEGEEYDESHKAKAIETLKRMESWNLFSDTHEEFQNYTVARDSFLAHLGATLWGSMRHIVSPSVSEGAFHYYEKISFQLFFMTQEKVRHIKQLPVDMNAIKEGLSSLMAPSQQPMFSPHMLPLSDDPDLAMAFSVARRAAAVPLLLVNGTYRKTIRTYLDSSILQYQLQRLNKHGSLKGRHANSRSMLEVPIFWFIHSEPLLLDKHFQAKALSDMIIVVQSEPSSWESHLHCNGHSLLINLRQPIKATVAATAEHLAGLLPLHLVYGQARESALEDWIWSVGCNPFSATSQGWRISQFQSDSIARSYVITTLEETIQLVNSAIHRLLMERTTLNTFVIFQSQEHELVNKYNFVVSLWRRASTVTGELRYADALRLLNTLEDASKKFVDQVNTTLAILHPINCTKERKMQMVFDVTTVPAFLIVLGCLYMVLRPRRPKPKIN >CAK8533838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664398035:664452377:1 gene:gene-LATHSAT_LOCUS3431 transcript:rna-LATHSAT_LOCUS3431-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKFSLKPQCSPWKLLLATSTLLFLVASSIGSPVETQKSGRSSVFSLFNLKEKSRFWSEDVIHHDFDDLKYSNHGKASAINYTNAGNIANYLKLQEIDSIYLPVPINFIFIGFEGKGNQGFKLLSEEIERWFTKIDHIFEHTRIRHEEVLTAFYRTTVDKMQWHPHPVASHINYNYSVHAIEMGEKVTSIFEHAIKVFGRKDDPVGSSGNNDADWQVDVDMIDGLLSSLVEYLQLENAYNIFILNPKREERRTKYGYRRGLSESEINLLKENKSLQGKLLQSEVVPEDALVLPKIQRPLYSKHPMTKFAWTKTEDIDTVEWYNIWLETLDNYGKLRQGIEIAKTIEAKALQLLKGKDQDLKLLLERVLKSGDYGGLRAECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTEASLPNVERTTGSASEISEEEAEDLLQNAIHEKFAVFGDDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLKNELQSFEGEEYDESHKAKAIETLKRMESWNLFSDTHEEFQNYTVARDSFLAHLGATLWGSMRHIVSPSVSEGAFHYYEKISFQLFFMTQEKVRHIKQLPVDMNAIKEGLSSLMAPSQQPMFSPHMLPLSDDPDLAMAFSVARRAAAVPLLLVNGTYRKTIRTYLDSSILQYQLQRLNKHGSLKGRHANSRSMLEVPIFWFIHSEPLLLDKHFQAKALSDMIIVVQSEPSSWESHLHCNGHSLLINLRQPIKATVAATAEHLAGLLPLHLVYGQARESALEDWIWSVGCNPFSATSQGWRISQFQSDSIARSYVITTLEETIQLVNSAIHRLLMERTTLNTFVIFQSQEHELVNKYNFVVSLWRRASTVTGELRYADALRLLNTLEDASKKFVDQVNTTLAILHPINCTKERKMQMVFDVTTVPAFLIVLGCLYMVLRPRRPKPKIN >CAK8577242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546812918:546813820:-1 gene:gene-LATHSAT_LOCUS29366 transcript:rna-LATHSAT_LOCUS29366 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNSQNPNPQNSQIPLVPTNPAIFLLSPNNPNIYLIPQVNSNSMEFSTQVPPFSTQVPPFSTQVGTEKEERVVIKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAESFWLRIAASYNQYRGQLREKLGGQLKYRWHRINGMIQKFVGCYKIALKGKKSGTSETDVMADAHAIFAQDQGTTFNLEYAWRLLKDEVKWCIVEESIGSSAKITKTYASGASSENPDTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNATQDAKNKRAITMDRLAQAKEDELE >CAK8561760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:375830330:375833550:-1 gene:gene-LATHSAT_LOCUS15320 transcript:rna-LATHSAT_LOCUS15320-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKDKATMENSDDEGSIQTVKANTIDELHSLQRKKNVTPNKSQEDLNTYSGEERHKQQLESISASLASLTRETGPKVVKGDPSVRSETGRVAHVPHQRITPTIAVSDSALKFTHVLYNLSPAELYEQAIKHEKGSFITSTGALATLSGAKTGRCPKDKRVVKEDLTENDLWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPKNRIKVRIVSARAYHSLFMHNMCIRPTPKELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDISLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRHILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSEDGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVVENVVFDDHFREVDYTDKSVTENTRAAYPIEYIPNVKLPCVGPHPKNVILLACDAFGVLPPISKLSLSQTMYHFISGYTALVAGTEEGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQNHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGSLLNVEYKKTEIFGLEIPTEVEGVPSEILDPENAWSDKAAYKETLLKLAGLFKKNFETFTDYKIGEDNKLTEDILAAGPIF >CAK8561759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:375830330:375833568:-1 gene:gene-LATHSAT_LOCUS15320 transcript:rna-LATHSAT_LOCUS15320 gene_biotype:protein_coding transcript_biotype:protein_coding MADNCKMSPTKDKATMENSDDEGSIQTVKANTIDELHSLQRKKNVTPNKSQEDLNTYSGEERHKQQLESISASLASLTRETGPKVVKGDPSVRSETGRVAHVPHQRITPTIAVSDSALKFTHVLYNLSPAELYEQAIKHEKGSFITSTGALATLSGAKTGRCPKDKRVVKEDLTENDLWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPKNRIKVRIVSARAYHSLFMHNMCIRPTPKELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDISLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRHILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSEDGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVVENVVFDDHFREVDYTDKSVTENTRAAYPIEYIPNVKLPCVGPHPKNVILLACDAFGVLPPISKLSLSQTMYHFISGYTALVAGTEEGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQNHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGSLLNVEYKKTEIFGLEIPTEVEGVPSEILDPENAWSDKAAYKETLLKLAGLFKKNFETFTDYKIGEDNKLTEDILAAGPIF >CAK8572349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531526849:531528522:1 gene:gene-LATHSAT_LOCUS24924 transcript:rna-LATHSAT_LOCUS24924 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8531677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:138510044:138511230:-1 gene:gene-LATHSAT_LOCUS1451 transcript:rna-LATHSAT_LOCUS1451 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKSGSGYSSSSSAKEVSHGIDNTVLDAIVIARGVKRKLKESSSERVSVSSDVIVTNSPIKISQPEKGKVTHKVKHDLPHTRETKFLGKQATKISAGTSSEAVIVSSCEKSFDAICHEKDLPSFKGGVQGFETISPEKNYSSIVAYGLRVSQLGKIAQEEFNKTKEELKEAKDYLKEEKEKMDFTLEYLDDLQADLENSKKKFKDELSYYAEVEKKKNAKVESLEKEVKFLLDRLIDAKKQMEVHNEEIYKLKIDCATKYLEGFDVALKQVRFLHPNIDVSEVDSLKFVEDGKLVLM >CAK8544350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679329704:679335959:-1 gene:gene-LATHSAT_LOCUS13038 transcript:rna-LATHSAT_LOCUS13038 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKRKERGDAKRSRTTVSKKSKKTSDVRRKKTGPHLPSSLKKQIESLNPTTVEVDEVDNDVYEYEEELPEEESRKNKRYDPVSVKDNDLSSDFEDENVQSDDEDDDGARNARMVQRIIGKTMIKDTGIPELYPESEDNDNPNRDVVDGDGRVSINDLLEPLREKPELANEYAKLRKRNQIIEKHARTVYAPLPKAEQAQVDRKVAYEISKKEVTKWQPIIKRNREAPTIFFDEKTDLGFSTIGAIASEFEPRTELERKMAALVQNDKILEAHKNDGFRLLELNKVSIEDEKDRQNRNAKMRSLLFRNELRAKHVKKIKSKTYHRLLKKDRLKAESSQPQMDPEAAKEYAMKQERQRAEERMTLRHKHKSKWLQRNMQRGLDKQDEGTRAAVTEHFQRHEELTRKMKTMDSSSSSSDDSSNEDDDEDAADSDSDKANKILQKAKQKTLEVLEEDDEIPKSGLLSLPFMRRGLEKRKEETIEEVNLTVQEYEDSMKKLEDSGGSEDPKVASTSGRRVFGMAKKAQIVDAGNKVKSDEFYDNSDSDDDLEVNKSGDIENQGSDLPQKDIIDDLIVSQEGFGTRKESVFKNFDEIVKNPGPKTTYEVSIYASDKWNKAKNRNDIDTNIKKSPKLKEPVRPNVKNIENDQLAEDSDTDNEGQMVDGILTSASKPSYELPSQEELIRQAFAGDDVEDAFEKDKQEVLNEENPEPEKPLILPGWGQWSYVQEKKGLPSWVIKKQEDAQRKKEEAIKRRKDAQLKNVIISEKLGKKAEKLHAKTLPYPFTSKQVYDQSMRMPIGPEFNPATTIGLLNRPAVVKKSGVIIKPIEFEEVNPHDKSGQGFVENKLKRTKGNSSKARKKSKD >CAK8533758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657741838:657744791:1 gene:gene-LATHSAT_LOCUS3358 transcript:rna-LATHSAT_LOCUS3358 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGKDHLVGGGESNDVGRTFNHRDEQEQEHEQQHHNSQPLTGDGASPGKIFIGGLARETTIAQFIKHFGKYGEITDSVIMKDRKTGQPRGFGFITYADPLVVDKVIEDPHVINGKQVEIKRTIPRGAVGSKDFRTKKIFVGGIPSNVTEDEFRDFFTRYGEVKDHQIMRDHSTNRSRGFGFVTFDTEEAVDDLLSMGNKIEFAGTQVEIKKAEPKKTNPPPPSSKRYNDSRSSYGSGGYGDAYDGFGGGFGVGGGYSRSGSVYGGRGGAYGGYGSEFAGYGGYAGAMGGPYRGDPSLGYAGRYGGSFSRGYDLSGYGGPGESYGAYGGASAGAAASGGGSSGAAAYQSGYDATLGGGYGGGASGGSFYGSRGGYGAGRYHPYGR >CAK8531607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:129835697:129836266:-1 gene:gene-LATHSAT_LOCUS1385 transcript:rna-LATHSAT_LOCUS1385 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVNSINHIDIEAMRMGKQLNMDHREYLTRNISEDEITKALKGIGDLKAPGLDGYEAKFFKASWTTIKTDAIAAVKEYFETSKMYKAFNNVVVSLIPKGNNACEIKDYRPIVLCTMFYKIISKILTDGLGSVLPSVISHNQTAFVPGQNIHNHIMLATELLKGYTRKGGTLRIMMQIDLQKAYDMVN >CAK8568475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:609952208:609954043:-1 gene:gene-LATHSAT_LOCUS21430 transcript:rna-LATHSAT_LOCUS21430 gene_biotype:protein_coding transcript_biotype:protein_coding MALNATHSETNNNNNNNITFHSTNPQSPFLIALPFFKLPIANFPTPQLPTSISNAVDQCSMFLHSLASQNPILNKLLSLSSQFHDTCFQIRCSNYRNRRLVNSHHNFAAVLPGDSVAGLVVANGLQNFLNLYNTLIVCRLVLTWFPNAPPAIVSPLSTVCDPYLNVFRGLIPPIGGLDLSPILAFLVLNAFTSTAAALPAELPITEQSKQGPETRLQSTDVTSSQNKWMRRLQGIGSKTSTTAN >CAK8541191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:86068117:86071630:1 gene:gene-LATHSAT_LOCUS10127 transcript:rna-LATHSAT_LOCUS10127 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNEWHVERRSTSDTDSPLAPRREVLETGTLSIVVLGASGDLAKKKTFPALFHLYKQDLLPSNEVHIFGYARSNISTDELRNKLQSYLIPKKGASPKDLQDVSDFLQLVKYISGGYDSEEGFRLLDIEISQHEYLKNSKEGSSRRLFYLALPPSVYPSVCKMIKTYCMNKSDLGGWTRVVVEKPFGRDLESAEELSNQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVLCLIAMEKPVSLKPEHIRDEKVKVLQSVLPIRDDEVVLGQYEGYRDDPTVPDESNTPTFATAILRIHNERWEGVPFIMKAGKALNSRKAEIRVQFKDVPGDIFRSKTQGRNEFVIRLQPSEAIYMKFTVKQPGLEMSAVQSELDLSYGQRYQGITIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHKIDRGELKPIPYKPGSRGPAEADELLEKAGYVQTHGYIWIPPTL >CAK8530374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17558143:17559645:1 gene:gene-LATHSAT_LOCUS242 transcript:rna-LATHSAT_LOCUS242 gene_biotype:protein_coding transcript_biotype:protein_coding METWFIALLSLCIIFLIRATVSTLTTAKTASLPPGPLHIPILTPILWLRKSFSQLEPFLKTLHAKHGPIITLRIGSRPSVFIADRSLAHQALVHNSSIFSDRPKALPTGKLMSSNQHNISSASYGATWRTLRRNLASEMLHPSKIKSFSEIRNWVLDTLINRLKTASESGSFTVVPHFHYAMFCLLVFMCFGERVNDEKVNEIERVQRTPLLSMNRFNILNFWPQVTKILLRKRWEELLKLRKNQQDVLLPLIRARKQNKGIKNVVSYADTLLELELKEEKRKLNDDEIVSLCSEFLNGGTDTTATALQWIMANLVKYPDVQRKLVEEIREVISGDDNRVKKEVKEEDLQKLPYLKCVVLEGLRRHPPGHFVLPHAVTEDVVLNGYLVPKDGTVNFMVAEMGWDPLVWEDPMEFKPERFLKDDTFDITGSKEIKMMPFGAGRRICPGYHLALLHLEYFVANLVWNFEWKVPKGGDVDLSEKQEFTMVMKNPLQVHISPRI >CAK8542684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537253904:537255076:-1 gene:gene-LATHSAT_LOCUS11503 transcript:rna-LATHSAT_LOCUS11503 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTPLFYALILLASTFSLHFQAHAAPAGPLIKHLSSLIKWTRSATKMPQSDGNVLQFENGYVVETVVEGNEIGVIPYRIRVSEEDGELFAVDKINSNIVRITPPLSQYSRGRLVAGSFQGYTDHVDGKPSGARFNHPKGITMDDKGNVYVADTQNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVHPTCSLLVIDRGNAALRQIILNQDDCDYQSSSISSTDILTVVGAVLVGYATCMLQQGFGSSFFSKTRHSRGEFKGKPSNEKHMPIPDSSKEDPGWPSFGQLIADLSKLSFEALASTFTQFIPSHFKSNSVNRGLTPLKDRLVMPEDEVKSPLVNRKTAHVTHTENRQMPQVHTPSTAEKYSEVKAPLINC >CAK8533568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637231045:637233012:-1 gene:gene-LATHSAT_LOCUS3188 transcript:rna-LATHSAT_LOCUS3188 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFLTETGVNLLEKRFIPSLEKTSKTCHLYFTKTHTLFLHNLLNGDGIQSIAQFTNQILFDDYRISSQNDDRIAFVIDLSLLLRALRSSVAICSDYSAAVPNRLQIKLVKKLSQNCSIAAPFLTFETRGFKSAVVQDIPISKPLSRAHVVELQSALDMAQDIPQTLIQVPDLNQLLNLVDRMKHVGDAVDVSISKYGDLSVQVSTTLISLGAEFRKLLVIGEQANAPAEDQNLSAQTRSSRSISRGDAQSVQVSVKHFAKSLQCHLAKPDCAFYGIAPQGSCLTVIFQFFIPGTRQTDKSISYHCRLPVLDPGAG >CAK8561478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241113679:241114116:-1 gene:gene-LATHSAT_LOCUS15066 transcript:rna-LATHSAT_LOCUS15066 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQHLRIGSVSPEQISAWEKKILPNGEIVGEVTKPYTLHYKTNKPEKGGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEKCGVEFVDSQIRRYQMGYIQLACPVTYVWYLKRLPSYIASLLDKPLKELENLVYRDV >CAK8544883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710298953:710300836:-1 gene:gene-LATHSAT_LOCUS13528 transcript:rna-LATHSAT_LOCUS13528 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAAVWDFRAATEITKDQNGIAQVVLRTPQGASARVSLLGAQVTSWRNEQGEELLFTSSKTISRALKATRGGIPICFPQFGNCGSLELHGFARNRMWAVDENPPPLPANDSSGKSFVDLLLKSSEEDMKCWPHSFEFRLRVSVTKDGDLSLISRIRNINGKPFSFSFAYHTYLLVSDISEIRIEGLETLDYLDNLFQKERITEQGDAITFESEVDRVYLSSPNVIAVLDHERKQTYVIKKEGLPDVAVWNPWEKKSKAMVDFGDEEYKQMLCVDAAVIEKPVNLKPGEEWTGRLQLSVVPSSFCSYHVGLDSSGF >CAK8539359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510134812:510137915:1 gene:gene-LATHSAT_LOCUS8462 transcript:rna-LATHSAT_LOCUS8462 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSEKILVNPTQQQRTPNPHSPKLFMLWLILTVSLTYFIYTLKLVSTSTTCNHPPFSINLHKSTINTSTTSHKTDLKHVVFGIAASSKLWPQRKNYIKLWYNNKTMRGIVWMDDTVKTDPNEGLPMVRISTDTSNFPYTNKQGHRSAIRISRIVSETVRLGLKDVRWFVMGDDDTVFVTDNLIRVLNKYDYKEDYYIGSLSESHLQNIYFSYGMAYGGGGFAISYGLAKALVKMQDRCIHRYPGLYGSDDRMQACMAELGVPLTKEIGFHQYDVYGNLFGLLASHPVTPLVSLHHLDVVEPIFPNATRVEALQRLTIPMKLDSAGLIQQSICYDKEKRWTISVSWGFAVQIFRGIFSPREIEMPSRTFLNWYRRADYTAYAFNTRPVTRNPCQKPFVFYLSKAELNSTIHQTVSEYERHRVPHPECRWKMADPSALDKIVVYKKPDPHLWDRAPRRNCCRVMKSNKKGRMVIDVGICKDGEVSEP >CAK8576967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528328984:528330801:1 gene:gene-LATHSAT_LOCUS29119 transcript:rna-LATHSAT_LOCUS29119 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTCFCWKSITFDQSFSNHPQIFSLPSPLPQWPQGHGFASGVVNLGEIEVFKVTSFDFIWSSAILNSKKFVIFYKPVGIPDSFHILGHYCQTSDRPLRGFVLVAKETRTCLSGTNEEELPPLRNPLDFKLVWSSNDPDSLEISSGCGYFWLPEPPEGYKAVGYFVTNKPNKPELDEMCCVRADLTDKCEPYRVVFAVSSRTPEFSFQVRSSRPCDRGMLGKGVSVGTFLCTSGLSNGEEDHVACLKNLNPAIPSMPNLQQIHALIEHYGPTLFFHPEEIYLPSSVDWFFNNGALLYRKGVSAGEGIDAAGSNLPCGGSNDGQFWIDLPSDDRRDFVKRGDIKSAKLYIHVKPALGGTFTDIAMWIFCPFNGPATLKVGLKNIPLSKIGEHVGDWEHFTLRICNFAGELYSIYFSQHSGGEWVDACDLDYTDGNKAIVYSSKSGHASYPRPGTYIQGSSKLGLGIRNDAVRSNFYVDSSIHYEIFAAEYLGNAVAEPNWLQFMREWGPKIVYDSKTELDKIINALPHMLQSSMRNLFNKLPVELYGEEGPTGPKEKNNWIQDERW >CAK8537417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:347307437:347308150:1 gene:gene-LATHSAT_LOCUS6710 transcript:rna-LATHSAT_LOCUS6710 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLKEEVGDYDVDASSAKRLWRSPSDTLQDMIVLQVYVTMYLNDCQHTDFYEGVELKPEEFDMHVIIETNQTTTRICSNANILIFKAFKLAYYVTATGLSELIQIQ >CAK8578428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628953359:628953728:-1 gene:gene-LATHSAT_LOCUS30440 transcript:rna-LATHSAT_LOCUS30440 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSIIFAYKTFTLVEARYLLLFTDLDRLSGYSWGAAALVTLHLGDASMFSYKQLGDYPTLLHCWIHEYFPTLGKREEN >CAK8564637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3672256:3678782:1 gene:gene-LATHSAT_LOCUS17922 transcript:rna-LATHSAT_LOCUS17922 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDSIPNHKLCGFLSTVLTLAPPHNPNDARFNDRCEIFNDGASTGFRTQNGVVLIPIVDSEQCKSPKGKRKKIGMVNGSFSVVHQLYALGVRRCLEIDARVLCVVEVLDRVRVVVLVDVYLPVQVWSGWQFPKSGSVAGAVFRHVRCDWDERRSMLSDSDYCRKTHGENESIWNLSVCHVLDCKLHSSVRDSSREMLFELHEIFKTVPSPCIGKKQQNISKIIPMDNTCKSGIWEISDDILIKIISSLGPLDLTRVSGTCQHLRSLAATVMPCTKLNLFPHQQAAVEWMLHRERNAELLLHPLYVALSTDDGFSFHVNTVSGDIVIGEAPTIKDFRGGMFCDEPGLGKTVTALSLITKTQGTLADPPDGPQVVWCQHSSTQKCGYYEISGNNITSCTILGKRDVCQNNENHGYSSKRAMLLNPCQEITKPHESCSVGEDKSPVDVCFEEYTPASRGTRSFSRVTKNLHFTYDEDAMIFEERRVGKRPIKTKHASDVASQMSQNKLVGSSNVSGQSYKWHRKRKADCLEYSDNWIQCDACHKWRKLVDNSLDNSSAAWFCSMNTDPSYQSCRVPEQYIQNSCEITYLRGFHLKGTPGGEKQNISFFTSVLKEHSSLVNSQTKKALIWLTQISMDKLAVMETNGIRGPILNNCTLSNGTLNPYHKIFKAFGLIKRVEKGVCRWFYPQNLSNLTFDVAALGMALCEPLDLVRLYLSRATLVVVPANLIDHWKAQIEKHVSPGQLQVYVWKDHQKPSAHSLAWDYDVVITTFSRLSAEWGPRKRSALMQVHWFRIILDEGHTLGSSLSLTNKLQMAISLVASNRWILTGTPTPNTPNSQLTHLQPLLRFLHEEAYGLNQKSWDAGVLRPFEAEMEEGRSRLLNLLQKCLISARKVDLQSIPPCIKKVVLLDFNEEHARSYNELVLTVRRNILMADWNDPSHVESLLNPKQWKFRSETLKNVRLSCCVAGHIKVTHAGEDIQEAMDLLVQNGLDSTSGEYTSIRYSLLYGGHCVRCKEWCRLPLITPCRHLLCLGCVSLDKTKCTYPGCDNLYEMQSPDTMARPENPEPKWSVPKDLIELQPSYKQDTWDPDWQSTSSSKVAYLVQRLKALQGTNEEMSSYIDSSNNEMHIENSFPLHTRHAESSFQECSTSRTSTKVLPEKVLIFSQFLEHINVIEQQLTVNGIKYTGMYNPMHSTHKKKSLAMFQHDSSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIHVETLAMRGTIEEQMLEFLQDADKRRRVHNKDVKSEDGTGRGHRSVHDFAESNYLLELKFV >CAK8579133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678546514:678547484:1 gene:gene-LATHSAT_LOCUS31101 transcript:rna-LATHSAT_LOCUS31101 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTIFVDKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPIPYHDAPSEMPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFVDLFEALHIARSQ >CAK8573736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633834011:633843505:-1 gene:gene-LATHSAT_LOCUS26144 transcript:rna-LATHSAT_LOCUS26144 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPTAQRRLKAINAHLITAADHSPSDVQSNPTAGEFFSEQGYSVVLPEKLRTGKWNVYRSARSPLKLIDRFSDNPDIGTLHDNFVRSVDTYRDYKYLGTRVRVDGTVGEYKWMTYGEAGTARAAIGSGLVNHGIPKGSGIGLYFINRPEWLIVDHACSAYSYISVPLYDTLGPDAVKFVVNHALVQVIFCVSQTLNSLLSYLSEIPTVRLIVVVGGIDDQIPSLPSSDGVQIISYTKLISQGRSNLQPFRPPKPDDIATICYTSGTTGTPKGAVLTHQNFIANVAGTSLSEKFNPNDVYISYLPLAHIYERTNQVMTVYFGIAVGFFQGDNMKLLDDLAVLRPTIFCSVPRLYNRIYAGIINAVKTSGGLKERIFNVAYNAKKQALLHGKNPSPMWDRLVFNKIKEKLGGRVRLMVSGASPLSPDVMEFLKICFGGRVTEGYGMTETTCTISCIDDGDRLSGHVGSPSPCCEIKLVDVPEMNYTSDDQPNPRGEICIRGPIIFQGYYKDEVQTREVIDEEGWLHTGDIGTWIPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYVKCNFVAQCFIYGDSFNSSLVAIVSVDPDVMKAWAASEGIVYNNLTQLCNNPKTKAAVLAEMNAVGSEAQLRGFEFARAVTLVAEPFAMENGLLTPTMKIKRPQAKEYFAKAISDMYNEISISDPSLKPL >CAK8541546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:235380317:235382355:1 gene:gene-LATHSAT_LOCUS10461 transcript:rna-LATHSAT_LOCUS10461 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIILEKEAPGNNIDVYLQPLVKELKELWANGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGPACPSCNFQTTPLRLKASRKWCFMGHRRFLDQRHRFRLNRIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVKEKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLNPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNILPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLAVHLVEEVKLGGPVHYRWMYPIERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDMALLIKLKDLLRSMSMDSNFEHWNEIIF >CAK8576549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:491651009:491654962:1 gene:gene-LATHSAT_LOCUS28728 transcript:rna-LATHSAT_LOCUS28728 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQALTVPAFPTFTIASHTKIPSQLAFSHRNPCTVASSLPSPYVHPSKIGLSSKANGFRLKLDEKNTHDVSTSYGVIEAKKGNPPITPAVMTPGGAIDLSSVLFRNRIIFLGQPVNGQVAQRVISQLVTLATIDPDADILMYINSPGGNTYSVLAIYDCMSWIKPKVGTICFGVAASQATLLLAGGEKGMRYSMPNARIMMGQPRCGFGGHVEDVKRLVNEAVQSRHKMDQMFCAFTGLPLEKVQEYTERDNFLSVSEALEIGLLDGVLETEY >CAK8541417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157227214:157228403:-1 gene:gene-LATHSAT_LOCUS10338 transcript:rna-LATHSAT_LOCUS10338 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDTATLNTVVERDRIFDFLAGLNAEFDPIRVQILGKEKFPDLNEVFYTVHSGSPSTFYYSFNAGGVHFIMLGAYINYDKTGEQYRWLERDLANVDR >CAK8535773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880569764:880572045:-1 gene:gene-LATHSAT_LOCUS5198 transcript:rna-LATHSAT_LOCUS5198 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGFVAARRKISTWPPFSQRLKQTENEIVRMFRLPDSQEENDSLPVKGGRVFWKDPNARTLDERFIRILKIFKWGPDAEKALEVLKLKLDIRLVREVLKIDVEVNVKIQFFKWAGKKRNFEHDSTTYMALIRCLDENRLVGELWRTIQEMVKSPCAIGPSELSEIVKILGRVKMVNKALSVFYQVKGRKCRPTSSTYNSVILMLMQEGHHEKVHELYNEMCSEGYCFPDTFTYSALISVFAKLNRDDSAVRLFDEMKCNGLQPTEKIYTTLMGIYFKLGRVEEALNLVHEMRMRRCAPTVFTYTELIRGLGKSGRVEDAYGVYKNMLKDGCRPDVVLMNNLINIFGRSNRLKDAIELFNEMKLLNCTPNVVTYNTIIKSLFEAKAPSAEASSWLERMKKDGVVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGSSSARVYAVMIKHFGKCGRLNEAIGLFNEMKKLGCIPDVYAYNALMTGMVRAEMMDEAFSLFRTMEENGCTPDINSHNIILNGLARTGGPKRAMEMFEKMKSSTIKPDAVSYNTVLGCFSRAGLFEEAAKLMKEMNSKGLQYDLITYSSILEAVGKVDEDYNMV >CAK8570208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28866812:28870770:-1 gene:gene-LATHSAT_LOCUS22981 transcript:rna-LATHSAT_LOCUS22981 gene_biotype:protein_coding transcript_biotype:protein_coding MSITKAEMDLEVDDDGRVKRTGNVLTATTHIITVVIGAGVLALAWAMAQLGWIAGIFSVLLFASISLFTYNLVANCYRFPDPINGKRNYTYMQAVKVYLGGRMHVICGIIVYAKLAGITVGYTITSSTSLAAIGKSICLHNKGHQANCRSSYNPYMIGFGTLQLFLSQIPNFHTLTWLSSIAAITSFGYVLIAIGLCFSILISGKGAPTSIFGTKVGPELSAADKVWRTCSSFGNIALACNYATVIYDIMDTLRSTPSESKQMKKANLTGLSTMTMIFLLCSCLGYAAFGDKTPGNIFAGFSEPYWLVAIGDICIVIHMVGAYQVMAQPFFRIIEIGANIMRPDSNFINKDYQISMCKTTINMNLFRLIWRTIFVIIATILAMAMPFFNEILSLLGALGFGPLVVFFPIQMHISQKSIKILTFKWCAFQLLNCLCLLVSLVAAVASVHQISQNLQKFKIFGYKN >CAK8568435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606021875:606022252:-1 gene:gene-LATHSAT_LOCUS21392 transcript:rna-LATHSAT_LOCUS21392 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVDTWATIVKARVFMNDLLHKSHVLSSVWTGCKEVLEDLMQNSMWSIGKGNKINVWCDNWCGKPLVSMLQVPVVQWPLLKADLSSILCDNSFNLPSGLIRLYPDLPNLVSNIFMNYLSEDKLV >CAK8567436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513990309:513992356:-1 gene:gene-LATHSAT_LOCUS20490 transcript:rna-LATHSAT_LOCUS20490 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRICSRTNVYSCEGNRVLPWIYAPSCFFHNGQANMAPRSFFGVEDFVDDDNSRPYTYQKGKKSKNPNKHISFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACIAIGRILAERAMEADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDLKVYLD >CAK8534539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739941067:739942726:1 gene:gene-LATHSAT_LOCUS4080 transcript:rna-LATHSAT_LOCUS4080 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVWRFTSEATQASRFTETRHRSNPKSIPILPTSTFIQRHTSTLQQPSVLLDLVSLFKVSIPPCEKHLINEIAILKNKLLREASDSVRVASILEDNSESLVRSHPVFLELLNQLNSNPSLLLEVFNWRRKRNVSEFDACRNSMNAHEYSKGIKAAGRSKNIDLAVELFREAEKKGVKINSTYNALMGAFMFNGLAGKCHSLFLDMKKDPVCSPSVVTYNIVISVFGRLMLIDHMEATFKEMSDLGLSPNISTYNYLIGGYISTWMWDDMEKVFQVLKSGPVGPDMKTYLLMIRGYAHLGNLEKMEEIYSLVRDHVNKNEMAILRVMICAYCKSSDVYKTKKIEAMLKLIPEKEYRPWLNVLLIKLYARENLLEKMETAINEAFEHRTAVTTTGIIKCIVTAYFRCNAIENLENFVTRSVFAGWRICHSLYHCKLVMYSSQKNLGKILNVLEEMDDINMNRNKQTLWIMYKAYCNSGQKAMVLKILGQMFKHGYTVPNDAFPS >CAK8532817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520988159:520990007:-1 gene:gene-LATHSAT_LOCUS2482 transcript:rna-LATHSAT_LOCUS2482-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLLNFLFPPPPSLFVTAMSVISIASLANAGFSEVRGKHLSYSKFWNVNNNNNGNGGKKQQLKLSSKTGMLLLYTPAFLAGAASFLVFPDEGFRSTVLQSAVTIHFFKRVFEVLFVHKYSGSMALESAIPITLSYFVSSATMIYAQHLTLNLPEPAINLLYPGIVLFLVGITGNFYHHYLLSKLRGKGEKEYKIPKGGLFEFVICPHYLFEIIGFYGFSLISQTLYGFSFAIGTTFYLLGRSYATRRWYLSKFEDFPKNVKAIIPFVF >CAK8532816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520988159:520990007:-1 gene:gene-LATHSAT_LOCUS2482 transcript:rna-LATHSAT_LOCUS2482 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLLNFLFPPPPSLFVTAMSVISIASLANAGFSEVRGKHLSYSKFWNVNNNNNGNGGKKQQLKLSSKTGMLLLYTPAFLAGAASFLVFPDEGFRSTVLQSAVTIHFFKRVFEVLFVHKYSGSMALESAIPITLSYFVSSATMIYAQHLTLNLPEPAINLLYPGIVLFLVGITGNFYHHYLLSKLRGKGEKEYKIPKGTTFYLLGRSYATRRWYLSKFEDFPKNVKAIIPFVF >CAK8571925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494129834:494130724:-1 gene:gene-LATHSAT_LOCUS24546 transcript:rna-LATHSAT_LOCUS24546 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQRQRPRADQFPEQQPIKYGDVFNVSGELASQPIKPRDAALMQAKENQALGQTQKGSPAAVMQSAAAVNTATGLVHRNDISDIARNQGVSISETKVGGNRVITESIGSQVVGEFVEPDVPMNDPGLVLDKNAITIGEALEASALTRAGDKPLDQSDAAAIQAAEMRATGKNQTEPGGLGAIAQSEATRNTRTMPDLQKTTLADILSGAREKLGSDKAVTREDAEGVLGAELRNKADMRTTPGGVAASMVAAATLNQKTLEFLRSYS >CAK8564802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10788135:10794300:1 gene:gene-LATHSAT_LOCUS18067 transcript:rna-LATHSAT_LOCUS18067 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTPISAENGSAHATGHGKSPLTDVGARAAPADSVPEFEPSKRRRSSMLPLEVGTRVMCRWRDGKYHPVKVIERRRVHGCGPNDYEYYVHYTEFNRRLDEWVKLDQLDLDSVEAVVDEKVEEKGASGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPSEYNDCLKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDERGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVCKLIWTPYKEQS >CAK8562645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:520287244:520287502:1 gene:gene-LATHSAT_LOCUS16124 transcript:rna-LATHSAT_LOCUS16124 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRITLVQTVATAGVFSAISFWYGFMFGRESSRKELSHLIEDLRRGNPDPPPHS >CAK8575663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:239346592:239347437:1 gene:gene-LATHSAT_LOCUS27906 transcript:rna-LATHSAT_LOCUS27906 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRDKELRKTVVNIGYALTDATINYYRGEIQRTNRAALEWIDNIPIEKWSRAFDGGQRWGHMTTNLAEAMNSVLKATRNLPITTFVKSTFYRLGSLFGKRGHDWTKMLASDQTFTKNCNKGMADEASKSSSHNVIQFDRERFCFMVAESINQRDGRLLGTFSVDLRRGRCDCGRFQAFHLPCSHVIATCASIRQDNNMHIPDVFKVLSVFKVYSGNFLGLPHHQNWPTYEGFTICHDETMQRNKK >CAK8562920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557121069:557124033:-1 gene:gene-LATHSAT_LOCUS16381 transcript:rna-LATHSAT_LOCUS16381 gene_biotype:protein_coding transcript_biotype:protein_coding MILVKRMRETLYSSLLLQDISFFDNETVGDLTSRLGADCQQVSRVIGNDLNLILRNVLQGGGSLIYLLVLSWPLGLCTLVICSILAAVMLRYGRYQKKAARLIQEVTASANNVAQETFSLIRTVRVYGTEEEEQERYKLWLEKLADISLRQSAAYGFWNFNFNTLYHSTQVIAVLFGGMSILAGHITAEKLTKFILYSEWLIYSTWWVGDNISNLMQSVGASEKVFNLMDLSPSSQFITEGMRLQSLTGHLEFVNVSFHYPSRPTVSVVQHVNFVVNPSEVVAIVGLSGSGKSTLVNLLLRLYEPTSGQILIDGVPHKDLDVMWWRERIGYVGQEPKLFRMDISSNIRYGCTRDVKQEDIEWAAKQAYAHDFISALPNGYETLVDDDLLSGGQKQRIAIARAILRDPKILILDEATSALDAESEHNVKFQHTNHT >CAK8537154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:110580841:110595171:-1 gene:gene-LATHSAT_LOCUS6464 transcript:rna-LATHSAT_LOCUS6464 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALLGHSAHLKLSTSFHGQVSSSSFAGSPICPSFCTTHPGNQSFSKKHFVVFSRKMSGLGEARRVKRERELLVVKKDRKRPPLRCGEVSPPLSVPDHIPKPPYVASNILPEIASEHQIPDSQGIAKMRAACELAARVLNFAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINIDVTVYLNGYHGDTSKTFFCGEVSDASKNLVKVTEECLERGIGVCKNGAPFKKIGKIISEHAKKYGYSVVERFVGHGVGTVFHSEPLIYHHRNDEGGCMVEGQTFTIEPILTGGRTDCIIWPDNWTAVATDGSPAAQFEHTILITKTGAEILTTC >CAK8560915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57500991:57505448:1 gene:gene-LATHSAT_LOCUS14554 transcript:rna-LATHSAT_LOCUS14554 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSILDPLYGGFPEVIEEYLELEHGIMKCIAFNRRGTLLAAGCNDGSCFIWDFLTRGVAKELRDNECSSPITSICWSKYGNRILVSAVDKSLSLWDVLSGKRIRRIVLQQTPLQARLHPAESSKPSLCLACPLSCSPMIVDLNTEETTFLKVSVSEKPSGPNPASRNKGSDGSTSFTPTAACFSRYGNLVYVGNSKGQILIIDSKDGEVRGMIPISGGSVVKSIVLSRKGQYLLTNSNDRVIRIYENLLPPKDEIRALEELNENLSDLNGVEKFKAIGSKCLTLFREFQDAITKVHWKAPCFSCDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVRPIVVSVALNGTAYIWAKDYTESWSAFAPDFKELEENEEYVEREDEFDLNPETEKVKGSDVDEDEEIDIMTVEKDPAFSDSDMSQEELSFLPVSPIPDVPEQQDKFLESSSKLVGSNNSGSTFSEEAVTNRHMMNHASSPVEDDAVGTRIKRNRKPSEKVLELQAEKVNKHLKSSKSSRTKTKSLVDENAGNGFYYNELSDE >CAK8538317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476953470:476955536:1 gene:gene-LATHSAT_LOCUS7529 transcript:rna-LATHSAT_LOCUS7529 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKSKVSSSGYAMENKLEKGIQNSSVLLELSASDEFDAFVREVEEKGLDLNEEGFWYGRRIGLKDMGAEKRTPLMIASLFGSTKVLRYIVMTGKVDVNGVCGSDMVTALHCAVAGGSELSFEVVKLLLEAGADADCVDAGGNKPVDLIVPGFNALSKSRRKVLELFLRGEVSAELVQGEMDVPLKKDGGDKKEYPIDISLPDINNGVYGSDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGSCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCNRKVCFFAHKPEELRPVYASTGSAMPSPKSYSASALDMTSMSPLSLSSSSMPMSTVSTPPMSPLAGASSPKGGNLWQNKINLTPPSLQLPGSLPGSRLKSALSARDLDFEMELLGLDSPRRQQQQQQQQMIEEIARISSPSFRSNEFNRIVDLNPTNLDDLLASADPNLLSQLHGLSMQPSTPTQQMQSPTAMQMRQNMNMNHLRASYPSNNTPSSPVRKPSAYGFDSSAAVAAAVMNSRSAAFAKQRSQSFIDRGASTHHLGHSPPSNPSSRVSSGLSDWGSPTGKLNWSVNGDELNKLRKSASFGFRNNTAPSTASSQHGEPDVSWVNSLVKDVPSENSNSNMYGLEKTRQQQQHQQQQQYDLNREMLPPWVEQLYIEQEQMVA >CAK8531286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97151565:97154239:1 gene:gene-LATHSAT_LOCUS1089 transcript:rna-LATHSAT_LOCUS1089 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGRKVVVSALQFACTDDVSTNVATAERLVRDAHKQGANIVLIQELFEGYYFCQAQREDFIQRAKPYKDHPTITRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKYYFNQGDTGFKVFQTKYAKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPHDQSIDSRDHWKRVMQGHAGANLVPLVASNRIGNEIIETEHGKSEIKFYGNSFIAGPTGEIVSIADDKEEAVLIAEFDLNKIKSTRHCWGVFRDRRPDLYKVLLTLDGKNPVC >CAK8533792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660573444:660573674:-1 gene:gene-LATHSAT_LOCUS3389 transcript:rna-LATHSAT_LOCUS3389 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTGKSHKANGKQSSETRKDRKSASGISGSPKKGGHGGKFTWIGHSYSDVQIGPDHGALDSKDPNFEDRVEIAAV >CAK8543593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624369080:624370994:-1 gene:gene-LATHSAT_LOCUS12341 transcript:rna-LATHSAT_LOCUS12341 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNSYCFSLLSLLLLFTSACSARQLPRQPSELYECQLDTIHAREPDNRYDSEAGFTETWDSTSPDLRCAGVSVLKRTINPNGLSLPSYVAYPELHFVEQGMGVLGMAIPGCAETYEEPQWERRGRPQLQQDRHQKVRYVKQGDLIAIPPGVPYWTYNYGDTPLVIVTLLDTANKLNQLDRVPRRFYLGGNPEVEPSVKQSGRKHGEEETNNMFSGFDSRFLGEVLKVKESIIRKLQSPDAGQGKHQIIHVKGGLSLIRPPLEPEIRSEEERTHGKREEKVVEEEEEVEDEPGKREHREWRKETREHKGESKGEEAEVVEEKETKTKERRRGERESSRHGERREVEEEEVKEEKQTRTKTKEREGQKGNFLQETICTLKLHENLADSSRADVFNPRAGRITSANSLTLPVLKLLHLSAQWVKLYKDGIFVPHWNMNANSVIYVTRGRGRVQVVNSEGKSVFKGEVRRGKLLVVPQNFAVAEQAGNEGLEYVVFKTNDRAEMNTMVGRDSAISATPAEVLGHVFGLSPQEVNELKNNRNEGVLATPDSRIQDDFIKMV >CAK8576626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498480626:498483600:-1 gene:gene-LATHSAT_LOCUS28800 transcript:rna-LATHSAT_LOCUS28800 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLSLHFHHTPLLNLSSSSSSPLRFHAPNYSLISSNTSNLFLVASSNRRRVEVLTARVIRSQEQNCESEDEDELAEESVWIQMKEIVKFTGPAIGLWLCDPLMSLIDTAVVGQGSSTELAALGPATVVCDYMSLTFMFLSVVTSNIIATSLAKKDREDVQHHLSILLFIGFACGLMMLLSTKLFGAATLAAFTGPKNAHVVPAANTYVQIRALSWPALLAGWVAQSASLGMKDSWGPLKALAVASIISGIGDILLCTYLGYGIAGAAWSTMASQVVASYMMIQELNKRGYNAIAFSIPTTKEFLKILSLAAPIYLTSISKVAFFSLLIYVATSTGTQTMAAHQVMIQIYMACTVWGEPLCQTAQSFMPELLYGVNKSLTKARFLLRSLVIIGAILGLLLGIVGTSLLWLFPNIFTSDQMVIQNMHRTLIPFFIALAVTPSTRSLEGTLLAGQDLKFFSLSTCGCFFLAALVLLISSRYGLVGCWFTLAGFQWARFSVALLRVISPNGMLYSEEAGVSQKLRTA >CAK8531224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91377266:91379949:1 gene:gene-LATHSAT_LOCUS1030 transcript:rna-LATHSAT_LOCUS1030 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFVNFIIRPPRAQYNPDQYLWEKEFIIAGKKYQRKDLELKNARGYTLQCSHYLPLSVPEDISLPCVVYCHGNSGCRADANEAAVTLLPSNITVFTLDFSGSGLSDGDHVSLGWHEKEDLKMVVSYLRENKQVSRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLYGLMMELVDVYKIRLPKFTLKMVVQYIRRVIEKKAKFDIMNLNCLQAAPKISFPVLFGHANDDDFIQPHHSDLISESYAGDKNTIKFEGDHNSTRPQIFYDSVCIFFYNVLRPPHIPKSRRFETYYSMRDTRVDSTVDEDVLYDIISSLRSSTIDSASSSSTSTTISNSILSSESVIREETTHGCGEPVDSKDEQNSPTEDYFSSCGSTRESLGADNDFEVFATPLGSMRETLEEQKEGEKNQKKKKTETDGKKLKPDRLEKLESFSRRLRLCILKGSAHRRHRSS >CAK8570611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71620184:71620417:-1 gene:gene-LATHSAT_LOCUS23348 transcript:rna-LATHSAT_LOCUS23348 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLSSSLSWSLPCSSKFKVVKAAYDTQQRLPYNPNTPKSQPLPLTTPPPPPPLSTRKDQYITDLLKRDTPLPTSGN >CAK8564653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5047872:5049881:1 gene:gene-LATHSAT_LOCUS17936 transcript:rna-LATHSAT_LOCUS17936 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWNVRGLNNAGKLREIRSRLLELCPKIIALLETRVKVKNVPSVRNKLMLRGSFADNYQHHANGRVWLYWDSNEVDIKSVKSSSQFIYSGIHDLAGNFLFWLTTVYALNRPKQRRVLWNYIVVIYAQQQGPWVLMGDFDNVIHINDRIGGNDVTKTEYIDICSMMENVGLFEKESKGSYYTWSNNHTAGTIYSHIDHVLANVEWLQQNTDITLHMLPPSVSDHSLLFLTGNDQVHKRYRKPIFRFYNCIMDFEGYGALVENNWSVPIKGNPMFILWNKLMRLQPALRNFSKPARHNDQQLIQARNRLNTTQTSLEADPMNATIITRIKKQKVEIIKLQELEENILRQKSKLDWLKWGDGNNSYFHASVKAKNNSKNISQLIKEDGTILTVQADIEDEVLDYYKNLLGTADSTVCHIDVTAMRDGPQLNMEQRYYLLAPITEKEIHTALKGIGDLKSPGINGYRACFFKGSWETIQSNVVNAVHDFFRHERLFKAFNSTVVTLILKHCDAQSIKDYRPIAGCTTVYKIISKILTTRLGKVIGDVVHHSQAAFVPGQQIHNHILLAYELIKGYTRKGGTPRCMLQIDLQKAYDMVNWDALECIMKEIGIPNQFVRWIMITITSVTYKFNINGYHTKTIQAKRCIRQGDLIFPLLFVIIMEYLNRCFCKM >CAK8533428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616512900:616513196:1 gene:gene-LATHSAT_LOCUS3052 transcript:rna-LATHSAT_LOCUS3052 gene_biotype:protein_coding transcript_biotype:protein_coding MSITNTKHSYKLSVKKATRRTRRRQMEEKCKSKKKKLSLKLKALKNLIPSHNGDEVKTDELFKETADYIVFLRTRVFILQKLVEIYGNNNENQIDVLL >CAK8535982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895430243:895430608:1 gene:gene-LATHSAT_LOCUS5394 transcript:rna-LATHSAT_LOCUS5394 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVRPFDRQDYNFIVDKTMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSSARHDPFLQLSEVYKIVNLFGIYNNSFPVVASEDYGQPIMATQSTTTKI >CAK8571784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473027107:473027565:1 gene:gene-LATHSAT_LOCUS24415 transcript:rna-LATHSAT_LOCUS24415-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTLNWSNVESVPEKYILPPEERPGDIKIPISHNIPVIDLSEAQNGDRTNTIQKIMKAAEEFGFFQVINHGIAENEMKETMSVFKEVFQLPDKYEHNLYPDDSTKTCKKFTSSYNYETEKVHYWRESLRHPAYPLEEWQHLWPQNPISYR >CAK8571783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473027107:473031578:1 gene:gene-LATHSAT_LOCUS24415 transcript:rna-LATHSAT_LOCUS24415 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTLNWSNVESVPEKYILPPEERPGDIKIPISHNIPVIDLSEAQNGDRTNTIQKIMKAAEEFGFFQVINHGIAENEMKETMSVFKEVFQLPDKYEHNLYPDDSTKTCKKFTSSYNYETEKVHYWRESLRHPAYPLEEWQHLWPQNPISYRKRVGDFSVKIKQLGLRIMNLISEGLGLECGYFDDDLSGSLIISANHYPPCPNPNLTLGLIKHRDAYLITILLQDNISGLQVLKDGEWIGVEALPHAFVINIGYTLKIISNGKLQSAEHRAVTNSTHARTSVAFFIAPSGDCFIEPAQGLIDEHNPPIFKSFKFKDFLSRFFEKLGDVEKVMKSFEEPDRIL >CAK8570135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24957508:24966820:-1 gene:gene-LATHSAT_LOCUS22913 transcript:rna-LATHSAT_LOCUS22913 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNLYRRRIRVFTMAVVVYLDYKGVQQREKWVSKSKQPALWEKAHERNAKRILKLIIEMEGLWVKLGQYMSTRADVLPAAYINNLKQLQDSLPPRPLEEVYGTIQKELGKSMDELFSDFVNEPLATASIAQVHRATLLNGQEVVVKVQHDGIKTVILEDLKNAKAIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNLEAENTRTVAKNLGCRNQHDGNLNPNRVDVLIPDVIQATEKILVLEYMDGIRLNDLESLQAHGVNKQKIVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKESPHRPILLDFGLTKKLSSTIKQALAKMFLSSVEGDHVALLSAFSEMGLKLRLDIPEQAMEVTAIFFRATTPARETIESLKSLEDQRTKNMKVIQEKMNLDKKEMKRFNPVDAFPGDIVIFGRVLNLLRGLSATMGVHIVYMDIMRPFAESVLSGFISRGPSVNDGWVFDSPVHSGVEAKLRQLLIELANNDKILGIQVCAYKDGEVIIDTAAGVLGKYDPRPVKPDSLFPVFSVTKGITAGMVHWLVDNGKLNLEENVANIWPSFRSSGKEVIKVHHVLNHTSGLHNAMADMSQENPFLMLDWDESLNRICTSAPETEPGKVQMYHYLSFGWLCGGIIEHASGKKFQEILEESIVRPLQIEGELYIGIPPGVESRLAALTVDTDDLSKFSATGSRPELPSTFQPQQIVQMATTLPPLFNTLNVRRAIIPAANGHLSARALARYYAALADGGKIPPPHSSSSKPILGSHPHIPKLPSQKVPKKRKCLGRGVATLPSINKSYEKVSSKEESEVTEGRNNIRDSSSSDDVGSSNVESSNPRTHVPGKVYQNPRIIDEFLGTGEYENLTLPNGGFGLGFKRFSSKDGSTIAFGHSGMGGSTGFCDVTNRFSIAVTLNKMSFGGVTGKIVQLVCSELNIPVPDDFLRYAADQRGDAYPGRPMIN >CAK8563379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598720530:598721905:-1 gene:gene-LATHSAT_LOCUS16798 transcript:rna-LATHSAT_LOCUS16798 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAEKDVRSMSGCALLLTSWAFTRIPLFAPINTVEPSFPYAQRWTQRGMNYRATPRFHLQGYRNVLDHMQEKDFIWRPYIQYPVPRLEDSQIWSATTYLVCFYIIEMHQSDRVTLQFGFDQQIPPLPRCLKEHHAITMRKAQKVHWQQLHKDEVREWKHRRDVILQGGAIFGERKPSQEYLTWFRAIPYVHVAPDQFLTDPQTQPSSSTQQTPASMHQHVPPTQTSQFGGYPSSSAQPNYNFPQFSQQYQPQPYLRPPRQFTPCTAPNFQQSNPYVQYPTNPTFSTTFSQPAFTPDDVYIPTMQQPQADTYPQPPQPSHSFQHFLLTEEQLTQMPDFNIEDILNDDESGPSSRQTIPPRTHHNEDLSSDSSQSAANERLGRGYRQRRPPRCGTGGHLR >CAK8570545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:58161001:58161675:-1 gene:gene-LATHSAT_LOCUS23285 transcript:rna-LATHSAT_LOCUS23285 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFNHTMPLLKDHASTSSSSSYHSFSILNGGDLASRSSGSKWKCPLQETNNSSSLSDLSSYPNETNVCLHLSLCFCNCIQIAKKQKLAHQETNVDVVGTSSDSSISNDDPWKIKKVLTTSDLKNNSRFLVKKELARKWVVPFLDKDKAEKDGVKVPVFDVDTQSLRSLVFKIQPSNNSHVFNNTWMKEFVDKRNLKEGDEIGFKWDQDNKRFDFSVLRRRDND >CAK8569969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18438203:18440293:-1 gene:gene-LATHSAT_LOCUS22763 transcript:rna-LATHSAT_LOCUS22763 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKLIPLLRACVNSKSLKQGKLIHHKVVTFGSQNDIFVSKSLINLYVSCHLLDYAQRVFDAVENPCEISLWNGLMAGYTKNYMYVEALGLFDKLMRYPYLKPDSYTYPSVLKACGGLCRVVLGQMVHTYLIKTGLMVDIVVGSSLVGMYAKCISFEYAIQLFDEMPDKDVACWNTVISCYYQSGKFEEALRYFGMMRRYGFEPDSVTITTAISSCARLFDLDRGREIHKELVNSGFQLDSFVSSALVDMYGKCGHLKMAIEVFEQMPKKSVVAWNSMIMGYGFKGDCFSCIQLFKRMYNEGVKPTLTTLSSIIMACSRSVQLLEGKFVHGYIIRNRIQPDIFINSSLMDLYFKCRKVESAENIFKLIPKTTVSWNIMISGYVTEGKLFEALGLFGEMRQSSVEPDAITFTSVLAACSQLAALEKGREVHNLIVERNLENNEVVMGALLDMYAKCGAVDEAFGVFKCLPERDLVSWTSMITAYGSHGRVSEALELFAKMLLSNVKPDRVTFLAILSACSHAGLVDNGLYYFNQMINVYRITARVEHYSCLIALLGRAGRLQEAYDILQRNPEIRDDVQLLSTLFSACRLHRNLDLGVEIAEKLIDKDPDDSSTYIILSNIYASFGKWDEVRAVRSKMNELGLKKNPGCSWIEINQKIVPFFVEDNSNYHVEVIDKCLSYLISHMEDECKPVYLSL >CAK8533566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637173922:637175718:1 gene:gene-LATHSAT_LOCUS3186 transcript:rna-LATHSAT_LOCUS3186 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKKRLFISSISPTMVFLFLAFSILLILPTASASRILVGGKKGWNTNFNYTTWAKDMHFYNGDWLFFVYDRNQMNVLEVNKTDYETCNSDHPLHNWTTGAGRDVVPLNVTRHYYFISGKGFCFGGMKIAVHVENLPPPPKASPIKSFAPSLKGHNILLMPIVFAIGAAWDSFIYLW >CAK8560472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21297587:21299300:1 gene:gene-LATHSAT_LOCUS14141 transcript:rna-LATHSAT_LOCUS14141-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFVGFIRILVLVFVSVCCSCTDGSTIAQRTLAIIKPDGLLGNYTDDIKRTISEYGFSIVKERIVQLDEATVKRFYAEHSSKSFFSSLVKYMTSGPVLIMVLEKDNAIADWRALMGPTDASKAKITHPHSIRAKCGLNTEKNCVHGSDSTKSAQREILFFFDELAPEHDEL >CAK8560473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21297587:21299300:1 gene:gene-LATHSAT_LOCUS14141 transcript:rna-LATHSAT_LOCUS14141 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFVGFIRILVLVFVSVCCCSSCTDGSTIAQRTLAIIKPDGLLGNYTDDIKRTISEYGFSIVKERIVQLDEATVKRFYAEHSSKSFFSSLVKYMTSGPVLIMVLEKDNAIADWRALMGPTDASKAKITHPHSIRAKCGLNTEKNCVHGSDSTKSAQREILFFFDELAPEHDEL >CAK8567429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513624925:513626915:-1 gene:gene-LATHSAT_LOCUS20483 transcript:rna-LATHSAT_LOCUS20483 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRKACQLVKEFSSGEKGQLTPFNNDLFDQVISECSQHHLELQALIRKMQEEGLDVQTARNPDHYGALIHLFSIVRNKRCLTAYVYNRAETMRNLLWKIGPVIPKGIEEKLNHWEEEYFKKHSAALRDYMSKALVDLTVDMVPPKDPYIQVRVLEDIREGIVLSDDKNPNFARHSMHFLKRTDAEKYISRGLMEELTG >CAK8578556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637903311:637903871:1 gene:gene-LATHSAT_LOCUS30557 transcript:rna-LATHSAT_LOCUS30557 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAQQEQASLREDIDSVKIKIEHILETMQALSRREEDIHAATTARNDAPVQRVVSPLRLSGLIPNRMVYGFPHGFTPPPEATHVPHLIHISRVTDGVTLQGPPIVNQILTPRTDEEVQDEYEMHNYLRVARMVNPAAAQAFKAIQMCHALAEKIRVLEGHNSSGLGALEMCLVPNVVMPPKFKAP >CAK8563726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626916869:626917381:1 gene:gene-LATHSAT_LOCUS17105 transcript:rna-LATHSAT_LOCUS17105 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFCMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8533850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665279370:665282850:1 gene:gene-LATHSAT_LOCUS3441 transcript:rna-LATHSAT_LOCUS3441 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKYSESKEGQELVTSVNNHTGRQFWEFDQRLGNEQERARVEQAQKLFNDNRFVNQNSSDLIMRLQFEREKGLKMKLDNSKVELEDEISEEVVRKTLKKALRCYSKMQTEDGFWPGDYGGPLFLLPSLVIGLWVTGALNAVLTSEHQTEMRRYLFNHQNKDGGWGLHIEGPSTMFGTVMSYVALRLLGEDIDGGDGSIEIARKWILNRGGATSIPSWGKLWLSILGVYEWSGVKPIPPEIWLLPYFVPFHPGKMWCHTRLVYLPMSYLYGRRFVGPFNAIVLSLRKELYTLPYHLLDWHHAKYLCAKEDLYHPCPIIQNILWSFLDNLAEPLLMHWPYSKLRNKALNHVIKHIHYEDQNTNYICLGPVNKVLNMICCWLESPNSEAFKYHISRIKDYLWLAEDGMKMQGYNGSQCWDVALSVQAILATKLDDEYGSMLKKANNFIRLSQVTKNRSGDSKSWYRHISKGGWPFSTQDNGWSVSDCTAEGLKASILLSNLSFETIGNAMETEQFCDAVNFILSLQNRNGGFASYELTRSYAWLEKLNPTETFEDITIDYQYVECTSAAIQSLTLFAQRYPRHRKVEIEICIAKAANFIESIQLADGSWYGSWGVCFTYGTWFGINGLIGAGKRYKDSKSIRKACEFLLSKQHKLSGGWGESYISCQYKVYTNLEGDKSHVVNTAWAMLALIEAGQAERDPAPLHRAAKVLINSQMENGEFPQQEIMGNFNKNCTINYSAYRNIFPIWALGEYRSRVLEKE >CAK8537560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:396776239:396780315:1 gene:gene-LATHSAT_LOCUS6848 transcript:rna-LATHSAT_LOCUS6848 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKCLVESDSLKSIPPSYICLNKNEEHDSILLNETNNIPKIDFSQLISSDLNDRSMAIQKLGDACRDWGFFMLINHGVSETLRDEVLRGSQCFFDLSSEDKKEYIGEKLFDPIRCGTSFNLKVDKTLYWRDYLKCYVHPQLHVPNKPIAFSETLEEYVRKSREVIGELLKGISMSLGLEENYIQKMMNVDMGSQLLVINYYPPCPKPELVMGLPAHTDHGLLTLLMQNELCGLQIEHNGKWIPVNPLPNSFLINIGDHLEILTNGKYKSVVHRALVMNKKVARISVGTAHGPNLDTIVTPAPELLSKKNPSKYRGITYKDYLQLQQSRELERKSCLNYIRI >CAK8573103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:584693366:584696675:1 gene:gene-LATHSAT_LOCUS25589 transcript:rna-LATHSAT_LOCUS25589 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLQQSKRKKGPSRISAASIIFYALILVLVGFFLLATYRHWSNRSRLQLENNSTISDEAENSFPDSKKSELPEYAVLNTSKGSIIVELYKESAPEAVDEFIDLCQKGHFKGMLFQRVIKHYVIQAGDGQGTGAADWNLRGKQPTSMKHEAFMLGTSKGKHTNKGFDLFITTAPIPDLNEKLIVFGQVVRGEDVVQEIEEVDTDEHYTPKISIGILDVTLKQKI >CAK8544264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674526689:674527053:-1 gene:gene-LATHSAT_LOCUS12957 transcript:rna-LATHSAT_LOCUS12957 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPGGGPGGPGGGPGWGPGGGPGGPGGGPGWGPGPGGPGWGPPGPGGFFGGCANGLCSLISSCFYCLCCCWLLRDCFGGPPPRGPF >CAK8540961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35649414:35650212:1 gene:gene-LATHSAT_LOCUS9915 transcript:rna-LATHSAT_LOCUS9915 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRRFPPIFGGIRKATTDIEYGGYIIPKGWQIFWVTSMTHMDNKIFPEPSKFNPSRFENQASTSSYCYVPFGGGARICPGYEFSKIETLVIIHYLVTKFSWKRLSDNSFSRDPMPTPSQGLLIELCPRKLS >CAK8536313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930361315:930361827:-1 gene:gene-LATHSAT_LOCUS5693 transcript:rna-LATHSAT_LOCUS5693 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAEGGGSSTSPQGITLSRYESQKRRDWNTFGQYLNNLRPAVPISQCNSNHVLEFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGTPETNPFASGAIRVFLREVRECQAKARGIPYKKKKKSTSNQSKGNDESSSSTMHFS >CAK8568478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610108436:610139790:1 gene:gene-LATHSAT_LOCUS21432 transcript:rna-LATHSAT_LOCUS21432 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKGSKVWIPDRDSAWLPAEVLESSEKQVRVETDSANKVVVFAPEKLFPRDADEDEHGGVEDMTRLAYLNEPGVLYNIRRRYMLNDIYTYTGSILIAVNPFTKLPHLYNNHMMEQYKGAPFGELSPHVFAVADVSYRAMMNEGHSQSILVSGESGAGKTETTKLIMQYLTFVGGRAVCDDRSVEQKVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSKGKISGAAIRTYLLERSRVVQTTHPERNYHCFYQLCASERDAEKYKLGHPSHFHYLNQSKVYELDGVSSAEEYIKTRRSMDIVGISHEDQEAIFCSLAAILHLGNVEFSPGKEHDSSIIKDEKSIFHLQMAANLFKCDLNLLQVTLCTRSIQTREGNIVKALDCNAAVAGRDVLAKTVYARLFDWLVDKINKAVGQDINSRMQIGILDIYGFESFKDNSFEQFCINFANEKLQQHFNEHVFKMEQAEYKKEEIKWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFKHFLCHTRFGKEKFSETDFTVSHYAGKVTYHTDTFLDKNRDYVVLEHCNVLSSSKCSFVSGLFPSLPEESSRSSYKFSSVASRFKQQLQALMETLKTTEPHYIRCVKPNSLNLPQKFENTSVLHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLITPEFTDGSYDDRATTQKILQKLKLENFQLGRTKVFLRAGQIGTLDSRRAEVLDNAAKCIQRRLHTFIAHRDFISTRVAAVSLQACCRGCLARRIYAFKRETAAAISIQKYIRMSLMRHVYMAVYSSAIIIQSNVRGFTTRQRFLHRKEHKAATIIQAYWRMCKVRSAFKQHQLSIVAIQCLWRCKQAKKQLRRLKQEASEAGALRLAKTKLEKQLEELTWRLHLEKKLRVSNEEAKQVEISKFQKALEALNCELDEAKLATIDESNKNAILQNQLQLSEKEKSALERELVVMNEVRKENALMKASMDALEKKSTALEHELVIAQKDHNETIQKMREFEKKSSQLAQNMKSLEEKLLSLENENQVLRQKALTVSPKSNRPSFTKSSSEPKFSRTIALNGEQNPVYESPTPTKLMPSFTRGLSDSRRSKLTAERHQDNYEFLTRCIKEDLGFKKSKPVAASIIYKCLLHWHAFESERTAIFDYIIDGINEVIKVRDDDTVLPYWLSNTSALVCLLQRNVRSNGVLTTTAQRYPGSSGLTSRMGHAMKSPLKLIGYNDGISHVEARYPAMLFKQQLTACVEKIFGLIRDNLKKELSPLLALCIQAPKTGRVQSGKSSRSPGGLPQQPPGGQWDNIINFLNSLLNQLCVNNIPSFFIRKLVTQVFSFINMTLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNTNEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTIRQIYRISTMYWDDKYGTQSVSNEAIGEMREIVSKDNQSLTSNSFLMDDDISIPFSAEDIDIAIPAVNTDDIELPAFLSEYPCAQFLVLHE >CAK8568477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610108436:610139790:1 gene:gene-LATHSAT_LOCUS21432 transcript:rna-LATHSAT_LOCUS21432-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKGSKVWIPDRDSAWLPAEVLESSEKQVRVETDSANKVVVFAPEKLFPRDADEDEHGGVEDMTRLAYLNEPGVLYNIRRRYMLNDIYTYTGSILIAVNPFTKLPHLYNNHMMEQYKGAPFGELSPHVFAVADVSYRAMMNEGHSQSILVSGESGAGKTETTKLIMQYLTFVGGRAVCDDRSVEQKVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSKGKISGAAIRTYLLERSRVVQTTHPERNYHCFYQLCASERDAEKYKLGHPSHFHYLNQSKVYELDGVSSAEEYIKTRRSMDIVGISHEDQEAIFCSLAAILHLGNVEFSPGKEHDSSIIKDEKSIFHLQMAANLFKCDLNLLQVTLCTRSIQTREGNIVKALDCNAAVAGRDVLAKTVYARLFDWLVDKINKAVGQDINSRMQIGILDIYGFESFKDNSFEQFCINFANEKLQQHFNEHVFKMEQAEYKKEEIKWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFKHFLCHTRFGKEKFSETDFTVSHYAGKVTYHTDTFLDKNRDYVVLEHCNVLSSSKCSFVSGLFPSLPEESSRSSYKFSSVASRFKQQLQALMETLKTTEPHYIRCVKPNSLNLPQKFENTSVLHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLITPEFTDGSYDDRATTQKILQKLKLENFQLGRTKVFLRAGQIGTLDSRRAEVLDNAAKCIQRRLHTFIAHRDFISTRVAAVSLQACCRGCLARRIYAFKRETAAAISIQKYIRMSLMRHVYMAVYSSAIIIQSNVRGFTTRQRFLHRKEHKAATIIQAYWRMCKVRSAFKQHQLSIVAIQCLWRCKQAKKQLRRLKQEASEAGALRLAKTKLEKQLEELTWRLHLEKKLRVSNEEAKQVEISKFQKALEALNCELDEAKLATIDESNKNAILQNQLQLSEKEKSALERELVVMNEVRKENALMKASMDALEKKSTALEHELVIAQKDHNETIQKMREFEKKSSQLAQNMKSLEEKLLSLENENQVLRQKALTVSPKSNRPSFTKSSSEPKFSRTIALNGEQNPVYESPTPTKLMPSFTRGLSDSRRSKLTAERHQDNYEFLTRCIKEDLGFKKSKPVAASIIYKCLLHWHAFESERTAIFDYIIDGINEVIKVRDDDTVLPYWLSNTSALVCLLQRNVRSNGVLTTTAQRYPGSSGLTSRMGHAMKSPLKLIGYNDGISHVEARYPAMLFKQQLTACVEKIFGLIRDNLKKELSPLLALCIQAPKTGRVQSGKSSRSPGGLPQQPPGGQWDNIINFLNSLLNQLCVNNIPSFFIRKLVTQVFSFINMTLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNTNEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTIRQIYRISTMYWDDKYGTQSVSNEVVGEMREIVSKDNQSLTSNSFLMDDDISIPFSAEDIDIAIPAVNTDDIELPAFLSEYPCAQFLVLHE >CAK8573015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577235871:577236478:-1 gene:gene-LATHSAT_LOCUS25510 transcript:rna-LATHSAT_LOCUS25510 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEILLKIIAENKKKLETLNLPKLSQSLHKTSSSSSKPSSSVKGRPRFVQPEELEVNKKRLRSTTTHKSSIIPPPIKTTITLSPIQTKITPLPIETTITPLPIQTAKDVMVADEDVGVVVGDETEDVVVGDEAEDVVVGDEVEDVVVEDVTKDVVKVAKSLYWDVNVISK >CAK8563622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620885277:620886672:1 gene:gene-LATHSAT_LOCUS17015 transcript:rna-LATHSAT_LOCUS17015 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVPFFTSLPEDINFKIASLLQVRDLCALGCCSKFWKQLCFSDSIWHHLLTYRWPLFRSPLFPNLKTWKRLYFERHVELGLRAVSVERFLKACSRNESLEVGDYLQAFEIINGARFGFEDIRRFLFKPEMNVLVNLVGVHYCITNLGIPGDKLVQVLRTCEISNRHVCIKWWKLGRWIYGYRGRDELLFRWVSLADLTTEEDESVLGVLRRGTIFEVLRVQISAVGHKSIPWSYQDNQ >CAK8577764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586763524:586767096:-1 gene:gene-LATHSAT_LOCUS29838 transcript:rna-LATHSAT_LOCUS29838 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFCGLKPLLLRRKASILEGLKVIKGVSTPKRSSKGSKENVDSMELSFVGADQLILMVEIHKKIMAFRDIMDLAPCNSSASLREIVIRTLQDLKKFYPKIINKSQVSKIKDKHIDQAMAYFCKALKSLGESWMLEHDCMNKLNIVFPTCKDNNNMRQLGETMVVTLDNLMMLASERFDIEYEQKKEFSPIQSPTPTSSSFRRLAIGSGSTSFSESSFSCCSSPLTPRSVLPELMKYSSRTAESPRSSCASPLLLALRLQAVGKLSPNDVKNFSLNMSPTHIEKIDEEPKTEIEVDDNTPIKDISEELALDLNTNEDNHHNKTVKIDEAMEEVEQPLSPKEHQPQSPKPMPISSSSPPPPPPPPPSSSPSMMVSNKITSPPSTPPPPQPPPMLQPNVAVPQSLAPPPPPPEAVRMPAPPLPPTTAAVPAPPSPPTTAAVSAPAPPPTTAAVPAPPSPPTTAAVSAPPPPPTTAAVPAPPSPPTTAAVSAPPPPPTTAAVPAPPSPPTTAAVSAPPPPPTTAAVPAPPPPMKGGSVFTPPPPMPHGNGGAPPPPPPGGAGRTLRAKATTKLKRSIQLANLYRTLKGKVEGSSLNGKSAAAGRKNAVGGASNGGKQGMADALAEMTKRSSYFIQIEEDVQKYTKQIVELRPKITNFKTNNMTELSNFHKDVESILENLTDESQVLSRFEGFPTKKLEAVRMAAALYKKLDSILTELQNWKVVTPIGQFLDNVERYFNKIKTELDAIERTKDDESKKFKSHNMEFDFHILIKIKEAMVDVSSTCMELVLKEKRESNGSKKACAQMLWRAFQFVFRVYTFAGGHDDRADKLTRELAKEIESGPIHP >CAK8565148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:48376428:48378588:1 gene:gene-LATHSAT_LOCUS18383 transcript:rna-LATHSAT_LOCUS18383 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMYEEIRRNQEIDSKQHYHQQNNSTLSTPLFTNLIDNTSNHHHGYINNEESFTTENYLPSTSSEMDTMLSKLMSSNNGWNNCEEPLEEFDVKNVKKEVGESVGQNGDYSYGGSELIYQGFSSGSGNGFYGSFGGGNFRDSEDCAQAKMGVRSCNNLVRQKSSPAGFFSHENGLTTLREDTNGHETPHGTLNFSSMPSTCLKRMPQIAENRIQSLEANGDSKTQHMPSFTNEFWDNSSFNAQKTETEDEIMFSTSNGLESHEADFCYQNLGLTHHLSLPSSSTKITSSIEKFLQIQDSVPCKIRAKRGFATHPRSIAERVRRTRISDRIKKLQGLFPKSDKQTSTADMLDLAVEYIKDLQEQVQILTDCKEKCKCASHEKQHSRHCS >CAK8576933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525808955:525813013:1 gene:gene-LATHSAT_LOCUS29089 transcript:rna-LATHSAT_LOCUS29089 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEASSGGSNNNKRCNMDESEEEDEPYCGITTRGSFLAWEDLRVIIPNFGKGPTKKILNGLHGFAEPGRIMAIMGPSGSGKSTLLDTLAGRLSKNVVMTGNVLLNGKKKNPGYGFVAYVTQEDVLLGTLTVRETITYSAHLRLPTSMSKEEVSGIIEGTIIEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGEAKLAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDVVTATLKGSQRVHDVPNSADPFMNMATAQIKERLIERFRRSNYARRVKDKIQELSTHEGLENEAKYGSQASWWKQLSTLTKRSFVNMSRDVGYYWLRIIIYIIVSICVGTIYFDIGYSYTSILARGACGAFISGFMTFMSIGGFPCFIEEMKVFYRERLNGYYGVAAYILSNFLSSFPFLVSIAVTSSTITYNMVKFRPGFIHFAFFALNIYGCISVIESLMMVVASLVPNFLMGIITGAGIIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGAWAIQGSYKNDLLGLEFEPLVAGDPKLTGDYVITHMLGIELSHSKWWDLAALLLILICYRILFFIVLKFKERASPLFKNLYAKRTIQQLEKRPSFRQMPAFPSLRHQPLHSLSSQEGLDSPLHY >CAK8576934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525809006:525813013:1 gene:gene-LATHSAT_LOCUS29089 transcript:rna-LATHSAT_LOCUS29089-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEEEDEPYCGITTRGSFLAWEDLRVIIPNFGKGPTKKILNGLHGFAEPGRIMAIMGPSGSGKSTLLDTLAGRLSKNVVMTGNVLLNGKKKNPGYGFVAYVTQEDVLLGTLTVRETITYSAHLRLPTSMSKEEVSGIIEGTIIEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGEAKLAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDVVTATLKGSQRVHDVPNSADPFMNMATAQIKERLIERFRRSNYARRVKDKIQELSTHEGLENEAKYGSQASWWKQLSTLTKRSFVNMSRDVGYYWLRIIIYIIVSICVGTIYFDIGYSYTSILARGACGAFISGFMTFMSIGGFPCFIEEMKVFYRERLNGYYGVAAYILSNFLSSFPFLVSIAVTSSTITYNMVKFRPGFIHFAFFALNIYGCISVIESLMMVVASLVPNFLMGIITGAGIIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGAWAIQGSYKNDLLGLEFEPLVAGDPKLTGDYVITHMLGIELSHSKWWDLAALLLILICYRILFFIVLKFKERASPLFKNLYAKRTIQQLEKRPSFRQMPAFPSLRHQPLHSLSSQEGLDSPLHY >CAK8533578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:638787034:638787571:1 gene:gene-LATHSAT_LOCUS3197 transcript:rna-LATHSAT_LOCUS3197 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKLNVLLILATSLIAQHEASNSYDYKAITINGQRKILLSGSIHYPRSTPQMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVY >CAK8536014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898323974:898324398:1 gene:gene-LATHSAT_LOCUS5418 transcript:rna-LATHSAT_LOCUS5418 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTEPHIHGLLDVMFSSGLSTVLVETFEQISMSIPSLIPTIQDQLLYSISMVLSKSPYLGRPVQSIGKGKIVNISQQVSELSGSALIQLALKTLARFNFKVMYTLLCVNFCHG >CAK8543078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574653517:574656721:1 gene:gene-LATHSAT_LOCUS11865 transcript:rna-LATHSAT_LOCUS11865 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRASLPALRKRGFNVRFSCVNLTSSTWVENESGIDDSRRIINGDGGFSLRNRCYHSGEGSLKFTTGRRELSSEAGASSTKDEEDDLEEGFSELETSAGDESEKLSGSDTELSDESDEVDIEEPHNELDLPLSHDEDVILMEKKSSRRKAESELFKAIMEAPGLSIHKALDKWVEEGKEMSREEISLAMVNLRKRKMYGRALQLSEWVESKNHLQFVEKDYASRLDLIAKLHGLYKAEVYIQSIPESSRGETIYRTLLANCVIQNNLKKGEEIFNKMKELEFPLTAFACNQLLILYKRNDRKKIADVLLLMEHEKVKPSPLTFKILIDAKGQSNDIAGMEQIVDKMKAEGIEPDIQTNAVLVGHYISAALVDKAKTILKEIEGENLKENRWACRILLNLYADLGMADEVERVWKVCETRPWIEECLSAIEAWGKLKKIDEAESVFEMMSKRWKLTSKNCSVLLKVYAKHNMLVKGKDLVKRMADNGCLIGPLTWDALVKLYVQAGEVEKADSILQKAIQQSKMKPLFSSYIAILEQYSKRGDIHNSEKIFYRMKLAGYASRIRQYHVLIEAYINAKVPAYGIRDRLKADNIYSNKTLSHMLTQVDGFRKSPVSELLD >CAK8538253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473714980:473717618:1 gene:gene-LATHSAT_LOCUS7473 transcript:rna-LATHSAT_LOCUS7473 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNRMFTIGLISSWYTSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYVAIAWLKMVPMQTIRSRLQFFKIATLSLVFCVSVVFGNVSLRYLPVSFTQAVGATTPFFTAVFAYFMTFKREAWLTYLALLPVVTGVIFASGGEPSFHLFGFIICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLYMEENVVGITLALARDDVKIIWYLLFNSSLAYCVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVLGVVLYSEAKKRSK >CAK8563371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597976905:597977552:1 gene:gene-LATHSAT_LOCUS16791 transcript:rna-LATHSAT_LOCUS16791 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNVAANSSLEKTSLAYLDQRMAMAKRCSHQGVMAGAKAAVVATIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFNRPSNA >CAK8539035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502145360:502147568:-1 gene:gene-LATHSAT_LOCUS8173 transcript:rna-LATHSAT_LOCUS8173 gene_biotype:protein_coding transcript_biotype:protein_coding MTTINPQNGSVSDPLNWNSAANSLKGSHYDEVKCMVAEYKKPVICLGGVETLTISKVAAVANSNSHVKVELSESARAGVEASCQWIAENVEKGTAIYGVTTGFGAASHRRTQQGLALQKEMVRFLNCAIFGRETELSHTLPQSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNNNVTPVLPLRGTVTASGDLIPLSYIAALLTGRRNSRAVGPSKESLNAKEAFHLAGLDSGFFELKPKEGLALVNGTAVGSGVASTVLFEANILALLSVVLSAVFTEVMQGKPEFTHHLIHKLKFHPGQIEAAAIMEHILDGSSYIKNAKLQQPDPLQKPKKDRYALVTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVTTDKALNGGNFQGTPIGVSMDNVRLAIASIGKLVFAQFTELVNDLYNNGLPSNLSAGRNPSLDYGFKASEVAMAAYCSELQYLANPVTSHVQSAEQHNQDVNSLGFISAWKTVEAIELLKLMSSTYLVALCQAIDLRHLEENFKNTIRNTVSRVAKKTLIDDKEEINPFRNCEENLLKVVDREYVFSYIDDPFNVTYPLLQKLKQVLYEQAHVSAINDKNVSMLIFEKIGAFEEELKSLLPKEVESARVAFENGNPEIPNRIKECRSYPLYKFVREELGIGLLSGEKDVTPDEEFEKVFTAMCQAKIVDPVLECLSDWKGVPIPI >CAK8568735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636939286:636940012:-1 gene:gene-LATHSAT_LOCUS21667 transcript:rna-LATHSAT_LOCUS21667 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGNGKIQLPLLKMPPKVLQDFLFGTKTVELRTFQQNIRMYNMMFAFTSPGAKMDNCFNNGNGPPTFHIQGQSCNKIGSMLPMPGQNPKFEQLYIYDTESEIQNRISGIRSKNGVDVDIVSKLSQMLYKHNVHAQSFRMARDVLAQMNVYDLKLRLILDQKTDGRIYNQPTVSEVATLIVRNVETYLP >CAK8538962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500436356:500437978:1 gene:gene-LATHSAT_LOCUS8106 transcript:rna-LATHSAT_LOCUS8106-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEVKLSPPVNLASSKQISRDKALRILLQSWRSQHILSQNVAKKLWERLFYCVYHSDNSLVQADLIDRIASHLSSFHHLPLSLQYLSTFFLTMRREWSGIDSVRAFLPIKLSVLEVLFRPFLSAVGKLHDEVLLGKIKSGFFDVLLMNGKRLLEVKKGGEESGDVVNLGTIALVMGFSSKLYGLASAPDCVQGNRKVLFELHHEFLKLEKDVNSGFEFYFPDFVDRVVLDSVPIVEVDGLGKKDSVDKVKKPKKNKRKIKKSDAYDLNYEMNSDENGGKKNVVSENGGNSNDGQVAGGDGKLVFNGSVISNLHKKFEKNAAKASLHDGVATGVVSKKRKRTENSKGETSQGVVCKKRKRTESPKGETSQDFDLNNADAEDDSAVAKSGEESSKKVKFSMESNLVWKQHTPLPPQSLRIPPSATPRGSALKKGLSPGPIREMPLPTKKEKRKKPRKTKKRLMKLVDFAKAFMG >CAK8538961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500436356:500437978:1 gene:gene-LATHSAT_LOCUS8106 transcript:rna-LATHSAT_LOCUS8106 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEVKLSPPVNLASSKQISRDKALRILLQSWRSQHILSQNVAKKLWERLFYCVYHSDNSLVQADLIDRIASHLSSFHHLPLSLQYLSTFFLTMRREWSGIDSVRLDIFYLLIRRFISSFLYLLDKNLWNLDLVNLFVNCLDKSTFSAKDRFVQGNGVNYHVASVFLQELRAFLPIKLSVLEVLFRPFLSAVGKLHDEVLLGKIKSGFFDVLLMNGKRLLEVKKGGEESGDVVNLGTIALVMGFSSKLYGLASAPDCVQGNRKVLFELHHEFLKLEKDVNSGFEFYFPDFVDRVVLDSVPIVEVDGLGKKDSVDKVKKPKKNKRKIKKSDAYDLNYEMNSDENGGKKNVVSENGGNSNDGQVAGGDGKLVFNGSVISNLHKKFEKNAAKASLHDGVATGVVSKKRKRTENSKGETSQGVVCKKRKRTESPKGETSQDFDLNNADAEDDSAVAKSGEESSKKVKFSMESNLVWKQHTPLPPQSLRIPPSATPRGSALKKGLSPGPIREMPLPTKKEKRKKPRKTKKRLMKLVDFAKAFMG >CAK8560178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9713579:9716991:-1 gene:gene-LATHSAT_LOCUS13876 transcript:rna-LATHSAT_LOCUS13876 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSHNKTHFLLSITFLLFCTFFSCYSSITDTLTSSKYLKDNETITSDSTNFILGFFSPLNSTNRYLGIWYINETNTIWIGNRDQPLTDSNGIVTIQKDGNLVILDKPKGIIIWSTNISSSAKSINSTAQLNDAGNLILRDLSSGLTIWDSFNHPADAAVPSMRIAANKVTGEKISFVARKSENDPSSGRFSISLERLDAPEVFIWHDKKIYWRTGPWNGRIFLGSPRMSTEYLFGWLLNPNDNGTAYITYNFADKNMFGILSLTPHGTLKLVEFSDKKEILNLEVDQNECDFYGKCGPNGNCDNSTTPICHCFEGFEPKNSMEWSLGNWTNGCVRQEGLNLKCEIVKNGSSLVKQDGFLLKQNMKVPDFNDRSPVTQDQCGADCLANCSCLAYAYDPYIFCMHWSRDLIDLQTFPYGGVDLFIRVPAELVQVNKKKKGSKKGFLIIAIAGGIGTFTFAICAYLLWRKCSRHKGRHPRNSIVREHKQMKLDELPLYEFQKLETATKNFHFGNMLGKGGFGPVYKGVMDDGQEIAVKRLSKASGQGMEEFMNEVVVISKLQHRNLVRLLGCCVERGEQMLVYEFMPNKSLDAFLFDPLQKKNLDWKKRSYIIEGIARGILYLHRDSRLRIIHRDLKASNILLDGDMIPKISDFGLARIVKGGEDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNSSFYHSEDSLSLVGFAWKLWLEENIISLIDPEVWDASFESSMLRCIHIGLLCVQEVPKERPSISTVVLMLISEITHLPPPGKVAYVHKQNSRSTESSQKSHQSNSNNNVTMTDVQGR >CAK8560179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9713579:9716991:-1 gene:gene-LATHSAT_LOCUS13876 transcript:rna-LATHSAT_LOCUS13876-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSHNKTHFLLSITFLLFCTFFSCYSSITDTLTSSKYLKDNETITSDSTNFILGFFSPLNSTNRYLGIWYINETNTIWIGNRDQPLTDSNGIVTIQKDGNLVILDKPKGIIIWSTNISSSAKSINSTAQLNDAGNLILRDLSSGLTIWDSFNHPADAAVPSMRIAANKVTGEKISFVARKSENDPSSGRFSISLERLDAPEVFIWHDKKIYWRTGPWNGRIFLGSPRMSTEYLFGWLLNPNDNGTAYITYNFADKNMFGILSLTPHGTLKLVEFSDKKEILNLEVDQNECDFYGKCGPNGNCDNSTTPICHCFEGFEPKNSMEWSLGNWTNGCVRQEGLNLKCEIVKNGSSLVKQDGFLLKQNMKVPDFNDRSPVTQDQCGADCLANCSCLAYAYDPYIFCMHWSRDLIDLQTFPYGGVDLFIRVPAELVAVQVNKKKKGSKKGFLIIAIAGGIGTFTFAICAYLLWRKCSRHKGRHPRNSIVREHKQMKLDELPLYEFQKLETATKNFHFGNMLGKGGFGPVYKGVMDDGQEIAVKRLSKASGQGMEEFMNEVVVISKLQHRNLVRLLGCCVERGEQMLVYEFMPNKSLDAFLFDPLQKKNLDWKKRSYIIEGIARGILYLHRDSRLRIIHRDLKASNILLDGDMIPKISDFGLARIVKGGEDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNSSFYHSEDSLSLVGFAWKLWLEENIISLIDPEVWDASFESSMLRCIHIGLLCVQEVPKERPSISTVVLMLISEITHLPPPGKVAYVHKQNSRSTESSQKSHQSNSNNNVTMTDVQGR >CAK8565444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:213193113:213193832:-1 gene:gene-LATHSAT_LOCUS18661 transcript:rna-LATHSAT_LOCUS18661 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTVLTLLGGLLIGGLILYRQLVEDLLANGLMEKMYLHLLEDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKVVVLCQVEKAKEDMLNQLYSSISGHSTAKIEELLLEDQNVKRRRERYQKQSSLLSKLTRQMSIHDNRAAAASNWSNGNAESSPRSSEPGDDWRSAFDAVSNGPVGRSGSTRSRSNGHSRHNSDLAQNGDMNSGPNSGSRRTPNRLPPAPPGSSLGYKY >CAK8566830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466073812:466074525:1 gene:gene-LATHSAT_LOCUS19935 transcript:rna-LATHSAT_LOCUS19935 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQNRSSRKPPLAFNTASSPVYHYLSPENAIRYANNDRQKNNCCRCFCCLWCFIGIILTLAVLLFIAAVAFCLIIKPESPYFAIDSIAVRGMNLTSTSVISPAIDVFVRADNGNNKIGIYYEKESTAEIFYRDVSLCNGVLPAFYQPTNNVTVFQTVLKGSGIKLAETDREALVNDVAKWSVPLTLTTRAPVKIKVGPVKTWKIGDFVFECDVTVDQLTAQAKIVDSDCSYGLDH >CAK8563531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612937793:612939766:1 gene:gene-LATHSAT_LOCUS16930 transcript:rna-LATHSAT_LOCUS16930 gene_biotype:protein_coding transcript_biotype:protein_coding MESFHRFKLWVLVFCLVFQSGFGFYLPGSYPHNYAVGDELSVKVNSLTSIGTEIPFSYYSLPFCKPQGGVKDSAENLGELLMGDRIENSPYRFKMYTNESEVFLCQVDKLSGDQFKILKERIDEMYQVNLILDNLPAIRFTKKDEYFLRWTGYPVGIKIQDVYYLFNHLRFNVLVHKYEETNVARVMGTGDAAEMIPPIGKEGSDKPGYMVVGFEVVPCSILHNANSAKNLKMHEKYPAPIKCDPSTVAMPIKEGQPVAFTYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLASYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCIMVGDGVQILGMAIVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRLWRTLGSGDQKGWISVAWKAACFFPGIAFLILTFLNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHLEYPVRTNQIPREIPQQRYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVALYIFLYSINYLVFDLKNLSGPVSAMLYLGYSLFMVLAIMLVTGTVGFLSSFWFVYYLFSSVKLD >CAK8539464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513323626:513325662:1 gene:gene-LATHSAT_LOCUS8555 transcript:rna-LATHSAT_LOCUS8555 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYTNQDPRLHGIKTKIRVVPNFPKSGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVISQEYILEYGSDCLEMHVGAVEAGERAIVVDDLIATGGTLCAAMDLLERVGAEVVECACVIELPELKGRERLNGKALYVLVEYFDDI >CAK8566133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:383766319:383768134:1 gene:gene-LATHSAT_LOCUS19294 transcript:rna-LATHSAT_LOCUS19294 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTKWMKWIRGSIFNSFVSILINGSPSKDFRVGRGLKQGDPLAPFLFAIAAEGLSSLVKSAVAGNMLSQFMIHGQPTVSILQFADDTLLIVDGSTSNIWAFKAILRAFELISGLKTNYFKSCLYGIHIEPDFLVAAEDFLHCKSGKLPFSFLGITAPQKEDGGLAIKHVGRFNSSLLAKWLWRFQTGGNEIWRNTLTIRYGNLSIKTQTFSDVDSSKSDSLWMKDIMNNASLNSHTNFCKFTACSVGEGNDAAFWQSVWIDDMPLKVRFNGLFQCCSLKSVSVRDMGYWEDGKWNWNLRNSLLDSDNPPEPDWSDCCKLLENISVIPGESDKRRWSLHESLIFKVSSFYSVLYSSLSEQDIGSDCASHIKSIWKTVIPAKVQTLSWRLALDRLPTRSNLLKRRVLNSEQDLDCVVCSSSLEDVSHIFFSCYKSTQVWNKICEWADIDIISENCCYSHAKVWNTSLSGRCQANRVNSIWFITCWNIWRSRNDCIFNNVVTEVDSIVFDIKLNS >CAK8568775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639944115:639945006:-1 gene:gene-LATHSAT_LOCUS21700 transcript:rna-LATHSAT_LOCUS21700 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVNTLNQNHSVFVYGSLLADEVVRTIINRVPQSAPATLSNYHRFKIKDRVYPAILPVQTKKVAGRVLLDISGPELHLLDEFEDVEYNRTEVEVLFTDNSENFRAYAYVWANPNDPDLYSEWDFEEWKKTHLNDFVKVTDEFMQQLELPETKQRVQTFETFYKQENDKPLHP >CAK8531403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107399553:107401805:1 gene:gene-LATHSAT_LOCUS1198 transcript:rna-LATHSAT_LOCUS1198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaA MIIRSPEPKVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSINDQGVITHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >CAK8573773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636076854:636077543:1 gene:gene-LATHSAT_LOCUS26180 transcript:rna-LATHSAT_LOCUS26180 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDHIPVHHVTGSGPNPHPKPIKRHHSTKYYLQRVQDSLTTRVSKMVCTIFLSLLAIIGLITFIVWLSLRPHRPRFFLRDVTIAGLQAQSGVQTAQLAFKVDARNSNLNIGVYYESMTGTVYYRKNVIGSTPIPFPSYQGPKNTTKINAVFTGPTLTVSNQGWTEIQNDRADGSVMFSLELTSVIKFKISSWESQRHKMHANCDVGVAANGSLLHIYKDKRCPVYFD >CAK8539551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515988245:515992136:-1 gene:gene-LATHSAT_LOCUS8634 transcript:rna-LATHSAT_LOCUS8634 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEQKSLLLDSSSRFAIPQGVKLSYGTAGFREDASILSSTVYRVGILAALRSLKTQSVIGVMITASHNKVSDNGVKIADPSGGMLSQHWEPFADAIANASSPQQLLQLINEFVEKERIPFDGVRPVEILLGRDTRPSGEALLEAARQGVASIVGAVASDMGILTTPQLHWMVRARNKGLKASEQDYFEQLSDSFKCLMDLIPTERSKFDGMNGKLVVDGANGVGGAKLQILHKLLNFLDIEVRNSSEDEGVLNDGVGADYVQKEKVAPRDFGSKDAGIRCASLDGDADRLVYFLVPPESNAQINLVDGDKILSLFAVFIREQLSFLNEKEDLSNGHKARLGIVQTAYANGASTNYLKHLGLEVNFTPTGVKYLHEKAAEFDIGIYFEANGHGTILFSESFIEWLEVKSRELSSGSKGSEAEKAALRLLAVSNLINQAVGDALSGVLLVEVILKHMRWSIHRWNELYHDLPSRQLKVKVADRTAVITANAETVVVRPPGLQDAINTETAKYTQGRCFVRPSGTEDVVRVYAEAATQEAADTLANCVAKLVDQFLGSNRS >CAK8560687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35762086:35765335:-1 gene:gene-LATHSAT_LOCUS14344 transcript:rna-LATHSAT_LOCUS14344 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIQLIFFFSLTLITTKILKLCFSAINARQSRKTAVGFFHPYTNDGGGGERVLWCAVKAIQEENPDLQCLVYTGDHDATPQSLFNRALDRFGVTLLSPPKVVHLYKRKWIEETTYPHFTMIGQSLGSMYLAWEALCKFTPSYYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMLSRVRQRSSMYNNDALVAKSVWLSRCKIIYYTIFSWLYGIVGSCTHLAMVNSSWTKAHIEKLWGVPDRIKRVYPPCDTSGLQVLPLERPAEIPVIISVAQFRPEKAHSLQLEAFSVAIKRLDSGLSKPKLQFVGSCRNKSDEDRLQTLKEKAIELNVNEQVEFHKNVTYRDLVGLLAGAVAGIHSMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLEEDGQQTGFLASSIEEYADAIFRVIKMSETERLQMAAAARKRASRFSEQKFSDDFKAAVRPILSRVSR >CAK8530295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12544715:12548945:1 gene:gene-LATHSAT_LOCUS171 transcript:rna-LATHSAT_LOCUS171 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPSRSAGKRPFQEDDDNSDQNQPEKRVMFPKKKKGKQVDIVVEQVIVEENVNDLSNPRVAAKERVKRRRQITAELLSEEDGGIAEVTYEDNEDFVDDGIQIEPFNLAKEREEGYFDASGNFVEYVRDNEIKDAWLDNVEVDPRYAELSSATKNDEEEEVQELSSKDVAIMKRRIANVLESEETVLQGLRRLKGSGDRKTKMSGETKIVFDQLTEDAMKLMENGEFNVYHENKEVFEREAEGYEKLARARGEGTSTDTPNPPLASADDFDMFADDDELAATEPSTLENNAVSEPPSDAVNSDTEGGALQSDYVYDESSGYYYSSSLGYYYDPNTGLYCSAESGTWYSFNEETGSYDEVNQVATNSS >CAK8575996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:381372955:381373331:1 gene:gene-LATHSAT_LOCUS28216 transcript:rna-LATHSAT_LOCUS28216 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAINAQKNLWLQNVESSNKGSTSFLTGNFWHILFSKMESINCSAMQTECAGQDSGLPTGITFPAMEFQMVYH >CAK8538904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499285253:499293018:-1 gene:gene-LATHSAT_LOCUS8052 transcript:rna-LATHSAT_LOCUS8052 gene_biotype:protein_coding transcript_biotype:protein_coding MAFESSVLGHHVFSSGFKLSYPVHENRTKRIPMKVLGTNSRYLFPIVSNCVGPFSSTSFKSSSYVNNFKLVGGTSLKMRCLGSDSDCLREKNVQVDSNFNANLKFIDEFLVKRGIVLAATVCGVLVFGCPQVFAAEGVVKAGYGVIGKSILLLESTWPTVLQVLRLFKEQGLLLALLLGLSAFFSLAETSITTLWPWKVRELAEKETENGVFRLLRSDVTRFLTTILIGTTVVNIAATALVTEAATAVFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLKLLGLKGSSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSSLVDFHHLWVTHQYSRVPVFEQRVDNIMGIAYAMDLLDYVQKGETLESITVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGLVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGVFDVDANTSIDQLSEDLTIKMPEGHQYETVSGFVCEAFGYIPRTGETIKIVLERDDEDDDDDSNGDHQEPKEKKHIFKLEILAGNARKVSAVRFERLNNGDEMLETKEVTRMFPKIVKRKWNTGEESEDDAEYDGYAFPKRREEDISNEYVVDQENSHRN >CAK8562841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:546958412:546960162:-1 gene:gene-LATHSAT_LOCUS16306 transcript:rna-LATHSAT_LOCUS16306 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRQGEIVVGKEEVIAKLKDDGDFDKLRLKIIRKLKDNEELRQHIISIVKRSEALNRAGAENMKPRQLSDVIYEEVGENVMSHISDSLWQIIRSDDGMKGEIKETVQSVYDKLANPKGKDEVLLSTSDAMPDQTQGETASATENDDSLHENEPQEPPGFTLVLNHPNNNNHEDQDKGKAQVQRQGSTAECREDSHLSQDTLVEDDHNISPPGFSKDTEHSPVADCSDEDPDVPPGFG >CAK8574065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656434570:656435370:1 gene:gene-LATHSAT_LOCUS26444 transcript:rna-LATHSAT_LOCUS26444 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVPVTHKINHLLNFLLPQSSSILLNLCKLCAIFLALATFHTIFILKFRKKTPSISPFVAEYDYTVDGDDDDDTCSLSSTPSESEDEDEEEMEEENRTSECFRYRSSDDGDGGFLSSCRSIGDMFSLSEITNSKSVVKLWDSLGFGLGFGLDDCDSSYDGGIVAAYGAEEKLNAASSEIMDSAVANASRNLALSIWDTRVRRRIPAIIGEWAPGIGKSVGFVSGGVEKVYVRDDGRYELTVGNMRKYVTESQQDLWWPNSYMLKI >CAK8567057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483680354:483686603:-1 gene:gene-LATHSAT_LOCUS20145 transcript:rna-LATHSAT_LOCUS20145 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPDLEEAVEPPVLPPSPPDVLPETETEQIPLPTYSIISRPSVGTTGKHIPLLANLFKVAADDTDATFFQYSVTITSEDKRTVECKGFGRKVIDRLHQTYSSELGGKSFAYDGERTLYTVGPLPDNKFEFKILLEETFSFPKCSTESPGANETPCEENKRTKRSFQSKAFTVEISFAAKIPLQSIALALKGIESYANSQDALRVLDIILRQRAANRGCLLVRQSFFHDDSRNFIDVGGGVTGVRGFHSSFRLTQGGLSLNMDVSTTMILKSGPVIDFLLTHQNVREPRRIDWAKAKRILKNLRVRATHSNQEFKIAGFSEKPCIQQLFTMKMKNGEDNDTEQTVDLTVYEYFAKHHDIELNSSAYLPCLDVGKPDRPIFLPLELCTFVPLQRYTKALSPAQKASLVEQSRQKPQERIKVLTNAIGNSCYEDDAVLAACGISIDKQFTPVDGRVLETPKLKVGKSEDCFPNNGRWNFKKKTLLEPSHIDYWAVVNFSAQCDTSYITRELIKCGMSKGMNIERPYTLIEEDAQTRKFNPVARVEKMFDLLTSKLTKEPKLILCVLPERKNCDIYGPWKRKCLCEAGVVTQCISPLKITDQYLTNVLLKINSKLGGINSLLAIEHSGHLPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRSQSPKVEMIDALFKPLDDGNDDGIIRELLLDFYSSSNGRRPTQIILFRDGVSESQFNQVLNIELNQIIKAYKHIGDVDDVPKFTVIVAQKNHHTKLFQTNALENVPPGTVVDTKVVHPRNYDFYMCAHAGVIGTSRPVHYHVLLDEIGFSPDGLQNLINSLSYVNQRSTTATSIVAPICYAHHAAAQMAQFLNFDDLLERNIPVPELPRLHKNVKTSMFFC >CAK8540210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545277549:545280761:-1 gene:gene-LATHSAT_LOCUS9238 transcript:rna-LATHSAT_LOCUS9238 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIFLLLISCYLYLHLFTLTSMFFDPNKTVTMALGNQTDHLALLQFKQLITSDPYGILDSWNSSTHFCKWHGIKCSPKHQRVTTLMLQEHNLHGSISPYIGNLSHIRFLNLANNSFNGNIPQEFGRLSRLRFLLLLNNSLGGEFPMNLTKCSELKIIDLGQNNLIGKIPSQIGSLRKLQTIYIDRNNFSGKIPPSVRNLSSLITFGTGYNNLKGNLPQEMCYLKQLKSLSIHGNKFSGTLPSCLYNISSLTQISVAENNFTGSLPSNMFHTLFNLQFFGIGVNQISGLIPISISNASTLETLDISRNHFVGQVPNLGRIQSLQWMSLTLNNIGDNSTNDLDFLKSLTNSSNLETLSLSSNNFGGSLENSIGNLSTVLSQFYIGGNQIYGQIPIELGNLINLRGLGMEVNYLEGTIPTTFGKFGKIQNLILGGNRLSGDIPASIGNLSQLYHLGLDENMLEGYIPPSIGNCQMLQYISLSQNNLTGAIPPEIFSIPSLTNLLDLSKNSLTGSLPKEVGMLKNINNLDISENHLSGDIPETVGECLTLESLQLQGNSFNGTIPSSLASLKGLRYLDLSSNHLYGPIPEVMQNISSLEYLNVSFNMLEGEVPTDGVFGNATQIALIGNNKLCGGISKLHLPPCPIKSKKHTKHHNFRLVTVIVSVVSFLMLSFIITIYFMKKRNKKQPFDSPTIDHLAKVSYQELYQGTDGFSTRNLIGSGSFGSVYKGNLVSEDNVVAVKVLNLQKKGAPKSFIIECNVLKNIRHRNLVKILTCCSGTDYKNQEFKALVFEYMKNGSLEQWLHPEILNAEHPITLDLGQRLNIIIDVASALHYLHQECEQSVIHCDLKPSNVLLDDDMVAHVGDFGIARLVLTIDGKSHSNTSTIGIKGTVGYAPPEYGMGSEASTCGDMFSFGILMLEMLTGKRPTSEVLEDGQNLHEFVAISFPDNLIKILDINLVPRDAEAEIQDRNHDNLLRTLDGCIVSLFRIGLICSMESPNERMSIVEVTRELSLIKKAFLTGEIIEIFYAQVES >CAK8573994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653135886:653138635:1 gene:gene-LATHSAT_LOCUS26387 transcript:rna-LATHSAT_LOCUS26387 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPQPPTPSTAPPLSSVAPPPPHLNYPDSVDSSPRSRNTDSWDEPFPPASTKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVERVTSLAELSTRLSKTFLNGRAFTLKYQLPNEDLDSLISVTTDEDLENMIDEYDRTASANSSIKPSRIRLFLFPTKPESAHSIPPQILDTSAKSDDWFLDALNGAGLLNRGFSESASVNNLLGLDDDVAGNNIEPGSREPPEGVSQPGSFGNGKNLKQDVHSVPDSPMMETSSSFGSTSSSPSLANLPPIRVHVEDGNSAGVRVQQQQLQQQDQKVLGIEEQFAQMGVGVVQKQDEGFAVMSSPPHPPVPTTLATAVIGVPIGSAVAVGEYQNRVFSDDERSDHGVAVGYRKPPTPQPQVVQQPPAQTQQQPQQPTQFQQKSSGGGGADLPSPDSVASDNSSLSNPMSRQKPVIYQEQVQIQSGATRVLNNPVDPKLNLSDPQGRIQLQQHVQDPGYLLQQQFELQQQQQQYELQQHQQQFELQQQQQQPQQQQQPPQHQQQQPQHQQQQQQPQHQQQQPQHQQQQPQHQQQQQQPQQQQLQQQLQHQQQQFIHGGHYIHHNPAIPAYYPVYPSQQQPHHQVYYVPARQPQAYNLPVQQPNIGDSATTISSSRPQNPPNPTTLVQPNAAYNPIRNAPLPKTEMTAAAYRAATSGNPQFVQVPTAQHQQQYVTYSQIHHPSQSMAPNSAAPANYAYEFADPAHAQIYYSQPMAPTMASQYQTMAAATMIQPEISAQHPSDGMKQQIRTSQQL >CAK8574261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672798248:672799098:1 gene:gene-LATHSAT_LOCUS26621 transcript:rna-LATHSAT_LOCUS26621 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHELVVGTPVIYDKFQKSDNRYLLDSASHQCQYPYKHQSKSRVSSNQKKRSRKVDSNSEISVRLGTNISEIIKRKLSLGARILQMGGVEKVLMKYFSVMEGERLLKVCHCYLSTTSGPLAGFLFISTEKVAFCSDRSIKVFNEKGQMCRIRYKVSIPVKKIKSVRQSENVEKAREKYINIVTVDNYDFWLMGVSKYHKTYKYLEQTISQA >CAK8542813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549915047:549917483:1 gene:gene-LATHSAT_LOCUS11617 transcript:rna-LATHSAT_LOCUS11617 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPFNHGRNSSNVTHTHHHNPRDDTEEPQFPPPGHISSPFNHPPPPHHHQQPPPFYNDSYPPPPQPHQPETQVFHTGHVSPDDYNYLAPPPPQPHNYGGYPPAPPSVPDHSSTPFPNATVHHVSHESHHPHFPTTVHHVNHEVSPIGHPVSSNKPTFKIVTKASPNHSLTIRRGEVVLAPSDPSDQHQHWYKDEKWSTRVKDKDGYPSFALVNKVTGEAIKHSIAATHPVRLARYNPDYLDQSVLWTESKDQGSGYRAVRMVNNIHLNMDAFHGDKNSGGVHDGTTVVLWDWNCGDNQQWKILPY >CAK8562087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439164639:439167332:1 gene:gene-LATHSAT_LOCUS15614 transcript:rna-LATHSAT_LOCUS15614 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANYLPPLQLVKPSLNSSKPSLFLNPPLSSSSHHFNFPSILHNRSSISTLRRINVKAKSQEPEVSVANDAFTQFKHLLLPITDRKPYLSEGTKQAIATTIALAKKYGADITVVVIDEQKKESLPEHETQLSSIRWHISEGGLKDYKMLERLGDGSKPTAIIGDVADELNLDLVVISMEAIHTKHIDANLLAEFIPCPVMLLPL >CAK8561633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:331339896:331341043:1 gene:gene-LATHSAT_LOCUS15207 transcript:rna-LATHSAT_LOCUS15207-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGAVIVIMGVSGAGKTTIGQKLEEEINLKYLDADDFHSQSNKQKMSMGIPLTDEDRKPWLESLKDTIEEYIINKNGLVLGCSALKKQYREILRSGDPDYKSKGYTSAVNFILLDVPAEVLIARVNKRAAEGKHYMPASLLQSQLDLLNIDESEGILRVDATLSPESIVDTIKELHPFQGWSQS >CAK8561632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:331339814:331341043:1 gene:gene-LATHSAT_LOCUS15207 transcript:rna-LATHSAT_LOCUS15207 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKGAVIVIMGVSGAGKTTIGQKLEEEINLKYLDADDFHSQSNKQKMSMGIPLTDEDRKPWLESLKDTIEEYIINKNGLVLGCSALKKQYREILRSGDPDYKSKGYTSAVNFILLDVPAEVLIARVNKRAAEGKHYMPASLLQSQLDLLNIDESEGILRVDATLSPESIVDTIKELHPFQGWSQS >CAK8564537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680162294:680174812:-1 gene:gene-LATHSAT_LOCUS17835 transcript:rna-LATHSAT_LOCUS17835 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIALPGILEKMTGKDKDYRYMATSDLLNELSKPTFRADADLEVKLKNIIIQQLDDAAGDVSGLAVKCLAPLVRKMNEARVVEMSSQLCEKILNGKDQHRDTASIALKTVVAEVSTQPLAQSILCLLSPQLIKGITSKDMSTEIKCECLDILCDVLHKFGNLMAADHELLLSSLLSQLNSNQATVRKKTVACLASLSSSLSDDLLARATVEVVTKLKYKAVKSDMTRTNIQMIGAISRAVGYRFGPHLGDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISVYCDEILHLALAYLSYDPNFTDNMEEDTDDEGHEEEEDDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEMLSKLYDEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQTDANETSPKWLLKQELSKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPNCLADHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLSSHSPDVFHPYIKALSAPVLSAVGDRYYKVTAEALRVCGELVSVVRPNIEGSSFDFRPYVHPIYNGIMSRLINQDQDQEVKECAISCMGLIVSTFGDHLNAELPACLPVLVDRMGNEITRLTAVKALAVIATSPLRVDLSCVLEQVVAELTAFLRKANRALRQATLGTLNSLIVAYGDKIGLSAYEVIIVELSGLISDSDLHMTALALELCCTLMGDERSSQSVALAVRNKVLPQALTLIRSSLLQGQALLALQNFFAALVYSANTSFDSLLESLLACAKPSPQSGGIAKQALHSIAQCVAVLCLAAGDQKCTSTVKMLTDILKDDSSPNSAKQHLGLLCLGEIGRRKDLSIHAHIENVVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILNQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQESSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPLKLVPALKVRTSSPAAFTRATVVIAVKYSIVERPEKIDAIIYPEISSFLMLIRDNDRHVRRAAVLALSTFAHNKPNLIKGLLPDLLPLLYDQTIVKQELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDGCLDQLNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSAKFKNLMNEISKTQALWDKYYSIRNE >CAK8569083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669900968:669901165:-1 gene:gene-LATHSAT_LOCUS21974 transcript:rna-LATHSAT_LOCUS21974 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNISLVKEATSSPVAAESKTTVKDDLKTLMSGGDKKNPTRSRTRFAPEFDGLHCFECIVPSV >CAK8572311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528255623:528255835:-1 gene:gene-LATHSAT_LOCUS24889 transcript:rna-LATHSAT_LOCUS24889 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETLKYGSKGRILLPYSDRGEQAIRQGDSEIGESWFDQAAEYWKQAIALTPGNYIEAHNWLKITGRFE >CAK8531231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91728918:91729663:1 gene:gene-LATHSAT_LOCUS1037 transcript:rna-LATHSAT_LOCUS1037 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVRSVKDGVSHRKSGRSLTKRFDFPLLARSSAELKKKVLKQVKKKKIDKLHEMLQGNNSERVQEVVEVMDETPKKGTQQERDQKIDRNFDKETVIKKMERDKLSQPKVMKDHAHGDKEVVQETPKKRTHEKDTINREKVQKVDGKLDNHIVIKKTERPKLLQPQAKEVFTHGNKEVSQGN >CAK8545000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717358246:717369611:-1 gene:gene-LATHSAT_LOCUS13636 transcript:rna-LATHSAT_LOCUS13636 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSEISASSTSGRDWFFPSPSFSSSQHARRLYSNSNPTSTCPPGIRRRRIKFPRTPTSTNDKPQIVDAKQVSVKDNLICLPRFRFQFALLTLTIALFLLLLLVRLNNLQAEILQLNLRLHTCHNLDTFNVTSFTTQPPSPEYFTRNLSLTFSFTLLLVPLFIFKYVDYVSKSRCADNISEQVSLNKQIAYRVDVFLSVYPYAKPLVLLFATLLLIFIGGLALFGVTSDDLVHCLWLSWTYVADSGNHANSQGIGPRLVAVSISFGGMLIFAMMLGLVSDGISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLSIANESLGGGTVAVMAERDKEEMELDIAKMEFDFKGTSVICRSGSPLILADLRKVSVSKARSIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWSQLDGMQFEDVLISFPAAIPCGIKVASYGGKIILNPDDTYVMQEGDEVLVIAEDDDTYAPTSFPTVWRGSLPKDFVYPKSPERILLCGWRRDMEDMIMVLDASLAHGSELWMFNDVLEKEREKKLTDGGLDINRLENITLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQARRLPYVAMVSQAHGGSFSKGSWIGEMKQASDKTVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVSEDRQINDVLEELFAEQGNEMHIRQADLYLRESEKLSFYEIMLRARQRREIVIGYRLANAERAVINPAAKSERRKWSLKDVFVVITEKE >CAK8572424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535662819:535663596:1 gene:gene-LATHSAT_LOCUS24995 transcript:rna-LATHSAT_LOCUS24995 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEITEKHAVIQGISLVQGSDKYFWFVRPQLVLHLIHFALFQNAFQITYILWIWYSFGRENCFHADKSIAIVKLALGVGVLCLCSYMNLPLYALVTQMGSRMKKSIFDEQTSRALNKWHNPVKRKHGLKLGKLSV >CAK8530397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19012271:19012777:1 gene:gene-LATHSAT_LOCUS265 transcript:rna-LATHSAT_LOCUS265 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSLFCVLALTFIFDSLIAHAQDSPADYVNAHNAARSIIDTNVRIPNIVWDNNIAVFAQNYANRRKDCKLIPSGSGGRYGEYPGENIAVSKGNMTGVEAVKLWVDEKSNYDYNSNSCVGGECLHYTQVVWKHSKRVGCGKVKCDNGGTFITCNYDPPGNIVGQLPY >CAK8573911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646498379:646498705:1 gene:gene-LATHSAT_LOCUS26306 transcript:rna-LATHSAT_LOCUS26306 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLKSSVTFFTVFLLVSFFISLLPKVSEARPLLSPLQGQEGLIGVFRTLKGDGPSPGVGHRLIGGMKDSGPSSGGVGHRIIGGMKDSGPGSGGVGHKYITNNNNHS >CAK8562772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:535097577:535098254:-1 gene:gene-LATHSAT_LOCUS16241 transcript:rna-LATHSAT_LOCUS16241 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPPKSTTVAPPLFRRIAYLDDGISRYIHTFTSPLAPKPLLRSLEHLADFRLFFPVTLSLFFATPSHSPLRPHLLLPLILCSLLDLIFIGFLKFLVRRPRPSYAIHGDYNAVVPVDKFSFPSGHSSRVCFIAAIFSLSRARIVEAVADSSHPRFALLVHRWIRGDEAMAVNLLVAMVWSWALTTVVSRVVLGRHYVLDVGFGACLGVLEALFTLHFLNFSVSI >CAK8531104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81050454:81055275:-1 gene:gene-LATHSAT_LOCUS916 transcript:rna-LATHSAT_LOCUS916 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPVSTASGNNGHMLYVFNRNGICLLYREWNRPLHTLNAQQDHKLMFGLLFSLKSLTAKMDPTSAEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGLKIILVTHPRIGDLRESLKYIYNLYVEYVVKNPLYTPGSPIRSELFNTTLDQYVRGIA >CAK8564039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647272108:647272788:1 gene:gene-LATHSAT_LOCUS17384 transcript:rna-LATHSAT_LOCUS17384 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSITGTHFIAIATWFAPSPLFILVNLVIGTIALVSCFNAAPKIKIIQRANSFNTRHYYNHQEQTSSITQQPESGSESTQSQLFQIPSLLKRVVSFNLSLDKLAPPHVKTHYIQPESKNSSSELDPKLICDLSDEEKRKVELKRSVSEKECSMTLDWEEEEDEEALERRRPATAMARSETTTCEEDEGVDAKADDFINMFKKQLKLQRLNSLIRYRNTLTLPYFE >CAK8562665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524126099:524169406:1 gene:gene-LATHSAT_LOCUS16143 transcript:rna-LATHSAT_LOCUS16143 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAKSGSMLSVVVSLSKSFDQVPPSAVPAVLDCVLLSTGLSPPSLFASLLHDFPRFLKDIIKEDGTLDSDKRHRLVSLVAAFCHLLKKTGADCDAIQLFVWSCFLPLAKVLPPSQHDLLNQITESLMDVVIKTGTLEVLEETLVPVFIRSVGLSLGMLHNDDSSLYKWSRELSHQDSHGVVDGEQFTSSSNCFKLTTSCNVLSVILELALQFLHTNTVSKSAEREGCIADTFVKVLILELCSMSERMLLHSPEHRSCAIGFLLPIILKTFHAICSFEISIRGQKHGLSRERFFMKIWNCCKTLFSMGPLERREGYNTLSLYFSFSWLEEEYEISNSDTVIKTEEFDIRAEKEFWDEIKKGLVDRESLVRKQSLHILKMALNIREGANSLPSISNSDTNGKCSVPCGVTRKELWALKEAKSLGVGKLSTLDELIYNSQQYWGAFVLLYEMLEEYGTHLVEAAWNHQVSLMLQFSESYFNVATNINKFHQDQFEVCGEPFDWLSILWERGLQHDNPQVRCLIMQSFLDINLENHVNYVKSVPETFVLGPFLQGLNDPIHHKEFGVKGVYTSTVIKGAAQFFRQYVSFLLPRKLFAFLCNLASTTKHQSFGRAGLMGLAECIAAASAGIGILIHARTESFTGNLTVESFNGMESQTGKKELLDIFRYVIESSKQHFNPSYRLQVCGRILEAAASVVCAFDIPLETLLIFISALPHEFTDYGGKLRSTVQQWFSGCGYKDCCADCCMNDMKLCKSLYDFPHSFISNHRSIDISLNYDDGDFSAWEFEANRWARVLFLAITEEHPLEPILMFIQKIGSNIFKQNHDAVYIRVKFLILASSFVLELRRTTERVIEYGNKARTKNIESGFPGVVDDWSFINDISKKLVDIFLYLLDDLVQFATQSCSVFWSGAVAEDTALPGAVRGKLGGPSQRRLPISATTAVLQAMMSVKAMSLILLWCKQIKIDALLSSAFTFMWQFFWRTARSPHSFSETQAEVCLAAYESLVPVLKVLASTYCIQSFNLIEENEQLFSDIEGRPQLDYMCVSFVQNINYLLGAGILARTRRAVLLDIKWACLESLLSIPSHALKNGIHLEGNHTFFSDDTLRCIFGDLVESLENAGESSVLSMLRSLRMLFGLVAKVTPSGVVSCSHVIDAQVIWNLVHSSWILHINCNKRRVASIAALLSSVLHPLLFNDESMHQRDNAPGPLKWFIENLLEEGTKSPRTIRLAALHLTGLWLLNPRIIKFYLKELKLLSLYGSVAFDEDFEGELAENNDARLEVSLLARSPDPELTEAFINTELYARVSVAVLFYKLADLACMVGSPNEDVDCIAALDSGRSFLLELLDSAVNDKDLAKELYKKYSSIHRRKIRAWQLICILSPFVEEDIIGQVLNYLYISLNRNNLPAVRQYLETFAINIYLKFPSLVKEQLVPILRDYDMKQQALSSYVFIAANVILNSSKDVQSRHLDDLFPPLVPLLTSHHHSLRGFTQLLIYQILHKLFPMLNYGSSEIPLEKRCFVDLKTYLARNSDCARLRVSMEGYLDAYNPNCSASPAGIFINRVEENDFECVPTCLMDHVLKFLNDARQDLRSSMAKDVVTIRNETLKFNGDNCMKKLSSGSEATLFKDMSSDFQKKITLTEHGAGDNNGGFHYGNDEAYRKMAEIEKDDLLLDQLLQSRRSSLDQQKATRQSFILVASLLDRIPNLAGLARTCEVFKASGLTIADTNILNDKQFQLISVTAEKWVPIIEVPVDSIKTYLQKKKREGFSILGLEQTANSVPLDQYVFPKKMVLVLGREKEGIPVDIIHILDACVEIPQFGVVRSLNVHVSGAIALWEYTRQQRSK >CAK8572800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564166030:564166197:-1 gene:gene-LATHSAT_LOCUS25322 transcript:rna-LATHSAT_LOCUS25322 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPNQTLIDEVQDELANERDVQEGHQDQREKNDDMISGEPIRDNVAGSMWLDY >CAK8567108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488003868:488004928:1 gene:gene-LATHSAT_LOCUS20191 transcript:rna-LATHSAT_LOCUS20191 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTLESCGGGNGRPTNFLVKTYQVVDDPSTDAIVSWSPNNNSFIIKDHIEFAKNVLRNYFNHDNFSSFVRQLNSYGFNKINPNKWEFANEYFLKDQYHLLGNIHRKKAVHSHSRGEVERFEFEEEIEKLSNEKASIELDIASFEKNMQAKKLHVENLMKKLEASENRHNNLKNSFEMVLQNPEFIEKMNKKIEFIFSLKCSNKRHVAENSFVDNDNNNGVMEAGNSMADNDINLRLKKVGNDFTGIDTNFEETFDENDNIIEFMEDGESVYYRIMERNTDNNNF >CAK8566483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428346673:428352112:-1 gene:gene-LATHSAT_LOCUS19610 transcript:rna-LATHSAT_LOCUS19610 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRHHPPPPTQLSDSDSDGGSSTSQLLRHSDLSESIFKSYFNFSGHSSTNATSADLSKIQSFLTSSSSGALSCLICLERIKPSDPTWSCTSLCFAVFHLFCIQSWSRQASDLAAARAVTRLSISQDQASETSLWNCPKCRVEYPKSLIPKTYLCFCGKLENPPSDDPWVLPHSCGEVCGRSLKNNCGHRCLLLCHPGPCPSCPQLVKARCFCGSHQDTRRCGFKEFSCEAPCSKVLDCGVHRCVEICHRGTCPPCRTRGVYRCQCGKVKEERECCDRVFRCDDPCEKKLSCGKHVCQKGCHSGECGECPLQGRRTCPCGKRVYEGMPCDAPMQVCGATCDKTLPCGYHRCHERCHRGQCIETCRIVVRKCCRCGSLKKDVPCYQDLACERKCLTMRDCGRHPCKRRCCDGDCPPCSEICGRRLRCRNHKCQSPCHRGPCAPCPIMVTISCACGETHFEVPCGTEMDQKPPRCRKKCPIKPLCRHASICKPHKCHYGACPPCRLPCGEEYQCGHACKLRCHGTRPPPKAEFTLKPKKKKNIQQSESIPGTPCPPCPELEWRPCVGQHIGAEQMMVCSNKSQFSCENLCGNPLPCGNHYCTKTCHPLENRSSTNQLLRSKACEDCSLSCQKERKPACQHHCPRRCHPGDCPPCKVLIKRSCHCGAMVHAFECIYYNGLSAKDQETARSCGGPCHRKMPNCTHLCPEICHPGQCPNPEKCCKKVAVRCKCQTLKKEWLCQDVQAAHNRAGRDPSDIPKNQFGVGLIPCNSDCERKVQVVESELQLRKSRVTEVKEPDNEKSIPKRRKKRDRVVESNEPTTLQKMISRTKQFFLFVFFLVILVAATHYGYKGLLWLNDWMNKVDEQRHRSSRVR >CAK8544901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711125333:711128281:1 gene:gene-LATHSAT_LOCUS13545 transcript:rna-LATHSAT_LOCUS13545 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKGHRFVRFEDWRSESSFSIEQKDSNNIRKERPRVSDVLKSIGRKLVSGFEKMKELGRSSSVVHPVNEGQTKKLVSSNRKILDPQGPMLQKWNKIFVITCVMAIYVDPLFFYIPVIVNKNKCVALDEALQTTASVLRTFFDLFYILRIIFQFRTGFIAPFSLVFGRGELVDDPIAIMKRYLSSHFIIDILSIIPLPQIICLAIFPHMKNSAPFVAKDLLKYAVLGQYVPRLLRIRPLFKEVTRTSGILTETAWAGAAYNLFLYMLASHMVGANWYILSVESQVRCWRREINKASIFRESYLGCEPKNETVFKILNSTCLLVDPDNVNDTNTFNYGIFFDGLQSGVVDSTTDFPQKFFYCFWWGLRNLSSLGQNLKTSTYVTEITFAIFIAIFGLVLFSLLIGNMQKYLQSTTVREEEMRVKMRDAELWMSHRMLPDNLKQRIRKYEQYKWQKNRGVEEETLILNLPKDLRRDIKRHLCLALVKKVPLFEKMNEQLLDAMCDRLKPVLYTEKSCIVREEDPVDEMLFIMRGKVATMTTNGGRTGFFNSLFLMPGDFCGEELLTWALDPNSSSNLPTSTRTVETISEVEAFALMADDLKFVASQFRRLINSKQLQHTFRSYSPQWKTWGACFIQAAWRRYSKKKIERTLREAEDKLQDALANEEGSTISLGATIYASRFAANALRNLRRNNTHNRMQPRLMPLLPPKPAEPDFTTQKHYPG >CAK8568152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577423963:577424304:1 gene:gene-LATHSAT_LOCUS21143 transcript:rna-LATHSAT_LOCUS21143 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVHKKKGKKGLFAIKIDLSKSYDKVSWECIWQVLKEANMPDELLKLIMHTVTSTELNVNSHIIEHEVTKRSCKAINMGVNGPKISHLMFADDLLLFGEATEDQMNCVMTSL >CAK8562200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456307880:456308488:-1 gene:gene-LATHSAT_LOCUS15718 transcript:rna-LATHSAT_LOCUS15718 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSRFLQFSRFRKTLTQNPNFTSPQISRPYIVSPNQHQSDRKSQCESTFIRNYNTASPDSEKVSAIVDELMGLTLLEVMDLVDVMREKKGINELPIMMLMVPGMGIRGLPKGLGGAKAGGGEKGEEKKVEKTAFDVKLDAFDAASKIKIIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEAETIIAKLKEVGAKASME >CAK8543011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565877036:565881930:1 gene:gene-LATHSAT_LOCUS11799 transcript:rna-LATHSAT_LOCUS11799-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEEEQHLPRGATSSDRPQNAETECRRCCCCSQIQKLVGFRCILVFLFSLALFLSALFWLPPFLRLADQKNLHDDSKYKGHDIVASFIVNKPVTVLEDYKLQLAREIFDEIEAPSTTTVNILSLDQLPRPNTTKVVFAVDPNGGEYSEASSPAISLIRSLFTSLVIHQSVLQLTSSLFGDPFFFEVLKFKGGITIIPQQNAFPLQKVQTKFNFSLNFPIYQIQINFNELTNQLKSGLHLTSYENLHVVLSNSEGSTVDAPTIIQSSVLLAVGIPPSKRRLKQLAQTIMGPHNLGLNNTEFGRVKQVRLSTILQHSLNGSDTGSARSPAPAPVPHSSHHHHHHHHHHHHHHHHDTHLTPVTSPIPAPTPAPNPWEGVTTPGVGSPASTKSAPTPQKSSQAHPPDCPFERRKRSTRNAGRHTYLTPSIAPSIEHHHHVPISSPKPQVEPPTHASNSVPALSPLPNVAFAHAEPPPKSGPAPEQPHTQFHGSPISPSSAGCLGSVKWTSLIFLVLLLHV >CAK8543012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565877036:565881930:1 gene:gene-LATHSAT_LOCUS11799 transcript:rna-LATHSAT_LOCUS11799 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEEEQHLPRGATSSDRPQNAETECRRCCCCSQIQKLVGFRCILVFLFSLALFLSALFWLPPFLRLADQKNLHDDSKYKGHDIVASFIVNKPVTVLEDYKLQLAREIFDEIEAPSTTTVHLSLNQLPRPNTTKVVFAVDPNGGEYSEASSPAISLIRSLFTSLVIHQSVLQLTSSLFGDPFFFEVLKFKGGITIIPQQNAFPLQKVQTKFNFSLNFPIYQIQINFNELTNQLKSGLHLTSYENLHVVLSNSEGSTVDAPTIIQSSVLLAVGIPPSKRRLKQLAQTIMGPHNLGLNNTEFGRVKQVRLSTILQHSLNGSDTGSARSPAPAPVPHSSHHHHHHHHHHHHHHHHDTHLTPVTSPIPAPTPAPNPWEGVTTPGVGSPASTKSAPTPQKSSQAHPPDCPFERRKRSTRNAGRHTYLTPSIAPSIEHHHHVPISSPKPQVEPPTHASNSVPALSPLPNVAFAHAEPPPKSGPAPEQPHTQFHGSPISPSSAGCLGSVKWTSLIFLVLLLHV >CAK8568822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644171090:644174010:-1 gene:gene-LATHSAT_LOCUS21743 transcript:rna-LATHSAT_LOCUS21743 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISDALRQAFMPKREYESLREEEKAWGKLQRPVTISFMAVIWLAIVVSTVISLKILFPGKDGKRPLCVDRRVQPIQIEMKGDSDSDLYGGAFYLTDQEIADYYWMVVFIPSFVVFVISGVYLVAGITVAYSAPTRHGCLKVVDNNYCASRRGGVRCLSILNLIFAIIFGLLALFLGSSLLTFMSNCSTPLFWCYEVASWGLVVLYGGTAFFLRRKAAVILDEGNFSGRNLGLEMLEANPLEVTPEVERRVSEGFKAWMGSSLLSSDEEDEPDSYEEASHLTHTNSNRQRL >CAK8541758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:387878111:387880146:-1 gene:gene-LATHSAT_LOCUS10653 transcript:rna-LATHSAT_LOCUS10653 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEHYHIPQQSRREKLRFLSQNQASFIESPTTLNPSSTPLPSLYDPSFISSDFSPSTNQTIEAITISTNPFLYPTYNDGGNTNSEVMLLKSEPLSLSLSSQQNNQAHMNVHHPLEANLQRYGSVVFNGSGEVRNTVPLGPFTGYASVLKGSRFLKPAQQLLDEICDVGARVEKIVPAADSSLMENPIQERNGIVDDDDIEGRKNKSRLINVLDEICRRYRQYYQQIHAVITSFEYVAGLGNAAPYASLAINAMSKHFRGLKNVITNQLQYIGESNFRINNSKDESPRFYNSGRSPYSRRPEFLEHVQQPVWRPQRGLPERAVSVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHMLESQQSQKEPSQREEHSRKNLSGSNIAEHQSTSTKNCHDVSYKRTRDELDNMLVMNQSIASNQQVGSVGVSLMNNGATSNGVSLTLGLHQNHGIGLSEPFDMSAAQRFGLAVQPESYVASGFQLQNRQFGRDFITGQLLHDFVG >CAK8537737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425685949:425687589:-1 gene:gene-LATHSAT_LOCUS6998 transcript:rna-LATHSAT_LOCUS6998 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTISKTQIYAMQENQQQLPCISCTTFNILAPIYKRLNHEDESCRESEYRGCWLARNNRILDWLLFERSSIICLQEFWVGNEELVNLYEKRLGDAGYIHFKLGRTNNRGDGLLIAVKKEYFKVINYKELHFNDCGDRVAQLLHVELAFPISQCQNNGVRQEILIVNTHLLFPHDSSLCLVRLHQVYKILQYVESYQNEYQLKPLPIILCGDWNGSKRGHVYMFLRSQGFVSSYDTAHHYTDADAHKVTLF >CAK8538561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487214406:487215183:1 gene:gene-LATHSAT_LOCUS7746 transcript:rna-LATHSAT_LOCUS7746 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSFKICYILGKRSRSNVSESGNWFNGVWNLTVDINLELLQGSTRTDWDDLPNSLINVYLIPDRLDTFV >CAK8576250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435641643:435642326:-1 gene:gene-LATHSAT_LOCUS28455 transcript:rna-LATHSAT_LOCUS28455 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKPALTPQRLRSSGSVPSSAHLFASSSNHIDTQTVAHHSRNRSSKTTSDFDSPRSVFLEWTFSSNSRRGTTNGSAKNAYSSFNRNHRDKDHDRDKDRSNGLDHWDHKCFEPLADLFLVRTERDPLRRSHSLLSRKQNELANHRGAVDTKSAGNFNQSNGSDALSGGSISSSYHKAVFDKDFPSLGGDEIPGSAEQGTFSGQVQGKFMRKSINGLVLIPSNLKQF >CAK8575036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23027472:23028674:1 gene:gene-LATHSAT_LOCUS27329 transcript:rna-LATHSAT_LOCUS27329 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNIEDRVEDLKKKEEQKNQEEGKQKIVILHSHSKGNNNGKRHVGETSDPGFLIQQLGRDISIHCLLQLSRSDYGSIAALNTSFRSLIRSGELYQLRRKMEIIEHWVYFSCEVFKWEAFDPNYGRWMQLPKMICDEWFVLSDKESLAIGTELFVFGKELMGPKIYKYNLLTNSWSVGKMLNTPRCLFASASLGGIAILAGGCDMRGNILSSVELYNSDTGKWEILPNMNKARKMCSGVFMDEKFYVVGGIAADKITQLTCGEEFDMKTKEWREIPNMFSMRNEVLETPPPSGSPPLIAVVNNVLYAADYLQQEVKRYVKGNNSWVTIGGFPQQANSMNGWGLAFRACGDKLIFLGGHSLHGRGMLEINAWVPDENPPQWNQLATKESMSFVHNCTVMGC >CAK8535449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849637654:849638149:1 gene:gene-LATHSAT_LOCUS4912 transcript:rna-LATHSAT_LOCUS4912 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLIPTSVILLVLFFLLLMSETSGCNSAYACFDANGGSLKLSHNRKMMSSLKYKKVSVEGSLRKMKKSEKVTEELRKVPTGPDPLHHNSIGNPIKPQTP >CAK8539684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521575282:521576758:1 gene:gene-LATHSAT_LOCUS8761 transcript:rna-LATHSAT_LOCUS8761 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPAVSGGMGAADDVSQAETAILRLKLAVWQQYQHVLDKTTPHVLRRWIGFSVLAFLYVVRVYFLQGFYVVSYALGIYILNLLIGFLSPQEDPALAADGPSLPTRASDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSAFDIPVFWPILLFYWVVLFTLTMRKQISHMIKYRYVPFTFGKQHYQRKKPSAEESTSLPVD >CAK8564008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645846890:645850259:1 gene:gene-LATHSAT_LOCUS17357 transcript:rna-LATHSAT_LOCUS17357 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLPNHFRSCSANKLSCIDCGQMFGRDTVQNHTQCITEAEKYGPKGQGKALNGSAAKPGKDKKQRPEVDINVGLSNRPPWFCSLCNTKATSQQALLLHAEGKKHGAKSRAFHASQQPPVQADKPAPDAKDVAEAASDGTEKKDGSNAEHPKLQESSEQNNLKPGSEVSSEKKKRKLDASEGGIIKKCKNDTSVDTENGEVIQGEKTKEKKIKWKKFIKAALKSHPDGLKMKKLRKAVFKALQESGIVVNENELTDTLEQKINSSSKFAVEKKYVRLVAKD >CAK8577399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560820928:560823600:-1 gene:gene-LATHSAT_LOCUS29512 transcript:rna-LATHSAT_LOCUS29512 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPKNMNEEGTKGKKPKHTFLSRFQTLFSTMTRKPVFKRTLAIFFVIVALYAIANTFFQSYDPSAFDSATFPFNTATASSILLAAGKSTFPAVKVYLYDLPRRFTYGVIHHHSLARGSRVDENDLSSLKYPGHQHMAEWYLFSDLSRPDLERAGSPVVRVLDPEEADLFFVPFFSSLSLIVNPVRPPGSGPEKTAYVDEENQEALVEWLKRQEYWQRSKGRDHVIVASDPNAMYRVIDQVKNCVLLVSDFGRLRLDQGSLVKDVIVPYSHRIKTYTGDIGIDKRNTLLFFMGNRYRKEGGKIRDTLFQILETEKDVIIKHGAQSRESRRAASQGMHTSKFCLHPAGDTPSACRLFDAIVSLCVPVIISDSIELPFEDTIDYRKIAVFVETAPAIQPGYLVSILRGITPDRILEYQKELKEVKRYFEYDEPDGTVNEIWRQVSKKLPLIKLMINREKRLFTKEPDCSCICTNQTAIKTL >CAK8563123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575192481:575195551:1 gene:gene-LATHSAT_LOCUS16564 transcript:rna-LATHSAT_LOCUS16564 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIKSDVIGSDRKTTDPNRGKKGRKTKPNPYSEESLNSSATIRVLKDSNPRNRIGDKYVLGRELGRGEFGITYLCTDKETKQELACKSISKRKLRTAVDVEDVRREAAIMATLPEHPNIVKLKATYEDDENVHLVMELCEGGELFDRIVARGHYSERAAANVARTIAEVVRMCHANGVVHRDLKPENFLFANKKENSPLKAIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLKRKYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISDSAKSLVRQMLEPDPKIRLTAEQVLEHPWLQNAKKASNVPLGDIVRTRLKQFSLMNRFKKRALRVIAEHLSLEEVEIIKDMFTLMDTDKNGRVTYEELKAGLQKVGSQLAEPEIKLLMDVADVDGNGVLDYGEFVAVTIHLQRMENDEHFRKAFKFFDKDDNGYIEFSELEAALVDDIGETDTNVLNEIMREVDTDKDGRISFEEFVAMMKTGTDWRKASRQYSRERFKSLSINLMKDGSLQLHDGISGQAVVV >CAK8565284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:99200552:99201049:-1 gene:gene-LATHSAT_LOCUS18509 transcript:rna-LATHSAT_LOCUS18509 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVKFLVIDEAAQLKECESTIPLQLPGLSHCILIGDERQLPALVKSKIDNKCEFGRSMFERLVRLGYKRKMLNIQYRMHPSISLFPCKEFYDEKLSNAGVVRLPWKLKVWNQSWTRERIEQMRIMSRNMLKTRVTLSHQ >CAK8534795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769152275:769152712:-1 gene:gene-LATHSAT_LOCUS4309 transcript:rna-LATHSAT_LOCUS4309 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHSNTDPPPPKPPFNPQPVTLHYSSSTAAFVVSSPSRFRLFSSDEKASQPPSIKDTAPVEIEDVDNKELKSQIDKYLKGDEQMLPSILEAIMQRELSGKHEDTDNELMEELSMKPIDDVDDQDFEFDFEDLHETDEEICFVC >CAK8530433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21060962:21061573:-1 gene:gene-LATHSAT_LOCUS299 transcript:rna-LATHSAT_LOCUS299 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLTQSQPQATKPKPKTSILRYIAMIILFLILLVGIAVLIIWLVLKPKHLQYSVEDAAIHNFNLTDANHLYANFDFTIRSNNPNSKVSIYYDSIEVSVRYEDQTLATNAIQPFFQPRKNVTRMHVRLTAQTVALYDSVPKDLKLEKTSGDIELDVLIRAKIRFKVGVWKSKHRVLKVFCSNLLVNFSKAKTFERDSCDVEM >CAK8535129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816139224:816139623:1 gene:gene-LATHSAT_LOCUS4606 transcript:rna-LATHSAT_LOCUS4606 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSVAALSFLLLVLLVAQEVVVSEANTCENLAGSYKGVCFGGCDGHCRTQEGAISGRCRDDFRCWCTKNC >CAK8530688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40925883:40926783:-1 gene:gene-LATHSAT_LOCUS533 transcript:rna-LATHSAT_LOCUS533 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSDISRVLFNTASYRFDALNGISRGGFVSTNSLVLDTGKGELVKSPPNPIMGEKKICDATKALVALKSHREAERRRRNRISGHLAKLRGLVSSSPKMDKATLLAEVVRQVKELQKNADEESKGYLIPKDSDEVRVEIEPCESGGVDGSIIYKASICCDYGPELLTDLKQTLDNLKLELVRAEMSSLGDRVKNEFVYTCCKVDIYDVELCQVIASNVYQALSSVLDKASTSMDYELRVPRPCIQPLHRSTLSSCNHEFCSC >CAK8577954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598774493:598776831:-1 gene:gene-LATHSAT_LOCUS30010 transcript:rna-LATHSAT_LOCUS30010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQNGEDSKQSDPSVCGYDSLHSLLKDNLKPHHFQEVNRLLTGLNCGKVLETIALPESATALSAEHGFDLQAFGFHADKEVLREPRIVRVGLIQNSISLPTTAHFVDQKKAIFEKLRPIIDAAGSSGVNILCLQEAWMMPFAFCTREKKWCEFAEPVDGESTKFLQSFAVKYNMVIISSILERDLNHGEVIWNTAVVIGNHGNVIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETTFGKIAINICYGRHHPLNWLTFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVASINRVGTETFPNPFTSGDGKPAHADFGHFYGSSYISAPDASCTPSLSRNRDGLLVTDMDLNLCRQYKDKWGFRMTARYELYAKTLAQYVKPGFEPQVIRDPLLHKSSS >CAK8562738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532524375:532524728:-1 gene:gene-LATHSAT_LOCUS16209 transcript:rna-LATHSAT_LOCUS16209 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHMMVTPLMLHLHLTAPAVAGVSVSIILVLKLLFGFRFFKDEALYQSRLFLFRLGQIAFNSEPQASIIARMERALRLIFPTYATVTTSNSTSSQSDHELQNEQEEMFLALSMLAL >CAK8530804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51054720:51056923:1 gene:gene-LATHSAT_LOCUS644 transcript:rna-LATHSAT_LOCUS644 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKSEFVDRKMESEVQVVDGNKVDWKGRSALKSKYGGMKAALFILVTLGLENLASFSLAANSITYFNGIMHYELEDAANMLTNYLGVSCILSILVAVFADKWIGRYKSVLFSCFFEFLGLALVTTQAHYPSLKPQICNVNDKTVPCKTPSGGQEAFLFIGLYLLAIGGAGIKAALPSHGADQFDETDPKEARQMSTFFNMNLLAVSMGGAISLTFTVWIQIHKGWDWGFGIGTIAILLGTIVFAAGLPLYRNQAAKGTSGLIEIIQVYVAAIRNRNLSLPENPAELYEIDQDKEAADEIEFLPHRDTLRFLDKAAIKSKPDNQLENSETPSTSQWKLCRVTQVENAKIILSMVPIFFCTIIMTLCLAQLQTFSVQQGYTMDTSFTKHFDIPPASLPIIPIMFLIILAPIYDRVLVPVLRKFTGIPTGVTHLQRIGVGLMLASLSMVVASIIEVKRKKVAKDNNMLDALPVLQPLPISMFWLSFQYFIFGIADIFSYVGLLEFFYSEAPKGLKSTSTCFLWSSMALGYFLSTITVKCINGVTKHTKNGGWLVGNNINRNHLNLFYFFLFIVGLHNFFLYLFVSKRYKYRTQGPNVPFDGDSKELT >CAK8538076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461595761:461596557:1 gene:gene-LATHSAT_LOCUS7314 transcript:rna-LATHSAT_LOCUS7314 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHQVGVAMDFSPTSKLALRWAVDNLINKNDHIIMIIVQPPSADHTRKQLFENTGSPLVPLEELREINFTKQYGIAKDVEVIDILETATTKGAKVVAKVYWGDPREKLCSAVEDLELDSLVVGSRGLGTIKSVLLGSVSKHVVTNASCPVTVVKGTQSSKSRH >CAK8561341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:158134416:158141551:-1 gene:gene-LATHSAT_LOCUS14942 transcript:rna-LATHSAT_LOCUS14942 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWKSKYMILCFLLALNLSVQEFGSNAQLIPQDEVKSLQTIPNKLKNVNWKVTEHSCIDGRGFDNDNVDDDTVRNVTCDCTFQNKTLCHVTTIFLKGQNIAGAMLSEFGDLTQLKILDLTRNYLNGSIPTSFANKSLVVLSLLGNRLSGPIPAEIGDITSLEDLVLENNQLGGPLPPSLGNLSNLKRLLLSSNNFTGAIPESFSKLKNLTDFRIDGSSLSGQIPSFIGNWTKLGRLDMQGTSMEGPIPPTISELKLLTELRISDLSGSSMTFPNLKDLKNLQLLELRNCLITGPIPDYIGEMTNLVTLDLSFNMFTGSIPNSIQGLKRLNYMFMTNNSLSGPIQDWILNFKINIDLSYNNFTKSSATSCQQLNLNLASSHSSSAVTSPSTFCLKRNLPCAGKPQYDSLFINCGGPEEDVDGNHYVGDLQENGISNFVLRNAGQWAYSSTGVYMGNVNADYTASNTYSLNINGPDYYNTARLSPLSLSYYGLCMQKGNYKVKLHFAEIMFSDDQTFKSLGKRIFDVSIQGFKYLKDFNIMEEARGVGKGIAKEFDVEVNDSTLEIHLYWAGKGTTAIPDRGVYGPLISAITVTPNFKNHSEGLSAGVIIGIVAASCVLVILIVVALWKMGFLCEKDIRDKDLLDLKTGYFSLRQIKAATNDFDPANKIGEGGFGPVFKGVLSDGDVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGKQLLLIYEYMENNCLGRALFGHQEQKLHLDWPTRMKICLGIAKGLAYLHEESTLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDDEGNTHISTRVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNFNPMEEFVYLMDWAYDLQEQGRLMELVDPNLGSSYSTEEAMRMLSLALLCSNTSPTHRPSMSLVVSMLEGKTPIQAPINRGESGHHARLKASELLSEDSQALDSSTFSHESIDQRHESSIGPWVPTSITLQSKDDFSSSSKLP >CAK8536643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3899115:3900239:1 gene:gene-LATHSAT_LOCUS5985 transcript:rna-LATHSAT_LOCUS5985-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTLLDQTSLTLFTLNLNTRTQPHIHEKIHPYPLKNSKDAMSSISEFTLTSYPQKYPSQCGVTHHRRALIFSGSGYTGNFYHDMNEIFIPLFITINSLSPDEDVIPVIIDSKAWWLKKYDDLLSVFSPQYMIIETNNLTTTHCFPSAIVGLIKHGQLIIDPKLLPYPKTLFDFHAFLKSAYIKNDISLMYSDNTDRPLLTLVSRKGNSRAILNQQEVIKLAEDIGFNVHALETTRDSSVADTYRLIHSSHVMLGVHGAGLTNLLFLRQGSVLVQIVPIGLEWASETYYNKPTRILGLEYVEYKVEANESSLSWKYGADSLVIKDPETFRDGKWANQLVYLKKQNVIIDLVRFKKCLTEVYKKAKLFMSTSTS >CAK8536642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3899022:3900239:1 gene:gene-LATHSAT_LOCUS5985 transcript:rna-LATHSAT_LOCUS5985 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLRINLDLEINTTLITCDRSNKDFDICIMNGPTLLDQTSLTLFTLNLNTRTQPHIHEKIHPYPLKNSKDAMSSISEFTLTSYPQKYPSQCGVTHHRRALIFSGSGYTGNFYHDMNEIFIPLFITINSLSPDEDVIPVIIDSKAWWLKKYDDLLSVFSPQYMIIETNNLTTTHCFPSAIVGLIKHGQLIIDPKLLPYPKTLFDFHAFLKSAYIKNDISLMYSDNTDRPLLTLVSRKGNSRAILNQQEVIKLAEDIGFNVHALETTRDSSVADTYRLIHSSHVMLGVHGAGLTNLLFLRQGSVLVQIVPIGLEWASETYYNKPTRILGLEYVEYKVEANESSLSWKYGADSLVIKDPETFRDGKWANQLVYLKKQNVIIDLVRFKKCLTEVYKKAKLFMSTSTS >CAK8542639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534217518:534218321:-1 gene:gene-LATHSAT_LOCUS11462 transcript:rna-LATHSAT_LOCUS11462 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLHPSIFFSFLFSTIILNQIPTSNADDLQQYEKCSSSFDCANIKNLTYPFWRSSRPQYCGHPNFELQCNDEFATITIMAQNYRILEVVDSVHTLKVVRTDYWNNVCPTNRKNTTLGRTFFDYGSDSRNLTLYYDCSYTPFTLPDSFSPQFNCSINGTQMVNYFLLESNLENGESSVSKSIGTCKSRVIVPILESEAEKVVTNSSVENLKGAIDNGFEVEWNVNNSLCHECQSSSGHCGYDPSSKDFTCFCKDGSFPHSCRSGKYL >CAK8562434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:490435889:490437199:-1 gene:gene-LATHSAT_LOCUS15930 transcript:rna-LATHSAT_LOCUS15930 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKVISTTTIQAPVHDNSTEIIELPPWDLQFLPLETIQKGLLFHQPTLNSNLIDHLKQTLSTTLSFFPPLTGRLIITHHNNDASCSLICNNVGVLFVHAKAENTTVSDILQPNYIPPIVHSFFPLNGVKNYEATSQPILAVQVTELSNGIFIAFTINHAVSDGKSFWHFVNSWSQISKGSQQITKLPSLQRWFPNDIELPIRFPFTITESQNKSDSKKLPERIFHFSKEKISELKSKANAEAEIQTKETKISSLQALLSHIWRRIVGCKQPDPQEVFRYLLIIGARPRMIPPLDDDYFGNAAVPATVVMKAEEILVGGIGKVGLEMNREIMLHSDEKIRKHYECWLRVPRLLENSLTSGNSLVTSSSPRFDVYGNDFGWGKPVAVRSGGANKNNGKITVFPGAEEGSIDIEVCLSFEILEALGNDTEFVVPNSK >CAK8563537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:614439367:614483569:1 gene:gene-LATHSAT_LOCUS16936 transcript:rna-LATHSAT_LOCUS16936 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATASELNKSGSGGSERDAGEDKDRGIFEFFGWVYHLGVNSIGREYCHLRFLFIRGKYVSMYKRDPHENHAIKPIRKGVVGPTLMVEELGRRKVNDGDLYVLRFYNRLDEARKGEIACATAGEARGWIEAFDHAKQQAEYELSKGGSARDILNMEAEINLEGHRPRVRRYAHGLKKLIRIGQGPETLLRQSSKLAGRADGFEGDNGDTVEAHQWKCVLTVAGIRIFEDVSDHKNGKGVLAKSVGVIDATADTVFEVLFNIEQQKRYEWDMLVCDLEPVDSYDGHYDVVYGTYDPKYLSRWHPKQDFVFSRQWFRGQDGAYTILQFPAIHKNKPPRSGYRRAKINPSTWEIRNLNTPMASNSPRCLVTHTLELHSASWNRWKNKQCSKFERSISYALLCQVAGLKEYIAANPALHQENATTIVHSQISDSSISSSEYEDEVQDEFYDAIAAESSSSGEESDDEEKHDPKESRVKLKNVSWAITSLALKRTTAPDLSGELDPRVTPITFNPSDIHGSLGKGMDDKDANCWTSPSGKGFMIRGKNYLKDNSKVVGGDPLLKLIAVDWFTVNKSVDRIALHPKCLVQSEAAKKLPFILVINLQVPAKPNYSLVLYYAADRPINKKSLLAKFVDGSDVFRDSTFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARGVISLVLGYVTSLVVDLAILIEAQQEAELPEYILGTVRLNRLKLESAIPLEV >CAK8539784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525034581:525035542:-1 gene:gene-LATHSAT_LOCUS8846 transcript:rna-LATHSAT_LOCUS8846-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRHFVLVHGASHGAWCWYKLVTLLKSSGHKVTALDLAASGINPKQVLELNSVAEYYEPLIDFIRSLPQEERVILVGHSFGGICTSMAMEFFPKKIAVGVFVASVALTPEEGSNSKSKSIFEDSLNNILRGSMILGPEFIASHMYQLSPPEDFSLAMSLLRPMNTFDDQELFKEQTTVTKDNLGSVAKVFVVGKEDKMLTQNPGNDAKVIPDADHMVMFSKPQELFAYFQEVAETYY >CAK8539783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525033438:525035542:-1 gene:gene-LATHSAT_LOCUS8846 transcript:rna-LATHSAT_LOCUS8846 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRHFVLVHGASHGAWCWYKLVTLLKSSGHKVTALDLAASGINPKQVLELNSVAEYYEPLIDFIRSLPQEERVILVGHSFGGICTSMAMEFFPKKIAVGVFVASVALTPEEGSNSKSKSIFEDSLNNILRGSMILGPEFIASHMYQLSPPEDFSLAMSLLRPMNTFDDQELFKEQTTVTKDNLGSVAKVFVVGKEDKMLTQNPGNDAKVIPDADHMILKSGITEASRR >CAK8578586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640379212:640380642:-1 gene:gene-LATHSAT_LOCUS30583 transcript:rna-LATHSAT_LOCUS30583 gene_biotype:protein_coding transcript_biotype:protein_coding METMKPKSAMNNRSKKFAKTFQKVISLKSATKIASNNGICMLNSNLKVKEDQEPFTDHHINNNGNHNNKNNIKNKNKNKAVMEALIARLFAGVTTIKAAYAELQMAQHPYNNDSIQAADQAVVEELRSISELKRRFLKKELDLSPQVTIMLAEIQEQQSIMKTYEITIKKIQGEIDARDSRISSLRKKLDECISFNKSLEKKLNSNASLSLFVNLELSSLNHTHFVYFLHHTLRSIKSFVKLMIEEMESANWDVEAAVKFIHPNAVFSKPSHRCFAFESFVCVTMFEGFNYPNFTFSNDSLLHNTHQNLYFDKFKKLKSLNPKQYLENNPNSSFAKFLKSKYLQLVHAKMECSLFGNLNQRKLVNSGEFPDSAFFLAFSEMAKRVWTLHYLALSFQENVTIFQVKKNSRFSEVYMESITEESVSTTTSCSRDSTDSNSGELRVVFTVVPGFKIGKTVIQSQVYLSLLDSSASLCRN >CAK8570182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27884529:27887320:-1 gene:gene-LATHSAT_LOCUS22957 transcript:rna-LATHSAT_LOCUS22957 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSEEVPFFDAQDDIVLISDAKGVNENPEVDPGSSVVEGLLRDFGYELWARSPGSVRERRSKFKTWMESSLVDGSGREREDEMNRMKDGVDRMIKSYGCVEDFFSTRSTISCLSSMSSSSELGLVENSPCRDESLDNEVGSNEDQVGQCRESNDQLVVSDELENTNTLGFSPTHQNEIVKEIEETSVFESWKKRAKKGLLKKLRSMTCMKDGQQGESDNRKNESGVSFSGCKIQRVKVRHCRKQRKELSALYTGQDIQAHEGPIFTMKFSPDGQYLASAGEDGVVRLWQVVEDERHTEIDIPEVDTSCIYFTVNDLSELTPLFMDKDKIRNAKSLKKTSDSACIIFPPKVFRLLEKPLHEFHGHGGEVLDLSWSKHNYLLSSSVDKTVRLWQVGHDCCLKVFSHSNYVTCIQFNPVDDNYFISGSIDGKVRIWGIPDCHVVDWTDVKEIVTAVCYQPDGQGGIIGSMTGNCRFYNVLSDNHFQMQSQICLLGKKKSPGRGITGFQFLPQDSNKVMVTCADSQVRIIEGLNVVGKFKGLSAGSLMSASLTSDGKHILSASEDSNIYMWNVSEDESCTMKAKKIKSCERFYSNASVVVPWCGLKSNNIENSKPLDVLNKRSPQALCLDPPSSFSLSQDFFLDSIPKGSATWPEEKLPTSRSPKSKKSALHKSAYKFLKSSCKSTSNCHAWGLVIVTAGLDGRIKSFHNYGLPVLV >CAK8577752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586569160:586572744:1 gene:gene-LATHSAT_LOCUS29826 transcript:rna-LATHSAT_LOCUS29826 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDREKHSILMVSDFFYPNFGGVENHIYYLSQCLLNLGHKVVVVTHAYGKRSGVRYMTGGLKVYYVPWRPFFNQNTFPTLYGTLPIIRTILIRERINIVHGHQTFSTLSHEALMHARIMGYKVVFTDHSLNGFSDSGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLVPEKVFVIPNAVDTAVFKPALERPSRLEIVIVVISRLVYRKGADLLVEVIPEVCRLNSNVRFIIGGDGPKRVRLEEMREKNSLQDRVDFLGAVPHSQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVVPDDMIVLAEPDPSDMVRAIQRAITMLPKIDPQVMHNRMRELYSWHDVAKRTEIVYDRALKCSDQSLLERLSRYLSCGAWAGKIFCLVMIFNFLLWHLLELWQPADEIEEAPDVILSRNCNGLEGCWRKHNNAEHHKNSNNTNNNIS >CAK8561055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83106991:83107582:1 gene:gene-LATHSAT_LOCUS14679 transcript:rna-LATHSAT_LOCUS14679 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALCVGLLLLVTLFYATSVVARNDPSGNEVNTERKLPNEGVTKPSLQGPNEDEKLKGFFHLKHKLKGYFHKKPIYYKPIPKYIPTYKPFHKPIIGEKPIPSVVEPESFLKHKHYFFKKPIIPIVKPVYVPIYKPVPKVIPIYKPIPKVIPIVKPIH >CAK8576280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443284943:443316071:-1 gene:gene-LATHSAT_LOCUS28482 transcript:rna-LATHSAT_LOCUS28482 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNLFPFGTALSNPFIFNGDLSEGGGIDSSRVFVLLPFFLLSQGGAMDLSKVGEKILSSVKSARSLGLLPSVSDRPEVPARAAAAAAVARAIAGLPPHQRYSLSSSSAELSSIYGSIPHGPAVEELEDEFYEEDFDPIRHVLEHVPSEENELSYFEKQAALRLTQLDKVAEHLSRHVMEHHEVMVKGMNLVRELEKDLRIANVICMNGRRHLTSSMNEVSRDLIVNSYSKKKQALMDMLPVLTELQRASDMQSTLEFLVEEGNYWKAFQVLSEFLQLLDSLSELSAMQEMSRGVEVWLGRTLQKLDALLLDVCQEFKEDDYMTVIDAYALIGDTTGLAEKIQSFFMQEVISETHLVLKAIVHEDEEGLAQNSRLTYSDLCLRIPDPKFRQCLLRTLAVLFDLMCSYHEIMDFQLEREDSPAQTSDKCNEDISCSLGVAGEVNSDVRVCNNSTSSSGDVIHGSPSRKAPSTISSLTETTGSPYSDSHDPVNEVSNGENSASSIDSPWYHLRKEATTFVSQTLQRGRKNLWHLTASRISVLLSSAAACSTSIHQFLKNYEDLSVFILTGEAFCGIEVVEFRQKLKAVCENYFIAFHRQNVHALKMVLEKETWLKLPPDTVQIISFAGLIGDGAPLISLSSSKSMNAIAIHSNNKSVNMVHTGTRKSGFSHWIKNGNPFLPKLSTSKEGHGTPQPNGSSYGEFDGGSANNYHGDRVSPRMNDSSQLNGANSVSEDENEDLLADFIDEDSQLPSRSSKPHHSRSHSSHGNDEESTTQTGSSLCLLRSMDKYARLMQKLELVNVEFFKGICQLFGIFFYLIHETFGQQNTNSSGKSSVNSLNYRLRTALSRINQDCEEWLRPNSSSPTSLSSSFVHAELTPINPPNMNFGHPSGTAFSLKERCVAVDTISVVARILNRSKAHLQSMLLQSNSTVLEDFFVHLVDAVPDLIEHVHHTTVRLLLHINGYVDRVANCKWEVKELGMEHNGYVDLLLGEFKHFKTRLAHGGIRKETQDILLDYGLDIIAETLVEGLSRVKRCNDEGRALMSLDLQVLINGLKHFASLNVKSKLQMVETFIKAYYLPETEYVHWARAHPEYTKSQVVGLINLVASMKGWKRKTRLEILEKIE >CAK8535848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885595616:885596137:1 gene:gene-LATHSAT_LOCUS5268 transcript:rna-LATHSAT_LOCUS5268 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLPVISKRIWSMVRVAFFMLRKKLSKGQVMNNLNMMLRRRGKLAGKAIANLMFHHNQDSSSSRHFHNSFTSSREYKLSCSSFTPTQALPTPDDEAMTMRTMKTVLNMLNNDQAIVEASPVLSGFEHSPRVRQLRVTDSPFPLIDDEKDNQIDKAAEDFIKKFYRQLRQQD >CAK8537061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:72947309:72948418:1 gene:gene-LATHSAT_LOCUS6377 transcript:rna-LATHSAT_LOCUS6377 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPHKSPEEEAPIHGDVLEAIFSLVPLIHLVPSCHVSKAWNSAVFSSLRHIKQIKPWLIILSSRVKIAHAYDPRSDSWIKITYHQPLINKPRPISTVRSSNSTLLYTLSPSEFTFSLDALHLDWHQAPAPRVWRVDPIVALFGTRVVVAGGVYDFEDDPLAVEMYDMESRRGWIMCPSMPAMLKSASASTWISVAVVGEKMYMTEKNSGLTYSFDSVTMIWEGPYDLRPDENVFYSVTGTLSEKLTVAGLVGEAENVREVKLWAVKEELGSGMEEIGSMPREMVEKLKGDSEFGSVEVVWVGNFVYLRNTLVLDELVMCEVVNGKVCEWRSVKNAAVDGGTRMVFCGGDVRMEDLQKAVLSEKQTFCM >CAK8536799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22129676:22133230:-1 gene:gene-LATHSAT_LOCUS6131 transcript:rna-LATHSAT_LOCUS6131 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWIKNLFSGKKSSSKSSSSKKDDVFKSLSNKDSLGFSELTVSNPIPTVDSLLISPPISGANVAKGLFSEKEVVNRSSSHEREIVSSGVEEAQAPPVANFASQVDLETLTLTDAAIKLQAACRGYRARLEFQTQKAITQLQALIRGHLVRRQAVSALYCVKRIVKVQALARGYNVRRSDIGLEVLKIRKDTQCSKSIGAVTSTEAEKLPNNVFVHELLASSSPAFPLSLSSNLAEPYLAENWLDRWTRSHFWAPLPKLKKKHDLASVEKNISSQTVEKGQVKRNARKSPTVKANDGSTSGSNKQKQGLKKDSNHSLISAQEHEHPRKEIEKSSIKKTRMQNVSDRSEIVSEKRKHSSRPISDHTVADVSEQGPNSSSEKRKVITVPKSEESYPEKGLGKKALEENNDKPKKSINGGTIEQKGLGQKAPEDNNDMPKMSLNGDAKEENGLGQKALEDYNDKPKKSLNGGVKEEKGHGQKALEDINDKPKKSLNGGVKEEKVLGQKALEEKAHNDPTAVLQTSVKNVGDVKVGVSEDLNGGDKIISNNYQRRASLPANFNDQENELHNTPRLPSYMAPTESAKARLRGQGSPRFATDFLDKNSLTRRHSLSSSFNSKSGSFSPRAEKLVSLSSRGVARTDKSLSSSRDGTDKLTQPQWRR >CAK8573277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599922014:599922812:1 gene:gene-LATHSAT_LOCUS25745 transcript:rna-LATHSAT_LOCUS25745 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPRSNGELEVRVSWKRIRSYFAHLEHEEETRRSASCIEMTLVILTKRDSSFGIDTVTYEGVQDRNGKGDVTEERHVETERCVTNGHEIVRNVVWEKKIKSGKSAQRNILQIPHHVIKSYFLRGLDKNEMVDSDTREVYDVKCTLHKGKIV >CAK8565512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:251330174:251336782:-1 gene:gene-LATHSAT_LOCUS18721 transcript:rna-LATHSAT_LOCUS18721 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLSTLQTPRSTLSRFSIPSTITTFTSHSQTLPQASLTTQSPATSPPHSQILSTRHSLLSRNLSAVDLVRSHLTRLRLTEPHLRSFLHLPDDQTLIAQAHDLDRRIAAGEDVGPLAGVLVAVKDNICTADMPSTGGSRILENYRPPFDATAVKRVKELGGIVVGKTNMDEFGMGSTTEASAFQVTANPWDVSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDAIGCFGSSVADTGILLHAIAGHDRFDATSSKQEVPNFLSHFDFASSLESKPLKGLRIGLIRETIEDGVDAGVVSTIRAAASHFEELGCSVNEVSLPSFSLGLPAYYILALSESSSNLSRYDGIRYGNQVYADELGSLYGDSRAKGLGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIRNSFKEALDQNDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFIENGTAGLPVGLQMIGAAFDEGELLRVGHIFEQTLENGRFVPPIIADIVE >CAK8574197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668810857:668817901:-1 gene:gene-LATHSAT_LOCUS26563 transcript:rna-LATHSAT_LOCUS26563 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRNWNCATLYSRLVNSSSSSSTSSSLHNFTHLRSSFSSSSSPNLHLAPSVAASKSTDLLSLVRHYGNCYSELSKARLSLLVVATSGTGFVLGSGGAVDLSALSYTCLGTMMVAASASTLNQVFEVKNDAIMKRTSQRPLPSGRITIPHAVGWASSVGLAGTALLATQTNMLAAGLAASNLILYSFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGDISLNSLILPAALYFWQIPHFMALAYMCRDDYAAGGFKMYSLADMSGHKTAVVALRNSIYLIPLGFLAYDWGVTSGWFCLESTALTLAISAAAFSFYRDRTKERARRMFHASLLYLPIFMAGLLIHRRTDNQQFLEVNAEGFVKSSSSVESSDTDDKNGNQKIKGRQGNRARPPVAYASCAPFPFLPAPSYDIA >CAK8539010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501592157:501593662:1 gene:gene-LATHSAT_LOCUS8150 transcript:rna-LATHSAT_LOCUS8150 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPGHSPLHLSSPSPSISEFSIQNPKDSGSSIQPQKKQRNPTVLDEDTYAEALEKIIERDYFPDISKLRDRLDWLEAIKTGDPVQIRDAQLKIIERRRGGKVTPLNPLDSRNSHTPGSTFVRNFTPLDEFDGKTPITPGYTASVGDKEEVSAVDTSLGLDQFMGRYTSEDNHSFSKILERVNRKRKERFGYLNDNMNANAIEDEKRDRITDGYGSSYQPLSTLEGWNYTAKNLLMYHPADRGEVPLTEEERAVRIKASTKEIDRPNTRFHGKMDSRPKDDETIEVLYTPVAGATPVPMSFRDGDKLKKYDLEDLRKTPNPFYLESEKKAENGYSYVKTPSPAPGADESPFITWGEIEGTPLRLDMEDTPIDIGGSADGPHYRIPSAPARDAKAHSLSREAARNLRERSKMFRKPPLASPARGGSATPSMRTLSPAAQKFVRNAIAKSSSTVDETLRASYRGSTPALATPTRVRSVSRLGRDESLVSRSPSVREGSNPPW >CAK8539955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532351178:532352809:-1 gene:gene-LATHSAT_LOCUS9002 transcript:rna-LATHSAT_LOCUS9002 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRMCKEAYIVLHNFLIAHATTSNLYKSKFQEIQGGEIGIFLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTNFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCN >CAK8577252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549014685:549016286:-1 gene:gene-LATHSAT_LOCUS29374 transcript:rna-LATHSAT_LOCUS29374 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEEEHVQNLRSRATELFIREEWNQSIEAYSQFITLCTHHLSLPQPHFSSLKLRKSLCIALCNRAEAKSRLREFNSALQDCDHALQVDGSHFKTLFCKGKILLSLSRYSMALHCFRMALIDPQANGNVDFLGFWEKCRKFEFLSRTGFLDLSDWVSNGFLGKAPELAEYIGDVQIRKSEISGRGIFVTKDVDAGSLILITKAIVMERSILAGKDLSEDTQLVMWTNFVDKVIELVRKCHKTRDLIEKLSIGGKEDELDVPDVDLFRPESVCEVNSCEEIDMVKLLAILDVNSLTEEAVSANVLRKNNDCYGVGLWLLPSFINHSCTPNVRRLHVGDYLIVHASRDLKAGEELTFAYYDPLCPLNKRRDMSVTWGIQCRCKRCKFEVDILYCKKELKEIEIGIEKGMDVGSLVYKLEEQMKRWKIRGKEKGYMRASFWSLYSETYGSDKCMKKWGRRIPILDAVVDSITDAVGSDHRVLKIMNEELKKKASGSSGDGNLEMEKVFRLTREVYGKVMKKHAMRKLLELCNAD >CAK8566000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366524614:366525078:1 gene:gene-LATHSAT_LOCUS19169 transcript:rna-LATHSAT_LOCUS19169 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGVLEEILIRLRRSGRGVAGQGEIVLKRDFSDFIDNLDLVELSVVRSKFTRRRSDCDVSNRLSKILISSGLVKMWNCNGLVIGLRDVSDHCPVWLKYKVIDWGPKLFRFVKGWFEHGNFLDFVMKEWSSIKVEGKKTYIMKQKLKILKGRLK >CAK8542812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549787939:549789252:1 gene:gene-LATHSAT_LOCUS11616 transcript:rna-LATHSAT_LOCUS11616 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQSNLPPGFRFHPTDAELILHYLRKKIASIPLPVSIIAEVDIYKLDPWQLPAKALFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKTIVASLPSGGRSQENIIGVKKALVFYKGKPPKGIKTNWIMHEYRLVDNNKPIKLKDSSMRLDDWVLCRIYKKSKCAITSTESDTMIGEVEHADEAQYKETHFPITKNTTSPHQNTLMSQKSVSFSNLLDAMDYSMLSSFLSENNHSNNPSGIGSSSSFNNTENFNQQQQQSSQNNNFMSQNKNPLKHQLSNIDEDNNMLVYPSKKYLSSSCNFSNINTQYESYLTKQSLMNQQLVLGPHHQYQG >CAK8531430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:109392116:109392415:1 gene:gene-LATHSAT_LOCUS1223 transcript:rna-LATHSAT_LOCUS1223 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSTSPRLHRYNTTDHLTRTVSTYDGDQDLYPSDIELSPTSTTSNLLAVRVDPVEKVEQDAKENEEQSAVEIVEQQPTTREESFSFVRSDHPKGSAN >CAK8562056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:432401560:432406492:1 gene:gene-LATHSAT_LOCUS15589 transcript:rna-LATHSAT_LOCUS15589 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSSQPLPLTLPSISSSQSHSLPTFRCYHNSHSLLSNGAQFTKLSITHRRTSTILSSVVASVGNEDADLRVSSTQQLNDDNDNDDDDEEEEEEEEEEPTPQDLEYVAQIKRVLQLLKKNRDMLFGEVKLTIMIEDPRDIERKRLLGIEDLDGPTREDLVTALEEVNEGKIPKDKAVLQMLAEEMTAWPNLEVETKKKKSKKSLYAKTTDTGIDPKVVAKRLNIDWDSAADIEDVDTDDETEVPSVLGYGALYLVSAFPIIIGVSVVLILFYNSLQ >CAK8535919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891276403:891277275:-1 gene:gene-LATHSAT_LOCUS5335 transcript:rna-LATHSAT_LOCUS5335 gene_biotype:protein_coding transcript_biotype:protein_coding MNINITRNGNGGIPQACSACKYQRRKCGPSCILAPYFPHERQKQFLNAHKLFGVGKITNLLKTVPPEARDLTMSTIIYQSDMRALDPVGGCYRHIQNLQSQIDFYSAQLHFALQQIAICRAAAASSSSNHHHHYNDIVVPDDNNNNNHDNHDNEAIIIPPNYLHQQQLPPPPPQHFVEEQLDGIGMFQPQPQMQPQYVVDDVVGVNPNYVPLQDQDLDTWVNSIPLSLLSLQDNKKEDDEVDQERVGDDRLNDDQKPSFDLINEMNSSDIVRSTNDPRHQVDNYLYIIGS >CAK8563101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573696345:573696761:-1 gene:gene-LATHSAT_LOCUS16544 transcript:rna-LATHSAT_LOCUS16544 gene_biotype:protein_coding transcript_biotype:protein_coding MDHREKSKSEKSLCEQSMQVVVNILRLSSFSIAQTTLGVSTTRKSGKAKDSFSESDYSDNETKKEKLVSNQQFPASTRRSQEPQSRANPTYLIKSVGSNGSTEYMIHKERLKPKKEVCVDGLASDYISKIRNKLGRSV >CAK8573963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650536242:650539773:-1 gene:gene-LATHSAT_LOCUS26356 transcript:rna-LATHSAT_LOCUS26356 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLVSSLIRSSLRRSSSKPSISASTSRLTSQSRGSPCGYLLNRVADYATSAAAAAAPPPPPPAKKEVPGGGKITDEFTGKGAIGHVCQVIGAVVDVRFEEGLPPILTALELLDHETRLVLEVAQHLGEGVVRTIAMDATEGVVRGWRVLNTGSPISVPVGRATLGRIMNVIGEPIDEKGELKTEHFLPIHREAPAFVEQATEQEILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGEAHYETARGVQKVLQNYKNLQDIIAILGMDELSENDKMTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKENIASFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKESAASSS >CAK8568106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574055761:574055982:1 gene:gene-LATHSAT_LOCUS21101 transcript:rna-LATHSAT_LOCUS21101 gene_biotype:protein_coding transcript_biotype:protein_coding MFTELDKPYNRDELIHAMKNMKGNTAPGPDGVPASFYQNYWEIIGEDILSTTLNILKDMEDPQRFNSTYISLI >CAK8569070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668249971:668259584:-1 gene:gene-LATHSAT_LOCUS21961 transcript:rna-LATHSAT_LOCUS21961 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDMISGSNNKRTKEMEDGTDFDDNEMIFKLRKLHGVKKKVCLAPEEMCGNGGGENADSIGELVDVAQTDLGGMEDTLACFRKRLKGPKRERGSSDAGGNVSTLNVVAESSDGSLNVSSQYEGLDERSSLNKDSVCEGRGVGDNGSDLDMDMDMKVERPNVKLALKGIEDGDMSEGDLVVQESRNTPKDEKGVCVLLNVGMQHSSEETMGDSLPEMLRTAQSSFVSKSGTAVSSEQKCGRRGQNMNDGLSPDSRSASKTADGTRDLNIPDGPLADPCLPANICYGDSQPLSCERSEGICSPSDQKTTLQEIICNDGLKKCSVVLHDVEGITDTMSLPKTGERVSPIIEGELKKRLTADQVELCNIPSKCSDFTSKDKEKFSSCDYKPLARSSENMPCGNNHQVSEKALQGSSRHGVMSFFGCQPELDVGVYSETKSVSGRSCGEQNITDTKDEVQDFVSGDLLKKDSAVSGGCLHPTVSNETNKSELAVQPNHPEIPSETHNIPKDSEAFIPKCSLVLDPTQPSKNTFENTFLANGDCFHAKRDSEGASQNTIPEENENSAENDASVSEVANFDDKTSAVIRRKAKKRRHGDMAYEGDADWEVLINDQAFLESQGVDGERILKPRGKLESFLNVVEESESDAVAVSAGLKAHEAGPVEKIRFKEILKRKGGLQEYLDCRNQILALWGCDITRILLLADCGVHDTPSEDEPPRSSLIRDIYTFLDQCGYINVGIASLKDNIESSAKNNYTLVGEKGYEESYTASVADSEDGVSFIVGQTKKSDASIETKCDGIVGNAYLATEATEDKMNVNMVTMDVSNMTQHEERKSDDCQEKRGHGNVTSSEQRNESTCVKSVLGDQMGDILNSDSEVRKRVIVIGAGPAGLTAARHLQRLGFPVTVLEARSRIGGRVFTDRSSLSVPVDLGASIITGVEADVATERRPDPSALVCAQLGLELTVLNSDCPLYDIATGQKVPVDMDEALEAEYNSLLDDMVLLVAQKGDQAMRMSLEDGLEYALKRRRLERSRRSNKQNNYADGSFGSKRGIIHGKEMEEVLSPLERRVMDWHFANLEYGCAALLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLDIHLNHAVTNVSYGSTESGRGNKVKVSTSNGNEFFGDAVLITVPLGCLKAETIKFSPPLPPWKYSSIERLGFGVLNKVVLEFPSVFWDDAVDYFGATAEETSRRGHCFMFWNVRKTVGAPVLIALVVGKAAIDGQNLSSSDHANHALMVLRKLFGEASVPDPVAYVVTDWGRDPFSYGAYSYVAIGASGEDYDILGRPVDNCLFFAGEATCKEHPDTVGGAMMSGLREAVRLIDLFSTGNDYTAEVEAVEAALKQSDTEREEVRDIIKRLDAAELSNILYKKSLDGARILSRETLLRDLFLNTKTNAGRLHVAKQLLRLPVENLKSFAGSKRGLSILNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRKEKASSGGRKLSRQANVVDISKRKSINDPASGRPPLSMHHSTFEDKGSILTPAINSASIAHMKKSHGKQGSQQAANDLRCDVSSSKSQGSTGKIDTEMKDTHCAMSEEEKAAIAAAEAARAKALAAAEAYTSAEVRSNTQLQLPKIPSFHKFKSREQYSQNGESDIRKKRSGGILSGKQDCISEIDSRNCRVRDWSVDFSAACVNLDNSRMSADNLSQRSHSNEIASHLNFREHSGESVDVDSSLYTKAWIDSVDGEGIKDYHAIERWQSQAAAAGSDFSNPAIHLKHEEDSNACSRLPSWKHDGVANDSSISQITVNKENSKSHSHNSKSHSRGADRIKQAVADYVASLLMPLYKAKKLDRDAYKAIMKKSTAKVMEQSTDADKAMTVHEFLDFKRKNKIRPFVDKLIERHMEMKPDVKS >CAK8540965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36637163:36637498:-1 gene:gene-LATHSAT_LOCUS9919 transcript:rna-LATHSAT_LOCUS9919 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENSFKCHCMSEGHQRQMQIFGQNPTCIIEGYTEEFETTFLEHMKRSHRFSRVAATVVYNEYINDRNHVHMNSTE >CAK8579059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671044850:671045116:1 gene:gene-LATHSAT_LOCUS31032 transcript:rna-LATHSAT_LOCUS31032 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSYEAYEVDWDDGTNLQSDYYLSLTSTRGERFSRALKAVVESIIEFHFGNHIMDELFGRYAILVEDHLSKARATFINWIISLVKKH >CAK8567049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482412417:482413148:1 gene:gene-LATHSAT_LOCUS20137 transcript:rna-LATHSAT_LOCUS20137 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLGVVRPPPESCDERGKRLKSDQEPKTEPEQESESEPEPEPESETDSETEREIEKEWDRAWGWESIPLEDLTVSDLSSRFEDDPYAFAYSCPKFSYENKAAKKLREDGKKALADYRERSRNISPFDVTDVPSFGIICGTNFPRPVTITDDRRPQFVYLSELALDKYNRDNQVLNYEFQHVIKATMQFIPRTTYYITFQAKAKPIHDDGVQNYPATTFQARVRVLDREPSPVVESCSIKT >CAK8544991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717029784:717031958:-1 gene:gene-LATHSAT_LOCUS13627 transcript:rna-LATHSAT_LOCUS13627 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHPRYLILRPENGGFTDLFSNALSGNYSQFTSLPSPLPPTPTPTPDHRWVIFVSIFLRKFISLLAKPMDWTGRFLEFFLNLLSLNGYFFGLLHNLTHGKLVIPQRGSQTFVSTIGHLDARLDLKFLTQGQFKPQLRNRDLMDLCIMSSKLAYENPKLVQDIVTSRWNMHFVDFYDCWNDFQKQMSTQVFILCDKAKDANLILISFRGTEPFDADDWCTDFDYSWYEFPDVGKIHIGFLEALGLGNRDEPSTFYSNLQREDTELMNSTNGVRVGANCSSKSNPESLFSSIDSDEDQSFTYEKTPQEKTAYDVVRSKLRSLLQEHKDAKFIVTGHSLGGALAILFPTVLVVHKEMEIMERLLGVYTFGQPRIGNRQLGRFMEPHLDRPVPKYFRVVYCNDIVPRLPYDDKTFLYKHFGECLYYNSHYVEKDMKEAPNRNFFGMRFILSQYLNAVWELIRCLTMGYVEGAEYKEGWFRILVRIIGLALPGFSAHCTTDYVNSIRLGKESSPHMSSI >CAK8530550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29642946:29643674:1 gene:gene-LATHSAT_LOCUS408 transcript:rna-LATHSAT_LOCUS408 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPSSSRIERKFIERNRRNQMKTLYHKLNSILPHQTSKAISMPDRLEEATNYIKKMQINLEKMKEKKFLLETQRPNVNVKRSKKLMLESPKIEIRKIGLTLEVVLITGLNSQFLLNETIRILNEEGVDIVNASYKVNEDSVFHSIHCQVEEEFGNETARISERLNKLMHDC >CAK8530834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54105746:54105985:-1 gene:gene-LATHSAT_LOCUS670 transcript:rna-LATHSAT_LOCUS670 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTDVISSTTENLSSLISNCVTAKSLKHAKDLHSHLIKIALFFDAFLANGLIDLYSKCGCLESTHKAFDDLPNKTTRS >CAK8531474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:113068636:113071068:-1 gene:gene-LATHSAT_LOCUS1263 transcript:rna-LATHSAT_LOCUS1263 gene_biotype:protein_coding transcript_biotype:protein_coding MIQISPNATKYESSSSTMALSPRQIKPVVAVSTNININAKDSLLDNTGGTVQISSSRNNTGLKRRKNQAKKVVCIPAPAAANSRQTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNTSMKNTEASSQPQNDTTTTTTKPKEEHHQESDGNDSPVVNSVKEENMEDIEKLRIEMEEGDQFNDALSYKPCLMENNQSHEDFFAELGEIEADPLNLLFNQGFSGNSSNDIIHQRDHQSKSLDAFHLFDWSGDNNNTNNNSFEEPNKRR >CAK8539724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523109067:523115076:1 gene:gene-LATHSAT_LOCUS8796 transcript:rna-LATHSAT_LOCUS8796 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKLVVLGIPWDVDTEGLKEYMSKYGELEDCIVMKERSTGRSRGFGYVTFASVDDAKEVLSGEHVLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPQSVTEATFKSHFEKYGDITDLYMPKDQGSKMHRGIGFITFANAESVESLMSETHELGGTAVVVDRATPKEDDIKSTGRVSQGGYGAYNAYISTATRYAALGAPTLYDHPGPIYGRGEPRRRISKKIFVGRLPPEANSEDLRQYFGRFGRIEDVYIPRDPKRTGHRGFGFVTFAEEGVADRVSRRSHEICGHQVAIDSATPVDDAGPSENFMMSSMEPFGGYGGPLRNYGRMYGGLDYDDWGYGVPSGRPSRADYQEQLGRPSRADYQEQLGRPSRADWRYRPY >CAK8573274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599406799:599409146:-1 gene:gene-LATHSAT_LOCUS25742 transcript:rna-LATHSAT_LOCUS25742 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPPTKQINNNLNLAKPSSLHVETQPLEPSPLRKIMVVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAYIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGSIAVAIAVFLIGYAADLGHSFGDNLDKKVRPRAIGIFVVGFWILDVANNMLQGPCRALLGDLCAGNQRKTRNANAFFSFFMAVGNVLGYAAGAYSKLYHVFPFTKTKACDVYCANLKSCFFLSIALLTVLATSALIYVKETPLSPEKTVVTAEDGGSSGGMPCFGQLSGAFKELKRPMWILLLVTCLNWIAWFPFLLFDTDWMGKEVYGGTVGEGHAYDMGVRAGALGLMLNSVVLGATSLGVDILARGVGGVKRLWGIVNFLLAICLGLTVLVTKLAEHSRQYAPGTGGLQDPLPPSGGIKAGALTLFSVLGIPLAITYSIPFALASIFSSTSGAGQGLSLGVLNLAIVIPQMFVSVLSGPWDALFGGGNLPAFVVGAVAALASGILSIILLPSPPPDMAKSVSATGGGFH >CAK8572406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534532359:534533896:1 gene:gene-LATHSAT_LOCUS24977 transcript:rna-LATHSAT_LOCUS24977 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQLNPSDSKMVVPLNMWVLISNFKLAYNLLRRPDGTFNRDLAEFLDRKVPANANPVDGVFSFDVIVDRETNLLTRIYRPVEGDESHVNIVDLEKPVTAEVVPVLMFFHGGSFAHSSANSAIYDTLCRRLVGICNAVVVSVNYRRAPENRYPCAYEDGWKALRWVSSRTWLQSKKDNKVQIYMVGDSSGGNIVHHVALKSMDSGIQVLGNILLNPLFGGEERTESEKRLDGRYFVRVKDRDWYWRAFLPEGEDRDHHACNPFGPKGRSLEGVVFPKSLVVVAGLDLVQDWQLGYAKGLEKAGQNVQLLFLEQATVGFYLLPNNEHFSALMDEIKHFVSSDC >CAK8572975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574025045:574025620:1 gene:gene-LATHSAT_LOCUS25472 transcript:rna-LATHSAT_LOCUS25472 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKFIGVVFIVLFIVDLACAARLLGGGRGGGGGGGEGGGGGGGLGGRGSGYGSGGGEGYGGGSQGGGGGGRGGGGGGGSGNGGSGYGSGYGSGYGSGYGSGGGRGEGGGGGGGGGRGGGGGGGSGGSGYGSGSGYGEGGGYGQGGGRGGGGGGGGGGGGGGGGGGNGGYGSGSGSGYGSGYGSGSGGNE >CAK8573289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600926532:600927686:-1 gene:gene-LATHSAT_LOCUS25756 transcript:rna-LATHSAT_LOCUS25756 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALIQIGVVGCADIARKVSRAINLAPNATICAVASRSHDKASAFAAANGYPLTAKVYGNYEALINDPDVDAVYMPLPTSLHLRWAVLAAQNKKHLLLEKPVALDVTEFDQITQACDSNGVQFMDNTMWVHNPRTASIANFLNDPNRFGNLKSVRACFTFAADSEYLNKNIRVKPNLDAHGSLGDAGWYCIRAILIASNYELPKTVIASHKPVLNKDGVILDCGASLYWEDGRVATFHCSFLANLTMDITAIGTKGTLHVHDFIIPYEEKEASYYVGTETSFDDLVTCWARQPSKCTVKTDLPQEALLVKEFARLVGEIKYRNSKPEKKWAVISRKTQLVLDAVKASIQRGFEAVEIQE >CAK8538160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466257768:466261150:1 gene:gene-LATHSAT_LOCUS7390 transcript:rna-LATHSAT_LOCUS7390 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSPGYYSPPRRGYGGRGPSRRGYGGGGGGGGGGGGRRRESNNGSLLVRNIPLDCRAEELRAPFERFGPVRDVYIPKDYYSGEPRGFAFVQFVDSYDASEAQYHMDRQIFAGREISVVVAAETRKRPEEMRHRTGRPRGPGSYGGQRSSYHGRSRSRSISRSRSPPYHSGSRSRYRSRSRSFSPPPRRQGDYSVSPRRHVERPRSPRSPPRSPPVERDGDHKHRSYSPAFDNGADQDHSNGYAEKSMYKSEADRGQWKSSGQRTSRSPPGSRSRSAELSPRRGR >CAK8572430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536698729:536703889:-1 gene:gene-LATHSAT_LOCUS25000 transcript:rna-LATHSAT_LOCUS25000-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEDDESFGDFKFASFPNQSLPSTSAWGNFVNHSNHINGTTVSKPSDPFRVSPDPLGKTANKPRGGAIPLSIFGEEDDEPVSDSNSNDFFSNGGGVVKKGSDSNGSVGISDLISNLYYQQNGSALVSNVGPNSPKNLDVNDLNQDEDEDDDGWEFKSAERETGNENLNVKVETPKHGNGAIGVGALSDSSAAFSDKVGEWNLGFEFPPISASHSLQLDPKSESNETGAGFTMVNQAFGKLANAHSWPGSNQTLVEAPKKDNIYPTAIEAPTDDGGASHSTVDPSLASQSHQSNGWGFDFNFKSSSMGENGLFSESYFKTENNYDVNNKSNASPTNINVDSDVNLFESKDAVAEIGIKHEKPLIASENRREALPLSIFGDDMPDTIEHSVSQDLSPRAPVSPMQNNFNSLRSNLSINDLIWSLYSQSEDKTSPNVTPKANENQIRASPELSGSGLDHSDDFDDDFGDFKDASPETTLTQESAQNTSHYPTEVTENGLQTSLGVLNFDLINDNDGFEDDSWEFKDAISGKSTQDQASTIDHRDLLTQSSSKLEPSDCVEFFSTLKDELCNNVLFHLQNLKKARDVAALSGEDAKVKALEVEIQEFSQILHQHHMGVPVEYLSENYSPRNVNFNELLKVLKEPKFLPLESEYQLALRLSEAETDIKSAMELLKESASTLRILKLGSGEEQSNYLTIWSKIAVVCSQELQHGAYTWKEAVQKNVHDQLLSIPKGVRYVHALGEIYRVSEIVGASAKFHKPWILSGSIDCTSLFALLNECNSLWLASGLEEALSSISKNNNFDVNGISRDLVESIKYIHELDEHVLQTYIFSGEETMCQLSALPAGCIPGLDLVTWNGKHCFVKLANLWVNLISSDPPK >CAK8572429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536698729:536703889:-1 gene:gene-LATHSAT_LOCUS25000 transcript:rna-LATHSAT_LOCUS25000 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEDDESFGDFKFASFPNQSLPSTSAWGNFVNHSNHINGTTVSKPSDPFRVSPDPLGKTANKPRGGAIPLSIFGEEDDEPVSDSNSNDFFSNGGGVVKKGSDSNGSVGISDLISNLYYQQNGSALVSNVGPNSPKNLDVNDLNQDEDEDDDGWEFKSAERETGNENLNVKVETPKHGNGAIGVGALSDSSAAFSDKVGEWNLGFEFPPISASHSLQLDPKSESNETGAGFTMVNQAFGKLANAHSWPGSNQTLEAPKKDNIYLTAIEAPKKDNIYPTAIEAPKKDNIYPTAIEAPTDDGGASHSTVDPSLASQSHQSNGWGFDFNFKSSSMGENGLFSESYFKTENNYDVNNKSNASPTNINVDSDVNLFESKDAVAEIGIKHEKPLIASENRREALPLSIFGDDMPDTIEHSVSQDLSPRAPVSPMQNNFNSLRSNLSINDLIWSLYSQSEDKTSPNVTPKANENQIRASPELSGSGLDHSDDFDDDFGDFKDASPETTLTQESAQNTSHYPTEVTENGLQTSLGVLNFDLINDNDGFEDDSWEFKDAISGKSTQDQASTIDHRDLLTQSSSKLEPSDCVEFFSTLKDELCNNVLFHLQNLKKARDVAALSGEDAKVKALEVEIQEFSQILHQHHMGVPVEYLSENYSPRNVNFNELLKVLKEPKFLPLESEYQLALRLSEAETDIKSAMELLKESASTLRILKLGSGEEQSNYLTIWSKIAVVCSQELQHGAYTWKEAVQKNVHDQLLSIPKGVRYVHALGEIYRVSEIVGASAKFHKPWILSGSIDCTSLFALLNECNSLWLASGLEEALSSISKNNNFDVNGISRDLVESIKYIHELDEHVLQTYIFSGEETMCQLSALPAGCIPGLDLVTWNGKHCFVKLANLWVNLISSDPPK >CAK8577089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536238833:536241130:-1 gene:gene-LATHSAT_LOCUS29227 transcript:rna-LATHSAT_LOCUS29227 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPIADAPNETLNPEEEAPPTPHTHPQSSQPLKSPQIESNSTNPNPDHDTVMEDPQIADDPEPDAPTPTTTARRGNKRKKIGNKRTAAQKKRFEEKCLALVETLKPIPFKPAKALDFDSHQSLLERLGLWNFVHIEFDSAIRTDLLAQLILSYNSTQRCSYVNDVKVMVNRAELGRALKLPKKNPAGAGPIIAVDEVGAEDKAFLDLLVSNWILLQGDTFITTKEIAQQTKFIKDGTFEKVDWAGLMWSMLEKELKAPHPATCYYASHLQQLIKSQHKELLGETPVEGEGQEGAAKDEEEEGVAKDEEEEVEAKDEEEEEEVAVIRDEVAVIRDEVDGSGDVRMGGVEESKVHELEEHNIELSLKQEDNVETLQVEKEQDGEEQIMDFDQPKEEEQEIWLFDQKNCVGEPSLRPCHNSDMKGMVDYHQVKEDVEEEGQEQEEEEEDEDAEEDEHEGGFQLSPKFPMEGINSGARSPIQEMEAQNPFNSGIDLHDNSVGDFLSARDDPQMITGSSLFGNGHKREIDLDNHNFNHTLNGSNKRLRNDSPWSSKPLDFEGCMEQMEHWMGKARMMYASKDQAVEESSMNQQVLLDEIQRRDGMIEHLHKAKMEETQKRQIEVYRLEKELYMMQSLVEGYRKAAKETQKAFADYRARCPQADEPLYKDVPGSGGLVLSVTELEKERLKKEEEERVRLRELFRYFEKKCKDIEAEWMGEMGVLEEHLNRVDSLVNKLQALDDKVKNLKEVKAKHKIPLECAPLSEAEAA >CAK8531194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88258759:88262873:-1 gene:gene-LATHSAT_LOCUS1001 transcript:rna-LATHSAT_LOCUS1001 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQNLKPLIYAFVSRGTVILAEFTEFSGNFNSIAFQCLQKLPSTNNKFTYNCDAHTFNYLIDNGYTYCVVADELTGRQVPMAFLERVKDDFVSKYGGEKASTAPPNSLNKEFGPKLKEHMQYCVDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTDNLHHQAQDFRSSGTSIRRKMWLQNMKVKLIVLGILIALILIIVLSVTRG >CAK8563992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644326144:644327602:1 gene:gene-LATHSAT_LOCUS17342 transcript:rna-LATHSAT_LOCUS17342 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRNRYPFTPSQWQELEHQALIYKYMASGINIPPDLLFTIKRSYLDSTRLSPHQSQHFGWNYLPMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTNTDSVSAVAVATSSILSMTKNSTSLDTDSNTAALTPTSHHDSTTYHYPQQNSSYGSSHLQHSFLYNNNPPSSRPSFSFQDINTSAPMFLDSSGSSSHNNNNTDCRNRYVYGLREEVDEHAFFTEPSGTIRSLSASSMDDQWQLTPLTISSSSTSKQRSCSGLSNDQNEYSYLQLQSLTDNNNNNNSKQAHQDQSCYISGNEETFMKDGKEEPQKIFHRFFDEWPPKSSRNSWLDLDDKSSTTQLSISIPTSSHDFTTFSSTNQRDG >CAK8563991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644326144:644327602:1 gene:gene-LATHSAT_LOCUS17342 transcript:rna-LATHSAT_LOCUS17342-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRNRYPFTPSQWQELEHQALIYKYMASGINIPPDLLFTIKRSYLDSTRLSPHQSQHFGWNYLPMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTNTDSVSAVAVATSSILSMTKNSTSLDTDSNTAALTPTSHHDSTTYHYPQQNSSYGSSHLQHSFLYNNNPPSSRPSFSFQDINTSAPMFLDSSGSSSHNNNNTDCRYVYGLREEVDEHAFFTEPSGTIRSLSASSMDDQWQLTPLTISSSSTSKQRSCSGLSNDQNEYSYLQLQSLTDNNNNNNSKQAHQDQSCYISGNEETFMKDGKEEPQKIFHRFFDEWPPKSSRNSWLDLDDKSSTTQLSISIPTSSHDFTTFSSTNQRDG >CAK8536786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20468042:20470480:1 gene:gene-LATHSAT_LOCUS6119 transcript:rna-LATHSAT_LOCUS6119 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVDAAQSSRSTSGISKTTPSSLTIPSYSDKSNSSSLLPTPRSEGEILSSPNLKAFTFNELKNATRNFRPDSLLGEGGFGHVYKGWIDEQTYTAAKPGSGMVVAVKRLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCLEGDNRLLVYEFMPKGSLENHLFRRGPQPLSWPVRMKVAIGAARGLSFLHNAKSQVIYRDFKASNILLDAEFNSKLSDFGLAKAGPTGDRTHVSTQVVGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTIAGVDQNLVDWAKPYLGDKRRLFRIMDSKLEGQYPQKGAFMAATLALQCLNREAKSRPPMIDVLATLEQIEAPKQAARISLSEQQRVHAPVRRSPARNRTPLNVTPTASPLPSVRQSPRAH >CAK8560441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19453077:19453706:-1 gene:gene-LATHSAT_LOCUS14110 transcript:rna-LATHSAT_LOCUS14110 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIILSVVALLSYTSNANSFTNDFCVADLRDSKTPSGYPCKSETIVTVNDFVFSGLIPQSLKSPFNVGYKAATVNNLPGLNGLGISAVRVDFGINGTVPMHFHPDATELTIIVQGIITVGFITPTNVYAKTLKAGDVFVFPKGLLHFKFNSGPGYAQVFSALSSDNPRLHAVDRLLFGNMLGTPTIEKTTLLEAAQIKKLKAQFGGSG >CAK8538709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493469086:493470519:-1 gene:gene-LATHSAT_LOCUS7880 transcript:rna-LATHSAT_LOCUS7880 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQVVIIPHPGLSHLVSTLEFAKLLINRHNRIRITVLVMKFPNNTEPQPDLDIDSDSLHLINLPEVLLPPNIDRRSAVVNALLEAQKPNVKQAVSNLTAQEQQHGPLAAFVVDMFGTTMVDIAKEYSVPALVFFTSGVAFLGLMFHLHTLSERDNGDLTPFLQQKELDIPSFNNMVPSYSLPGFVLRKEWESFFINYASGLKKPDGIIINSFEELESHAVHSFFSHPDLAGLPTIYPVGPLLNPDLITDKIVGSNDIMKWLDDQPPSSVVFLCFGSMGSFDEEQVKEIAHAIENSGVLFVWSLRKLSPKGFRHPPSNYSLSELELLLPEGFLDRTAEIGKVVGWIPQAQILAHQAIGGFVSHCGWNSVLESIYFGVPIAAWPLYAEQQTNAFELVRELKMGVEVALDYRVEFNGERNYLLTADKIERGIKSVLDKNREVRKKAKEMSEKSKKTLLEGGSSYSYLGNLVDYIMNQV >CAK8539337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509684547:509685122:-1 gene:gene-LATHSAT_LOCUS8443 transcript:rna-LATHSAT_LOCUS8443 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPVRQERSNKCFVFFFTFFVICCAVLLVAALIFRLRNPEVKLTSTTLNQITYNVTSPSRSFNATILIYFSIWNPNFGGIFSYENSDVKLLYAGVKVGYMKIPDARVKERRTKHTDVMMNVKFPELILDENGNFTRRVYSGTLNLTGYVKFSGIVQIQWLKIVHKKETIELVCNLRIILTSRAIQGIQCQ >CAK8537205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:134539518:134544234:1 gene:gene-LATHSAT_LOCUS6511 transcript:rna-LATHSAT_LOCUS6511 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKKLSSPLGEAKVPLISPNEEDQEQTLTRKVLIESKKLWHIVGPAIFSRIASYSMLVITQIFAGHLGDLELAAISIANNVIVGFDFGLLLGMASALETLCGQAFGAKQYHMLGVYMQRSWIVLFICCIFLLPIYLFATPVLQVLGQPEDLAVLSGEVAMWMIPLHFAFAFQFPLNRFLQSQLKTAAIAWVSLMALLVHVLVSWLFVYKFQLGVIGTAATLNFSWWALTIGLYVYTVYGGCPLTWKGFSMEAFSGLWEFLKLSAASGVMLCLENWYYRILILMTGNLPNAEIAVDALSICMTINGLEMMIPLAFFAATGVRVANELGAGNGKGAKFATIVAVLTSLTIGLFFWILILALHNKFGYIFSTSKPVLDEVSKLSLLLAFTILLNSIQPVLSGVAVGSGWQSYVAYINLGCYYIIGVPLGFLMGWYFKQGVMGIWAGMIFGGTATQTLILCLITLRCDWEKEAEKAKLHITKWSDKKQELG >CAK8542588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528033044:528033471:1 gene:gene-LATHSAT_LOCUS11415 transcript:rna-LATHSAT_LOCUS11415 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLILIKDLEKGKQVWKILIRVVDLWIVKEKSGLQHFEMVIQDSQGDQIHVTTWNREFKDWSEQLNEHETYCLYNGEPVTNDGTFKVCPQQVETCLQWRNDCFEIANTGNIETPIQV >CAK8531528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121167854:121170271:1 gene:gene-LATHSAT_LOCUS1312 transcript:rna-LATHSAT_LOCUS1312 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFQGSYLLTKPPKTASMANSLTFNFISHPTSYFSSAKFSVQNKPRSLSLLVTNASKKDKKKDSHSFVPKPDEITGFFPESVLLKKKEVEENGKLLPQFEDEDERKLYESLALEVETDMGAELSRHYEIVYLIHEQHEDEVASVNEKVQEFLREKKGTVWRFSDWGMRRLSYRIQKAYNAHYILMNFELDVKYINDFKTLLDKDERVIRHLVIKRDEAITEDCPPPPEYSNFDDEDEEDDEEFDDEWDDEEEEEEEEEEEDDDDDDGGGGVIVKDDDDLGMLDSQMLN >CAK8544763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704934763:704935200:-1 gene:gene-LATHSAT_LOCUS13418 transcript:rna-LATHSAT_LOCUS13418 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIANGYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPTSDSRLEEVSFSSSNILDDRICRTSTTLATTYAYITNAL >CAK8578627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642259455:642259985:-1 gene:gene-LATHSAT_LOCUS30621 transcript:rna-LATHSAT_LOCUS30621 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPAIASHHPYSPAALHSQVSITIDFDKTVMGFFPLRISCMRYFVNPCYADFQIVDSWLKLHLDSMLDYEFSDTSLGRGIDRGFLDWYGPRGFGLRNGGFIASHDDLTLGPVLLVQKEKIPLSQRMWGTGPRKGSGPEMPV >CAK8536020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898948650:898949402:-1 gene:gene-LATHSAT_LOCUS5423 transcript:rna-LATHSAT_LOCUS5423 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGDQIGSSDAQSNDQKDETPQFCTQASLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSIYSIIGVDKKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPFFQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLASSSEASTKRTKNLASGAYSSSSNPQMSSEHDPSSSTLSRCPIGQKAAKRKEKEKLMEMSSTSNVKYDSLKDDFKKKI >CAK8568056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567145359:567146216:1 gene:gene-LATHSAT_LOCUS21053 transcript:rna-LATHSAT_LOCUS21053 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFTYATRWSSLGMNYDNCPHFSITQYRNLIDHLGQDDFIWRSYLGLEAIHEVNQYESAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDISCPPKCIPDKYHTSKVSDQWEYSPWTKYAKHECLEWRHHNHFILSDTVFPYERKQTIQYMNWYRKVSIGFILHPRYLVDPRQQASSSRPQQPAQPHFQPPTQPHFQPPTQPHFQPPT >CAK8566356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413060604:413061557:1 gene:gene-LATHSAT_LOCUS19491 transcript:rna-LATHSAT_LOCUS19491 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFQCFLKLFLLISAIHLSLAARNLNELVQDQSQLLHYHNGPLLYGKISVNLIWYGHFKPSQKAIITDFFTSISSPSSQPNQPSVSGWWKTTEKYYHLTSKKKASQLSLSLSKQILDEDYSLGKSLTSKHLIELASKGDNKDSINVVLTSADVAVERFCMDRCGTHGSSYSSAVPVKGKNYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQSPPLVSPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPSEAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASYNAHGDNGRKYLLPALYDPSTSSCSTLV >CAK8544524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690483234:690484137:1 gene:gene-LATHSAT_LOCUS13195 transcript:rna-LATHSAT_LOCUS13195 gene_biotype:protein_coding transcript_biotype:protein_coding MANTITTTSFLTPFHFHSPRCSIPTPNSAKAFTVPRRKAISLIFSTYILSDIGTSLAQQEQQQPRRFREYVDTFDGYSFNYPSNWIQVRGAGADIFFRDPYVLDENISVEVSSPSSSKFKTVEDLGPPEEAGKKVLTQYLTEFMSTRIGVKRESSILNTSSRVADDGKLYYQVEANIKSYASNNELAVMPQDRVVRLEWDRRYLSVLGVENNQLYELRLQVPENVFLEEENQLRQVMESFRANKVLREN >CAK8563087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572520090:572520401:-1 gene:gene-LATHSAT_LOCUS16532 transcript:rna-LATHSAT_LOCUS16532 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHTRRKVVTAMDVVYTLKRQGRTLYGFGG >CAK8542830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552129522:552130061:-1 gene:gene-LATHSAT_LOCUS11634 transcript:rna-LATHSAT_LOCUS11634 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKFLVPIKLIFFSILFFITINIANGQAQPNQSTLVFYLQDVGKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPVTLSPNSFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNVQYAGSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8576013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384690499:384692013:-1 gene:gene-LATHSAT_LOCUS28232 transcript:rna-LATHSAT_LOCUS28232 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGETFISENSGFGFQNTDLTRLIMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFYNNEVKYFQEKILDNSDVDQMFDSHEQSGLDYIEVYLLLCQTEHEVGETTDIDEIDVVDEEEEDPETMVDQMVNLFGSGDYTAMTPLQDIDEEALPLNQVYCPPQHMKNLKCSGDDTSSDYFYNPSQQTESVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKCYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPIIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIA >CAK8569827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12950367:12952964:-1 gene:gene-LATHSAT_LOCUS22636 transcript:rna-LATHSAT_LOCUS22636 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLTEVSQLFDRFKAAFLRNDFDSSSNLLSQLKVLLTGFKSLPPLFADTPNAVQELTIARDIYEHAVVLSVKIEDQDAFERDFFQLKPYYTDARNRLPQSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSTALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTIRDEIAGCSEKAYDYLSINDAKQMLLFSKDQELLEYINEEHPEWEIKNGSVFFQKAKESAPCKEIPSLQLINQTLSYARELERIV >CAK8535758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879708082:879709122:-1 gene:gene-LATHSAT_LOCUS5183 transcript:rna-LATHSAT_LOCUS5183 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHRLKEEFVRGVKKIVKRALKQPICKSEGGITCMCMNYKCRKISTETNVRLHLYRDGFQPDYWIWTQHEEVELNVDTGGGSNSSKHGRQADQFEAMYQIVYDVFRPHAGFSQANDNMEQDEFLKDEFPNEEAKQYYDKLISFNKPIYEGVTQSMLLISTQLLEIRSNWHVPQKGLDFVAQMLKSVCPVQKCFPENYYQASQLVSKLWIKVKKIDCCKNGCMLYYKDDSKLFVCTFCNALRYIPRKTGMGKYKDILVKKMFYFPIIPRLQRLYASTESAAEMRWNHMNKNSSNILRHPSDGKSWKYFDDVYPDFAREPRNVRSGLCLDGFTPYI >CAK8534982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799829549:799830919:-1 gene:gene-LATHSAT_LOCUS4472 transcript:rna-LATHSAT_LOCUS4472 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPINRNSFTFPYAKKWSVPGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMPYEGLDHQPNPDDVAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLPDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQTTHQYSPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFEQPYRPYSTHPPRQSYQNMGVALDYGSACDMGPPGYWGQMMQDLGDTPGPSQHNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8534983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799829549:799830598:-1 gene:gene-LATHSAT_LOCUS4472 transcript:rna-LATHSAT_LOCUS4472-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDVAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLPDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQTTHQYSPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFEQPYRPYSTHPPRQSYQNMGVALDYGSACDMGPPGYWGQMMQDLGDTPGPSQHNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8571455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422248322:422249619:-1 gene:gene-LATHSAT_LOCUS24120 transcript:rna-LATHSAT_LOCUS24120 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQSSSYDLSFKILLIGDSAVGKSSLIVSFISGSVEDIAPTIGVDFKIKLLTVGDKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILAYDVTRRETFTNLSEVWSKEVELYSTNQDCVKMLVGNKVDRESERAVSTEEGLALAKEFGCLFFECSAKTRENVDKCFEELTQKIMEVPSLLEEGSTLVKRNILKQRQEPQTSQDGGCCF >CAK8571621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:450354555:450354881:1 gene:gene-LATHSAT_LOCUS24263 transcript:rna-LATHSAT_LOCUS24263 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFVGKVQKSLSLCVPRKHALSYWNEDHATATTELADDVMKGYFAVLARKGDEARRFIVGLDYLTDPAFVGLLDEASEEYGFRQKGTLVVPCRPMELQSILDGRKT >CAK8544600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695088307:695089476:1 gene:gene-LATHSAT_LOCUS13260 transcript:rna-LATHSAT_LOCUS13260 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRIVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTDAPASVSSYTGFHIVGDLVALIDFLGVEQVFLVAHDWGAVIGWYLCMFRPERIKAYVCLSVPLLRRDPKIRTVDGMHHAYGDDYYICRFQEPGKMEAEMAEVGAAYVLKNILTTRKTGPPILPKGEYGTGFNPDTPDTLPSWLTEDDLAYFVSKFEKTGFTGGLNYYRNFNQNWELTAPWSGVQIKVPVKFITGELDMVYTSLNMKEYVHGGGFKEDVPNLEEVIVQKGVAHFNNQEAAEEISNHIYEFIKKF >CAK8574953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17640196:17641776:-1 gene:gene-LATHSAT_LOCUS27250 transcript:rna-LATHSAT_LOCUS27250 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNQEVRLLGKWASPFSNRIDLALKLKGVPYKYSEEDLPNKSEDLLKYNPVYKKVPVLVHNGNPIAESLIILEYIDETWTNNSLLSQDPYERALARFWANTLDDKILPALWNACRGDEKGSNKAVEEASEALKLLEDEIKEKRFFGGETLGLVDIAASFIGYWVDILQEIAGLKLLTIEKFPKVFKWSQEFINHPVIKEGLPPRDELFAFFNASAPK >CAK8579276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689524577:689525131:1 gene:gene-LATHSAT_LOCUS31233 transcript:rna-LATHSAT_LOCUS31233 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPFLFVFVMLFALTSAIPNKRKPHKPCKSLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAAVTTDAFEGEAYFRLGVDIKFFECW >CAK8571114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303230208:303230996:1 gene:gene-LATHSAT_LOCUS23807 transcript:rna-LATHSAT_LOCUS23807 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNQSTSIKYLFKYINKGSDRISVVIQTSDNTTCANKNHIDEIKQYIDCRYISPSEACWRIFVYSIHGRKLAVERLYFHLEGENYVYYKDFEQIGNVLLKPSVTESMFTACFEANKKFEDARLLTYGDFVSKFVYHKPSRSWKPRKKGYTIGRLIWVPQSTCELFYLRMMLTFKKGPLCYKDIKFVDGVQYNTFREACFAMGFLQDDREFIEEIKEAHVWGSDVFLRKLFVTMLLSSCMNRPEHVWNSSWFYLSDGILYE >CAK8542542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524962434:524965086:1 gene:gene-LATHSAT_LOCUS11376 transcript:rna-LATHSAT_LOCUS11376 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLTTIILACAIYCSSGETVIESKAQSDSVVWIVQLSDLHFSVHHPNRAKDFTNLAGPALSVINPSLVLITGDLTDGKSKDLLTMKQNEDEWVEYRNVMDDVIQRSGLHKSLFYDLRGNHDSFGVPEVGGSFDFFSKYSINGRLGRNGSVNSVTIETNERKHLFVGIDTTMSTGLRGPTNLFGHPTDQLLKDLDLELSHWDSRSEKPVTKIVFGHFPLSFSAASSSGRTLKDVFLNHSISAYLCGHLHSRFGKNLKRHHQLSNRFLSLQNFFQFNIHQNSFESTVNCSLKSLPKDFWEWEMGDWRKSTAIRILAIDKGHVSYVDLDFKSGAKHAIIVPTFPLDSRFMQTSSCHHNYECQSAASSSYETIRTLVFSASPLESVIARAYDLRSGNLELVLEADMTKHADEGNLYFAPWNYKAFEDTSPNRFWLQIESNDIMGRSTMTELRPFSINGHSFKISWSWKEFYVMGCQWASLYYPMLWSSLCFMFSFLLVPKALLVFQKNIYTYKNFIANKGLVNGVLWILQELCRVHTLWFGWIGYLFYLILFPWFVGQVFTEGKNMVYMTYMGWALETSNGKGKLEFVGSPDILVLVLPHILFVVLPAILVTSALTAERAIYRERVLAYSGKKEDDHDSNSRKVLLNKNHSGIISSFHLGNRRIRMLLCVLCLAICWKHFMNCRTLVKAYDMNPVLHLLGYGLAIPLLLASAVSETRNA >CAK8561651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:338555507:338556079:1 gene:gene-LATHSAT_LOCUS15222 transcript:rna-LATHSAT_LOCUS15222 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPQHLRRPHPLPPGPAMLHHDQVGPAMHHHALPPPFDLMPPPQAMEQKLASQHGEMQRLATENQRLAATHGVLRQELAGAQHELQMLHAHVGSLKAEREQQMRSVVDKIAKMESEVKAVEPLNMELQKARGEAQSLVVAREELMAKAQHLNQEIQRVHVDVQQIPALILELERLRQEYQHCR >CAK8537565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:397282109:397285499:-1 gene:gene-LATHSAT_LOCUS6851 transcript:rna-LATHSAT_LOCUS6851 gene_biotype:protein_coding transcript_biotype:protein_coding MADSILSLNPPKTLHRVQLSNPISQSTSISRTRITFKPNQFRIPPIRATMARKEGEQKPSFGDTFLNNTRKNEIFDSITASLSNCLSETNLHLTVPALKSKIRGKVRDIYDSGDYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFERTKHIVSNAVVSAPDKNVTIAKKCSVFPVEFVARGFVTGSTDTSLWTVYNKGNRNYCGNILPDGMVKNQKLPQNILTPTTKAADHDVPVTPDEIIEKGLMTKADYLEASEKALSLFEYGQQVASEHGLILVDTKYEFGKANDGSILLIDEVHTPDSSRYWIANSYLDRFQNGLEPENVDKEFLRLWFKSHCNPYEDEVLPDAPEDLVCELAWRYIFLYETITKSKFEVQSTEEPIHDRISRNVASALSSLK >CAK8564657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5495374:5498438:-1 gene:gene-LATHSAT_LOCUS17940 transcript:rna-LATHSAT_LOCUS17940 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELNGIVKAWDATVRKSATAKKRANSIFTPMSVAHVDDEDDDEQASSSSKELCHIEKIFSNGDFYTGQWLDRFPHGHGKYLWIDGCMYVGEWIQGFTMGKGRYSWPSGATYEGEFKVFYMDGKGTYMGSSGDTYKGSWVMNLKSGNGNESYPNGDFYDGEWKKGLQNGHGRYQWKNGNQYVGQWRNGLFHGNGTMMWQNGNRYDGCWEDGLPKGNGTFRWCDGSFYVGVWSKDPNEQSGMYNPSGSCDNHLEWDPQEVYTVELSDCTISPCEDVSIYPSEKILSLMGFDEGNNKMTKQSTRKKGTVANGKPRWSSVDGRLSNHSEEEGANGSGRSSTFGGLGGNSVQSWHKSPQLRITASKRQGETIAKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKSSAFDPKEKVWTRFPSEGSKHTPPHPSCEFRWKDYCPVVFRALRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLKMLPAYYKHVRAFENTLVTKFFGLHCVKLTGAAHKKVRFVIMGNIFCSQYTIHRRFDLKGSTFGRTTDKPEAEIEPTTTLKDLDLNFIFRLQKSWFQEFCKQVSRDCDFLEHERIMDYSMLVGLHFRETTSNGNVTPCSRNSGACTPSGFDDGGPRLSGVDIDNLIVDPSRWIQLGINMPAQAEMALRRSNCDTPQLVGEPTGELYDIIIFFGIIDILQDYDISKKLEHAYKSIQYDPTSISSVDPRLYSKRFREFIFRVFVEDTK >CAK8560045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6132341:6139962:1 gene:gene-LATHSAT_LOCUS13762 transcript:rna-LATHSAT_LOCUS13762 gene_biotype:protein_coding transcript_biotype:protein_coding MALENLFSFLLSSETKLRSFSWQPLLSPCLLEHVTLPLEFGFFVILLVQLLRSCMNNVAAKQNKLSEVNPNAIKFGFAYKISLFCTTLLFAVHALMLVLIINHDEPECTSKLQALTSEIAQVLSYAIVAIAICKMLKKPNAYFPWIVRVWWFFSFLLSIISTILHVHFSVENNGTIGVTEYADFLGLVSSTCLLVISTRGKTGIDIIATKNGSIAEPLLGEKNEKHSSDFVRESSPYGKATLLQLITFSWLNPLFAVGYKKPLQLNDIPDLDIKDSAEYLNCSFDESLRQVKEKDGTSNPSIYKAIYLFARKKAAINAFFAIICASASYVGPYLITDFVNFLAEKEIRGVKSGYLLSLGFLCAKMVETIAQRQWIFGARQLGLRLRAGLIAHIYKKGLHLSSRSRQSHSGGEIMNYMSVDVQRITDFVWYVNVIWMLPIQISLAVFILHTNLGLGSLAALAATLAVMALNIPLTKIQKRYQTKIMDAKDNRMKTTSEILKNMRTLKLQAWDSEFFQRIASLRSVEYSWLLKSLRQQAFSAFIFWGSPTFISVITFWTCMFLGIELTAGRVLSAFATFRMLQDPIFSLPDLLNVIAQGKVSVDRIASFLKKEEIQHDAIEYVAKDKTEFDVVVERGRFSWDPESTIPTLDEIELKVKRGTKVAICGSVGSGKSSVLSGILGEIYKQSGNVKISGTKAYVPQSAWILTGNIRDNITFGKEFDEDKYEKTVEACALKKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGTHLFQECLMGILKEKTILFVTHQVEFLPAADLILVMQNGRIAQAGTFEELLKQNIGFEVLVGAHSKALESVLMVENSSKTDLNPIPEGECITYSHSSSELLHTKLDTVQDNRPDNKGNNDGKLVKDEERETGSISREVYWSYLTTVKGGLLVPIIILAQSSFQILQIASNYWMAWVCPTKSDAKPIYDMNFILLIYMVLSVAGAFCVLLRAMLVLNVGLWTAQTFFTRMLHNVQRAPMSFFDSTPTGRILNRASTDQSVLDLEMANRVGWCAFSIIQILGTIAVMCQAAWQVFIIFIPVTAICIWYQRYYNPTARELARLAQIQITPILHHFSESLAGAASIRAFDQEGRFMRSNLVLLDGFSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVVLVSLPEGFINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYTNIASESPLVIENCRPPRNWPETGTISFQNLQIRYAEHLPSVLKNITCTFPGRKKIGVVGRTGSGKSTLIQAIFRVVEPREGCIMIDNVDICEIGLHDLRSRLSIIPQDPALFEGTVRGNLDPLEQYSDIEVWEALDKCQLGHLVRAMEEKLDSPVVENGDNWSAGQRQLFCLGRALLKKSSILVLDEATASVDSATDGVIQDIICQEFNNRTVVTIAHRIHTVIDSDLVLVLSDGRIVEYDEPSKLLEREDSFFYKLIKEYSSRSHSFNNLATQHVQNKE >CAK8562219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457321659:457323012:-1 gene:gene-LATHSAT_LOCUS15735 transcript:rna-LATHSAT_LOCUS15735 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQVIHMKGGDGEEGYANNSLLQRKVISLTKSLRDEAITNAYRNTKSESLGIADLGCSYGANTFLVIAEAIKAIEKFCQEQKQKSPEYIVYLNDLPGNDFNSVFTSFDAFKKNLISEVKNQMGPLYFFGAPGSFFDKLFPNKSLHFVHSSYSLQFLSKVPDGVDNNKGNVYLAKTSPPNVFKAYLEQFKSDFSSFLKYRAEELVEGGHLVVTLIGRIGEDPVYKDCCSIWETMAMGLNDMVKQGIIKEENVNTFNIPLYYPCQAEVKKEIDTQGSFSINYLETSEVNLSELDNWDGSDFTSKKPESLKDGGYNMANCFRAVAEPMLMGHFGESVTKEAFNRFTKNAADHMPKDKTKITNITMSLTRKP >CAK8562062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:434437816:434439496:1 gene:gene-LATHSAT_LOCUS15594 transcript:rna-LATHSAT_LOCUS15594 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIQFFPLNTGAKIPSVGLGTWQSEPGVVGQAIATAIKIGYRHIDGSPIYGNEKEIGSILKKLFDDGVVKREDLFITSKLWNTEHDPEDVPLALDRTLKDLQLDYVDLYLIHWPVAMKKEPTMEKESVGFNSENILQPNISSTGNASTEGSNPKNLVQPNLAGTWRAMELLYDSGKARAIGVSNFSVKKLGDLLEVARIPPAVNQVECHPAWRQDKLKDFCNSKGVHFSGYSPLGSPAWLKTSLLNHPILNEIAEKLGKTPAQVALRWGLQMGHGVLPKSANETRIKENFDIFDWSIPEDLFAKFSEIEQERLVRMDIFVDETYGGYKTVEELWDGEI >CAK8578647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643545423:643546513:-1 gene:gene-LATHSAT_LOCUS30639 transcript:rna-LATHSAT_LOCUS30639 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLRFMELKAKRTHRFIIYKIEEAQKQVIVEKLGEPSQGYEDFAACLPADESRYAVYDFEFITEGNVPKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEIGLDVFKSRAN >CAK8562045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430777394:430786711:1 gene:gene-LATHSAT_LOCUS15578 transcript:rna-LATHSAT_LOCUS15578 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSTPSNSHSLNLNLNISGKQSPLKLNANPSLHFNRRFRRFNATISCNSTNFNDDTKPQSQSEAIQLYSQIERLVTTSARQSQDAWGSADWTEVEGSWILKPKNSKPNFVVHFVGGIFVGAAPQLTYRWFLERLAEKGVLVIATPYASGFDHFFIADEVQFKFDRCYRTLQETVKELPIFGVGHSLGSLVHLLIGSRYAVQRSGNVLMAFNNKEASSAIPLFSPVLVPMAQSFGPLLSDIFSSPTLRAGAEMTLKQLGNVSPPIMKQVLPLVEQLLPLYMDLAKGREDFTPKPEETRRLIKSYYGVSRNLLIKFNNDSIDDTSTLAQVLSSEAAISSVLDMSIRKLPGDHVLPLQQALPDVPPAMANAVNRGSELLSNLTLGTPWETVAKEVGNTLGMDSTNILRAQVSKEMDILVDVISSWIASNAGPKLLRP >CAK8565810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337850810:337851881:1 gene:gene-LATHSAT_LOCUS18991 transcript:rna-LATHSAT_LOCUS18991 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGERGSKKELKVAGHGLKLTQRVPLQLPREMDSWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMLFGEMTITLDDVSCLLHLLIRGVFWSPQDISEALAVEWVVDYLGVSQRITQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWATAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLEKRGEN >CAK8571080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:281751796:281756315:1 gene:gene-LATHSAT_LOCUS23773 transcript:rna-LATHSAT_LOCUS23773 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKRGRFDAGVNGSAKKTKQEMDSISSGVGSKTKPCTKFFSIAGCPFGEGCHFLHFVPGGYNAVAQMMNLKPAAPPRNVAAPPPVSNNGSALQAVKTRICNKFNSAEGCKFGDKCHFAHGEWELGKPFVPSIDDHRQLAPTTVNRMAGRTEAPSGLGTTSFGANSTAKISVEASLAGAIIGKGGVNSKQICRQTGVKFSIRDHESDPNLRNIELEGTFDQIAQASNMVKDLLLTLSVSAPPKSAPGGPGALAPPGRNIKTKLCENFAKGSCTFGERCHFAHGAAELRKLGI >CAK8574490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711062:712283:-1 gene:gene-LATHSAT_LOCUS26834 transcript:rna-LATHSAT_LOCUS26834 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDALNGFSPISTPRISWKSRRRSASGRDLEVSSEDNATTPPGKPEDPSPNVEMLDTTEVSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFESANYPKGWLIGKKRKLVNVDVVEKMRRIAVQEMNRKDREIDGLNEQLEEDSRCLEHLQVQLVDERSKRSRLERENNMLQEQVNMLMNMIQETEQMADEGQEMGGEGQDEP >CAK8574491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711062:712283:-1 gene:gene-LATHSAT_LOCUS26834 transcript:rna-LATHSAT_LOCUS26834-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDALNGFSPISTPRISWKSRRRSAASGRDLEVSSEDNATTPPGKPEDPSPNVEMLDTTEVSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFESANYPKGWLIGKKRKLVNVDVVEKMRRIAVQEMNRKDREIDGLNEQLEEDSRCLEHLQVQLVDERSKRSRLERENNMLQEQVNMLMNMIQETEQMADEGQEMGGEGQDEP >CAK8573863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643042648:643046055:-1 gene:gene-LATHSAT_LOCUS26263 transcript:rna-LATHSAT_LOCUS26263 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAYDSPSTIHCSDADAHPPLPRSPISSVRSKIGKNKLMKELGQSSSNALKKLSSQIRKPSRRKTSPVNWFPRKKVDSFLERKIKMLQGVDGMSLTLDQTLGSSNPHYSRVLREKMAAREAANKAMEARRDALVEASWCRILRAARIPSDAAEAQLLKAEKTATEAFEAADAMGVIMFDLPDCPKKHGQIETPSIKGEGSHIHSFTASFETAFDVDKEVAAAVKTAFTKLAARPSFSKDEFKELLKKISEHPDTDESHQDLYELSSEYESESESELDPVSQKSENEFKSESELDPVSPKSEVKSEDLDSKILFPGIIERKSGRRQSLENKIKLVDMMIERLKCLQEDELSSLATIVATYGLNAALAEVHNTKQHNPAINFPARRMSSLGLRKSALDGTSRKDQVEPELPSLDKFLVKNVTRLEREICEAKKNQRNETILGKYSSGKSVDQTPTESIPDLGSILVKNYSKFEKDIKEAKIKSVKEKLGASRGKDHTEVPGLDKVLVKHVSRLEKEVQEAKKRAINENKNEALDMKENINLNMIDENKDGLEKVLAKPVHRLEKEKLEALARGSQVLNYRQRKNHGATNVGEWESLDKVLVKRASRPEKEKIKINSGEEWDIVQKSRQNKHLETNEEGSGLDKVLVKHKSRLEREKMAAAAQQQENSVSLSTAQTRRMARERELQDAWGGLSLGNSIKPSVSKVEQAAAQQQEKSVSFSAARHRAKERELEDAWGGLSLGNSIKPSVSKLEKEKADWIKAEAEERKQTMEGIR >CAK8565989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365283495:365283965:-1 gene:gene-LATHSAT_LOCUS19158 transcript:rna-LATHSAT_LOCUS19158 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGKVFTDGCNKGMAKEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEIFTVLNVFKVYKESFLGLPHEENWLKYEGFTLCHDDSIRRNKKGRPKSSRIRTEMDDVEK >CAK8576213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:426018929:426023428:1 gene:gene-LATHSAT_LOCUS28419 transcript:rna-LATHSAT_LOCUS28419 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSGTPATYSPSPTTGKTKSTLFKEDWIRPDGRGFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAMLYSDSGRLNCNVSYTTFSTPVRGQGSDHKEYSSMLHKALEGAIILESFPKTTVDVFALVLESSGSDLPVVISVASLALADAGILMYDLVASVSVSCIGKSLVIDPISEEENCQDGSLLITCMPSRYEITQLTVTGEWSTPKINEGMQLCLDACAKLAKIMRSCLKESASDAQDESS >CAK8531851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:164715455:164715907:-1 gene:gene-LATHSAT_LOCUS1615 transcript:rna-LATHSAT_LOCUS1615 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHRLLHQLSKVPNYNFHAKCEKLQIIDLSFADNVLLFTRGDNKSVQLLMDQLQTFSQPTGLVVNPAKCRVYFGGGGGGGVNVETKSDILASTSFLEGDLPFWYLGVPLTCKRLATHHYMSLVDKIVSQIRHWSSKLLSYAGRLQLNQ >CAK8541959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441912242:441918749:-1 gene:gene-LATHSAT_LOCUS10840 transcript:rna-LATHSAT_LOCUS10840 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALTVLVVILFLLLSSAYSFSRDEFPSDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNANMYKGDGDVACDGYHKYKEDVQLMANMGLDAYRFSISWSRLIPDGKGPINPKGLQYYNNLINELTSHGIQPHVTLHHWDLPQILEDEYEGWVSRKIVKDFTAYADVCFREFGDRVKYWTTVNEVNVFAMFGYGVGTVPPQRCSPFSIANCSRGNSSTEPYLAAHHMLLAHASVARLYNNKYRGIQHGFIGLNVLAISFVPLTNTSGDIIATQRAQDFWMGWVLNPLTFGDYPDIMKRIVGSRLPSFTKKESNLIRNSIDFLGINFYNTLSVKNYPESFNIKDRNFMQDMGAEVTILPENDTSIDAVLDSFKNDYGSLPIYIHENGLKTPHNSSLNDFSRIKYLHKYIETISKAIRSGSNIKGLVAGIFYFI >CAK8541960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441912883:441918749:-1 gene:gene-LATHSAT_LOCUS10840 transcript:rna-LATHSAT_LOCUS10840-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALTVLVVILFLLLSSAYSFSRDEFPSDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNANMYKGDGDVACDGYHKYKEDVQLMANMGLDAYRFSISWSRLIPDGKGPINPKGLQYYNNLINELTSHGIQPHVTLHHWDLPQILEDEYEGWVSRKIVKDFTAYADVCFREFGDRVKYWTTVNEVNVFAMFGYGVGTVPPQRCSPFSIANCSRGNSSTEPYLAAHHMLLAHASVARLYNNKYRGIQHGFIGLNVLAISFVPLTNTSGDIIATQRAQDFWMGWVLNPLTFGDYPDIMKRIVGSRLPSFTKKESNLIRNSIDFLGINFYNTLSVKNYPESFNIKDRNFMQDMGAEVTILPENDTSIDAVLDSFKNDYGSLPIYIHENGLKTPHNSSLNDFSRIKYLHKYIETISKAIRSGSNIKGYFVWSFMDVFEILSGYEESLGLYYIDLKDPNLKRQPKLSAKWYSNFLKNKPMNPMIIMEMESDASFL >CAK8534265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711373957:711394915:-1 gene:gene-LATHSAT_LOCUS3823 transcript:rna-LATHSAT_LOCUS3823 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKLIGFVGLDEIGLQIAASLFRHGYSIQAFEISDPIMIELVKIGGIRCASPSEAGKGVAALVVLISHPDQIHDLIFGDEGVLKGLKQDTVLILCSTILPSALHKLEKDLEEFQKIAYVVDAYASYGRSDALNGKVTIVSSGRSDAIARVRPLLSAMCEKLFTFEGEMGGGSKAKMVSVMLEGIHFIASVEALSLGVKAGIHPWIISDIISNAAGNSWVFKNNVPLLLKGEVKHQILNTLIKELEAILDMAKSLTFPLPLLATTHQQLIHGVSHACFEGDGDTTLIKIWDNIYGVKVSDAANGEAYNPEQVASEIIASSKSAKRVGFIGLGAMGFGMATHLVKSNFSVFGYDVYEPTRIRFSDAGGFIGNSPAEVSKDVDVLIIMVANELQAENVLYGEYGAVSVLPPGASIILSSTVSPAYVSQLERRLQNGGKSLKLVDAPVSGGVQRASLGTLTIMASGTNDALTSVGNVLAAMSEKLYIIKGGCGSGSGIKMVNQLLAGVHIASAAEAMAFGARLGLNTRLLFDFITTSGGTSWMFENRVPHMLNNDYTPYSALDIFVKDMGIVTRESASLRVPLQLSTIVHQLYLSGSAAGWGRKDDAGVVKVYETLTGVRVEGKLVSLRKDAVLHSLPPEWPQDHVLDIQKLKESNSKILVVLDDDPTGTQTVHDIEVLTEWTVDSLTEQFRRCPKCFFILTNSRALSSDKATTLIKEICKNLDTAAKSVDKIDYTVVLRGDSTLRGHFPEEPDAVTSVLGEMDAWIICPFFLQGGRYTINDTHFVADSELLIPAGETEFAKDASFGYKSSNLRDWVEEKTNGRILASSVVSIPIHLLRNGGPDAVCQHLSSLQKGSVCIVNAASERDMTVFALGMIKAELSGKRFLCRTAASFVSACIGIISKPPVLPKDLGIAEARNGGLIIVGSYVPKTTKQVEQLKLQCGQFLRNIEVSVEKLAMGSIEEREDEISRAAELADVYLKSHKDTLIMTSRNLITGKSASESLDINYKVSSALVEILKRITIKPRYIIAKGGITSSDLATKALGAKCAKVVGQALAGIPLWQLGPESRHPGVPYIVFPGNVGDSGALAEVVKSWTCPTSLSSTKEILKNADNGGYAVGAFNVYNLEGVDAVVSAAEEEKSPAILQIHPGSWKQGGIPLVACCISAAERARVPIAVHFDHGTSKQDLMEALELGFSSMMVDGSHLSFDENVAYTKFISLLAHSKDMLVEAELGRLSGTEDDLTVEEYEAKLTDVNMAEKFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKELHSLSQKKGVFIVLHGASGLGEKLVKECINLGVRKFNVNTEVRKAYMDSLGTPQKDLVNVMASAKEAMKAVVAEKMRLFGSAGKA >CAK8532257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:232445982:232449926:-1 gene:gene-LATHSAT_LOCUS1986 transcript:rna-LATHSAT_LOCUS1986 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHSSPSSSFSNDLTFDVFISFRGTDTRYGFTGNLYKALYDNGIRTFIDDKDLKRGDEITPSLLHNIENSRIAIIVFSENYATSSFCLDELVHIIHYFKTKRRLVLPVFYGVDPTHVRHQNESYGKALAEHEERFQNNMENMKRLQDWKMALTYAANLSGYHYNPGKEEYEYMYINKIVTEISKNINQVLLHVADYPVGLEPRMLKVASLLNVDCDNEVCLIGICGSGGLGKTTLTHAIYNLISTQFEGLCFLHNVRENSIKYGLEYLQEQLLSKSIGLKIKLGHVSEGIGIIKQRLQQKKVLLILDDVDKLKQLQVLVGEPSWLGPGSRVIVTTRDKNLLSCHGIKRIYELDALNEEEALELFKWMAFKSDKIDPCYDYILKRVVRYASGLPLALEVVGSSLFGKHIGEWEFALDKYERIPHEDIQKILKVSFDALDEEQQSVFLDIACCFKESRLAEVEEILHGHYGHCIKSHLQVLVDKSLIKISQRHWNYMDKVTLHDLIEDMGKEIVRQESPKEPGKRSRLWLHEDIVHVLKENTGTRKIEILHLNSCSTEAIVDWNEKAFTKMKNLKTLIIENVHFSKGERYLPTSLRVLKWNRCPLQSLSSSFWNKKFDNMRVLTFNNCKYLTDIPNVSCLPNLENFSFQKCHNLVTIHNSIGYLNKLEILNAKECIKIESFPPLRLTSLKKLELSLCKKLKTFPELLGKMTNIKEILLAGTSIKELPFSFQNLSELRELTIWETGMLWLSSNSFIMSRLSKITGEGSRLLFPKHNGKMRSIVFSNVEHLNIKNNNLSDECLPIMFTWFPNVKYLFLSGNNFTILPECLNECHSIRIFELDGCTALEEIRGIPPNLKKFYAIKCKSLISSSRRMLLSQKLHEAGCTEICLPIECDMSHMVPFWFEHQAQGQTISFWFRKRIPSIVVFYHPESFVLIKSGDNTALKVKLSVNNYQHTLPEDVLFVSHYHKMRFGHIFLFDLELEELVKRYHSYGKLMTKLDEALLKNEWIHAELKFESSSRFRSCQDVKIELSSRAEIGIHVLKEKRSREEDVIFTNPYRKRKSDEYLNPSLSQFHLPLEKHLVDMGISETQMLQQKRIALLSSMWNSVPTETKGKEHKG >CAK8537829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439576859:439577683:1 gene:gene-LATHSAT_LOCUS7083 transcript:rna-LATHSAT_LOCUS7083 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKEIIIREVWAYNLEYEFNLIRQAIHQNHFIISMDTAFPGVIHSLKIDHLRLQPSDYYRYLKANVDDLKLIQVGLTLSDSRRNLPDFGSNNTYIWEFNFSDFDVNHDLCNQDSVDMLRRQGINFERNICHGVDSKRFADLMFSSILVFKESIIWVTFNSAYDFGYLVKILTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMTKFCNSLYGGLEQVATILNVSRTIGKSHEAASDSLLTWHAFLNMMKTYFKDDEARKHARVLFGLEISAYNE >CAK8572019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501963335:501974478:-1 gene:gene-LATHSAT_LOCUS24628 transcript:rna-LATHSAT_LOCUS24628 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSEESGVGKSVEGISRGQTCTGEALAEWRSSEQVENGIASTSPPYWDTDEDDGGPKPSELYGKYTWKIEKFSQITKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCHYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFAFWRDIDQTSRRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTSKKGLVKLLDSEEITAPIVRVEKDMFVLVDDVLPLLERAAIEPLPPKDEKGPQNRTKDGNSGEDFNKDSMERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEASWQAENDQKTKRGVNEREKKSKKKQAKQKRNNRKVKDKAREERIIMAVHETSQEDNAVDEKMDSNEEAQTLSEKPDAVEDISDVSDSVDGVAEVLQPDSEDRDTSPVNWDTDASEVHPPSEASNNGISGVSSKQNGMSAKRCNSAIDDSSSTCSSDSLPPSVVMTDPYKGNTFSNCKVQKSPSRGKSRGKASCDTGGWTNQVKSQSSVAAAHAGVINKESGNGKVGKNESEGAVITLKDRLKWAEKHVVRKDEEVLSLQMKPNIQDKVVEIERLTDNGCLQKAVPSPPISPPRNSTVQMKLENKTSATLDPSHVTKTALSGGSQQTDKDPSSPLTSASQSTTESKTEIQKNSIARLTERSVARVPTISRPSSTPLVSGSRPAAPAVSMVQTSPPLARSVSAAGRLGPDPSPATHSYVPQSYRNAIMGNPATSTAASLTHSSSCSGVNPSPSYSQPSSLVSSSIFLSQSSDRMDANAVQSGVPFGMRTHDVLQNGHHWIESSQRESTRSMQYDQPSGLNGDQNHDLYRPLHSRSMGNMLTEFPACTSGRQNQALLVDEFPHLDIINDLLDDEHSIGMTSRTSLGFNSLGNGSQSLNRQFSFPGDLDANDDRGSSTSCRLERSQSYHHDHGFRGGYNSSHGHFDSLRDFIPQVRSMPYVNGHVDGLIPNQWQMAGSDLLYAGMRNTENGGYPYYPDYSNMASGVNGYNTVYRPSNGP >CAK8530441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21759512:21762249:1 gene:gene-LATHSAT_LOCUS306 transcript:rna-LATHSAT_LOCUS306 gene_biotype:protein_coding transcript_biotype:protein_coding MENITTTIAPSNVSDSTLICYTPTMTITNGVWQGDNPLDFSIPVFILQLTIIVLATRLFVFILKPFHQPRVIAEILGGLFLGPSVLGKHERFANVVFPPRSAMVLETMANIGLIYFLFLIGLEMDMTIVHRTGRKAVLIAVAGMILPFIVGIGIAFAFNDREGSVHAVSYVLYLGIVLSVTSFPVLARMLAELKLINTELGKLALSTSLINDMCAWVLLALAIALSEQNSTTLASVWVILSNICFVSFCFLVVRPAVTWMIKKTPEGKTFSEFQICVVLVGVMVSAFITDVIGTHSIFGAFVYGLVIPNGPLGAAIIEKLEDFVSGLLIPIFYAISGLKTNITLMRGDHSWAFIFTIVPLACFGKIAGTLVISNLFDIPTRDGVVLGLIMNTKGLIEMIVLNIGREQKVLGDQIFSTMIIVTIIMTAIISPIVTLIYKPRKRLIPYRKKTMQSSRVDAELRVLVCTHAPRNVPTIINLLEATHPNKRSPICAYVLHLVELTGRASAMLAVHATRQSGGPALNKTQAQTEHIISAFRNFEEHVSYVTVQPLTAVSPYSTMHEDICNAAEEKRVATIIIPFHKQQTVDGDMLETNPALRMVNHNLLQTAPCSVGILVDRGLNGSNRLTSNQASHQVAVLFFGGSDDREALSYGWRMSRHPKVNVTVMHFIQRKDETQTLNSDDDQLDDQRSLISNKLDEECINEMKMIVANDNSMSYIEKVVSNGEETVAAIRGMNNVNDLFIVGRGQGNSSSALTEGLTDWTECPELGAIGDLLASSDFETTASVLVMHQYVGQGPDGEDIFVGERPWQSSDDFNNLRQQHRGRYTTEPMGTITQTPQFL >CAK8565575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:282070075:282070996:-1 gene:gene-LATHSAT_LOCUS18774 transcript:rna-LATHSAT_LOCUS18774 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDNIQKGLENFQPSEGTSLNLVIKTNTLEIRHELQLSTEYNATIESIRHELQSMHPDDSHIVVLRHNVWRVKGLIQISRSIGDVYLKKVEFNREPLYAKFRLCEPFKTLILSSEPSISVHQLQPQDQFIIFASDGLWEHLSNQEAVDIVQNNPRSGIARRLVRAALQDAAKKREMRYSDLKDIDCGVRRHFHDDITVIAVFIDSNLVSRESNVKFPTISVRGGGMNLRPNTLAPCTTPIKASAT >CAK8538201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:469678081:469680923:-1 gene:gene-LATHSAT_LOCUS7428 transcript:rna-LATHSAT_LOCUS7428 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQLIQLLSPIIRFVQHRSKIRFLHHRMKSLFLSSSETPNLSSFHYLKIACCLREILFKGNMQLPHTSFQKMGVRVPPLKRQARSNFGFPFQIVLAVQCQECFPT >CAK8541254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98172889:98173158:-1 gene:gene-LATHSAT_LOCUS10186 transcript:rna-LATHSAT_LOCUS10186 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEYNVHAKAFRMARDLLKTNRFLDLKLKLICDIPEDRRLYNRPTISEVVALIVGDINSASHKDIMIQARDGNLQRIDEFHPAYLAYL >CAK8531225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91380487:91382147:-1 gene:gene-LATHSAT_LOCUS1031 transcript:rna-LATHSAT_LOCUS1031 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPKNVPNSSLIGSNSLVHDQNIDCGRSTMDPGSGGNSLANNSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDEGKKADKKETGDMLSSLDGSSGMQINEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEAPGSGIAAPTPGDMCQQELDNKTEPATPDPEKAAKDHAPAKSLSVESFSSHHEPMTPDSGCQVGSPSDSPNGERSTKKQRVSVEGEYSNPDMMLPHQILESSMPSYQQPVFLSRDHFDSALGMSTRSGEELDKLGGGRNM >CAK8566218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:392705880:392708234:1 gene:gene-LATHSAT_LOCUS19371 transcript:rna-LATHSAT_LOCUS19371 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTPFSLVILFFSLFMPTLISSSPLQDPELVVQEVNRKINASAVRRNLGYLSCGSGNPIDDCWRCDSNWEKNRQRLADCAIGFGKNAMGGKNGKIYVVTDSGDDDPVSPKPGTLRYAVIQEEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGINIHDCKQGGNAMVRDSPGHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCEDGLIDAIYGSTSITISNNYMTHHDKVMLLGHSDSYIKDKNMQVTIAFNHFGEGLVQRMPRCRLGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPNDRFSKEVTKYEDAAESEWKNWNWRSEGDLLLNGAFFTPSGGGTSSSYARASSLSARPSSLVGSITVSSGTLNCKKGSPC >CAK8565686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307240937:307242545:1 gene:gene-LATHSAT_LOCUS18876 transcript:rna-LATHSAT_LOCUS18876 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHSLALTTHAMDVGASTLSLWAFEEREKLLEFYERVSGARMHASFIRPGGVAQDLPLGLCRDIDSFTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGWVCWDSRRAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEM >CAK8561200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117306972:117307625:-1 gene:gene-LATHSAT_LOCUS14811 transcript:rna-LATHSAT_LOCUS14811 gene_biotype:protein_coding transcript_biotype:protein_coding MTINPPPSDTEVSALENKNLGRITQIIGPVLDIIFPPGKMPYIYNALIVQGRDMIGQQIRVTCEVQRLLGNNRARAVAMSAKDGLKRGMEVIDTGAALSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGEKMGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMK >CAK8533414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615311521:615315101:1 gene:gene-LATHSAT_LOCUS3039 transcript:rna-LATHSAT_LOCUS3039 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGLKSKKDENQDLQKQIGCISGFFQLFDRHRFLTGQTNSHNNIPNQGGTSNNIKEVNNVTQKAKNVKVARENQQCSAELSSGTSMFSSSCSSSMSSLEFNRAILIEPPPLITQMKIHEDSSSEAVVKQRDKSLDLHDIVKDSMRVDSQRLSVKTMAKQEKKSRVLKNVDSPRPMAAWDSPRLSYDGRDLQTALKSATKFKELPRLSLDSKERSIKSFNEGAKTRNLLKGPQRACGGSNAIGKQIEEPESAKRSSSVVAKLMGIEAFPDWNEACDSCITDKNETFIESRKDGLITNVTPYSEFSKSNESSTKASNRSLSVYGEIEKRMADLEFKKSGKDLRALKQILEAMHRYKESIDTTSDQASNSPYDNRSNSSVSESLSIQSPRTQQKHPTSVAVERPNSTQGSKSPIVIMKPAKGARKTNNFSSTESSIPGKSSSNKVYRGDVTNVKDPRDKCNKITSKLIQSSKVPRVIVAENNTSSSMTTETRSPRLQKKFGLERRSPPTSPSSDISGNRRQQNKQSTELSSPSKTSRQKFSTKKEKSESFSEIGYQRRDFKQVEAVSSSDIKDSSNENLSAESKATAEQPSPVSVLDATFYREDPPSPVKRKSDISKDLEEALNTCGISEEDSEDVALSSNTNTKVNFSDGTDDIDLITRNLVQVLQQFDHSDDERFTNFSDHKDPDHKYISEILLASGRLTSPGSSKALHFSGYPINPTLFLALEKIKTNTTNFNIAQTNNTQEKMQRKLVFDVVSEILFQKLIIESSYKPYHPEGRKPEGQQLLDMLCTEIDKLQHYNRNVDLANEDEYLTSIVSEDSVQHCTTTECCNEIPNLVLDIERLIFKDLITEVVRSERLTTLVSIA >CAK8543628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627699525:627701569:1 gene:gene-LATHSAT_LOCUS12376 transcript:rna-LATHSAT_LOCUS12376 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEEKGPLEDYTQDGTVDLQCRPVLRSKTGRWKACSFLVGYELFERMAYYGISTNLVVYLTNKLHQGTVESSNNISNWGGSVWLMPLAGAYVADAYLGRYLTFVIASCIYLMGMCLLTLSVSLPSLRPPECDKGVAFENCPKASPLQKGVFFLALYIIVLGTGGTKPNISTMGADQFDEFDAKEKSHKFSFFNWWYFSILIGVLFSTTFLVYIQDNKGWTLGYGLPTIGLAFSILVFLLGTPYYRHRLPQGSPMTRMLQVFVAAVRKWKVCVPEDTNELHELSMEEYACNGRSRIPHTSFFSFLDKGATKTGQSSPWMLCTVTQIEETKQMAKLIPISIFTIIPSTLGMHLFTLFVKQGMTLDKRVGHHFNISPGSLVSINILFTLIWIPIYDRIFVPFIRRYTKNPRGITILQRIGIGLVLYIIILVIACLIERKRLRVARENNILGIQDTIPLTIFILLPQFALSGIGDNFVEIAKLEFFYDQAPESMKSLGTACATASYGLGGFLSTFFLSTVADITQRHGRQGWILDNVNVSHLDYYYALIAVISILNFICFLIVAKYFVYNDVKYTRPTLEMNANSSQDIGTSQPDAKY >CAK8544870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709705543:709707701:-1 gene:gene-LATHSAT_LOCUS13515 transcript:rna-LATHSAT_LOCUS13515 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKSPKFAVMKKLVTSKAIKSYKEDVLNPEKKKKLDEKLPRNVPKHSSALFFQYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLLCIHKGTYADDCLVERVTGNKCFIVATCDRDLKRRIRKIPGVPIMYITKHRYSIERLPEATIGGAPRI >CAK8531583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127537847:127540315:1 gene:gene-LATHSAT_LOCUS1365 transcript:rna-LATHSAT_LOCUS1365 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFARTWRFSLVLLFLLGLFTINLIPTADAQIGICYGMMGNNLPPANEVIALYKANNIKRMRLYDPNQPALNALRDSGIELILGIPNSDLQTLATNQDSARQWVQRNVLNFYPSVKIKYIAVGNEVSPVGGSSWLAQYVLPATQNVYQAIRAQGLHDQIKVTTAIDMTLIGNSFPPSKGSFRSDVRSYLDPFIGYLVYAGAPLLVNVYPYFSHVGNPRDISLPYALFNSPGVMVQDGPNGYQNLFDAMLDSVHAALDNTGIGWVNVVVSESGWPSDGGSATSYDNARIYLDNLIRHVGKGTPRRPWATEAYIFAMFDENQKSPELEKHFGVFYPNKQKKYPFGFGGERWDGEIVEGDFNGTASLKSDM >CAK8561470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241073790:241076051:-1 gene:gene-LATHSAT_LOCUS15058 transcript:rna-LATHSAT_LOCUS15058 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWMNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSMNCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDVSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLKTLETSDPYYINDSDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDAYICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAIMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARTQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFVMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFRFHGFVPLTKNLED >CAK8563208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582910518:582913212:1 gene:gene-LATHSAT_LOCUS16642 transcript:rna-LATHSAT_LOCUS16642 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENKEVNMKHSYNFFSLFILLLLHLLFIPSTCVYDSNSDYSFTPSVKYLIDCGSSFKTELQDGRIFKSDRETTSFLSTTEDIQISVDSINLMNPSHSSALPLYQTARVFTEDTTYTFYISQTGRLWIRLYFFPLPNPTYNLSSFVFSVHTDRFVLLHEFSQSNNDSLIFKEYLVNVSDHRFSLKFKPKKSSFAFINAIEIVSAPDILISDSAIQVFPPLGKFNGLMNSALQVSHRINVGGPMIAPGNDTLSRTWEPDAPYNIFPQGSVSVSVPDKRIKFSQTSDFLVTPLIAPNSVYATCVKMKDPEVMRPNFNLSWMVNVEEKYSYLIRMHFCDIVSRGLDQLYFNVYINGIEGMSALDLSYETKALSTAYYVDFVIDSSNITDGSIVIQVGPTNHKQDISNAILNGFEVMKMSNGADSLDGFFSVDGEYRGPSLTTKLMKLIAIIGFSLAVISLLFILVMYVRWLRRPLCWEENRNFFSWLLPLHSKCNISCSIYSNKYDSPKSKHGGGHSIHHSPRRGSKRFFHFIELQRATSNFDEKKVLGVGGFGKVYLGTLDDGRRVAIKRGSGSSGQGMNEFITELNMLSKLRHRHLVSLVGFCDENSEMVLVYDYVSNGPFRSHLYGSNFSPLSWEKRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDENYVAKVADFGLSKTIHDKSQISTAVKGSFGYLDPEYFRSQQLTQKSDIYSFGVVLFEVLCARPVICSTLPMEQANLADWVVKQHKIGMLHKAVDPHIANTINAESYKIFVQLGVKCLSERSKDRPSMGDVLWNLEQAYQIQIASPHGDDTISNAFQKANESDINSDNSHGVTRVEHNRLSSGSLVFSQIDNFQGR >CAK8576384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469040375:469044717:-1 gene:gene-LATHSAT_LOCUS28574 transcript:rna-LATHSAT_LOCUS28574 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKNIRCHHCAGPLSKEMETSKWTVAPLIRDSFSMIGSAVGGTTSAFYGFNHVMPVVHRWVKGPMWVHFLVGTPPVIVFSSVCAGLAGGAVPALAQLVSSSYHAAQSSPPSEDDKIQKSRTSSTL >CAK8533691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650615311:650615883:1 gene:gene-LATHSAT_LOCUS3295 transcript:rna-LATHSAT_LOCUS3295 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEGYAIELYMDPALENQVLKAWNVLARRQISTELIEIGSRPHITLFSTPFLLEPSKLESLLKSLTSKFDPFSLSFSSISSFSNDNNVLFLSPTPSISLLNFHSHLVDAVVKEGIEVSEEFLVGNWIPHCSVAKRVPKQRMAEAFSLLRDLKLPVQGYAVDVALVQFSPVREIFSFVLGNNSNIIEN >CAK8543626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627650969:627651358:1 gene:gene-LATHSAT_LOCUS12374 transcript:rna-LATHSAT_LOCUS12374 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSLEMVSHSIRELVNRDASLKVKVIIVHILEKYRYIISYKKAWIAKCKAVESMYDNWETSYNNLPQWILVMKTFLPGTIIDLQTLPAISSDGSQISGKRIFHRLFWAFRPCIRGFAYCKPIV >CAK8571533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435329450:435331087:1 gene:gene-LATHSAT_LOCUS24185 transcript:rna-LATHSAT_LOCUS24185 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVRPPPLTSTSEPPPLFDGTTRLYISYTCPFAQRVWITRNYKGLQDKIELVPIDLQNRPAWYKEKVYSENKVPSLEHNGKVLGESLDLIKYVDANFEGTPLSPNDPDKKEFAEQLLSHVDTFLKDLFSSFKGDTVQQASSALEYLENALGKFDDGPFLLGQFSLVDIAYIPFVERFHIVLPELFKYDITEGRPKLATWIEELNKIDAYTQTKVDPQETVDTYKKRFLPQQ >CAK8572773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562135901:562136386:1 gene:gene-LATHSAT_LOCUS25300 transcript:rna-LATHSAT_LOCUS25300 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAVPLLLLTAALTVSSAPTAYEALRNFNFPEGILPQGVTGYKLNESSGNFRADLNGSCRFSLQGSYQLRYNSTITGHITDNKLTDLRGISVKVLFFWVNILEVARKGDDLDFSVGVATASFPLDSFFVSPQCGCGLDCDTLRIRKLKLNTKNPSLSSA >CAK8573956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650276363:650276875:1 gene:gene-LATHSAT_LOCUS26349 transcript:rna-LATHSAT_LOCUS26349 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAPPLIRNAKATRVILPTGEVKQFREIMKAAELMLENPNYFLVNSRSLHLSTRFSPLAADEELEFGSVYIFFPMKRLNSVVTGADMAVLFLAANSAAKRLRTGKTRVQPEESTGGGVENDEKECVPRLSLEGVESGFSYRLSYCRSKKPILETINEEPIRSR >CAK8533907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670345173:670345766:-1 gene:gene-LATHSAT_LOCUS3494 transcript:rna-LATHSAT_LOCUS3494 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQDFIPFVKWLVNYFINKKQEEILVLQRPWENIRGEIMESPFPPEQTISLSQNTEVTPYLSLPLQRVEQSQVTLKELNSVIRSQNYTNAYLVFLGEQFISMEKDLLSIKDLLEKQIERQNIIIDHINKPKDQISTSTISDVPIVQPPVSIEGFKMETNGEEFVRILEQKLKGLNITVMSHEDYSKDNNDNHIDF >CAK8566788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462824730:462825200:1 gene:gene-LATHSAT_LOCUS19895 transcript:rna-LATHSAT_LOCUS19895 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVISLIILFVGIAILVSIHFCIVGRAFTRDNNSADIQVQSSTSRTTKGMFGDNIGDLKNLPCFDYVEQEKGNNNNNNNSNVVDCAVCLESFNVGDACRLLPNCRHSFHVQCIDLWILKKPFCPICRTWVHSRVVMREESTVSDSDIVEIEMP >CAK8543306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599179721:599185007:-1 gene:gene-LATHSAT_LOCUS12072 transcript:rna-LATHSAT_LOCUS12072 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDLFFWLLICFPFNVALLASAFYQVLMLSDLEADYINPYDASSRINYYVVPEFIAQGLFCALFLLTGHWFMFLLMLPLASYHGMLYVKRQHLLDVTEVFRVLNAEKKFRIAKLAFYLIMVIITIFRLVLLAVFYLDMDDE >CAK8574648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5112531:5114433:-1 gene:gene-LATHSAT_LOCUS26975 transcript:rna-LATHSAT_LOCUS26975-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITEGGNSINISDSINKNRIHVSNSKKPIFFFVNLAKRYMQQYNEVELSALGSAIASVVSVAEILKQSGLAVEKKIKTSTVILKDNSRARPLQKARIEILLEKTANFDELMAAAAAVAAEKAEKAAAEKGEKADKEKGEKAAAAEKGEKADKEKGEKAAAAENGENADKEKGEKAAAAENSENADKENGEKAAAENDEKEDKEEHTA >CAK8574649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5112531:5114433:-1 gene:gene-LATHSAT_LOCUS26975 transcript:rna-LATHSAT_LOCUS26975 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITEGGNSINISDSINKNRIHVSNSKKPIFFFVNLAKRYMQQYNEVELSALGSAIASVVSVAEILKQSGLAVEKKIKTSTVILKDNSRARPLQKARIEILLEKTANFDELMAAAAAVAAEKAEKAAAEKGEKADKEKGEKAAAAENGENADKEKGEKAAAAENSENADKENGEKAAAENDEKEDKEEHTA >CAK8570978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:214671943:214672611:-1 gene:gene-LATHSAT_LOCUS23682 transcript:rna-LATHSAT_LOCUS23682 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEALYGRTPPSPPLNHPGSVYLEMVDLSLQNRNDIINKLKLNLEHSRKLMQIQSNKKRSDISFSEGDPVLLRLQPYRQVTVQRRVSHKLSKRCYGPFKILRRIGKVAYHLDLPSTSRIHPVFHVSQLRKFYDMDPPSSFTPIPSEFENENKTRSPSDIPPQPTTKYDSGTLNETDNQDEEHARPDQETQTQAPHLTKVFSDVPITANTMKKTVIPQILP >CAK8535741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878903890:878904328:1 gene:gene-LATHSAT_LOCUS5169 transcript:rna-LATHSAT_LOCUS5169 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAPINLNPYHFPYATKWSAYGMNYDKTPHHCAPGYRMFFDHFEEDIFIWRPYLKLEDEDPTESDMWSSTTFIFCFTFVEIHHSDRVKLQFGIQ >CAK8543313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599667676:599668272:1 gene:gene-LATHSAT_LOCUS12078 transcript:rna-LATHSAT_LOCUS12078 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGTTQRIPTSSKPSSLTTNASEPKGPHEKPFADFKFYCPINIPLTAEAAASRIIRNLGNLGLYYTLFIWIILFITLIPNRKVSLILLVIMTYVTTLYCLLLRACPNSVLLHRIIDKRIILSLLFIATAIQLILTRAGIHFAVTMTCSVPVVLLHAVLWASSCELAYETEEEGSCKEELAPFTSGHNDSEAQNSDV >CAK8541913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431674803:431677958:-1 gene:gene-LATHSAT_LOCUS10801 transcript:rna-LATHSAT_LOCUS10801 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQEEQRTWLLENGNPKILNNDSRNGNGRSRRAHNFSSASLRKKSKFPLVSHIRWGSLRKVLANIQEVILGTKLSLLFPAIPAAIVAHYLRFGQSWIFILSLLGLTPLAERVSFLTEQIAFFTGPTVGGLLNATCGNATELIIAIFALSTNKIAVVKYSLLGSILSNLLLVLGTSLICGGIANIRVEQKYDRRQADVNSLMLLLALLCLMLPMLFTYSAASPELTVEPSLYLSRAASIVMLVAYFAYLIFQLWTHRQLFEAEDEGEGENNEEEEEAVIGFGSGFAWLAGMTVFVAILSEYVVDTIEDASDSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIAMFVVPLCVIVAWGMGVKMDLNFNFLETGSLAVAIIVTSFTLQDGTSHYMKGVILLLCYFVIGACFFVQRFPSSPNKIPTSLLNHS >CAK8570801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115962293:115962994:1 gene:gene-LATHSAT_LOCUS23522 transcript:rna-LATHSAT_LOCUS23522 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLDFDALKDMQNSANDLLHSPIVQQELVNQREEKWVNYVSESSLRILEVCGMSKDVLLFVKEHLQELQFTLRRASIGEPGIEEKVTSHNCYRKKLKKETLKCLKWLKGVKSKTQALHPPMKEQKLTLVVDVLREVRMTSISIVESLLSLISTPWLESKHGGKRTFASKFVRGSLQNCDDDIFDTMMLQSAKKRLAGVQIAIEDLEVELECMFRRLIHTRVLLLNILTNCEA >CAK8576080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:401337897:401338316:-1 gene:gene-LATHSAT_LOCUS28296 transcript:rna-LATHSAT_LOCUS28296 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGVPYYGRLVDIIVLSYDGFIVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHYGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8542437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:513449396:513451973:-1 gene:gene-LATHSAT_LOCUS11277 transcript:rna-LATHSAT_LOCUS11277 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSLLHSSGSSFLPQFRTFPTQFSSLFSHGNNRHGCGVVSVKATVSGTVLVEKSEAEKVYRLKTTYNEKIVPLLMEEFSYTNIHQVPKVDKIVVNCGIGEAAQNAKGLDAAINDLALITGQRPVKTRARISVATFKIREGQPLGIAVTLRGKVMYSFLDRVINLGLPRTRDFQGLNLSSFDGNGNFNIGIKDQTVFPELKSGFGTARGMDVCISTTAKSDQEGQKLLALMGMPFREEVEVSQIVRKKKLKSHHFDAKSRGRGDRARK >CAK8563273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588417727:588417957:1 gene:gene-LATHSAT_LOCUS16701 transcript:rna-LATHSAT_LOCUS16701 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSGHHPNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8570137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25153601:25156023:-1 gene:gene-LATHSAT_LOCUS22915 transcript:rna-LATHSAT_LOCUS22915 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQQKASEWSGVPTNEASSIDETNLFQKHGLQTFINLSTNFYNRVYDDEEEWFRSIFASSKKEEAIQNQYEFFVQRMGGPPLFSQRRGHPALIGRHRPFAVTHQAAERWLHHMQQALDTTPDIDDDSKIKMMKFFRHTAYFLVAGDELKNPNQQMPCKHCKH >CAK8561916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409711486:409712037:1 gene:gene-LATHSAT_LOCUS15463 transcript:rna-LATHSAT_LOCUS15463 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVATDVAAIEIHVVGLPPLGKKRKPNANGPRKSSPAWDHFIKLPNETEPVAACKHCHKKYLCDPKSHGTSNMLAHTKICTKRPQNDPTQTAISFASGEGGGLVTASQRFNLAACRKVIALFVILDEHSFRVVEGEGFKLLCKQLQPQLTIPSRRTVARDCFQLLLIKK >CAK8578792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654976272:654977309:-1 gene:gene-LATHSAT_LOCUS30776 transcript:rna-LATHSAT_LOCUS30776 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETEKEISSDNKEAEAALQKKHLSMLDRLSKRQQSRSTNSTEPSTESTSSFFSRFSQLKSSIESLLAESQSIASDPSQLKSHFDKISESISDLEKLVAQSSYFLPSYDVRSSLKTVSDLKRSLDNLSSELIPKKKFSFKNKASKKERDSVVPESKTVRDSVQPSYVARDSPGFRNKIGEVLVGEFKESEIGEFTISDLDSCEVRIIGCIRALFIHRLKDCRVYVGPVTGSILIEEAECCVFAIASHQIRIHGARKSDFYLRVRSRPIVEDCNGVRFAPYCLSYGGIEEDLSGAGLDVETGNWANVDDFRWLRAVQSPNWSILPENERVGIVDISNSESMKEEI >CAK8531110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81648861:81649464:-1 gene:gene-LATHSAT_LOCUS922 transcript:rna-LATHSAT_LOCUS922 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDVQVDELQPPTYKNGHGRPRKVRIRECGKKCARKRRPGVAYKCTKCDKFDHNTLTCNSLTRDPNALKIKRKPKVEKNPVNVEDSVMPTDVEATVVPIDVKTTSMPPENNPIMQTQVSTVMPPENNPTMQTRVSTVMLTVQSQTESCVVDTSQSQAKKRKKKISH >CAK8565954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358590263:358592110:1 gene:gene-LATHSAT_LOCUS19127 transcript:rna-LATHSAT_LOCUS19127 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCTNRKLSQTGLIRNHEYGRREELQHEVSDLLVFSATDDVIAFKEAVEKEHHDVNEVGLWYGRRIGSKELGYEERTPLMIASLFGSKAVLSYILETDRVDVNQACGSDGATALHCAVSGCSAASSEVIKLLLDASADVNSVDANGNRCIDLILMMPNSISGSKKRLLQAILEGIDDDCDFFKEVCFQMEKQQQDIGTPRIEKKDYPIDISLPDINNGIYSTDEFRMFIFKVKPCSRAYSHDWTECPFVHPGENARRRDPVIYQYTCVPCPEFRKGSCSKGDSCEYAHGIFECWLHPAQYRTRLCKDETQCTRRVCFFAHKPEELRPLYASTGSALPSPISYSNSPSASSMDYFALSSPSSSTQSMPTPPLTPSPSSSPAGGTMWPTQSHAAVPTLQLPRSRLKTALNARDDTEFLELENRLMQKLMIEEMTGLSFPSNRLAGVNPANLDDILDSQMQSPTSNYVHPNVTQQLWGFSSDLTNSNVIGSPQITVDPSVNSKPNAMSKRSQSFIERNSMSSFSSELPSATSVAMEPYTNFSGWGSPDGKLDWSIRDDELKKMKKSHSIVIKNQSCNSTMVAQNGDDQDVLLSQESWANSLVKDAPTMELNQYCVAV >CAK8536942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41444628:41445704:1 gene:gene-LATHSAT_LOCUS6265 transcript:rna-LATHSAT_LOCUS6265 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYLFEFLLFLLLFILNPSTLSAYIPRFSPSVGKHLTNKIKGHDHYTWKNTLDKFKKLHPPDAQPAKVIKGLSEIKQYFFDFGYLQQSAPFNDTLDDVTISALKTYQKYFNLQVTGDLNNETLQQILLPRCGVPDMNFKYGFTETLNVSWPKGNSWFPFGTRNLTYGFAPESKIPLNFTQVLRNALTRWSQTTNVLSFTETTYDVADIKIGFYIYDDGVEDVVIGASFINKQLNSNVTSGVIQLDASKYWVLPTDNFTWSWRDGEIDLETVVMHQIGHLLGLNHSSDEESIMYPTILSSQQRKVEITDSDNKAIQQLYSTKDNNTSSGNVECFTMFESSYGFVISLSLGLSFMAMLY >CAK8539304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508790630:508791025:-1 gene:gene-LATHSAT_LOCUS8413 transcript:rna-LATHSAT_LOCUS8413 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTILFACLDFLNTKISNEKTTECLKDPKVRRKAVMKSVRFMDSERDALLEKELMKGRRSCNNSDCIDEFGEREKMNVRVKVKMTKEEAAKFLSKFKCKEGGVLPLKDVAPQLMALPLHRFTILPLEPMI >CAK8578990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666243485:666243790:-1 gene:gene-LATHSAT_LOCUS30967 transcript:rna-LATHSAT_LOCUS30967 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTPRPICVSYLTDIHQLRVLTMILKVDDIILHLHMFTISDTLRKTSRVSSETKSYGKQLLTWDMH >CAK8535359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843094610:843094903:-1 gene:gene-LATHSAT_LOCUS4825 transcript:rna-LATHSAT_LOCUS4825 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIDVYLPYLFFNCIVFVFAHIFDDMNTKKLILAAKQGGVEMNMFYFDPKIIDWDDHFMNIHFPGIFKYAFK >CAK8541186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84646842:84652805:-1 gene:gene-LATHSAT_LOCUS10123 transcript:rna-LATHSAT_LOCUS10123 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDIVSILENSKELDRLRKDQEDVLSEINKLHKKLQTTPELVEKPGDNSLAKLKLLYTQAKDLSDAEANLSTMLISQLDTLLPPGPQGQPRRRLEGNEQKRKRVKTESDISRLTPSMRNQLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKELKEFEVLDEEPGDDEDSSGQRQYKLPMGNIIPFPKSNDPSSAQDFPPGKHVLAVYPGTTALYKATVVHGHRRRKTDDYVLEFDDDEEDGSLPQRTVPFHKVVPLPEGHRQ >CAK8572581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549205698:549205952:1 gene:gene-LATHSAT_LOCUS25134 transcript:rna-LATHSAT_LOCUS25134 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGNRQNKSSSGFFSIFACFTSKNKYGAGYYDYDTGRRTWPSDEDKGNWGVAEPNINRKADDFIRKYKNRVSESELYQVDPAA >CAK8533719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653538209:653540686:1 gene:gene-LATHSAT_LOCUS3321 transcript:rna-LATHSAT_LOCUS3321 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDSIARTAVGILGNVISFGLFLSPAPTFYGIIKKKAVEEFKPDPYLATIMNCAFWVFYGMPFVHPDSTLVYTINGIGVVIQMIYLTIFYIYSTTKGRKKLVLIFAIEAIFFAAIVLITMLALHGTRKRSLVVGVISDVFNVMMYVSPLTVMAKVIKTKSVKYMPFWLSLANLLNGLAWTTYALLHPFDIYILICNSIGVVSGIVQLILYACYCFNKGENNEDGDVEMKPTSVHEIRFNGRTTVA >CAK8573046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579978680:579979549:-1 gene:gene-LATHSAT_LOCUS25540 transcript:rna-LATHSAT_LOCUS25540 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFRKRGKMQKMKNLGSIGSSGRFSTEEGEDEDISKLAISTFQAKEEEIERRKMEVKERVELQLGRAEEETRRLAHIWEELEVLEDPMKKEVAMIRKKIDLANKDLKQLGQNYQKKEKEYKESLEAFNEKNKEKTNLVATLTELLTESEKMRLKKLEELCKTIESVSLKQ >CAK8573047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579978680:579979510:-1 gene:gene-LATHSAT_LOCUS25540 transcript:rna-LATHSAT_LOCUS25540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGSIGSSGRFSTEEGEDEDISKLAISTFQAKEEEIERRKMEVKERVELQLGRAEEETRRLAHIWEELEVLEDPMKKEVAMIRKKIDLANKDLKQLGQNYQKKEKEYKESLEAFNEKNKEKTNLVATLTELLTESEKMRLKKLEELCKTIESVSLKQ >CAK8536795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:21327687:21328004:1 gene:gene-LATHSAT_LOCUS6128 transcript:rna-LATHSAT_LOCUS6128 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTCQISSQQQRARMAENEKIRRQNMNLEQRETYLSLRHDNYRRRKEQDKKVQTSRTMNSRRRVSFQNFTNMRSPISHFQGTHDNEADPSRIAHVNDVALGWR >CAK8541288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:105663116:105663760:-1 gene:gene-LATHSAT_LOCUS10218 transcript:rna-LATHSAT_LOCUS10218 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPLLVNGSDAVRKEYNIVHNQNKTKISISHVYKQTLLSRFNFMKGYTTKVIKNKYDSVRTSKDVYLLSRDDIRNYLSKSYKYLHIGLVQFSITRYNRWFYQDSVPVLVCLIDSKYCNYEDSILARINSDLSFGSMEFNWFPNFSSSLSDLTNSNGLVVTIDFLESERFKVECRVCFKLMKKSLKPDYLFKNPIIEVNTRKVSVHVPKSDNQT >CAK8540627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13195844:13197528:1 gene:gene-LATHSAT_LOCUS9613 transcript:rna-LATHSAT_LOCUS9613 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQAAKN >CAK8540730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18023419:18024570:1 gene:gene-LATHSAT_LOCUS9704 transcript:rna-LATHSAT_LOCUS9704 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMNSRKPSEIFKAQAQLYKHMYAFIDSMCLKWCIEMNIPNIIHNHGQPISLSNLVSTLQIPSSKVGNVQRLMRYLAHNGLIEIVKNQEFENEEEEAYALTVTSELLIKGTKFCLAPIVEGYLNPAALGSFHQLKEWIYEEDLTLFDVTLGSDLWVFLNTNPEHHISFNEAMASDTKIINFAMKDCNLVFEGLETIVDVGGGNGTMGKIISETFPKLKCVVFDRPEVVENLSGNSNLTYVGGDMFTSIPRADAVLLKFIFHDWTDKDCIKILKKCKEAITSDEKKGKVIIIDVVINEKKDDIQITQIKLQMDIFISCINGKERNEEEWKKLFVEAGFKDYKISPLTGLLSLIEIYP >CAK8531835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:161435421:161441669:1 gene:gene-LATHSAT_LOCUS1600 transcript:rna-LATHSAT_LOCUS1600 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLEQIKNESVDLEKIPIEEVFAQLKCTREGLSSTEGESRIQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAVMAIALANGGGQPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESLPVTRHPGQEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQMVLKSIGNFCICSIAVGMLAEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVKGMDKEHVILLAARAARTENQDAIDAAIVGMLADPKEARAGITELHFLPFNPNDKRTALTYIDNKDGSWHRASKGAPEQIIELCNMREDAQKKIHAMIEKFAERGLRSLGVARQEVPEKTKESAGGPWQFVGLLSVFDPPRHDSAETIRQALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSATLLGQDKDSSVASMPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMILIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVMLGGYMALMTVIFFWIVQDTTFFPDRFGVRHIHDNPDELTAALYLQVSIVSQALIFVTRSRSWSYVERPGMLLLGAFLIAQLIATLIAVYANWGFARIQGIGWGWAGVVWLYSIVFYIPLDVIKFATRYFLSGKAWSNLENKTAFTTKKDYGKGEREAQWAHAQRTLHGLEPPESSGIFHEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >CAK8560197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10289257:10289718:-1 gene:gene-LATHSAT_LOCUS13893 transcript:rna-LATHSAT_LOCUS13893 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRWWRRCHTCDSVQPGKPCFKPDSVVGHASFAFSSYWAQFRHVGGTCNFNGLATQTAKDPSYGSCKYPSVTL >CAK8533971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676980246:676982096:1 gene:gene-LATHSAT_LOCUS3552 transcript:rna-LATHSAT_LOCUS3552-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKTPLDERKTFFKNPVYCNGHVYAEMSILSGCIVLVIQKLQPNSFTINCTPDIMIKHLPNNFEQLIISRLIACNNVLFQHLQDNFEQLIISRLIACNNVLFQIQVFKEEGWVTAVYVFKFDCSQQVWEKVESLKDKVFFISSLDSTFACQAINPETEGGRIYIALQNYNFVFIYNIQDNSIVISQAFSNLSNKRSYSRWVMPHTGMADTFKEEIGKFHQIKEKKSICDVEYLKDAEDKANNVSVLSPDLVEVIAKHINDVLDYLHFRATNKFFRLAAPHIQCRSSSSMSRFDDRSMCPLFVFSKEKVFTFVNPKHGLEFKYNINFPQYWSLNSKICCSKDGWLLLVAVNNGIESQGFFNPFTKQVLPLPIGYKAFRNDRCVGMSHSPTSYECVVVEFDKISSSVPLMISCVHHLGDNVTGFFVLEDGELPLCNVSPAFHNGSFYFLTLTRKLAVVKVSRETYTWKELEEPQAPRSSYFKNFLVECDGNLLAVFESHFGYGVQVFKLNESTMTWIKVESLKNHMLFVGKTSFSAVASIPGMENKIYFPRFYGQNLVFYSLETNNYHTFQHDQVVNFDHMREHLSGTWIQPRWH >CAK8533970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676979820:676982096:1 gene:gene-LATHSAT_LOCUS3552 transcript:rna-LATHSAT_LOCUS3552 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRKPMLLYFTGKTQNTHTFCSLSYPSKCHSTWNTDSELKESKLLTVQHGWYLWEKIISNYVSNLFLWNPGNLNKIVLPPLQHNGTIFSDCILSSPPSANHQTCSIYLFSSHNPSIFHYQLGDKQWTKVCFYDQIMRLLAMQRKTPLDERKTFFKNPVYCNGHVYAEMSILSGCIVLVIQKLQPNSFTINCTPDIMIKHLPNNFEQLIISRLIACNNVLFQHLQDNFEQLIISRLIACNNVLFQIQVFKEEGWVTAVYVFKFDCSQQVWEKVESLKDKVFFISSLDSTFACQAINPETEGGRIYIALQNYNFVFIYNIQDNSIVISQAFSNLSNKRSYSRWVMPHTGMADTFKEEIGKFHQIKEKKSICDVEYLKDAEDKANNVSVLSPDLVEVIAKHINDVLDYLHFRATNKFFRLAAPHIQCRSSSSMSRFDDRSMCPLFVFSKEKVFTFVNPKHGLEFKYNINFPQYWSLNSKICCSKDGWLLLVAVNNGIESQGFFNPFTKQVLPLPIGYKAFRNDRCVGMSHSPTSYECVVVEFDKISSSVPLMISCVHHLGDNVTGFFVLEDGELPLCNVSPAFHNGSFYFLTLTRKLAVVKVSRETYTWKELEEPQAPRSSYFKNFLVECDGNLLAVFESHFGYGVQVFKLNESTMTWIKVESLKNHMLFVGKTSFSAVASIPGMENKIYFPRFYGQNLVFYSLETNNYHTFQHDQVVNFDHMREHLSGTWIQPRWH >CAK8566420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:421244958:421252116:1 gene:gene-LATHSAT_LOCUS19552 transcript:rna-LATHSAT_LOCUS19552 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLARAEDFEKKAEKKLSGWGLFGSKFEDAADLFDKAANSFKLAKSWDKAGSTYVKLANCHLKLESKHEASQAYVDAAHCYKKTNISEAVSCLDHAVNLLCDIGRLSMAARYLKEIAELYESEQNIEQAVVYYEKSADFYQSEEVTTSANQCKQKVAQFAAQLEQYQKSIEIYEEIARQSLNNNLLKYGVKGHLLNAGLCQLCKGDVVAINNALEKYQELDPTFSGTREYRLLADVAAAVDEEDVGKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >CAK8572124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512700670:512705209:-1 gene:gene-LATHSAT_LOCUS24723 transcript:rna-LATHSAT_LOCUS24723 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAMVVAKKKTQTARSWILFDATGQGSILDVDKYAIMHRVEINARDLRILDPFLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDEHVVPVVEELQRRLPKLGAIHQQQGDGKEYLGGQNDNEAAEEDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSASPASGSGNANWFASSPTAGSKISRASRASIGTVRLDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVTAIFGMNIPYSWNDNHSYMFKWVVIIGGVSSAIMFLMITLFALKKGLVGT >CAK8532076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200555972:200556355:-1 gene:gene-LATHSAT_LOCUS1813 transcript:rna-LATHSAT_LOCUS1813 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNFLWGGAEAVRKIYWVSWENLCLPFGKGGIGMKRIEEFNIYLLLKWRWRMLEVEKALWLDILKARYDSLAFYFTTSDYCRMLKHCSLWWKSLCSLDNNSQFDAFKEKYSYKLGGDSSIPFWTAV >CAK8540026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536332214:536333346:1 gene:gene-LATHSAT_LOCUS9065 transcript:rna-LATHSAT_LOCUS9065 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNQLYHISLALLFCFGLWTIQVTSRTLQDDSMYERHEQWMSQYNKVYKDHQEREKRFKTFTENVNYIEAFNNAENNKSYKLGINEFADLTNEEFIASRNKFKGHMCSSITRTTTFKYENASAIPSTVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFKFIIQNHGLDTEAEYPYRGVEGTCNANKASIYAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGVSNDGTKYWLVKNSWGTDWGEEGYIMMQRGVEAAEGLCGIAMQASYPTA >CAK8569609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6812305:6812922:1 gene:gene-LATHSAT_LOCUS22443 transcript:rna-LATHSAT_LOCUS22443 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIFKNILRHRDIVTGMEDWNDMKEKYFVGKVYHYLKMDEPDVGWNHMLSTTIVRPRALFTLWMACHRRLATKVRLKTFGFTTDDKCKFCNNEETIDHLFFQCPPFQSYWQEILGWVGIHHTPCEWREELHWIITQCKGKDWRKGLLRSAIAETIYKVWKYRNHAVFENIVQTMEIRDIVISNFANRGWVDTSMRRHTANLLID >CAK8569610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6812356:6812922:1 gene:gene-LATHSAT_LOCUS22443 transcript:rna-LATHSAT_LOCUS22443-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWNDMKEKYFVGKVYHYLKMDEPDVGWNHMLSTTIVRPRALFTLWMACHRRLATKVRLKTFGFTTDDKCKFCNNEETIDHLFFQCPPFQSYWQEILGWVGIHHTPCEWREELHWIITQCKGKDWRKGLLRSAIAETIYKVWKYRNHAVFENIVQTMEIRDIVISNFANRGWVDTSMRRHTANLLID >CAK8569044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666237124:666238093:-1 gene:gene-LATHSAT_LOCUS21941 transcript:rna-LATHSAT_LOCUS21941 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQVIGVHTVEAWKEQLKKGDESKKLIVVDFTASWCGPCRFIAPILAEIAKKLPHITFLKVDVDELKVVSEEWGIEAMPTFLFLKEGKVVDKVVGAKKEELQLKISKHGADPSTATASA >CAK8579737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719639980:719642111:-1 gene:gene-LATHSAT_LOCUS31658 transcript:rna-LATHSAT_LOCUS31658 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNPRYAIPKFSRNPILTFSTSSTLLLYRFFSHLQRQYGRKSSGFHNVDAAVTRFNCMIRALSPPPTCEFDKVLGAIVRMGHYPTAISLFSQFRIRGISPSIATFSILINCFCHQSHMGFAFSLLGTILKTGYEPNMVTFNTIINGFCMNGMIFEALNFYQDLVAQGYLFDEFTCGILINGLCKNGQTRAALHLLHEMEERLVEPNLVMYSAVIDGLCKDGLVTDALSLFSRMGDRGVLLDVVTYNSLIRGCCSVGRWQDVTQLLTRMVRENVDTDDYTFNILIDALCKEGRILEAQGVFVMMMKRGEKPDVITYNAMMDGYCLRKSVNEAKELFNGMVKRGLEPDVLNYNVLINGYCKNEMVDEAMVLFKEMCRKNLVPSIATYNSLIDGFCNLGRIPHVKELLDEMRDSGQSPDVVTYNILLDAFCKTRPFDEAISLFWKIVQGMRPDFNTNYVIVDNLCKGEKLKIAEDALQYLLMHGYPPDVRTYTIMINALCKDGSFNEATLLLSKMDTNDCPPDAVTFDTIIGALLEKNETDKAEKLRHEMMERGLVNIEKRLMCEQGGNL >CAK8537174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:122700032:122700292:-1 gene:gene-LATHSAT_LOCUS6484 transcript:rna-LATHSAT_LOCUS6484 gene_biotype:protein_coding transcript_biotype:protein_coding MLENVRNLAQTEATREAAARQAEMEEMRRRRAEMEEELRRKTTEYEEAMRIPNERALKFEQFMALHMNQGVGGRDEEDEEDDEEVD >CAK8564729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7678493:7679512:1 gene:gene-LATHSAT_LOCUS18001 transcript:rna-LATHSAT_LOCUS18001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMKEEEVNRCQIQKWYPIFKSVSIKTLIYQLPESFVQYLLDDSGPFLLPISILNEDALPNRIHNPIDEEDLQVSEGSDDESEESSTPPSFPELELKVKESIESLGGAVFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLFRASDSLVHDLCHAYDSCSDKLSSRPHTFFLALRKWYPSLKPDMEFRCFVRNKKLVGVSQREVTTFYPVLVETKNVLLLQIQQFFNNFVRTKFESENYTFDVYVTNDERVKIFDFNTWGGSTLSLLFTWDELEHIHCEEGDDVEFRIIEDRCGVRPGLKTAVPYDYLDTSSGSGWDQFLRNADEELKQQSRSTQAGA >CAK8575010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21309016:21309361:1 gene:gene-LATHSAT_LOCUS27303 transcript:rna-LATHSAT_LOCUS27303 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASGPVGYPGGPYDTSLLVKYEHHVARHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSGLASL >CAK8574244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671922795:671928317:1 gene:gene-LATHSAT_LOCUS26604 transcript:rna-LATHSAT_LOCUS26604 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSRKLLRDVFLKRGVLNRSSIVRGFSSAPENAPKIGYYSKKGRLLTGATLGLVIAGGAYVSTVDEATFCGWLFSATKLVNPFFALMDAEVAHKLAVSAAARCWLPREKRPDPSVLGLEVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEVGSVTPVPQDGNPKPRMFRLRDEGAVINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSTSNNEVKNGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQFADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALHLDGLIISNTTISRPDSVNTNPLATETGGLSGKPLFNLSTNILKEMYTLTRGKIPLIGCGGISSGEDAYTKIRSGATLVQLYTAFAYGGPALIPQIKAELAECLERDGFKSILDAVGADCR >CAK8574449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682261635:682263050:-1 gene:gene-LATHSAT_LOCUS26794 transcript:rna-LATHSAT_LOCUS26794 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPNSDLFVYYCKHCGSHVLITDTQLQKMPRRNTDRAYVLDKTKHLARFNIHEAGNVLLKRGEAKVEKQFRMNCIGCGLFVCYRSQQDLDSSTFIYVLDKALSTVAAETNPQDAPVPPCISHLEGGLVQLAIEVEDRAHRSAITRVNADDVRVSVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLTARQVYEKLLEAVQP >CAK8569669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7537065:7537883:1 gene:gene-LATHSAT_LOCUS22495 transcript:rna-LATHSAT_LOCUS22495 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSGLGKEEKKQLKKPAQASSRKGCMRGKGGPENASCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFETSHEAALAYDAAARKLYGSEAKLNLPDQSTPSAPSVTISQTPEMQHQQIQVQHNLNNSNSFNISNINSCNSINMSNMSNSINMSNMNNNMSNNISINNTVFVGDIGPIYNSDNSIVSFPMDATNTMEHQQVDQSYNNNNISNDSFFGTMNYESSMPVIDDDSIWREAAMSLDAAISMDYPMISDPDGLYNSAWDSLQTPWCM >CAK8536480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945377728:945378003:-1 gene:gene-LATHSAT_LOCUS5846 transcript:rna-LATHSAT_LOCUS5846 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTRRIWTVAASVGVVEALKDQGLCRWNYGLRLAQHHVKNHLRSLSQAKKLSSSNYYDMVSNRLKEEQAKQSEESLRTVMYLSCWGPNN >CAK8536481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945377728:945378000:-1 gene:gene-LATHSAT_LOCUS5846 transcript:rna-LATHSAT_LOCUS5846-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRIWTVAASVGVVEALKDQGLCRWNYGLRLAQHHVKNHLRSLSQAKKLSSSNYYDMVSNRLKEEQAKQSEESLRTVMYLSCWGPNN >CAK8564760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8779796:8780221:-1 gene:gene-LATHSAT_LOCUS18030 transcript:rna-LATHSAT_LOCUS18030 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDENKLQLMAAIDPALEQNEETCESITIAAELAGHCTAMEPYHRQNMSHAVNVLSAVVEKWRPVSDELDDSYSAVDGTRPLRQMLKIWKDAENGKFSYSSTSASFEDSKGSVAVRPTRFTDSFTSAYASEFKYKVWCVT >CAK8542396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:508685988:508688181:-1 gene:gene-LATHSAT_LOCUS11237 transcript:rna-LATHSAT_LOCUS11237 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLWKTHMRLQVIKLVLTLFVVTLRTAESRKAKIVTASFEYNGINCRAHTASLTDFGGVGDGVTSNTKAFQSAITNLSQYGSEGGSQLYVPAGKWLTGSFSLTSHFTLYLDKDAVLLASQDITEWPVIEPLPSYGRGRDAPAGRFTSLIFGTNLTDVIVTGENGTIDGQGAFWWQQFHRKKLKYTRPYLIELMFSDGIQISNLTLLNSPSWNIHPVYSSNIIVQGITIFAPVTSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPFSATIALGSEMSGGIQDVRAEDITAIQTESGVRIKTAVGRGGYVKDIYVKRFNMHTMKWAFKMTGDYNSHADTHFDPNALPEIANINYRDVVAENVTIAAKFEGISNDPFKGICIANVTLGMAVKAKKRPWTCTDIEGMTSGVTPTPCDLLPDQGPEKIAACDFPEESLPIDKLELKKCAYNMKYVEMY >CAK8530199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5547183:5547530:-1 gene:gene-LATHSAT_LOCUS86 transcript:rna-LATHSAT_LOCUS86 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFNIVFHHVEEFVMLINCETIYGGGILTIVSGQVIDKWSMVNIHNLVNGWGYIKGMYRTWIKILDIDENLFQIRNKDDVYDFAAYACVTQVDGKMFVEHAVNGIKVKLRVLGV >CAK8533144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:584065191:584065673:-1 gene:gene-LATHSAT_LOCUS2791 transcript:rna-LATHSAT_LOCUS2791 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSWMKADILDLVYEKRVLEFLEYANQNVPNNNGIFYCPCVNCKNINKGTENEIFHHLYYDGICQNYTIWIWYGEVDKKRNRASQSHGVDEDEYMDDHLEDIFCDIGESSFKKSYIYDTLCSDKDTPLYKGCTSFTGLSAIFKVFNLKAKNGLSGKSFT >CAK8539771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524715342:524717210:-1 gene:gene-LATHSAT_LOCUS8835 transcript:rna-LATHSAT_LOCUS8835 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLDLTLASVPKTVSHFLNEVSLTKDSSQKLSMLDDLVRRLEEEMNKVLAFKRELPLCILLVNDAIARLKDEKEKVRLIKMRDPVLVNENSDNKMNWMSSVQLWTNQTKSKNEDGDRSVSQKSNGGGVFMSFNENTPTRVPLKEVSQVPSFSLVSEVSHGNSKSGCDRSSSGSSLLRVEIQNQQPQPPQPLLQSSRKQRRSWSSELHRRFVDALQQLGGAHATPKQIREKMQVDGLTNDEVKSHLQKYRLHVRRFPVSSIEEANKLALYMIQDQDTSKGNLSESVSPQGPLTPILIGGSVKGLSSHGRNSVDAEDEQSDCRNWKDDQEQQHEAE >CAK8539770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524715342:524717210:-1 gene:gene-LATHSAT_LOCUS8835 transcript:rna-LATHSAT_LOCUS8835-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLDLTLASVPKTVSHFLNEVSLTKDSSQKLSMLDDLVRRLEEEMNKVLAFKRELPLCILLVNDAIARLKDEKEKVRLIKMRDPVLVNENSDNKMNWMSSVQLWTNQTKSKNEDGDRSVSQKSNGGGVFMSFNENTPTRVPLKEVSQVPSFSLVSEVSHGNSKSGCDRSSSGSSLLRVEIQNQQPQPPQPLLQSSRKQRRSWSSELHRRFVDALQQLGGAHAATPKQIREKMQVDGLTNDEVKSHLQKYRLHVRRFPVSSIEEANKLALYMIQDQDTSKGNLSESVSPQGPLTPILIGGSVKGLSSHGRNSVDAEDEQSDCRNWKDDQEQQHEAE >CAK8532144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:212582270:212584895:-1 gene:gene-LATHSAT_LOCUS1877 transcript:rna-LATHSAT_LOCUS1877 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCALSLTTNIINSIPFLHIGSSQPSFSFPSQSLTIHNPIPSTSLSLHYHHHSIHAQQHQSQLHDDNDKEEEESFQILTSVKTDYNDIKIVDTPTSRMLLLDSSHNVHSILYKERKWTNSYWDEFASLPAIVPEGPIAILGLGGGTAAHLMLELWPSLQLEGWEIDDILIDKARDYFGLSDLEKTTENGGILNVHIGDVFVPSEDFHRRYAGIVVDLFSDGKVLPQLLEVSTWLELRDRLMANGRFMVNCGGIDVDSSVAFGSNDPENLSNDEAWSLNAAMKALSKAFPGQVSWKRMSKKSGENFMALTGALPDLKSWSANVPSPLSTGVMDWRPCGMVSRI >CAK8539185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506353400:506354706:1 gene:gene-LATHSAT_LOCUS8305 transcript:rna-LATHSAT_LOCUS8305 gene_biotype:protein_coding transcript_biotype:protein_coding MGATITDPIPLITPYKMGNFNLSHRVVMAPLTRMRSYNNVPQPIAINYYAQRASQGGLLIAEATGVSDTAQGYPNTPGIWTKEQVEAWKPIVDAVHAKGSVFFLQIWHVGRVSNSTYQPNGQAPISSTDKSLTSNDVQKFTAPRRLRTDEIPNIVNDFKLAARNAIEAGFDGVEIHGAHGYLLDQFMKDKVNDRTDEYGGSLENRCRFALEVVEAVANEIGADKVGIRLSPFAEYAESGDSNPNALGLYMANALNKYNILYCHMVEPRLIHTFDPVETPHSLVPMRKAFNGTFMVAGGYNRQDGINAIAENRTDLVVYGRWFISNPDLPKRFALDAPLNKYNRETFYIPDPVIGYTDYPFLNDETQVESKA >CAK8536483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945572615:945572884:-1 gene:gene-LATHSAT_LOCUS5848 transcript:rna-LATHSAT_LOCUS5848 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWAAAASVAVVEALKDQGICRWNHTLKSLQNHVKNNVRSYSQAQKLSSSSSSAMVSTNRQKRKAKQSEESLRTVMYLSCWGPN >CAK8537812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:437898678:437907391:-1 gene:gene-LATHSAT_LOCUS7066 transcript:rna-LATHSAT_LOCUS7066 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDPSRAKRVRVLELSRRLKHRGPDWSGLHQHGDCFLAHQRLAIVDPASGDQPLFNEDKSVIVTVNGEIYNHEDLRKQLSHHTFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVTSLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKDSGFRRWYNPLWYSEAIPSAPYDPLALRHAFEKAVKKRLMTDVPFGVLLSGGLDSSLVASITSRYLATSKAAEQWGSKLHSFCVGLEGSPDLKAGKEVADYLGTVHHEFTFTVQDGIDAIEDVIYHVETYDVTSIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCQRANKSTSAWGLEARVPFLDKAFINVAMNIDPENKMIKRDEGRIEKYILRKAFDDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAKHVTDKMMLNAGNIFPHNTPNTKEAYYYRMIFERFFPQNSARLTVPGGPTVACSTAKAVEWDAAWSNNLDPSGRAALGVHDSAYENQTKVNKAVEFEKIIPLEAAPVELAIQG >CAK8562285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466364006:466366454:-1 gene:gene-LATHSAT_LOCUS15793 transcript:rna-LATHSAT_LOCUS15793 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRISYLMDEIWERAVETALEGEKDHASARTLTLDGAVKCVQGRLPPPSLLERFQNLQHLSIANVGVSSLEQFPRLRSLQKLNLSDNRIAGGLEFLVQAGLDSLRDLDLSNNRIQLIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFGLIKSLKFLDKMDAEENERPESDDEEEEDEEEEEEEEDPGSGEIDGGEEDRQFGMSNGHSEGVDGVVDADEEEESDADEEVTETSRGRVNGLNHQENGFHVAPAVGDDDVEEEDDDDDSGEEIDEEEGDDDDDDVVEVHEIDDSDDDDDGVEFDEDDDDDDDDEEVDNDEGDFAEPESTSGRLVSTEGEIDGHEQGEEDGDEDDNGETGEDEMGVEDDDEDDDDDGEFEDDDEEEDCGAGYLVQPVGQAEALNDDAADIFEVENEDGEEEEEVDDDDDDDVQEVLPPASSSHPKRKRDNDGEDDEEDEDEEALTKPSKKHH >CAK8560810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46523054:46528593:1 gene:gene-LATHSAT_LOCUS14456 transcript:rna-LATHSAT_LOCUS14456-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDMAVINPEMMKPYVWLQTSDDSIQQVEQEIAMFCPFICQEIIQKSMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVLGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGRSPEEIRDIFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYAKKRKELKERERIKNVEVEEEHVDERSVDDLLSFINGNDGDPKGIKTSKNKKKNRRKKDQQKNSSLKEASVPDKKEEVNGHNVRHHSSEADRPCETSNSHHTDDDLMVEFDDDDTDDEIDPVLKAKIDREVEDFARRLNSNWPERIKDFLSSTQERKTKLLTTTNGNGCLRRHACMLLPCISILYLNHVLST >CAK8560809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46523054:46528683:1 gene:gene-LATHSAT_LOCUS14456 transcript:rna-LATHSAT_LOCUS14456 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDMAVINPEMMKPYVWLQTSDDSIQQVEQEIAMFCPFICQEIIQKSMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVLGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGRSPEEIRDIFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYAKKRKELKERERIKNVEVEEEHVDERSVDDLLSFINGNDGDPKGIKTSKNKKKNRRKKDQQKNSSLKEASVPDKKEEVNGHNVRHHSSEADRPCETSNSHHTDDDLMVEFDDDDTDDEIDPVLKAKIDREVEDFARRLNSNWPERIKDFLSSTQERKTKLLTTTNGNGCLRRHA >CAK8539348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509901370:509902605:1 gene:gene-LATHSAT_LOCUS8452 transcript:rna-LATHSAT_LOCUS8452 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPVTDAIKEIKVRSNVHIPDDLAFSILSKLPLKSLKRFECVSKSWSLLFENPHFMNMLRNHFISNTRSDYGDTFLFLSSVDPPLSHAAFYLLSNEGFENRIKFDLPPPFQEDDSLLYILSSVCINGILCIGQDTCRGRRMVNSFRAVLWNPATSDFMVIPSSPDENVLPYRSPFFNFHGFGYDHVRDDYKLIRYISFFSVTDEDEDMPWEDKSYEPLLMIYSLRSNSWRILEIDMLDIRDFDYALPEGVYLNGVCHWLGTRDLYGNEACLVSFDLSNEVLFMTPILSDINECCEFIFIGRHLVVLNESVALISNCFKAPTFHISILGEVGVKESWIKLFIVGPIPSIEYPIGVGKKGDICLIQDNKELVWLDLSTQITKEIGVKGKSYACQIGIYKENLLSTGVFNS >CAK8571618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:449829926:449833539:1 gene:gene-LATHSAT_LOCUS24260 transcript:rna-LATHSAT_LOCUS24260 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFAIGFVGVLILFHAAYSTIQYRALLKITEEEFSGSPLSVVFELSLGLLLCTWAALTVPGKFLCIHPDSEENRIVSLHSNLDFMIFNHRGKIFPVEMNEKLRH >CAK8542399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509351954:509353012:1 gene:gene-LATHSAT_LOCUS11240 transcript:rna-LATHSAT_LOCUS11240 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPQDPPRSFFPFGNPFRMILPKATKLSPQLLAVLRAFEETLEERLKNLIPKSKDEVVSLSWMASAMRTLCESHNDIKTLMTDLELPVTDWDEKWIDVYLDISVKLLDICNAFSSELSRLNQGQLLLQCTLHHLGSSSSDHLFRACSSLDGWRQHMSSKNPRIEKCGSILDNLVESSDMPKVKKSAKGKVLMQAIYGVKALTVFVCSVFATAFSGSSKNLMDMDVAEVYSWAPTFKGLQNLVNEEIRVKFSSGRFTLLNELEAVDLSVRELHPIIQGVVHTVETESQSKTVEKLGNATENFSQGLDLLAKEVDGFFQVVLSGRDALLSNLRSVTPDIDYILGGKSDTQVVN >CAK8567397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:511561747:511563837:1 gene:gene-LATHSAT_LOCUS20457 transcript:rna-LATHSAT_LOCUS20457 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKNGSKNHHHQTFDVSIDQQFDSKFFDDDGRVKRTGTSWTASAHVITAVIGSGVLSLAWAIAQLGWIAGPVAMLLFAWVTYYTSILLCECYRNGDPIKGKRNYTYMEVVHSNLGGFQVQLCGIIQYLNLAGVAIGYTVASAISMMAIERSNCFHRSGGKDPCQMNSNIYMIAFGAVQIVFSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIGKVIENKKFAGTITGVNDVTKAQKTWGSLQALGDIAFAYSFSMILIEIQDTVKAPPPPESKTMKKATLISVIVTTFFYMLCGCFGYAAFGNSSPGNLLTGFGFYNPFWLIDIANAAIVIHLIGAYQVYTQPLYAFVENSAMKRFPNNYFMNKNIKIPVPGLHRYKLNLFKLVWRTVFVIVTTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIVQKKIPKWSRKWICLQLLSGACLIISIAATVGSIAGIVLDLKVFKPFKSIY >CAK8564605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2075040:2081874:-1 gene:gene-LATHSAT_LOCUS17894 transcript:rna-LATHSAT_LOCUS17894 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKKGRNRSFPVDPNVPRWICQNCRNPLCVVGVDSYADKFFNDPSRSGMQGSSIHGASSVMSTTKMDNSYVVLPKQRPQAQGVPPRPRGDTSQPGKTMEESFVVVYKSESASDGSGGNSSSPGVDHGGHLPPHNSGFNSTITVLTRAFEIATTHTQVEQPLCLDCMRVLSDKLDKEVEDVNRDIEAYEACLKRLEGEAKDVLSEADFLKEKLKIEEEERRLEAAIEETERQNAEVNAERKELELKSSRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKKTNVLNDAFPISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFQYRIKIIPMGSYPRIIDTNNTTLELFGPVNLFWSTRYDRAMTFFLACLKDFAEFAKSKDQENNIPPEKCFKLPYKIESDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSAMVPSHAEVPAAGSLYPRRANEARSESRT >CAK8564606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2075040:2081874:-1 gene:gene-LATHSAT_LOCUS17894 transcript:rna-LATHSAT_LOCUS17894-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKKGRNRSFPVDPNVPRWICQNCRNPLCVVGVDSYADKFFNDPSRSGMQGSSIHGASSVMSTTKMDNSYVVLPKQRPQAQGVPPRPRGDTSQPGKTMEESFVVVYKSESASDGSGGNSSSPGVDHGGHLPPHNSGFNSTITVLTRAFEIATTHTQVEQPLCLDCMRVLSDKLDKEVEDVNRDIEAYEACLKRLEGEAKDVLSEADFLKEKLKIEEEERRLEAAIEETERQNAEVNAERKELELKSSRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKKTNVLNDAFPISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFQYRIKIIPMGSYPRIIDTNNTTLELFRSFGPVNLFWSTRYDRAMTFFLACLKDFAEFAKSKDQENNIPPEKCFKLPYKIESDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSAMVPSHAEVPAAGSLYPRRANEARSESRT >CAK8535161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819327531:819328937:1 gene:gene-LATHSAT_LOCUS4637 transcript:rna-LATHSAT_LOCUS4637 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSTGSQQPNLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPRGIKTNWIMHEYRLADNKPNNRPPGCDLGNKKNSLRLDDWVLCRIYKKSNTHRSPVEHDRDDSMDDMIGGVHPSINVGQMNAASRFHHFSKMSSSSYNNTLLENDQNLLEGMMMNNNLGGGSNSKGGGELSFVPTMTTSSNATNSPSKRTLSSLYNWNDHEDVAAQVGTSSSNKRFNLESVVRNDHQENGTVANSFASLLNNLPQTPSLHQQQQQQQTMLGSIPYQIQGMNWYG >CAK8571060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274845019:274845537:-1 gene:gene-LATHSAT_LOCUS23755 transcript:rna-LATHSAT_LOCUS23755 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQRENEVEKESMDLATTLMLLSSHRTHQQQSNKTYSPMEFECKTCNRKFSSFQALGGHRASHKKLKLEGDETLKGNNQPKMHKCSICGQEFKLGQALGGHMRRHRINNEGFSSSSMNYHRVIAKSSPVLKRSNSKRVMCLELDLNLTPLENDLKFLFGNKAPQVDLSLF >CAK8575042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23771576:23784946:-1 gene:gene-LATHSAT_LOCUS27335 transcript:rna-LATHSAT_LOCUS27335 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLEHLNPNPSNSVFSSSHTHQSTDISASFCSAGETGGSENDVVIVAAYRTAICKAKRGGFKDTLPDDLLAPVLKAVIEKTNVEPSEVGDIVVGTVLGPGSERAIACRMAAFYAGFPETVPLRTVNRQCSSGLQAVADVAAYIKAGFYDIGIGAGVECMSQDNISRIVKTSSKAENFAQARDCLLPMGLTSENVVQRYGVTRQEQDQAAVESHRRASAATASGKFKEEIIPVSTKIMDPKTGEEKEIIVSVDDGIRPNANLADLAKLKPAFKKDGTTTAGNASQVSDGAAAVLLMKRSVAVQKGLPILGIFRSFSAVGVDPAVMGVGPAFAIPAAVKSAGLELGNIDLFEINEAFASQFVYSSKKLGLDPTKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGKDCRYGVISMCIGSGMGAAAVFERGDF >CAK8575043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23771576:23774503:-1 gene:gene-LATHSAT_LOCUS27335 transcript:rna-LATHSAT_LOCUS27335-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLEHLNPNPSNSVFSSSHTHQSTDISASFCSAGETGGSENDVVIVAAYRTAICKAKRGGFKDTLPDDLLAPVLKAVIEKTNVEPSEVGDIVVGTVLGPGSERAIACRMAAFYAGFPETVPLRTVNRQCSSGLQAVADVAAYIKAGFYDIGIGAGVECMSQDNISRIVKTSSKAENFAQARDCLLPMGLTSENVVQRYGVTRQEQDQAAVESHRRASAATASGKFKEEIIPVSTKIMDPKTGEEKEIIVSVDDGIRPNANLADLAKLKPAFKKDGTTTAGNASQVSDGAAAVLLMKRSVAVQKGLPILGIFRSFSAVGVDPAVMGVGPAFAIPAAVKSAGLELGNIDLFEINEAFASQFVYSSKKLGLDPTKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGKDCRYGVISMCIGSGMGAAAVFERGDF >CAK8570139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25492976:25495095:-1 gene:gene-LATHSAT_LOCUS22917 transcript:rna-LATHSAT_LOCUS22917 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHFFHIITFFMVVILATNAETLPPQLYWKFILPNTPMPKAITNLLTNSPMPKIITNLQLPGVDDASKKGYDDGGAADVKSEESPNPLFYHRYATKENDVKSEESPNPLFYHRYAAEENDEKSEESPNPLFYHRYAAKDTDVKSEESPNPFFYHRYAAKEADVKSEESPNPLFYHRYAAKDTDVKSKESPNPLFYHRYAAKEADVKSEESPLHPFFYHRYAAKENDVKSEESPNPLFYHRYAARETGVKPEESRNPLFYHRYVAKETDDNSKESPNPLFYHRYAAKETGVKSEESPNPLFYHRYAAKETDVNSEESPNPLFYHRYAAKETDVNSEESPNPLFYHRYAAKDNGAKSEESPNPLFYHRYAAKETDAKSEESPNPLFYRSYAGSETQLHAKPNATIFFFEKDLFHGKKLFVKFVRTTSNNEEIFLPREIANSIPFSSNKMEYILNKLNIEKGSKGARIVKNTISDCEMEGVKGEEKLCVTSLESMIDFITSKLGKNVEAFSTEINKESVFQHYTIAEGVKKLGDKNKVAVCHKVSYPYVVFYCHETNTTKAYSVPLEGADGSRVKAIAVCHTDTSEWNPNHLAFQVLKVKPGTVPVCHLLPQDHVIWISK >CAK8577154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540637352:540638600:-1 gene:gene-LATHSAT_LOCUS29284 transcript:rna-LATHSAT_LOCUS29284 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSNNSIIRSGSNTSSSTRRNYYDVFVSFRGTDTRLNFTDHLFGALQRKHIFAFRDDTKLNKGESIAPELLQAIEDSRVFIVVFSKNYASSTWCLRELEHILLHCGQRPEKRVMPVFYDVDPSEVRHQKGTYGEALAKHEQRFQQNYEKVQRWREVLAQVADLSGWDVRHKPQYAEIEKIVEEIINLLGYKFSSLPKDLVGMHSSLHELEKHLLLGSLEDVRVVGICGMGGIGKTTLATALYNKISHQFDVCCLIDDLSKSYRQDGPISTQKQILLQTIGDQQFQSFNSCNTSNQIGSRLRRVKALITIDNVDQVEQLEKLDREWFGPGSRIIIIS >CAK8539963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532386639:532387682:-1 gene:gene-LATHSAT_LOCUS9010 transcript:rna-LATHSAT_LOCUS9010 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATTSNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYP >CAK8573223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594253957:594254352:-1 gene:gene-LATHSAT_LOCUS25695 transcript:rna-LATHSAT_LOCUS25695 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQHTVKKCLKIGHVCDMKRKNDKNAKVWKPVTTKPNPSQQIQKLNHSQKGDINQSTQEKEYPRESSGMNTPSPAQQDEDWTTITTTSKVDIGRKGTTPTHNSSFVKYHNSITPLRIGDCPRGENNFDT >CAK8539762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524369472:524379955:1 gene:gene-LATHSAT_LOCUS8830 transcript:rna-LATHSAT_LOCUS8830 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADGGAPLGSSVISLVNKLQDIFSRLGSQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNEICTRRPLVLQLVHIQSSQPESAEFLHLPGRTFHDFSQVRAEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKVPTCLILAVTPANSDMANSDALQMAGNADPDGHRTIGVITKLDIMDRGTDARNLLKGDIIPLRLGYVGVVNRSQEDIMMNRSIKDALAAEEKFFRSHPIYSGLADSCGVPQLAKKLNKILAQHIKAVLPGLKARISTSLVTLAKEHASYGDITESKAGQGALILNILSKYCDAFSSMVEGKNEAMSTSELSGGARINYIFQSIFVRSLEEVDPCEDLTDDDIRTAIQNATGPKAALFVPDVPFEVLVRRQISRLLDPSLQCARFIYDELMKISHRCMVTELQRFPFLRKHMDEVIGNFLREGLEPSETMITHIIEMEMDYINTSHPNFIGGSKALEAAVQQTKSYTVSLPVSKLKDALDSDKGLASERSGKSLAILARHANGGMADHGVRAASDTDKVVHSGAAGGSTWGISSIFGGGDNRMSVRENTNSKPHYDPVESVHPSSTIHLREPPSVLRPSERSSETLAVEITVTKLLLRSYYEIVRKNVEDLIPKAIMHFLVNNTKRELHNVFIANLYRDDLFEEMLQEPNEISVKRKRCRELLRAYQQAFRDLDDLPLEAETVEWGHSSPETTGLPKIRGLPTSSMYSTGSSGDSV >CAK8573231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594834346:594836508:1 gene:gene-LATHSAT_LOCUS25703 transcript:rna-LATHSAT_LOCUS25703 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPTPPNKFYFYYGHRRPSQNRPTVRGGLFSNRQTLTPPKPKSNKQTDRFQIQKWDPHFLSHPNSPNSPSLDTSSSASIRLSPIARFIIDAFRKNDYKWGPTVVTELNKLRRVTPTLVAEVLKVQTNPNLAFKFFHWVEKQKGYHHNFASFNAFAYCLNRANHFRAADNLPELMDAQGKPPSEKQFEILIRMHSNAGRGLRVYHVYDKMRNKFDVKPRVFLYNRIMDALVKTGHLDLALSVYSDFREDGLVEESVTFMILIKGLCKAGKIDEMLEVLGRMRNKLCKPDVFAYTALVRIMVPEGNLDGCLRVWEEMKRDTVEPDVMAYGTIIAGLAKGGRVLEGYELFKEMKSKGHLIDRAIYGSLVESFVAENKVGLAFDLLKDLVSSGYRADLGIYNNLIEGLCNMNKLEKAYKLFQLTIQEGLEPDFLTVKPLLLAYAEKKRMEEFLKLLEKMEKLGYSVIDNLSKFFSHLVEKKEPIMALEVFTHLKERGYVSIEIYSVVMESLHLNGEVEKALSLFDEIKGSDLKPDSFIYNIAILCFVDRGEIKEACVCHNKIIEMSCIPSVAAYCCLAKGLCEIGEIDEAMMLVRDCLGNVASGPMEFKYCLTILHICKSNDAEKVINVLNEMMQQGCSLGNVVCSAIISGMCKYGTIEEARKVFSNLRERKLLTESDIIVYDELLIDHMKKKTADLVISGLKFFGLESKLKSKGCRLLPD >CAK8543943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650703166:650704737:-1 gene:gene-LATHSAT_LOCUS12664 transcript:rna-LATHSAT_LOCUS12664 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDQKIHFVLFPMMAQGHMIPMMDIAKILAQHHNIVVTVLTTPQNASRFSSIFQRFLQCGLQIHLIQLRFPSEESGLPEGCENLDMLTSLGAASDFFNSSKFLRQEAEKIFEELTPRPSCIISDMCLPYTIHIARSYNIPRISFVGAGCFYLLSMHHLHVSNMIQTMASNPYEYFDLPGFSEKFEINVSQTGLGLKGEAWEQFCSDMFEAEMGSYGVIGNSFEELESTFVKDYKKVKNDKVWCIGPVSLSNTDSLDKVQRGNNNKNVTVDEWIHLKWLDSQKQESVIYACLGSLCNLTLPQLIELGLALEATKRPFIWVIREGNHLDELEKWIDESGFEGRINGRGIVIKGWAPQLLILSHPSVGGFLTHCGWNSTIEAICAGVPMVTWPLFADQFFNEIFVVQILEVGVKIGVKSPMRWGEEEETSVLVKKEDIERGIEKLMNETNESEERRKRIRELGETAKKAVEKGGSSHSNVTLFIQDIMKINQFGEKINGSDINSYDVITNTFEKLEKECVRESC >CAK8575705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262580314:262580577:1 gene:gene-LATHSAT_LOCUS27945 transcript:rna-LATHSAT_LOCUS27945 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDPNELLTKADKLTKLSLTRWSADWKTATGLYEQAAIGFRASKNHEKAKLVYEKASQGQEMLSSPWDAAKHMESVAALAKELSN >CAK8537740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425965440:425965862:-1 gene:gene-LATHSAT_LOCUS7001 transcript:rna-LATHSAT_LOCUS7001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSDQILHLRSRKFSSVILTFFALCIIFPIFTTAEHEHEESSSVLLLPSATEEHGMCSGTTLSSCPAKCFRTDPVCGADGVTYWCGCSEAACAGAKVAKLGFCEVGNGGSANFPGQALLLVHIVWLIVLGFSVLFGFF >CAK8569039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665639916:665641642:-1 gene:gene-LATHSAT_LOCUS21936 transcript:rna-LATHSAT_LOCUS21936 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSMTHQNQFENQDLLGGAAAGAYVSSSSLRNNNVVSYSETFHHNIELSQGSEMSHTRHLMDLLGAANDNNHQTQQGLSLSLGSHMLVVPSHDFRNRSLNQGLMINPSYFMSGQEQSRESCNNHPPVVENNLTSDYFYTGSGGNFGSSLNRSTSTSYGNESFGSVIGNSRYLKPAQSLLEDLVDVGGNVIDRMNQKYAEKLFHASRTGARTLSSELKAELRIHGHLLADKHEHQVKIAKLISLLDEVESRYEKYYHQMEEVVSSFEMIAGLGAAKCYTALALQAMSRHFCSLRDAIMSQINVEKRKLFQDVPKINNGLSQLSLFERDSNNNNNNNNRQNRVSLQQLGIIQNQRQIWRPIRGLPETSVAILRAWLFEHFLHPYPNDSEKLMLASQTGLTKNQVSNWFINARVRLWKPMIEEMYKEEFGDSSEDSNPAANNYMSREDATDCVED >CAK8532767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511451341:511453760:-1 gene:gene-LATHSAT_LOCUS2440 transcript:rna-LATHSAT_LOCUS2440 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKDGHVSKEHHELHQSAAESVDPVSVSPIQISSPKSPKSLGSPNAQVKGGSLSPENNRQLHSPKDGSSKKGGSGGKQNDDMNVLDPNDPNYDSTEEIDDSNEKKKNTALEEYKKKATIIVEEYFATDDVVATMSELREIGKPEYSYYFVKKLVSMSMDRHDKEKEMAAILLSALYADIIHPSQVYKGFTKLVESADDLVVDIPDTVDILALFIARAVVDDILPPAFLKKQIANLPNDSKGAEVLTKAEKSYLTAPLHAEIIERRWGGSKNTTVDDVKARINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMEKRQAETPLLDLLKEAAEEGFINTSQMSKGFSRLIETVDDLSLDIPNARGILQQLISKAASEGWLCVSSLKSLTTEDEKNTIQENVARSFKMKTQSIIQEYFLSGDIFEVNSCLEQENNKNCGELNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPPDDVVSGFVMLIESADDTALDNPVVVEDLAMFLARSVIDEVLAPQQLEDVGTQCISQDSIGSKVLLMAKSLLKARLAGERILRCWGGGGSSRPGWEIEDVKDMIGKLLEEYESGGDIKEACRCMKELGMPFFHHEVVKKAMVKTIEKKNERLWGLLKECFESGLITMNQMVKGFGRVEEALDDLALDVPDAKNQFGFYVEKAKKEGWLDSSFCYNNAIENGTS >CAK8571451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:421654556:421656528:1 gene:gene-LATHSAT_LOCUS24116 transcript:rna-LATHSAT_LOCUS24116 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFFLLLVFCISSLVMCSAFVNHKQGGQNFNVVSYGAKGDGYTDDSNAFLKAWNDVCGTTEGNPTLIIPQDKKFMLQPMKFQGPCKSKTINVEIMGTITAPKRRESWKWDNNDSESWIAFSHVNGLVISGEGTIDGQGSSWWNDVGEHDRPTALRILGCENIKLSGLRHINSPRNHLSITSCTGALIFDIHMTAPKDSPNTDGIDIASSTHIVIQKSVISTGDDCVAINSGSQFINITDVYCGPGHGISVGSLGKGGSYATVEDVYVRNITFTGTTNGARIKTWVGGSGYARKITYEDIKLFGVKNPVIIDQQYDALQGLNKAVKVSDVTFRNIEGTADDEEAIELNCDRIGCTEIVLENIKITGLDGKPISATCNNVQGSCSSCKPNVPCLS >CAK8530562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30385891:30387542:-1 gene:gene-LATHSAT_LOCUS419 transcript:rna-LATHSAT_LOCUS419 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLAFSKHCNRAIHHRCDTQLQTAGPVASLIKSRSVIRFRGPDTIKFLQGLLTNDIRKFGEPIGDKTANLPTPNVPTTSVPPIYAALLTPQGRFLYDLFLYKPPSSDTKLNRTGTGPASEPDEPFELFADVDASLLDELLATFTKYRLRSKVEIDNVAGEFSCWQRYGSGPPENSSDVEEPEAASVGWGAGEDEAAMSSSRGGNLGWQWFKDPRLTCLGFRGIFPSNIIPPLIEADKETGEENYLMWRLEKGVAEGSTEIPKGEAMPLEYNLVGLNAISFDKGCYVGQELIARTHHRGVIRKRIVPLRFQDNDGNEVVNKVIPGSEVINTASGKKAGLVTTALGCRGLGLLRLEEALKGSAALSIKGQEGVKVVASKPDWWPSDWAQDLHQHTAFA >CAK8535044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806784393:806789576:-1 gene:gene-LATHSAT_LOCUS4527 transcript:rna-LATHSAT_LOCUS4527 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERDEKELDLTSPDVVTKYKSAAEIANKALQLVISECKPKVKIVDICEKGDSFIREQTGNMYKNVKKKIERGVAFPTCVSVNNTVCHFSPLASDETVLEEGDILKIDLACHIDGFIAAVAHTHVLQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNQDVTDAIQKVAAAYDCRIVEGVLSHQMKQFVIDANKVVLNVSNPDTRVDDAEFEENEVYAIDIVASTGEGKPKLLDEKQTTIYKRAVDRSYNLKMKASRFIYSEISQNFPIMPFSARALEEKRARLGLVECMNHELLQPYPVLHEKSGDYVAHIKFTVLLMPNGSDRITSHPLQEIQPTKIVDDPEIKAWLALGVKTKKKGGGKKKKGKKVTEGEAEADHMDTSNGADAAKQEQN >CAK8539450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512985750:512986337:1 gene:gene-LATHSAT_LOCUS8542 transcript:rna-LATHSAT_LOCUS8542 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWRVSLLVLVSLVTYMYGTAEFSITSAEFIKSSCRSTRYPALCVQCLMGYASVIGQSERQLAITAISVSISKTRSSASYVKKISKARGVKPREYRAIQDCIENMGDSLDSLSQSVRELGSIGHAVGEDFEWHMSNVQTWVSAALTDDNTCLDGFAGPSMNGNVKDAFKDRIVNVAQVTSNALALVNRFASSH >CAK8567497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518717599:518719668:1 gene:gene-LATHSAT_LOCUS20544 transcript:rna-LATHSAT_LOCUS20544 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTARQIIKCRAAIAWEAGKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDHALPVFTGECGDCPHCKSEESNLCDLLRINTDRGVMINDNKSRFSIKGQPIHHFLGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGVCTGLGATVNVAKPKTGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVTDFINPKDHEKPVQQVIAEMTNGGVDRAVDCTGNIQAMISAFECVHDGWGVAVLVGIPNKDDAFKTHPINFLSERTLKGTFYGNFKPRTDLPNVVEKYMKGELELEKFITHTIPLSEINKAFDYMLKGESIRCIIKMEE >CAK8566442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423695137:423695439:1 gene:gene-LATHSAT_LOCUS19573 transcript:rna-LATHSAT_LOCUS19573 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHQNARKGGNNIFLEFKLTTKCCKQIPPYSCFGGIDFEPKSQLNPFQIFSFKLHTSFFNLSLNQSSKPHLSSTQQNHTFSFHFQAFISFQPVPQNSNN >CAK8579679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715651054:715651476:-1 gene:gene-LATHSAT_LOCUS31606 transcript:rna-LATHSAT_LOCUS31606 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQCFGRKKNAVAVTHCKRGRGLIKINGSPIELVEPEILRFKAYEPILLLGRHRFSGVDMRIRVKGGGHISQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >CAK8575926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:364669106:364669482:1 gene:gene-LATHSAT_LOCUS28151 transcript:rna-LATHSAT_LOCUS28151 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMLNLRVTHGVPLCLSGITNPLALSKEMADHDRRRKAMRKQRSVHANQDKRSQRNSNFVEIKGGESGEGYDQEDLEEILYQLSRSYYLTGLSAKAA >CAK8564617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2565360:2566274:-1 gene:gene-LATHSAT_LOCUS17904 transcript:rna-LATHSAT_LOCUS17904 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIEKEQYFLTGCNLLQFLKLFLFIQTKQTSGDFCARNTFSSFYVKEFSTTSAILWHGAVSCSNI >CAK8561290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:138246554:138246907:-1 gene:gene-LATHSAT_LOCUS14897 transcript:rna-LATHSAT_LOCUS14897 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANASVSPAIHIARALGVLSIEASLATSLACSLRWSTSCLTSAEELAGSPICVASASCCNSGMLGGLYARQSHHIAHPRYSAGKIKLRTSVTWCPCLDPACECFVTPGVPVALWS >CAK8533036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:568598383:568600787:-1 gene:gene-LATHSAT_LOCUS2688 transcript:rna-LATHSAT_LOCUS2688 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRLASRLLGLDSKIEKSLLIPFREIKVECSIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPEVEQDEVNALAQLMTWKTSVANLPYGGAKGGIGCDPSELSVSELERLTRVFTQKIHDLIGVHIDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEYGLNVSGQRFIIQGFGNVGSWAAQLINEKGGKIVAVSDITGAIKNSNGLDIPSLLKYSKENKGIKGFHGGDSIDPKSILVQDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKNKGVVILPDILANSGGVTVSYFEWVQNIQGFMWEEEKVNNELKRYMTKGFKDVKEMCKTHNCDLRMGAFTLAVNRVARATVLRGWEA >CAK8576326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459347232:459347710:-1 gene:gene-LATHSAT_LOCUS28523 transcript:rna-LATHSAT_LOCUS28523 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPLKKIHLLQFLLLLNVILGSSIPHHNHTNIKTQPPFLTSNSSIHSLLRISVNIPNHVPEICKGCQEPNGSCNAGLNCLCHPKECKDKVISKVGSIKSTGCVSFSLISFIGTIAFLMYAEV >CAK8569366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696890869:696891291:-1 gene:gene-LATHSAT_LOCUS22225 transcript:rna-LATHSAT_LOCUS22225 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIIETLGNYKYSVLLHYHHKSLKQSNSYTISLHQGVQLFFYKTSEMGFLLPAAIRRSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHPMGGLTIPCKEDVFLDITSQLNSL >CAK8569367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696890869:696891150:-1 gene:gene-LATHSAT_LOCUS22225 transcript:rna-LATHSAT_LOCUS22225-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPAAIRRSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHPMGGLTIPCKEDVFLDITSQLNSL >CAK8561696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:357484376:357485359:-1 gene:gene-LATHSAT_LOCUS15261 transcript:rna-LATHSAT_LOCUS15261 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSDENTLVPKYLQQHDIDKECKELLATLPLEKGWLSSHIHQYQGFWFSPERFHYVLFCQKHFQAFDTDILLATSPKSGTTWLKALIFALINRNKYPITHNNHPLHTTTPHDLVPFCDRDFVSDFKTISHPRLYSSHLPYELLPKSFIDSTCKVVYLCRDPKDVFVSLWHFINKIRAKTSGTLPLEEAFESFCRGESPFGPFWGHVLGYWKKSLESSEKIMFLKYEEMKMKPNFYLKEIAKFLDCPFSKEEESKGMLDDILNLCSFDKLSNLEVNKTGKTSYEIESKAFFRLDQVGDWKNLLTPKMIEHINTITKEKFVKHGLSF >CAK8543692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631823272:631823736:-1 gene:gene-LATHSAT_LOCUS12435 transcript:rna-LATHSAT_LOCUS12435 gene_biotype:protein_coding transcript_biotype:protein_coding MSADILGLARESNGIRILEPFDTSLKYSNASGKTNIHLSVSDIFMNFAVSISRLFLAVEDDILSFLRMTSKKMTIVCSHFDKVRVIENPHNDQIFAVWRLHDPPGFAVLGDYLTPLDKPPTKGVLAVNTNSITVKRPINFRLVWPPLVSSGQYR >CAK8532242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228240732:228241271:-1 gene:gene-LATHSAT_LOCUS1972 transcript:rna-LATHSAT_LOCUS1972 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGMLSSSSSPHSQNYIYRECLRNHAASLGSYATDGCGEFTVDDTSVSAANSLQCAACGCHRNFHRKITATYATMARDNAIVAMSDQVMEYSGGGDGRRKRYRSKFTADQKEKMLGFAEKLGWKLQRKELDEEIERFCESVGVSRQVFKVWMHNHKNSCFSNSSDPSAGNANSSLTQ >CAK8531476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:113517811:113524517:-1 gene:gene-LATHSAT_LOCUS1265 transcript:rna-LATHSAT_LOCUS1265 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRVTNKTIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPEFVKDPRQVKMFLYQMLCGIAYCHSHRVLHRDLKPQNLLIDRHTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMSNRRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSTFPRWPAKDLAAVVPNLEPAGLDLLSSMICLDPSKRITARSAVEHEYFKDIKFVP >CAK8560840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49364079:49365351:1 gene:gene-LATHSAT_LOCUS14485 transcript:rna-LATHSAT_LOCUS14485 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTPTEVKKLDTPNWVELPKDVTFKILQLLGAVEIVMNARQVCPMWRNICMNPSMWKSIELIKGHKSPYDLERICMYAVHHGCNHVEEINLEFFATDKLIRKISERTSNLRRIRISKCLNISNKVFIDAAKKFPLLEELELSFNNLNKESLEAIGKNCPLLRTLKFNRTYKGINCPSYKGFKCNKEALAIAKTMPRLQHLELWGNKLTDEGLIAILDACPDLQSLDIRMCYNIVMRGDLAKRCYENIKNFRHPGEYIEKNDVVEDDFVCEYYCECRPRSSKTRYMDFSKFHR >CAK8535578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864288685:864291063:-1 gene:gene-LATHSAT_LOCUS5023 transcript:rna-LATHSAT_LOCUS5023-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTLSLGFFSLFLFFFLLKRSTHKHSKLSHVPVVPGLPVIGNLLQLKEKKPHKTFTKMAHKYGPIFSIKAGSSKIIVLNTAQLAKEAMVTRYSSISKRKLSTALTILTSDKCMVAMSDYNDFHKMVKKHILASVLGANAQKRLRFHREVMMENMSRKFNEHVKTLSDSAVDFRKIFVSELFGLALKQALGNDIESIYVEDLTTTLSREDLYNTLVVDFMEGAIEVDWRDFFPYLKWIPNKSFEMKIRKVDRQRKIIMKALINEQKKRLASGKGLYCYYDYLVSEAKEVTEEQMIMLLWEPIIETSDTTLVTTEWAMYELAKNKNHQDRLYEELLNVCGHEKVTDEELSKLPYLGAVFHETLRKHSPVPIVPLRYVEEDTELGGYHVPAGSEIAINIYGCNMDSDLWENPDQWIPERFLDEKYAQADLYKTMAFGGGKRVCAGSLQAMLIACTAIGRLVQEFEWELGHGEEENVDTMGLTTHRLHPLLVKLKPRNHIY >CAK8535577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864288685:864291129:-1 gene:gene-LATHSAT_LOCUS5023 transcript:rna-LATHSAT_LOCUS5023 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDSLLSLYRIYFCQNILIQAMDTLTLSLGFFSLFLFFFLLKRSTHKHSKLSHVPVVPGLPVIGNLLQLKEKKPHKTFTKMAHKYGPIFSIKAGSSKIIVLNTAQLAKEAMVTRYSSISKRKLSTALTILTSDKCMVAMSDYNDFHKMVKKHILASVLGANAQKRLRFHREVMMENMSRKFNEHVKTLSDSAVDFRKIFVSELFGLALKQALGNDIESIYVEDLTTTLSREDLYNTLVVDFMEGAIEVDWRDFFPYLKWIPNKSFEMKIRKVDRQRKIIMKALINEQKKRLASGKGLYCYYDYLVSEAKEVTEEQMIMLLWEPIIETSDTTLVTTEWAMYELAKNKNHQDRLYEELLNVCGHEKVTDEELSKLPYLGAVFHETLRKHSPVPIVPLRYVEEDTELGGYHVPAGSEIAINIYGCNMDSDLWENPDQWIPERFLDEKYAQADLYKTMAFGGGKRVCAGSLQAMLIACTAIGRLVQEFEWELGHGEEENVDTMGLTTHRLHPLLVKLKPRNHIY >CAK8564115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652554836:652555502:-1 gene:gene-LATHSAT_LOCUS17451 transcript:rna-LATHSAT_LOCUS17451 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFSQQSFFIPTPTLLNPNFRNSRKTVVVHCSCSQPQQQQSPTPLSNWNKNENKLGKLALIAMAASVLTYRSVHDASAAKTGGRIGGQAFKSAWPRINDDISGSDCFMPDYDHVLGFSLCYLFGLLVSQAIGGVFTDIFLIMHLAAGAAVVWRWIRLETSVYAS >CAK8575289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71489674:71515397:1 gene:gene-LATHSAT_LOCUS27563 transcript:rna-LATHSAT_LOCUS27563 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEGMGLGSVTSTSLSSKVHPSNDPPELDPDSYALEKFKLYETRARFYLIGSDGNKRFFRVLKIDRSEQSDLSISQDPVLYSPQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRKQIGSICGHAIYSIKESQLITIPHVSIQSDLAHSKTELRYKKLLSSVDLTKDFFFSYTYPIMQSLQKNVSSDQGGGMPYDNIFVWNAYLTQSVRSRCNNTIWTIALVHGHFRQIRLSIFGRDFSVSLISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLEKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQVFPVDNHLRFIHWDFHKFAKTKSANVLAVLGAVASEALDLTSFYYSGKPNMIKWTNKSNRTSAGRDASLRDLTSSSGDLARIGSSAEMLNSLANRDREADMNLQNKNDYFNSNAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFRPQEGKPALWELDSDYYLHVSGMGDDLIPEKFSQPNLKPSGRVVTIFTPIPACRDDFSRIKLTSFDKLIEKTCSTIKNVRLTCEPDQKPGGVSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVVSTETNIGGCHANDFCNLNWLSSDKDMNEDDVFQRYFTMTSANEANGWYGGTLLGDQDENSEIYKHYAELCQGPAFELFQNDHEKEQHYADALSMSSFEILNEVGVAAEMEAALKEYDQVGADLGIIPSSCKFFADDPSWLTRWLVGEEKVPRM >CAK8574433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681590496:681591092:1 gene:gene-LATHSAT_LOCUS26781 transcript:rna-LATHSAT_LOCUS26781 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTMIPKACLKDIHKLQRKFIWGDTENKKKFHVVDWKMVTRPKNEGGMGIRNLEIINRACLTKLGWQLHNGNDKLWCKVVLGEYRQESINGSIMTKCSFSSFWKNVGKLWTKVEERSLWQIRDNATISAWNAKWLGHDLHILDLDVSIPGDLSNANVSYLIQSDGDWKWEILRHWLSENVLNRIVGTTPPSSDSGKD >CAK8571729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465860581:465860626:-1 gene:gene-LATHSAT_LOCUS24363 transcript:rna-LATHSAT_LOCUS24363-2 gene_biotype:protein_coding transcript_biotype:protein_coding GDAEAASTCVPCVA >CAK8571728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465860581:465862470:-1 gene:gene-LATHSAT_LOCUS24363 transcript:rna-LATHSAT_LOCUS24363 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFFACFGSSKRKKLTPKVQENGSSEPEQQSVVLPLVQVHSETLVSPSLLQQQDKTEEEVSDGLKKKVTFDSNVKTYESVLPEKDTEGVLSEKDTEGGKEESLAKATQSKSFSSEDSSVTSTGSYPSNHRYQNCRDSDEEDEEIDDWVDDLSDEDEDDGIREECDELGVEFEEDEMAYSKSRVIDDVDHVFAEEVESPIPVCEKDVETIGFTPNARDRSLYVHPVLNPVENLSQWKAVKARRRPTLRPQKENYVCSNPESQVAFGVKEVSESDTPKKSNKEIAVDASLSNWLGSSETTPVNKTTLYAGGSFGSPDKSASHQGSNCVMGSSETAPVNKACLYAGGSFGTPDRSGSHQGSNSVMSLEDRPILGALTEEEIKQFSATPSPRKSPCRSPDDMPIIGTVGSYWHFTEDSGSASSFKGIPNTTSKYREDRKVNWHTTPFETRLEKAMNRGDAEAASTCVPCVA >CAK8566214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391753798:391756288:-1 gene:gene-LATHSAT_LOCUS19367 transcript:rna-LATHSAT_LOCUS19367 gene_biotype:protein_coding transcript_biotype:protein_coding MERQNTKSSYKFVKNTSPSSSQWSSTDSKGTAKDVLKERLNDKTKASRKSPTKENTKPQEFKLHTQERAVKRAIFNYGVTTKLYLMELQKRQEEKLMKIIEEEEIRLLRKEMVPRAQLMPYFDKPFSPQRSSKTVQRESCIHMLSSKCWSCTSGNGFYSMHQYGHQALNNPIK >CAK8569964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18377389:18378528:1 gene:gene-LATHSAT_LOCUS22759 transcript:rna-LATHSAT_LOCUS22759 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLLEEEADQIVNSGGGEVALKKGIEADQIVNTGGGEVTLKKGSTSTRKFKVSSLSSGSDVRKRSKLSQSILPDEEADQRDNAGGGEVSIKKGPWTKEEDENLKDHIKKHGEGNWKAIQKESGLARCGKSCRLRWSNHLRPGVKKGSFIAEEERLIIECHFLKGNKWAHMDTLLPGRTNNEIKNFWYTRSKKRKREGLPIYPDEITSKYLLNDSKESADTLENESNQHDETETFNLDISDLDLKYYKFRPDMMPPLFDSQDYKPISHLVR >CAK8569965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18377389:18378528:1 gene:gene-LATHSAT_LOCUS22759 transcript:rna-LATHSAT_LOCUS22759-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLLEEEADQIVNSGGGEVALKKGPWTKEEDENLKDHIKKHGEGNWKAIQKESGLARCGKSCRLRWSNHLRPGVKKGSFIAEEERLIIECHFLKGNKWAHMDTLLPGRTNNEIKNFWYTRSKKRKREGLPIYPDEITSKYLLNDSKESADTLENESNQHDETETFNLDISDLDLKYYKFRPDMMPPLFDSQDYKPISHLVR >CAK8541394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:150234999:150236225:-1 gene:gene-LATHSAT_LOCUS10319 transcript:rna-LATHSAT_LOCUS10319 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPPSQSRRPSNSPSSPPILPDELMAEVLSFLSVKSLIQMKCVSKFFNSLISDPVFIKMHLRRSARNPHLTLVSGKCVADFRFVILPIDKFLENPLITFPDKPFYPLLDTHHYWLVGSCNGLLCFSHYSAFTGSYRDCWLNFYNPATNTISKKLGYFKDYCKHRYFFSRYAFGYDSLTDNYKVAALRLIGDGEIGDGGVSETRLRTEVRVYSLGDNVWRDIQGFPVGPLRLTLPSENHGIYLNGSLNWLALRNCYSADRFYHSNDITLDQFVIISLDLGAETHVQLMPPRGLDEVPFIEPTISVLMDSLCFCHDFKHTCLVIWQMREFGVGESWTQLYRINYQNLQHIGCWLPLHISHNKNTLVLANKRELLAIIYDWTNNRVEIVSNKPRWAFCKDYVESLVPIP >CAK8570846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:127153011:127154097:-1 gene:gene-LATHSAT_LOCUS23561 transcript:rna-LATHSAT_LOCUS23561 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVNRDPSYHEYVDQASQSSQMQSQSSQTSKKLKLSLSSQKKSQPSQALKKVIASLHGFGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMKTSFSPNVAIYCIGFVNENHWVQVNMKEGFPLPPVTVNWKNFRSPAATSWMLGFARRLQHWQQLMPILPTHYTL >CAK8563999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645213326:645213670:1 gene:gene-LATHSAT_LOCUS17349 transcript:rna-LATHSAT_LOCUS17349 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTYSSHVNGTQNEGKILQTFQKSFVQVQNILDQNRVLINEINQNHESRIPDNLSRNVGLIKELNNNIRRVVDLYADLSSSFTKSIDVSSEGDSSGAAKSEGNLSHKRHRPL >CAK8560016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4988449:4989862:-1 gene:gene-LATHSAT_LOCUS13736 transcript:rna-LATHSAT_LOCUS13736 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESPMMPKMITFLSSLLERVAESNDETKQQEKISIFHGLTRPNISIQNYLERIFKYANCSSSCFIVAYVYLDRFSKSHPSLPINSFNVHRLLITSVMVAAKFMDDVCYNNAYYAKVGGINTTEMNYLELDFLFGLGFNLNVTPNTFQCYCVYLQREMLQMQPLKFADSSLSLAISLKAHLCFNEDESSHQKQPKLAV >CAK8534205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704428204:704429126:1 gene:gene-LATHSAT_LOCUS3765 transcript:rna-LATHSAT_LOCUS3765 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSLNQPTFHYYRSEIGMANANALRWFDTIPVEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRHLPITALVRATYYRLGSLFAERGSKWSAVLNSRETFTDNCLKVMKEETTKSSTHQVRIFYYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMPYDGYWPVYEGDQICHNPRMRRNKKGRPVSTRITIEMDNFDKLERKCSMCRQTAHNRTRCPNVGTSNR >CAK8535596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865443458:865444792:1 gene:gene-LATHSAT_LOCUS5039 transcript:rna-LATHSAT_LOCUS5039 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFPFLTFISIFICLSCLVVISHAINNGVSVELIHRDSFKSPLYNPTQTKLQRNFNFVQRSINRADYFFKELSSTKNKLESYMPSDNGEYLMSYSVGTPPFKVYEILDTGSNLIWLQCKPCKCYNQTTPIFEPSKSSSYKNIPCSSRICKSMEDTSCSYGRDACQYTLDYGHGSYTYGDLSLETLTLDSASGSFVSFSKIVIQCGHNNSEPMYNGPNSGVIGFGSGDTSLIKQLGSSIGGKFSYCFIDEYNSRSNKSSKLNFGDQAVVTGDNVVSTPIVKMAGNRQKDYYYLIMKAFSVGNKRIKYKGFKREGTNASTHNIIIDSGTTACILPHRVYYKMESAMKKVVKLPRFHDNTDTFPLCYNTTSKQNFPQITAHFKGADVKIDPQGVFYSLYKGVECFAFLPYKNGLGIFGNMAQINYLVGYDLNKNIVSFKPTDCTKY >CAK8537953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449997687:449999484:-1 gene:gene-LATHSAT_LOCUS7199 transcript:rna-LATHSAT_LOCUS7199-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHGATANYGGWQNGHATFYGGGNAAATMGGACGYGNLYNQGYGTNTAALSTALFKSGLSCGGCYEMKCVGESRWCKASTIVVTATNFCPPNSNGGWCNPPLQHFDMAEPAFLQIAQYKAGIVPIAFRRVSCIKKGGIRFTINGHSYFNLVLITNVAGAGDVHAVSIKGSKIRWQPMSRNWGQNWQSNSYLNGQSLSFKVTTGDGRTVTSYNVASANWQFGQTFQGGQF >CAK8537952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449997687:449999529:-1 gene:gene-LATHSAT_LOCUS7199 transcript:rna-LATHSAT_LOCUS7199 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPVIMFFLFIEMHFHGATANYGGWQNGHATFYGGGNAAATMGGACGYGNLYNQGYGTNTAALSTALFKSGLSCGGCYEMKCVGESRWCKASTIVVTATNFCPPNSNGGWCNPPLQHFDMAEPAFLQIAQYKAGIVPIAFRRVSCIKKGGIRFTINGHSYFNLVLITNVAGAGDVHAVSIKGSKIRWQPMSRNWGQNWQSNSYLNGQSLSFKVTTGDGRTVTSYNVASANWQFGQTFQGGQF >CAK8565553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:269676835:269677857:1 gene:gene-LATHSAT_LOCUS18754 transcript:rna-LATHSAT_LOCUS18754 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSVGSWTAPVSVPNLPCPLELFSQLTKKCLVIFCDSRRVKTLLECIMGTLKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGLDFGALVAAHESSMEILETSDNSNDDSSQSPKLACIVSKEKESGVEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFRWWGIALVVAMSAGWVLSFLAGDYWLAIATSDGFSWVLT >CAK8533975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:677811838:677812836:1 gene:gene-LATHSAT_LOCUS3556 transcript:rna-LATHSAT_LOCUS3556 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSALGGSLCNGLNFPYQVTLGRYVAVKVIKIKENKLEDQDEEEDKDEDEVENEKKIKDEEEDEDEDDDEEEEEEDDDDDDEIPNILTKVTYTIYRRAKCEMEFRISDNLYTTSFTLGDSNKTSALKIHFTIRKVESSYLEVSRFAPKFNHIRKDRHFDLFMFKSTCDSSMSNEEWRGFTESNFLIYETERIQGGLVVVERKKKSKYKKPFMVTISHYYYIRDLYIKSLTINIGLSVIVKVESLKNGGLYCTTEGPTHHPPAALLYMIDEVGKTGIWSPTACPHCATLEEKQSSREKGSFSRRGINNDGTFKGNGSGNMIQGDFNQFTIYK >CAK8578398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626404447:626405610:1 gene:gene-LATHSAT_LOCUS30411 transcript:rna-LATHSAT_LOCUS30411 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRSMRSQSKLIAFVPTMGYLHQGHLSLITEAHKYANVVAVSIYVNPGQFSPNEDLSTYPSDFEGDVQKLLSVPGGVEVVFNPKNLYDYGESEGGDGVVVVGGGEVVSCVEKSCLGHESWVRVEKLEKGLCGKSRSVFFSLEALLLLLLSCLILLSLMLLSLGKRIIINRELFREWFAILIFP >CAK8531930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178020404:178021853:1 gene:gene-LATHSAT_LOCUS1685 transcript:rna-LATHSAT_LOCUS1685 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKGSIWFLPLVVATLIVAVAAGNFYQDFEITWGDGRAKILENGQSLSLSLDKASGSGFRSKNEYLFAKIDMQLKLVPGNSAGTVTTYYLSSLGPTHDEIDFEFLGNLSGDPYTLHTNVFAQGKGNREQQFHLWFDPTKDFHTYSILWNPKSIIFSVDGTPIREFKNLESKGVAFPKNQPMRIYSSLWDAENWATRGGLVKTDWAQAPFTATYRNFNAQVCVWTSSGSSCSSNKSPSSSSTQSWLSESLDSNGIAKVHWAQKNYMIYNYCTDTKRFPQGPPFECLA >CAK8531568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125807772:125808338:-1 gene:gene-LATHSAT_LOCUS1351 transcript:rna-LATHSAT_LOCUS1351 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGQSSVLPHPIDNDSHDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLTDKQRRETGEIKAKAQIYNAQPHDLIDFYHLKSRKGMSKLELEDEVQDDLKRATGEFTKDADDL >CAK8544263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674440573:674440878:1 gene:gene-LATHSAT_LOCUS12956 transcript:rna-LATHSAT_LOCUS12956 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFLPGELIGLLRAERTGRALEEAICYRALLLGVTKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRIMHRSQYLE >CAK8539361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510159669:510160085:1 gene:gene-LATHSAT_LOCUS8464 transcript:rna-LATHSAT_LOCUS8464 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPTEVVLPKVFIQLLSVLASIRKLVTVFFCYFGFESDFSFPETTPEFQSMNPRLLIREILPVVKFSELELAVVECSQDGCAVCLYEFKAEDEIQRLRNCRHLFHKHCLDRWMGYYNTTCPLCRTSFLPQHHMQEDR >CAK8575229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57041735:57043081:1 gene:gene-LATHSAT_LOCUS27511 transcript:rna-LATHSAT_LOCUS27511 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKIKNLPVRYLIVLLTFICTSVCYIERVGFSIAYTVAADAAGVKQSTKGTILSTFYYGYAVSQVPGGYFAQKFGGRKVLLLAFLLWSSTCALLPLDPNRTLILVTARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAVGMLFLPSLVKFKGPQSVFIAEAVLGFAWSLLWFRYASDPKASASGAGESLLPVNKKIDRKVSDINVETGVERNGVKKTGVGIPWLKIMTSLPVWAIVVNNFTFHYALYMLMNWLPTYFELGLKLSLHEMGSSKMLPYLNMFVFSNIGGVVADYLITRRIMSVTGTRKFLNTIGFLAASFALVVIPSFRTSSGAVFCSSVALGFLALGRAGFAVNHIDVAPRYAGIVMGVSNTAGTLAGVVGVDLTGKLLEAAKASDLDLSSPESWRAVFFIPGLMCVFSSVIFLLFSTGERVFD >CAK8575230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57041744:57043081:1 gene:gene-LATHSAT_LOCUS27511 transcript:rna-LATHSAT_LOCUS27511-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKNLPVRYLIVLLTFICTSVCYIERVGFSIAYTVAADAAGVKQSTKGTILSTFYYGYAVSQVPGGYFAQKFGGRKVLLLAFLLWSSTCALLPLDPNRTLILVTARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAVGMLFLPSLVKFKGPQSVFIAEAVLGFAWSLLWFRYASDPKASASGAGESLLPVNKKIDRKVSDINVETGVERNGVKKTGVGIPWLKIMTSLPVWAIVVNNFTFHYALYMLMNWLPTYFELGLKLSLHEMGSSKMLPYLNMFVFSNIGGVVADYLITRRIMSVTGTRKFLNTIGFLAASFALVVIPSFRTSSGAVFCSSVALGFLALGRAGFAVNHIDVAPRYAGIVMGVSNTAGTLAGVVGVDLTGKLLEAAKASDLDLSSPESWRAVFFIPGLMCVFSSVIFLLFSTGERVFD >CAK8539705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522471907:522473552:-1 gene:gene-LATHSAT_LOCUS8779 transcript:rna-LATHSAT_LOCUS8779 gene_biotype:protein_coding transcript_biotype:protein_coding MRFICQRVISLSLVLVFLSTLSCAINTGDEFENKNIKSATFISKMFEIGPGKVAAKTFMDVEFPKGHVGIKSFDAELVDEEGNSVPLYEAYLHHWFAVKYHVKDWNMLKIIPKDPLEGAKYIRNQGTCSDYILPAYWGLGGESRGTKSDIPDPYAVEQGNPSYVPIGYGEEKWLLNLMLIDTRGTKHRKHCTECRCNRYNLPKNFYNVTRGIDGKPLSSNYKGGIFCCQDGLQCKLKKGFEAPTRKLALRYKITWVDWNQQQIPVRFYILDSTDRVRTNGSQIIHDCRAEFTIPPNNGKKHSPPHIQKANIPIERGGYLIYGTSHMHTGVINATLYGEDGRTLYTSKSTYGNGKEPGNEKGYVVGMSGSYPKPGSIKIKDGEIVTVEVRYKSGFLTGAMGHMYIYLADRLP >CAK8560137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8582246:8583683:-1 gene:gene-LATHSAT_LOCUS13842 transcript:rna-LATHSAT_LOCUS13842-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKESVENKIPWDGTLSLNDFTLSASTFSNKWKLFNPFFPPWLWTTCPKHHFGSSHKVEGYLSLESVCLVKSSEEEESNRSLTRKESNISQTEEPFDDATLVCPEYEVNHFDFHIIYSPSYRVPVLYFRSYHSDGQPLPFSEIEKDLPGHSAEMLLQSKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLLCGGDTSLNKNLMEQYLVSWFSVVGQVVGLKTPLGMLDNIVSNDF >CAK8560136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8582246:8585405:-1 gene:gene-LATHSAT_LOCUS13842 transcript:rna-LATHSAT_LOCUS13842 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIKTEQSSEEFHSSSLYLGGMDIKESVENKIPWDGTLSLNDFTLSASTFSNKWKLFNPFFPPWLWTTCPKHHFGSSHKVEGYLSLESVCLVKSSEEEESNRSLTRKESNISQTEEPFDDATLVCPEYEVNHFDFHIIYSPSYRVPVLYFRSYHSDGQPLPFSEIEKDLPGHSAEMLLQSKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLLCGGDTSLNKNLMEQYLVSWFSVVGQVVGLKTPLGMLDNIVSNDF >CAK8573880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643954366:643960814:1 gene:gene-LATHSAT_LOCUS26280 transcript:rna-LATHSAT_LOCUS26280 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQCDLSEKTTQIGSECLDNEQRVLGTVVTDSVVDEKTVRIGSECLDSEQRVLGTVVTDSVIDEKTVQIGSDGSVIDEKTVQIGSECLENEQRVLGTVATDSAIDEKSNQVLVNMTESSVIQLPEPSEQIPVCLSDDKSENKCVQDVQNKPGEKTDVVTSPVVEEQTQPVLAQVNLKSANKPSDPLTGDGVKNISLPNEPGEMSDAVTSLVVEEQTQPVPAQVNSDYANKPSNPPSGDGVKDISLPNESDETSVAVTSLVVEEQTQPVPAQVNLDSANKPSDPPTGDGVKDSSLPNEPGEMSDAVTSLVEEQTQPDPAQVNSDSANKPSDPPSGDAVKDISLPNEPGETSGTVTGPVEDQTQSVLAQVDADSLNEVLNPPSGDVAKNVSSDCSEGKSKSLARSRSRHVGKTNSKPPKKKKYILRSLGSDRALRSRDNKPKAPEPINNVVDVNNDETKTKKEKKKKKKARKEGINDQFSRIRAQLRYYLNRMGYEQNLIDAYSGEGWKGSSLEKLKPEKEIQRAKSEILRRKLKIRDLFQNLDSLCAEGKLPESLFDSEGEIDSEDIFCAKCQTKVLGTNNDIILCDGACDRGYHQLCLDPPLLTEDIPPGDEGWLCPGCDCKDDCIDIVNDLLGTSLSLTDTWERVFPEAATAAGSILDNNLGLPSDDSDDDDYNPNGPEDVEVEGGESSSDESEYVSASEKLEETGHEDQYMGLPSEDSDDDDYDPDAPNLGGKATEESSNSDFTSDSEDLAATIKDNMSVEQDGDVTSALLDNVKNKGSNKQNRKKPSLADELSSLVEPDLGEEDLTLASGKRNVERLDYQKLYEETYHSETSDDEDWAATDAPSRKKKLTGKITPVSPNGSASNNSKRSAKRNTCQHKVKNTTNSPTKTLEGCTESGSRGKKRGSPYKKLGEAAVQRLYKSFKENQYPERATKESLAQEIGLTFHQVDKWFGNSRWSFRNSPHMKASPGSNASQQATDSEAENKGEMGNASQQVTDNGAENKGEKEHELVSQETIREKSRTPRSKKRKQQATDSKAEKKGETGNASQQVTDNGAENEGEKEPGLVSQKTIGEKSRTPSSKKKKQQATDSKAEKKGETGNASQQVTDNGAENEGEKEPGLVSQKTIGEKSRTPSSKKKKQQGSDSGAGKKGESSKKRKQQGSDSGAGKKGESSKKRKQQATDSETGNASQQVTDNGAENKGEKESGLVSQKTIGEKSRTPRSKKRKQLSEPQANKAGLAPNDPTPPKVDTGKKTKKKKGK >CAK8562912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555449531:555450605:1 gene:gene-LATHSAT_LOCUS16374 transcript:rna-LATHSAT_LOCUS16374 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFETINDITDCKDLWKLVVKIHHKWKLTTITNEHFEMVVVDKQGHGIHVVVLKIFSQTFDSSLSVNVTWTMLNFQVQPNDLFFKPTSHKYLLKFTGGKRIGDIGKYDIPDKVINLTPFMDIISGKWPKNLLIDVIGVVDEIGYPQSQFGGKKP >CAK8541002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40843285:40843957:1 gene:gene-LATHSAT_LOCUS9955 transcript:rna-LATHSAT_LOCUS9955 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLENHHCNRAFGMTNEQIEILRKQIAVYAYISELLIQRHNNFSSCQHFTSGARMKLIYDPLLINKISLKQRWKPTNSQIQALEQIYAADQRTPNKERIKKITVDLSKFGPISEFNVYIWFQNRRARLKKKKNNVDVKSKVEIKVNSKDKKIEQNKSVASSSDKNLGHQNSQVNSDFSPYLNPELEDLFAIL >CAK8573971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650852055:650853652:1 gene:gene-LATHSAT_LOCUS26364 transcript:rna-LATHSAT_LOCUS26364 gene_biotype:protein_coding transcript_biotype:protein_coding MPARADKERLNLLLGSHLTTIHETLQVLDQTPSSSSFDSKVSWEDVLKMADQVSKQATTVGMLWSGDQPDSKAIEENMTSFFNNLQGFLLVSHGSTVGAGPTLSSSIHESVKQVVDSSFRLMKETVSLYGTHNEVQKQSVPQLVGVVWEACSALKKTPSSNITAIGRGMMKVAVSVKDVLREMKELKPGSSDDPAEAESEPHDDNSSEGELGSDLSPEEMKIAERAIVVVSDTLSVLKELVRSITGLIKMEKPNDNSGFVDSLEKLLQLCHELSQQIDEIGACLYPPQEITSIEAAMEKIHSIIDGMQVEIGGLQGASDAFQETCNGLRSSMKELVSELSNSSTADIEIRVENITLADK >CAK8533940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:673521448:673521957:1 gene:gene-LATHSAT_LOCUS3525 transcript:rna-LATHSAT_LOCUS3525 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIQQTNEKWKGKANTKLPGCKSEQVWPLLEDFFGLDKWFPTLSTCIPIEGTPGKPGCVRFCAGFKTPIDKNGKQRLNWTKQKLLSIDPIQKVFSYSIIDGNVGFYSYVSTVKVMRKEDGCEIEWIYEVEPVEGWRLEDLDFFIGSGLDVMAQRIQQALKTMKDALEA >CAK8537543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:391210624:391210931:-1 gene:gene-LATHSAT_LOCUS6832 transcript:rna-LATHSAT_LOCUS6832 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPMSNDIDQLNLDGVDAEDDTQLNYGENNQSNNIIDGKNIADTIDFAADGFDIEEGDPNIEIILPPWK >CAK8537373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:313144836:313151131:1 gene:gene-LATHSAT_LOCUS6668 transcript:rna-LATHSAT_LOCUS6668 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATVSSTPNPSFFLFLPSNNKPSLFHPTPRRLHLSRSSPDFNGWALLETPARPASSNKDLSCSALVTVGASLALMLACFSLSRKGFNVQFTSLLQGMWSTVANTRRRLDEAVEFYESNGTTIEAVTETEATEKPGRVTIPVSVDSTQEEALSVLKKLKIIEDDVEANELCTRREFARWLVKLNSSLERNPKHRIAPIVSLSGSIVTAFDDINVDDPDFQSIQVLAEAGMVHSKLSWKNSSNGCGSDCKEDINFFPDRFISRQDLMEWRTQVEYGFFSGIIDQISIEKAGYMDVKGITSAGVYLDMLAGDSSILRKVFGQSKRFQPSKPSTKAQAAVALTSGRMNEAISAEMSRLEAEGSAWQDVAKEIRSELLSRGDIQKFWDAKLSEEKNHGSDIERLYLEAVNNLVEEKFNQEKINADFLKEQTAMNCQKQMMLSLKKEVDEISDKLASERVIYVDEKQTVQKLLRDLELKHEEILDTKSTLEAEKEALQMLRTWVEDEARRSQARAAVLSEVGRRWKWDDQA >CAK8578832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657368877:657371641:-1 gene:gene-LATHSAT_LOCUS30815 transcript:rna-LATHSAT_LOCUS30815 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLYNTTTSGISFHDQSRHVSRSLSFSSNLSTFPHRTMDTNAVKCVVGVEQNRRGRLIVKSKTDFGELGVDISLSPRVNAVKPSRTVAITDQATALVQAGVPVIRLAAGEPDFDTPAVIAEAGINAIREGQTRYTPNAGTLELRQAICHKLKEENGLSYTPDQVVISNGAKQSITQAVLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTSISDDFLLDPKLLESRVTERSRLLILCSPSNPTGSVYPKKLLEEIAQIVAKHPRLLVISDEIYEHIIYAPATHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYIAGPKHFISACGKIQSQLTSGASSISQKAGVAALGLGYAGGEAVSIMVKAFRERRDYLVKSFREIDGVKISEPQGAFYLFIDFSIYYGREAEGFGKIEDSESLCRYLLEKGQVALVPGSAFGDDTCIRISYAASLPTLQAAVERIKKALISLTSAALV >CAK8535015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:803170830:803171036:1 gene:gene-LATHSAT_LOCUS4502 transcript:rna-LATHSAT_LOCUS4502 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKGWKVDVLKMLVAETIHSIWGYRNSIIFGNTVDNTTMDTNVIDNVIYRGWQNLKIRKHLVSFMM >CAK8534210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:705608702:705610313:1 gene:gene-LATHSAT_LOCUS3770 transcript:rna-LATHSAT_LOCUS3770 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTRIGAGLPALESSSFHEAHQTLQELRANSNPGLFFFLNKVFDLVLCFGFSLIEILSQVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSNLKTIHSDESPEFSWMVDGAGLPSNASELLPKLIGENKIVQKT >CAK8564278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665304989:665306935:1 gene:gene-LATHSAT_LOCUS17600 transcript:rna-LATHSAT_LOCUS17600 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEGKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDESVQNDMKLWPFKVVPGPAEKPMIVVNYKGEEKKFAAEEISSMVLIKMREVAEAFLGQSVKNAVVTVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVSEFRRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKIDKSQVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELTGIPPAPRGVPQVNVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIEKMVKDAEKYKAEDEEVKRKVEAKNSLENYAYNMRNTIKDEKIGGKLSNDDREKIEKAVEEAIQWLEGNQLGEVEEFEDKQKELEGVCNPIIAKMYQGGAGGDVPMGDGMPGGGSNGSGPGPKIEEVD >CAK8543718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633610392:633622377:1 gene:gene-LATHSAT_LOCUS12459 transcript:rna-LATHSAT_LOCUS12459 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASANLIVGTHVWLEDSDVAWIDGEVLEINGEQIKVLCTSGKTVVVKASSIYHKDTEVPPCGVDDMTKLAYLHEPGVLNNLRSRYEINEIYTYTGNILIAVNPFIRLPHLYDSHMMAQYRGAAFGELSPHPFAVADAAYRLMINDGINQSILVSGESGAGKTESTKLLMRYLAYMGGRASVAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNKKGRISGAAIRTYLLEKSRVCQVSDPERNYHCFYMLCAAPQEDVKKYKLGHPRTFHYLNQSNCYELKEVDESKEYSALRNAMDVVGIGSEEQNAIFQVVAAILHLGNIEFVKGEEIDSSMPKDDKSRFHLQTAAELFMCDAKALEDSLCKRVLVTRDETVTRWLDPEAAAQSRDALAKIVYTRLFDWLVDTINNSIGQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIISLLDEACMFPRSTHETFSQKLYQTFKGHKRFSKPKLSPSDFTICHYAGDVTYQTEFFLDKNKDYVVAEHQALLNASKCSFVSGLFPPSPVETSKQSKFSSIGSGFKLQLQSLLETLSSSEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVDRFGLLASEALDGSSDEATACKRILKNVGLEGYQIGKTKVFLRAGQMAELDTRRSEILGKSASVIQWKVRSYLAHQSFISLRLSAVQIQAACRGQLARQVFEGMRREASSLLIQRCFRMHIDRKAYKELYASAVSIQTGMRAMAARCDLHFRRRTEATIVIQSHYRKYSAQLHFTKLKKAAIAAQCAWRGKVARRELRKLKMAARETGALQDAKNKLEKQVEDLKLKLQLEKHLRVAIEEAKTKENVRLQSELLEMQFKFKETKSLLEKEREATKKLEEKVPVIQEVPVVDHALLEKLSGENEKLKNLVSSLEKKIDETEKRYEEETKVSEERLKQALDAESKVIQMKTAMQRLEEKFADMELAKHILQNQPLLNLSVKTTVENLSTPVSEKLENGHHAAEEQNDVDAFVTPVKVKQSGTESDSKLKRSCSERRHGSFDSLVNCVSKNIGFNHGKPIAAFTIYKCLLHWKSFEDERSSVFDRLVQMIGSAIEDQDDNDLMAYWMSNLSALLFLLEQSLNTGNSTNSTPVGKPPNPTSLFGRMTKSFLSSPSSVSFVSPSSVVVRKVEAKYPALLFKQQLTAYLEKIYGIIRDNLMQELTSVLALCIQAPRTSKGVLRSGRSIGKDSPMAHWQSIIASLNALLCTLKENFVPPVLIRKIFSQTFSYINVQLFNSLLVRPGCCTFSNGEYVKAGLAELELWCCQAKEEYAGTSWDELKHIRQAVGFLVIHQKYRISYEEIVNDLCPILNVQQLCKICTLYWDDNYNTQSVSPHVLASMRMDSNNPNGDSFLLDDSFSIPFSVDDLSASLQEKDFSDMKPADELLENPAFQFLNE >CAK8560735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38920314:38921335:-1 gene:gene-LATHSAT_LOCUS14388 transcript:rna-LATHSAT_LOCUS14388 gene_biotype:protein_coding transcript_biotype:protein_coding MQMALLLRGGTLGDSAFRLCSLTSTSSSHVSQNVVIPNSSSSPLLPLIASRFKTVSRNKIICSAVQESSTTSTSATAETKEEVKAAAAAPKAATEKKAPPAKAPVKPLPQMMEEDVIPSLKTILESNKDLSDIELVFQDNKLEGSFLKKGNPYSFWAFFPTGITGPKGFSLSSYNSGASTVEPFLIDEKKITSKHIVFWVEKRLAAQGIIPVWKD >CAK8560736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38920314:38921329:-1 gene:gene-LATHSAT_LOCUS14388 transcript:rna-LATHSAT_LOCUS14388-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRGGTLGDSAFRLCSLTSTSSSHVSQNVVIPNSSSSPLLPLIASRFKTVSRNKIICSAVQESSTTSTSATAETKEEVKAAAAAPKAATEKKAPPAKAPVKPLPQMMEEDVIPSLKTILESNKDLSDIELVFQDNKLEGSFLKKGNPYSFWAFFPTGITGPKGFSLSSYNSGASTVEPFLIDEKKITSKHIVFWVEKRLAAQGIIPVWKD >CAK8574444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682030483:682031634:-1 gene:gene-LATHSAT_LOCUS26789 transcript:rna-LATHSAT_LOCUS26789-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLVPEKNDCEVMSKVLVPEKNECEVMSKVLVPEKNDCEVMSKALLPQDLMLYIFHLVPIYCLIDSARYVSKHWAATFNQLDSRRVRSTTGIFVENPYFPRDSYFLEFKDDAFETISLPTPPNMGYLIGTCNGIFMFSTPDKDMIYLANPILKCWLRLAPFPISRSTINFMNQCTIACVPRTAKFKVFFQDIVVSDAPSYSYSYVFYVLRVGIDNSWKEIARKEAPRNGHISWKPLYSGGNHLYWITSEGIIVFHVDKEIIVREYPLCLPLRPKPNYLWTGNHIACIATKSCCKAYQIHILDFDSGKWSLYHETGPFDYTTPQLKFLLWINHQIIFIVALSEQYIHFAYNVNTKQFTKIDHIAVHPSHHVWLHTNTLVSLP >CAK8574443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682030483:682031691:-1 gene:gene-LATHSAT_LOCUS26789 transcript:rna-LATHSAT_LOCUS26789 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKSHDDTEQKNSCEVMSKVLVPEKNDCEVMSKVLVPEKNECEVMSKVLVPEKNDCEVMSKALLPQDLMLYIFHLVPIYCLIDSARYVSKHWAATFNQLDSRRVRSTTGIFVENPYFPRDSYFLEFKDDAFETISLPTPPNMGYLIGTCNGIFMFSTPDKDMIYLANPILKCWLRLAPFPISRSTINFMNQCTIACVPRTAKFKVFFQDIVVSDAPSYSYSYVFYVLRVGIDNSWKEIARKEAPRNGHISWKPLYSGGNHLYWITSEGIIVFHVDKEIIVREYPLCLPLRPKPNYLWTGNHIACIATKSCCKAYQIHILDFDSGKWSLYHETGPFDYTTPQLKFLLWINHQIIFIVALSEQYIHFAYNVNTKQFTKIDHIAVHPSHHVWLHTNTLVSLP >CAK8536991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51512350:51513322:-1 gene:gene-LATHSAT_LOCUS6312 transcript:rna-LATHSAT_LOCUS6312 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLRSQQSHSQKQGMSNFDMKQRVLTTLNKVGDRDTHQIGTNQLRAILNSLTPQPISPLLSCILDIHSEQKTSIHKQCIKLMGTMAEVYEALVLPYLSKMVATIVKCFRVSDSSVRESCVEMVAVVASKLGNQNNEDKVFVLLASPLFEALVSDQNKHVQSASAFCLATVIHNTHSPPISILHKMLVRILKLLKNPHFMAKPALIELNRSIIQAGGAFTQSVLTTAVAGIREALKDTDWKTRKAASVALGQIALSRASLLLSLSPSCIHSLDSSRFDKVKPVRDAVLQALNWL >CAK8533957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675032545:675033500:-1 gene:gene-LATHSAT_LOCUS3539 transcript:rna-LATHSAT_LOCUS3539 gene_biotype:protein_coding transcript_biotype:protein_coding METAYLWGIAAHGDYKCATRMYNLLNQRQKFWRMTPKILVSSQASKVLNLKASQVPSGFVSILSRISF >CAK8542364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504952904:504953230:1 gene:gene-LATHSAT_LOCUS11208 transcript:rna-LATHSAT_LOCUS11208 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNKKAMMKLALMVFLLSFTTNVVTARFNSTSFITQVLSNGNDDKSACCDVCLCIKSNPPSCRCFDVRETCPSACNQCLCTTSLRPLCQCFDTFDTCNEACDNSEN >CAK8543774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638867924:638870635:-1 gene:gene-LATHSAT_LOCUS12512 transcript:rna-LATHSAT_LOCUS12512 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYGNTRLYVGRLSSRTRSRDLERVFSRYGRVRDVDMKHDYAFVEFSDPRDADDARYNLDGRDVDGSRLIVEFAKGVPRGSRDSRDSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSRHARSVSRSPGRSRSPRRGRSRDRSYSPARSYSRSRSPVRSRSPVRRDRSPVPDDRSRSPPPSKSRKYSRSPEGSPQRSASPGNDKVVTAQDGSDYSDGPRVKSRSPSKDNDDSPKANGRSRSRSRSRSPSRSRSPRDEDRSPIEDDDDTTHRSPSP >CAK8543775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638867924:638870635:-1 gene:gene-LATHSAT_LOCUS12512 transcript:rna-LATHSAT_LOCUS12512-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYGNTRLYVGRLSSRTRSRDLERVFSRYGRVRDVDMKHDYAFVEFSDPRDADDARYNLDGRDVDGSRLIVEFAKGVPRGSRDSRDSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSSRHARSVSRSPGRSRSPRRGRSRDRSYSPARSYSRSRSPVRSRSPVRRDRSPVPDDRSRSPPPSKSRKYSRSPEGSPQRSASPGNDKVVTAQDGSDYSDGPRVKSRSPSKDNDDSPKANGRSRSRSRSRSPSRSRSPRDEDRSPIEDDDDTTHRSPSP >CAK8570852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:130008623:130011309:1 gene:gene-LATHSAT_LOCUS23565 transcript:rna-LATHSAT_LOCUS23565 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFCDLQVHINDEEIFLINKNLISKYCGKLKKILNGEKRIVHINDFPGGPYGFELALRFCYNNGKISINVSNVLILHSCALYLEMNEENFTNNLLQQTQTFLDDVYYWKWNEIIVSLKICECKKFFTYANSFGFLEKIIFVLVAKLVQNSDLSFIASSSSSSSLSSPENNFPKRISFSTRVRSPEKIVSDWAEIQIGSVKKLSFCNKTWWFDDLATLPPKIIEKFLQGIGAYKSDNKNLIITRFLLHYLRKARPSYKNISLGETAAYGVINVGSKNFSCRGLFCVLRILSKFGISEDCRMEIEKLIGGMIEKATLDDLLVCGHDNGLYYDVSFVIRLVKVFVEINGCDVVKVKKVGGLIDKYLIEISADQKLKISKFLEVVECLPGFARDCFDGVYRAIDIYLESHPMIAFEESSRLCECLNYNKLTFEVCKELAKNQRIPPKVAMQALISQQRNVPSCDYGMYESEIMSPSQIILYCEDNNDGFLEEKEDVRINLESMQWRVEELEKLCKEMKVQMSKLNGFNV >CAK8570790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115077386:115079088:-1 gene:gene-LATHSAT_LOCUS23512 transcript:rna-LATHSAT_LOCUS23512 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVATDGGRHYEGKVTAFVLVTCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYKQMKDKSGHESQYCKFDNQLLTLFTSSLYIAALIASFFASITTRLLGRKPSMFIGGLFFLVGALLNGFAVNIEMLIIGRLLLGLGVGYSNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILIANLINYGTSKRENGWRISLGLGAVPAILLCLGSFFLGDTPNSLIERGQHEKAKTMLQKIRGTTNVDEEFQDLMDASEQAKKVEHPWKNIVEPKYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGSEASLMSAVITGGVNVVATFVSIFTVDKFGRKFLFIEGGVQMLICQIAVGGMIAAKFGISGEGSLAKGEANLLLFLICAYVAAFAWSWGPLGWLVPSEICSLETRSAGQAINVSVNMLFTFFIAQAFLTMLCHLKFGLFFFFAGFVVIMTIFIVLFFPETKNVPIEEMNRVWKSNWFWAKFILDEDVSGDKHNASD >CAK8544271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675017417:675018148:-1 gene:gene-LATHSAT_LOCUS12964 transcript:rna-LATHSAT_LOCUS12964 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNNSSSFSHPHDQCQKHKAFKCEFCDQHFTSGQALGGHKTCHRSNNKIRDDHHRHKTVKITFFLTDKTIKKRYSWTRVLKPTVHHPPTALGPCQQEEFPAVDLLSLLPPRSYNTKKRSRRYLIHHGVSNNTPSIPPLDISRESVANLDANHRECKRMKLSSNVNDTEIMQKPFVPLTSDGFDETVVKEEKNLNGGETTVSRVVREFDLNDLPANDVETVVSRVVRNIDLNKLPTNGVETE >CAK8542672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536420292:536420782:-1 gene:gene-LATHSAT_LOCUS11492 transcript:rna-LATHSAT_LOCUS11492 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMKPRETYLKRFNIRRGMQVIKVQNYHQEEGRSTDMVDGNLNVLKERIEMVKVKERLERCCKSQHGWNYIPLSMSDHQKDKRDRELRSLIELIGLVCGTIGFTSFLGTLFLCLVSLIVHLQV >CAK8542673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536420292:536420773:-1 gene:gene-LATHSAT_LOCUS11492 transcript:rna-LATHSAT_LOCUS11492-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRETYLKRFNIRRGMQVIKVQNYHQEEGRSTDMVDGNLNVLKERIEMVKVKERLERCCKSQHGWNYIPLSMSDHQKDKRDRELRSLIELIGLVCGTIGFTSFLGTLFLCLVSLIVHLQV >CAK8573070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580669235:580669567:-1 gene:gene-LATHSAT_LOCUS25560 transcript:rna-LATHSAT_LOCUS25560 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQNEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGWKVGKCCGMVSFSSWLWLLAFWD >CAK8539188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506391876:506393260:1 gene:gene-LATHSAT_LOCUS8308 transcript:rna-LATHSAT_LOCUS8308 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDDHVPLLTPYNMGKFNLSHRVVLAPLTRSRSYNNVPQPHTILYYSQRASNGGLLISEATGVSETAQGYPNTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQLWHVGRVFDSVYQSNGQTPVSSTDKILNPKIGNDGNVMSQFIQPRRLRTEEIPHIVNDFRLAARNAIEAGFDGVEIQGANGYLLEQFMKDKVNDRTDEYGGSLENRCRFVLEVVEAVVNEIGGERVGIKLSPFSETGECGDSNPKALGFYMVDAINKYGVLYCHMLEPRMDIVDENTECVYSLEPMRKAFNGTFMVVGGYDRQDGINAIVENRADLVAYGRWFISNPDLQKRFALDAPLNKYNEETFYTFDPVLYYTDYPFLEG >CAK8530923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63562629:63567986:1 gene:gene-LATHSAT_LOCUS750 transcript:rna-LATHSAT_LOCUS750 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDKNRENISNHPYYGTFQGVANYYPHHNPSNDLYGGIHTYYQGQEHHVLPVRVVVEARPVREHHLPCCGLGLGWILFIMGFFTGGVPWYIGAFILVCVRMDYREKPGLIACVVASLIAMIAFILGVTHPHFGKHIFHYTL >CAK8575191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50280020:50281793:-1 gene:gene-LATHSAT_LOCUS27475 transcript:rna-LATHSAT_LOCUS27475 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKLRICLAICLIGVISASDVIPSAITHVSSFIPDPSSQLSTTFYVTKCPLALQIIKEDITREVLNDRRLGASLLRLHFHDCFVQGCDASVLLKDNATFKGEQNALPNANSLRGYEIIDKVKDKLETYCPNVVSCADILAVAARDSVVALGGPIWPVRVGRKDSTTANLSAPNLDLPSPFLNLNGLIDAFKKKGFSAEEMVALSGSHTIGQAKCTLTKSRIYNESNIASDYRTSLQKTCPIKGGDNNLSPLDATTPNFFDNQYYKNLLDKKGLLHSDQQLYSGSSGSLDYKVLSYAINPLLFKLDFANAMVKMGNLSPLTGYPGQIRKYCSRVN >CAK8567687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536523179:536526496:-1 gene:gene-LATHSAT_LOCUS20716 transcript:rna-LATHSAT_LOCUS20716 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFSRTIYVGNLPSDVRESEIDDLFYKYGRIMEIELKVPPRPPCYCFVEFDNPRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSDRRGYGGSGGGGGGGGGGGGGAGGGRFGVSRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSEGTFGLVDYTNYDDMKYAIRKLDDTEFKNPWARSYIRVRKYESSRSRSRSRSPSRSRSPKRARSRSLERSVSRSRSISRSRSASPIKASRPRSRSRSPSRSVSPRSPPKVLSGGS >CAK8566540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433767351:433767665:-1 gene:gene-LATHSAT_LOCUS19664 transcript:rna-LATHSAT_LOCUS19664 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDNTLSTRLKVHIQIIGTDQVPEAMSATLHHQIIYRLQNHSMDLPISGCSSDSLLVVTNREEDTPFIVQIPRKITREELTQLIPLEWITNYERLYVDKRPI >CAK8562687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:525989967:525992419:-1 gene:gene-LATHSAT_LOCUS16162 transcript:rna-LATHSAT_LOCUS16162 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMQYYIQLFFFCLLSTIAVLAIQTRNKNKNHLTPPSPPSLPIIGHLHLISKLPHQTFHELSTHYGPIFQLFLGSKRYVVISSPEIVKEFLKTNETYFSNRFRSSAVHYLSYGSKGFLFAPYGDYWKFMKKMCMSELLGGRTLDQLLPLRQQETLRFLRLLQKKGEAGEAVDVGGELLTLTNNIISRMTMRKVCSENDSDSEEIRKMVRDAAELAAKFNISDHIWFCKNLDLQGMNKRLKGIMERFDTMMERVIREHQEERKKRKEKGEDGGHVRDLLDILLEVHENKKSEIKFSRENIKAFILDIFMAGTESSAITIEWAMVELINNPYVMQKARQEIDSITQKSRLIQESDLPKLPYLQAIVKETLRIHPAAPILGRESMESCIVYGYEIPANTVLFVNLWSMGRNPKVWENPLEFKPERFMSQDIKFDVRGQNFEFMPFGTGRRICPGTSSALQVIPTNLAAMIQCFEWKVAGDGKVNMEEKAAMSLPRAHPLMCVPIPRFNCFPFGV >CAK8531808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153707009:153710268:1 gene:gene-LATHSAT_LOCUS1573 transcript:rna-LATHSAT_LOCUS1573 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELEIDEENDNKGNMWDMDQKLDQSMDEEAGKLRNMYREKKFSALLVIRLAYQSLGVVYGDLGTSPLYVFYNTFPHGVKDPEDVIGALSLIIYSLTLVPLLKYVFIVLRANDNGQGGTLALYSLLCRHANIKIIPNQHRTDEELTTYSRTTIHERSFAAKTQRWLERGFIKSIILILVLVGTCMVIGDGILTPAISVLSAAGGIRVNKPEIHSGVVVIVAVVILVGLFSMQHYGTDKVGWLFAPIVLVWFLLIGGIGIYNIWRFGGRVLKAFSPVYVYRYIRNGRKDSWLSLGGILLSITGTEALFADLANFPVSSVQIAFTLVVFPCLLLAYSGQAAYLIHNLHHSQDVFYRSIPDKIYWPVFVIATLAAIVASQATITATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILVMILVWHCHWILVVVFTGLSLIVECTYFSAVLFKVDQGGWAPLAIAGVFLLVMYIWHYGTLKRYEFELHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNYHIFRCVARYGYKDLHKKDDDFEKKLFHNLFMFVRLESMMEGCSDSEYSLCEPQTEQTRDFTLNNNGNTNSLSNGDLTISSVDSIVPARSPSHVNVTFQSSSHYTEGDEYEFLNNCREAGVVHILGNTVVVASRDSRFYKKIAVDYIYAFLRKICRENSVIFNIPHESLLNVGQVFYV >CAK8541998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:449296428:449297651:1 gene:gene-LATHSAT_LOCUS10875 transcript:rna-LATHSAT_LOCUS10875 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDGLTSLDRYKGRCYEIEPVPGEDNQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMSWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMLKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDHYIEKDRSRGIYFTQDWVSLPGVIPVASGGIHFWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8541983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:447662940:447663800:-1 gene:gene-LATHSAT_LOCUS10860 transcript:rna-LATHSAT_LOCUS10860 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSSMLEKKKVLFIMGATGTGKTNLSIKIGTQFPSEIVNSDKIQVYKGLDIVTNKLPKSEQCSIPHHMLGFIDDPNCDFSVTDFCKKMLETLDIIVENGHLPIIVGGSNSYIQTLVDDPIYAFRSKYDCCFIWVNVSLSILFPYLDKRVNEMVEAGLVDEIREYFVPGANCTRGIRRAIGVPELQRYFEIENNKGIDEGDKEKILKKAISKTKENTCKLAENQLLKIEEMVNKLGWKMHKIDSTKVFKAILKGEDYQHLHKEIVVKPSLEIVKEFLEETTLKI >CAK8564461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675726120:675727246:1 gene:gene-LATHSAT_LOCUS17765 transcript:rna-LATHSAT_LOCUS17765 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSQKQHIIVLFLILALGGITNVLSRKLQQSSSSLEERHEQWMTEYGKVYKDDAEKDKRFIIFKDNVEFIESFNAASDKPYKLSVNHLADLTLEEFKASRNGYKRRSTSTELTSTSFKYEDVTSIPASVDWRVKGAVTPIKDQGQCGSCWAFSTVAATEGINQITTGKLVSLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKATDGSCNTATTVPVTKIKGYEKVPVNSEAALLKVVANQPILVSIDASDSSFMFYSHGIYTGEYGTELDHGVTAVGYGSANGSDYWLVKNSWGTVWGEKGYIRMQRGIAAKEGLCGIAMDSSYPTA >CAK8532780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:513911595:513942261:-1 gene:gene-LATHSAT_LOCUS2452 transcript:rna-LATHSAT_LOCUS2452 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTPSLSFFPFLNPTTNHFNSLLQFPPHKIPNQLSSRRCSVSRAAVSTGSDNDDSFTAKSGYLFELSATEADSLADYSISKIAAIYFRKPLVVARRLFQTGTALGKWFGLRYLDSRFDRSDDMFQVRAAELRKILVELGPAYIKIAQAISSRSDLIPPSYLDELSLLQDRISPFSTEVAFNMIEQELGLSLADIFSEISPEPVAAASLGQVYQARLRRTGQVVAVKVQRPGVQAAISLDILILRFMAGLARRVGKLNTDLQAVVDEWASSLFREMDYNNEASNGIKFRNLYGSIQDVVVPLMYTEYTTRKVLVMEWIEGRKLSEVKDLYLIEVGVYCSFNQLLECGFYHADPHPGNLLRTSDGKLAYLDFGMTGEFKQELRDGFIEACLHLVNRDFDALATDFVTLGLLPPTAEKEAVTKALTGVFQNAVSKGVRNISFGDLLGNLGLTMYRFKFRIPSYFSLVIRSLAVLEGIAIGFNPDYKVLGSTYPWIARKVLTDSSPQLKSSLMNLLYKDGVFEIDRLESLLTESLRAKTDKTLVKLPSEGADSKMVMKEILSFTLTEKGEFVRKIIVQEFAKGLDAFGLATLETAAARVPFSTLFFPSLMTEEDLVNLRTFQRLMLLLSGARRKEDFNTVDRKASPDQNQILYTEELSLVLNQFESVQDILPVLSIITELPPESQQQLLQLPANLIRQLISRASARTIRKVFL >CAK8540842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25278611:25280481:-1 gene:gene-LATHSAT_LOCUS9808 transcript:rna-LATHSAT_LOCUS9808 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRQYYGRDRISGLPDSLLLQILSNLKVEQAVQISIVSTRWKDMWKHVSVLYLCDDYRYFKSIESFSNFVSQIFSFRNDKTSLQALTFRGRYRFDPELLKRILQYLFSHNIQQLNMMVACSLEHFPLSTNFSCHTLTSLKLFSRPEWGKYDRLPPVFPNSLQFPALNYLFLGWFTFCCTTDDYADPFSVFQSLKTLTIQFCELLNEKTLFISSVSLFNLRILLPTASYKLKLSTPNLCSFAFRGHSLQNLCGHNCISNTNFSYIKHVRIELARHQPQVSPSILFNWLVELGLMESLTISSKTLEVLSLVPDSWKIDFSYLHNLKLLKIETHDICPSPPDGTEDFLLQNAKSAKKVILPGPTLEEICKSMSSEQERMQ >CAK8569658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7430174:7435846:-1 gene:gene-LATHSAT_LOCUS22486 transcript:rna-LATHSAT_LOCUS22486 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTCACLYTPSFSSSSSLPLLPSTILFQQQQQRYHHFLNLPFRSLSSSTLSLNATPREIELHLSSSNDAVQNPTIEFETPLHNQESSPPTPLVLSGEDKTTNNNKLKKKKDLNDDNSSNFDNRFKLRNGKEVFEEKAYLVGVERKGDASDSFGIEDSLSELKQLADTAGLLVVDSTYQKLASPNPRTYIGSGKVSEIKSAINALDVETVIFDDELSAGQLRNLEKVFGGDVRVCDRTALILDIFNQRAATHEASLQVSLAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRNQIGVLKKELESVRKHRKQYRNRRVSVPVPVISLVGYTNAGKSTLLNQLTGADVLAEDKLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLVEQQINAVDKVLSELDVSSIPRLMVWNKIDKASDPQKIMLEAEKRDDVVCISALSGDGLQEFCNAVQDKLKDSMVWVEALLPFENGDLLSTIHQVGMVEKTEYTEQGTYIKAHVPLRFARLLTPMRQLCVSRP >CAK8562019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426400533:426403704:-1 gene:gene-LATHSAT_LOCUS15555 transcript:rna-LATHSAT_LOCUS15555 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKQTSDERDEDGRESGTPSTKEAVKSITTQIKDMALKFSGAYKQCKPCTGGSSSYNKKGHRPYPDFDTISEGVPYPYVGGASSSSTPAWDFTTSNYPGARYAGDRTPRGRDSASVCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQKWWGENYDRIMELYNVQRFNRQALNTPPRSEADEQRDSTYSRLTSARESPMASVKDWTPRSHYKPSGMSDQGGGHHFQAGSSMEPSRATTSSRDEPSISNASEMESEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKTWWEENRERIQAQYL >CAK8576755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511383177:511383491:1 gene:gene-LATHSAT_LOCUS28924 transcript:rna-LATHSAT_LOCUS28924 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLRARRAKNERKRRHNMNLEQRENYLSMQRDNYSRRKEKDKQAQTSRTMNSQKRVPFQNFTNMRSPMSHFQRTHDNEADPSRITHVNDVALGW >CAK8530205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7212055:7212300:-1 gene:gene-LATHSAT_LOCUS92 transcript:rna-LATHSAT_LOCUS92 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETRRKVEEMVLDILRKASIEEATEFTVRLAAFEQLGIDLSDSHNKADYLSEPSSTLTSSPSPPAATNRMNRRKFPPRQ >CAK8566882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:469697573:469698064:-1 gene:gene-LATHSAT_LOCUS19981 transcript:rna-LATHSAT_LOCUS19981 gene_biotype:protein_coding transcript_biotype:protein_coding MHKAINCSLITLIPKSYEARTIKDMRPIACCSTTYKIISKNLTARLGKVIGNIIEETQSAFVLGRTIHDNIMLARELVRVYNGKHISLRCMIQLDIQKAYDTMEWLALTNIMQELGIPQTFINRTMACVSIISYRFSINGAPTDLINLGKGLDRVTPSIPYYL >CAK8540852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25694172:25697306:1 gene:gene-LATHSAT_LOCUS9817 transcript:rna-LATHSAT_LOCUS9817 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVESVVSFTIERLADLLIEEASLLRGVSGQIKKLQTELKRMQCFLRDAERKQNERGEILKNWISDIRKLAYDAEDVIESYAFSFSVTSAMISFSKLKHVHEVGKKILSINYQVADLTRSLQTYGLTATTRDNEESHFVFETQKQLRWSYSHAVEEFIVGLDADIKDIVEWLVNQDRNCRVVYICGMGGLGKTTLAKTVYHYNSIRRHFEGFAWAYISQKCNRREVWEGILLQLTTSSSKEERDEIRNMRDEELAEKLYKVQQEKKCLIVLDDIWSNETWDILSPALPSENTKSKIVFTSRNKSISSHVDPKGLLHEPGFLNAEDSWALFQKKTFPSKDDPEFTICNEFERLGRDMVAKCSGLPLAIIVLGGLLATKETVNEWEMIHKHITSYLIRGEDVLERQSRITEVLDLSYHDLPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAKGFVSSHYEIGRDETMEDMAERYLGSLISRCMIQVGQMGSTGKIKTFRLHDLMRDMCLSKARKEHFLCVIGRPQQKSSDISNVSSSSNISFDARKSDEVRRLAFFLDQHVDNLIPLDEQVNQHLRSLVYFHDKKCRVESWKRVKAVFENFKLLRVLDLEGVKGPKGQILPKEVGNLFWLKFLSLKRTCIQILPPSLGKLENLQSLNLQTINKVSWDSTVEIPNILCKLKRLRHLYLPNWCENVSGILQLENLINLQTLVNFPASKCDVKDLLKLKKLKKLVLNDPRYFQNFAESFSLCNKKLEYLESLSLKTDLLSFPDQVIDVEKLVLGCPSLHKLHVEGRLERLPEARLFAPQLSKLTLSGCKLVEDPMVTLEKLPNLKYLSVWEMFVGKKMVCSSNGFPKLKILVIRGFSNLEEWVVEDQSMPCLYRLSISDCNKLKSVPDGLKFVDGLRELEIRWMPKSLKNRLGVAGEDYHKVQHVPSVVFFN >CAK8540853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25694178:25697306:1 gene:gene-LATHSAT_LOCUS9817 transcript:rna-LATHSAT_LOCUS9817-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVVSFTIERLADLLIEEASLLRGVSGQIKKLQTELKRMQCFLRDAERKQNERGEILKNWISDIRKLAYDAEDVIESYAFSFSVTSAMISFSKLKHVHEVGKKILSINYQVADLTRSLQTYGLTATTRDNEESHFVFETQKQLRWSYSHAVEEFIVGLDADIKDIVEWLVNQDRNCRVVYICGMGGLGKTTLAKTVYHYNSIRRHFEGFAWAYISQKCNRREVWEGILLQLTTSSSKEERDEIRNMRDEELAEKLYKVQQEKKCLIVLDDIWSNETWDILSPALPSENTKSKIVFTSRNKSISSHVDPKGLLHEPGFLNAEDSWALFQKKTFPSKDDPEFTICNEFERLGRDMVAKCSGLPLAIIVLGGLLATKETVNEWEMIHKHITSYLIRGEDVLERQSRITEVLDLSYHDLPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAKGFVSSHYEIGRDETMEDMAERYLGSLISRCMIQVGQMGSTGKIKTFRLHDLMRDMCLSKARKEHFLCVIGRPQQKSSDISNVSSSSNISFDARKSDEVRRLAFFLDQHVDNLIPLDEQVNQHLRSLVYFHDKKCRVESWKRVKAVFENFKLLRVLDLEGVKGPKGQILPKEVGNLFWLKFLSLKRTCIQILPPSLGKLENLQSLNLQTINKVSWDSTVEIPNILCKLKRLRHLYLPNWCENVSGILQLENLINLQTLVNFPASKCDVKDLLKLKKLKKLVLNDPRYFQNFAESFSLCNKKLEYLESLSLKTDLLSFPDQVIDVEKLVLGCPSLHKLHVEGRLERLPEARLFAPQLSKLTLSGCKLVEDPMVTLEKLPNLKYLSVWEMFVGKKMVCSSNGFPKLKILVIRGFSNLEEWVVEDQSMPCLYRLSISDCNKLKSVPDGLKFVDGLRELEIRWMPKSLKNRLGVAGEDYHKVQHVPSVVFFN >CAK8577020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532038738:532039523:1 gene:gene-LATHSAT_LOCUS29164 transcript:rna-LATHSAT_LOCUS29164 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNDQPSTSTNKVERRLVEKNRRNQMKILYTKLNSLLPNYNPKELPLALPDQVEEAINYIKSLEANVKMAEEKKERLLMEKKKRSRECCFGVPKSPCFEIHEFGSSLQVVLTCGLDNQFIFYEIIRVLHEENVDVKSVNSSTVGDNSFLHVVHAEIPQNYVQFGATKVSERLKRFVSGSSIQPHDFWDFEIGNDVWSF >CAK8567382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509797195:509797640:-1 gene:gene-LATHSAT_LOCUS20442 transcript:rna-LATHSAT_LOCUS20442 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVGGAANPMIVWNEEGKRFETNDKEAYLEYKLRNNGKVLDLVHTYVPPSKRGLGLASHLTVAAFNHATTHSLSIIPSCSYISGTFLVKNPSWNSVVYKENDASNL >CAK8579723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719144397:719144633:1 gene:gene-LATHSAT_LOCUS31647 transcript:rna-LATHSAT_LOCUS31647 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSGHEILPHIGPKPPVGIHRFKLVLFEQKGPIGLVEEPPSRVSFNTRYFASQLNLGLPVATVYFNSQREPQSKRR >CAK8530128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1978484:1981577:1 gene:gene-LATHSAT_LOCUS20 transcript:rna-LATHSAT_LOCUS20 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTIDVDLGPNKIPDEKQEGPLFHCDLCDTEVVHKLAQMFLPGLASACVDNTTGGIFKTPGSVTVDLRKEMIEYLTLRSESFVAESVILQDGPDGEVSDHPFDIISNFVDDFASSKRNFFSRVSAWLLSEKREDKIDDFIQEIDMNGFWTLDRREVIAETLLKNVDFKNLYHCTESFNSSQELGNHVLACDFRPLICQNQGCNARFCAGHFKKHDSTCPFKIIQCEQRCSSSIMRRDMDRHCITACPMKLVNCPFYAIGCRSAVAQCMIEKHCSDDFQSHLWHLLKGTYKEVSGDDLQRRVVQIVQASPNSKLSRARDVRSLTFIVKNIEATLGPMEITVEQKNSEETITKKDDSDDTDTNNDSEQNTQTSNMVTSSDKAVTSGITMHTGKSEESENIRTEIEGSEERTQTSNITKQPGEAETVHVNDGTTQNYKENNDVAASELKLKDNTENAHTNIEKLAEEVGATNEDYVHNNNSIQNGDIEDDNFEDNDNVQSIQNSNMETAGSAKNNVKNTDNVQSIHNSNMDTAGSAENNVKNKDTEDDNSK >CAK8561592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:312251027:312253727:-1 gene:gene-LATHSAT_LOCUS15169 transcript:rna-LATHSAT_LOCUS15169 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWIVKFLLQRRYTVRATVRDPCVSKKVGHLINLDGAKERLQLFKADLLEEGSFDSAVEGCDGVFHTASPVRFVVDDPQAELIDPAVKGTLNVLKSCAKSPSVKRVVFTSSIAAVAFNSRPKNPGVIVDETWFSDPDFCRESGVWFGWYPLSKTLAEAAAWEFANKNKIDMVVINPTMVAGPLLQPEVNESVQPILNLINGIPFPNNGYGWVNVKDVADAHIRAYEIASTSGRYCLSEREIHLSELASILRDLYPTLKISNQCEDEEPYITTYQISKEKAKSLGVEFTPLEISLKETMESFRKKKIIDF >CAK8566791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463376694:463379314:1 gene:gene-LATHSAT_LOCUS19898 transcript:rna-LATHSAT_LOCUS19898 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPLSAPNITDAKRNDGEAGSDDDHPQIPGWFAEHCPIWPGEAHFLKVDNICFQGKSQFQDMLVFQTSTYGKVFVLDDALQLTEKDECSYQEMMTHLPLCSIPNPKKVLLFGGGDGGILREISRHSSVEHIDICEIDTMLIDVYKKYFPDIAIGYKDPRVQLHVTDGTLFLKSVAKGTYDAIIVDAFDPIRSDHELFETEFFELISKALRPGGVLCIQAESFWYKSLDIEELLIKSRKIFKGTSDYAWTNVPTYPSGVIGFLLCSTEGPHVDFRNPVNPIGPENYGVSKYPLKYYNSEYHSASFCLPSFAKRTKAKSTA >CAK8534383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721967589:721970793:1 gene:gene-LATHSAT_LOCUS3933 transcript:rna-LATHSAT_LOCUS3933 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEIAAGGGRGTAVPKEVDYANYFCTYSFLYHQKEMLSDRVRMDAYFNAVFENKHHFKDKVVLDVGAGSGILAIWSAQAGAKKVYAVEATKMSEHARALVKANNLENVVEVIEGSMEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHARMWMAPIRTGIVEQKLDDYQSAMGDWHSFVDETKACYGVDMSTLAKPFAEEQRKYYLQTSLWSNLHPHQVIGTAGIIKEIDCLTATVADIEKVRSKFSLSITMDNTKLCGFGGWFDVHFRGRSEDPAEHEIELSTAPSVDYCTHWGQQVFLLNPPTHVGQGDELSVNFLMSRSKENHRLMEVELGSEIQKHSGKLVAPFKNKYYIE >CAK8569546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4804462:4807827:-1 gene:gene-LATHSAT_LOCUS22388 transcript:rna-LATHSAT_LOCUS22388 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDIISGDICSDLWKMLTTISRKTLNSRASAEQLLTFVRDILPTIEEVKYSGEELPPPRQYQLDRVSEILRSGVELSHQVLATSRWNVYRNFQLAKKMENLEESVTKFLQVPLQAYMLADVHRLRSDIDERFHQIDELNRRIERFFESMKIGVGGGGWVEEAVRTSENEGSFGNFNLGIGLEFGKKKVMEMVVGRKDLCVVGICGMGGSGKTTLAREVCRDEEVRCHFKERILFLTVSQSPNVEQLRAKIWGYVMGNGNLNSNYVVPQWNPQFECGWNPQFECVGSQAQILIVLDDVWSKPVLEQLVFRIPRVKYIVVSRFRFIDTIYQVEKLSEDDALSLFCHHAFGQNSIPFAANHNLVKQVVAECGKLPLALKVIGASLRDQNELFWLSVKTRLSQGLSIGESYELDLMDRMAISTNYLPEKIKECFLDLCSFPEDKKIPLEVLINMWVEIHDIHETEAYAIVVELSNKNLLTLVKEARAGGMYSSCFEISVTQHDILRDLALNMSNRGSVNQRRRLVMSKREDNGQLPKEWLRYADQSFDAQIVSIHTGEMRKSDWCNLEFPKAEVLIINFTSSEYFLPPFIKRMLNLKALIVINHSTSYARLRNVSVFKNLTYLRSLWLEKVSIPKLSGIVMESLSKLFIVLCKINNSLNAKESNLADIFPNISELTLDHCDDLTEIPPSICKIHSLRNLSVTNCHSLTELPIKLGSLKSLEILRLYACPVLETLPPSICEMKRLKYIDISQCVNLKGFPEAIGKLVSLEKIDMRECPVISNIPKSALSLHSLQHVVCDEEVSWIWKEVKKVNPNVHIEVAEMQYDLNWLQTN >CAK8534646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752044811:752045455:-1 gene:gene-LATHSAT_LOCUS4174 transcript:rna-LATHSAT_LOCUS4174 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRLYLGRHRLKLNFEEGVKGFITWTFSHECCRSEGGVRCYCLKYKCGPIICDPEKVERHLKRMSFIENYWVLTYNGEELPSNVPETSNTLASSSRSPMEYEKKFYLTSEMVGDAFSVNMTYDEPEDFDGEGLSNEEAQRFYQLLNEMNTPLFKGLSNSKLSICVIILVAKSNSSVPDQCLEFFAKMMFDATPTKDNLPTCFYDAKKWCRSWV >CAK8532322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247520489:247521133:-1 gene:gene-LATHSAT_LOCUS2043 transcript:rna-LATHSAT_LOCUS2043 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLLAFILLYALLSSKPLLGAADASSEQVVDTLGKKLRADASYYILPVTPIYRCGPYGKCRSSGSSLALASIGKTCPLDVVVVDKYQGLPLTFTPVNPKKGVIRVSTDLNIKFTSSPTCLHHSMVWKLDRFNVSKRQWFVTTGGVAGNPGWETINNWFKIEKYGDAYKLVLCPSVVQSFKHLCKDVGVFVDQNGNKRLALSDVPLKVKFQQA >CAK8570021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21296373:21302344:1 gene:gene-LATHSAT_LOCUS22812 transcript:rna-LATHSAT_LOCUS22812 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKDDVYEDELLDYEEEDEKVPDSVGPKVNGESGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLSTLQQIDPIPGQVSALILCHTRELAYQICHEFERFSTYLTDLKVAVFYGGVNIKVHKDLLKNECPQIVVGTPGRILALSRDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKIRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGRTRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSSDVDVLNNVQSRFEVDIKQLPEQIDTSTYMPS >CAK8561778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:381706008:381710601:1 gene:gene-LATHSAT_LOCUS15337 transcript:rna-LATHSAT_LOCUS15337 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMMNVLSKKTKHVIFFWWLGVFLQLWFLLCASSEKTLRGGSKKINGLGSTTSIVKLNTNDQQQLVVDNGIVSVTLSRPEGYITGISYNGIDNILESEYKDDKEDRGYFDVYWNKPGKTGNSTRIHGTSFSIISADENMVEISFLRIWTSSMYDTDVPINIDKRYIFRSGDSGFYSYVIFDRPKGMPAVEVDQIRYVYKLKQDMFKYMALSDTKQRNMPSMKDRLTGQALAYPEAVLLTKPIDPQFRGEVDDKYQYSCENTDNNVHGWISFGSDEPMGFWMITPSNEFRNGGPIKQDLTSHVGPITLSMFVSTHYAGKEVTMAFKEGETYKKVFGPVFVYLNTDSSKNGNSNLWSDAVQQLSKQVQSWPYDFPQSKDYFPPNQRGTVLGRLLVQDRYFKGGRLQYTNAYIGLALPGDAGSWQRESKGYQFWTRSNAMGYFKISNIVPGDYNLYGWVPGFIGDYKFNSTITITPGGVINLNSLVYNPPRNGPTIWEIGIPDRLASEFHVPDPYPTLLNKLYTEQRKDMFRQYGLWERYSDIYSDADLVYTVGVDKNSDWFYAHVTRITENKTYEPTTWQIIFEHQDEIITGNYTLQLALASAADANLQVRFNDASVEPPHFATGRIGGDNAIARHGIHGLYWLFNIDVPSNVLVKGQNVIYLKQSRAINPFQGVMYDYIRLERPPIT >CAK8569639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7121910:7127072:1 gene:gene-LATHSAT_LOCUS22467 transcript:rna-LATHSAT_LOCUS22467 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNITLGGRGGTNPGQIKIYSGGILWKRQGGGKSIDVDKSDIMGVTWMKVPKTNQLGVQIKDGLFYKFTGFRDQDVVSLTNFFQNTFGITVEEKQLSVTGRNWGEVDLNGNMLAFMVGSKQAFEVSLADVSQTNLQGKNDVILEFHVDDTTGANEKDSLMEMSFHIPSSNTQFVGDENRPSAQVFRDKIMSMADVGAGGEDAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIISLDPPIRKGQTLYPHIVMQFETDTVVDSELAISEDLYNSKYKDKLELSYKGLIHEVFTTVLRGLSGGKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLYGFISSKGLKIMNLADAQPAVGGVAKVLENDDDDAVDPHLERIRNEAGGDESDEEDSDFVIDKDDGGSPTDDSGADDSDASQSGGETEKPAKKEPKKDLSSKASTSKKKSKDADVDGVKKKQKKKKDPNAPKRALSGFMFFSQMERENLKKTNPGISFTDVGRVLGEKWKNLSAEEKEPYEAKAQADKKRYKDEISGYKNPQPMNIDSGNESDSA >CAK8561279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135598931:135603088:1 gene:gene-LATHSAT_LOCUS14887 transcript:rna-LATHSAT_LOCUS14887 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSSPSSSSSSSIASSNNSKAWIIDGIVVGVSIAAAAGAYAYLRRFNKFRSRVVGIIPARFASSRFSGKPLVQILGKPMIQRTWERAKLAASLDHVVVATDDDKIADCCRNFGADVIMTSESCRNGTERCSEALEKLGKKYDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDALDPNRVKCVVDNRGYAIYFSRGLIHSISKLELIEISEFES >CAK8532182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216412966:216413172:-1 gene:gene-LATHSAT_LOCUS1913 transcript:rna-LATHSAT_LOCUS1913 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWKNIQLFKEEEEGITAEAEQICESEIFQRTLTGKLWTDNNINTRAFTNTIIRVWKLKNPIEGYKS >CAK8569986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19070763:19077411:1 gene:gene-LATHSAT_LOCUS22778 transcript:rna-LATHSAT_LOCUS22778 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVSIEGSSMIQVAVIPIGTIPPNVLRDYYSMLLPLHTIPLSAISSFYAEHQKSPFAHQPWDSGTLRFKFVLGGATPSPWEDFQSHRKTLAVVGIVHCPSSPDLNAVVDQFANSYKSYPSSLVERCFAFYPNDSQLDEGSKRSGNLRLFPPADRASLEFHLNTMMQEVAASLLMEFEKWVLQAESSGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGTPVDANAHYSTALELGRLTGDYFWYAGALEGSVCALLIDRRGQKDSALEDEVRYRYNSIILNYKKSQDNTQRVSPITFELEATLKLARFLCRRELAKEVVELLTTAADGAKSLIDASDRLILYIEIARLYGSLGYQRKAAFFSRQVAQLYLQQENRLAAISAMQVLAMTTKAYHVQSRSSILDHSIHNKGNGSDKADGGKIHHQSVVSLFESQWSTIQMVVLREILLSAVRAGDPLTAWSAAARLLRSYYPLITPAGQNGLANALSNSSERLPSGTRCADPALPFIRVHSFPVHPRQMDIIKRNPAREDWWVGSAPSGPFIYTPFSKGDTNNIKKQELVWIVGEPIQVLVELANPCGFDLRVDSIYLSVHSGNFDSFPVSISLLPNSSKVITLSGIPTSVGQVTIPGCIVHCFGVITEHLFREVDNLLLGAAQGLVLSDPFRCCGSPKLKNVSVPSISVVPPLPLLISHVVGGDGAIILYEGEIRDVWISLANAGTVPIEQAHISLSGKNQDSVISYSSETLKSRLPLKPGAEVTFPVTLRAWQVSVADADSARHSKDGSFPSLLIHYAGPLKTSEDPNAVSPGRRLVVPLQICVLQGLSFVKAQLLSMEFPAHVSESLPKFDDISNSPTGEHVNSELKMDRLVKIDPFRGSWGLRFLELELSNPTDVVFEINVSVKLENSNEDNHLADQDATEYGYPKTRIDRDCSARVLVPLEHFKLPVLDDSFLTKDTQTDGIGGGRTASFSEKSSKAELNACIRNLVSRIKVHWHSGRNSSGELNIKDAIQAALQTSIMDVLLPDPLTFGFRLVRDGFESNNPDSVKESALPESPASKSSVLAHEMTPMVAVVRNNTKDMIKMNLNITCRDVAGENCVDGTKSTVLWTGVLSDITIEIPPLQQINHSFCLHFLVPGEYTLLAAAVIDDANDILRARARATSASEPIFCRGPPYHLRVLGNA >CAK8578891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661066932:661070249:1 gene:gene-LATHSAT_LOCUS30872 transcript:rna-LATHSAT_LOCUS30872 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRSKESKAVKASRKSSLKSRSIGVKNSSKKANKLNHKARGCSEELLSDKENQHFPVKESGGLCSEHPTEDTFHVKLREALEVDLHPRQTLTSSAKIKLQLFPANEEIRTGLEKDGHNPYLELTLSGRKKISSVLRHIEKKWGSSSSAKGEPMLFPYDRMGNLTDCKRWTINDNDTTATAVYAAVGNPSIFRLKYGWFSIHEPTSFGISSMLVPCEFGVQSGGTDTGCNANLETLCDERDKIEATTEYKTTDEGNVTSETVAQKMNKESTDPQDNEPKEGCSLQQTSMQWVDCLDNISIGGLLSEASLLGKFDSKLFGSNATSQTGHLISDSLDAFINCRINHPPVSTQSAGALRASILDAEETCHAFALKKSSPPVDAQTASATETAYSAACSQDASSNLFKLPCTDKVNDQVGLSQNPLSQKTQTDSMLSSRLFDDERSLGLAGISWNDSLGPFDLGMQAKKHIGGGDSVSIGEFVK >CAK8534266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711608050:711608325:-1 gene:gene-LATHSAT_LOCUS3824 transcript:rna-LATHSAT_LOCUS3824 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLYTDLFNSSSILQPNGIFKEMIPNLVSTNINVMLTRTPSSEEIHHVIFAMNLNRAPRPDGFGASFFHKFWDIIKDDLVRVLFELFTSN >CAK8536841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:27037523:27037750:-1 gene:gene-LATHSAT_LOCUS6168 transcript:rna-LATHSAT_LOCUS6168 gene_biotype:protein_coding transcript_biotype:protein_coding MQWALRNYGGKGWKSDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIVYRGWTSPKLRPHIARLLLP >CAK8544509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689442772:689443127:-1 gene:gene-LATHSAT_LOCUS13181 transcript:rna-LATHSAT_LOCUS13181 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDTPDPPPADDPVPPPEGDDPPPEDAGDDDDDEPEGFPGGPSDVSLLTGYADHTARHVWDGETRQPQKFYNQGRKILSLEQQ >CAK8539424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512518907:512520376:1 gene:gene-LATHSAT_LOCUS8521 transcript:rna-LATHSAT_LOCUS8521 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPCKSGENLSSNLKTNMLSEELRIQGRLAFPMVLMNLAWFAKTAITTAFLGRLGELSLAGGALGFTFANVTGFSVLNGLSGAMEPICGQAHGAKNVRLLHKTLVMTVILLLLVTIPVTFMWLHIDKILIHFGQQQEISTVAGTFVYYLVPDLFVMSFLCPLKAYLSSQSITLPTMFSSGVALAFHVPVNILLSKTMGLKGVSMAVWITDLIVVALLAMYVVILENRVETAWKEGGWWDQSFMDWIRLIKLCGSCCLNTCMEWWCYEILVLLTGHLANAKQALGVLTIVLNFDYLLFSVMLSLATCVSTRVSNELGANEANRAYRSARVSLGIGFIAGCTGSLVMVAARGVWGQVFSHDKGIIKGVKKTMLLMALVELFNFPLAVCGGIVRGTARPWLGMYASLGGFYFLALPLGVVFAFKLRLGLVGLFFGLLTGIVACLSLLLVFIARIKWAEEAAKAQILTSYGVKEDPYNVAEIQIGPYENDKV >CAK8564520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679464836:679465456:1 gene:gene-LATHSAT_LOCUS17819 transcript:rna-LATHSAT_LOCUS17819 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESKDACKKEACAIQACLSKNNFLPQKCIRVIELLNSCCTRCNYNSTHCASLSGLLKQHSKSPDTANKFDN >CAK8543029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567952121:567952777:1 gene:gene-LATHSAT_LOCUS11816 transcript:rna-LATHSAT_LOCUS11816 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRPKSTVPLSPKTLTSLKTPEIESRTTTVTDKYVTITLENENKETLTEMIQTQPEECKLWVDVINDNRNPAKGLAMEYVAPKVVNEVIEIDIEQEDIETKIQFWDNALILYVVGDDLSMNTVKNFMQRMWNFVKMPDLYYHDDEYFLLRFNSQEDNEAVMMKGPYKIRNMPMILKEWQFGFNLKKDLLRTLPIWVKLPQLLLHLWGAKKS >CAK8562417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:487276814:487277824:1 gene:gene-LATHSAT_LOCUS15913 transcript:rna-LATHSAT_LOCUS15913 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGESVGRGSFATVHLVLPKVTSNSTPTAVKTSEVSTSFSLKNERQILDRLGSCQQIIRCFGDEYTFENGQDYYNLFLEYASAGTLADQVKFHGGRIPEQDIRGYTRSIVEGLNHIHSKGFVHCDIKLQNILVFHDGEIKIADFGLARKSGEEQSRFECRGTPLFMSPESVNNGEYESPADIWSLGCAVVEMVTGKPAWSVEKDSSMWSLLLKIGAGEESPMIPEDLSKEGKDFVEKCFVKDPRKRWTAEMLLSHPFIEEVKNVIEVSPRNHFDFNDWVSSVSHSAPSSPESEESCQWDFDSCSFSGVDRLRQLVTVEVPVSWSESDSWINVR >CAK8563548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615162853:615165049:-1 gene:gene-LATHSAT_LOCUS16946 transcript:rna-LATHSAT_LOCUS16946 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSVVSPPDELVAAGSRTPSPKTTSAALLKRFTESNASTVSVEVGDQVRFAYTHQNESSLQPRMFGVKDEIFCIFEGALDNLGSLRQQYGLAKSANEVVLVIEAYKALRDRAPYPPNHVVGHLSGDFAFILFDKSTSTLFVASDQFGKVPLFWGITADGYVVFADDAELLKGACGKSLASFPQGCFYSTAVGGLMCYENPKNKITAVPAKEEEIWGATFKVEGATVVAARE >CAK8569977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18902063:18902842:1 gene:gene-LATHSAT_LOCUS22770 transcript:rna-LATHSAT_LOCUS22770 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRKEPCIFSSQELPQSPSTPLQNQHEKKKQQSIDHEEEEDVTISKVDVSLFDLNVSTNDDFVLDCNNNNQELNLITSLDVANDSSLENTNLVEVEQRVFSCNYCHRKFYSSQALGGHQNAHKRERSIAKRGQRFGTQIIASASAFGFPIMHNKQTYSNMASLPLYGALGIQAHSMIQKPSNGFGSSYGHHHHHHHGWSTSSSALSASRGSVGRFEVVDTMKNSAASGYFVSGGTNLKTHMNQEEMKQKHLDLSLKL >CAK8566871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468645033:468645485:-1 gene:gene-LATHSAT_LOCUS19972 transcript:rna-LATHSAT_LOCUS19972 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPQKPHAVFVPFPAQGHVNPMMQLAKLFRCNGFHITFVNTEFNHKRLIKSLGQDFVKGLPDFQFETIPDGLPESDKDATQEVPPLCDSTRKNCYGPFKELVMKLNTSSPYPVTCIVADGVSSFARRAAKDLGQTWAQVDRVPIIGHYK >CAK8564630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3349827:3350472:1 gene:gene-LATHSAT_LOCUS17915 transcript:rna-LATHSAT_LOCUS17915 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAVKDVRSMSGCALLLTSWALTRIPLFAPVTTVEPSYSYAQRWAQRGMNCTANPRFYLQGYRNALDHMREHDFIWRLYIQYPVPSLKDSQIWSATTFLICFYTVEMHQTDRVTLQFGLDQQIPPPPRCLREHHAMTMRKAQKVNLRELNKEEVRD >CAK8539549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515976219:515978917:1 gene:gene-LATHSAT_LOCUS8632 transcript:rna-LATHSAT_LOCUS8632 gene_biotype:protein_coding transcript_biotype:protein_coding MASPENCIEESKSSLTRLAPLEAVLFDIDGTLCDSDPLHYLAFRELLQQIGFNGGNPITEEFFIDTVAGKHNNDIALALFPGELERGLKFLDDKEAMFRRLAAEQLKALNGLDKVRKWIEDRGLKRAAVTNAPRPNAELTLSKLGLSDFFQAVIIGDECEHAKPHPEPYLKGLEALNASKDHTFVFEDSVSGIKAGVAAGMPVIGLSTRNPEHLLMGAKPTFLIRDYDDPKLWAALEELDKSDSH >CAK8561847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:393829471:393833762:-1 gene:gene-LATHSAT_LOCUS15401 transcript:rna-LATHSAT_LOCUS15401 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMEWAARADHLRGLPRKLVIATIGSFAKTVASLINTTSVHNADTLLRLVRSRPQGIPLITVSNHMSTLDDPVMWGFKGFPIFDTNIARWVLAAEDICFRNPLYSYVFRTGKCIPITRGGGIYQEHMNEALERLNNGEWLHTFPEGKVYQDDAPIRRLKWGTASLIVRAPITPIVLPIIHHGFHEVMPEKYMFGRRPPLPLCNKKINIIIGDPIEFDLPAISEMAIAQSRNDSFPTFGWPRTSNGLDEAAQRYLYTTVSEKIRAAMEKLRCYGKSFLKS >CAK8579110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675626209:675627114:1 gene:gene-LATHSAT_LOCUS31078 transcript:rna-LATHSAT_LOCUS31078 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIQPADGHLNGGRNLIPNSGEQIATKRLRRPSVRLGDIGVDPPYESHGRRNTKSWKPIGFDHPRKEKDSNSSGKPSKTRPLTNLTSVADFNETLDGEEREENVDSTVAIGSWKVKESKKRGPVATKRARSSWVSRIDDAGGGGGGGGGSGSGTGVVELEEKYSGAEDVDDGYREFDMENSESPVKEQSPIHSMENIGIDGHRRSFKSRDHNDGIDISGPSENDVRNENGGRIRSGEDGIRIWLNGLGLGRYAPVFEVHEVDDEVLPMLTLEDLKDMGINAVGSRRKLYCAIQKLGRGFS >CAK8572644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552948130:552952760:-1 gene:gene-LATHSAT_LOCUS25182 transcript:rna-LATHSAT_LOCUS25182-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDARKTIMDKHSHDITQRVLPHYQIWSSLYGERFLYWYGTEPRILISDADMAKEILSNKFGFYEKPKVRPSLITLIGNGLVLLKGVEWVKRRRTLNPAFSMDKLKVMISRMAACTNSMLDEWKKQLAIEAKNKSLTIDMSEEFQELTCDIIAHTSFGTSFAHGREVFNAQTQLQHLIVASSSDVFIPGTQYIPTRSNLEIWKLDRKMKKSLQCIIESRLNSESDSSSYGDDLLGIMMDTKNDGPNKLNMNEIIDECKTFFLAGHETTSNLLVWTIFLLSLHKDWQEKLRQEVMQICGMEVPDPDMLSKLKMVNMVLLEALRLYCPAVELERMASKDMKLGDLMIPRGTHLMIPITMIHRSKEYWGEDSNEFNPMRFINGVSKAAKHPNALLAFSMGPRNCIGQNFAMMEAKTVMVLILQRFSWSLSPDYQHAPVNNLILQPQHGLPIILKPLQL >CAK8572643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552948130:552952943:-1 gene:gene-LATHSAT_LOCUS25182 transcript:rna-LATHSAT_LOCUS25182 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLIAIATMIFTITIITKVWRGCIILFWRPYALTKHFRKQGVTGPPSYSFLHGSLHDMKTMMKDARKTIMDKHSHDITQRVLPHYQIWSSLYGERFLYWYGTEPRILISDADMAKEILSNKFGFYEKPKVRPSLITLIGNGLVLLKGVEWVKRRRTLNPAFSMDKLKVMISRMAACTNSMLDEWKKQLAIEAKNKSLTIDMSEEFQELTCDIIAHTSFGTSFAHGREVFNAQTQLQHLIVASSSDVFIPGTQYIPTRSNLEIWKLDRKMKKSLQCIIESRLNSESDSSSYGDDLLGIMMDTKNDGPNKLNMNEIIDECKTFFLAGHETTSNLLVWTIFLLSLHKDWQEKLRQEVMQICGMEVPDPDMLSKLKMVNMVLLEALRLYCPAVELERMASKDMKLGDLMIPRGTHLMIPITMIHRSKEYWGEDSNEFNPMRFINGVSKAAKHPNALLAFSMGPRNCIGQNFAMMEAKTVMVLILQRFSWSLSPDYQHAPVNNLILQPQHGLPIILKPLQL >CAK8579514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705180891:705185320:1 gene:gene-LATHSAT_LOCUS31456 transcript:rna-LATHSAT_LOCUS31456 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPITFPIFSSPIDPNPNPRPSTKLHSSRSNTANSHSPEPNSTGKHNNNNNNKPAKPKVSSQSHPALKFSNIPKQKLRSVNKPPDNVRISDDGLSYVIEGAPFEFKYSYTETPKSKPLKMREPAFVPFGPVTMPRPWTGRPPLPPSKKKLKEFDSFVLPPPHKKGVKPVQSPGPYLPGTSPRYVRSREEILGEPLTKEEINELVQSCSKSSRQLNLGRDGFTHNMLDNIHAHWKRRRVCKIKCIGVCTVDMDNVCEQLEEKTGGKVISRRGGVLYLFRGRNYNYKTRPRFPLMLWKPVAPVYPKLIQQVPEGLTLEEATEMRQKGRKLTPIYKLGKNGVYYNLVNNVREAFEECELVRVNCQGLNKSDYRKIGAKLRDLVPCTLISYENEHILMWRGRNWKSSLPDLGDDQKEANKIDVGNENYKTLESEALDVTAPSLQNNNTKNASNVSHDTSHKVEVPCPTKNSKQSTTVIAEASLPKDHDAETTNFATDSYGEPKPYRSISPVTTISHDGSHIECPSKAMNDRHGTVDIMDDKSVTHSLSTSISRSGAMLGDSATTDVSLLPRSAAPCMKGILLLLEQAIELGSALVLDKDLLDADNIYRTTVSFSKSAPHGPVFMKHRKEAAVVQKSDKQEAPAPALETRETTTITTKGTRQKSPRIRSKGKFDERFMNLVPHGTLGVDELAKLLT >CAK8532953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554723986:554725738:1 gene:gene-LATHSAT_LOCUS2611 transcript:rna-LATHSAT_LOCUS2611 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNPIHDDPSTIPSLSTDQPNDAGNDVKLSSKDQRDALPGEPKCTVCGRYGEYICDETDDDVCSLECKQTVLCRISKSSSRIGDFPPPKKLPADDECFYVRDTDYESGIPSSRGDQSQAELLRKKLDIHVKGFVSAPVLSFASCNLPDKLLHNIEGAGFEMPTPVQMQAIPAASMGKNMLVLADTGSGKSASFLIPIISRCVSHRSTYVSDKKKPLAMVLTPTRELSIQVEEHAKLLGKGLPFKTALVVGGDAMARQIYRIQQGVELIVGTPGRLVDLLTKHEIDLNDVTTFVVDEVDCMLQRGFRDQVLEIFTALSQPQVLMYSATMSDSLEKMANSLAKDTVIITVGEPNRPNKAVKQLAIWVESKQKKQKLFDILTSQKHFKPPVVIYVGSRLGADLLANAITVSTGMKAVSIHGEKSMTERRKIMQSFMVGEFPVVVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGQAIVFVNDENKNVFAELIDVLKSGAAAIPRELINSQYATGFFSGGKGSKKRKHR >CAK8578993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666309777:666312465:1 gene:gene-LATHSAT_LOCUS30970 transcript:rna-LATHSAT_LOCUS30970 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGHQEFDYLFKLLMIGDSGVGKSSLLLRFTSDDFDDLSPTIGVDFKVKYLTVEGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTDLSEVWAKEIELYSTNQDCMKMLVGNKVDKEEDRVVTKKEGIDFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAQGSKGLKKNIFKDNLPKSDASTSGCC >CAK8560754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40096233:40099405:1 gene:gene-LATHSAT_LOCUS14405 transcript:rna-LATHSAT_LOCUS14405 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAYRNMDSYPFHRNQIPFPYYYHPSMEHVTPQMTKSPYPYEQPWPYASSYGPHFCYSHMPSYPHVPYSSPMFCSGGGPGYFVPYSPQSHYGLEVPRYEYDKYMLKDRHCCGCSNHSCCQKEKEDRTVTIEEQKPNLGKKENDPMEFRNFPYPLVWVPPEYYGNRQMKNPTGVDEEDKMSHDKKLTRAEDSDMPRLWNGWLPFDVKGVPNMYDNVGENGNEKMDQKHQSEQQNRSEFPFPFIWFPSYNNQQDGGRTNNQKSCSVGQEGVEEVPHTFKSVPVKSCGDEGVVKRTNSNDVESRERRDFDFAEKVSNQRNIPVKQIESNHVKNSSMESEKREMNVPEENVEKKYSHSVSKQRSTSPTSPTKGSKLPPVCLRVDPLPRKKNGNMKSRSLSPPGLRERSKAIDCETSNTPLDSRTDKTELCSTNQVSQNKTNENLATKTAVHEDGKKERRVLSDADAAVVIQTIYRGYLVRKSEPLKKLRQIAEVSKEVTYVKDRIEVFEGSSNLQTYDKEKLAIGETIMRLLLKLDTIQGLHPSLREIRKSLARELVTLQEKLDSIMLKKPPQQQMQELDAKKHLQISLPNVQNGEHNQEKQEEKVASQKDSSEGISDGKPQDQLCMMDVNGVSETQSHVGPGSNEGTKTTILPNGLFNLDISPVELESEVIDIPTEVDKLNMNAFKELPVGVIDEDTTEDSASERLDSDMRAIKELPMGVLDEDAATSQVSNTSENDVFIKELPVELLDENAEKSEAERPEYKEKDTELEQPLVEEKEGVQSSEESDGWLKIEFQKQDDELKMEEPMETEESEPMETEESGEGIGNDTNLPSLGNEENDVANIMLNDTNLPSLGNEENDVANIMLNDTNLPSLGNEEENDVANIMLNENDPKESLEQQETHIDVQDTVAGETNSGDDKNTENLAKEETEPSVDLSVSKHNSELKGNMMLLEENEKLRKLMKELLEAGNEQLSVISNLTGRVKDLEKKLAKTRKSKKVKTKRHRSVTPKVSCDYSTE >CAK8573124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587200885:587201760:-1 gene:gene-LATHSAT_LOCUS25608 transcript:rna-LATHSAT_LOCUS25608 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNWFASVLLLTNVMLTTTLGAFVGVNIGTDVSDMPSASNIVAILKAHQITHVRLYDANAHLLQALSNTSIDVIVGVTNEEVLRIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPALNSLHKALVAANLNFRVKVSTPQSMDIIPKPFPPSTATFNSSWNSTMYQLLQFLRNTNSSFMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLYHYNSMFDAMVDAAYYSIEALNFKDIPVVVTEQAGHLLVEQMNPMLLQKMLRPTVII >CAK8541728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:373471221:373471487:1 gene:gene-LATHSAT_LOCUS10624 transcript:rna-LATHSAT_LOCUS10624 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKTIMQYKSGKEDAIGSWISESPSYSYMRLPTGDSGRFQIQTTSSSSNHVSSSSSSTTHVIVSSSVQSPHQRLTHRRIAA >CAK8577983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600439731:600440294:-1 gene:gene-LATHSAT_LOCUS30037 transcript:rna-LATHSAT_LOCUS30037 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQFNPFAIRFRQLSQSPNIGEYSFILKERPSNHHQYNLPTAEKVAEIIVGGDSDSMEYGRDINVICRDGNLKKVQETKGYYDPLQYPILFPFGTHGWDVNTTNCNGRRLSCRAYYSYVLQIRPNDQSMLLNAGRLLQQYVVDNYVKIESGWLR >CAK8571807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:477907829:477909367:-1 gene:gene-LATHSAT_LOCUS24436 transcript:rna-LATHSAT_LOCUS24436 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLLPWLLFSATLVWFFFLTTKTLLKSPKTPSSSTAIPKSYPIFGSAFALSANFNRRVQWISDILQTIPSSTFVFHRSFGSRQVFTADPAVVQHILKTNFPCYGKGIMFYQSINDLLGDGIFTVDGEAWKSQRQISSHEFNTKSLRKFVETVVDVELSNRLLPILSEASINQTTLDFQDILQRFTFDNICMIAFGYDPEYLLPSFPETPFATAFDEGTRLSSQRLTAVTPLIWKAKKILNIGTERRLKEAVAEVRGLARKIVRAKKKELEEKTLLLESVDLLSRFLSSGHSDESFVIDIVISFIIAGRDTTSAALTWFFWLLSNHSHVENEILKEVNEKSETVSYDEVKDMVYTHAALCESMRLYPPLPVDTKEAAYDDVLPEGTEIKKGWRVTYHVYAMGRSEKIWGADWAEFRPERWLRRDEDGKWSFVGMDHYSYPVFQAGPRVCLGKEMAFLQMKRVVAGIMREFRVVPAMEEGVEPEYNAYITSVMKGGFPVKMENRNNTNEYI >CAK8565002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:23982548:23983138:-1 gene:gene-LATHSAT_LOCUS18244 transcript:rna-LATHSAT_LOCUS18244 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGNSEVSDKLSDNVLFSNPESCSNFRASTSMNMDTELSKSTTKTCTHTHTCNPPGFDFDDSIHTHTCFHTHARVFASEDDTNSRPKRSSGNREAVKKYREKKKAQTACLEEEVKKLKLVNQQLVRRLQGQALLEAELLRLRNILVQLKGKVDCELGSFPFQKKCFSSNGYKGDTNLVSTSQGIDLELCSNDTSE >CAK8564816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11216343:11217511:-1 gene:gene-LATHSAT_LOCUS18081 transcript:rna-LATHSAT_LOCUS18081 gene_biotype:protein_coding transcript_biotype:protein_coding MGITNFVLTVAGVSAVVLLLRSDVKKSASIFKQNVKQIRHWLEEETPNPSKVTKELQSKVTPKDIPKEDKH >CAK8573720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632889636:632892857:-1 gene:gene-LATHSAT_LOCUS26129 transcript:rna-LATHSAT_LOCUS26129 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAANFEAEDSEFIEVDPTGRYGRYNEILGKGASKTVYRAFDEYDGIEVAWNQVKLYDFLKNPQDLERLYCEIHLLKTLKHKNIMKFYTSWVDTTNRHINFVTEMFTSGTLRQYRLKHKKVNIRAVKHWCRQILEGLHYLHSQDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRRSNAVHCVGTPEFMAPEVYEEHYNELVDIYAFGMCILEMVTFEYPYSECNHPAQIYKKVVSGKMPESLYKVNDLEVKQFVEKCLATVSLRLSARELLDDPFLQIDDYGSDLKEFQYQRGCYEVTPTIRQTVNGSYSNNLMSLYTDNSGGYQNDFDLFDCEEDDNLDEVDTSIEGRRRDDGIFLRIRIPDKEGRVRNIYFPFDTETDTALSVAYEMVAELDITDQNLTKLANMIDNEIATLVPGWKMGPRIDETSEASSASFCLNCAANNTLVDYVSSNNPCAKNLQFFRCSKNGCAAVHGRFEEITYQYEGSANTATEGEPPSQSNGIHYADICGQRDEREANHEELKDTHCDKSHEKSKLSLIKEDEEENSDQIYLNTKKPPSSAAGSECSLFLDYENDIRHELRWLKAKYQMHLRELRGHQLGSSTKPNSTCTSPEKLQNNNSLSMAIAYKNFSVDAAAKCNTLDDQMIPSIACDTSQPNNSQQMVTAKDFFAGALLPNSLQRATSLPVDAFDV >CAK8568005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562391703:562392299:-1 gene:gene-LATHSAT_LOCUS21007 transcript:rna-LATHSAT_LOCUS21007 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIIFTCHFNGVVLTNSPVGFSFSNTDTYTFKIHVNSDFFHFKDRIGKKLERCVEEIIYRRPLHNGDDCTIFYVMTPIRNDEDVEKMFQCHMMFGQLPTIELYIRLLDNTETFPTQETQSHRYRMSQTSDDEPTQNNQPFIPNEELGEASDDDLQEVRMQDIFGNSDDKDDEDIIVTSMQLILAQPISLYNLQHI >CAK8569484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2564868:2565358:1 gene:gene-LATHSAT_LOCUS22330 transcript:rna-LATHSAT_LOCUS22330 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLDLQNAYDVVNWNGLECVLKEIYVPNQFTNWIMAGITTVSYKFNINWELSNFLQDKRGITQGDPISPLLFVIVMKGDAISVDMTVGTFKRFSDSTGLVVNPSKCKIFFAGIDGNNKAIL >CAK8542336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502475594:502476160:-1 gene:gene-LATHSAT_LOCUS11182 transcript:rna-LATHSAT_LOCUS11182 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCPKVSFLLLFTLILTSCVFSQAQKCRPNGRIRGKKAPSGQCNKENDSDCCVRGKMYTTYECSPSVSTHTKAYLTLNSFEKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWEALGVPKDQWGGLDITWSDA >CAK8541118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68527191:68528450:-1 gene:gene-LATHSAT_LOCUS10068 transcript:rna-LATHSAT_LOCUS10068 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTPLLRKRQPKSSPLLGRKQPKSPPLRRRKQPQPRRNQPQATQSLHYLPLDLVEEILCRLPVKQLLQLRCVCKSWNSLISENSDFAKKHLRASNSNEGRHHLILKSSRFRHFESPVSTVCSSRDTTMSMYSLREILKKGESDVDGGGHVSTCDGILCYSIDGSSAILFNPSIRKFIISPPLKFPDQSHVSILFTLVYDRFINNYKIIALIGLITQRQVHVHTLGTHSWRRIQDFPSRDQLERNSNFKLNRTSTGIFMNDSVNWLTWEVIVSLDLKTESYQKLSLPVPVSVCNKYFIFATLGTLKGCLSLLISMMDKFSEVWIMKEFGNEKSWTKLLSIPYMKTWGRFRYSKVLYISDDRRVLMEILMTRKYKYRLVVYDSINNTFHFPEFQNKIHDAVVPKVYVESLISPFSRCCN >CAK8543107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579328981:579330231:-1 gene:gene-LATHSAT_LOCUS11890 transcript:rna-LATHSAT_LOCUS11890 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASKTTTIVANKNPEDPTTPSVSFQSSSSSTSSFSPSYASQYFNSSPPVRKALSLTMPLIHHPPTKKGDTHHLVSLTSTTYGSLLLIDQKVPNFTSIEQPHLTKTSQNDEEQSLSPDSVINTWELMDGLDEHEDSIVHKALIFDNPVSFSDKHSSCRYTAFDGSAKKNLLDSFESLKASEAVMEEKSSKSFVKKPLWKHLSEEALLAKLDPSVAWSYRRALSSRQLGCNNNNNRLRNVRSMESSPMNPCSSLFGKSLCLLPGTEDRIVVYSTSLRGIRKTYEDCCSVRMILRGFRVTVDERDISMDSSYRKELQNALGGKSVVTLPQVFIRGKHVGNAEDLRQLNESGELAKLLSGFPTQDLWFVCDKCGDARFVPCDNCNGSRKVFEEEQGKLKRCVHCNENGLIRCTSCCS >CAK8577617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578533223:578535652:-1 gene:gene-LATHSAT_LOCUS29707 transcript:rna-LATHSAT_LOCUS29707 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKAKPTGRRNFSSHEDMVAGTSTRPRTFRQKEAENEEEPSKEVSEDESEEEPEDENTKAKGVQGVIEIENPNLVKPKNIKARDIDIGKTSELSRREREEIEKQRAHERYMKLQEQGKTEQSRKDLDRLALIRQERAEAAKKREEERLAKEQKKTEARK >CAK8535327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838432013:838433731:-1 gene:gene-LATHSAT_LOCUS4793 transcript:rna-LATHSAT_LOCUS4793 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSTYFLTFCVLVIVFNVVKGQPLVPALFIFGDSVVDAGNNNNLYTIIKSNFPPYGRDFKNHMPTGRFCNGKLATDFTAENLGFTTYPPAYLNLQVKGNNLLNGANFASGASGIYGPTAKLYRAIPLDQQLEHYKECQNILVGVAGKSNASTIISEAIYLVSAGSSDFIQNYYINPLLYKVYTADQFSDIVIQDYIVFIQNLYALGARRIGATTLPPLGCLPAAITLFGSNSNECVERLNTDAVNFNNKLNITSQNLQISLSNLTLAVLDIYQPLHELVTKPAENGFFEARKACCGSGLIETSILCNKDSIGTCANASEYVFWDGFHPSEAANKVLADSLLLSGISLIS >CAK8533213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591290969:591291682:-1 gene:gene-LATHSAT_LOCUS2858 transcript:rna-LATHSAT_LOCUS2858 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEIFSESCGVVSMSPRISFSHDFSQKGPIPIEKHPLRSKSSNSNSSIDFDFCVNENSEHESSSADELFSHGIILPTQIKKKAKNVPLKQTTIQPTKPQHSALPPLYANGTKISKKMITKDVKDLSIDEEDEKHGSSKSFWGFKRSSSCGSGYGRSLCPLPLISRSHSTGSSKKNSSTSRSSYSFGSNNHQKAQVKRCHGGAYGNNSVRVNPLLNVPCSNLFGFGSIFSKVRSKKK >CAK8566857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467565131:467566261:-1 gene:gene-LATHSAT_LOCUS19959 transcript:rna-LATHSAT_LOCUS19959 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKLRYLKSFLERTQKGLGDCIQYIKKSEEIIRSCYSEAIEQTSDGFVKIILTDACFIIEYFLRSLEWPQEDPLLSKPWLRCDVKLDLILLENQLPWFVLEDLFNLTEPTCIDGEVSSFFDVAFHYFRVHFLQSILPNETSNNKFTIEYFLEHYQQYIMKPDQVSMQLHNLTDLLRVFYLPPDMLPKREKQTVKHLYSASQLMEAGVKLNVGQDYQSVLELKFSKGALTIPRFEVCHWTETLLRNIVAFEQCHYPFQTYVTDYTILLDFLIDTSLDVDKLVDKGIMINTLGDSNAVAKMINNLCLNVVQENVNGGYISLCRKLNCFYEDPSHKYKAIFIHDYFSTPWKITSFVAAIVLLLLTLVQATCSVISLF >CAK8563256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586755775:586756155:1 gene:gene-LATHSAT_LOCUS16685 transcript:rna-LATHSAT_LOCUS16685 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASSLLQKLKGFIKKPWEITGPVSHPEYKSALPGALEYRVHCPATVKERAIIPTSLPETVYDIKYYTRDQRRNRPPSIRTIYKKADIEKLRQEATYDVADFPPIYPNIAVEEDYDARGGGYQS >CAK8560760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40647464:40649548:1 gene:gene-LATHSAT_LOCUS14410 transcript:rna-LATHSAT_LOCUS14410 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLHYPEVHVAPQFAQNPNPKLRKFHSFKPYAKLNAVGTKNTVKISNEVKVLDLDLDRNVKQYGQFSVPVKSGSRSSKEEEEEKQNYYVNMGYAIRTLREEFPDLFSKELSFDIYRDDIVLKDPLNTFTGIENYKSIFWNLRFHGKIFFKALWIDINSVWQPVENVIMVRWTVHGIPRVPWESSGRFDGTSEYKLDKQGKIYEHRVDNIAWKKPSRFKVMGVEELIQSLGCQQTPGPTYFEISSSAKRK >CAK8531839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:162156535:162157360:-1 gene:gene-LATHSAT_LOCUS1604 transcript:rna-LATHSAT_LOCUS1604 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSINPLAYSGDCNNFTLSGVDAREHYQNKEVKVINNNLQNPMDKKLNRNNIESIKKTMQMHEDIFKNQVKELHRVYNVQKVLMDEQKNKKFWTPMNGSIGINQGYFVQQPTQISFSHVQILKEDLMIKERSGSNSGDMMIIKKRESDFDLEKPAASDCDDDEDMEVDLTLCIGGSNQTKNKKKKSYNLLPLPNSYVSFQSDRIGDCSDPTTPMSSSSVTYDQDKKMPHWFSQGLKLK >CAK8535174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820708864:820714346:1 gene:gene-LATHSAT_LOCUS4649 transcript:rna-LATHSAT_LOCUS4649 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKANVTKELNAKHKKILEGLLKLPENRECADCKSKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIHSMGNDKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGNSRTPSGLHEDKSPSQWQRPAEKSGHEYATVPENTFEERKKIQPSNAVPATRRRVPAPRKVPEQVTPAPQPQDTEKVKPVPLQQQAVTSKPTIDTAQSTPPKVDYATDLFNMLSMDDANENGSKAAGATADDNNWAGFQSAAEVLTAEKTGPPNAVESTSQSAPGIEDLFKDSFSVTPSLAPAKPQKDVKNDIMSLFEKSNIVSPFAMHQQQLAMLAQQQSLLMAAASKSNGVDLKYPTGIQQQSPNVSVQNWPSTGFPISGVMPMGAQGELQKHMQTGNITPAHPAGNSVQHPSSGFYAMGQVGPVNGMMTMGVSKPQSTPVPSTTSKSAKEYDFSSLTQGMFAKQ >CAK8570179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27815861:27817396:-1 gene:gene-LATHSAT_LOCUS22954 transcript:rna-LATHSAT_LOCUS22954 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVVYTLLATATIIFFKFLSPLKHEEEKGSFNRRFGYKILERAPNFDPIVTKIERGVTNFDSYNDKVVVSGTVLENTTSVNDISETYQFLTSSGKLNTTLRLMILFPLLDRETKDGFIVSNELESWITQRALERLDYFTQTQLESKDKDGDYCLSFSEYLPHLSENDTEKNEMAHNEAGWWREKFEIADSDHNGLLNFTELRDFLHPEDSKNPEMLKWLIRDKLKRLDDPEIDGKLNFNEFEDHIYNTYENYMEFETNGVDVPKANDKFTELDVNKDQFLTPEELFPILPYIYPGELAYAKYYTSYLINEADDNEDKKLTLEEMLNHEFIFYNTVHEDNHMESDDYYDEL >CAK8534292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713384413:713384631:1 gene:gene-LATHSAT_LOCUS3847 transcript:rna-LATHSAT_LOCUS3847 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEINNKDESFGQKGILIHSQVMKIKQESEKIYDWSFGQPEIRPALREFSRQISRSPLGFSGQTISVGDS >CAK8564723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7576917:7580045:-1 gene:gene-LATHSAT_LOCUS17995 transcript:rna-LATHSAT_LOCUS17995 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSRDSRSRSKSKSISRRFCSRKVMIFSLIFLAILPPIFFHFRLRRFNQIQLRRCGWIHNPPLVCAHGGDSSVAFPNTMAAYHSALSSRVDCIEIDVSRSSDGVLFALHDRDLQRLSGNTSSRVGHMSSKQIRELSASHQSTEKINDESITTIQDALMLAASSVRQIILDVKVGPPLYEKGLAKDVLSIVEQTGCKNCLIWAKSDNLARDVIKLSSEIAVGYIVMREPSTGARSKLLRMKGAEVVGVYHPLIDEKLMKVLHRRRKKVFAWTVDDAESMEKLLFRQVDAIVSSNPTLLQRLMQDIKTQCFEEGYSLPN >CAK8535952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893892520:893893803:1 gene:gene-LATHSAT_LOCUS5365 transcript:rna-LATHSAT_LOCUS5365 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDISSPFWLQNSSTNRRRLRRSYSIFLSSGTVVIILLVITLAFILVIVPTLHSFTSHLLKPNSVKKSWDSLNLLLVLFAIFCGFLSKNNNNNESPRSYKDRTFSDTNTRQEYEKPNPETETQPHSWYEYSEDRTPYNRLRSFNSYPDLRQESWWVTGDERWRFSDDTRVNGYNHGLDLNLKEEKEETRIKSIEVNTSLKGKNKKKQAETIEKTKNSNAVEKSAIKDEKAYQSSKGKGKKKKHRHKSVENFQSLLNSEPPTTIASSSSFDNLFYSKKNKQKKHKSVTPSQHHVSSKTVSKTKDVDFSVIIGNESPLISIPPPPPPPPPFKMPAWKFKVQGDFVRIDSISSTSSGLADIDDDEVLELAEPGIDLLVYPNPNPDVDNKAGSFIESFRAGLRMEKMNLMKNQGIGDSNLHNNLHHQN >CAK8532288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239787171:239787754:1 gene:gene-LATHSAT_LOCUS2013 transcript:rna-LATHSAT_LOCUS2013 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRTINRSGYIWNQTWTYLADGILHKYRQIANNQDLIMQDNKLQNLTLIEIETLLQANSRSLADFKPIRYPDGYVLQQLGNILFYEERSYNIKVMKTEFIGLFNALTDEQRTIYEKIMLAVTNQK >CAK8537787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:433081790:433084622:-1 gene:gene-LATHSAT_LOCUS7041 transcript:rna-LATHSAT_LOCUS7041 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHSIVSLPSLLPVSATPNLPPLFRKYPTTSFPPHKGQSISLKKSHISTLLDPNKHHNFQEALSFLKEGKEEVDGSFYIPLLQQCLENGSFSSTQIIHCHIVKTGNHEDSFLATFLVGVYAKCDKMECAQRTFDHINRKNVVSWTHLMKGYVQNSMPKHAIHFFREMLLHSECNPSTHTLAIALNACTSLQSLKLGEQLHAYLIKRDFDSDTSIGSALCSLYSKCGGRLRFGLKAFRRIREKDVISWTAAISSCGKNGKTKKGLRVFVEMLLDEVLVQPNEYTLTSVLSQCCEVMCLEFGIQVHSLCTKLGYESNLRVRNSLLYLYIKCGCIDEAQRLFKGMDDVSLVTWNAMIAGHAQMMQLSKDNFSAYRSGSEALKFFSELNRSGMKPDSFTYSSVLSVCSRMMALEQGEQIHARTIKTGNLSEVFVGCSTINMYNKCGSIEKASKVFLEMSIRTMILWTSMITGFAQHGWSKQALNLFEDMKLVGVRPNQITFVGILSACGSAGMANEAFNYFEIMQKEYKIKPVMDHYACLVDMLVRLGQLEEAFDFIKKMDYEASEFIWSNLIAGCLSQGNLELGCDAAEKLLSLKPKDTETYALLLSTYVSAGRFEEVSLVKNIMIEEKIEKLKDWSWISIKDRVYSFETIDKAHLETLLVSKSLEDLLVKAKNLGYEMLESVEISDKEHEESSSTIYHSEKLAITFGLENLPNSSPIRIVKNTLMCRDCHNFVKYISTLTSREIIVKDSKKLHKFVDGQCSCGNIGGFL >CAK8538788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496359472:496361934:-1 gene:gene-LATHSAT_LOCUS7949 transcript:rna-LATHSAT_LOCUS7949 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLALVGGGLFGFIKKGSTASLAGGAGTGLLLILAGYISLRAFGKRKNSYLALAIEILCAGALTWIMGQRYLQTSKIMPAGIIAGLSGVMTLFYLFKLATGGNHIPAKAD >CAK8533585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639230851:639231147:1 gene:gene-LATHSAT_LOCUS3204 transcript:rna-LATHSAT_LOCUS3204 gene_biotype:protein_coding transcript_biotype:protein_coding MITLQRSSCSFRRQGSSGRIWQDMQFMEPKSGSLSTKNQVNEENVSQNGTNIVVGRRNNENTTVANSAPSPRNNQNKVHRGLLSSFCGICMHSPPIRD >CAK8532261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:233027024:233028497:1 gene:gene-LATHSAT_LOCUS1989 transcript:rna-LATHSAT_LOCUS1989 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSMLLFFLAQSKSCFLSIITAFLNIQILFKSNYIFSPFLWCCLIASISTFSLKKCSKKVYLVDFACHKPLPSCICSKERFIENIKLAGCFSDESIKFQRKIIDRSGFSDKTYVPEDSLKNPPIICTLNAEKKETESVIYAAIDELLLKTKMKVEDIDILITNCSVFSPSPSLSAMVVNHYKLKEQVLCYNLSGMGCSAGLIAIDLAKHLLEVHQNSYALVVSTENLNSGGYKGNNKSMLITNCLFRLGGAAILLSNISSDSCRSKYLLKHTVRTHTGSQDTCYNSVLQKEDETNKIRGIALSKNLMSSAGLALKENITTLGKYVLPFLEQFKFATTFLVKKYFNKNMKVYTPDFNLCFEHFCIHTGGKAVQDEMQKVLGLSDWQIEPSWMTLYRYGNTSSSSVWYVLAYCEAKGRIRKGDRIWQVAFGSGFKCNTAVWCALKNVDPIEEINPWSDEVHEFPVDV >CAK8532262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:233027483:233028497:1 gene:gene-LATHSAT_LOCUS1989 transcript:rna-LATHSAT_LOCUS1989-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDIDILITNCSVFSPSPSLSAMVVNHYKLKEQVLCYNLSGMGCSAGLIAIDLAKHLLEVHQNSYALVVSTENLNSGGYKGNNKSMLITNCLFRLGGAAILLSNISSDSCRSKYLLKHTVRTHTGSQDTCYNSVLQKEDETNKIRGIALSKNLMSSAGLALKENITTLGKYVLPFLEQFKFATTFLVKKYFNKNMKVYTPDFNLCFEHFCIHTGGKAVQDEMQKVLGLSDWQIEPSWMTLYRYGNTSSSSVWYVLAYCEAKGRIRKGDRIWQVAFGSGFKCNTAVWCALKNVDPIEEINPWSDEVHEFPVDV >CAK8576454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480534824:480536555:1 gene:gene-LATHSAT_LOCUS28641 transcript:rna-LATHSAT_LOCUS28641 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKVVIIGGGVAGAILAKTIQHHAHVTLIDPKEYFEIPWASLRAMVEPSVAERTVINHREYFTKGDLIISSAINISESEVFTADGRKISYDYLVIATGHTEPIPKTRTERIDQYKGENTKIKSARSVLIIGGGPTGVELAAEIAVDFPDKKVTIVHKGSRLLEYIGTKASRKTLKWLKSRKIDVKLEQSVDLDSFTNENRTYQTSLGETIEADTHFLCIRKPLGSDWIRESFLKNDLNGDGTIQVDEYLRVKGKNNVFAIGDITDVQEIKQGVFAQGHAKLVAKNLKLLIEGGGKEPKLGTYKAQPPMSIVSLGRKHGVAQFPFMTVVGRFPGMIKSGDLFVGKTRKDLGLEPNIKKS >CAK8543782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639598201:639603352:1 gene:gene-LATHSAT_LOCUS12518 transcript:rna-LATHSAT_LOCUS12518 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVGKVGSLITKGVYSVATPFHPFGGAVDVIVVQQEDGSFRSTPWYVRFGKFQGVLKGAEKVVRINVNGVDANFHMYLDNAGEAYFIKEVDGDDNVDSNVVVTESEFTPGESSLKFDVTGRRLDHSVSDLGVLQSTGDDHLSDLPKLRKAESDVDKRFYKLQDDQPVIADSAYLPECEENYVDSQDSHPGMILVSGDGHMLTAPIAESEPTEKNLELDALQFHLGPVAETDFYEGEEEFSSIENTCTTDDVSQLDASTADVPSIIYSSNVDNSNRGIHLEDCQREEAPICHTEETDTEEAASCINTGSVFKSCLDFHEFNQQAENDGSSLVDQNSAEESNENGSNVDENENESIIQSRNIDGLSPLSVPTSSGDSISPNLKTKLQGVDKDASAEVDTDSGSHSGTNDVEWNDSQETHVLENTSEEDNVTAPQTLTSTDGDQSHFDSRFDISLCGHELKAGMGFIAAAEVFEAHRISAEEFRVSAPSITKNKNLVVKVRGSYLLWEKASPLVLGMAAFDLDLPVDPEDTIPVGQDYTLKSSDDIPGPSSSRRRWRLWPLAFRKVKTAEHNSIDESSEDIFLDSVSDLLGSVVEPTPTSVKRESPPKQFVRTNVPSKEMIASLNLKDGQNIVTFNFSTRVLGAQQVEAHLYLWKWNARIVISDVDGTITKSDVLGQVMPLVGKDWNQTGVARLFSAIKENGYQLMFLSARAIVQAYVTRKYLVNLNQDGKTLPTGPVVISPDGLIPSLYREIIKRAPHEFKIACLEDIKRLFPSDHNPFYAGFGNRDTDELSYSKIGIPKGKIFIINPKGEVATSQRVDAKSYTSLHTLVNDMFPPTSVLEKEDFNCWNYWKLPRPYIDSD >CAK8569297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692578472:692582193:-1 gene:gene-LATHSAT_LOCUS22167 transcript:rna-LATHSAT_LOCUS22167 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEENTEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIISDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSADPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >CAK8543659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:629628003:629628263:1 gene:gene-LATHSAT_LOCUS12404 transcript:rna-LATHSAT_LOCUS12404 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAAPPINPPTWNNEDTRLSYGSAAAIPCDDDFNDDLVAQFMNPNNDAGPSTQTHNAEVDRRRSTRNVQAPACRTHQRLRRPSQN >CAK8568838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644977764:644981332:-1 gene:gene-LATHSAT_LOCUS21755 transcript:rna-LATHSAT_LOCUS21755-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKYQTRATKVNRTITAQMHPTQAIRIETAEESAIQLNIILPETETKLGKKEAINIESEYQREFKQMLSNRKGFPVPMRAELAKPVANPCYPPPSQMEIMNACPRKDIPNFQELLVEENLYLHIEHGGQGKVPLLILSLKETDKQKKRPAVVFNHGSDTTKEYMRPLLEAYASRGYIAISVDSRYHGERAKSNDYQEALIFAWKTGRIMPFIYDTVGDLIKLADYLTQREDIDSSRIGITGISLGGMHSWFAAAADTRYSVVVPIIAVQGFRWAIDNDKWHARVDSIKSVFEVASKDLGKNAIDKEVVEKVWDRINPGLTSQFDSPYSIPPIAPRPLLILNGAEDPRCPWDGVDDLMTNVTKMYAAFQCPDNFKFLTEPGTKHEITKLQMRKSAYWFDEFLQP >CAK8568837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644977764:644981467:-1 gene:gene-LATHSAT_LOCUS21755 transcript:rna-LATHSAT_LOCUS21755 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLTMFPSPKTSLKMPATIPFPSSNTTNKMHATMLFLSPNITLKMPHYKYQTRATKVNRTITAQMHPTQAIRIETAEESAIQLNIILPETETKLGKKEAINIESEYQREFKQMLSNRKGFPVPMRAELAKPVANPCYPPPSQMEIMNACPRKDIPNFQELLVEENLYLHIEHGGQGKVPLLILSLKETDKQKKRPAVVFNHGSDTTKEYMRPLLEAYASRGYIAISVDSRYHGERAKSNDYQEALIFAWKTGRIMPFIYDTVGDLIKLADYLTQREDIDSSRIGITGISLGGMHSWFAAAADTRYSVVVPIIAVQGFRWAIDNDKWHARVDSIKSVFEVASKDLGKNAIDKEVVEKVWDRINPGLTSQFDSPYSIPPIAPRPLLILNGAEDPRCPWDGVDDLMTNVTKMYAAFQCPDNFKFLTEPGTKHEITKLQMRKSAYWFDEFLQP >CAK8579727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719308038:719316341:1 gene:gene-LATHSAT_LOCUS31651 transcript:rna-LATHSAT_LOCUS31651 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFWRKSHNHTLQHLKTIRGNYNLRHSHDFSFQLQRASQISPKPLIIDRDPHIVKCTNSISAHMRNGHCHLALRVFNAMPYRNLFSWNLMLTGYVKNRRLGDARYLFDLMPQKDVVSWNTMLSGYVRSGCVDEAKLVFDMMPVKDSISWNGLLSVYVQNGRLEEARWLFESKRDWEVISWNCLMGGYVKRKMLDDARQLFDHMPVRDIISWNTMISGYARDGDLLQTRRLFEESPVRDVFTWTAMVYAYVQNGMLDEARRIFDEMPGKREMTYNVMIVGYVQCKKMDMARELFEAMPCRNVGSWNIMISGYVQNGDIAQARKVFDMMPQRDCVSWAAIIAGYAQTGYCEEAMDMLVEMKREGKSLNRSSFCCALSTSADMASLVLGKQVHGQVVKTGYDNGCLVGNALLEMYCKCGSIGEAYDVFERIQQKDIISWNTMLAGYARHGFGGQALLVFDSMKIAGFKPDEITMVGVLSACSHTGMTDRGIEYFYAMNKDYGITPNLKHYNCMIDLLGRAGRLDEAHNLMKNMPFEPDAATWGALLGASRIHGYTELGEKAAEMLFNMEPHNAGMYVLLSNLYAGLGKWVDVGKLRLKMRQLGIQKIPGYSWVEVQNKIHTFTVGDCLHPEKDRIYAYLEELDLKLKHEGHVPLVKLVLHDVEEEEKKRMLKYHSEKLAVAFGILTIPDGRPLRVMKNLRMCEDCHNAIKHISKIVGRLIILRDSHRFHYFSEGICSCGDYW >CAK8574919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15233745:15234239:1 gene:gene-LATHSAT_LOCUS27218 transcript:rna-LATHSAT_LOCUS27218 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMASTSTKNINLKSSDGEIFEIEKGVALESQTIKYMIEDDCADETGIPLPNVTSKILAKVIDYCKKHVEAANRDERSVDEDDLRTWDAEFVKVDQNTLFDLILAANYLDIKSLLDLTCKTVANMMKGKKPEEIRKTFNIKNDYTKEEEDEVRCENQWAFE >CAK8574920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15233760:15234239:1 gene:gene-LATHSAT_LOCUS27218 transcript:rna-LATHSAT_LOCUS27218-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTKNINLKSSDGEIFEIEKGVALESQTIKYMIEDDCADETGIPLPNVTSKILAKVIDYCKKHVEAANRDERSVDEDDLRTWDAEFVKVDQNTLFDLILAANYLDIKSLLDLTCKTVANMMKGKKPEEIRKTFNIKNDYTKEEEDEVRCENQWAFE >CAK8537703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421894398:421894712:1 gene:gene-LATHSAT_LOCUS6967 transcript:rna-LATHSAT_LOCUS6967 gene_biotype:protein_coding transcript_biotype:protein_coding MASITMSSIAPTTGRVFAATKGAAGASKEEKGFFDWILGGMQKEDQLLETDPILKKVEEKKNSRGTTSSRSGGTRSGGTRSGGKNSVAVPQKKKGVFEGLFSKN >CAK8533873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666373553:666374566:-1 gene:gene-LATHSAT_LOCUS3462 transcript:rna-LATHSAT_LOCUS3462 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNIGHNSRTCNTLRGNGNNFLGVRLFGVQLDLSSSCVSMKKSFSMDSFPTSSSSPSSSFSSSRLTIDDRASIGYLSDGLIVRTQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKYFIRLSTLNKKKRRSSLFDMVGSINTNRCKRHDDEVEKDATLSLLRTSFQQQEAKSTQVEDCAKEEHEHEDVPNWLHPLTKSLNMTLVIPNSSNVTTPPDLELTLAVPKSNNMEQDKTSNSSFFNGPISVT >CAK8533253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597475673:597476113:-1 gene:gene-LATHSAT_LOCUS2897 transcript:rna-LATHSAT_LOCUS2897 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYMIANRYNIVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGCLQHWQQLTPILPTHYEL >CAK8540905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31016829:31018308:-1 gene:gene-LATHSAT_LOCUS9863 transcript:rna-LATHSAT_LOCUS9863 gene_biotype:protein_coding transcript_biotype:protein_coding MGLICGCDFVSCVLMFKKRQTLFSLSNKLLVFQLLVLFLFCLCHDNTVQCHGRLSKHVSYESRLASSEYKDDSKKIIVSVVLGVISGLIGSILFAFVIRCVVRYLNRTPILKGPVIFSPKIASKTLQLALSKENQLLGSGANGKYYKTVLENGLIIAVKKLTPFESNSQESRRKSVKRKIQMELELLASLRHRNLMSLRAYVRGNDGFSLVYDYVSTGSLADVMNRVRENELQIGWEVRLRIAVGVVKGLQYLHYTCVPQILHFNLKPTNVMLDAEFEPRLADYGLAKLLPNLDRGTSLNTPPECFHNSSRYTEKSDIFGFGMILGVLLTGKDPTDPFFGEAVRGGSLGCWLRHLKQAGEEREALDKSILGEQGEEDEMLMAVGIAAACLSDMPADRPSSDELVHMLTQLNSF >CAK8543301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:597620170:597620397:1 gene:gene-LATHSAT_LOCUS12067 transcript:rna-LATHSAT_LOCUS12067 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEFVAPKIVNGEIEIVIEESYVVEELEFLEHSIILFALGESLLMNVVKKFMEKTWNFVSLPDLYYNDEGYREI >CAK8567964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559534302:559536530:-1 gene:gene-LATHSAT_LOCUS20969 transcript:rna-LATHSAT_LOCUS20969 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYPSQPDFEYLDDDGRPRRTGTIWTASSHIITAVIGSGVLSLAWAIAQLGWIVGPTVMILFSLITLYTASLLTECYRYGDPLFGKRNYTYVDAVQNILGRHHYTVCGLVLYISLCCSATGYTIAAAISMMEIKKTRCLHKSGGKDPCKISSNPYMIGFGVIQIFTSQIPEFHETWLLSVVAAIMSFVYSIIGCFLAIYQVAVNGTFKGTVTGGSSGNVSTTEKVWGIFQALGNIAFAYSYAQILIEIQDTIKNPPSEVKTMKKATTLSVIVTTAFYMLCGCMGYAAFGEQTPGNLLTGFSLYNPSWVIDLANATIVIHLVGTYQVYAQPVYAFVEKWAAKRWPKTKVNFRVFGGYNLNLFRLVWRTFFVIIATFVAMLIPFFTDVLGFLGAIGFWPLTVYYPVEMYILQKKVPKWSRKWIWLEIISLVCLIVSVLAALGSIASVVVDLKKYRPFLTDY >CAK8579744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720325109:720346592:1 gene:gene-LATHSAT_LOCUS31665 transcript:rna-LATHSAT_LOCUS31665 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDELPLPPEKAYLREEISKIDETWTAARFDSLPHVVHILTSKDREAAAQFLKEQSDVIEEVVDEVVQSYHSGFNRAIQNYSQILRLFSESTESIGVLKVDLAEAKKHLSARNKQLHQLWYRSVTLRHIISLLDQIEDIAKVPARIEKLIAEKQYYAAVQLHLQSIMILERGLQTVGALQDVRSELTKLRGVLFYKILEDLHAHLYNKGEYSVAGSMMLENDDDVPTTTYVALTAHNSQSRSRRTKSLKGDNQTSLQIDGSFDGHDEEGTLDSSEEATLNGNMANTRVNGSDVTKDASGALRQMPTWLSNSTPDEFLEIIRKSDAPLHVKYLQTMVECLCMLGKVSAAGAMICQRLRPTIHETITSKIKAHADLLNSSRSSIGQGFQTVTSDLHFIKGQLESYQLPKQKRKNGISIAGTLLAVSPVSPLMAPGGKAQVAAKELLDSILDAAVRIFENHVVVGELLEAKVSLHADMNTPKSVPGDVSWNPDSETSQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLANKTPSKEKRDGSENGLTFAFRFTDATISLPNQGVDIVRQGWNRKGPNVLQEGYGSAAVLPEEGIYLAASIYRPVLQFTDKIASMLPTKYSQLSNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHVVTTYTPSIEKGRPVLQGLLAIDYLTKEVLGWAQAMPKFANDLVNYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRLDPSSAYLPNLQDPFNLESNSYDAETSEAELELSELLLNLRPIKQENLIHDENKLILLASLSDSLEYVADSIERLGQTTQRASNHIGGKHHSHSDSAPTRSLASFSQDYRKLAIDCLKVLRIEMQLETIFHMQEMTNTEYLDDQDAEEPDDFVISLTAQITRRDEEMAPFISNAKRNYIFGGICGVAANVSVKALAAMKSINLFGVQQICRNSIALEQALAAIPSINSEVVQQRLDRVRTYYELLNMPFEALLAFITEHVHLFTAAEYTNLLNVLVPGREIPSDAHERVSEILSF >CAK8539484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513868191:513877579:-1 gene:gene-LATHSAT_LOCUS8574 transcript:rna-LATHSAT_LOCUS8574 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFQVEDQSDDEDFFDKLVEDDDMEPVKSGHGEVDDSDDVKAFANLSIGDVDAAATLEKSDVGESGVEVKEDLGSVKSDVNLVGGHDEQEGNSLMGSSSVECDSKTDLVKEEIGIGSELSELSDVGKSNEVASSGIKEKGWSSFHVDANDGNGFGSYSDFFSELGDQSGDFPVISHDNLNSQVNLVNEVQNVGFNTSVDYLQYQGVQGYDTSFEFENHTDKQVDGLNSSVNLQYQEGGVYDASSNQHNNGQDLSSSQSWEDAYPGWKYDHATGQWLQIDGYGTTATSQQTSEANTAVDWSAASDGKTEISYLQQTAQSVAGALVETGTTESVSSWNQVSQGNNGYPEHMVFDPQYPGWYYDTIAQEWRSLETYNSSIQSSVHGLENGYTSTSNSSLTTNNNNNLYSEYNQAGHYGSQGTGSQAVNGSWNSSYGVNQQRQGFDMYTTEATTKIRDNIATGGNPQVNHSYGSGNQQVNHSYSSSMSGINDHVNTSSPFGSVASHNKVNHEHGLTNGTFEPKTFVPRGDFAQQLNYSNTKFNDQKQFSNVFTENQNSHNYSQQSIQGGQYAPHAGRSSAGRPSHALVTFGFGGKLIIMKDPSVLSASYGSQDPAQGSISVLNLMEAVTGSISSMTIGNATGDYFRALSQQSFPGPLVGGSVGSKELYKWLDERIARCESPDMDYKKGERLRLLLSLLKIACQHYGKLRSPFGTDTILKENDAPELAVAKLFASAKVRGAEFTQYGMPSHCLQNLPSEEQMRVITAEVQNLLVSGKKMEALQHAQEGQLWGPALVLASQLGEQFYVDTVKQMALRQLVAGSPLRTLCLLIAGHPAEVFSAETSSSGYPGGFNMPQQSEQVGSNGMLDDWEENLAVITSNRTKGDELVIIHLGDCLWKEKREITAAHICYLVAEANFESYSDSARLCLIGADHWKCPRTYASPEAIQRTELYEYSKLLGNSQFVLHSFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTSRAPEVETWKQLVLSLEERIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPGPSSSQGAVLGSEQHYQQIAPRVSTSQSTMAMSSLVPSASMEPMSEWTADNNRMAKANRSVSESDIGRIPRQETTSPDAQEKVQVSGARSRFSRFGFGSQLLQKTVGLVMRPRSGNQAKLGEKNKFYYDEKLKRWVEEGAEIPAEEAALPPPPPTTAAFQNGSTEYNLKSALKTEGSTLNEYSSTRTSSPEPSPGMPPIPPSSNQFSARSRMGVRSRYVDTFNQNGGSSANLFQSPSVPSVKPALPANAKFFIPAPVPASNERNMEAIAESNLEDSAANEDPSTSSTNDWSYQSPKHAQTMTMQRFPSAGNISKQGLTDGNNSDFSHSRRTASWSGSINDSFSPPKLGENKYPGEAYGMPPSTFMPDPSLMRQPMRSSSFGEDLQEVEL >CAK8533161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586245292:586247863:1 gene:gene-LATHSAT_LOCUS2808 transcript:rna-LATHSAT_LOCUS2808 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVVLERDDVELVAVNDPFITTDYMTYMFKYDTVHGVLKNREISVKDNKTLLFGASPVAVFGCKNPEEIPWGEAGAEYVVESTGVFTDKTKASAHLKGGAKKVIISAPSADAPMYVVGVNEKEYKSDVDIVSNASCTTNCLAPLAKVIHDKFGIVEGLMSTIHSITATQKTVDGPSMKDWRGGRAASVNIIPSSTGAAKAVGKVLPSLNNKLTGMSFRVPTVDVSVVDLTVRLEKGASYDEIKAAIKEASEGELKGILGYTEDDVVSTDFVGDSRSSIFDAKAGISLNNNFVKLVSWYDNEWGYSSRVVDLIRHMASVN >CAK8560148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8988771:8989601:-1 gene:gene-LATHSAT_LOCUS13853 transcript:rna-LATHSAT_LOCUS13853 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVILINSVLANLPIHYLAFFKAPNKVVKDFIAVQRRFLWAGNSSESFIPWVSWNSVCKPKEDGGLGIKHVGRLNSALLAKWIWRFQTGENEIWRNTLTNRYGNLNIKSQTYSEVDSSRSNSLWMKDIMANASLNSHANFCNFMACSVGEGYDAAFWKSIWIGDMPLKVRFNGLFQNCSMKTMSVRDMGYWENGKWIWKLRDLLADPDFHPEPKWSECCRLLDNISVTPGESEKWRWTAHDSLSFKVSSFYSVLYSSLPEQAIGSNCASLIESI >CAK8574626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4260863:4262482:-1 gene:gene-LATHSAT_LOCUS26954 transcript:rna-LATHSAT_LOCUS26954 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGATSSSGDASVVNRRRSSQTVHSNGVNATDSMPKNSSLFSQASFLKWTLSDVVHVATHHWMPCLFACGLLFFMAVEYTLLMVPSSSPPFDLGFIATRSLHRVLESSPELNNLLAALNTVFVAMQTSYILWTWLIEGRPRATISTLFMFTCRGILGYSTQLPLPQDFLGSGVDFPVGNVSFFLFFSGHVAGSMIASLDMRRMKRWEMAWTFDVLNVLQAVRLLGTRGHYTIDLAVGLGAGYLFDSFAGKYVEDSKKKIAKHSVNGV >CAK8577534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571711523:571712491:1 gene:gene-LATHSAT_LOCUS29633 transcript:rna-LATHSAT_LOCUS29633 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLYAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPEKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKTVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSAEPPEVVQARNDNSHGTNFTDSLEPIYGTQFLPRKFKIAVTVPTDNSMDILTNDIGVVVVTGDHVEPHMCVMHNTASFCS >CAK8574831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10683174:10684081:1 gene:gene-LATHSAT_LOCUS27134 transcript:rna-LATHSAT_LOCUS27134 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAPIMIVDLKIGNNVWKLATRVVDLWIVKDRNGQQHFEGVLQDNKCDKMHVVTRNRDFDLWKQRLREHQTVMVYNGDPLNNDLALKVCDNPLKLVFNGGTTVTVVDMPEMYAYQFCFKPIVDFSRGDFRVNRLYDVIGVLHEIVKTQVAGGGKKACVNLVIFDEIGSEIDMTLWEAYATQFMAYTNEKTTHGPTIIVITHAMCQQSSGNVCY >CAK8566390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418187240:418187660:-1 gene:gene-LATHSAT_LOCUS19523 transcript:rna-LATHSAT_LOCUS19523 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFRDKELRKTVVNMGYALTEATVNYYKGEIRRTNRAALEWIDSIPREKWSRAFDGGHRWGHMTSNLGDVMNSVLKATRNLHITALVKSTFYRLGSLFGKQGHN >CAK8572253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524535104:524535621:-1 gene:gene-LATHSAT_LOCUS24838 transcript:rna-LATHSAT_LOCUS24838 gene_biotype:protein_coding transcript_biotype:protein_coding MDANATVLRRTSGFGGFVVKGNCVVFCRKLKVVVLQSAKLPIGQRRFPWVFCRGNPLGNGGWTEHSQSMLFGWKVPDLGGDFAAGYTKMENLFSLSWINTNSWCSHGHFPLAGLLLP >CAK8536052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902987729:902988142:1 gene:gene-LATHSAT_LOCUS5454 transcript:rna-LATHSAT_LOCUS5454 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLCVNGCGFYASSINNNLCSKCYNDYLKENIEKSNDHESCDFESTSSFSSMTFNIDSICEVIDNQNIKTKKNKCKSCNKKVSLLGFNCHCENVFSKIHRYPEEHACKVDLKKIGRQILDKQNLLCVSDKLGHRI >CAK8571714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464747495:464753169:1 gene:gene-LATHSAT_LOCUS24351 transcript:rna-LATHSAT_LOCUS24351 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITRRLGSQSSTSLKSIYPISHHYYGVDHERYVSTIATKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSPRHLKLMGDLGQIVPMKFNPRDESSVKAVMARANVVINLIGRDYETRNYSFEEVHYHMAEKLAKISKEHGGIMRFIQVSCLGASPSSSSRMLRCKAAAEEAVLRELPEATIFKPAAMIGTEDRILNRWAQFAKKYGFLPLMGNGNTKLQPVYVVDVASALTTALKDDGTSMGKIYELGGPEIFTVHELAEIMYDVIREWPRYVNVPLPIAKALATPRELLLNKVPFPLPKPETFNLDQIHAFATDNVVSENALTFNDLGVVPHKLKGYPIEYLIQYRKGGPQFGSTISEKVSPDAWP >CAK8564064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649121051:649136437:-1 gene:gene-LATHSAT_LOCUS17405 transcript:rna-LATHSAT_LOCUS17405 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDDRIRTLIENGVKSRHRSMFVIIGDKSRDQIVNLHYILSKAQIKSRPTVLWCYKHKLELSSHVRKRQKQIKKMRHQGLWDPEKADAFSLFAESGDITYCLYKDSEKVLGNTFGMCILQDFEALQPNLLARTIETVEGGGLVVLLLRSLSSLTSLYTMVMDVHDRYRTESHSEATGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITPVPVKEDSEGLSEVDQGLKKLKEELNEDFPVGPLIRKCCTLDQGKAVITFLDAILDKKLCGTVATFAARGRGKSAALGLSIAGAIAVGYSNIFVTAPSPENLRTLFEFICKGLSVLEYKEHEHFDLVKSQISEHKIVTTRVNIYKHHRQTIQYILPHEHEKLSQVELLVVDEAAAIPLPMVKSLLGPYMVFLSSTVNGYEGTGRSLSLKLVQQLQEQSQVSAKSTEGTGRLFKKIELSESIRYASGDPIESWLNTLLCLDVSNAIPNISRLPPANECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRQSAIQSLSHGHQPFGDQIPWKFCEQFRDTTFPSLSGARIVRIATHPNAMRLGYGSQAVELLTRYYEGQLTPISENDVDDKVHTPQIRVTEAAEKVSLLEENVKPRTDLPHLLVHLRERRPEKLHYIGVSFGLTLELFRFWKKHRFAPFYIGQIPNNVTGEHSCMVLKSLNNDEVEVDESNQWGFYGPFYQDFRQRFAKLLASTFRDMEYKLAMSIIDPKINFTEQEPIKTTADKLLGSVKEYLSPHDMKRLEAYVDNLADFHLILDLVPTLSHLYFQEKIPVTLSHAQACVLLCTGLQNQNISHIEGQMKLERQQILSLFIKVMKKFYKHLYGLASKEIESTMPRLKEIVMEPHSVSVDEDLNDGAKQVEDDMKSKSESLFTPELLQRYAIEDGESFDNVLQSTGGKIPTGGLISVKSSRKIIKSEKDNGSHKSDKKRRIDDHSHKSSSKKEKKKRSS >CAK8561112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:96721252:96721578:-1 gene:gene-LATHSAT_LOCUS14731 transcript:rna-LATHSAT_LOCUS14731 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFIFAASTPPLSVKPLDGCASRPPRSSFWDILTKGKGATYMKERVYLIKKGLMIVSLEGGNKLLPKVTINEKLFQELYNLRKEALFIKLIEKNMGYHLMKDRLKNM >CAK8566611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442120346:442121830:-1 gene:gene-LATHSAT_LOCUS19731 transcript:rna-LATHSAT_LOCUS19731 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKGVILDESVLLVGGDGDDDKNVSSLQPGVESLIKTLFLAGINVGITYSVDLPNDKVSILKSIASLYSLHWFVLNDPVNEVVMPEWCDIENESVIYLVSSKKKLLLPKLRSYNWLVVVLNVGGESESSCESDTPNIENLAELPLTICRLNKRLTGNNFLTVGYTMKPSRVEDFAKRGAFPLCPTENGLMFVPLMATLPLASQLKDVDIVLHKATDEILFIEENKLTFTQHMQELQRYLDQNQDFCVVDPLINIYPILDRLEIQQVLLGLLELNTEGSCLIRGASFLKVDNFSEVNFASKFDEAGLSLPCIVKPNVACGVSDAHKMAIVFRVEDFKSLNVPLPAVIQEYVDHSSTLYKFYVLGGKVFYAVKKSIPNADILMKLSTGNDLKPLEFDSLKSLPTDKGTIVDSEASNESINLKLVTDAANWLRKRLCLTIFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDIAIPAFWAAIRDKFDSMVSKQAHA >CAK8561030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79353478:79360343:1 gene:gene-LATHSAT_LOCUS14658 transcript:rna-LATHSAT_LOCUS14658 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGSLKSAIFDKEERKQQYQAHIRGLNAYDRHKKFIKDYVSFYGKEEKSSTLKLPIKTDKDTLREGYRFIRSEEDDMDPSWEQRLVKRYYAKLFKEYCLADMSQYKSGKIGLRWRTEKEVMSGKGQFICGNKHCNEKDGLASYEVNFCYFEAGENKQALVKLVACERCAEKLNYKRQKEKEQLEKRQQKQDRRKRNRSKSDDDLDEVQESEDRRRKGKRALVSANDHKIEDEDEDSDNIDEFLEGMFP >CAK8570022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21344008:21346090:-1 gene:gene-LATHSAT_LOCUS22813 transcript:rna-LATHSAT_LOCUS22813 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKLLSTTITLQLPPNTPKTRLAEQTCLTLLQSYCNTFSKLTQIHAFILKNGLQNNPLVLTKFASSSSNFNAIHYASSFLFPSHQTPSFSYDAFLFNTVIRAYAQTQTHDSKSKALEFYTTMLRYGVSPNKFTFPFVLKACAGVASLRLGKLVHGSVVKFGFEDDLHVVNTMIHMYCCCEGEEGIEFAEKVFDESSKSDLVTWSAMIGGFVRLGRSARAIGLFREMQVMGVCPDEITMVSVLSACADLGALELGKWMESYIERKNIPKSVELCNALIDMFAKCGDVDKATKLFEQMESRTIVSWTSVIAGFAMHGRGLDAVSLFDEMVENGITPDDVAFIGVLSACSHSGLVDKGRTYFKSMERNFNIVPKIEHYGCMVDLFCRGGFVKEAFEFVQKMPFEPNQIIWRTIITACHASGELKLGESISKGLIKKEPMHESNYVLLSNIYAKLRQWEKKTKVREIMDMRGMKKVPGSTMIEVNNEMHEFVAGDKSHDQYKEIYEMVDEMGREIKRAGYVPTTSQVLLDIDEEDKEDALYQHSEKLAIAFALLNTPPGTTIRLVKNLRVCEDCHSATKFISKVYNREIVVRDRNRFHHFKNGLCSCRDFW >CAK8541859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418217624:418218462:-1 gene:gene-LATHSAT_LOCUS10750 transcript:rna-LATHSAT_LOCUS10750 gene_biotype:protein_coding transcript_biotype:protein_coding MMIALKSINPSFRLTNIKCNIYKKRSSTLYLCKFNESDSQAPQPGDTKKQELLAQMAMLQARKIRLTDYLDERSAYLTQFGEMVNAEFEKIGEDALKGLDEAGARITANMESQMLEFEESAEINRQEIKQRENELEEFEVQMENSRNEGLFFKNLRKKVPVVDKAKAKEEAEKIKDVIREKAGSRIRKNVYRFFIGLLTFTVVYSIASPSTDWRKVAVFGAILVALISQFTYEQNMSVETKTTRKINNEEENK >CAK8573917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646735909:646736767:1 gene:gene-LATHSAT_LOCUS26312 transcript:rna-LATHSAT_LOCUS26312 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLIGININSHFLDVAANFLSCRLEGLEFNFLGVPIGLNSKRIYAWDFLIHKRKAQISNWKGRMLYLGDHITLLKSIWSSLPIFLFSFYRAPVKWKRRILEGKKALWIDILRARYDIQSHHDTSSVNCNNTNSRSAWWKDITSLEKRHHAKDFKGKCRFTLEGGSLIPLWSTFWFGEVKLQDELPDLYNISSLKKEMAAGMGKECVIAGFGEILEFRLSLHCQFNQDCRS >CAK8535797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882617512:882620245:-1 gene:gene-LATHSAT_LOCUS5220 transcript:rna-LATHSAT_LOCUS5220 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVGVLMAIPMPSNLAEKLANRFNLFKLWTYSSIEAFSETHGDSVRAFVCDAKSCADAKTIDALPNLEIVSTNSVGFDKIDIGKCRERGIRVTNTPDVLTDDVADLAIGLALAVFRKIPNSDDFVKNGLWKHSDYPLTTKFSGKKVGIVGLGRIGSAIAKRAAAFGCPISYHSRSQKPEAGSYKYYPNILDLATNSQVLVVACALTEQTRHIVNREVIDALGPKGVIVNIGRGPIVDEPELVSALVEGRLGGAGLDVFENEPDVPEELLRLENVVLTPHVASDTEETCKDMADLVIANLEAHFSGKPLLTPVL >CAK8533018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566356304:566356786:-1 gene:gene-LATHSAT_LOCUS2670 transcript:rna-LATHSAT_LOCUS2670 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKAANVEKSHGTTIEEIDHLVETNEVILEGFDDDEHHSNNYPTRPSITNSQDVSSSWTKKRVKKVIEDDTSMIETFKTFKKMVDVFEMNSMELVKQSKNANGGDIWAELVEIGVEPSSLPLVYMYLFKNADALKAFNGIPIDKQKEMLHLIVPDYPF >CAK8570138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25456992:25457507:-1 gene:gene-LATHSAT_LOCUS22916 transcript:rna-LATHSAT_LOCUS22916 gene_biotype:protein_coding transcript_biotype:protein_coding MEYILNKLNIEKGSRGARIVKNTISDCEMEGVRGEEKLCVTSLESMIDFITSKLGKNVEAFSTEINKENVFQHYTIAEGVKKLGDKNKVAVCHKLSYPYVVFYCHETNTTKGYSVPLEGADGSRVKAIAVCHTDTSEWNPDHLAFQVLKVKPGTVPVCHLLPQDHVVWISK >CAK8532456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266790648:266791416:1 gene:gene-LATHSAT_LOCUS2160 transcript:rna-LATHSAT_LOCUS2160 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSWSAENATKAYLSTLKMDQNIKEPSIAEFISALAAGNNAQLMVVACAAAADLTTFALIAAANETNGNVICIVPNNEDLLASKYFLGEYSNKVKFLIGKEAQELDELNKADFVVIDCNLVNHEEIVKLVQIGDGNKQKGIIVVGYNAFSCKGSWRSCISKTQLLPIGEGLFLTRFGENNAISPKFGAGMRKSARSHWIVKVDKCTGEEYVYRIRLPLG >CAK8543255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593253820:593254296:-1 gene:gene-LATHSAT_LOCUS12025 transcript:rna-LATHSAT_LOCUS12025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGNLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFTPRQNPRIPSIFWVWKSADFQERESYDMLGIYYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >CAK8567028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480375144:480380418:-1 gene:gene-LATHSAT_LOCUS20118 transcript:rna-LATHSAT_LOCUS20118 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMALHGCYCNNIESVNQRRPFDNIGFTSSISVHELLKNKRSTSGKFHRFVVKMRQTETPPSNYGTNGRAVKMVPVTEIAKRKTVSENKVGMVNGSKQAVNGASLVRRDPAPALTKTKIAKPETSKELPPLEELKVLPSDEGFSWANENYSSWQRSIDVWSFVLSLRVRVLLDNAKWAYVGGFTEEKQKSRRRKTASWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPKKARGFIESELGAPVNVLFKEFEDQPIAAASLGQVHRAILHNGEKVVIKVQRPGLKKLFDIDLQNLKLIAEYFQRSETLGGPTRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTAMKVLTLEYVPGIKINQVDTLTSRGYDRDRISSRAIEAYLIQILKTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQCLIDLGALQPTGDLSAVRRSVQFFLDNLLNQTPDQQQTLSAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYTLNPDFSFVKIAAPYAQELLDIRQRRRTGPQFVEQITKQANDVRTNSMSMPYRVQRIEEFVKQVEAGDLKLRVRVLESERAARKATVLQMATMYTVLGGTLLNIGVNLSSQGNQTFSNGSFVGAGILFTLFLRSMQRVNKLDKFEKML >CAK8567942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558391061:558391626:-1 gene:gene-LATHSAT_LOCUS20949 transcript:rna-LATHSAT_LOCUS20949 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFDGCGGVDAASFIKKNMFNFIIEDSQFPPSNKKAIKNAFVKADHAFRDAGTLDSSLGTTTLIVIVLGRVVLVANAGDSCAVLGKRGRAVELSKDHKPNCMSERLRIEKLGGVIYDGYLNGQLSVARALEDWHIKGSKSPLSCEPENVHCANLWRGPSEPS >CAK8561263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:131502714:131506345:1 gene:gene-LATHSAT_LOCUS14872 transcript:rna-LATHSAT_LOCUS14872 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEFEDTQAVSDMIPSNQQRKKQSFFWKVLSMRDRNGRTMERGSIDSHEALSDLSIQNQGSVSSMSSGEAVQNFKVFAATWNVGGQCPSGNLDLSDFLQVRNEPDMYVLGFQEIVPLNAGNVLVLEDNEPAAKWLALINQSLNGPSYFASNSGLKPTASFGGSLLFPKPSLKKIKKTFKKLNGKRLKSCNCVLEMERKAAKDFCFRCQESNFNLDDSSTEEEDDSFPISVALATNQMKYSLVTCKQMVGIFVSVWMKKELIQYVGHLRICRTSRGIMGCLGNKGCISVSMSFYQTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPKICKTQYSRMPDKILDHDRIIWFGDLNYRISLSRDDAKRLVEMKDWPSLFNKDQLKMEREAGRVFKGWKEGKIFFAPTYKYAFNSDTYYVEGLKISKNKRRTPAWCDRILWHGRGIQQLSYVRKEFKFSDHRPVCATFLVEVEVMFRGQKKKVSTFNIQIDDFVPTRSSYY >CAK8540480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8541233:8542989:1 gene:gene-LATHSAT_LOCUS9482 transcript:rna-LATHSAT_LOCUS9482 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVGKTLVSCTQGSKVSLADLQGDEEHAFRKISLRTENVQGKNLLTNFWGMSLTTDKLRSLIRRKMREIMTNQASFCDLKELFVLGIGGIIAQTLEEQIFRWFRAAELTCDRAALLIAQDLKVVIFVLMKLAGGCPSLADQLNVDAFL >CAK8564133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653706078:653706629:1 gene:gene-LATHSAT_LOCUS17466 transcript:rna-LATHSAT_LOCUS17466 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFEIESNKILSRKSSVGYSSRISYYRSGEGVPFKWEMQPGIAKESSPAKELPPLTPPPKFLSLGLAKPCILDTKKSPSTMSKLRFWKRRVNKIKSKKPQEDCFHEDFDVLSWLDCSSDSESMASPRDSSFSSSSSSMSMMKSTRSSLNSVCSCSEHTVKQVYGGCFPMNMTKILVSITRRD >CAK8561218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:119522714:119524498:-1 gene:gene-LATHSAT_LOCUS14827 transcript:rna-LATHSAT_LOCUS14827 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLNSTLSYLLIFTFSFLLLLKFLIPANKTNQKNHSKLPPGPSPLPIIGNLLKLGNKPHHSLANLSNIHGPIMTLKLGQITTIVISSADIAKEVLQTHDILLSNRTVPDALSVLNHDQYSLSFMRVSPRWRDLRKICNNQLFSNKTLDSSQGLRRRKLQKLLDDIRKCSEVEEAVDIGRVAFMTTINLLSNTFFSADFVHSAEEAGEYKEIVVSILKEVGVPNLSDFFPMLKVFDLQGIRRRSVVSVRKVLTIFRRFVGERLKLREGTGSIGNDDVLDALLNISLDDGKIEMDKDEIEHLLLNIFVAGTDTSTYTLEWAMAELMHNPEIMAKVQKELEQVVGKGNPIEETDIAKLPYMQAVIKETFRVHPPVPLLLPRKAETDVEIGDYIIPKDAQVLVNAWVIGRDPTKWENPNVFAPERFLDSEIDFKGHHFELIPFGSGRRICPGLPLAIRMMPLMLGSLVNCFNWRLEDGLNIDDLNKEDEYGITLEKSQPVRIVPIKLTKN >CAK8540974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37302959:37305399:1 gene:gene-LATHSAT_LOCUS9928 transcript:rna-LATHSAT_LOCUS9928 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKSIIERLSALRTRIVVMASQEGPTITRTKRKSVTQHGGSTLADLLQALEDYLPVLLGLVKDGSHLQYKVQFVWMNQEDEKEETAMSNAWYEVLSVLHLMAMLLHSKANLLLLPRSSSDGHQQKVSDENRRTSIDIFLKASGYLDCAVKHVLPQLPAELRRNLPVDLAEGVLRALSLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMLKCWQQAQDNIMNLPLANGWGEKHHLLVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNAAPPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYTHERIMETAPTLPEFSLALKPDEYQPPPVDSSWRTENIKVAQTHSNHVNGDK >CAK8537908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:446532343:446547216:-1 gene:gene-LATHSAT_LOCUS7157 transcript:rna-LATHSAT_LOCUS7157 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGEGARSKARPSSSSLAASLLSSAPSSAAAVSVGFGGFVGSSRLDPSPSTEESIPFADVDSEIAVHLKRLGRKDSTTKLKALSALSTLLQQRSSKEIVPIIPQWVFEYKKLLLDYNRDVRRATHDTMTTLVTSVGRDLAPHLKTLMGPWWFAQFDPAYEVSQAAKRSLQAIFPAQEKRLDALILCTTEIFMYLEENLKLTPQSLSDKAVAVDELEEMYQQVISSTLLALASLLDVLIFPQQEQPAMENITTEPRHASKARVAAVSFGEKLLADHKHFLDFLKSKRPTIRSATYTVLKSFIKNMPHAITEGNIKSLAGAILGAFNEKDLTCHPSMWDVILLFSRRFPGGWSSLNVQKNILNPFWNFLRNGCFGSQQVSYPALVLFLENVPPKAVEGDKFFLEFFKSLWVGRKTSLSADRLAFFQAFKECFLWSLKNASRYNDGEDSISHFRVTLVDNILAKLIWRDFLTTGSSKGYDIISTGKESDSSEKNISHSKKADMPSKKYPMPYLQALGKCFVEILLGIHILDINLLSVFTVELENNCTSVLQQAGSVEMVEQIISFMLLLEQHAVMKGATWPLVYIVGPMLAKSFSIIRSSDSPDTVKLLSVAVSIFGPQKMVQEVFNQKRGHGTIPPLIGGDELSEAEDFLQIFKKTFVPWCLQPNSCSTNARFDLLLTLLDDDHFSEQWSFIVNYVLSQSYSGSSSGLINSDQAAMLATLLEKARDESMKRKAKDGSSYRPGSNAEDWYHESLESYATAASHSLPPYSASHVQFMCSLLGGSSKGTSMPFLSKNALILIYKEILRRLVSFIQDSSFSWAQDAASMLSNDAEICVEHDSSLSIVEKAKISLDILDGSFFCLNTLDGEGGIVSGILSAVFVIEWECNLSNALDYSLDDESMSRAKARQSFGEYARAFHNKINVHFLKSLCIDNRRRLLNILVQSVKSAIFVEDNHVNGIITSLCCTWVLEILERVCVDENDKQNLLHQLLSRDERWPVYVVQNLSSTKAPGHQKFVALIDKLIQKIGIDRVIAGCAMPNSSMLERSQGIASSAWLAAEILCTWRWPENSAMSSFLPSLCAYAKRSDSPQESLLDNILSILLDRSLIYGGDSTKSSVSMWPVPADEMEGIEEPFLRALVSFLSTLFKENIWGTKKASYLIELLVNKLFLGEEVNTNCLKILPLLTSVLLEPFCGYVEPSKGVQPCSLEERFVQNTMIDWLERALKLPPLVTWTAGQDMEGWLQLVIACYPFSSMGGPQALKPARSISPDERKLLYELFLKQRLVAGVSAMTNQLPVVQMLLSKLMAVSVGYCWNDFSEEDWEFLLSNLRCWIQSVVVMMEDVTENVNGLVDNSSDNLDEMCKKIEKIILISDPFPIKISENALLSFSLFLKHCKHQQTEDTDNSNTMKTEKLDSAKDRIVEGILRLLFCTGISEAIANAYFKEAAPVIASSRVTYVSFWEFVACAVLDSSSQARDRSVKSIAFWGLSKGSISSLYAILFTSKPIPLLQFAAYFVLSNEPVLSSAVVEDSACNSDMNASSELDSSRFDNSIEEKVRLKEEISYVIERAPYEVLEMDLLAHQRVNLFLAWSLLISHLWSLPSSSSDRERLIQYIQDSATPVILDCLFQHIPVEISTIQSLKKKDAELSGGLSKPASAATKATNTGSLLFSVESLWPIESEKISALAGAIYGLMLHVLPAYVRGWFNDLRDRNTSTAIESFTRTCCSPPLIANELTQIKKANFRDENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCTRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIGIWKHNFDKEFEGVEECPICYSVIHTTNHSIPRLACRTCKHKFHSACLYKWFSTSHKSSCPLCQSPF >CAK8571656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:456738579:456741038:1 gene:gene-LATHSAT_LOCUS24297 transcript:rna-LATHSAT_LOCUS24297 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLYLILLHIFLTFVVTHSAPKGSLITKLPGFNGSLPSKHYGGYVTIDESHGKNLYYYFVQSESNSSSTDPIVLWLNGGPGCSSFDGFIYEHGPFNFEKPVTKGALPKLKLNPYSWSKVSNIIYLDSPAGVGFSYSKNASDYKTGDKKTAADTHTFLLKWFELYPEFLANPLFLAGESYAGVYVPTLANTIVKEIEVGTKPKLNFKGYLIGNPVADPIFDGNALVPFAHGMGLISDQIFENTTKTCNGTFYPPDSVECSNLLLKIANIINGLNIYDILEPCYHGEETEENQKKNSKLPLSFRQLGKTEKSLPIRKRMFGRAWPYGAIVKDGIVPSWPQLTSKSLTAPPCIDDEIAMVWLNNPEVRKAIHAAAKNVVSEWDLCTDQLEYDHDLGSMIPYHKNLTSKGYSALIFSGDHDMCVPFTGTEAWTRSLGYKIVDEWRPWVVNDQVAGFTQGYANNLRFLTVKGSGHTVPEYKPMEALYFYTHFLDGTPI >CAK8570584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63685808:63694072:1 gene:gene-LATHSAT_LOCUS23322 transcript:rna-LATHSAT_LOCUS23322 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGEVPEEPVVSKSSGLLFEKRLIERHIQDYGKCPVTGEPLTMDDIVSINTGKIVKPRPVQAASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARITKERDEARSILAQAEQQFPISTPSAVTANTPVHSNGKRAAADEELAPNAKKLHPGISSSIAKKLHPGISSSIITELTDCNAALSQQRKKRQIPATLAPVDALETYTQISSHPIHKTNKQGIISLDILHSKDLIATGGIDSNAVIFDRPSGQILATLSGHSRKVTSVKFVGQGESVITGSADKTVRLWQGSDDGNLSCRQILRDHSDEVKAVTVHATNNYFVTASLDGSWCFYELSSGTCLTQVSDSSSEGYGYTSAAFHPDGLILGTGTTDSIVKIWDVKSQANVAKFDGHVGDVTAISFSENGYYLATAAKDGVKLWDLRKLKNFRNIAPYDADTPTNSVEFDHSGSYLAVAGSDVRIYQVANVKSEWSLIKTFPDLSGTGTSTCVKFGPDSKYLAVASMDRNLRIFGQPDEGIPAES >CAK8570585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63685808:63694072:1 gene:gene-LATHSAT_LOCUS23322 transcript:rna-LATHSAT_LOCUS23322-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGEVPEEPVVSKSSGLLFEKRLIERHIQDYGKCPVTGEPLTMDDIVSINTGKIVKPRPVQAASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARITKERDEARSILAQAEQQFPISTPSAVTANTPVHSNGKRAAADEELAPNAKKLHPGISSSIITELTDCNAALSQQRKKRQIPATLAPVDALETYTQISSHPIHKTNKQGIISLDILHSKDLIATGGIDSNAVIFDRPSGQILATLSGHSRKVTSVKFVGQGESVITGSADKTVRLWQGSDDGNLSCRQILRDHSDEVKAVTVHATNNYFVTASLDGSWCFYELSSGTCLTQVSDSSSEGYGYTSAAFHPDGLILGTGTTDSIVKIWDVKSQANVAKFDGHVGDVTAISFSENGYYLATAAKDGVKLWDLRKLKNFRNIAPYDADTPTNSVEFDHSGSYLAVAGSDVRIYQVANVKSEWSLIKTFPDLSGTGTSTCVKFGPDSKYLAVASMDRNLRIFGQPDEGIPAES >CAK8567907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555909229:555914646:-1 gene:gene-LATHSAT_LOCUS20919 transcript:rna-LATHSAT_LOCUS20919 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRGLMARFHTRKLSLRIITFYTIFTFAFSISIFFIYVRTFIADEDQSHDPFSHQSRSLKVPDSPRYKDKQLWDSPYSHGFHPCVKPTAKYKGVQQFERYMSVRSNGGLNQMRTGIADMVAVAHIMNATLVIPQLDKRSFWQDSSVFSDIFDEFHFIESLKGDIRIVQELPKNLEAAPRARKHFTSWAGVGYYEEMTKLWNEYQVIHVAKSDSRLANNDLPLDIQRLRCRAMYHALRFSPPIENLGKRLVDRLRSRGERYIALHLRYEKDMLSFTGCAYGLTDAESEELRILRETTNYWKVKKINSTEQRIGGFCPLTPKEVGIFLQALGFPPSTLIYIAAGEIYGGNTHLSELSSRFPNLIFKESLATPEELIAFNNHASQNAAVDYIISVESDVFVPSYSGNMARAVEGHRRFLGHRKTIDPDRKGLVGIFDKLETGGLVEGMALSQMVQQMHKNRQGAPRKRQGPLPGVKGRARFRTEESFYENPYPECICGSRSKLERT >CAK8567908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555909229:555914628:-1 gene:gene-LATHSAT_LOCUS20919 transcript:rna-LATHSAT_LOCUS20919-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHTRKLSLRIITFYTIFTFAFSISIFFIYVRTFIADEDQSHDPFSHQSRSLKVPDSPRYKDKQLWDSPYSHGFHPCVKPTAKYKGVQQFERYMSVRSNGGLNQMRTGIADMVAVAHIMNATLVIPQLDKRSFWQDSSVFSDIFDEFHFIESLKGDIRIVQELPKNLEAAPRARKHFTSWAGVGYYEEMTKLWNEYQVIHVAKSDSRLANNDLPLDIQRLRCRAMYHALRFSPPIENLGKRLVDRLRSRGERYIALHLRYEKDMLSFTGCAYGLTDAESEELRILRETTNYWKVKKINSTEQRIGGFCPLTPKEVGIFLQALGFPPSTLIYIAAGEIYGGNTHLSELSSRFPNLIFKESLATPEELIAFNNHASQNAAVDYIISVESDVFVPSYSGNMARAVEGHRRFLGHRKTIDPDRKGLVGIFDKLETGGLVEGMALSQMVQQMHKNRQGAPRKRQGPLPGVKGRARFRTEESFYENPYPECICGSRSKLERT >CAK8567909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555909229:555914628:-1 gene:gene-LATHSAT_LOCUS20919 transcript:rna-LATHSAT_LOCUS20919-3 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHTRKLSLRIITFYTIFTFAFSISIFFIYVRTFIADEDQSHDPFSHQSRSLKVPDSPRYKDKQLWDSPYSHGFHPCVKPTAKYKGVQQFERYMSVRSNGGLNQMRTGVTDMVAVAHIMNATLVIPQLDKRSFWQDSSVFSDIFDEFHFIESLKGDIRIVQELPKNLEAAPRARKHFTSWAGVGYYEEMTKLWNEYQVIHVAKSDSRLANNDLPLDIQRLRCRAMYHALRFSPPIENLGKRLVDRLRSRGERYIALHLRYEKDMLSFTGCAYGLTDAESEELRILRETTNYWKVKKINSTEQRIGGFCPLTPKEVGIFLQALGFPPSTLIYIAAGEIYGGNTHLSELSSRFPNLIFKESLATPEELIAFNNHASQNAAVDYIISVESDVFVPSYSGNMARAVEGHRRFLGHRKTIDPDRKGLVGIFDKLETGGLVEGMALSQMVQQMHKNRQGAPRKRQGPLPGVKGRARFRTEESFYENPYPECICGSRSKLERT >CAK8570539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57424545:57431179:1 gene:gene-LATHSAT_LOCUS23279 transcript:rna-LATHSAT_LOCUS23279 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGSHTLLIPKAKPPLSFAILDALPCCSYFSRTTTLSPLRCRQKLKLSLVRGEIRSQASNVGVGPGDYGNNHEKDSQNVSERNSVDDNSSKVVKPIRVPYPASIASVLFGCALVFSLIAFVKGGPSSVLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALALMSILSVIIGRIFQSVPAQFQTTLPIGEYAAVTLLLFFGLKSIKDAWDLPSAAVKNGDNNSPELDELAEAEELVKEKASPRLSNPLEIIWKSFSLVFFAEWGDRSMLATIALAAAQSPWGVASGAIAGHLLATCIAIVGGSLLANYISEKLVGYLGGGLFLIFAVATFFGVF >CAK8531537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122148740:122149855:1 gene:gene-LATHSAT_LOCUS1321 transcript:rna-LATHSAT_LOCUS1321 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFISKVIVSTVVLLASFIFTPVSCSRVFTPPKTYSDVDLLEFPLNLEYLEAEFFLYGSLGHGLDEVAPELAEGGPPPIGAKLAILGPLVKDIIFQFGMQEVGHLRAIKKTVRGFPRPLLDLSKSSFAKVMDSAFGQPLCPPFDPYANEINFLIASYVIPYVGLTGYVGANPLLQNATSRKLVAGLLGVESGQDAVLRALLYERRVSAVHPYGVSVAEFTNRISWLRNKLGKEGIKDEGLVDMNSSYQGNILAGDEYSLSYPRTPEEILRIVYGSGNESVPGGFYPHGADGYIARSYLPST >CAK8544000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655192358:655192735:1 gene:gene-LATHSAT_LOCUS12714 transcript:rna-LATHSAT_LOCUS12714 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIDDKQEKVTIRAVSHDEEGKKRVEKTELNTHNIDTIKYVEKKLINNGVQRLDRHPVDGIGIGRPPSKSGHGGKYTWEGPADIIDNELDAAPAAMDEKDPNYVDDELVDVDEKGEVDPRMVVN >CAK8572118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512388550:512390943:1 gene:gene-LATHSAT_LOCUS24717 transcript:rna-LATHSAT_LOCUS24717 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRMGIGRGNASSGMGVAEHSVSTFKELQRKKVHRYVIFKIDEKTKEVVVEKTGGPAESYDDFTASLPENDCRYAVFDFDFVTSENCQKSKIFFIAWSPSVARIRPKMLYATSKDRFRRELQGIHYEIQATDPTEMELEILQERAN >CAK8568885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651193046:651193567:-1 gene:gene-LATHSAT_LOCUS21794 transcript:rna-LATHSAT_LOCUS21794 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENKVKKWEGKAIVEVRGTEEEIVWSVLQDFCNLHKWLPIDTCYKVEGVDGEPGVVRYCASTKKGGDENSEAVVKWFKEKLLTIDHAQRCLSYEIVDNNMGFKNYVAIMKVLPLKIDGGDDDDERVGCMIEWECVCDPVEGWSLQNLHSYIGNFLKCMANRIELAYSPLFK >CAK8542945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561538766:561543814:-1 gene:gene-LATHSAT_LOCUS11741 transcript:rna-LATHSAT_LOCUS11741-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDIFDGQILAEKLSKLNNSQQSIESLSRWCIPHQKRAKDIVEIWDKLFNASQKEQRVSFLNLANDILQNSRRKGSEFVNEFWKVLPAALRCVYESGDVHGRKAVNRLIDVWEERKVFGSRSQGLKDELMSSNPLPCPVSNGKGSDSIKIMKRDAHSVRIKLAVGCLPEKILTAFHSVLDEHLNEEAALNKCNAGVHDMVKLLEDVENTLAQGSQPGSTLANELQEREKELKQYMEQLENAEAARDSLLSQLKDALHEQELKQELVRSQLLVSEIFVSLSSLLSPLM >CAK8542944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561523575:561543814:-1 gene:gene-LATHSAT_LOCUS11741 transcript:rna-LATHSAT_LOCUS11741 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDIFDGQILAEKLSKLNNSQQSIESLSRWCIPHQKRAKDIVEIWDKLFNASQKEQRVSFLNLANDILQNSRRKGSEFVNEFWKVLPAALRCVYESGDVHGRKAVNRLIDVWEERKVFGSRSQGLKDELMSSNPLPCPVSNGKGSDSIKIMKRDAHSVRIKLAVGCLPEKILTAFHSVLDEHLNEEAALNKCNAGVHDMVKLLEDVENTLAQGSQPGSTLANELQEREKELKQYMEQLENAEAARDSLLSQLKDALHEQELKQELVRSQLLIVRGQIEKTTGIKKWLNQTTEAAHPSAQLNSTASEPTFAQPSMSFSPFQTPEEDNKKAAAAVAAKLAASSSSAQMLASVLSSLVAEEAACVNGSFNSKGFTSGLPMFNPEKRPKIEKLSPVPDVNISDMGSSSFFTNLQQPLSTNPQHPPSANMQTMSQANQLQAAFVSAPLPPQYGQPTSFMDGGIPYGYMSNTLPPPPPFPPHAASGLSMPSTQPVQQSSPGGFYRPHSIGFYGQTHPSTPPPVHRH >CAK8576015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385105359:385107029:-1 gene:gene-LATHSAT_LOCUS28234 transcript:rna-LATHSAT_LOCUS28234 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFLSFSFFLSSLFCYLLFRQYFNRHKNLPPSPPFKLPIIGHMHLLGPLLHQTLHNFSLKYGPLFSLNFGSVLCIVASSPHFAKQLLQNNELSFNYRIQTTAIKRLTYDSSLAFAPYGDYWRFIKKLSMNELLGSRSINNFQHLRAKETQNFMTLLANKAKTCETVNITEELLKLTNNVISKMMLGEVEEAREVVRGVTEIFGEFNVSDFIWLFKKVDFQGFGKRIEDLFLKFDTLVERIICKREETRKKNKGQNSNGQARDFLDILLDFVENQTSDVKIQRVHIKALTMDFFTAGTDTTAISTEWALVELMKNPSLLQQAREEIESIVGKNRVVEESDVPNLPYLQAIIKETFRLHPPVAMVTRCCVSECKVENYVIPENSLLFVNVWSMGRNPEIWKNPLEFRPERFLKDGEGDSVDVRGQHFQLLPFGSGRRMCPGVSLAMQEIPALLGCIIQCFDFKVVDNKTGEILNDVGDMDVDERPGLTAPRAHDLLCVPVERIKCEAA >CAK8569660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7448432:7450270:-1 gene:gene-LATHSAT_LOCUS22488 transcript:rna-LATHSAT_LOCUS22488 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFLTFLFFFVFLFFLLHHPISASNLHKFRQLNSDFLSQSTPSHDSLTPLSPTKYFEVTKPIELPKTKPCSYHVLHHDFGYTYGNPPVFANYTSPSHCSSTMFSKIVLEWKSTCQGRQFDRIFGVWLDGVELLRSCTAEPRATGIVWSVEKDITRYHSLLFNHHQQNQTLAVFLGNIVDKTYTGVYHVDITIHFYPFHGNTHETKKLNPLAFTSDSHADLILPISRNLPLNDGLWFNIQNSTDVGLKEFSVPQNAYRAVLEVYVSFHENDEFWYSNPPNEYLSANNITNSPGNGPFREVLVTLDDKVVGSVWPFTVIYTGGVNPLLWRPITGIGSFDLPSYDIEITPFLGEILDGKTHLIGFKVTNALNVWYIDANLHLWLDANSVRTQGVLLNHIDKPLVESLVSNFSGLNGTFLTSAKKSILSSGWVRSSFGNITTSFVQDFSYYSSMVMRKNGEKQTVNQIISFNDSIHVKLPSSRLDLVDDTNRNFSLYLDTDELDQDNDTYLAVSNVTLGFDVNKSKSKDSKFSKSFLNNVQDSQGKIVVKKNMVISGVGETQQDYRYESNEGCYFRKIGSSNYTILYDKVKHSCNKRSHSPFGLKIIKKFPIIL >CAK8544714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701522862:701529578:1 gene:gene-LATHSAT_LOCUS13369 transcript:rna-LATHSAT_LOCUS13369 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLLLACHGLVTALVVVSFLCGRWPIFEGTFIQRINYFITFGAYDYFLRFVGAVFGSKCTNAVLSVEYYCCDRPNPLLQIIYLVIISCTYYFVASSSFVYIPGYYLSATHKYASFLAAAVGILLFILTSFCDPGTIKAENVSQYLAAYPYDNIIFTEKECSTCKIPKPARSKHCSICDRCVARFDHHCGWMNNCIGERNTRYFMAFLLWHFLLCMYGTVAVCLILAGRLKELKVVYILTVYYGIENSFWDLAPHVAQWLLGSYNTQILLIVFLAIVGMLLAGFFGYHAKLCLSNTTTNETFKWQEYMDWQRKFKEAQASAAALRQSISGINGEKKQPKSSSKWRVFFRRSPLEDVVVVKNNVYNKGFFHNIQEVISPFSTRQSFTQTKMKSS >CAK8541504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:207250031:207252914:1 gene:gene-LATHSAT_LOCUS10421 transcript:rna-LATHSAT_LOCUS10421 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIQGYLVLFLLWFISTIFIRSLFKKSESYKLPPGPPISFPILGHAPYLRSLLHQALYKLSTRYGPLMHIMLGSQHVIVASSAESAKQILKTCEESFCNRPIMIASESLTYGAADYFFIPYGNYWRFLKKLCMTELLSGKTLEHFVSIREDEVKCFLRNLLEISKNGKPIEMRHELIRHTNNIISRMTMGKKSSGVNDEVGELRKVIREIGELLGAFNLGDIVGFMRPFDLQGFGKKNKDTHHKMDAMMEKVLKEHEEVRAKEGEGSDRKKDLFDILLNLIEADGADSKLTRQSAKAFALDMFIAGTNGPASVLEWALAELIRNPQVFKKARNEIDSIVGKERLVKESDIPNLPYLQAVVKETLRLHPPTPIFAREAIRSCQVDGYDVPAYSKIFINAWAIGRDPNYWDNPSVYDPERFLQNDDPSKSKIDVRGQYYQLLPFGSGRRSCPGASLALIVIQATLASLVQCYDWDVNDGKSNEIDMKEVGRVTVFLAKPLKCKPLSHFVPFSA >CAK8578995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666318461:666319415:-1 gene:gene-LATHSAT_LOCUS30972 transcript:rna-LATHSAT_LOCUS30972 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAVVAAEPVVAAPGIPGEPMDIMTAVQLVLRKSRAYGGLARGLHESAKVIEKHTAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPNAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKSN >CAK8574561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2278703:2285577:1 gene:gene-LATHSAT_LOCUS26893 transcript:rna-LATHSAT_LOCUS26893 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDMESGTLGSYHDRPRTFPNMRTKPYTPLLFRILLGINVRVLFVLLLLGFGAIFYMGARTSPIIVFVISICILSFLVAIYLMKWVLAKDEGPPEMVQIADAIRDGAEGFIRTQYGTISKMAMVLALVILGIYLFRRITPQQEASGIGRTTSAYITVASFLLGALCSGSAGYVGMWVSVRANVRVSSAARRSAREALQVATRAGGLSAIIVVGMAVIGIAVLYATFYVWLGVDTPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQKCKIEDPSGFILFPLVVHSFDLIVSSVGIFSIRGTRESGVMTPMEDPMAILQKGYSVTIVLAVLAFGLSTRWLLYVEQAPSAWFNFALCGLIGIITAYIFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTGLPVLVISVAIVSAYWLGQTAGLIDETGNPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFSLEPFKQVDIAIPEVFVGGLLGAMLIYVFSAWACAAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYARCVAIVASASLREMIKPGALAIISPIVVGFLFRILGYYTGQPLLGAKVVAAFLMFATVSGILMALFLNTSGGAWDNAKKYIETGALGGKGSDAHKAAITGDTVGDPFKDTAGPSLHVLIKMLSTITLVMAPIFL >CAK8560211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10628756:10629153:-1 gene:gene-LATHSAT_LOCUS13905 transcript:rna-LATHSAT_LOCUS13905 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTMPLLDVVYNASNNELLRTQTLVKSAIVQVDAAPFKHGTFNTMVLKLEGKRKLLARKTLRRLKLLQRKLKRVAMFRGN >CAK8533693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650691812:650692221:1 gene:gene-LATHSAT_LOCUS3297 transcript:rna-LATHSAT_LOCUS3297 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLHPPFPRGPSDMSLLVRYQNHVAFHLWVGEKRALKKELKFVAHGSKLIRWVPHILPPVIERWLSDSGLSFLQRTNLSMIDQNFIYAFVERCHPEISSFDMAFG >CAK8564126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653265893:653266480:1 gene:gene-LATHSAT_LOCUS17460 transcript:rna-LATHSAT_LOCUS17460 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDRHRDRERERRRDRGDHRDRDRDKDDHRDRDRDRDRDRDRARSKRSRTRSPDRVRSRHSRSRSPVDRSHRRRHHRTPSPDPPRKRHRRDSVDDDHKDHKDTKKVVSDFVDGIAKEQKQQKQTENGEEVEVNEDELEMMKMMGIPIGFDSTKGKPVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPAEKNR >CAK8566456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425179884:425180411:1 gene:gene-LATHSAT_LOCUS19585 transcript:rna-LATHSAT_LOCUS19585 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNFNSIISLLLLLVAFTYTQASENIPYTAEKKIDVVVETTVYCQTCEHSGTWSLIGAKPIPSAKVSITCKSFKGHVSFYKVFETDKNGYLYAPLEGFKMQHHILDHPLHSCFVKPLWSPLDSCVLLSNVNYGLNGSPLRYENKRLHGSKYEAVIYAAGPLAFHPPDCSKTHY >CAK8544927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712741505:712741729:-1 gene:gene-LATHSAT_LOCUS13568 transcript:rna-LATHSAT_LOCUS13568 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRPKSTVPPSPETLTNLKTLEPESSNTTISVQKPGNVSGTIAGEDKATTNALARENSETLTEPSKT >CAK8564281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665452441:665454180:-1 gene:gene-LATHSAT_LOCUS17603 transcript:rna-LATHSAT_LOCUS17603 gene_biotype:protein_coding transcript_biotype:protein_coding MEESESEWGELTRECLINILSRLTAEDQWRGAMLVCKSWFNAFQEPSLHSVFNLEPYFDSPSESPRWWTLQFESKIDSMFLSIVQSTHQFLTQIRIRHCSDRPLNLVALRCPNLEVLSIRSCPRVTDDSISKIATGCPNLRELDISYCYEITHESLVLIGRNCSNLKILKRNLMNWLDPSQHAGIVPDDYLDACPQDGDSEAAAIANSMPHLEWLEIRFSKLTVKGLNSICQGCPNLEYLDLSGCANLTSRDIVNASSSLSRLKDIKKPNFYIPRSVYHTERYGHWSLYDERFQTDVFRI >CAK8574286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673990388:673996993:-1 gene:gene-LATHSAT_LOCUS26645 transcript:rna-LATHSAT_LOCUS26645 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSTELNQFREEMESEEENDDNNSNNRIIINNNNNNGNKLAMWETIFRNHSNSLKSLFHRKPDIAAADDAVNSPKPIPQLSHIANSVVSRCSKILGVSTDELQHAFDSELPLGVKELLTYARNLVEFCSFKALQKLSRNSDYLSDAAFRRLAFDVMLAWEAPSVHTEQLTAETPTSKDETAGDEDDASFFYSSSTNMALQVDDKKTVGREAFLRIAPVCVLVADIITVHNLFDALTNTSGRRLHFLVYDKYIRSLDKIVKNSKNALSSSSLGNLQLAEDEIVLDVDGTIPTQPVLQHIGIAAWPGRLTLTNYALYFESLGVGVYEKAVRYDLAADMKQVIKPDLTGPLGARLFDKAVMYKSTSVAEPVYFEFPEFKANLRRDYWLDISLEILRAHMFVRKFGHKDTQKSEILARASLGIFRFRALKESFKFFSSNYKTLLTFNLAKALPRGDMILKTLSNSLMNLTAISGKQHIPSNVETKKQLTVSPAAVVALFCLGFKSKITVDNYEETNVVCDIRVGEINPLEMAVKQSLKDTGKAEAAQATVDQVKVEGIDTNVAVMKELLFPVIESANRLKLLASWKDFYRSTAFLILSCYLIIRGWIQYLLPSIFLFIAIIMLWRRHFRKGGALEAFTVTPPPNRNAVEQLLTLQEAITQFESLIQAGNIVLLKVRALLLAILPQATEKVALFLVFLAAVFAFIPPKYIFLVIFIECYTREMPYRKESSKRWIRRIREWWIRIPAAPVELVKPDESKKRK >CAK8541270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:100406739:100407140:-1 gene:gene-LATHSAT_LOCUS10202 transcript:rna-LATHSAT_LOCUS10202 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKANHLGAEYDKGMEAFFQYAREKLPNNNKFYCPCVNCLNREPPLLIDEIRNHLVCEGICQSYTNWIWHGEPSNNMSCVSEREVVDVDMDNRLEDMINDMDPSLFSMLICTILCAVTMKSHCIQDALT >CAK8562801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538419815:538426228:-1 gene:gene-LATHSAT_LOCUS16267 transcript:rna-LATHSAT_LOCUS16267 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFAWLFSFFTLIALIVIIIYQLMCLADLEFDYINPYDSASRINRMVFPEYIILAVLFCFYIVTGHWVMALFCIPYIYYNVQLFRQRKHLIDVTEIFNMLPREKKQRLFKLFYLIFILFLSLFWLIYTSLDDHDD >CAK8533258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597603010:597604536:-1 gene:gene-LATHSAT_LOCUS2901 transcript:rna-LATHSAT_LOCUS2901 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPVRLLSVHIMHTTLVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAATHIVFSGLCFLAAISHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPPWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGSGLVENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKKQGV >CAK8567332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505784221:505784787:-1 gene:gene-LATHSAT_LOCUS20396 transcript:rna-LATHSAT_LOCUS20396 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLSDLMGSGHHQHKIKKRKQLQTVELKVRMDCDGCELKVKKALSSINGVKSVEINRKQQKVTVMGYVEANKVLKKAKSTGKKAEIWPYVPYNMVAHPYAVSSYDKKAPPGYVRRLETSTGMVATHEDSHLTNMFSDENPNACSIM >CAK8541648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:332774418:332774729:1 gene:gene-LATHSAT_LOCUS10553 transcript:rna-LATHSAT_LOCUS10553 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKELGKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLNVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRHGRTLYGFGG >CAK8574535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1755475:1758429:-1 gene:gene-LATHSAT_LOCUS26874 transcript:rna-LATHSAT_LOCUS26874 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGIHHYHQQWPPAAAPPPPPTAAPSPSSEEVRTIFITGLPEDVKEREIQNLLRWLPGFEASQLNFKAEKPMGFALFSSSRQAIAAKDILQEMLFDHESKSVLHTEMAKKNLFVKRGADTNAFDQSKRLRTAGDYTHTAYVTPSPFHPPPPPVWGPHGYMAPPPPPPYDPYAGYPVAPVPMPASVSIAAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFTVQPGFKQMKILRQERHTVCFIEFEDVNSATNVHHNLQGAVIPSSGSIGMRIQYSKNPFGKRKDGNAPIAVSPGGNGAPVAMAYQ >CAK8534180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702367312:702370799:1 gene:gene-LATHSAT_LOCUS3744 transcript:rna-LATHSAT_LOCUS3744 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLVQPVGQKRLTNVAVVRLKKHGMRFEIACYPNTVLSWRSGVEKDIDEVLQSHTVYTNVSKGVLAKSKDLNAAFGTDDHSNICLEILKKGELQVAGKERESMLSSQFRDIATIVMHKTFNPETKRPYTISMIERLMKDIHFAVDPNSTSKKQALELIQELQKHYPIRRCPLRIRAAAPEEEVPVLLEKLSEWKATVISKEGTAAQLSVVFELEPGLYKDCHDFVMNKMHGRFEVLAHSLYVDRDTHVDQYNDHEDMPAPLPTNTPESVLELTDKLKKQTISSETKPTEIQQPKQNKCNTCNASFEDAKLYREHHKSEWHKHNMKRKTRQLPPLTEEECTADMDLFESKSDLKDYSF >CAK8543072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574039342:574045596:-1 gene:gene-LATHSAT_LOCUS11859 transcript:rna-LATHSAT_LOCUS11859 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFTNAPVTRAFIIASALFSIFFGIQGRFNTLGLSYQDIFGKLRIWKLIMSLFSFTSTPELMFGLYLLYYFRVFERQIGSNKYSVFIVFSVLASLLFEVFAVAFLKDPSATPVTPGPYGLIFASFVPFFFDIPVSTRFRLFGFHFSDKSFIYLAGLQLLLSSWKRSILPGVCGILAGSLYRLNVFYIRKAKFPEFISSFFSRISLPSMGTPRTTPTRNVLGNVPSYPAHQMERNYPAPMHSAVEPSEDSIATLVSMGFDRNSARQALVQARNDVNVATNILLEAQAH >CAK8541548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:235539171:235540349:-1 gene:gene-LATHSAT_LOCUS10462 transcript:rna-LATHSAT_LOCUS10462-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKRRLCSDSDIHALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYVCDTSYRHSNCLDRFKKMRDNSMENPNLPSDLINTNNSSGNSFDINFTMQYDMCNVNKLFQNELNTLLSVGIPQGSRPGDAQDPSRHLNQHDEGILETVDSENLQDRAVLEEDLAVHNSSEDSKSSLQCPLCRGTVLSWEVVEEARNYLNMKKRSCSRDSCSFAGDYLELRRHARRVHPTSRPSNVDPTREQAWQQFERQREYGDIVSAIQSAIPGAVVVGDYVLENGDGIGRLSGGGERDGNNGNGNGSWLTTTTILFQMMDSTIEIVREPRPRSSNTWSRHHRRSSDRRRYLWGENLLGLQDNEVEEDLRIFNELVEDASHVPRRRRRLNRTRSNEDQS >CAK8541547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:235539171:235540349:-1 gene:gene-LATHSAT_LOCUS10462 transcript:rna-LATHSAT_LOCUS10462 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKRRLCSDSDIHALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYVCDTSYRHSNCLDRFKKMRDNSMENPNLPSDLINTNNSSGSRPGDAQDPSRHLNQHDEGILETVDSENLQDRAVLEEDLAVHNSSEDSKSSLQCPLCRGTVLSWEVVEEARNYLNMKKRSCSRDSCSFAGDYLELRRHARRVHPTSRPSNVDPTREQAWQQFERQREYGDIVSAIQSAIPGAVVVGDYVLENGDGIGRLSGGGERDGNNGNGNGSWLTTTTILFQMMDSTIEIVREPRPRSSNTWSRHHRRSSDRRRYLWGENLLGLQDNEVEEDLRIFNELVEDASHVPRRRRRLNRTRSNEDQS >CAK8568932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:656123443:656127090:1 gene:gene-LATHSAT_LOCUS21839 transcript:rna-LATHSAT_LOCUS21839 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMTMILELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTAEDMRWHAIDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVMSTNNSIWPVVLIPYNTPPWVCMKQTSFIMSMIIPGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHRRFLDRRHRFRLNRIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVREKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLCFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPIHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8544280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675850813:675861437:-1 gene:gene-LATHSAT_LOCUS12973 transcript:rna-LATHSAT_LOCUS12973 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNGDLSELEVGSSVESFQRFLASQRELLHSQIDQFQEIIVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAVNYMQSVFSIKDAISKKESREISALFGVTVTQVRDFFTSQRSRVRKLVQLSREKALKSNSCAESLDVQMNSDPVRSINPAPLNSDGAISMEEASCSTQEAALSDLDDLDKQFVENIFGLMQKEETFCGQEKLMEWILSIQNFSVLLWFLTGGGAITLANWLTKAAVEEQTSVLLLILKVLCHLPLHKALPAHISALLQSVNRLRFYRTSDISNRARVLLSKWSKLLTRNQAIKKPNGVKPSYDGQKETLLSQSIGQIIGPESWHYDVPEDILALSNEFSDNFRKMGPQSVKLLPPSSDDCNKKPPLGVSSSQSRERRKVQLVEQPGSTSRSPQAARSGPVSQGRPMSADDIQKAKMRALFMQSKHGKTASKENKEAKIKSLSKSPKASIAVCSSKVPAPLKIEEKKPLLFSSKTTNRLEASYSKLKTDLKETLPEKCKRVKIFWKTPAEIKLPDTWRVGAGQNSKEVHIQENRNRRDKEAIYQTVQEMPSNPKDPWDFEMDYDDSLTLEIPIEQLPDSDDQEIVDASIEVAIATNSAVQGVASSSSASNAATAEPDLELLAVLLKNPDLVFALTSGQIGNISNEETLKLLDMIKRGSVNTGLNENANRNYSTSAMAPEKVEVSLPSPTPSSNPSTSGCSIEAPKNPFTRQNLASDRRSFQSSSSIATANLSSQISATSTTVRQQHTFVPFSKQLPGTVSTYSLPQPNNNIHEKQPLHSLPSVYAQTPFSDRGLAMNNSITADVSPVGAHTHAMRTDGISNIKPVVPNSTMQEGLPNSFPQSFALNSPTTSRSVTQQQRNTHMMPHQPHFSEPSYQNPVRPYQPQFEKSVPASDYRRVRQDMPPSYHSQRNHDNYNTLVGGSMPSGSWDRNNHERGGFETWSPENSPTRNPRYVQGRNLPDSRTNHVRNNRPEWSRQRGSSGHWNPGRHENRKWNDQRR >CAK8531948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:182800701:182802354:1 gene:gene-LATHSAT_LOCUS1701 transcript:rna-LATHSAT_LOCUS1701 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPRVKLGSQGLEVSKLGFGCSGITGMYYSPISEEVGISLIKYAFNKGITFFDTADVYGPHGANEIIVGKALKDLPREEIQIATKFGIVKMEPNNIIVNGSPEYVRSCCEASLQRLGVDYIDLYYQHRVDTTIPIEDTMKELKKLVEEGKIKYIGLSEASSHTIRRAHAVHSITAVQMEWSLWTREIEQDIVPLCRELGIGIVPYSPLGRGFFGGKAVTESIPSNSVLVSHPRLQGENLDKNKILYSRIQKLAEKHKCTTSQLALSWILHQGDYVVPIPGTTKTKNVDNNVGSFEVKLSNDDLEEITDAIPISEVAGERTTDINAKCSWKFADTPPKA >CAK8571483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429375681:429376499:1 gene:gene-LATHSAT_LOCUS24145 transcript:rna-LATHSAT_LOCUS24145 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGHLTNNFFTRTPHQKTVNSVVKSWKFSAAKLATAK >CAK8568545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615333788:615335796:1 gene:gene-LATHSAT_LOCUS21492 transcript:rna-LATHSAT_LOCUS21492 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTKHDTESIAVPESVMDSVNTTLSNLHELRSHFEQFLPLMNPQILSQIPPLQRAHSLFLLSKITSTLLALKLRCTGVQPDNHSVKSELNRLDIYQDKLERLLDLNKAPLRPSTTLNYRAATRFIGHSLPDLTPEQRQKMRNLSRGEGQKRKHGERAGQKRKYQSSERPYVQAAAKEFLEKATRELLGGNNGGIKGPLRIDNMSEDGDDQVDNFPEDNDDKLLVP >CAK8544635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696669775:696670630:1 gene:gene-LATHSAT_LOCUS13293 transcript:rna-LATHSAT_LOCUS13293 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKMREKFDKYWSEYSTVLAFGAILDPTKKLNFLSFAYKQVDPLEAEEKLKIVKNDLSRLYEEYVKNGSHSSNTRHSQQVNSSYGGSNAKMPKSLYVYEEFEEYESQTVSVMGTSELDVYLAEQRLPPSIGFDILAFWKERSRRCPDLAKMACDVLSIPITTVASESAFSIGARVLNKYRSSLKDDTVQALMCARSWLHGFVEYDIDSDEDEDKREVIRQENFG >CAK8537796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434730855:434731264:1 gene:gene-LATHSAT_LOCUS7050 transcript:rna-LATHSAT_LOCUS7050 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAYEMNYEKTPHCASGYRTFFDHFEEDDFLWRPYLELKDEDPTESDMWSSTTFILSFTYVKMHHSDRVKLQFGIKQDIPGPPEMYNLLPVEPPLTSTGSKLIKDEYEF >CAK8577660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581981400:581984956:-1 gene:gene-LATHSAT_LOCUS29747 transcript:rna-LATHSAT_LOCUS29747 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSYLMPNYSTLDNTMNSNSSMNQPHQNYFVPLGQSLNPSIIPNTPPFHAQKEDDQKQMEARRCKIARQQRRQILQRLRSTRASKTSEDTIKRPPIYREIQKRIPHVFFTLDGKRFEEILTKKLRNSDVNKLGRIVLPKREVEEKLPTPSKEGIKVVLKDIHSGLEWKVKFKYWINVKARMYVLENTVNIVKHYGLCMGDYLSLYEDESKNMYLYTKKASAQPRLELFPREDLVNHGQQSPQNNDIEILASNEGITTSSLLDQNIAMDNDGDGDGGQHNGLNALYENLENIFDVYWK >CAK8564557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681145011:681150126:-1 gene:gene-LATHSAT_LOCUS17854 transcript:rna-LATHSAT_LOCUS17854 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSNLHLKKELTQIRKAARVLRDPGTTSSWKSTSRSVPAASASAATPLKTNLNSSHASANANHHKDKSVFLYNWNNSKSIKDEEQRVTEEEEDEDGSSSLLGSLEGCLSDARYGCDSKSDGGTRRNPNSRRTVPIHVSKKKKSKKNRYSLSPLVNNDDSDDYCNSLSGASSLLLKLKSKNKNKNLSKFVRRTSLKEDSSYSYSTPALSTSSFNRYLRRYPSTVGSYTTSMNDGDDEIDERLDLPGSRGCGIPCYWSKRTPKRGCGSCCSPSFSDTLRRKGSSMLCGSQSMYSRHRRSSSSTSQPQKRRMYLRNGRGGGGGVMPLLNSTSSGDVRQCSSSIGIGRSRTDDELSSNFGELDLEGLSRLDGRRWSSSCRSQEGLEIVPINGGDEEGSSENGRSFSHKYKPLFFGELIGQNIVVHSLINAVSRGRIAPVYLFQGPRGTGKTSTARIFATALNCAASDEGKPCGYCRECADFISGKSSDLVEVDGSNKKGIDRVRYLLKRLLVGSSSAASSRYTVFVIDECHLLTSKAWLGFLKFLEEPPQHVVFIFITSDIDNVPRTIQSRCQKYLFNKIKDGDITTRLKKLSTQENLDVDTDALDLIAMNADGSLRDAETMLEQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSADTAETVKRSRELMDSGVDPMVLMSQLAGLIMDIIAGSYAVVDTKPDGSFFGGRSLNEAELERLKNALKLLSEAEKQLRTSSERSTWFTATLLQLGSVPSSDITQSSSSRRQSYKTTEDEPSSASREVTSFKHKSGLQYLSQKSTPTASHQKAVNGNSGLQIDGFSLKSKPSNSPVINDGSTVVSSDDLIVGNTMFRCIESEKLCDIWACCIERCHSKTLRQLLQDYGKLVSISEVQGVLVAYVAFGDEDIKLRVERFLSSITNSLEIVLRRIVEVRIILLPDGEGENQVNLPGLKQAVSPLASENEQRRGGHMNGNMPYSSLHQSAIGSSDILTEGNGVKERRRDNPVQRIESIIREQRLETAWLQAVEKGSPGSLSRLRPEKNQVLPQDGAYCVSSMESMDSLRFSSQQHREDGANSDLKILGLKNGRVLPKDQIGKRADSRPMSPSILHDSSLATISGKDNQGYESGSGGRGCGFLCWNRKSNNKRTGKIKGTPVGGSRKAGRSSVLGEFGKRKKKERNR >CAK8530560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30238273:30238941:1 gene:gene-LATHSAT_LOCUS417 transcript:rna-LATHSAT_LOCUS417 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLIIRNTVLCHFTKPLPNVPSLSLQKTSRLFLASASNNSHVCYRIATKTRRGVDTVVVRAAESISEGIEDVKKIALDANEKTKEAAGSIFDQAKEGTNKAVEAAKSAGEKAKDYAFDVNDKAKEAAGSIVDKATEGTNQAVEAVESAGEKARDYAYDAKDKATDAVGSVVDKTKEGIESATEALKNEGEKAKEAGDGAWEATKDESQKIKEAVVGKDGA >CAK8542188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487127008:487128519:1 gene:gene-LATHSAT_LOCUS11049 transcript:rna-LATHSAT_LOCUS11049 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGQYTDRINARRGIRQGDPLSPLLFVIIMEYLSRLLLKMQRNPEFNHHAKCERLQITHLTFADDLLLFSRGDHVSVEILYSTLNKFLDSTGLKINPSKSRVYFGNVPASVKCGILHLTSYKEGSFPFRYLGIQLTSKRLAVIHYMPPLDKLLSRITHWSSRLLSYAGRLQLIKSVLYAITTYWMQCIWFPKTVINKINAICCSFLWSGGNNISRKSPVAWENVCKPHVQGGLNVMNLEVWNSMFVIKLLWNIYAKSDDLWVRWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMGKFHGRKVYQSLLPITPNVPWAKLILHNRARPRAIITLWTICHGKLATKSRLFRFGMINNNKCVFCNEEETIDHLFFCCVELKQIWSGILQWLGIQHTPKRWQEEMQWALSNYGGKGWQSDLVRLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIIYRGWTSPKLIPRIARFILP >CAK8567462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516146799:516149406:-1 gene:gene-LATHSAT_LOCUS20513 transcript:rna-LATHSAT_LOCUS20513 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHFAVSSSSHFQRFPKSFSLRRKVPWWYQIQHPRSPFLAKWNVIFLYSCLFALFLDPLYFYIPVTGDKACLQTDLVLGVFVTLLRTVADVCFFFHIVLKFRTAYNSPTSHVYGRKELITDPKMIMSRYLRHDFIIDLLACLPLPQIVIWIVIPAAKNSTAAHSNHTLSLIVLIQYIPRLFQIFPLQRRILKTSGLIAKTAMAGALYNLGFYMLASHVLGATWYVTSIQRQYQCWRIMCKKEMNRTHSPSCNPSFLDCNTLNSRERQVWFKRTRVLSACDALNDRNHFQFGMFADAFTDHVSSSRFFQKYFYCLWWGLKNLSSYGQNLQTSTYSGETLFSSFICIAGLILFAHLIGNMQNYLQSSTARLEEWRLRQKDTEEWMNHRQLPPELQERVRRFVQYKWLATRGVDEEAILKSLPIDLRRQIQRHLCLDIVRRVPFFGQMDDQLLDAICERLVSSLNTKGSYIAREGDPVREMLFIIRGSIESSTTDGGRSGFFNSITLKPGDFCGEELLTWALTPDPSLNLPDSTRTVKTITEVEAFALRADDLKFVSSQFKRLHSKKLQHAFRYYSHQWRTWGASFIQAAWRRHRKRELAMELLEKENLYYENVMELDAGESSNANPGQNFGATFLASRFAANTKKGAVKKVTIIPDDSSLKMPKMFKPTEPDFSTFKHD >CAK8533638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644344906:644349296:1 gene:gene-LATHSAT_LOCUS3250 transcript:rna-LATHSAT_LOCUS3250 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYAAGLLSAITAATATAATRSSETTFSDGVIPSSSNASEPSPKVQNNHPRTTSSGFDHEALVRGVKALKEIAASPSPKKIFEILMKQEETKQAEFRSKVAEFHQMKAQQETEKQRIIYDEEKKLAQHQAQTKSQMAKYEDELARKRMQAENEQHRVRNQELVKMQEDSSIRLEQARRATEEQIQAQRRQTEKEKAEIERETIRISEMAGAEARAHEAKLSEEVNRRILIERGDAEREKWISIINTTFDHVGGGFRTILTDRNKLVVAVGGVTALAAGVYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGAFSRAKSTLSRRADPDSASKNGKGFGDVILHPSLNKRIEQLASATANTKAHQAPFRNMLFYGPPGTGKTMAARELAHKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGSRKSGLLSFKANPQKIEIKGLTDDILKEAAAKTDGFSGREIAKLMASVQAAVYGSDNCVLDSSLFREVVDYKVAEHQQRIKLAASDKN >CAK8574408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680452647:680453216:-1 gene:gene-LATHSAT_LOCUS26759 transcript:rna-LATHSAT_LOCUS26759 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNTCSPLSEFNMKTQPHNFSSSSNTSNNYGSHVAGIKKAMKILEIQFGNPHASSSSSETIAASVNGVSSDDNKKKKNNDDGITHSLPHQKYGPYICPKCNQVFVTSQKFASHASSHYKLESKEERKKRYMSRIRKRPRLHIQKLNDGTTTFLPLSSSTAHPPVPAQNQIISPPPTGIKIKLESANN >CAK8576914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523679369:523679782:-1 gene:gene-LATHSAT_LOCUS29070 transcript:rna-LATHSAT_LOCUS29070 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEYNLKIKEKENVSSSSDQTEIVPDAIVVGKKEVWRSNFAKHVSAKKSVMSSRSEFDGYIEEKMLTDDENFDILGRWKVSGLKYPILQMIARDFLAIPISTVASESSFSTSGRILTPHRSRLRSDTLEALMCVQD >CAK8575039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23530315:23532237:-1 gene:gene-LATHSAT_LOCUS27332 transcript:rna-LATHSAT_LOCUS27332 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPMLKPQTTPYHHPQHLIPQIKNCKTLQQLKQLHAIFIKTNKTNDPTVSTELLKLSATSDFRDPIYALSLFDQMSQPNCFAWNTVIRALADSSSNADNALLIFCKMLSDGVVEPNGFTFPSVLKACSVVAGLEEGKQVHGLVVKLGFVDDEFVVSNLLRMYVMCGSTEDAGVLFRRSVDCVSDGNEMVVRGKRRQEGNVVLCNVMIDGYVKIGKIDAARELFDKMVERSVVSWNAMISGYAQNGFFMEAVDLFHRMMEMGDVLPNRVTLVSVLPAISRLGALELGKWVHLYAERNGVRIDEVLGSALVDMYAKCGSIEKAIQVFERLSKTNVIAWNAIIGGLAMHGKAKDVLDSFSRMKSSGVSPSDVTYIAILSACSHAGLVEKGRSIFNDMVNRVGLEPRIEHYGCMVDLLGRAGYLKEAEELITNMPIRPDDVIWKALLGACKMHKNVEIGRHAAEVLMKLAPHDSGAYVALSNLYASAGNWDGVAEVRLMMKELDIRKDPGCSWIEIDGVIHEFLVEDDSHPRAKEIHSMLTEISNKLSLVGHVQDTTQVLLKMDEKHKETLLHYHSEKIAVAFGLISTSPKTTLQIVKNLRICEDCHSSMKLISKVYERRIIIRDRKRFHHFDNGLCSCMDYW >CAK8543275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594951147:594958287:-1 gene:gene-LATHSAT_LOCUS12042 transcript:rna-LATHSAT_LOCUS12042 gene_biotype:protein_coding transcript_biotype:protein_coding MERGATKKKSPPLSLDQFISITAPLLDLEKEAEISSSIATGASRNLDTAQKRGSTILNLKCVDVQTGLMGKSLIELQSTKADVLPAHKFGTHDVVVLKLNKADLGSPALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKVANEVTYHRMKEALVQLSKGVHKGPASDLIPVLFGERQPTVSKKDVSFTSINRNLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILVCAASNIAVDNIVERLVPHRVKLVRIGHPARLLPQVLDSALDAQVLRGDNSGLANDIRKEMKVLNGKLLKTKEKNTRREIQKELRTLSREERKRQQLAVTDVIKTADVILTTLIGAFTKKLDRTSFDLVIIDEAAQALEIACWIPLLKGTRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLAELYGEEVTSMLTVQYRMHQLIMDWSSKELYNSKVKAHPSVAAHMLYDLENVKRTSSTEPTILLIDTTGCDMEEKKDEEDSTLNEGESEVAMAHAKRLVQSGVLPSDIGIITPYAAQVVLLKMLKNKENLLKDIEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCCIVCDTETVSSDGFLKRLVEYFEEHGEYQSASEYQN >CAK8560231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11240973:11242795:1 gene:gene-LATHSAT_LOCUS13922 transcript:rna-LATHSAT_LOCUS13922 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAFGGNRGLRPVPPEKGVFPLDHMHLCDLDKKEYLNCLKTAGSKSEVCREFSKKYLQCRMEKNLMAKQNLAELGFKESNAETPGGKITKRIGDQGQ >CAK8573889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644583419:644585161:-1 gene:gene-LATHSAT_LOCUS26286 transcript:rna-LATHSAT_LOCUS26286 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWSWISELPNSVEWNESDSPPLFELASDGHNDSDSARSIHLKAERTSGSDSEAVVTFMVFLQGFHPFNAQKPLWVSEKCTISSENPNFLPLLLQLLQEIITNSPTAHDSTCPRSQLQKLKPEPIAWIIDSHTPESLSIFFNLVFTIRLFWLCACDAPSEAGSLYFHSLLAPVLETASSRKLASVLRTFFITVGVDTELCFMRTLGYIIAKWCIIKELGVGLQTLVPSSLSPNPKFSYATESHGLWILKGYAPIMTMKLARSNGQKSKFHSIDAKESIIRYGLAHHQLEAHVQLEYTVRFHDGFIQVNASVDNIRLHVARLGFKYGDDVDFVEEKHFPSRARVWVGPEIGATYVAGLSLGRSTENNEREVEIEKNVKGDFEKLDISKVKASARSSRRMRTKSWRMDQEAEGNAAIFDVVLHDNMTGQEVGSWRPTGDESIHGLRGRYAGANRPFSKSGSVVIAGDEYGEEVGWRVSREMEGSVLKWRIGGEFWVSYFSDQAKGSHFETRCVQWCDEVDLPLIHGKTN >CAK8536911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:38369506:38370150:-1 gene:gene-LATHSAT_LOCUS6236 transcript:rna-LATHSAT_LOCUS6236 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLLFFTLSLLLGQSISDPDPLQDYCIADNKNTFFTNGLPCIDPKQATSSHFATSSLSKPGNTTNMFGFSVTPTSPINLPGLNTLGLTLVRVDIAGNGIVPPHSHPRASEVTTCLKGLLLVGFVDTSNRAFTQNLSPGESFVFPKGLVHFLYNRDSKEPAIAISGLNSQNPGAQIASIATFASTPFIPNEILKKAFQISGQEVEIIRKKLGG >CAK8532380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:252462329:252462721:-1 gene:gene-LATHSAT_LOCUS2091 transcript:rna-LATHSAT_LOCUS2091 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVDADRMSQPSRAILMFCKVNGIVFQKIHIYRTFQTSASISRISRYKLFERYFNCSLSFIDLLL >CAK8571319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:375019656:375022347:1 gene:gene-LATHSAT_LOCUS23991 transcript:rna-LATHSAT_LOCUS23991 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVTRESLKAGDHIYSWRTAYIYAHHGIYVGDDKVIHFTRRGQEVGTGTVLDVLLTSSAPARSREICPNCTTSQDEHGVVVSCLNCFLSGGVLYRFEYAVSPALFLAKARGGTCTLAVSDNDDTVVHRAKYLLENGFRCYNIFKSNCEDFAIYCKTGLVVVNEGTIGQSGQAVSIIGGPLAAVLSTPLRLVTTNIYGMAATAVGVYCASRYAADIGMRRDIMKIQVEDLTSRLNIGLLQVVEPQISPNVAPQSTQIISQ >CAK8571476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:427697746:427701487:1 gene:gene-LATHSAT_LOCUS24139 transcript:rna-LATHSAT_LOCUS24139 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGNLNGFLKVADEIEENDVSFVWIFWITHSGQHRSRAIPKKHFYDTVTKNGVALAPVTMVRISLLEKPAPGSGVSLVGEVRVTPDLSTIRTIPWCKQDEMVLADLNVKPGQAWELCPRDTFRRVSKILKDEFDLEISAGFEIEFYLLKSITTRDGKEEWIEFDSSPYCCSSTLDVACPILHEIASALHSIGIQVEQLHVETGKSQYEVVLKHTICSKAVDNLVYTREIIKAIARKHGLLATFLPKYNLDDVSSGCHAHLSLWQNGRNVFMASDESSKYGISTLGNEFMAGILNHLPSIFSFIAPLPISYERLQSTTWGTYLFWGNENKDAPLRASSSPGTPDGLKSNFEIKLMDGCANPYLGLSAIIAAGIDGLRRHLTLPEPVDTSPDPETLERFPKSLSESLEALHKAKFLEEFFDNKLLTAIRAIQKAEIDHYSKNKDAYKQLIHRY >CAK8574738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7757474:7758327:1 gene:gene-LATHSAT_LOCUS27053 transcript:rna-LATHSAT_LOCUS27053 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVKISLLPLFLVATLVLMFPTKKMNAEAFFKEPDKCLAFCEKDTPCGNGCQCVYSVPILPRVPGICNTESFVTKMVEQHPNLCKSHADCTRKGSGNFCALYQSSDPNKYGVCFDSSSDARVSFKNALSTEFSNMLKMPSAVFT >CAK8540676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15799946:15801967:1 gene:gene-LATHSAT_LOCUS9658 transcript:rna-LATHSAT_LOCUS9658 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFHKLSHHISQLQALVSSFHKSITSLQNPLIPLELLAKALDQNPDIKTLKNLHSKIFHLNSHQNPSLGIKLMRAYSACGEPNLTRKLFDEMCERNVIFYNVMIRSYVNNHHYNDGLFVFNDMVNGGFRPDNYTYPCVLKACSCSENLSYGLLIHGAVLKVRMDLNLFVGNGLIAMYGKCGCLVEARRVFDEMLCRDVVSWNSLVAGYAQNIRFDDALEICREMEDLGQRPDAGTMASLMPAVANTSVENVLYVEKIFVNLERKNLISWNVMIRVYMKNSMPRNAVDLYLQMEKSGLKPDAITCASVLPACGDLSALLLGRRIHEYIERKKLRPNLLLENSLIDMYARCGCLEDAKRVFDRMKFRDVASWTSLISSYGMTGQGCNAVELFTEMLNSGQTPDSIAFVAILSACSHSGLLDEGKFYFKQMTNDFRITPRIEHYACLVDLLGRAGHVDEAYNFIKQMPIEPNERVWGTLLSSCRVYSNMDIGLLVADNLLQLAPEQSGYYVLLSNIYAKAGRWKEVTDIRLVMKRRKIRKTPGISNVELNNQVHTFLAGDTLHPQSTEIYEELSVLMGKMKELGYVPETDSALHDVEEEDKEYHLAVHSEKLAIVFALLNTQESQIRITKNLRVCGDCHIAAKLISKIVEREIIVRDTNRFHHFKDGVCSCGDYW >CAK8566053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374084277:374087839:1 gene:gene-LATHSAT_LOCUS19221 transcript:rna-LATHSAT_LOCUS19221 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLALSNSLSLHHNFFIPNYRSFSSQSQFLLLHPSPFAKPFLRFTHGISEPLHPRFIVSSVHQPEPERLPPLNAQTEDLLLKSQQQGSQLKNRVVFGLGIGISAGGIILAGGWVFAAAMAAAVFAGSREYFELIGSQGITEGMTPPPLYVSRVCSVICALMPLYVMYRGHIDVSVTSAAFVLAITLLVQRGNARFAQLSSAIFGLFYCGYLPSFWVKLRCGLAAPALNTRLGTAWPLLLGGQAHWTVGLVATLISISSIIAADTFAFIGGKAFGRTPLTSISPKKTWEGTIVGFCGCIATSLVLSKVFSWPASPVSAIALGILTFLGSVFGDLTESMIKRDAGVKDSGSLIPGHGGVLDRADSYVFTGALAYSFVKTFLPLYGV >CAK8563928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639655824:639665487:1 gene:gene-LATHSAT_LOCUS17286 transcript:rna-LATHSAT_LOCUS17286 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGNYERYHSDETHPPSNLCMQKFRLYETRSNFYMIGRDKSRAYWRVLKIDRLDPSELNVREDSTTYSERECSDLLRRIHEGNKSTGGLKFVTTCYGIVGFIKLLGPYYMLLITKRRQIGSICGHTVYAISKTEMIPLPNSSVRSNITDSKNENRYKKLLCTVDLTKDFFFSYSYHIMRSLQRNMCGAETGHVLYETMFVWNEFLTRGIRNHLQNTFWTVALVYGFFKQDTLEISGREFILTLIARRSRHYAGTRYLRRGVNDKGRVANDVETEQIVFEDVPDGLPIQISSIIQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYQATRLHFENLVKRYGNPIIILNLIKTHEKKPREAILRQEFANAIDFINKDLSEEDRLRFLHWDLHKHFQSKATNVLLLLSKVAAYALALTGFFYCQASSTLRPEDCLKWPPIDNAGKRSFSSTRRADDDDEDANDLERRPSDEINVSNENGSAKSPRLQRGVLRTNCIDCLDRTNVAQYAYGLAAIGHQLHSLGIVEQPKIDLDNPVANDLMQFYERMGDTLAHQYGGSAAHNKIFSARRGQWRAATQSQEFFRTLQRYYSNAWMDAEKQDAINVFLGHFQPQQGKPALWELGSDQHYDTGRLGDDDARSFFKRSFSDGNFLRDSSTPMSAPNAKNEKSSNPGLPDRSGEGSKAFCESSPEISTAEPECDISFSRYTPSMPRRQLFVDMQKERCAETRHIYYSEHVDSFTCSNFVDLDWLSSSGNSCEEEPYERSSITSSPVAGVSSENVVNGVVVGETAASTSDWASSSVKESEASESEASYRDAQNNNPDEFPDTFVEWVTYGQTLCH >CAK8566748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459117968:459118762:-1 gene:gene-LATHSAT_LOCUS19859 transcript:rna-LATHSAT_LOCUS19859 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSEKLTIFRYYIHEIPPKHEIPFDNFRHIDIILSFASEKGDGRFHPTWIVDRFGIEWLKRFKQEHPNARVVISIGGVGSEFPFNPAQKDGWICNAIETIKNIILLFKDIIDGIDIHYDVIKSSEDDFSFCIGQVIKQLKNNIDSFIKVVSIAPTELVEPYYLKLYKDNKDIIDLVDYQFYNQKFSSKEEILELYKKLVTDYYPAKVLVGISIPVDPILHAAICYLVYQKLLPGIFVWNMFDSIDSPNNFSLEKILKDLINL >CAK8539479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513738890:513740795:-1 gene:gene-LATHSAT_LOCUS8569 transcript:rna-LATHSAT_LOCUS8569 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIGATASCVFCEIATNSKSHTILHSDDKVVAFRDINPSAFRHYLVIPVKHLPTVNDLQRNTEDYSLVSHMVDVGKMLLLRDAPHSKQYRFGFHQPPLNSVNHLHLHCLALPYTPRWRCMKYFPFGPIGFIEAEKFLEKIKPVPGVHSKV >CAK8541543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:235099152:235099857:1 gene:gene-LATHSAT_LOCUS10458 transcript:rna-LATHSAT_LOCUS10458 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHKYFINGYKFHTQAWSQVIKTKPRGRIEVVDIDDDTPYQDEEMAHVEQITEIEDITGLHDETHSDEEVDVTLISSMQINASADNDYREDNNIDDEVTNTILSISSTPSKSELKKIARATCFAIQD >CAK8569978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18955461:18957046:-1 gene:gene-LATHSAT_LOCUS22771 transcript:rna-LATHSAT_LOCUS22771 gene_biotype:protein_coding transcript_biotype:protein_coding MSILIRTIQRAHTLKRIFPAINAISQTLGFGNRTHSSKSVTKSPFESNILRILRNEIEYQAEYAPPHQPVTEFNSFVVEDRPGEQVVTIRGKFGDNEDIKIEATMFDGFQHVPVFGDDSSGVNVRLHLSLIVDISKGEDGNELEFICSAWPDGLDVEKVFILKRGQMSTKPYLGPDFRNLKPEIQEMFCEYLGTRGVNNELSTFLHEYMMNKDRIELLRWMDRLKSFVEK >CAK8578905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661475302:661480655:1 gene:gene-LATHSAT_LOCUS30884 transcript:rna-LATHSAT_LOCUS30884 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEELPEDKDSSRKKKIDTADIKSERTYRKRDVDDDLNGEDRRSKRSRGEDENGSKKDRDRDRDRDKDRDRERSSGRHRERERDRDGEKGSREKERERDGEKGSRDRDREKDKDRDREREKERERRDKEREKERERREREREKEREREKEEKERPRRSMSRPERDRGERVDRERDFENRDGRRFRDKKDNVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIAISGQLLLGQPVMVKPSEAEKNLVQSNASSGAAGVVGPYGAVDRKLYVGNLHFNMTEANLREIFEPFGQIEVVQLPLDMETGHCKGFGFVQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGSQDTTAKSADFDDDEGGLTLNAHSRALLMQRLAGADIPTSIGVPIVNGSVPVQQAFSMPISNPGVIPGSGLPTQVMPTPVVEPVGIPSECLLLKNMFDPSTETEPDFDIDIKEDVEEECSKYGRVKHIYVDKRSAGFVYLQFDTVEASSAAQRAMHMRWFARRLITAIFMEPHLYEAKFNGES >CAK8542264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:495525215:495532883:1 gene:gene-LATHSAT_LOCUS11113 transcript:rna-LATHSAT_LOCUS11113 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFGMFLGIIFGVALMAGLEWMMKYRSAKRIAKAVDIKLLGSLNRDDLKKICSENLPEWISFPVYEQVKWLNKLLSKLWPFVADAATMVIRESVEPLLEEYRPTGITSLKFSKLSLGNVAPKIEGIRVQNLKKGQIIMDIDFRWGGDPNIVLAVEAALVASIPIQFKDLQVFTIIRVIFQLSEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNSIVTDMLQWPHRIVVPLGGIPVDTSELELKPQGMLRVTVIKANDLKNKEMIGKSDPYTVVYIRPLFKVKTKVIDNNLNPIWNEEFDLIAEDKETQSLTLEVFDKDIGQDKRLGIAKLPLIDVEVETEKEIELRLLSSLDTLKVKDKKDRGTLRIKYFYHEFNKEEQLAALEAEKMTLEQRKKLKEEGVIGSTMDALDGVASVAGSGVGLVGSGVAAVAGTGVGLVGSGVTAGAGLVGSGVTTGAGLVGSGFGAVGSGLGAVGSGLSKAGKFMGRTITGQTVSRRSGSSIPTEESGGGVMK >CAK8541128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69122981:69123334:-1 gene:gene-LATHSAT_LOCUS10075 transcript:rna-LATHSAT_LOCUS10075 gene_biotype:protein_coding transcript_biotype:protein_coding MNILHFNIYGCSSLAKKRNLKNSIIEGIFEIIMIQVRFNSERTMMFFNLGSHKVEWTSKPSQGNSRVLLIMWKAGFFNLMFSFKGEGYVGINVIWKGISIYLVNVYSFGSINKNKEF >CAK8544225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673142313:673146221:1 gene:gene-LATHSAT_LOCUS12924 transcript:rna-LATHSAT_LOCUS12924 gene_biotype:protein_coding transcript_biotype:protein_coding MERPNHDYAAASAMAYAQQQRPAANMQQQQQQFGFHPQHQQFPSAMHGPPFIPPGPGAGHPSMQQFPFHHAMQQQLHHHGPPPPHLLLQQQQQHQVPPAFPSHYPPHVGPSPFFDSAPPPVAPPSDPELHKRIDKLVEYAVKNGPEFEAMICEKQRDNPSYSFLFGGEGHAYYHFKLWLSSRPPSGPFNQPFPSSSMSMIHPPPNPMASPSLSGPPLNPAGIGSSPSMLVPPPFPQFYDQQHHHQHPQSYGLHGRPEYDQSSKSFKGLSGPLPSDVAMELSSVLNNLNGTKESIKGAKLWFMQRAPFAPALAETLRDRVFALDDVERQLHIIYLANDILFDSLNRRASIHDLDNEALAFKPVLGAMLARIYHNPQSNEEYRKRLQQMVEFWASKEVFDQETISLLKGEMIGGPHTNSFTAASKDLSSASADSGAGMLQTPNHIVQQWQADRVSSGSIVFDPDRPDKLLGQSMASQQFLSNSALPSAFPGSMNIPSSVQPSGAHLLPPPSSGTGEQLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTMIPPSTVPASEILQRVSKFFKEIGEVNPSEGPMNSDAKDEDDEYEGEYERESQVRKGGACIPPPPNLQQIDPETGTYADGSVDRKPGSSGSGRLGLGATADPNEVSQYDDVYTSYRKQRSTNYHTSMSARAAVR >CAK8575116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:32422483:32423020:-1 gene:gene-LATHSAT_LOCUS27405 transcript:rna-LATHSAT_LOCUS27405 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYVFLLFLCFSFHACNAGSRNLISLDNKMEKKLNFSLKIVEKNGFDSSQMKVNEGDNKMKINEFVGDSEKSKNRKSTNRRMLKGVRKVSVSVSVHGLQTKSHVSTSWRVPHKKKHSEKHPGFNLDYSPPKTHPPSHN >CAK8568345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597648327:597648749:-1 gene:gene-LATHSAT_LOCUS21308 transcript:rna-LATHSAT_LOCUS21308 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTMILKACIDDIHKIQRKFLWGENTNERKFRVVGWQQVNMSKISEGLGLRKLGIMNKACVARLSWKMQAGCRDLCSEVLRGKYVRNGEDGDMIAKPNYSALWKGMVRVMPELNDYNYWVIGDGKTVDAWSDCCISPGF >CAK8567008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479385574:479388325:-1 gene:gene-LATHSAT_LOCUS20099 transcript:rna-LATHSAT_LOCUS20099 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAGLLTKLWSFISFLPFFFLLFILGITKATLIGPIAFAIMLVGNSSVIIGLWTAHVVWTYYCLARTKRFGLVFKVVMLICLPLPLLLWPVVGIGGSILGGIGYGFFAPLFATFEAVGENIKDKFYHCFIDGCWSTIKTSCTVVEDVTDFCFHSYFSYMDELRENLPPQEKPFDLRLSLLPCCFLVILVGVPLDVVLITSIAIWKSPYMLFRGWKRLIEDLIGRKGPFLETECVPFAGLAIILWPLAVIGAVLAATIFSIFLGLYSGVVVYQEDSMQMGFAYIVSVVSYFDEYVNDLLFLRAGSCFPRPAYRKNMRHEKNLEESDHNLKNRRDSSQNLEHTLQQTRSMKWKIHHYKPVQIWDWFFKSCEVNGRIVLRDGLISVKEIEECISKDNWKILSTKLPAWSLLQCLLTSAKSNSDGLIISDDVELTKMNGPKDKVFEWFIGPLLVMKDQLKNLELQESEETCLKELVMRCKNNIPQDWDSTGFPSNDNVRRAQLQAIIRRLQGIVALMSRMPTFRRRFRNLVKVLYIEALQASASAKESNNIDEP >CAK8567552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524180007:524180519:1 gene:gene-LATHSAT_LOCUS20595 transcript:rna-LATHSAT_LOCUS20595 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRDTIMITNDDGIDAPGLRALVAALVATNLYNIRVCAPDFEKSAVSHCITWIHPIAAKKVHIDGTTTYAVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNQQDHYPATTHPKQQTIHPPLSQTLCT >CAK8561220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:120781553:120783843:1 gene:gene-LATHSAT_LOCUS14829 transcript:rna-LATHSAT_LOCUS14829 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTHLSLLALLCLVFVGINASKSDEEYWKSIWPNTPIPRPLLDLLLPDSKTSVPIRDYEENQYWTVFFEHDLHPGKKISLGIHKHSKTHVSVETTNQPFGINSWWDRKSSEKASQGFETHRPTNKAIKEEIKKPIETFGILVWTGKPNKDSGSRTEIDRVTVKKTERLGQTSTVASWTEEEMGIFRDYCGKPSPIGEDKYCAPSLKSMMNFVISKLGKNIKAMSSSFSQIQDEYVVEEVKKLGEKTVMCHRMNFKKVAFYCHQVNATSTYMVPLVASDGTKSNALTICHHDTRGMDPSIVYEILKVKPGTVPVCHFIGNKAIAWIPNEVVTTSNGHPCVI >CAK8537034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64681578:64682288:1 gene:gene-LATHSAT_LOCUS6352 transcript:rna-LATHSAT_LOCUS6352-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWKSPDPNEFPAGFFFPEIVGVTEEKMVCVMDTLNKFCSLSGQEKASSLLFAGQTILAKSVLEAIPIYPMMTNLLPKACIKEIQKMQRNFIWGDTTSSKKLHDVNWNIVTTLKDHDGLGLKDLGAMNAVCIMKLSWKLINDAEDLWCNMLKGIYKEVHLCKNPIKKTI >CAK8537033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64681569:64682288:1 gene:gene-LATHSAT_LOCUS6352 transcript:rna-LATHSAT_LOCUS6352 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMKPWKSPDPNEFPAGFFFPEIVGVTEEKMVCVMDTLNKFCSLSGQEKASSLLFAGQTILAKSVLEAIPIYPMMTNLLPKACIKEIQKMQRNFIWGDTTSSKKLHDVNWNIVTTLKDHDGLGLKDLGAMNAVCIMKLSWKLINDAEDLWCNMLKGIYKEVHLCKNPIKKTI >CAK8573455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613462689:613463320:-1 gene:gene-LATHSAT_LOCUS25901 transcript:rna-LATHSAT_LOCUS25901 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEINEDKIKQKAMKAVSGLSGVESVSMDMKDKKLTLIGDIDPVKVVAKLRKFCHAEIVSVGAAKEEKKEEPKKKEDDKKDSTKEIVIDPFIFYGTHPYYNHQMKPQYNPYYSVVSVDEDPNSCVII >CAK8532930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551555972:551557206:1 gene:gene-LATHSAT_LOCUS2589 transcript:rna-LATHSAT_LOCUS2589 gene_biotype:protein_coding transcript_biotype:protein_coding MACNTLMSSAFSAFPSLLSSSKSRFSTSTPLPCVAFANASSRITMTSDWMPGQPRPSYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAALPGGQATYLGNPVPWGTLPTILVIEFLSIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFHEFKIKEVKNGRLALLAFVGICVQQSAYPGTGPLENLATHLADPWHNNIGNVLIPPQ >CAK8574834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10926583:10927592:-1 gene:gene-LATHSAT_LOCUS27137 transcript:rna-LATHSAT_LOCUS27137-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPIHLPYEAQLGGPVQYRWMYPFERMMGDFKRSVKNKARVEGSICMSYLHRETTYFCSHYFKTATLSATSKHNEALGLNDDVVPTLSIRNPLGRPSGKSQIHWLTDAEWRSTHVHILINCNEVKPYINIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGVTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGVIKHIFELEYHELSHKVALFYCQWFDPN >CAK8574835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10926583:10927592:-1 gene:gene-LATHSAT_LOCUS27137 transcript:rna-LATHSAT_LOCUS27137 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPIHLPYEAQLGGPVQYRWMYPFERMMGDFKRSVKNKARVEGSISLGLNDDVVPTLSIRNPLGRPSGKSQIHWLTDAEWRSTHVHILINCNEVKPYINIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGVTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGVIKHIFELEYHELSHKVALFYCQWFDPN >CAK8533551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:635548485:635549759:-1 gene:gene-LATHSAT_LOCUS3171 transcript:rna-LATHSAT_LOCUS3171 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKRKKCNHCNIPYCSVSRSYTMHVHHPPQSKGDSYHLVALTSTTLGSLELVSHSQSNGYVPTSGPLHADNKQGNGIEVGTNFMFSNGKVSESFKEEEENEVKTWSSMILEKLPKSIVKNQITKPTTCELDEKETIINTWELMEGLEETSPLQSPNLKDLSFGVNVNGNVEPQKASFMENDYDGIDLHKPKLDPMIEEGSNDLNLKVKVLDFDDLKVVSSFKDSFQDKQEEMDEKLSFFEEKKINDDVFVDFKVSSHGKKEKVVLYFTSLRMVRKTYEDCCNVKMILKGLGIKVDERDVSMHLEFKEELKELLGEEYGKGGLPKVFIGRKYIGGVEEIQKLHDDKKLEKLLDCCQKIDEIEGGDNGGCELCGDIKFVPCETCNGSCKIYYEDDCEDGEFGFQRCSYCNENGLIRCSMCCF >CAK8579753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720780586:720782937:-1 gene:gene-LATHSAT_LOCUS31673 transcript:rna-LATHSAT_LOCUS31673 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPWETLDIDDSDLSNFLRPCNNRTSQTLTTDPPLIPGPAGAIQAAMIQRRTLGASNPLPTQEFVRRVVQNGHDIDRDFTSNPWLFAFQFLQSSQVDVASFPKLSSIKKHLNADGRVPSVVAVIKSCNPNGFGDMTVTLKDPTGTIGASIHRKVFTEGEFRKDITVGSVLLLQKVAVFSPNGFTCYLNITLGNIVKVFSKDSGSPSEQISTKQTTPTCPADAREKSWMPLSSALPLSQERTQGILNNIRLDSWFKEVANNGSQRDEILVLSSCQFDNEDDEIQRTVSNRENLSLRQHDTGPVEAACGGQLESEMEDQENHPTLGKGDNLVGTTQANSSSSNPAHIFVGQETGMENHLERQKIMNPKSSIPQWTDEQLDELLAFD >CAK8534390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722542388:722542564:1 gene:gene-LATHSAT_LOCUS3940 transcript:rna-LATHSAT_LOCUS3940 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISIAPNSEACELSAACNFNEKDYRSGNCKPGLAQVAYREGDDDDDGGYDYAPAA >CAK8579432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699029787:699030263:-1 gene:gene-LATHSAT_LOCUS31380 transcript:rna-LATHSAT_LOCUS31380 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFTPRQNPRIPSIFWVWKSADFQERESYDMLGIYYDNHPHLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >CAK8542041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458440973:458441997:-1 gene:gene-LATHSAT_LOCUS10915 transcript:rna-LATHSAT_LOCUS10915 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEEDYSSPPRIHIQTPPPAPAPDVTGRENPRPSTGGIAGILKRWKREDLIKRGSLGLRGIALLFSLICFIVMASNKHGDWRDFDKYEEYRYVLAIAILTSLYTGAQVFRQIHELSTGKKLIKPSVATIIDFFGDQIMSYLLISSASSAIPMTNRMREGSDNIFTDASSTAISMSVFAFLCLAASALISGYNLSAQSYI >CAK8565699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:309029152:309031310:-1 gene:gene-LATHSAT_LOCUS18889 transcript:rna-LATHSAT_LOCUS18889 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLREYFATYGEVIEAVIMRDRATGRARGFGFVVFADPAVAERVIVDKHIIDGRTVEAKKAVPRDDQNNINRQTGSVQGSPGPGRTKKIFVGGLPSTITESDFKMYFDQFGTITDVVVMYDHNTQRPRGFGFITFDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGGYNYSLNSRASSYLNNYGQGYSMSPIGGYGVRMDSRFSPLTGGRTGFTPFGNTGYGMGINLDSGLSPNFGGNSNFGNNLGYGRIFSPFYSGNSSRYATPIGYNGGNGRGDSLMNSTSRNVWGNGGLSSANNPVSPGAFLGSGSGAFGVSIGNSGTNWGPSIPAQGGGAASGYATGNNVYEGGDNSFGLGAAGYGRNSNAVVNPSSTFNASAGGYDGSYGDLYRSGSGSVYNDNAWRSAASEIDGSGSFSYGLGSIASDDPVKTSEGYIGSYNVASRQPNRGIAA >CAK8534575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743552589:743556334:-1 gene:gene-LATHSAT_LOCUS4111 transcript:rna-LATHSAT_LOCUS4111 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPNKLLTKADKLTKLSLTRWSADWKTATGLYEQAAIGFRASKNHEKAKLAYEKASQGQEMLSSPWDAAKHMESAAALAKELSNWREVGDFYQKASQLYMECGRPQPASDALAKGARALEDTMPEEAIQLYTDACTILEEDDKDQMAFDLYRAVTSVYIKLEKYTDAASSLLRLGLAADKCNATNSQSKAYLSAIIVYLYAHDFQQAEKCYNDCSQIEAFLKSDHNRCASKFLAAYSDGDVDEIKKIAQSSAVSHLDHAIIRLARKLPTGDVSALKAHTAEDDEEPLDENDLT >CAK8530949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65952636:65955393:-1 gene:gene-LATHSAT_LOCUS775 transcript:rna-LATHSAT_LOCUS775 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRNIIEDMRSRSQRVVVVEKEEKVLVGDGLRQSCWANMPQELLREVLLRIEASEDTWPPRKNVVSCAGVCRNWRVITKEIVKKPELSAKITFPISVKQPGPRENLLQCFIKRNRSTQTYYLFLSLTSSLGDDGKFLLAARKSRRPTCTDYIISLDADDMSRGSNTYVGKLRSNFLGTKFTIYDSQPPHTGAKFTKSRSTRLVNLKQVSPKVPTGNYPVAHISYELNVLGSRGPRRMHCVMDSIPSSSIEPGGVAPTQTEFSLNNIEMFPLFPFFRSKSNRVMENSLSGPLVGDQKDGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASAENGTAGPEHDKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >CAK8570883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:147160383:147166137:1 gene:gene-LATHSAT_LOCUS23595 transcript:rna-LATHSAT_LOCUS23595 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEVLNVHSKENSDNAAEDHHKIVTEHCNGDVRGKDISENGNVGAEVVSDSVDSDPIVTVDGNGVALEDHKVEGESQVKVIPEEPCVVSNGSVDETTTVDVVEREGEIRKNGSGSDVNNVHVTDTVAEAGDVNEFTSVPNGVLDKHPNECAVENQNEIREVTDSFVEVDELKDKDLELESVQNSAVAENEIGLVADVDKSDCELEGIAVENSAVDRDGEGDVEEIEIPVAVEEVAVASTEAVESVDSDVVGTTESEDREKVESGSVVDVDVSDEKNKLCNTELESEIGGEVSESAEKNEIHDAMLKNVVDAEVSESAEKNEIHDAVLKNVVDAEVSESAEKSEIHDAVLKNVVDAEVSESAEKSEIHDAELETSVNAEVSESAEKKEIHDAELETSVNAEVSESAEKKEIHDAELETSVNAEVSESAEKNEIPDVELETVVDAEVSESAEKKEIPDVELETVVDAEASESAEKNEIPDVELETVVDAKVSKSDEKNEIPNVELETVVDAEVSESVEKNEIPTHDNEASDNTGLEECAVEDSQNGSGKVLSESVSVTVVENGLAEVEESTEGKVIPSDMGELERSVEISEPQVVLQGKDLAKLIKSGTSDDADEGVIENKPSVDTKNIEEETETEPSDNAVNGDGISIEVSEPEMKNDAIGSEAEPSTETVENEVEVSNNVMQSEADPSLHVQDLKTDVGSVAESSVEAEPSLHVQGLKNDVVGSVAESLVEAEPSVEAEPLVKAEPSAEVSAEESIQTNDDLKTSQEASATDAMDAQNMVTEVVRKPFYWLIRVPRYDDDENIKEQIQHALQQVEEKTKIRDEIRAESQIKKAICKEHGQEFRAAVQEERASRELLKSKRQEMDSVQSTMNRLNNAISVGDIDSKIRNMEHMIQHETLPLKEEKQLIRQIKQLKQSRGELSSIIAKQNQSQSLDEKDNIEEQSKQLQLLRKDLDVLRNNVLKAEAVTKAAKKKYDEESNQVSEVLARFKTADDIRQEAFVKLQTLKRKLHEKSKYFWEYKNASIKAQELAVQGKKEEVQSLCIDEAERIHEMWKNDEFRRNYLRCNTRSTLRRLQTYDGRMLGPDEEPPVIPNNTFFEKATKDDSLVSRSAPELQKKSIPTESVTVNTKVEPASKVVVQKPEISQTTSAKKPAKPAPSEKKSAIPVRRWGDESDEDKELKEPVKTKEEEERILKAEKARKEEEEAKLKEMKRLEEIEKAKEALQRKKRNAEKAQQRALYKAQKEAEQKEKEREKRARKKGKKKAVSTEDAVEKIEQDSAASPSSETLTRTIEESDQSEKPVEVTKRSVKPSQFMKQNKVKSLPMSIRNRGKRRIQPWMWVVIAVLVIAALFYIGNNSSLRSSFQNYGF >CAK8541165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79824488:79824799:1 gene:gene-LATHSAT_LOCUS10105 transcript:rna-LATHSAT_LOCUS10105 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8570292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34602173:34603429:-1 gene:gene-LATHSAT_LOCUS23054 transcript:rna-LATHSAT_LOCUS23054 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPKFQNSPFILNPQNNPHFGNYSYKPPPYPYQYQQFTSQSTNPIMPHGAQIGSSDAQPNDQEDEIPLFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKRDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPFVQKFVGCYKQAVSTQQNGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSLEASTKRTKNSTSGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTSNVKYDSLKDDFKKNFDLMSMFARDYARIEGGKVEIERKKVDAKIKKAGSVEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLNLYDYVF >CAK8570615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:73048107:73048697:1 gene:gene-LATHSAT_LOCUS23351 transcript:rna-LATHSAT_LOCUS23351 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSNFFSQDSFSLNPKTHHEFLPFNENDPEEMLLYGMITQSQQPQQKLITSSKETTLKSNKEKNTKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSAAILNFPVEKVRESLRDMSYDDECCSPVVALKRKHSMRRKMDEKKKKHERDVGIDDLVVFEDLGAEYLEQLLMSYC >CAK8532361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249474975:249477507:-1 gene:gene-LATHSAT_LOCUS2076 transcript:rna-LATHSAT_LOCUS2076 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEENTPAATVKLGSYGGPVMLVVPGEESAAEETMLLWGILQPTLSKPNAFVAQSSLQLCLDSCGHSLSILQSPSSLGTPGVTGSVMWDSGVMLGKFLEHSVDSGLLVLQGKKIVELGSGCGLVGCIAALLGGEVVLTDLPDRLRLLRKNIETNMKHISLRGSITATELTWGDDPDPKLIVPTPDYILGSDVVYSESAVVDLLETLAQLSGPNTTVFLAGELRNDAILEYFLEAAMNDFTIGRVDQTLWHPDYRSNRVVLYVLVKK >CAK8578759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651741525:651742826:1 gene:gene-LATHSAT_LOCUS30745 transcript:rna-LATHSAT_LOCUS30745 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIRNEVVDDCLNDNTEEDVIRLVREAQQQANNTSKHRKRRTVIDRSHEEGHHRLFNDYFFENPVYTEAQFRRRFHMRRHVFLRIVEALENYDEYFQRRIDAVGRMGLSPLQKCTAALRILAYGSSADSVDDYVRIGESTTLECLDKFVIGVCIIFGAQYMIRPNNEDSARLLQINATRSFPSMLGSIDCMHWEWKNCPVAWKGQFSRGDHGKHKIMLEAVASQDLWIRHAYFGTAGSKNDINVLNTSDVFNDVLNGKAPAVQYSVNQATYHMGYYLADDIYPEWAIFVKTIPMPQGEKRKLFAQRQESTRKDVERAFGVLQARFVIVCDPARAWHVNTMKHIMLACIILHNMIVEDERDTYADNFDYDHVDNNFSTTEASTGLIPNLTTMFERITHVHQRKNHCQLQADLVEHIWERFGHENNES >CAK8561358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:167570071:167573883:-1 gene:gene-LATHSAT_LOCUS14959 transcript:rna-LATHSAT_LOCUS14959 gene_biotype:protein_coding transcript_biotype:protein_coding MENSISSDDFNYQSGFGNHFSSEAIAGALPLQQNSPLICPFGLYAEQISGTSFTTPRSHNFFSWLYRIKPSVTHEPFKPRVPFNRKILSEFNDSNSSTNPTQLRWKPPDIPDLPTDFIDGLSTVCGSGSSFMRHGYAIHMYTANKSMDNCAFCNADGDFLIVPQQGRLFITTECGRLKVSPGDIAIIPQGFRFNVNLPDGPSRGYVAEIFGTHFQLPDLGLIGANGLASPRDFLVPTAWFEDKSYPGYTIVQKFGGELFTAVQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLYDHGDPSINTVLTAPTDKPGLALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIHGNYEAKVDGFRPGGASLHNCMTPHGPDTKSYEATIARGNDVGPHKITDTMAFMFESSLIPRISRSALESPFLDHDYYQCWIGLKSHFATEASLGSLTLNNGE >CAK8538018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459001003:459001431:1 gene:gene-LATHSAT_LOCUS7259 transcript:rna-LATHSAT_LOCUS7259 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCYSSSILVVISLLLLLTFSNVAEAYGRAKLRPSDCKPRCSYRCSATSHKKPCMFFCQKCCATCLCVPPGVYGNKQVCPCYNSWKTKEGRPKCP >CAK8543876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645846606:645846797:1 gene:gene-LATHSAT_LOCUS12600 transcript:rna-LATHSAT_LOCUS12600 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEINKTRIQNSSQHQQEEGCDPCKSFGQKCSHLVKKQRAKFYILRRCIAMLLCYHERSEH >CAK8543781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639529323:639530642:-1 gene:gene-LATHSAT_LOCUS12517 transcript:rna-LATHSAT_LOCUS12517 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPYIPFLLLFSTLLLLSPSTAEIKSLTINSDTRPMILFEKFGFTHKGHVNIAVSSVSVAVLSSASQPESSRLGFFLLNEETLLQVLIEIQQNPSFCVLDSHYIFRLFTFRDLSPPPSASFNRSYPVTSPNEYSLFFANCAPQTSVSMVVRTEIFNLDSDGSKDYLSAGQTQLPSLFFLFFLAYLTFFVFWVYTCYTNKHSVHRIHLLMAVLLLMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVVLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSSAAEETASLVFYIVMFYMFRPVEKNEYFVLDEEEEEAAEIALRDEEFEL >CAK8577648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581024655:581025350:-1 gene:gene-LATHSAT_LOCUS29736 transcript:rna-LATHSAT_LOCUS29736 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAMNFVLKATRNLPITALVQSTYYCMGSLFGKRPHKWTKMLGTGKVFTDGCNKGMTDEVAKSNTHNVMQLDRERLCFMVQEKVNQNDDRPTCTFSVDLRNRWCDCGKFQAFHLPCSHIIATCSSIRQDYSIHILEVFTVLNVFKVYKESFLGQPHEENWPKYEGFTFCHDDSMRRNKKRRPTSSRIRIETDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPSR >CAK8571446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:420447941:420449436:1 gene:gene-LATHSAT_LOCUS24112 transcript:rna-LATHSAT_LOCUS24112 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNIVKKFISKFLILVTILLVSTKWTIAEEVEDEREFDYTKGSKKGPPYWGELKKEWAACKNGKMQSPIALTNDNLKIVPNLGKLKKNYMSENATLLNRGHDIQVKWLGEAGSIKINGTEFFLHQAHWHSPSEHIIDGSRYEVELHLMHRSLIVHEKYKTAIIGVLYKFGPPDPMLTKLSKHIQAVGNNISEMDIGEVNPSEFVCEGDEYYRYVGSLTVPPCTEGVIWTINKKVGTVSEEQVSLLKKAVQDHATKNARPLQPRNGRDILYYDPKEK >CAK8533292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600758205:600758489:-1 gene:gene-LATHSAT_LOCUS2932 transcript:rna-LATHSAT_LOCUS2932 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSLNIRGGDNLSKRKRINCLIKSGNADLFFIQESKLKLVEANLVKELGRKKEVGWSYCSSIGFSGGIITLWNESIFSPVFSFKGGGFLGLN >CAK8562881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552864911:552868241:-1 gene:gene-LATHSAT_LOCUS16343 transcript:rna-LATHSAT_LOCUS16343 gene_biotype:protein_coding transcript_biotype:protein_coding MASSICHMLISVLLFVFLQHTFAIKQSYIVYLGSHSFGQNPSLLDSEIVTNSHYDLLGSFLGSTEKAKEAIFYSYNKYINGFAAMLDEDEAAEIAKHPDVVSMFLNKRYELHTTRSWDFLGLERGGEYPKDSLWKRTLGKDIIIGNLDTGVWPESKSFSDEGYGPIPKKWKGICQVAKGNPDQFYCNRKLIGARYFAKGYLSKGTPNVTIDSARDTEGHGTHTLSTAGGNFVAGANVLGFGNGTASGGSPKARVAAYKVCWDGCYDVDILAGFEAAISDGVDVLSVSLGGDLPTEFFESGISIGSFHAIANNIVVVASGGNSGPEPSSVANLEPWTFTVAASTIDRDFPSYVILGNKKIFKGASLSEADLPPHKLYPLISAADAIYDNVSSASASICQEGTLDPKKVKGKVLVCLRGGSARVDKGIQASRAGAIGMILANDEESGNGVIADPHVLPATNVGFADGSAIYNYINHTKSPVVYLTKVKTQLGVKNTPTIASFSSRGPNNMDATILKPDITAPGVSIIAAYTQATSPTEQPSDKRRVPFISMSGTSMSCPHVAGLVGLLKSVHPDWSPAAIKSAIMTTATTITNNGVQILDSSLEKATPFAYGAGHVRPNLAVDPGLVYDLNVTDHLNYLCGRGYTSDKLKVFYGKPYTCPKSFSLKDFNYPSISIYENNKIWKTLSITRTVINVGPRSMYRAKIEAPPQFEVTVQPAILRFKHKGQKKEFKVTFTLKPGSKYVTDFEFGKLIWTNGKHYVRSPISIKYPHL >CAK8536104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:907418636:907420894:-1 gene:gene-LATHSAT_LOCUS5504 transcript:rna-LATHSAT_LOCUS5504 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLSCRGTNHDHGLFTAVQQGNLEAVTTLLQQDPSLFHQTTLYDRFSPLHIAAANGQIEILSRLLLGSVNPDVLNRQKQTPLMLAAMHGRIACVEKLLEAGANVLMFDTVNGRTCLHYAAYYGHFSCLQAILSSAQSSSVAASWGFVRFVNVRDGKGATPLHLAARQRRPECVHILLDSGALVCASTGRYGYPGSTPLHLAARGGSLDCIRELLAWGADRLQRDSSGQIPYMVAMKHRHGSCASLLNPTSAEPLVWPSPLKFISELNADAKALLEQALMDANKEREKNILKGGGYSLPSPSHSECVDDNISEVSESELCCICFEQVCTIEVQNCGHQMCAQCTLALCCYNKPNPTTASITPPVCPFCRSNISRLMVITKIETHDETDQDNIDTSSSKTNKSRKLRNMNDSGSSSFKGLSSVSFGKLGGRSSGRVADDSIMVKEGN >CAK8574311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674581706:674584390:-1 gene:gene-LATHSAT_LOCUS26666 transcript:rna-LATHSAT_LOCUS26666 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMEDKSIFDLEKEILNKFRHFMTRFTKIDELGTAGSKLLSGFQQALEFIRKPPIDTSSKLVNSIIKANETERLKSYVNFESKNRKDVDQNATNLGSCKHRLLLQIRQVKVVLDELEDIQANVQNVMQSIHGKLSSLSDTDMDFKLNEQAIYDNLDENTAFCHSTTRKVKKNSDPTHLAALMVAVYGMVQQDYLMQERIVSALDLNVSSEELESYCLMWSLRPFINDELVHEAWKYIH >CAK8566822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465336722:465340011:1 gene:gene-LATHSAT_LOCUS19927 transcript:rna-LATHSAT_LOCUS19927 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEELKSAMNEHVDLMSDLVQKISSELRTNLRPAYDNFLGFFHAIDWKEPWLLGLLSFYVVLLLVTIISRKNTNFQMCLFLLTLAGVYLAERLNSFLGGNWKSFSSQNYFDPSGVFMSVLWSGPLLAVAMIILINTLFSLCYLIVKWKRAELRHRARAARSKQE >CAK8570510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53238937:53242422:1 gene:gene-LATHSAT_LOCUS23253 transcript:rna-LATHSAT_LOCUS23253 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMKDGRGFAKGYSVNLLLLLSLLFCSTEGLNLEGQILLEIKNGLHDKYNLLANWKSSDETPCGWVGVNCINERINGSGTGSGSDPVVVSLNLSSMDLSGTLNGSVGGLNKLAYLNLAYNGLTGNIPKEIGELLSLEYLYLNNNQFEGTIPVELGKLSVLRNLNICNNKLSGVLPDEFGNLSSLVELVAYSNFLVGPLPNSVGKLENLVTFRAGANNITGRLPEEIGRCRSLIRLGLAQNQIEGEIPKEIGMLENLKELVLWENKLSGVVPKELGNCSRLEILALYGNNLVGPLPREIGNLKSLKWLYLYRNKLNGSIPREIGNLSSALHIDFSENSLAGDIPSEFSKIRGLSLLFLFENHLTGVIPNEFGSLKNLTKLDLSINNLTGPIPTGFQYLTHMYQLQLFDNNLSGIIPQGLGLYSRLWVVDFSDNNLTGNIPPYLCRHSHLMLLNLADNQLYGNIPTGILNCESLAQLLLVGNRLTGGFPSELCKLENLTAIDLNDNRFTGPLPREIANCHNLQRLHIANNYFTLELPKEIGNLSQLVTFNVSSNLFTGRIPPEIFWCQRLQRLDLSQNRFTDSLPNEIGTLQHLEILKLSDNKLSGNIPAALGNLSHLNWLLMDGNLFFGEIPPQLGSLSSLQIAMDLSYNNLSGRIPSRLGSLNMLEYLFLNNNQLDGEIPSTFSALSSLMGCNFSYNNLSGPIPSTKIFESMALSSFVGGNIGLCGTPLVDCNSITASRSIPSAKDSDSKRAKIVMIIAATVGGVSLVLIIVILYLMRRPRGPVDSFVDPETLSPDSDLYFPPKDGFTFQDLLEATKRFHESYVIGSGACGTVYKAVMKSGKTIAVKKLGSNREGNNVDDSFRAEISTLGRIRHRNIVKLYGFCYHQGSNLLLYEYMERGSLGELLHGSASNLEWPTRFMIALGSAEGLAYLHHDCKPKIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKSPVQPMEQGGDLVTWTRNHIRNHDNKLSSEILDTRLDLEDQITINHMLTVLKLALMCTSMSPTKRPSMREVVLMLIESNEREGNLTLTRTNHDPPPPSKVNT >CAK8543132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:581912796:581915798:-1 gene:gene-LATHSAT_LOCUS11914 transcript:rna-LATHSAT_LOCUS11914 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSYKRELGCIACKELGELGAGKPGWVVDNPNLLSAIDTHSILLANRSTILLLSWSDSHQSPLRIRPELSPIDAEFISAVEWLAFDDIRVIVAGTSSGYLLIYSLRAELIHRQMIYPGRVLKLRVRGTKKDLIQDSSSEEFCLIMPGVIARFDGSVVQNMLQKWFEEAYPQFRNQKQKNQDSEDSENSQVKLPFQLWNIGKYGTCADAAVTGIMPPPLMEYQSSQRYYCAVAVGDDAVISAYRLSEDKGRSLVGAILSKVVPATFSTIASFSKLIWRSENTSPQKSPKKSEEKPQPFARASPLTCIKDHPRKGEKLTLSPSGTLAAITDSLGRIMLLDTQALVVVRLWKGYRDASCLFMEMLVNKDTASSSSTYYEPMKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTITCAKGSKMLQPSYRFGASMSSPYVPLEVFLLNGDSGQISVLNRNL >CAK8533521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630657091:630665933:-1 gene:gene-LATHSAT_LOCUS3143 transcript:rna-LATHSAT_LOCUS3143 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYNAVVVPSSFLDSLQTNPNPSSSFHLNLLHLTTLTSTYSQLSLAKNLSASFVNLLNSEPKPNSESEIVIASKLYFELLFLENSSPLHRTLLSVLTKVKFFHELLSGSFQKLLEEYSNGKGKRFMVSRVALSVMGLAKLGYLNDVVESCAVLIAGDVVRSLNGVVLDTNVDLSRPSPIVMEQCQDGLSCLYYLLQKFPSKFSCGGGNGVGFDGFSSVMEGIVSVVLSLAGSDAFSRDCFVAAGVALCAALQVCISSQELGLVLIQGIFILKVSNLSSVGDVDCCDSEFMNAVRKIPCKGDDVYSRICSLSVLSRICLIRGILTAVSRNLLNTQFSVVNDHEGSVKKTILYDGILPELCRHCESPVDSHFNFHALTVMQICLQQIKTSMLSNLTDLSGDYDPIPEEMGMRILRIIWNNLEDPLSQTVKQVHLIFDLFMDIQSSLRWSEGDEQVKVFLGKIGSDLLSLGSRCKGRYIPLALLTKRLGAKKMLDLCPDLLFETIHAYVDDDVCCAATSFLKCFLEYLRDECWETDGIEGGYALYRGYCLPPVLYGLASGFSKHRTNLNTYALPVLLEVDVDSIFPMLAFVSVGPDGDDKGLQYPEIVYSNLELNLEQKIAILVSLLKVSRSLALVEGDIDWCESPSTNKVERGIGTQSHALVCIKGINIKIRVLWLVNALTHMDESLRVDAAESLFLNPKTSSLPSHLELTLMKEAVPLNMRCCSTAFQMKWGSLFRKFFSRVRTALERQFKQGSWNPLERIIGNKERCPLEGNKELTIKRADDLFDFMRWLSGFLFFSCYPSAPYKRKIMATDLILIMINVWSIKASITEVFGNSLSENHLYPYSKGMTSSDSTLLLVGSIVDSWDRLRESSFQILLHFPNPLPGISSEEMLKKVIAWAMKLVCSPRVRESDAGALTLRLIFRKYAIDLGWLVEDPFNISHLSPMPELLNGVNQSSKLRNPVIMYLKSMIDWLDVVVKGGEQDLSKACKNSFVHGVLLALRYAFEELNWNSDVALSSISEMRYLLERLLELVVRITSLTLWVVSADAWHLPEDMDEMVDDDNLLLEVPDQDNEHIFSSEYENNNSKPSHDTRASEQIVMVGCWLAMKEVSLLLGTIIRKVPLPSSAHSDSSDSVLDLEQLETIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSSDSRLHRLTESWMEQLMQRTVAKGQVVDDLLRRSAGIPAAFTALFLSEPEGTPKKLLPRALRWLIDVGNGSMLNQIESDSSKDDNSCKSNGSLKENNFTQEAERNVREMSSKIRDEGVIPTVHAFNVLKAAFNDSNLSTDTSGFSAEAMILSIRSFSSPYWEIRNSACLAYTALVRRMIGFLNVHKRESARRAISGLEFFHRYPSLHSFLFNELEVATEFLGPTSSGDLESIRGNNLHPSLFPILIILSRLKPSSIAGERGDELDPFLLMPWIRKCSTQSNLRVRVLASKALTSLVSNEKLSSVLLSIASELPCAENLVKSGSYGISCNLIHGILLQLSSLLEINCNNLPDNSKKDIIGELFQVLTPRSWIGRPTQCRCPILNETFIRVLDQMLMIARTCRITQHFFLIRNLLLELSTECLDLESYNQPYYDATIAELREQATVSYFGCLFQASKDEEESVHFPLSHSLPSTKPLPKHEVENTSTGILHRLIRCLSDSLYEVRLATLKWLLKYLKAAESGGKLGDNSIDDISVIHLWAKTNLHGTLVKILASEKNHKCKYYILRILVAWNLLQFKKASHDKCTGTSYVGEMDFDSVSQFWNNLVFLYNQTRHAKTRETLVYCLGVCAKRITILFASSFPSNEGKGFVVSDEMNQEKLGWLFDCIVFFCNMIKRCSSPSEQTSMRHAAAGSLIASGILEQAELLGSIVYNDHIPSATSSPSCFVKNEGVNSYAHHVLNAWFTCIKLLEDEDDSVRLSLSLDVQKYFTSERTGSNVPHELVPIQVDRVIRFCFDHLSSIFGQWIDYFNYLCHWVLQSESNVSFEGDLVRRVFDKEIDNHYEEKLLISQICCFNLEKLPILKSWSDKDELMTYLHGWRSRFFRQLVAYAENITGQQERIDWIGGVGNHKDTFLPIYANLLGFYALSNCIFIVSDNNDAKLLSDLVVLGRAINPFFRNPLVSNLYKLVLKSHEKIMTEDVANSLFSEMGNHSVWDSFDPYFLLG >CAK8574884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13512257:13512577:1 gene:gene-LATHSAT_LOCUS27183 transcript:rna-LATHSAT_LOCUS27183 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWLKADRLGPVYEKGVLEFLESAEKNVSDNNDIFYCPCIVYGNIRKQPKKEILHHLCYDEICQNYTTWMWHGEVDNNRNATPQMNEGDDDMDDRLEDKIHDIG >CAK8575416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:113930140:113931185:-1 gene:gene-LATHSAT_LOCUS27685 transcript:rna-LATHSAT_LOCUS27685 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRQGGTLPRHTLQPIPITLASNIRSLRKFNSRLYDSSMANDDKSDKRNKIGIDLPTTKATNQIQISSFLMLWYARFR >CAK8562559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509339783:509341647:-1 gene:gene-LATHSAT_LOCUS16047 transcript:rna-LATHSAT_LOCUS16047 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAESATVMIHHPGNKIERIYWSVSAHEVMNSNPGHYVALIVSSPTIKSENGTPSKHLKLLRPDDTLLIGQVYRLISFEDVLKEFTSKKCGKLGKLLKESGNHGVQMKHKDSRGPNPSPSSKSEYGQVKVEQETQGMENSGSSRRNNKVVGRHLVGGSGQWRPALQSIAEFGT >CAK8570885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:148850420:148852009:-1 gene:gene-LATHSAT_LOCUS23597 transcript:rna-LATHSAT_LOCUS23597 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQKQVEKKTNTFLLQKSATKEYQITAPNDDDQYHSKVTTIVTKKSPPQIEFPTSPQLIFGEEIIHFSHPQHPLSMVNLPDLFICVGCKEYGSGKRFVCQQCDYQLHDFCALAPPALNSHPFHSQHAILFHSKPVKSGMTKSKCDVCGKPTKGYTFLCTACAFQMHPCCAMLNTEIDFPNHPHPLKLTPSSTATSSAATNTDHSTSIVCNECKKRRSGKVYRCTVCNYNLHAFCAKSKVNGLQANGIRVPEKPSVLSAAAKVASQVVIEFIGGFVEGLGENLGEVLVQNITKGGSGTLTGANNTITKPRKQ >CAK8566116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381522270:381529518:-1 gene:gene-LATHSAT_LOCUS19279 transcript:rna-LATHSAT_LOCUS19279 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQPIVSGFSPKSSHTPSIPNGNQLFSLKFHSILSSSCPSLHSQNSEVLSGAYFPINIAKKGRCYSSRRRVWCSSSRGIADPNKGHGVRAQVTERRKKLVVFVSGGGSNFKSIHEASKRGSLHGYVIVLVTNKSKCGGAEYARNNGIPVILFPKANDESDGLCPNDLLIH >CAK8568508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612320522:612320854:-1 gene:gene-LATHSAT_LOCUS21458 transcript:rna-LATHSAT_LOCUS21458 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDEEYHGLIEVMDPLVRHTANQTGFGRYLELAIQCAEESASDRPTMSEVVKALENILQNDGLNTNSTSSSSSATDFRVTKGAAKRHPHIDNSFIEKDSVDESNALML >CAK8560895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:53819177:53819725:1 gene:gene-LATHSAT_LOCUS14534 transcript:rna-LATHSAT_LOCUS14534 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNAISRTLEITVISGENIHASESEDAYVVVRGESLDCYTTKTVKDNSSFLLWNEKFLLDMPLHARSVTFEVQSKKFKGVRPIGVARIAVVDFLNDSESENCMQVLSYRLRDWEGRKNGVIHFGVRVVVPEKISVTVAEKDTMVNGKSYSDRLTGMDYGGKSSNGVVIGIPFWGKYPNSIV >CAK8535149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817788650:817793902:-1 gene:gene-LATHSAT_LOCUS4625 transcript:rna-LATHSAT_LOCUS4625 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPTVVSDQDHQDFFYESHDRLLSLSSSCSCSNSNSDQEQEEDEDNNNNNHHDHHNNHHNTNNNNICNYNFALNSYDIWISQPSSVSERRTRLLQTIGLSSDPTLSRGNPIPPYNAMFRSKSDGYNNSNANESSYPSLNSSSSSVNCSLPGNCGGSPGKPPSGKNNSRRSNSGADFLPGSLGSAAEVVETTVEVSVSGEAECGEIERIERGDEEQACTIRDLDNGKEFVVKEVREDGMWNKVKEVGTGRQLTMEEFEMTVGHSPIVQELMRRQNNEETGDGIGGSGGGGGSGGGGSGNGSGNGGSSKGKKKGASWLKSIKSVASSMAGHKERRSSDERDTSSEKGGRRSSSATDDSQDGSFHGPERVRVRQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWRVVESERKGELLLMEKQEDVNVNMLFLVNGSPEPTLLSPLADNLPERKRKGRSSVSRKSLSLDQFVVPENVFALTEKPICSFQGHLHDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWIDLHEMVTAACYTPDGQGALVGSYKGSCHLYNTSENKLQQKSQINLQNKKKKSNHKKITGFQFAPGSSSEVLITSADSRIRVVDGIDLVHKFKGFRNASSQISASLTANGKYIVAASEDSHVYIWKNEADCRPNRSKSVTVTQSYEHFHCKDVSVAIPWPGMGDAWDMHDTFSGEQPELDNNNDEIASANHPPTPVEENFGVEGSHSASGFNSPHHATIASATNSYFFDRISATWPEEKLLLAARNHQSPRISTDISNGVSQKMSAWGMVIVTAGLRGEIRTFQNFGLPLRI >CAK8575861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:340915883:340918499:1 gene:gene-LATHSAT_LOCUS28089 transcript:rna-LATHSAT_LOCUS28089 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERDMKNMREYFRSGITKEASWRESQLKGLRRFLIEKENDIFEALMHDLGKHRVEAFRDEIGTLVKTLNLAIKCLKDWMSSKKANLPVGAIAFLTTAEIVHEPLGLVLIISSWNFPFGLSLEPLIGAIAAGNTAVLKPSDLSAASSSLLASGIANYLDNKAIKVIEGGPEESEQLLQQKWDKIFFTGSARVGRIVMSAAATHLTPVTLELGGKCPAVVDSLSSSWNLEATVKRIIVGKYGACAGQACIAIDYVIVEKSYCSKLVELMKVWIKKMFGDNPKDSNTIARIVNRQHLFRLKKLLTDPKVKESVVYGGSVDEENLFIEPTILVNPPLDAAIMVDEVFGPLLPIITVEKIEESIEFISSRPKPLALYVFTKNQTLQKRMISETSSGSVTFNDAILQYAADSLPFGGVGASGFGMYHGKFSFDTFSHQKAILRRSLLTDFWYRYPPWTPNKFQLLEVSYHYDYLGLVLVILGLKRSSKRQIVPC >CAK8566143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385098028:385098528:1 gene:gene-LATHSAT_LOCUS19304 transcript:rna-LATHSAT_LOCUS19304 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKYSVGKVYHYLKMDDPDVVWNHMFSTTIARPRALFTLWMACHRRLATKERLKKFGITTDDRCNFCNNEETIDHLFFQCPLFQSCWQDILRWMGIHRTPCDWREELNWIIHQCKGKGWRKCLLRSAIAETIYEIWKYRNHTVFGNTVQTMEIRDIVISTLANRG >CAK8538778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496200560:496202633:-1 gene:gene-LATHSAT_LOCUS7940 transcript:rna-LATHSAT_LOCUS7940 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIKQTTKKMTQLCRKIVQVDVRCGVLQRVSFVGHFFRFIWNKLMVCSLGSSSPQYTRLPLRGSSSSPPSPATVDDAFSHEQQHISNGYESDSDLVNLKISLLGDCHIGKTTFLIKYVGNEVEKRSLQMEGLNLMDKTLYVQGARISFCIWDVAGDKKSLDQIPMACKDAVAVLIMFDLTSRCTLNSVVGWYSEARKWNQSAIPILIGTKFDDFVRLPPDLQWTIVTQARAYARAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTIERNLKVGEPIIDF >CAK8531865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168158083:168170276:-1 gene:gene-LATHSAT_LOCUS1627 transcript:rna-LATHSAT_LOCUS1627-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSKDRFSLDQLRYLADQLTKVHIVNEVNKDFVIEALRSIAELVTYGDQHDPSFFEFFMENQVVGDFVRVLKLSRTISIPLQLLQTVSIMIQNLQSEHAIYYMLSNEHMNFLITYSFDFRNEELLSYYISFLRAIGGKLNKNTISLLVKTHNDEVVSFPLYVEAIRFAFHEENMIRAAVRAVTLNVYHVGDHSVNRYITSAPHTDYFSNLVSFFKKQCMDLNKLISDTLKNPGPDSKATITAAVDEIEDNLYYFSDVISAGIPDVERLITDSFLTLLIFPVLLPSLRIVAANEHVDQDIQSDVVTSLYLLCCILRIVKIKDLANTIVAALYYPLESLTKCFGGQVNGMRPDHGFTSEGDGIANDNLAKNNKKGLVVDVPCSPSSSGFHPPSITMLNNGSSSNVALREVLLEYVTNGADLQVFGSLSVLATLLQTKELDESMLDGLGILPQRKQQKKLLLQALVGEASEEEQLFSSETSLTRDGIACELDVYLEKIKEQYGVCFQLPNVIPSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLFPYSESQFNKNHLKLLKISYENSACALEKEVKGFWPDLLITVLCDEWRKCKRAMESSSPPKEPKCMLFPPRMLFSEEDIPEGSSFTAGERMHELVKVFVLLHQLQIFTHGRTLPEQPLIYRPYDHRMDSRAQTSGLVSVPKPGTEMNLVNAVPCRIAFERGKERHFCFLAISVGTSGWLVLAEELPLKKPFGIVRVAAPLSGCNPRIDDKHSKWLHLRIRPSALPFLDPVKYSPHGKLKTKAFVDGRWILAFRDEESCKTAFLMILEEINYLCNEVHRRIKPLLKLETVLDISSSSAPVSEDSSSYTTPPNSL >CAK8531864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168158083:168170276:-1 gene:gene-LATHSAT_LOCUS1627 transcript:rna-LATHSAT_LOCUS1627 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSKDRFSLDQLRYLADQLTKVHIVNEVNKDFVIEALRSIAELVTYGDQHDPSFFEFFMENQVVGDFVRVLKLSRTISIPLQLLQTVSIMIQNLQSEHAIYYMLSNEHMNFLITYSFDFRNEELLSYYISFLRAIGGKLNKNTISLLVKTHNDEVVSFPLYVEAIRFAFHEENMIRAAVRAVTLNVYHVGDHSVNRYITSAPHTDYFSNLVSFFKKQCMDLNKLISDTLKNPGPDSKATITAAVDEIEDNLYYFSDVISAGIPDVERLITDSFLTLLIFPVLLPSLRIVAANDIQSDVVTSLYLLCCILRIVKIKDLANTIVAALYYPLESLTKCFGGQVNGMRPDHGFTSEGDGIANDNLAKNNKKGLVVDVPCSPSSSGFHPPSITMLNNGSSSNVALREVLLEYVTNGADLQVFGSLSVLATLLQTKELDESMLDGLGILPQRKQQKKLLLQALVGEASEEEQLFSSETSLTRDGIACELDVYLEKIKEQYGVCFQLPNVIPSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLFPYSESQFNKNHLKLLKISYENSACALEKEVKGFWPDLLITVLCDEWRKCKRAMESSSPPKEPKCMLFPPRMLFSEEDIPEGSSFTAGERMHELVKVFVLLHQLQIFTHGRTLPEQPLIYRPYDHRMDSRAQTSGLVSVPKPGTEMNLVNAVPCRIAFERGKERHFCFLAISVGTSGWLVLAEELPLKKPFGIVRVAAPLSGCNPRIDDKHSKWLHLRIRPSALPFLDPVKYSPHGKLKTKAFVDGRWILAFRDEESCKTAFLMILEEINYLCNEVHRRIKPLLKLETVLDISSSSAPVSEDSSSYTTPPNSL >CAK8572943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572377464:572380247:-1 gene:gene-LATHSAT_LOCUS25445 transcript:rna-LATHSAT_LOCUS25445 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGICSIQLQALTQEAATVVKQAVNLATRRGHAQVTPLHVASAMLATSTGLLRKACLQCHSHPLQCKALELCFNVALNRLPASTQSPLLGPQYSSSPSLSNALVAAFKRAQAHQRRGTIENQQQQQHILALKIEVEQLIISILDDPSVSRVMREAGFSSTLVKARVEVEQALPVEVSSQKEICIKPPQALSLGGSNSFAKPIEYVNNDDVTSVLNELVKRRRNTVIVGESVSNAEGVAKGVMERFEIGNVPNELRYVQIVSLPLICFRNISKEEVEKKFVEVRSLVKSYMGRGVILYLGDLKWLFEFWSSYCEQKRNYYCSVEHMVMEVKKLVSGSCESTRLWLMGISNFKTYMKCKTCYHSLESIWELHPFTVPVGSLSLSLNFDSDYQAKERSMVLFKDLAFEDKVGVGKYLTCCKDCSMKFENEAQSLSNNSSKTACSSSLPTWLQSCKQERSYMMEDQENARLKDLCKKWNSICKSVHRKPSILDKQDLFVLSSTPSSPTSFSSLEKKSTFQQNHLNWPITSEQEKAPKECELLYTENAGGDDDDDDCYDGNLIMFMPHRNVPKPDLLSNPNSSPNSASSSEAVEGLESTEMFNEQNEENLKILCDALENKFPQYKETIQEIASTVLFCRSGMRKRDNHLIKRENHKQETWMLFLGDDSQSKENISKELAKVVFGSYSNFMTIAISTFSSLADDVNDSSSDEKSKRKRPRDELGSTYLQRFGEAMNENPHRVFFMEDLEQVDHFTQKGIKKAIQTGSLTIPDGESIPLKDAIIIFSSESFSSSMSKSQPSSSCAENKGKETMIEDHKNTLNLSLDLNIAIEDHENTDTSILELVDKKISFN >CAK8574645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4911578:4914772:1 gene:gene-LATHSAT_LOCUS26972 transcript:rna-LATHSAT_LOCUS26972 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDTLFLDKFLQNLTSNKSTSQSTTTSAKSIIQAWSELRNALQFSSFNQHHHQHLQTLVNSQTSLHVADPQAKLLLSILTSSNFSLPLDSLPLCFRLLYIWIRKSTKPTKPSFDIIDSVVEVISKLYLSSSPQFDHVLFSETILLLGAFSFVHALSENTKNLCLDIFCKLLVENCRLVCLVDEFVPSLLAGIGYALSSSVNVHFVRILECLFGIWGEGNDGPRGSVAHGLMILYLIDWVASNLISFGFLDKANVFAREIFGSFKGKYASFAVFMSGIGVLRVSDRYASSTGVKLDVVVRMRTSATIRVEGLVSDLLSRTLGFSNSGSDLQDRLLLQCVSIGLTRTVSFSGNSSLFVCLGLSLLTEVLPLPRLYESVFELSPSSGELKVNEIKEHLDNILFKEAGAVTGVFCNQYVLADEENKNIVENLIWEYCHNIYYGHRKVAMHLKGNYDELLKDFEKITESAFLMVVVFALAVTKHKLSSKFDQEIQTEVSLKILVSFSCVEYFRHVRLPEYMETIRKVIASVNKNERACMFFVNSIPSYGELTSGPDQKTKYLWSKDEVQTARVLFYLRVIPTLIECLPAPVFGDMVAPTMFLYMEHPNGKVARASHSVFTAFMSMGKESEKHDGVSLKEKLVFHYIQVSLSGYPGITPFEGMASGVVGMVQHLPAGSPATFYCIHSLVEKANQLCSEVFTHEADAWKQWQGEPEPSKKLLDLLLRLVFLVDIQVLPNLMQLLAQLITKLPQDAQNIALNELYSQVADSDDVVRKPMLVSWLQSLSYLCTVASNRSAASQKSKSEDPLSGGRITAHL >CAK8533720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653554090:653555447:1 gene:gene-LATHSAT_LOCUS3322 transcript:rna-LATHSAT_LOCUS3322 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASLIRTIVGIVGNVISLGLLISPAPTFYKIIKKKDVEEFKSDPYLATLLNCAFWVFYGMPFVHPNSTLLVTINSVGFVFEIIYLTIFYIYATNKGRKKIFIVVLVETIFFVVIVLITLFALHGTAKRSLVVGILCDIFNVMMYTSPLTIMAKVIKTKSVKYMPFWLSLANFLNGLSWTIYAILPPFDIYVLISNGIGAVSGLVQLILYACYCSCNGESNEDDNKKDDLEMKPTLVTQVSLNNGIGEAKR >CAK8565605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:290885362:290885874:1 gene:gene-LATHSAT_LOCUS18802 transcript:rna-LATHSAT_LOCUS18802 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRVFLFVLLGALVCSSIDARKLGSDKVLRDEKNFYHHPGFGGGSGAGGGGGFGGGGGSGGGLGGGSGSGFGAGASAGGGSGGGLGGGGGFGGGGGGGFGGGGGVGGGSGFGGGSGFGGGAGAGSGLGGGGGGGFGGGGGSGGGLGGVGSGGGFGGGAGGGIGGGFP >CAK8567769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543016988:543020644:1 gene:gene-LATHSAT_LOCUS20792 transcript:rna-LATHSAT_LOCUS20792 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFKMRVMRVFLVFLLFLVTVTVTAVNPSLNDDVLGLIVFKADIQDPKGKLTSWNEDDESACSGSWVGVKCNPRSNRVVEVNLNGFSLSGRIGRGLQRLQFLRRLYLANNNLTGSITPNIATIDNLRVLDLSNNNLSGVVPDDIFRQCGSMRVVSLAGNLFSGNIPSSLGSCSAVATIDLSFNQFDGGVPKEIWSLSGLRSLDLSDNLLEGEIPEGVEALKNLRSINLARNSFSGKIPYGFGSCSLLRSIDFGENSFSGSIPSDLKDLVLCGYFSLSGNAFSGDVPEWIGEMKSLQTLDLSRNRFSGLVPDSLGKLWLMKTLNLSGNGFTGNLPESMVNCTNLVALDVSQNSLSGDLPSWIFGSDLEKVSVADNKMSGRVKSPLYSLKEVVVQSLQVLDLSHNAFSGEITSAVSHLSNLQVLNLSYNSLGGLIPASIGDLKTCSSLDLSYNKLNGSIPREIGGALSLKELILENNFLTGKIPISIENFSSLTTLILSKNKLSGSIPAAVAKLTNLKTVDISFNNLNGSLPKQLANLPNLLTFNLSHNNLQGELPAGGFFNTISPSSVAGNPFLCGSVVNKKCPVRLPKPIVLNPNFSGDSDPGSPTPMSGHKRNILSISALIAIGAAAFIVIGVIGITVLNLRVRAAASRSPVALDFSAGDDYSRSPTTDANSGKLVMFSGEPDFSSGAHALLNKDCELGRGGFGSVYQTVLGDGRSVAIKKLTVSSLVKSQEDFEREVKKLGKVRHQNLVELEGYYWTSSLQLLIYEFVSRGSLYKHLHEGSGENFLSWNERFNVILGSAKALAHLHHSNIIHYNIKSTNILIDSYGEPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEIVTGKRPVEYMEDDVVVLCDMVRGALDEGRVEECIDERLLGKFPVEEVIPVIKLGLVCTSQVPSNRPEMGEVVTILELIRCPSEGQEELLE >CAK8538458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483194611:483195401:-1 gene:gene-LATHSAT_LOCUS7650 transcript:rna-LATHSAT_LOCUS7650 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDVAMGMNSSGTDAVNGNVSAEKAADRALSSPELKNLLRKPRNPYRGIWKRPWGRYAAEIRDPWKIARVWLGTFDSAIQAAWAYDAAAISFHGAKAKTIFPIPPEALAALLPPPPPPPVNRRPRPPVLTKADNTVYVNYPTSSGMPRPPVLTKADNTVYVNYPISSGMSSTVESFSGPRVGSSSTYRVHVFVPINPRVFSLLLVHTKIMALR >CAK8538054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460659418:460661542:1 gene:gene-LATHSAT_LOCUS7294 transcript:rna-LATHSAT_LOCUS7294 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYKDESPAVRVYTVSDESRYLIVRNVPALGCGDDLLQLFSSYGEVEECKPMDAEECEQFTDVYWIKFRLFNNARFAKRKLDEFVFLGNRLQVSYAPHFESLSDTKDKLEGRRREVLARLNPRRSKETIASSSRPLIASNTSFPSEPLDTNSRKAEFEERPNNSILPKRTVSSNEDYFSSHSMNQTVRIVRDKLDKIESSGGHLEAGSTSKKARVDNRRRI >CAK8567456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515799148:515800538:1 gene:gene-LATHSAT_LOCUS20509 transcript:rna-LATHSAT_LOCUS20509 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLNQTLIDEVQDELANERDVQEGHQDHREENDDMISREPIRDNVVDMEVSLKRPRKLKSLETCNWTTVMDEVLLDAYLHQQTLRNKNAKPEAAEWKNKPILFYDKLAKLFGKDQAIGEHEGTTAEMRAKKDANVEKSHGTTIKEIYHLVETNEVILEGCDDDEHHSNNSPTRPSITNSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKKMVDVFEMNSMELVKQSKNANGGNIWAELVEIGVEPSSLPLVYMYLVKNADALKAFNEIPIDKRKEMLHLIVPDYPF >CAK8573199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593002607:593004774:-1 gene:gene-LATHSAT_LOCUS25674 transcript:rna-LATHSAT_LOCUS25674 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYYCSIIFCVVLFLSFGNSLASSIEDQKRDKISWLPGQPGNVGFEQYSGYVTVNEQNGRALFYWLTEAPLSRAPNSRPLVLWLNGGPGCSSIAYGASEEIGPFHIKSDGKSLYLNPYAWNNLANILFLDSPAGVGFSYCNKTADLYAFGDQKTAEDAYIFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLAQIVYRRNKGVNNPVINFKGFMVGNGVTDDYHDYVGTFEYWWTHGLISDSTYKILRIACDFGSSQHPSVQCMQALRLAVAEQGNIDPYSIYTPPCNNTSSLTRSLNGRYPWMSRAYDPCTERYSDLYFNRPDVQKALHANVTGIPYTWKTCSDIVGNYWTDSPLSMLPIYHELINASLRIWVFSGDTDAVVPLTATRYSIDALKLPTIVNWYPWYNSGKVGGWSQVYKGLTFVTVRGAGHEVPLHKPREAFILFRSFLENKNMPSSS >CAK8567477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516760107:516763301:1 gene:gene-LATHSAT_LOCUS20524 transcript:rna-LATHSAT_LOCUS20524 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKNSRGGDGRNSSNYCSTVPVAVFVAFCLVGLWIAMSSLVPVQDSVMEVSETINEVKNIANQTDSTKIKDSLGDIRIPDESATRDSQTPESRSERHSESVETETMNGQIDDNQLKGSEETLDESKSDKSLDDSKLETENGLGEITQQDEIVGESKEEKINESQQSMTNQSTGDSNIESNKTLKEETLVEARIENGTWSTQVAEAKRDKEPEKYSISIDSSKYDWKLCNTTSGSEYIPCLDNWKAIRKLRSISHYQHRERHCPNEVTTCLVSLPEGYRSPIRWPKSREMIWYNNAPHTKLVESKGHQNWIKVSGEYLTFPGGGTQFKYGALHYIEFIQNSLPNIAWGKRSRVILDVGCGVASFGGYLFEKDVLTMSFAPKDVHEAQVQFALERGIPATLGVMGTKRLPFPGSVFDLLHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKDPENAGIWKDMRAITKSMCWDLVVIAKDKLNGVAAAIYRKPTDNKCYEKRPKDEPPMCIESDDPNAAWNVSLQACMHKVPVGASERGTIWPEQWPLRLEKPPYWLNTQTGVYGRSASVEFTADYKHWKNVISHSYLNGMGINWSSVRNVMDMKAVYGGFAAALRALKLNVWVMNVVPVDSPDTLPIIYERGLIGIYHDWCESFSTYPRSYDLLNADSLFSTLKERCNIVAAIAEVDRMLRPEGYLIVRDNEETIGEIENMAKSLHWDIRFSYAKNGEGWLCLQKTFWRPTKVETVVSAIA >CAK8562239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460012554:460014026:-1 gene:gene-LATHSAT_LOCUS15752 transcript:rna-LATHSAT_LOCUS15752 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQNAKNILLVLCLAVCVGMCQSDIEEDAKVAAGDIKEGATTAYNEAAEKTESFAKWAYDKLSGQFGTKEEADKIHQNEKFSFSDVASEISNSFKKAAPETSNSAEKAASESNRKAKGAYKETQKQTNKAGDAIGDAANAAKDKAKDGYGEAKKTTNKASDKVEDTADAAKNKTKDAYGETKKHAEKASDKVGDTAKDAYGETKKHAEKASDKVGDAAGSAKNKTKDVYDDAKKHAEKASDKVGDTAKDAYGETKKHAEKASDKVVDAADAAKNKTKDVYGDAKKHAEKASDKVGDTAKDAYGETKKHAEKASDKVGDAAGAAKNKTKDVYDDAKKHAEKASDKVGDTAKDAYGETKKHAEKASDKVGDAAGAAKNKTKDVYDDAKKHAKKASNVADDTVDDAKDNAKAAYN >CAK8537632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:411913753:411914058:1 gene:gene-LATHSAT_LOCUS6901 transcript:rna-LATHSAT_LOCUS6901 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSKYQMVLETYSLILIVDNGHTDTITRLKMFWVITLQCQVMDEKLIHLLRWLNAVASEAEKDTKLEHSVAFVDELEDEVTDICCLLLQVKVLLLLISS >CAK8542446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515368711:515369073:-1 gene:gene-LATHSAT_LOCUS11285 transcript:rna-LATHSAT_LOCUS11285 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSVIPWKFLEGLGESSGIKNAIKKAVNLEKQTKSLVEAVNNVCNILLSQLLKLCVKGDMLAIVIPEEEYHLGLEACKHNLHGRIFWPKCVTSLMVQSLKSKLLSLWNSIGKMGDHFSR >CAK8530915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63083050:63086127:1 gene:gene-LATHSAT_LOCUS743 transcript:rna-LATHSAT_LOCUS743 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSTTMKWVFFMFVALFLFHMAFATTAEDGLVPNGDFEASPSNGFPNEAIVEGPSEVPSWKSNGTVELVESGQKQGGMILIVPQGRHAVRIGNDAEISQELPVEKGSIYSVTFCAARTCAQFESINVSVSSASQTIDLQTLYNVQGWNPYAVSFNADEDSFKLVFKNPGMEDDPTCGPIIDNIAIKKLFTPDKPKDNAVINGDFEEGPWMFRNTSMGVLLPTNLDEETSSLPGWIVESNRAVRYIDSDHYAVPQGRRAIELLSGKEGIISQMVETKPEKAYTLTFSLGHADDKCKEPLAVMAFAGDQTQNIHYTPNSNSTFQVSNLNFTAKADMTRIAFYSVYYNTRSDDMSSLCGPVVDDVRVWFSGSNGLRGLGFVRLGLGILGLVLVLV >CAK8577980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599902297:599903914:1 gene:gene-LATHSAT_LOCUS30034 transcript:rna-LATHSAT_LOCUS30034 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNHPLPFLFLIITLSCSFNFSTVKSSTFNVIKFGAKPDGITDSTKAFLNAWTMACSSPYPAAIYVPQGKFLLGTVTFSGNCANKAISITIDGTLIASSNYRAASKGGSTWLSFQHVDGVSIRGGVLDGQGTALWNCKNSNKRNCPIGATTLQFFGSKNIMITGLTSINSQMFHIGFYGCQYVKTQDVNIVAPGNSPNTDGIHVQMSSYVTIIHSKIRTGDDCISIGPGTNNLWIENIECGPGHGISIGSLGWKSNEAGVQNVTVKTVTFTGTQNGVRIKSWGRASNGFARNIFFQDATMVNVQNPIVIDQNYCPNNKNCPGQASGIKISDVTYQDIHGTSATQVAVKFDCSSKYPCNGIKLKDVKLTYKNQIAEASCNHAGGTALGFVQPESCL >CAK8574767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8781796:8782164:1 gene:gene-LATHSAT_LOCUS27077 transcript:rna-LATHSAT_LOCUS27077 gene_biotype:protein_coding transcript_biotype:protein_coding MESTENQSSFLHQIIPPRLEDAGLEDPALPPELIHEAFLKAAAAVKSGAASIFSSNDDCLDDPTPAEDDVSDVVDVIEPENKAPGPCVDGLQGLDIKEKEGLKESEEKEKKEKKPILVGSYV >CAK8542194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487421075:487424464:-1 gene:gene-LATHSAT_LOCUS11054 transcript:rna-LATHSAT_LOCUS11054-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMERLLMALEDPVVGGESVLGSIKIAVLPIVKVFTMCFLGLLMASKYVNILPASGRRLLNGLVFSLLLPCLIFSQLGQAVTLRKMLDWWFIPMNVVLSSIAGSIIGFIVASIVRPPYPFFKFTIIHIGIGNIGNVPLVLIGALCRDPNNPFGDSLKCSTDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDHERLLLKSSTAKTDGSPEQTPLIAQEEDEENHLADSSNSGKSMIKVILALIYDKLKLKQILQPPIIASILAMTLGAVPFLKKLIFTPDAPLFFFTDSCMILGEAMIPCILLALGGNLIDGPGSSKLGFRTTAAIVFARLVLVPPVGLGIVTLADKLGFLPPNDKMFRFVLLLQHSMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVISMAGWIVLYLSILF >CAK8542193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487421075:487424467:-1 gene:gene-LATHSAT_LOCUS11054 transcript:rna-LATHSAT_LOCUS11054 gene_biotype:protein_coding transcript_biotype:protein_coding MMATMERLLMALEDPVVGGESVLGSIKIAVLPIVKVFTMCFLGLLMASKYVNILPASGRRLLNGLVFSLLLPCLIFSQLGQAVTLRKMLDWWFIPMNVVLSSIAGSIIGFIVASIVRPPYPFFKFTIIHIGIGNIGNVPLVLIGALCRDPNNPFGDSLKCSTDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDHERLLLKSSTAKTDGSPEQTPLIAQEEDEENHLADSSNSGKSMIKVILALIYDKLKLKQILQPPIIASILAMTLGAVPFLKKLIFTPDAPLFFFTDSCMILGEAMIPCILLALGGNLIDGPGSSKLGFRTTAAIVFARLVLVPPVGLGIVTLADKLGFLPPNDKMFRFVLLLQHSMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVISMAGWIVLYLSILF >CAK8537016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:57734129:57771847:-1 gene:gene-LATHSAT_LOCUS6336 transcript:rna-LATHSAT_LOCUS6336 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGAIVTSAMVTAQFLEMGGNTLFKAATNDGMSIYVFMFYSNLFALCFLLPSTLFYHRKRAPPPISSSIYCRLFLLSCLSTLVQILMNTGIRCSSPTLSSAMVDLVPAFTFILAVISRMENLNLKQHSSQAKIIGTVVSIAGALTLTLYKGISLISDAFQNIKMGASDTYLTENSQWILGAFILAAASFCLSVLYIVQTWIIKDYPEELLVTTICCSMVVIQSAIVAFIADRNSKVWILKPDKKLVSICYSAVFVVSMRSVVYTWAFRKKGPIYVAMFNPLGMIIALAMGVIFLGDNLYLGSMIGAAIIAIGFYAVMWAQAQEEHKTCQDNIFTSSLAPLLSD >CAK8573542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618759959:618760576:-1 gene:gene-LATHSAT_LOCUS25978 transcript:rna-LATHSAT_LOCUS25978 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNCTSQDMASMATQICNQIASIFSNSTHPYPPPLDLLVTELSTIATQKTRVFLYGVGREGIMLKALCMRLAHLGLSAHLVFDMTTPPITSGDLLIASAGPGGFSTVDALCSVARSKGGRVLLLTAQPETGSCVKHASVVAYVPAQTMANDADEGNVKSRPLLPMGSVYEGALYVLFEMVVYKLGEVLDESPEAIRSRHTNLE >CAK8559938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2016227:2038196:1 gene:gene-LATHSAT_LOCUS13670 transcript:rna-LATHSAT_LOCUS13670 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGSSRMMANFSSTASNQIRFLLNSLNEVNFDSVLQQLSQFTEFGTVGCILLLQTCLDHLSYVRRDRKDMQHEPILGAVVKYLLDKPNFSTVFSESMKNVDINESFLESFCNGLQLSLLEKIAISLALSDSENPDARLCGKNFCMAQIEELFTNPGSLSFHEQIHSVIMFLKQSEGLSEHVDSFMQIISLVQFNDTPPFVLTPMLPDEMHGADFLRWNMEFIHESEENDFDAILADIQKEMNMGDLVKELGYGCTVDVSQCKELLSLFLPLTDNMLSKLLGAIAHSHAGLEDNQSTFLTFGAALGYNNLSELPPLNSWNIDVLIDTVKSLAPQTNWVRVIENLDHEGFYLPSEEAFSFLMSVYKHACKEPFPLRAVCGSVWKNTEGQLSFLKYAVTAPPEMFTFAHSARQLAYADAIHGHKLQNGHANHAWLCIDLLDVLCQLAEKGHASVVWSILDYPLKHCPEILLLGMSHVNTTYNLFQREVSLIVFPMIVKSDVGSGMILHLWHINPNMVLRGFMDSQNNDVGSIVRIVDICQELKILVPVVEVIPYYYSIRLAAVASRKDILDLEKWLSNNLTTYKDAFFEECLKFLKEVQSVGSHNLSDQSFHLSGGVLNFFTETTATFLKVLKSHTDLVTSGLLSEELESLHISIIDSNPRLQNSETTNSPTSTQFPNDVEDKANAIFQEMFHDKISVPSVVQTLIRFSESSVKRDNMIFECMIANLFEEYKFYPRYPEMQLKIAGLIFGSVIKHHLVTHLSLGIALRYVLDALRKPADSKMFMFGSLALEQFVDRLIEWPQYCNHILQISHLRSTHSEIVAFIEQALARISSGHTDVDVTTHASAVISNHSSAQATLSHVELSGSSIMQPGQQHLSMQLQQRRENPLDDSHKTSVGSLTDMKPPLASLGQSSVIIPAETSSGNKLHNTASASSMLSSSSGFVRPSRGTTSTRFGSALNIETLVAAAEKRETPIEAPGSEVQDKISFLINNISFTNSEAKAKELTEILKEQYYPWFAQYMVMKRASIEPNFHDMYLKFLDKVNSKALNRAIILATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQNSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLYKNLGVDMKDVTPTSLLKDCKREIEGNPDFSNKDVGASSQMISDIKSGLVPPVNQAELPLEVTNPSNSGAHQHMMSQYAGPIHISSGALMEDEKVAPLSLSDQLPSAQGLLQANTAPAPFQLPTQIHTIGTHVIINPKLSGFGLQIHFQRAVPIAMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYAMESEEKRIKNAAHLMVASLAGSLAHVTCKEPLRTSISNQLKTSLQSLNIGNEILEQAVQLVTNDNLDLGCAVIEHAATDKAINTIDTEISQQLSLRKKQREGMGSTFFDANLYPQGSMGGVPEPLRPKPGQLSLSQQRVYEDFVRLPWQNQSSQSSHSMSASVSVQSANSGITGTSAPASGQINPGYSLTTGYEGLSRPIDDMPEPNFTPHYSASSINIRAADNVSQHSMEKDSVASFPSTASTPELHAVDSSDAVKESGASSQPLVSSGAVERIGSSFLESSLSTRDALDKYQIVAQKLEALVNNDSRGAEIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYDNASNSVHVYAYLAILTAIRDVCKLAVKELTSWVIYSDEERKYNKDITVGLIRSELLNLTEYNVHMAKLIDGGRNKAATEFSISLLQTLVIEEPKVVSELHNLIDALAKLATKPGYPESLQQLIEMIKNPVALSASNAGKEDKSRQSRDNKGPSLLVANREELNIVDSVKPDPVGFREQVSNLFAQWYRICELPDAIDASATHFIVQLHQNGLLKGDDMTDRFFRLLTEIAVAHCLSTEGINSGALQSPQQMLSMSFLAIDVYAKLVFSILKGSSKLFLLSKILAVTVRFIVKDAEEKKVSFNPRPFFRLFINWLLDLGSLEPVTDGANLQILTGFANAFHALQPLKVPGFSFAWLELVSHRSFMPKMLTGNLQKGWPYIQRLLVDLFQFMEPFLRHAELGDPVRVLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAALKAKQMKADIDEYLQTREQPSPLLSELKEKLLLSPNEAASAGTRYNVPLINSLVLHVGMQAIEQLKSRAPHAQSPQTTYGLAVFSVGAALDIFQTLIVDLDTEGRYLFLNAVANQLRYPNTHTHYFSFVILYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNLRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVEESMVSGWV >CAK8538369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479236193:479237884:-1 gene:gene-LATHSAT_LOCUS7580 transcript:rna-LATHSAT_LOCUS7580 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDNGKEEIEECNNNNNNGSKAEEFTSIDISTSRRTLVTGENPDRKFPGKLSGIPNRMNFLKFGSASVKFKRLATLMDQASQSVPSPSSHSLRERFSGIFAKKLDWNSIKKMSMEWIRNPMNMALFAWILCVAVSGAILFLVMTGMLNAVLPKKSARNAWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWNPKDIAKLRKEYCKNGTYKPHEWMHIMVVVILGHVNCFAQYALCGLNLGYKRSQRPAIGVGICISFAIGAPAIAGLYTILSPLGKDYESGSDEESQVQITADKKPEQMRVTSLERKYSFAPKDKRRTVENRPNWSGGILDIWDDISQAYLSLFCTFCVFGWNMERLGFGNMYVHIATFMLFCMAPFWIFILAAVNIEDDTVRQALVGAGIVLCFFGLLYGGFWRIQMRKRYNLPTYDFCFGKPAVSDCALWLCCCWCTLAQEVRTGDAYHIVDDKFFSKELSTVDQQPISPLRREGVASTKSGTSSPLGANSSPSTFKPSSPLSSSSFFTEHHSPNGSLSTLKEELSEKDKDVTMTPPTPPLIERESA >CAK8579168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681136199:681136804:-1 gene:gene-LATHSAT_LOCUS31134 transcript:rna-LATHSAT_LOCUS31134 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYPNQESTPSSQSHDNQCVSNKRERYTLWMKSLVLHSNGCTVYDSNGNIVYRVDNYDTKGGREVNLMNLKGNVVCTIKKRLLAFRCWEGHKYHSSISDSRSHEEQPWFQVKRCLRGKTACQIKVGSQILSIERMSNGKSFGFRIINKNGEIIAEAKQKQSSSGVVLSNDVLTLDLEAGTDHSLTMALITVYGLICGKM >CAK8536222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:918868337:918868599:1 gene:gene-LATHSAT_LOCUS5612 transcript:rna-LATHSAT_LOCUS5612 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPPPPPLSTPLLGPAPPIGGFLDSCLWFLCCCGLLSCCFPPIYEPGPPPPPL >CAK8533090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576974454:576976137:-1 gene:gene-LATHSAT_LOCUS2740 transcript:rna-LATHSAT_LOCUS2740 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIYFLVILVFLSSFRFTFESNILESKYVSVFEQKSLDLKLREAESKKIQITISKDGNSNFTTITAALSSIQPPNNRRVILLIGPGVYREKIVIPQTLPFITFLGETRNEQTISWNDSSSIIGRDGHPLGTFNTPTVAVNADYFMAINITFENSASYFGKKVEQAVALRISGNKSAFYNCTFRGVQDTLYDHKGLHFFKNCFIEGSIDFIFGFGRSLYEECTLNSIAKNIGYITAQKRSSSSLDTGFSFKNCTVKGTGQVYLGRPWGEYSRVIYSYTNMKEIVLPKGWEDTMNGTHYPKTIYYGEYKCSGPGSNFSGRAPWARNLTDEIDFIEGETWLINPN >CAK8566626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443701049:443702427:1 gene:gene-LATHSAT_LOCUS19744 transcript:rna-LATHSAT_LOCUS19744 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLKIHVQRGVNLAIRDVKSSDPYVVIKMGKQKLKTRVVKKNLNPEWNEYLTLSISDPKTPIHLHVYDKDTFSLDDKMGDAEFDIGPFVEAIKMRLEGLPNETIVTKVMPSRQNCLAEESHIIWKDGKVFQNMVLRLRNVECGEVELQLHWVDIPGSRGM >CAK8534659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753562561:753562950:1 gene:gene-LATHSAT_LOCUS4186 transcript:rna-LATHSAT_LOCUS4186 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSIPLNIFFFHQTQTTPTSSFLHHQTVTFFRRKTTLKTACSGSQQNPQQRQSQRKKKLFNTNDTDSDGEKGYDPVGFLVKRGISHKAFAQFLRERQVIWFPFCRISVWIIWVCVVFVLLLESFIL >CAK8571126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308277691:308278440:-1 gene:gene-LATHSAT_LOCUS23817 transcript:rna-LATHSAT_LOCUS23817 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPCDATKLKESADHYKNFGFDFESNMVYFGAGLKFLHGGSLLESSHNETSKYGEMSQMQIYATAAKLFKSCAHEYESRQETAAAALAYKCMEVVYMRVVYCKHSSTNRDRCELQSTLQVVSQGESPSSSASDVDNLNNQVVMDKATLPKVTNAHVAGNHVISVRTRPSLDRLLDFTQDINFAMEAATKCHSTFSAANAMMEETRNRDCITSIKRVIDFSFQDVDELVRLVRNATKAISGASLGGTRD >CAK8571854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483592163:483594311:1 gene:gene-LATHSAT_LOCUS24477 transcript:rna-LATHSAT_LOCUS24477 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYGISLDGEDANGCFLCDDIMPGALHRFLSRFVKIQHDGGSEASANAADKEKGEASANAADKEKGEASANAADKEKGEASANAADKEKEPASVKVSQKRKGDDVVLRSCEADAKNKDILKEEASEAIARFFYNNAIPLKLVESKELVDMYNMISRLGVGYEPPSADEIREKYLTKVGKSTDKVLEEHRAVWKTRGCTIMVDAWTDNKRTILNLFANSLKGKYFLKSIDASHMLESETPYELFNMMDDIVEEVGEENVVQIVTDNTPFYKAAGEMLMEKRTRLYWTPCVTHCIEMILEDFKKKIPIYGNTIAQGKIITTFIYSRDSIVSLLHSFTYGIDLVKTSITRCASCYLTLDCLYENKGVLRKMFQSKGWKSSEFAKTIGKLVEDLVFDNEFWKNVLICLNGANPLIQVLRLVNSIGEPATGFIYEAMEQAKEEIRSKLSIESFMPLCKIIDERWDNQHLNPLHAAGYFLNPQYQYCIGFSDDNIITHGLHHCITRMAGSPEERTKIEIQLDDFERRTYLLGDPVAIMTAGYEIPTVWWADFGGGLPELQSLALRVLSSTCSSYGPESNQSAFKMVYPKRRNLLRQESDNNAVFVMVNSKLGEKRQARRSVELSLDDNGDDEGLDADHLEYEMISDLHGEYANGDEDQIEACWC >CAK8577389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559530604:559538309:1 gene:gene-LATHSAT_LOCUS29502 transcript:rna-LATHSAT_LOCUS29502 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQIQPHTSLSSPFFSSISPFSLLRRNQMVRTNPRFVVRASPKKISFGKECRENLQAGIDKLADAVSLTVGPKGRNVILSESGKLKVVNDGVTIAQSIELSDAIENAGAMLIQEVASKMNDLAGDGTSTAIILARAMIKSGLSAVAFGANPISLKKGMEKTVKDLVKFLKKRSIPVEGRDHIKAVASISAGNDEYVGNLIAEAIEKIGFDGVVTIESSSSSETSVVIEEGMKIDRGYMSPHFITNQEKSIVEFDNAKVLVTDQKISSVKEIVPLLEKAMQLSAPLLIIAEDIKPKVMETLIVNKMKGLLQVAAVKCPGFGDGKKALLQDIALMTGADFLSGDLGLTLEGTTSDQLGTAQKVTITSNTANIFADPHTKAEIQARILQIKKDLTETDNANHSRKLSERIAKLSGGIAVIKVGAHTELELEDRKLRIEDAKNATFAAINEGIVPGGGAAYVHLLDLMPSIKNSIEDLDEQIGADIVAMALVEPAKSIAANAGVDGDVVVEKTRTFDWRIGYNAMTDTYEDLLNAGVADPSRVARCALQSAVSIAGVVLTTQAILVDKIKKRKPLVPMVPGINP >CAK8539694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521829325:521835398:-1 gene:gene-LATHSAT_LOCUS8770 transcript:rna-LATHSAT_LOCUS8770 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTLPFPTLPSATHRPIFNPRAAFGFRCFHTSAHLPTLRGSPLFSANTLTANSVPPKNGVYTVGDFMTKKDELQVVKPTTTVDEALDSLVEHRITGFPVIDDNWKLVGVVSDYDLLALDSISGHGKTDNSSMFPEVDSTWKTFNEVQRLLSKTNGKVIGELMTTAPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGIITRGNVVRAALQMKRESQKKA >CAK8579095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674290447:674299656:1 gene:gene-LATHSAT_LOCUS31065 transcript:rna-LATHSAT_LOCUS31065 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDNQLSAMEVDDPKSTSSDQIVPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYAKRTLTEANVTEVRFLHVILYSAERAWSHAMEKRQLPNGPNASQRIYLIGRLRKAVKWATLFSQLCAVKADSRTSLEAEAYESYMKGSLLFEQDQNWDVALKHFKSARAVYEELGKYGDLDNQVLCRERVEELEPSIRYCLHKIGQSNLQTSELLNIGDMEGPALDLFKAKLEAAMAEARSQQAASMTEFHWLGHRFPISNAKTRVAILKAQELEKDIHGPLAENISADKRLVIFDKIFSAYHDARGYIRADLATAGSAESVKDDLNGLDKAVSAVLGERTIERNLLLVKIAKSKLAKRNDDKNEKVTKPEELVRLYDLLLQNISDLSDLVSSGRDQKPEEVSFAEECSFKSLAFRAERCFYVAKSYSVAGKRAEAYALYCRARNLAEDALRKSQTLDGSNKTVLKELEVLCNEARSNSCIEHALGIMEEKRTQENISEGISNISLTGAERSEKFLLEKLDVYESAVGDPNVKSAPRIAPFPPAFQAIARNPIVLDLAYNTIEFPDIEHRLKKDKRAKGGFISRIFG >CAK8535505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855279355:855280196:1 gene:gene-LATHSAT_LOCUS4960 transcript:rna-LATHSAT_LOCUS4960 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKMISSLKLSHSNSASPLQAPNQLVSSGVSRSFPTKKFNIPTTRQFSASSKNTTTAAFFSNNQKEHQQSSKPGKVQELFVYEMNERDRGSPAYLKLSQKSTNSLGDLVPFSNKLYSGNLEKRIGITAGLCILIQHVPEKKGDRYEAIYSFYFGDYGHISVQGAYLTYQDTYLAVTGGSGIFEGVHGKVKLQQIVFPFKLFYTFYLKGIPDLPHELLGNPVEPSPNVEPSPAAKATQPHASLSNFTN >CAK8536392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937417349:937417588:1 gene:gene-LATHSAT_LOCUS5766 transcript:rna-LATHSAT_LOCUS5766 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMLVMFFSSLLICSLFTQNVDAGMIGNGAMGRNPITCNKNNPKCIPAPANPYNRGCGSIEKCRNNGGSSPPVHKGV >CAK8569065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667745273:667745851:-1 gene:gene-LATHSAT_LOCUS21957 transcript:rna-LATHSAT_LOCUS21957 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTTPKSNDIYLKLLLKLYRFLVRRAPGNFNAVILKRLFMSRVNRPPLSLSRLIRFMKGKEGKIVVVVGVVTNDIRVYDVPAMKVTALRFTETARARIVKAGGECLTFDQLAIETPLGQDTVILRGPKNAREAIKHDEEPQNSRRPSQPCATISPKANNHYGATSHPTCCTHNIGKKR >CAK8579522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705416219:705417739:-1 gene:gene-LATHSAT_LOCUS31463 transcript:rna-LATHSAT_LOCUS31463 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEKMLVTFLICALLPNITQVNANFSKSMYLTWGVQHSSIVGEDLHLVLDKTSGSAARSKRSFLFGSIEMLIKLIPGNSAGIVTAYYLSSTGSHHDEIDFEFLGNSSGQPYTVNTNIYTQGKGNREQQFHLWFDPSANFHNYTIHWNPTEIVWYVDSIPIRIFRNYENQGIDYPNKQGMRVYTSLWNADNWATRGGLVKTDWSNAPFKVGFQHFRARACKWNGAVSINQCASNVKANWWKSSIYKQLSYGKIRQLNLVRKNFMIYDYCKDYKRFNGHIPHECFKTQS >CAK8562292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:467992828:467995086:-1 gene:gene-LATHSAT_LOCUS15800 transcript:rna-LATHSAT_LOCUS15800-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSKQNKRTEDGEDETNRGDSTMFESDVVDLPLAKSNDDQHLVKNNISEDGEHDKKKKKKKQSEERKHNEYDQFKGDEFEDGDDGTEMKKKRRLIEDGEQCKKLKKKKKKLSEESKQNDYKEVKSNECEDDDQGKKMKKKKLIEGNTLNIRNDFSSNEGEDGGQAKKLKKKKKQSEESEQKDYKEDKSNEIEHADHEKKVKKQRKLIEGNILNVRNDYSSNGGEDGEQAKKLKKKKKKLSEESEHKDYNKVKSNECEEDDDHEKKVKKKKKLIEGEDGGQAKKLKKKKKLSEESEQKDYKEDKSNEFEHTDHEKKVKKQQKLIEGNILNARNDFSSNGGEDGEQAKKLKKKKTKLSEGSEHKDYNKVKSNECEEDDDHEKKVKKKKKLIEGGRLEECNDVTSNGDGDQGKTKKKKNKPSHKRKSKDNDFNSNQGEVNNQGKKTKSSGSKQVTFSNQVEEFCCDGLVRGKRFTPEEDEKIKAAVYDYIDSHGLGDEGLDMVLHSASHPSVRGCWKVIGQALPHRPVDSVYARGHVLFINNVEFEWTPDEREFIRKSYEQHGPDWRAIADALGKSRYQVKDLWRRLKCTGSKKGPWSQDEYQTLFNLVNLDLRTRALEPYRRSQHGMLRDNICWEAISQKLKTRDTAICCTKWYGQLISPMTASGDWLDSDDFRLIDALYALDACSMEEVDWDNLIEHRSGDVCRQRWDQMVQHIGDRAGKSFIEQVEILAKRFCPDLLEARVAFENKPVIC >CAK8562293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:467992828:467995086:-1 gene:gene-LATHSAT_LOCUS15800 transcript:rna-LATHSAT_LOCUS15800 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSKQNKRTEDGEDETNRGDSTMFESDVVDLPLAKSNDDQHLVKNNISEDGEHDKKKKKKKQSEERKHNEYDQFKGDEFEDGDDGTEMKKKRRLIEDGEQCKKLKKKKKKLSEESKQNDYKEVKSNECEDDDQGKKMKKKKLIEGNTLNIRNDFSSNEGEDGGQAKKLKKKKKQSEESEQKDYKEDKSNEIEHADHEKKVKKQRKLIEGNILNVRNDYSSNGGEDGGQAKKLKKKKKLSEESEQKDYKEDKSNEFEHTDHEKKVKKQQKLIEGNILNARNDFSSNGGEDGEQAKKLKKKKTKLSEGSEHKDYNKVKSNECEEDDDHEKKVKKKKKLIEGGRLEECNDVTSNGDGDQGKTKKKKNKPSHKRKSKDNDFNSNQGEVNNQGKKTKSSGSKQVTFSNQVEEFCCDGLVRGKRFTPEEDEKIKAAVYDYIDSHGLGDEGLDMVLHSASHPSVRGCWKVIGQALPHRPVDSVYARGHVLFINNVEFEWTPDEREFIRKSYEQHGPDWRAIADALGKSRYQVKDLWRRLKCTGSKKGPWSQDEYQTLFNLVNLDLRTRALEPYRRSQHGMLRDNICWEAISQKLKTRDTAICCTKWYGQLISPMTASGDWLDSDDFRLIDALYALDACSMEEVDWDNLIEHRSGDVCRQRWDQMVQHIGDRAGKSFIEQVEILAKRFCPDLLEARVAFENKPVIC >CAK8567267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499272676:499273416:-1 gene:gene-LATHSAT_LOCUS20333 transcript:rna-LATHSAT_LOCUS20333 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKINGYPQEQYYGDDSSWMETKAQQLQSHGYPQTQQYPGIKPGYGNDSDYSMQNHHGHDSNHDVYHRQDSKPHGLDSNHDVYHRQDSKPHGLDSNHDVYHRQDSKPHGLGSHHDVYHRQDKVPHGQENGYGYDNNHGHGNGNGNGQIFPFGATTNHSPHHGNGVRPFNHGGRGQNDYVSENEYEVYKEEQVGSGAMRRDEMRYERRGTYGGDVHQANPYGYNNNRNNPLGHGTNKANWTLKGV >CAK8540580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11256294:11257524:-1 gene:gene-LATHSAT_LOCUS9573 transcript:rna-LATHSAT_LOCUS9573 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNTSLMRGKGLSTSQVLSLVMNKLYKQFVEKDTKEFGAFHVAILDTFNTMNVAVPGKHYDAPSYKDVKEVFKRWKESDEEDREEMFIDFMNKNVNLNKVDESMIITAIVAPPAAMVAKRTGQTTLPQLKFMNAIPDAVFVPSATVLALIAVKLLRLMFKENNTTSKAATGSHETTTSEHSQQDIQKTASEHSQQDIQKTGSNHSQQKTASDYSQQDIPKIASEHSQQDIQKTASESNATQEMIKAASDAHQLTPKGNYCALCKKVHD >CAK8534009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681736915:681738812:1 gene:gene-LATHSAT_LOCUS3585 transcript:rna-LATHSAT_LOCUS3585 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGVKVTITQVLAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPILNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVINCDGNLTKVQETIGYYDPLQYPILFSFGIYGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSGRLLQQYVVDNYVKIETERLRWIRRNQNNIRSEVYQGLQDALHDGENNTYNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNNGKPDIFLTMTCNPSWIEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKGVLGRVRSYMYVTEFQKRGLPHVHMLLILDTDDKLREPEEYDSVVKAEIPQHESEPELYEAVLKHMIHGPCGVLNQKSPCMKNGHCKKRYLKEFCEETRQGNDSYPEYRRRFSDPIFLNRNKSIDNRWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYKYVYKLFVVTVLSIVQQIVYQ >CAK8565370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:154089870:154090421:1 gene:gene-LATHSAT_LOCUS18590 transcript:rna-LATHSAT_LOCUS18590 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVFVSITLVLFLFVTRSRARDLHNSLSESTTRSKPQQYDTISFNLIPIEHLPVTLADLRPINRHVHVLRPLPLGFPLSHRRCRHGHRRQIPYGTDMILANDASTKHRQIPYGNDMILASDAGTKDRRIPKRLSKIHGGEVMFPQVMHFYPPHIHSQDHHHHHHHEKSWFSKKIHEFLNLF >CAK8531320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100676780:100678356:-1 gene:gene-LATHSAT_LOCUS1122 transcript:rna-LATHSAT_LOCUS1122-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKFNYYKWILGLLFSTNEEFKEAIASYVVHNGRDLRYLKNDKTRVRVGCKEWCGWVALCSKLPNANTWNLRTLNDNHTCNKEFNVRMFNSNWPGKKLYTKVRINPNVKLTAMCEKVHEKWNVGMNRMKAYRAQKTTLSIVEGSFNKKYHRFYDYAHELLRSNLNNTIKLNVEANEQQPTELEQQPKEYRSFSFCRPIIGVDGCFLKGNYGGQILAVVERDPNYQMLPIALVVVEAETKDTWACFFDILVRDLGGPEVYKYITFISDQQKRLSPAIDELLPRVDKRFYVRHLYRNFTKRFPGKHLKELIWREAKETYPQAWERERKEMRKINNVIIGPRQNPIVKMLEETYGN >CAK8531321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100676780:100678356:-1 gene:gene-LATHSAT_LOCUS1122 transcript:rna-LATHSAT_LOCUS1122 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKFNYYKWILGLLFSTNEEFKEAIASYVVHNGRDLRYLKNDKTRVRVGCKEWCGWVALCSKLPNANTWNLRTLNDNHTCNKEFNVRMFNSNWPGKKLYTKVRINPNVKLTAMCEKVHEKWNVGMNRMKAYRAQKTTLSIVEGSFNKKYHRFYDYAHELLRPIIGVDGCFLKGNYGGQILAVVERDPNYQMLPIALVVVEAETKDTWACFFDILVRDLGGPEVYKYITFISDQQKRLSPAIDELLPRVDKRFYVRHLYRNFTKRFPGKHLKELIWREAKETYPQAWERERKEMRKINNVIIGPRQNPIVKMLEETYGN >CAK8568041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566360249:566366186:1 gene:gene-LATHSAT_LOCUS21040 transcript:rna-LATHSAT_LOCUS21040 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEVEGVSADNHNRDSDIELIGVHTERLPHNVSLNKRRTSKLKVSNSSSAIDLSHGKSQEQDEEREKEEEDYVEVTMDIQGETVALHSVKTVTGSGNNDDGEDEKRVLLGKGMEKKRSFGASIVRSASIRMKHVSQELKRLASFSNSKQVGPEKVYDRTKSAASHALIGLKFINKKTDGDDGVGWSEVEKQFEILTNSANDGLLHRSLFAKCIGMNKESEAFAGELFDAMSRRRNIHGDSINKAQLKDFWDQISDQSFDSRLRTFFDMVDKDADGRITEEEIKQIICLSATTNKLSNIQKQAEEYAALIMEELDPDGTGFIMVNDLEILLLHGPTHSTRGDSKYLSQMLSIKLKATYEYNPVRKRYRDAIYFLQDNWQRTWILVLWIGVMCGLFAYKFMQYRRKAAYEVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLAIAVPFDDNLNFHKVIAVAIAIGVGVHAVYHLTCDFPRLLHANSEKYKLMEPFFGNQPSNYWHFVKSWEGVTGILMVVLMTIAFTLASPWLRRGRVKLPKPLNSLTGFNAFWYSHHLFVFVYILLVVHGIKLYLTKEWYKKTTWMYLAIPIIIYALERLTRALRSSIKPVRILKVAVYPGNVLALHMSKPQGFRYKSGQYMFINCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRSLRVKFSESCLPPTHGKSGLLRAESPSTLPKVLIDGPYGAPAQDYKQYEVVLLVGLGIGATPMISILKDIVNNFKAMEEDEGNSIEEGTSGKSPRPSQHKKTGLSNFKTKRAYFYWVTREQGSFDWFKGVMNEVAEDDHKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKRIALNHPQSRVGVFYCGPPAITKELRQLASDFSHNTTTKYDFHKENF >CAK8563553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615883113:615884857:-1 gene:gene-LATHSAT_LOCUS16950 transcript:rna-LATHSAT_LOCUS16950 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQKSVLKVNIHCDGCKHKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPNILIKKLAKSGKHAELWSVPKPNNNNNNQNNLVSQLKNMQIDNGKGGGNKDNNKGQNQNQKGGNSNQPKGVPPGLNPQQVQQLQLQQQLQQLQQMKGFQDLNMPQFKGMKMPNQNPNQNVKGVKFDLPEDEDDFSDDELDDFDDDDEFDDEEDFDDEMDELPPPNKMKPPMAMGNGPHMMMNGNHPQLMNAMKGADFNGGGGGGGGNGKKPGGGGPMPVQIHGMVGGNGNGGKNGGGGGGGNKINGGLPEAKNGGGGGNKNGGNNNGNNQNNGGKKGISMPMGGGGGNVQAMNNGFQKMMGGGGGGGGGHPHPSMGGGGGGGGGHPHPSMGGGNAGQMSMPMNQMGGNLPAAVQGLPAGGGFQGGGPGPEMMQGNPYQQQQQQHQQQQQQLMAAAMMNQQRGAMVGNERFQQPMMYARPPPAVNYMYPPYPYPPPDPYSNFFSDENTSSCNIM >CAK8538608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489100351:489100707:-1 gene:gene-LATHSAT_LOCUS7789 transcript:rna-LATHSAT_LOCUS7789 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKAVAATIRLRVPAGGARPAPPVGPVLGQYRLNLMAFCKDFNARTQKFKPDTPMAVTITAYKDNTFEFIVKSPSISWYLKKAAGIELGSTRPGHVTSTTLSLNYYHVHSLKKGNV >CAK8577565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574765853:574766574:-1 gene:gene-LATHSAT_LOCUS29663 transcript:rna-LATHSAT_LOCUS29663 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALLRPSSPPLLFHRNRFVISSSSRNHSYIPKLEPFSRSKIDRVAKNLPLIEKTEKDLSDYCCTLEGDKCYSCWQAYFALKDLEKEQPRADIERLIIEISGIKSLIGCLPAIAIMHKSKKNEIESEDEQNRCPRPDGLPKSADEMREEEEAKMPDSSHTKLLRLMGKSPAWYSKVPDHETD >CAK8579293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689900834:689903884:1 gene:gene-LATHSAT_LOCUS31248 transcript:rna-LATHSAT_LOCUS31248 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDLLLGVSMFAEPINFKIIDRASLAMNELCNVGIIGKPLWHQHNSNQYEILNGIEYLKYVGHDAMLMDIVKLVEVGEIQTLPSFDSYGNQINSISNENSIQGLHIEASRDTAMINAGPNDIVELLMNVNQWGMTFHNIVSRATILGSFMNGVEGGYDGRLHVMNAEFHLPSPVVPTRECCFVRYCKQLSPNDWVVVDVSLEDLFPYPSTNFRKRPSGCMIKEMPSGYSKVTWVEHVEADHSQLNDLFKPLVTSGLAFGATRWLASIVRHFEWAETLMTTQFFSDSKVFIPQTGRTSFLKLADRMMRKFCGNLSATTTNPWMRLAPFPSSTDVRVMIQNNMSNTLNNPVGTTIVFCTTIWLNISPNRLFNFLRHEKSRNKWDILSQTLSIEQFACMTIGKHLENRVSLLRASDSKDKTEIFYLQKSYADATASYVIYTPLDESALIHLAKGLNPDNVIAFPSGFAIIPGGLPKDNGNNAGSNESLLTISFHLFDKATNVTCIPPESVQTIYGIITETITAIKDALSCHSRLNNWAQDELKNGAVKK >CAK8570829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:123284448:123285003:1 gene:gene-LATHSAT_LOCUS23545 transcript:rna-LATHSAT_LOCUS23545 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGLNIQSMDGPLDHASREIPMDENEEESEDEDEDEVVMGEGNVPSHLTIRDDNGKVILQLCGSGLVPAKEAANAINYAIHKQFYKGFYNWIFQMYFLTLFSHLECCTCLTLLLICS >CAK8569206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682218146:682220336:1 gene:gene-LATHSAT_LOCUS22086 transcript:rna-LATHSAT_LOCUS22086 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYGGDSKALKIHAKEKLRIDTNTFFQVRGELDTKSGQPSSGRALIRHFYPNFSATLGVGVRYDKQDSVGVRYAKNDKLRYTVCAKKTFPVTNDGLVNFKIKGGCDVDQDFKEWKSRGGAEFSWSVFNFQKEQDVRLRIGYEAFEQVPYLQIRENNWTFNADYKGRWNVRYDL >CAK8535913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891188127:891188903:1 gene:gene-LATHSAT_LOCUS5331 transcript:rna-LATHSAT_LOCUS5331 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPAAKPTTTANGNGVSTANPSFPATKAQLYGASRPTYRPQPHHRRTRRRCCCTFFFYLLLIILILLLVIGIAGTAFYLIYRPHRPSFTVTSLKLSYLNLTSSSTLNSKFNVNITAKNPNKEITFVYQPTSVQILSNEIDVGDGTIPSFKHNKKNTTLLKASILTKGAPLESDAATELKKNMKSKNGLPLKVKLETKVKAKLGKLKTPNVRIRVSCDGIRVHVPAGKKPVPVTASTSKVKCEVDVRFKIWKWTV >CAK8566772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461392231:461392662:-1 gene:gene-LATHSAT_LOCUS19881 transcript:rna-LATHSAT_LOCUS19881 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKFLDDNNYMSYYRTCGNGVTVRDIFWTHQDSINLFNTFLTVLILDSTYKTNKYTLPLFEMVGITSTEETYVVGFAFLEYEKEDNFKWALEVCQSLLKDQVEMPKAIVTDRDTALMNAVSKVFPSSNALLCRYLSHPNF >CAK8544653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697673315:697673941:-1 gene:gene-LATHSAT_LOCUS13311 transcript:rna-LATHSAT_LOCUS13311 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAVKDVRSLSGCALLLTSWAFTRIPLFAPVTTVEPSYPYAQRWAQRGMNYRAIPRFHIQGYRNALDHMQEHDFIWRPYIRCPLPRLEDSQIWSATTFLICFYTVEMHQTDRVTLQFGRDQQIPPPKVSKGTPRHDYEKGTKNELATIKQ >CAK8544197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671571847:671585670:-1 gene:gene-LATHSAT_LOCUS12897 transcript:rna-LATHSAT_LOCUS12897 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFFSSWLWLSRFRSSSFNHSFRRTFHSITTTTTPHKNALTSSNLLNSHRTNNPWTKWSIPLALALSAGSLSLQPHSDPSFSESLDSDISGVSIGGKGSTQYVVKGSQKEFPQELLEELKIICKDNISLDYDERYFHGKPQNSFHKAVNIPDVIVYPRSEEEVSKIVKLCNSHKIPIVPYGGATSIEGHTLSPNGGVCIDMASMSKVKALHVDDMDVVVDPGIGWMELNEYLEPYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQCSVVAMCNFPSVKDAADVAIATMMSGIQVSRVELLDEVQIKAINIANGKNFPECPTLMFEFIGTEAYAREQTQIVRKIVSEHNGSDFVFAEEPEAKKELWKIRKEALWACFAMVPNTEAMISDVCVPLSHLADIISRSKKELDASSLVCTVIAHAGDGNFHTVILFDPTKEEQRQEAERLNHFMVHAALSLEGTCTGEHGVGTGKMKYLEEELGVEALRTMKKIKSVLDPNNIMNPGKLIPPHVCF >CAK8540867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26886523:26886975:-1 gene:gene-LATHSAT_LOCUS9827 transcript:rna-LATHSAT_LOCUS9827 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNMSHQAGQAHGQAQEKLSHAQENLSHHAGQAQEKLSHHTGQAQEKLSHHAGQAEGQAQEKTSNLMDMASNAAHTAKETVQEAGQHVKATAQGAAEAVKNATGLNQK >CAK8539812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526184507:526184917:-1 gene:gene-LATHSAT_LOCUS8873 transcript:rna-LATHSAT_LOCUS8873 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKSRGKEILCPCANCYNNNWFTSNEVRNHLIAFGYQKGYDVWVRHGEKKPKLGDLNDNHMNEEENQIDDIDGLLHERFRDVVQEENDVNVSLIEDAKIKREHVAEVR >CAK8530294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12152545:12156381:-1 gene:gene-LATHSAT_LOCUS170 transcript:rna-LATHSAT_LOCUS170 gene_biotype:protein_coding transcript_biotype:protein_coding MIENVKDDGRELELLLNEIPRVTSSSDEDDFLNPCQNLDHDLDRNHDDYDHDLVSSFVMKQNQGNYGNGNGNGVRGYDEEALKSPVTVSGFSMQSENGSSSSFFSDYGTPTPPLTEDPKSTTTAIGTGAGAGTRTFYNPKNPDSSHGTDRFVDLCSNFSRMYTSNQKDNPSVDYNSSRNGLSDSDSVRFQSPLLNNPIGPSRAQIRSAFTRDYGVANSFEVANSFGLLPTCSEQRERDSIYSHLNGFGNSVDSGLTRDHGVANSFGSSQSCLERERDTMYSQLNGFGSSVDSDSARFQSPFLNNPISHRNSAFARDYGVANSFGSSQSYSGRDYGVANSFRSSQSYSGQCERGTSYSQLNDFGASSSTGSSYQRNLHYRGTLGHETVEPHFSRRNSAVHAPLHSQNYGMNFLQEPGMARLPFHSLGTDFRPSARVMPPSIARRIPQGNMDIDRVMPPSIARRIPQGNMDIDAVRREGSFILQGDEGLRYVGAGSSDRWCQNTAREFGFPKHLYKSEVDTQQPVMGACETPRSSKIGSPFTLPLNYNYNSRSEAPFPLPPNYNSLSEAPFVLPPNYNSLSEAPFVLPPNYNSLSEAPFVLPPNYNSLSEAPFALPPNYNSLLEAPFALPPNYNSLSEARGVIYLMTQDQNGCRYLQRMFEEGRNEDVQIIFDEIIDHMVELMTSPFGNYLVQKLLDVCSEEQRMKIIIMVIQEPGQLVEISLNAHGTRVVQKLIETLKIEQQTSLVVSALEPGSLTLIKDLHGNHVVQHCLECLSNEDNKFIYVAAVKYCVDIATHQHGCCVLQKCIRYSSGEHREILAAEIFANALLLAQDKYGNYVVQCTLDLKIPSAATALTLHFKGSYAHMSRQRYSSHVVEKCLELFDDEHRAKIIHELLSDPHFDQLLQDPQANYVIQKALRCSEGQGHVYNSLVETIESYKTICRDSLYSKKIFSLLKK >CAK8565551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:267406697:267415858:1 gene:gene-LATHSAT_LOCUS18753 transcript:rna-LATHSAT_LOCUS18753 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQLALEEEEPMEFSELVMEDKWEDVIKKYNEETNCHKINIKRRGTALHVAVSNERKDVVKSLVEAILKSGDRSSLGMVNEIGATPLHLAAHRGFTDVCELLIGKEGERKELIQIENDDGETPLFWAVRARKKLVFVYLQQFYPTDINIAIDKNDTTILHIAIQREVFDLAIIIMHCYQGLIFMKDKNDIIPLEILATRTSAFKSGTRLSWWKQILYFCFTISHRDAKTLMELYQKKIISKRIAKNEKDDYSQVSIPLFDVDELEKAYKIRHSLSQYYHRIQTFVFKLPILSLLDLEAIKTIKKNHIYGRQLLDGFMKKPTWSYMGGGVKPKDDNIEHDNEMEEEITNFQDFLNDAKKIVAGDITSMPETKENKKMEDSTNIDGKDTTFLAVAKSGIAEIMEELDSKVPITSDKKGLLLVAMKNLKTEVKSDVKDTAYLIAASHGIVEMMSELQLKIKSVVDETNSNNENALLLAVKNRQPHVIQWLQERFSKGVFHYLYLQSDKNENTILHLSAYTSLQRENTWRISGAALQMMWDIKWYKYIKGLVPEHFNQRSNKEGKTPSEIFKEQHRELLKNSVEWLKDTSESCSVVAALIAGVSFATSGSVPGGNKQTGEPNFEGYPAFEGFAISSLIGLYFSVTALIMFLSILTSRKEIEDFRQNLPMKLLFGLCSLFVSIVAMFVSFCAGHFFVLTDKYTKGDNILFYLYISICLPVAFYAAVQFPLFVDLVKVIWNKVPPPSVKGVLL >CAK8565552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:267406697:267415858:1 gene:gene-LATHSAT_LOCUS18753 transcript:rna-LATHSAT_LOCUS18753-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQLALEEEEPMEFSELVMEDKWEDVIKKYNEETNCHKINIKRRGTALHVAVSNERKDVVKSLVEAILKSGDRSSLGMVNEIGATPLHLAAHRGFTDVCELLIGKEGERKELIQIENDDGETPLFWAVRARKKLVFVYLQQFYPTDINIAIDKNDTTILHIAIQREVFDLAIIIMHCYQGLIFMKDKNDIIPLEILATRTSAFKSGTRLSWWKQILYFCFTISHRDAKTLMELYQKKIISKRIAKNEKDDYSQVSIPLFDVDELEKAYKIRHSLSQYYHRIQTFVFKLPILSLLDLEAIKTIKKNHIYGRQLLDGFMKKPTWSYMGGGVKPKDDNIEHDNEMEEEITNFQDFLNDAKKIGDITSMPETKENKKMEDSTNIDGKDTTFLAVAKSGIAEIMEELDSKVPITSDKKGLLLVAMKNLKTEVKSDVKDTAYLIAASHGIVEMMSELQLKIKSVVDETNSNNENALLLAVKNRQPHVIQWLQERFSKGVFHYLYLQSDKNENTILHLSAYTSLQRENTWRISGAALQMMWDIKWYKYIKGLVPEHFNQRSNKEGKTPSEIFKEQHRELLKNSVEWLKDTSESCSVVAALIAGVSFATSGSVPGGNKQTGEPNFEGYPAFEGFAISSLIGLYFSVTALIMFLSILTSRKEIEDFRQNLPMKLLFGLCSLFVSIVAMFVSFCAGHFFVLTDKYTKGDNILFYLYISICLPVAFYAAVQFPLFVDLVKVIWNKVPPPSVKGVLL >CAK8568737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:637262171:637267748:1 gene:gene-LATHSAT_LOCUS21669 transcript:rna-LATHSAT_LOCUS21669 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLEEIKNENVDLERIPVEEVFEQLKCSKEGLSSDEGANRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGRPPDWQDFVGIISLLVINSTISFIEENNAGNAAAALMAGLAPKTRVLRDGRWSEEDAAILVPGDIISIKLGDIIPADARLLEGDALSVDQSALTGESLPATKNPSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDNTNQVGHFQKVLTAIGNFCICSIAVGILIELVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKNVEKDYVILLAARASRTENQDAIDAAIVGMLADPKEARAGVREIHFFPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILNLCNCKEDVRKKAHSVIDKFAERGLRSLGVARQEVPEKNKDSPGAPWQFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQSKDAAVAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMALMTVVFFWLMKDTDFFSDKFGVRSLRKSPDEMMAALYLQVSIISQALIFVTRSRSWSFLERPGLLLLGAFMIAQLVATFIAVYANWGFARIKGMGWGWAGVIWVYSLVTYFPLDILKFVIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQSPETTNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTMQQHYTV >CAK8530589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32828044:32828587:-1 gene:gene-LATHSAT_LOCUS442 transcript:rna-LATHSAT_LOCUS442 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVACCQEYSQPRLISKPTSSDDDVVMKLKPIRFTSTTSQFTDDVQDSVVVRCSTSTSH >CAK8537779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:431649451:431654561:-1 gene:gene-LATHSAT_LOCUS7033 transcript:rna-LATHSAT_LOCUS7033 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTRTFTNSLLFNPSFSLSSLNSLLRFNTSLKPFKFNLSSFTSHIQQPSLYHRTSSPFAISASSSSPSSVSFDSENDRLPAKLKVTLTEESNSRVRLHVEVPPSVCKDCYKGILVEFTKHAKIPGFRPGRKVPESILINYVGSKNIRKATIEAILRRTISDAVTSVSGRALRDSVGIATKFSEMEETFSSLGFLRYEVIVDVAPEIKWISDGAYKNVKVVVKIDSDIDAHIASEREFKRRYKSTGVLKVVTDRGLQVGDVAILDISATTIDKDETNIKSIPSAETKGISFDTEESDPLIPGFLDSIIGIGRGKTNSFPLVFPETWRQEDLQGVHAQFTVECKELFYRDLPELNDSIADKLVSGCTTVEQVKELLLQRCQEIEQTAREQATDNAILEQISKMVEIDIPQSLFEEQGRQFYGANLLEMQTKVKLTKEQLASLSSPKAVNEYLEHHKENITNKIKQSLAVGDIYRRENMEIPIEDIVKEVEHSIAEFKREKKEYDEERVKDQVQEILEGAKVLEWLRENADIQYITV >CAK8576607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497502915:497504189:1 gene:gene-LATHSAT_LOCUS28781 transcript:rna-LATHSAT_LOCUS28781 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSGLSAEAPEFLPSNQLHTLHPPSLTFAPLTHQLSYPFFYYYYPAATRHHFHSSTFFSFRFHTNHHLTTATPTFPPSIPMQKEVAVEAASAEGNYSKQVLSDGQMEDRCSHTLRIPRTEWRRKGVDVSEKESSRKNHHSKHELHSRASTERKNKESAFPVVPVRPDGDETTVMIKNIPSKYTRDMIVKFLENHCMVENAKDRENGEENTYSFDFVYLPIDFRTGLNKGYAFVNFTEASAAWRFVQTASNQKWELFLSHKIRDVVAARLQGKDKLEKHFVSVNFPCESEEVLPLCFSPPRDGVIKGTQRTLGRLLYKHK >CAK8537145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:108590347:108593557:-1 gene:gene-LATHSAT_LOCUS6457 transcript:rna-LATHSAT_LOCUS6457 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWMEQNLQDMEEKVQHAIKLVEEDGDSFAKRAEMYYKKRPELISFIEETYKAYRALAERYDHISTELQNANNTIASVFPDRVPYMDEEEDGGSPRTPKKTPTEGYKPNVPKPPLRDLKSVVTAATTAPKKLNAKKTATSSVASKVPKSGLSRKEALEEVDKLQKQILSLQTVKEFVKSSYDNAMARYWETGEKIKELQEKVSDLQDEIGEGIVIEDDEARRLMAEAALKSCQETLEQLEVKQESSVDETKVESERIKDVKAKLASLMNEFQYDRSESKEPTVKRDVTNVAERKHLENKEGELTQQIHDLQSLQETVKVHFEASSHSSLAATEMAEKIDELVNKVVSLETSVSSQTALVNTLRTEIDELQGDVRALEDDKESLIKDKNKLNEQLREMEQKILVVQDLNQTVEDQNSDLQTHFTEARSSIDLLSVQVQSLQPSEEVKVADSSDQNESKHEPEGKVTDSPENNLKVPNTLETEGAASVENKPPKELKEQEKDLNPVESNGRQTGALSTITATENHSSENFEKLEENDAKKSSSKTENTLGDDHKENGKETVAVSTITTTENHSSESSDKLQENNAETVSTKAKNTLGDDQKDGEKETVAVSTIATTENHSSKSFEKLQENDSEHSSTKTKNTLGVDHKEYATAAEDEPDWRRMFLNGLQEREKTLLTEYTNTLRNYKDLKKKLVEIENKNQDKHLDCCLKSELHELKTSNSLKDQEIRNLHRKLSLLQITLEGNEDMTDSTSILPQEEHDIEKLLNIDQPESTSAIEEKFRSNIDEVLEENLSFWLKFSTTYAEIQRFETTINDLQTEVSKLEKKVKSEGSSSLKHSLKSDARPIYKHLTEIQTDITVWFEKSVLLKKELQCRFSSLCVIQEEITNALKASAEDEDFKFTSYQAAKFQGEVLNMKQENNKVADELQAGLDMVTLLQLEVEKALVKLNERFGLSTSKREESGLLSQSESRARVPLRSFIFGVKPKKQSFFSCMAPGMNKRVKSAKD >CAK8541742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:379215512:379215823:-1 gene:gene-LATHSAT_LOCUS10638 transcript:rna-LATHSAT_LOCUS10638 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGKGIKGLGKGGAKRHRMILRDNIWGITKSAIHRLARRGGVKRISGLIYVETMLFLKVFLTKIIHDAASYTNHATSKVVTAMDVVYTLKRQGRTLYGFGG >CAK8532220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221900394:221902643:-1 gene:gene-LATHSAT_LOCUS1951 transcript:rna-LATHSAT_LOCUS1951 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNFVSQDKGSILGWDLKTPSSFLGQQSIENENNQSFEELGFHGMLGKQLTNVNDDDDVVVVNGTSKIVTSSSSVVMAAPNACGSLIDLKLGGFGDHGGAIGASFSKGVSSSSGSVPPTKRVRSSVSLQFAYCQVYGCNKDLSGCKDYHKRHKVCEIHSKTSKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGRLLQPYGDSRFQGSMLTSASFICQDILPGEVFSSERCGTSNWWRPIKAEGGTGSSFRPLSSIPITNAHPHSRTLFPSYSDKQFPFLHENAPTSATGSIFCEDNSQYPPILEGSNTRSQSLFQDTSLGSEDFNVFETESTVQGLSGVSDGGCALSLLSSQSQNSSSQSSGIPVPRHLVVPSSHSHNHYNMSQVSEKMIGMSSQASSGVSDRFPSELNPTDGNHLSPILISDNNETVHFEMADRFFQGSDFVNVKGRLSCEDSTTIDLLQLSSQLQRVEHQRRALQVKQENGSSCSLRIT >CAK8575355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:92436891:92438612:1 gene:gene-LATHSAT_LOCUS27625 transcript:rna-LATHSAT_LOCUS27625 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAPKPTKPGLEESQEQIHKIRITLSSKHVQNLEKVCSDLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >CAK8538799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496709682:496712294:-1 gene:gene-LATHSAT_LOCUS7957 transcript:rna-LATHSAT_LOCUS7957 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEPPSFSLGLDLDDTPPPSPPPPSPIPDPLLQVPDSDPETRSDPPPRTLKRLRRGPPSSSVHHIPQPQPSYFDADDDIEEFSSPEKPVQGCRSSVQNQNRSICSSSKVSLRSAGVLTHSFTNSCEKNKRKHASSPLRRFQLLDSEDDDDDSDDDMFGEDIGGVSKVGLSVGQLGNRSAPFTSLEQDGKTQFDVNQRRDLWKDPSPVKNFSVPTPAFNEMYEEYFSSAKNAEVPRSGIGISENHYEMYHGVDSGLQQDEQIWEAAGPLPPAHHYFFHDDQRIQHLVRSRLCNFSPLGVNGVNQQQNVSHIDYIGQFDNRGASKTPEVQKGRVNGSTSRRSKSTNLNVQETFNASEGWVDPKISSPLGSGTSSRKKVTKRNNTKSSVSKRKSAQSILNPSNVSGSWVEPKSRSMPKDAGKRRVQASGQSASQSTGHWFTGPDGRKVYVSKNGQEMTGRSAYIHYRKENGTGFKKSKKKTSTKKANAKKKN >CAK8535864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886820855:886836739:-1 gene:gene-LATHSAT_LOCUS5284 transcript:rna-LATHSAT_LOCUS5284 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTQLTRHIESYVASSSTPTHQAASLDSIGLLIKTNALTLEALVIGLDMYLTSTDTVIRSRGILLLAEVLTRIHSKYLNSETIHSLVGFFKERMADWQAVRGALVGCLALIRRKSVVGMVTGSDAKAIAHSFLLHLQVQSLGQYDRKLCFELIVCLLEHHADAVASLEEDLVYGICEAIDTEKDPECLMLAFHIVESLAHIYPDPSGLLATFAKDIFDILEPYFPIHFTHPTGGETHVQRDDLSRTLMHAFSSTPVYEPFVIPLLLEKLSSSLHSAKVDSLQYLRACSVKYGYERIAKYAKAIWSSLKDTINTYLGEPDLSFSLAPIDGIDFPKNEVVIEALALLQELIVQNSSQLISLIIDDEDVNFIINNISSYEMYDAISVQEKKKLHAIGRILYITAKTSIPSCNHVFQSLLTRMMDNLGFSASSTNGLQNGGIFASQSVNFGFLYLCIELLAGCRELVTLSEEKHGIYCTILHSFSAVLFNAFGSVLAVSAGRCPPDLDIYIGVKGLLILAMFHLDVFPIPKSTFENILKKFMSIIIEDFDKTVLWNATLKALSHIGWFVQNFSESEKAMSYRSFVVDKIMELLSLDDIALPFSLKVEALSDIGMTGMKNMLTILQGLEGAIFANLSEVYVHKSLKSFEISVQLLECYSCKLLPWIHENGGAEKFVVQFAVEIWSQAGNCMDFNSPFEEKGLLDAMMTAMKVSVACCSVESQNFIIQKAYSILLSHTNFQLNIVERLPLTLGRYDISPRDEGILLLFTSVIIALRPKTHIPNIRGLLHLFIITLHRGFVPVAQALGSIVNKLISKSNDTEKSGEFTLEEALDIIFNTKIQFSSTNMFQRYNGSNGSEMVLTDFCLGMANDRLLQTSAICGLSWIGKGLLLRGHEKIKDITMIFTECLVSDRKSSLPLIEGSHENTEDQKWDPLARKCAADAFHVLMSDAEVCLNKKFHATIRPLYKQRFFSSMMPIFLHLISRSDSSLSRSLLLRAFAHVISDTPLIVILNEAKKLIPILLDCLSMLTEDIQDKDILYGLLLVLSGMLTEKNGQEAVVENAHIIINGLIKLVDYPHKTLVRETAIQCLVALSELPHVRIYPLRTQVLRAIPKCLDDTKRSVRNEAVKCRQAWASIASSRSLQL >CAK8530813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52102549:52102980:-1 gene:gene-LATHSAT_LOCUS653 transcript:rna-LATHSAT_LOCUS653 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNRCLGKLKNKPDFNFHPKCEKLNLINISFADNLILFSRGDSTSVNILMEEFKQFSEATGLKAHSAKCKLYFGGVHQTTQDDIMQNTGFSKGDLPFKYLGVPLDSRKISVLNCRPLINRIVGKIAHWTSKLLSYEVDCNS >CAK8560482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21845745:21846251:-1 gene:gene-LATHSAT_LOCUS14150 transcript:rna-LATHSAT_LOCUS14150 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKRTAKKEEDEVEQMLRAAQDEILLNLSLNSHLSRPSPSSSTILRPNPDPDLDLDLDRRFQALKVNSKSQQPLDPSTRFDALKAKLHHPVNANDVVSASEPPFQYEESDEEDEEAQIQKLIEWAKDAARLDPSPPSPAVSDDEDDGPSVSDDEEDEDDDHRKSLK >CAK8530180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4455592:4470604:1 gene:gene-LATHSAT_LOCUS68 transcript:rna-LATHSAT_LOCUS68 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQMLTPKVRVTLEYLYLFIAITFFCILVVMHANYVQQPGCSGELSEVLTLEAQLIQIKITSAGLWSHNDSESSIIDLPKIEAVKDSTEVSEVNQDESTFLGSKFLWNWIGSGARKGNLAFKFWKTDSEFLEHQAETSTSNQNPRPVVEDAVIKIDKEEPRSSFTLSAKETLKAAIIHFGKKWYRRISFIWRHTKQIIGSFQKLGDITGVHLNLDIPKWMHILHLDKVNSNAVQWLKKKTKLSEPTYLYTMEKGYFLLPESAKAQHNIRTVNVSISAWHSCFGNRWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQSKEFFNLSFALEIPEVPDKFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMTHLILYFWNRFEVPALQRFVQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNQGVNATNLPPSGTGFRPGFDQSMPQNEPGVTEPQGPSESNSAHIPGQAERGPNPGSMNFSSMLLWILGGASSEGLSSFLSMFRDARDQGQVYTEAPRHDNSENQENDRQ >CAK8534596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746487510:746500077:-1 gene:gene-LATHSAT_LOCUS4129 transcript:rna-LATHSAT_LOCUS4129 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLQMNPEVEQIHGQIRDHFRALANGFQKLDKIKDSNRQSNQLEELTGKMRECKGLIKEFDREIKDEEGRNTPEVNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKAELFDTGAGPSEPMAEESVQLASKMSNQELINTGTKTMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTDQMGRVVNELDSIQFSIKKASQLVKEIGRKVATDKCIMLFLFLIVCGVIAIIVVKIVNPHNKDIRDIPGLAPPAPTRRLLYVRTG >CAK8573559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620192700:620196566:-1 gene:gene-LATHSAT_LOCUS25994 transcript:rna-LATHSAT_LOCUS25994 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYSRNKLSKPSPTTKFHLYFLLVFLCAAFYFLGTYQQRLRFTSLSKPTTLTPLTCNLQNPKTTLDFQSHHNATTAKPTTSSTTISFPRCAANFTEYTPCEDPQRSLRYERDRLIFRERHCPVKGEEDLKCRVPPPYGYRNPFPWPASRDAAWYANVPHRELTVEKAVQNWIRYDGDRFFFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTALDTGCGVASWGAYLASRNIITLSIAPRDTHEAQVQFALERGVPALIAVLASKRLPFSSRAFDISHCSRCLIPWAEHDGLLLNEVDRVLRPGGYWILSGPPINWKKHYKGWQRTKKDLNEEQNKIEKVAKSLCWKKLIEKDDIAIWQKPKNHLGCKSATKRSFCSAQDNPDKAWYTELQTCLSHVPLVSNKEETAGGIIENWPQRLESVPPRIQKGTIEGVTSETYSKDSELWKKRISHYKKVNNQLGTKRYRNLLDMNANLGGFASVLIKDPVWVMNVVPVQAKVNTLGAIYERGLIGIYHDWCEAMSTYPRTYDLIHADSLFSLYNGRCELEAIMLEMDRILRPEGAVIIRDDVDVLLKVKSIANALEWDSAIVDHEDGPLEREKLLFVVKKYWTAPAASVKTN >CAK8569115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672410945:672411457:1 gene:gene-LATHSAT_LOCUS21999 transcript:rna-LATHSAT_LOCUS21999-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRIRPFDRHDYNFIVDETMDHNKGRPMGHYGVELHKNWCDCGKFQTFCMPCSHVIAACSSARQDPFLQLSEVYKAVNLFGIYNNSFPVVASEDYWSTYHGDTIYHNENMRRNKKGRPKSTRIITEMDTTEKMERLCGICRLLGHTRNNCPNVGTSSR >CAK8569113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672410660:672411457:1 gene:gene-LATHSAT_LOCUS21999 transcript:rna-LATHSAT_LOCUS21999 gene_biotype:protein_coding transcript_biotype:protein_coding MYYREEIRLSSAGALRWVDSIPVEKWTRSFDRGCRWGHMTTNLVESLNSIFKGTRNLLITALVRETYYRLGSLFAARGKKWSAVLESGQIFSESSMKYMRDETSKAASHRIRPFDRHDYNFIVDETMDHNKGRPMGHYGVELHKNWCDCGKFQTFCMPCSHVIAACSSARQDPFLQLSEVYKAVNLFGIYNNSFPVVASEDYWSTYHGDTIYHNENMRRNKKGRPKSTRIITEMDTTEKMERLCGICRLLGHTRNNCPNVGTSSR >CAK8569114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672410774:672411457:1 gene:gene-LATHSAT_LOCUS21999 transcript:rna-LATHSAT_LOCUS21999-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNSIFKGTRNLLITALVRETYYRLGSLFAARGKKWSAVLESGQIFSESSMKYMRDETSKAASHRIRPFDRHDYNFIVDETMDHNKGRPMGHYGVELHKNWCDCGKFQTFCMPCSHVIAACSSARQDPFLQLSEVYKAVNLFGIYNNSFPVVASEDYWSTYHGDTIYHNENMRRNKKGRPKSTRIITEMDTTEKMERLCGICRLLGHTRNNCPNVGTSSR >CAK8536714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9428670:9429337:-1 gene:gene-LATHSAT_LOCUS6048 transcript:rna-LATHSAT_LOCUS6048 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLFVFLILVIASHSLCARITPKADDEWITFDVTNTKYGAIGDDNTDDSELGGTIIAPKNMEDWKWAEDKELAWIRFEDISGLTVNGGGQINGQGAPWWKEYPDNESKRPSVSLFI >CAK8568392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601845239:601845658:1 gene:gene-LATHSAT_LOCUS21352 transcript:rna-LATHSAT_LOCUS21352 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEEFMDDSDEEQQLQNERRSGSSSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTYAIRMLAYGSPADLVDEYV >CAK8572083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508075682:508085978:-1 gene:gene-LATHSAT_LOCUS24687 transcript:rna-LATHSAT_LOCUS24687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVKDAWKKYLLQLQLHPLRTKAITASVLAGFSDAVAQKISGAKKLQLRRVLLFMLYGFAYSGPFGHFLHKLMDTLFKGKKGNETVAKKVILEQITSSPWNNFFFMMYYGLVIEGRPWSLVMSKVKNDYPSVQMTAWKFWPVVGWVNYQYMPLQFRVLFHSFVASCWGIFLNLKARSVAIKKT >CAK8572085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508084870:508085978:-1 gene:gene-LATHSAT_LOCUS24687 transcript:rna-LATHSAT_LOCUS24687-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVKDAWKKYLLQLQLHPLRTKAITASVLAGFSDAVAQKISGAKKLQLRRVLLFMLYGFAYSGPFGHFLHKLMDTLFKGKKGNETVAKKVILEQITSSPWNNFFFMMYYGLVIEGRPWSLVMSKVKNDYPSVQMTAWKFWPVVGWVNYQYMPLQFRVLFHSFVASCWAIFLNLKARSGAIKKA >CAK8572084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508075682:508085978:-1 gene:gene-LATHSAT_LOCUS24687 transcript:rna-LATHSAT_LOCUS24687 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVKDAWKKYLLQLQLHPLRTKAITASVLAGFSDAVAQKISGAKKLQLRRVLLFMLYGFAYSGPFGHFLHKLMDTLFKGKKGNETVAKKVILEQITSSPWNNFFFMMYYGLVIEGRPWNLVMNKVKNDYPSVQLTAWKFWPVVGWVNYQYMPLQFRVLFHSFVASCWGIFLNLKARSVAIKKT >CAK8537010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:56111742:56112563:-1 gene:gene-LATHSAT_LOCUS6330 transcript:rna-LATHSAT_LOCUS6330 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWTFAQECCRREGEVRCLCLKCECRPIISDLEEVEHHLKRNGFIKSHWVLTYNGEQLLSNIHAETTNTHVSSSRSHMEFEEQFNLIDDMVGNAFGVNVIYDEPQDFDGEELPNEEAQRFYQLLKKMNTPLFERLADSKLSMCVRLLVAKSNWNVPDQCLEYFAKMMLDATHTKDKFPTSYYDAKRLVSKLDLEVRKIDCYINRCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINR >CAK8538061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460968644:460970375:-1 gene:gene-LATHSAT_LOCUS7301 transcript:rna-LATHSAT_LOCUS7301 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDEQKVQSEAHQPRWLDDFLEKKFFEPCSSHLNSRNKLNIYCINCKVSACKCCLSSGHHQNHQILQVFRNVYKDVVCLAALETYIDCSKIQPFKCNKKLVLNRLPLCGSTFDDVASCNISRKSKEAQSYQYCSIACMVKAVSRKSDDSVPSISSIQAPSHESRVEFSEPSKRKRKRKGTPHRAPLF >CAK8575590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:188993762:188994340:1 gene:gene-LATHSAT_LOCUS27841 transcript:rna-LATHSAT_LOCUS27841 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWSTVRWDFCCPDLLRIVIVIVASSYIRVCCSQLSTIHFCGSPKQNCIVVISSNEEQVTLETHSSSSTHTKPNPKSSATGSRGSKKARVSNFVPCLSKLREINLFDGDFSEVFTRLKVFTGMLFRCFLL >CAK8560076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6492919:6493275:-1 gene:gene-LATHSAT_LOCUS13789 transcript:rna-LATHSAT_LOCUS13789 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQRSAVSFRRQGSSGFVWDDRFLQEEINKINKNNQSNNQDQEKDEIKEMNRDEPPQLGSINTVQRSRSNGGGRGFRTGKVSPAIEPPSPRISACGFCGAFGKVGEKTKPPKHRSR >CAK8572359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531991158:531991553:1 gene:gene-LATHSAT_LOCUS24932 transcript:rna-LATHSAT_LOCUS24932 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAVMNSNTVTEFVNDKPNFNTFVDEWFAMIDTKGGGSLSRDQVRGGFGMFMPLGSYSHPQEEVDRMLELIFTRFDEDHNGSLDLNEFKKLMTEIMNAVARGIGGSPIIVALDKDSLLMKAVQRELATHS >CAK8567672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535083172:535086282:1 gene:gene-LATHSAT_LOCUS20701 transcript:rna-LATHSAT_LOCUS20701 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRLASFFTGAATASFAGLYILHRDYKLAHQSLAQQTNGLYESLNSRISSLEKLKQTETSQQAEATE >CAK8566064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:375816838:375817468:1 gene:gene-LATHSAT_LOCUS19231 transcript:rna-LATHSAT_LOCUS19231 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVHLININLSGTNIGEFMMSRYREVSKIVLRYLEHQDRLVRLSITSLLPRIAHFLRDSFVTNYLIICMNHILSVLKIPQDCDSGFIALGEMALALDGELSHYFPTICTHLREAIAPCRNKRSL >CAK8538668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491946074:491946736:-1 gene:gene-LATHSAT_LOCUS7843 transcript:rna-LATHSAT_LOCUS7843 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAITLCFNNTTNSSSSSSSSSVKLIFWEGTSRTLKGKHIAGEIMFEFPEMMVCHADSFFIGHPIPVLSINDELMLGQTYFILPIDRFFAIDTLSVSSISAFGSCPNNSPIKFGKCPFQYLKGSNGRVVIKVMPEFITGLICGDNNNINNKEMNENGASYSNGFLCSTPELKKHYEQLVKSKDQVWSPKLETITEYKVRFSPCRFIEWKEKEKPLELY >CAK8530793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50523472:50524873:1 gene:gene-LATHSAT_LOCUS634 transcript:rna-LATHSAT_LOCUS634 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDDNSESIEAHEIVLDVNSDASKNCEESVIKDSVPLLKKLVAEVVGTYLMIFAGCAAVIVNLNNDRVVTLPGIAFTWGFTVMVLIYSLGHISGAHFNPAVTIAHASTKIFPFKQVPAYIIAQVLGSTLASGTLKLIFNGKEDHFIGTLAAGSNLQAFVIEFICTFFLMFVISGVATDNRAVRELAGLAVGSTIIIDILFAGPLTGASMNPARSLGPAILYHEYTGLWIYLISPILGALTGTWTYNFIRHTNRPMCDEQTKIELPKIVPFLRRSR >CAK8530794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50523478:50524873:1 gene:gene-LATHSAT_LOCUS634 transcript:rna-LATHSAT_LOCUS634-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNSESIEAHEIVLDVNSDASKNCEESVIKDSVPLLKKLVAEVVGTYLMIFAGCAAVIVNLNNDRVVTLPGIAFTWGFTVMVLIYSLGHISGAHFNPAVTIAHASTKIFPFKQVPAYIIAQVLGSTLASGTLKLIFNGKEDHFIGTLAAGSNLQAFVIEFICTFFLMFVISGVATDNRAVRELAGLAVGSTIIIDILFAGPLTGASMNPARSLGPAILYHEYTGLWIYLISPILGALTGTWTYNFIRHTNRPMCDEQTKIELPKIVPFLRRSR >CAK8538248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473555591:473557133:-1 gene:gene-LATHSAT_LOCUS7468 transcript:rna-LATHSAT_LOCUS7468 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMRRPLLHYSAIFRYGVALRHKTFALPFLHQRSFTYVSSDESDLESDSSHSQSQPVNRPLRGCLEKAQNLDESLLHFNELDPSLKSTRICKELLMSLLKSGRTDDARHVLDQMLEPDSVFPPDHFVDEVVFGELVKRDRPGKGFADEEIVGLVTKLGERGVFPDTFKLTQMISKLCGKWKNSAAWELLHAVMKLGGTVEVASCNALLSGLGRERDIPKMNRLLAEMEERKINPSVITYGILINHLCKSRRIDEALQVFDKLRCKGGKNRIGVEPDVVLYNNLINGLCKVGREEDDLSLLEEMKTEKKNMPNTVTYNCLIDGFCKAGNIDKACQLFNLMNEEQVQPYVVTLNTLVDGMCKVRRVYSAVEFFNEMKGKGLKGNAVTYTALISAFCSVNNIDKAMQYFDEMLSSGCSPDAIVYYSLISGLTIAGRMDDASVVVSQLKRAGFRLDRACYNVLISGFCKKKKLE >CAK8533722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653806061:653809590:1 gene:gene-LATHSAT_LOCUS3324 transcript:rna-LATHSAT_LOCUS3324 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHRGDSRRFNNKPRARHHLTTQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIEQMIADVDKDGSGAIDYDEFEHMMTAKIGERDTKEELMKAFHIIDQDKNGKISTNDIKRIAKELGQNFTDREIQEMVDEADQNNDREVDPEEFVRMMNRTSFRH >CAK8540651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15228763:15231099:-1 gene:gene-LATHSAT_LOCUS9637 transcript:rna-LATHSAT_LOCUS9637 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLFKFPSPIRFPLFKKPFSTSLSLSNFSDTHFTKPSKISSFRPPTSQVLQKLHLYQNNPSLALSYFTHLNHLGFSHNIQTYASFIRILCYWNLNRKLDSLFIDIIAHSKQDPLFQIHELFESLFENVDFENKNHYLFRSFHVFVKACVSLNMFDEAIDFLFQFQVKRFRVLPSVFSFNFLINRLVMNDEVYMALGVYSEFKRLGLCPNHHTYAIIIKGLCKKGDDLIHASRVFDEMVEAGVTPNSYCYAAFIEGLCNNQMSDLGYEVLQERRKSNAPIEAYAYAAVIRGFCNEMKLDKAESVFFDMEWQGIFPDFNVYSLLIRGYCKIGDLHKAEVFYDDMISKGIKTNGVIASCILHCMSGMGMDSRVVDRFRELKHSGVFLDGVAYNIVFDSLCKLGKVDAAVAMLKELKSMRIDLDIKHYTTFINGYCLQGKPDVACSIFKEMEENGFKPDVVAYNVLAAGLFRNHHACEAIELLNCMDNQGVEPNATTHKIIIEGLCSAGKVEEAEAYFNALKVKSVEIYTSMVNGYCEAGLVEKSCELFCEISNEGDISEETPGFKKLSRVMYSKVLAALCKEGNIEHARSLFDFFLERGFTPDVVTYTIMIKSYCRLNCLQEAHDLFRDMKIRGIKPDVITYTVLLDGKFKQVNLKRCFSLQHKKGSDATYGVSTILTEMQQTKVSPDVVIYTVLIDGFVKVDNFQEAVRFFNEIIELRLEPDNVTYTALLSGLLNRGHTEKAVILYNEMSSKGMMPPLPIISKLKRQVIKARKVQFRK >CAK8544102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663087878:663088855:1 gene:gene-LATHSAT_LOCUS12808 transcript:rna-LATHSAT_LOCUS12808 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMPPGYRFYPTEEELISFYLRNMLEGEKQCVIRTVIPVVNIYDYNPSQLPQISGEASMKDKEQWFFFIPRQETEVRGGRPKRLTTTGYWKATGSPNHVYSSDNRVIGMKRTMVFYYGRAPNGKKTDWKMNEYKAIQDESGPKLRQEFSLSRVYKKSKCLRAFDRRPLPRRVTPCVQNVQEHQMTSTCDHDVQISATSSPECSSSVEHGQSSLEVGEGSQMDINVDHELLLDWEQVDWFLGSEP >CAK8572428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536463793:536465852:1 gene:gene-LATHSAT_LOCUS24999 transcript:rna-LATHSAT_LOCUS24999 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKQDMMKIQNCLLKVNIHCEGCEQKVKKLLQKIEGVYSVNIDAEQGKVLVSGHVDPAKLIKKLRSSGKYAELWGGQRSMVFNQNQNFQQQQQQQPQFKNVQMENNKGGKNSKPQNQKGQKGGGGGGGGVQVAQFQNPKGGKDLKGANKSQKHVNFDLSEDEFDESDGDFDDYDDEDDFDDDDEDDDFGHGQAHGNGFGHPMQNNKMMAMMGNGRGPQLGPGGMMNGPGGMMNGPGGMNNHKGNGFGGGGGGGVGNYASAKKGDVLDLPMQMKGKGGNYGEVKNGKKGGGGGGGGGEDGGKKNKGGGKQKGESDWEDEKNSSKKKKNGKTKNSSGGFLVKFLGLGKKSKKGSASEATNKNKNNGGGGGNKGKEGKKGGTKLDKLDFDFQDFDISTPHGKNGKSGNGNGKGNPAKVNNNGHGNNNGNVGQMGPMGGGMNQMGGMGRMAPMGQMGPMDHMRPAVQGLPAGAAAAMSGGYYPGMQQMQMQPNPYQQQQQQQQQQYMAAMMMQQQQQQQQANMNSMYPPHMMYGGGRTHPSMNYMPPPPMPSHPMADPITHVFSDENTESCSIM >CAK8568150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576954846:576962184:-1 gene:gene-LATHSAT_LOCUS21141 transcript:rna-LATHSAT_LOCUS21141 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDRDKDINNTLIQSLLSKEVSSIQPKEEGEEERFGKKVWIETKKLWKIVGPTIFSRIASFTMNVVTQAFAGHLGEVELASITIANTVIVGFNFGLLLGMASALETLCGQAFGAKRHNMLGIYLQRSWIVLFVCCFLLLPFYVFATPFLKLIGQPDDVAESCGTVAIWLIPLHFSYAFQLPLQRFLQCQLKTGVIAWVSLLGLVVNVVTSWLLVYVWDFGLIGAAISLDVSWWVPVFGMFGYTVCGGCPLTWNGFTMEAFYDLWDFFKLSLASGVMLCLENWYYRILLLMTGQLENATVAVDALSICMTINAWEMMIPLAFFAGTGVRVANELGAGKGKSAKFAMQVSVIQSTVIGLIFCVLIMIFHRQFAYIFTSSPSVLQVVNNMSILLAVTILLNSIQPVLSGVAVGSGWQVFVAYVNIGCYYLIGLPLGIIMGWVFNTGIEGIWSGMIFGGTTIQTLILIIITVRCDWQKEAEKAGSHVNKWSVIKSNDQLQITD >CAK8531354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104393995:104400565:1 gene:gene-LATHSAT_LOCUS1151 transcript:rna-LATHSAT_LOCUS1151-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKALSILMRARMKPHDRPNLSLSPLPLTNAASKTQQQNSHKLQKEGNSAPNDSGNSESEIKGVYASMRSAISMHKTEVLDDVLNNFSEGYFTLSDENRRKLLLVLARDYDLNRSQVRELIKQYLGLELPADKAQASGSEEEGLFSSFYRIERNLRHALQPVYEVLFDRLNTHPGGLRFLSILRANILSILTEENIASLRALDSCLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGIGRRCFGYLHPAIPGEPLIFIEVALMKNVAQTIQEVLWDSPPIPESEATSALFYSISSTQPGLAGINLGKFLIKRVVKLVKREMPHISTFATLSPIPGFMTWLLSKLASQTVLAEGDMSQPVAEGSASTFFENILKPDEEEALMSLPKDIATGKNGMEVIFNLLTSTSYKWIHSPETLSALKTPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWMADLSEKGLSQSGGIMVNYVYSLDNIEEYAHSYFSNGVIHASGDLQRHLEVMTSA >CAK8531353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104393995:104400746:1 gene:gene-LATHSAT_LOCUS1151 transcript:rna-LATHSAT_LOCUS1151 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKALSILMRARMKPHDRPNLSLSPLPLTNAASKTQQQNSHKLQKEGNSAPNDSGNSESEIKGVYASMRSAISMHKTEVLDDVLNNFSEGYFTLSDENRRKLLLVLARDYDLNRSQVRELIKQYLGLELPADKAQASGSEEEGLFSSFYRIERNLRHALQPVYEVLFDRLNTHPGGLRFLSILRANILSILTEENIASLRALDSCLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGIGRRCFGYLHPAIPGEPLIFIEVALMKNVAQTIQEVLWDSPPIPESEATSALFYSISSTQPGLAGINLGKFLIKRVVKLVKREMPHISTFATLSPIPGFMTWLLSKLASQTVLAEGDMSQPVAEGSASTFFENILKPDEEEALMSLPKDIATGKNGMEVIFNLLTSTSYKWIHSPETLSALKTPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWMADLSEKGLSQSGGIMVNYVYSLDNIEEYAHSYFSNGVIHASGDLQRHLEPQSDK >CAK8542908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557901045:557902738:1 gene:gene-LATHSAT_LOCUS11706 transcript:rna-LATHSAT_LOCUS11706 gene_biotype:protein_coding transcript_biotype:protein_coding MSETETEKNHPGIKLFGWKIPVNSSPMDTCSNTKKASVEMLSAEKSERRDNSSDSMDSKQESENNMQEKEPPIVSSNSKPDEDITETGDTDQDKMLKKPDKIVQCPRCKSWDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIVNSDGIPISRQETTSLSGHCHESRDNETVLKFGPDTPLRESMHSMLNVRDHKRSTDADSIGNVQQREEPILCGSLVTNTGTRGNECSNHNASNWLQCYPVPPWVLPMNPGWNNVASMAAIHPTSASMCNPYNAAVPTAMQWCPPPPMFAIPGIRPQNIPLQLVSASRLNGPSLCLSPPSSTSNSCSGNGSPTLGKHTRDTVFADEDKSDKCIPVTKTVRIDVQNDVPKSPTRIYGEGKNSLLEGNNSTQQLLSPE >CAK8568423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605365100:605368463:-1 gene:gene-LATHSAT_LOCUS21381 transcript:rna-LATHSAT_LOCUS21381 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTRTSSRFSHLASPQRVASLHTTLPSLSTTSPTPYAPPPPPSASSPAGLSKSGEYVISKVDDLLNWARRGSLWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWSQ >CAK8567974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560060962:560065659:1 gene:gene-LATHSAT_LOCUS20978 transcript:rna-LATHSAT_LOCUS20978 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQTLRFAFLLLLCSSVFSWKKEEFRNCNQTPFCKRARSRTPGSSDLIATHVAITDGDLTANLIPKSQSESNPKPLILTLSVYQDGILRLKIDEDSSLNPPKKRFQVPDVVVSQFPNTKLWLQRVTSEDINGLSSAVYLSDGYSAVLRHDPFELFIRDDNSGDRVISLNSHGLFDFEQLREKNEDENWEETFRSHTDKRPYGPQSISFDVSFYDADFVYGIPERATSLVLKPTRGPNVEESEPYRLFNLDVFEYIHESPFGLYGSIPFMLSHGKARGTSGFFWLNAAEMQIDVLAPGWDAESGISLPSSQNRIDTMWMSEAGVVDTFFFVGPRPKDVLRQYAAVTGPSALPQMFAVAYHQCRWNYRDQEDVANVDAKFDEHDIPYDILWLDIEHTDGKRYFTWDRVLFPNPEEMQRKLAAKGRHMVTIVDPHIKRDDSFHLHKEASEKGYYVKDSSGNDFDGWCWPGSSSYADTLSPEIRSWWADKFSYQSYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHYGGVEHRELHNAYGYYFHMATAEGLVRRGEGKDRPFVLSRALFAGSQRYGAVWTGDNTADWDQLRVSVPMVLTLGLTGMSFAGADVGGFFGNPEPELLLRWYQLGAFYPFFRAHAHHDTKRREPWLFGERNTGLIRDAIHVRYALLPYFYTLFREANTTGVPVARPVWMEFPSDEATFSNDEAFMVGNSILVQGIYTEHAKHASVYLPGKQSWYDLRTGTVYKGGVTHKLEVTEESIPAFQRGGTILTRKDRFRRSSTQMTNDPITLVIALNSSQAAEGELYIDDGSSFGFLDGAFIHRRFIFANGKLTSVNLAPISGGNVHHTSDVVIERIILLGHAPGSKNALIEPSNQKVDIELGPLWVQRERSPVFMTIRKPNVRVAEDWTIKIL >CAK8537514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:382360943:382361290:-1 gene:gene-LATHSAT_LOCUS6803 transcript:rna-LATHSAT_LOCUS6803 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNVRKVYYVPYPSIQPSKLGWCVVIKSNPMGYIESDGVIEDDITYQEDEISPINGVIEIKKNTILGDTIVVGQQVDVTILLSINHVEEEQEESGDSENNNIISDEDNENYDDE >CAK8562149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448453311:448453597:-1 gene:gene-LATHSAT_LOCUS15673 transcript:rna-LATHSAT_LOCUS15673 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTYEEKIFNRRSAIRAKKLRRLIIDHENSKQDEQDHVISSILRMKIVQIALFALIRQHHSEYCRI >CAK8576598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496902358:496907229:-1 gene:gene-LATHSAT_LOCUS28773 transcript:rna-LATHSAT_LOCUS28773 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSPMDFDPSSPDIPPSSPIPNTLSTPGRRRRRQSTTPSAYGTPQPNRSRLASSDATPTPSRHRRGGGIPSGRRVPATPTSTSDDVPMSSEGGDGYDMDDAGPTYVWGTNISVEDVNDAIQRFLKHFREQSTSQGDIDDLDTEGKYEKLIKQVIELEGESIDVDARDVFDHDPDLYTKMVRYPLEVLAIFDMVLMNMVTRMKPMFEKHVQTRIFNLKTSTSMRNLNPSDVERMISMKGMIIRSSSIIPEIREAIFRCLVCGYCSDPVLVERGRIAEPTVCLREECQSRNSMTLVHNRCKFTDKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDTGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTSKSRMLVEDAMEVDNGQGRNAEEVLFSEEKVAQLKELSKQPDIYERLTKSLAPNIWELDDVKKGLLCQLFGGNALKLATGASFRGDINVLLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLLLDKADEQTDRRLAKHIVSLHFKDHETMEQDVLDISTLTDYVSYARKHIHPQLSDEAADELITGYVKIRGRGKFTGSSKKVITATPRQIESLLRLSEALARIRFSEWVEKHDVLEAFRLLEVAMQQSAMDIKTGTIDMDLITTGVSASERIRRESLIQDTRNIIMEKMQIGGRSMRLLEILEELKNQSPGNEIHLNDLRNAVSTLASEGFLSMVGDSVKRT >CAK8538966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500481341:500484764:1 gene:gene-LATHSAT_LOCUS8110 transcript:rna-LATHSAT_LOCUS8110 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSYILISSVLVFSFFLQVQPSFAIGKSYVVYLGAHSHGLNPSSADLNYATKSHYSLLSSILGSNEKAKDAIFYSYNRHINGFAAMLEDDEAEELARNPNVVSVSLNKMHELHTTRSWEFLGLEGNGIAPKDSSWELARYGEGTIIGNLDTGVWPESESFSDQGMGPVPSKWRGNGICEIDNFINSNKSYCNRKLVGARIFYRGYEAQAHAGIRNTSLYTARDTVGHGSHTLSTAGGNFVQGVSVFGNGNGTAKGGSPKSRVAAYKVCWPGEGVHSGGCYDADILAGFEAAISDGVDVISVSLGAKSRNLFADSVAIGSFHAVANGIVVVSSAGNSGPYFGTVSNTAPWLFTVAASTMDRDFTSYIKLGDSKSLKGTSLSPKDLPTEKLYPLISAEDAKHSYALTRAAKHCRFGTLDVKKVKGKIVICLEDEYVGTFYPGAEAFSAGAVGMILASEIDSFYDSIAYPHILPTSYVNYTDSQYIDSYIRSDKNPVAYITKAVTETPIIPAPVIASFSSRGPSTILPSILKPDITAPGVNIIAAYSDAASKNEDKIMTSYKSLSGTSMSCPHVSGVVGLLKTLHPEWSPAAIKSAIMTTASGMDNSQRPIQDRFEENATPFAYGSGHIQPDLALDPGLIYDLEVVDYMNLLCSDAQWHKQVEAIYNRPFICPKLYNVMNFNYPTITILDLGNKAVVASRTVTNVGPPSKYELQVKAPDGVSVYVVPSFLSFKQVGEKRSFNVVVRKITEKGNATMEYVFGELLWTNGKHRVRSSIVVKLK >CAK8566367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414589782:414590930:1 gene:gene-LATHSAT_LOCUS19500 transcript:rna-LATHSAT_LOCUS19500 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRVQTLAFNQLKELPPQFVRPDNERPENTKALEGVNVPIISLSQPHHLLVTKITEAASEWGFFVITDHGISAKLIENLQDVGQEFFSLPQKEKEVYANDPSSGKFDGYGTKMTKNLEEKVEWVDYYFHLMSPPSKVNYEMWPKNPSSYRKVTEEYNKEILRVTNNLLELLSEGLALESNVLKSCLGGEEIELEMKINMYPPCPQPELALGVEPHTDMSALTLLVSNDVPGLQIWKENGWVAVNYLQNALFVHIGDQLEVLSNGRYKSVLHRSLVNKERKRMSWAVFVAPPHQVMVGPLPPLVNDQNPPKYSTKTYVEYRYRKFNKIPQ >CAK8534101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694884663:694885292:-1 gene:gene-LATHSAT_LOCUS3671 transcript:rna-LATHSAT_LOCUS3671 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDLFEVFKVYGVISEVFFSAKRDKRGNRFGFAKFQKVHDPRILACNLDSIVLEGKKIYVNIPKFSKENKRHLPVSEKNKRHLPDCENLRSNIQTGCTRNFLKPNIQVRSFAKVVRGDYPKFIDRAKVGGQCTKLELDDEWSRRLNRMRVGEVMKDGNAFNIQKLIFEEGYFNIKVTPLGASLCLMEDTSGGDLEGFIKEARVWLNI >CAK8536839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:26528919:26532688:1 gene:gene-LATHSAT_LOCUS6167 transcript:rna-LATHSAT_LOCUS6167-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDNKTNHYESNGLSSSTKEKEQNSEKVCNKKPGGWKAMPFILGNETFERLAAFGLFANFMVYLTREFHLDQVHASNILNMWSGITNFAPLLGAFISDTYTGRFKTIAFCSVFSFLGMTVVTLTAWLPQLHPPSCSPQEQALNQCVKATNAHLGFLFMGLIFLSVGSSGIRPCSIPFGVDQFDPTTEEGKKGINSFFNWYYTSFTVVLLITQTVIVYIQDSVSWTFGFAIPTLCMFCSIILFFVGTKIYVHVKPEGSILSSIAQVFVASFRKRKVKVPCEKVVDGIFYDPPLIGSAILSKLPSTNQFRVLDKGALIMEGDLNLDGTIVNQWNLVSIQQVEEVKCLARTLPIWAAGILGFTAMAQVGTFIISQAMKMDRHLGPNFQIPAGSLGVVSFIIIGLWVPFYDRVCVPTLRKITKHEGGITLLQRIGIGMVFSIIAMIVAGYVEKVRRDVANSNPNPQGIAPMSVMWLFPQLILMGFCEAFNIIGLIEFFNRQFPDHMRSIANALFSCSFALANYVSSILVITVHNVTGTHSHPDWLTNDINVGRLDYFYYLLAGVGVLNLAYFVYVSQRYQYKGSVDIQEKQTQDVELGTKGELDYYTKEV >CAK8536840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:26528919:26532688:1 gene:gene-LATHSAT_LOCUS6167 transcript:rna-LATHSAT_LOCUS6167-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDNKTNHYESNGLSSSTKEKEQNSEKVCNKKPGGWKAMPFILGNETFERLAAFGLFANFMVYLTREFHLDQVHASNILNMWSGITNFAPLLGAFISDTYTGRFKTIAFCSVFSFLGMTVVTLTAWLPQLHPPSCSPQEQALNQCVKATNAHLGFLFMGLIFLSVGSSGIRPCSIPFGVDQFDPTTEEGKKGINSFFNWYYTSFTVIYVHVKPEGSILSSIAQVFVASFRKRKVKVPCEKVVDGIFYDPPLIGSAILSKLPSTNQFRVLDKGALIMEGDLNLDGTIVNQWNLVSIQQVEEVKCLARTLPIWAAGILGFTAMAQVGTFIISQAMKMDRHLGPNFQIPAGSLGVVSFIIIGLWVPFYDRVCVPTLRKITKHEGGITLLQRIGIGMVFSIIAMIVAGYVEKVRRDVANSNPNPQGIAPMSVMWLFPQLILMGFCEAFNIIGLIEFFNRQFPDHMRSIANALFSCSFALANYVSSILVITVHNVTGTHSHPDWLTNDINVGRLDYFYYLLAGVGVLNLAYFVYVSQRYQYKGSVDIQEKQTQDVELGTKGELDYYTKEV >CAK8536838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:26528898:26532688:1 gene:gene-LATHSAT_LOCUS6167 transcript:rna-LATHSAT_LOCUS6167 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSCQKMSEEDNKTNHYESNGLSSSTKEKEQNSEKVCNKKPGGWKAMPFILGNETFERLAAFGLFANFMVYLTREFHLDQVHASNILNMWSGITNFAPLLGAFISDTYTGRFKTIAFCSVFSFLGMTVVTLTAWLPQLHPPSCSPQEQALNQCVKATNAHLGFLFMGLIFLSVGSSGIRPCSIPFGVDQFDPTTEEGKKGINSFFNWYYTSFTVVLLITQTVIVYIQDSVSWTFGFAIPTLCMFCSIILFFVGTKIYVHVKPEGSILSSIAQVFVASFRKRKVKVPCEKVVDGIFYDPPLIGSAILSKLPSTNQFRVLDKGALIMEGDLNLDGTIVNQWNLVSIQQVEEVKCLARTLPIWAAGILGFTAMAQVGTFIISQAMKMDRHLGPNFQIPAGSLGVVSFIIIGLWVPFYDRVCVPTLRKITKHEGGITLLQRIGIGMVFSIIAMIVAGYVEKVRRDVANSNPNPQGIAPMSVMWLFPQLILMGFCEAFNIIGLIEFFNRQFPDHMRSIANALFSCSFALANYVSSILVITVHNVTGTHSHPDWLTNDINVGRLDYFYYLLAGVGVLNLAYFVYVSQRYQYKGSVDIQEKQTQDVELGTKGELDYYTKEV >CAK8536617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2656960:2658486:-1 gene:gene-LATHSAT_LOCUS5969 transcript:rna-LATHSAT_LOCUS5969-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKQAHAQIFTTGLENNTFALSRVLAFCSSHKHHHGTLTYACRVFDRIQNPTVCIYNTIIKAFLLNNNFKSTLHVFVKMLQNGLRPDNYTIPYVLKACAGLRDCSLGKMIHGYGSKLGLVFDIFVGNSLMMMYCVFGDVVAARYVFDEIPSLSAVSWSVMISGYAKVGDVDLARLFFDEAPEKDKGIWGAMISGYVQNSCFKESLYLFRLMQLTDIVPDESIFVSILSACAHLGASDIGVWIHRHLNRSKLVPLSVQLSTSLLDMYAKCGDLETAKRLFNSMQERDIVCWNAMISGMAMHGDGKGALKLFHDMEKVGIKPDDITFIAVFTACSYSGMADEGLKLLDKMCSVFNIEPKSEHYGCLVDLLSRAGLFEEAMVIIRKITKSLNNSEETLAWRAFLSACCNHGETQLAEFAAEKVLQMDKHIQSGVYVLLSNLYSASGKHSNAGRVRDMMKIKGANKTPGCSSVEIDGVVSEFIAGEKTHPLMEEIHSVLEKMHMQLDSNQ >CAK8536616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2656960:2658537:-1 gene:gene-LATHSAT_LOCUS5969 transcript:rna-LATHSAT_LOCUS5969 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKRCLVLLEKCKNMNHLKQAHAQIFTTGLENNTFALSRVLAFCSSHKHHHGTLTYACRVFDRIQNPTVCIYNTIIKAFLLNNNFKSTLHVFVKMLQNGLRPDNYTIPYVLKACAGLRDCSLGKMIHGYGSKLGLVFDIFVGNSLMMMYCVFGDVVAARYVFDEIPSLSAVSWSVMISGYAKVGDVDLARLFFDEAPEKDKGIWGAMISGYVQNSCFKESLYLFRLMQLTDIVPDESIFVSILSACAHLGASDIGVWIHRHLNRSKLVPLSVQLSTSLLDMYAKCGDLETAKRLFNSMQERDIVCWNAMISGMAMHGDGKGALKLFHDMEKVGIKPDDITFIAVFTACSYSGMADEGLKLLDKMCSVFNIEPKSEHYGCLVDLLSRAGLFEEAMVIIRKITKSLNNSEETLAWRAFLSACCNHGETQLAEFAAEKVLQMDKHIQSGVYVLLSNLYSASGKHSNAGRVRDMMKIKGANKTPGCSSVEIDGVVSEFIAGEKTHPLMEEIHSVLEKMHMQLDSNQ >CAK8563286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589903266:589903694:-1 gene:gene-LATHSAT_LOCUS16712 transcript:rna-LATHSAT_LOCUS16712 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSKKFGSEEECESSESGWTMYIGSHIEDDDMDEEGTTHQGYPEDDESDDSMVSDASSGPSHQHGNDIGKGGIVNYGLQGFKQVVVEETQYDNHDDDDDESKYCLEKKATKTVEKKMEEKNFDCKGKSSVQGGGGKVRKRK >CAK8577972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599408189:599408536:1 gene:gene-LATHSAT_LOCUS30026 transcript:rna-LATHSAT_LOCUS30026 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPAPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8543989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654435279:654436344:1 gene:gene-LATHSAT_LOCUS12704 transcript:rna-LATHSAT_LOCUS12704 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNIESTVPFVPPPESSLSFLYNYNNYNNYTYSGMEASEVALCETQQRLLPMIDDEMNMINNGNERGEMKNKKIKLTSNQVESLERSFQEEIKLDPERKTKLSAELGLHPRQITVWFQNRRTRWKTKQLEHSYDVLKQHFDIVCIEKQKLQEEVMELKEKLKEKADFRTQTFGDETLESTSEGLGQREIEGDYPYPCSNNNQGTSSSTQQVAVGYDYSSFIVEELNSASLLSEFHWHELPYHP >CAK8540522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9790934:9794829:-1 gene:gene-LATHSAT_LOCUS9521 transcript:rna-LATHSAT_LOCUS9521 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQELLAKEGFKGSSKRVLKSRSSFHHGASSDPLHSLEERLCVSSSERIKTQKTKSKTASRYQINNTNDSNNTRTRDNNNNVLKGNKMVHERLKNDETEKNESHDSSESDSGFKDVYSNQVSNARRRKDKKEKVVEKPLKSSYMLRQSSGNRKIYENNNQATNSQDPSNLAIDEIAVKALVSILNGYIESFLKDEDFRSALRHNCFSSLNFIHLDKEENNSETKVITSLEQAIECVEKTAEKDESISAIHLKRATMQLSIITGLSLNDLKYDLTCGIANFKLSACAHLYLSMARTILLPELWEHIFSPHLSHLKKWYISKEGEIVADTQTKARKLKILQKVYSENLDSGTRVFALYYKDWLGEGVETPTLPCIGIPSLSVTSRQASSFGHSFESGSSNEPFSPQAMVSKKLYDSFFGGYRKPEVYEVEEDDKDEDSFENCERGSYGSTVVKKTLIYESETVKFIDQSSEDFTPSVQIHEFRKMQPNKISSNLEGSYFPSIPSEFICPLTRKIFEEPVTLESGQTFERKAIKAWFEKGNRTCPVTGNTLECVFMPFTNLILKRLIDNWKTEDFGRLLDFASQKVENSEELELKKRDETIVFKLQSLFASLNEEEKSTYAKHIISLGVFSFLFKRFEFGNIEEKSHVLEILLNCIQADSSCIYKIARSVDRKILLELLHSKMVTPTTNAMFFLTELLSMKRRKDVTSFLSGLVGEDVYSTMHIVLMYLKNCSPIEKPLIAVLLLHFDLLVEPQKLGIYIETAVNAIAEALDASLNDEKIQHKCCKALLILCGHFSSNGKIITDTTVLKQAGYKNGSSELKSPSYDEEDQQLDATISSEDEEEERNEEFMINLLESLIGDGESPFLKTISRCLESRHVDLVRTSLITITWLSSSLSKQYNAGLHLPAFLAVISQLKEILQNSELELKTLASMSLFNFSKISECRTLLKIMAQDIAPILHGLVDVIWTAKKLHAILM >CAK8540521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9790934:9794829:-1 gene:gene-LATHSAT_LOCUS9521 transcript:rna-LATHSAT_LOCUS9521-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQELLAKEGFKGSSKRVLKSRSSFHHGASSDPLHSLEERLCVSSSERIKTQKTKSKTASRYQINNTNDSNNTRTRDNNNNVLKGNKMVHERLKNDETEKNESHDSSESDSGFKDVYSNQVSNARRRKDKKEKVVEKPLKSSYMLRQSSGNRKIYENNNQATNSQDPSNLAIDEIAVKALVSILNGYIESFLKDEDFRSALRHNCFSSLNFIHLDKEENNSETKVITSLEQAIECVEKTAEKDESISAIHLKRATMQLSIITGLSLNDLKYDLTCGIANFKLSACAHLYLSMVYLMQRKKKVSAKHLLQVFCDSPFQARTILLPELWEHIFSPHLSHLKKWYISKEGEIVADTQTKARKLKILQKVYSENLDSGTRVFALYYKDWLGEGVETPTLPCIGIPSLSVTSRQASSFGHSFESGSSNEPFSPQAMVSKKLYDSFFGGYRKPEVYEVEEDDKDEDSFENCERGSYGSTVVKKTLIYESETVKFIDQSSEDFTPSVQIHEFRKMQPNKISSNLEGSYFPSIPSEFICPLTRKIFEEPVTLESGQTFERKAIKAWFEKGNRTCPVTGNTLECVFMPFTNLILKRLIDNWKTEDFGRLLDFASQKVENSEELELKKRDETIVFKLQSLFASLNEEEKSTYAKHIISLGVFSFLFKRFEFGNIEEKSHVLEILLNCIQADSSCIYKIARSVDRKILLELLHSKMVTPTTNAMFFLTELLSMKRRKDVTSFLSGLVGEDVYSTMHIVLMYLKNCSPIEKPLIAVLLLHFDLLVEPQKLGIYIETAVNAIAEALDASLNDEKIQHKCCKALLILCGHFSSNGKIITDTTVLKQAGYKNGSSELKSPSYDEEDQQLDATISSEDEEEERNEEFMINLLESLIGDGESPFLKTISRCLESRHVDLVRTSLITITWLSSSLSKQYNAGLHLPAFLAVISQLKEILQNSELELKTLASMSLFNFSKISECRTLLKIMAQDIAPILHGLVDVIWTAKKLHAILM >CAK8531254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93452326:93453785:-1 gene:gene-LATHSAT_LOCUS1060 transcript:rna-LATHSAT_LOCUS1060 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQLGVLKVIVVQGRRLVIRDFKTSDPYVVLKLGNQTAKTKVINSCLNPVWNEELNFTLTEPLGVLNLEVFDKDLLKADDKMGNAFINLQPLVSAARLRDILKVSSGETTLRKVIPDTDNCLVRESSINCVNGAVVQNVWLRLREVESGELELTLKLTKPVAPSK >CAK8534000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681451286:681451817:1 gene:gene-LATHSAT_LOCUS3576 transcript:rna-LATHSAT_LOCUS3576 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKGIVEETDMPLKMQTQAMSYASQALDLYDVRDCRSIASHIKKGFDKMYGSGWQCVVGSNFGCFFTHTPETFIYFSLETLKFLIFKGASS >CAK8573162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589994661:589997533:-1 gene:gene-LATHSAT_LOCUS25641 transcript:rna-LATHSAT_LOCUS25641 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSEIFYVYGQSWLCSTGLPSDVIIEIGEASFHLHKFPLISRSKELERFMRDLPNDNEKSILELHDLPGGAKAFLLVARFCYGVKMELTPSNVVPLRCAAEYLQMTEDYGEGNLIIQTEKFLNHIFGYWTDTLKALKTCEGVLPLAEELHITSRCIHSLVLKAADPTLAILPLSGPSSVQSPEDSEMWNGISTSLTSKESGEDWWFEDVSSLSLPLYKRFMQAGIARHMKPRRVSGSLVYYAKKNIPSLSSYQNGNSAKLNLSEADQRNLIEEIVELLPNEKGITPTKFLLKCLRTAMALYASSSCCSSLEKRIGFQLDEADLEDLLIPNIGYSMETIHDIDCVQRMLDHFMIVDHDDVDSTSNNDIVEEERRIVANCQHLNPMTKVAELMDSYLAEVAPDVNLKLPKFQSLAAVIPDCARTLDDGIYRAIDIFLKSHAWMTESEKEQICRLMNCQKLSLEASTHAAQNERLPLRVVVQVLFFEQLKLRTSVAGWFFASDTLENPTNLSGNLALMRSDGNGNNITHNNPVVAFDHMKDRVSELEKECLSMKQDLEKMMKSKGSWNMLLKKLGCRLIPKTSLPKVSKPCRKSKIAPASVTDLEENAVVVT >CAK8573010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576840830:576841681:1 gene:gene-LATHSAT_LOCUS25505 transcript:rna-LATHSAT_LOCUS25505 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSNLPIQKLFSSKFVLLIFTTFYLSLAATKANSQKTVSFNVTDGKNRWPKSDFIFQGDALIFPDGVLSLTDVAYTSIGRVLYSTPVPIWDRTTGNVANFVTSFTFEIYTWPGIQPGDGLIFFLTDPANKKIPANSGQGLLGVADAKNSLNNFIGVEFDNYVDTWDPKFTHIGIDLNSIYSTKCTEWKLVPAYLVKVEIAYDSPTSTMTVVVTDEFGNTSKLSQIFDLKCVLSDTVLVGISGSSRIAQAHDIHSWSFSSTLDTTARSSSDRTNNNKESYA >CAK8532534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:282875343:282878678:-1 gene:gene-LATHSAT_LOCUS2230 transcript:rna-LATHSAT_LOCUS2230 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVVLERIENKINRQVTFSKRRSGLLKKAFELCVLCDAEVALIIFSSRGKLFQYSSTDVNKIIERYRQCRYNKSQAGNSFGQNESQNLYQDYLKLKTKYESLNRKQRHFNGEELEELNLKELQSLEERLDLTLTQARQQQMKKLLARAEELREKVHNLEELNKTLEPKITDELSNLVPDNTNHIQLHAIQANHFE >CAK8532533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:282875343:282878678:-1 gene:gene-LATHSAT_LOCUS2230 transcript:rna-LATHSAT_LOCUS2230-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVVLERIENKINRQVTFSKRRSGLLKKAFELCVLCDAEVALIIFSSRGKLFQYSSTDVNKIIERYRQCRYNKSQAGNSFGQNESQNLYQDYLKLKTKYESLNRKQRHFNGEELEELNLKELQSLEERLDLTLTQARQQQMKKLLARAEELREKVHNLEELNKTLEPKIITDELSNLVPDNTNHIQLHAIQANHFE >CAK8562296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468242127:468242505:-1 gene:gene-LATHSAT_LOCUS15803 transcript:rna-LATHSAT_LOCUS15803 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPIMIADLKLGNNVWKLAIRIVDLWTVTERNGQQHFECVIQDSKYDKIHVVTRNRDFDLWKQRLQEHMTYMVYNGDPLNNDIPLKICENLLKLFFQ >CAK8539674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521128136:521128826:-1 gene:gene-LATHSAT_LOCUS8752 transcript:rna-LATHSAT_LOCUS8752 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELTATKPSRSDEVLDTEEQIRITNQIKAQFDALTPKRPIKPNRSEPEPQNTVSSTTLSSQNIPELEKLQSLQSNSQVFPSSHGVVDGQDEFVETHYYKELLSIDKQHHATGSGFIKVVREDESGYEIQLPVNHVVVGETQIKGYKSNPATNDWVPNLDQHNQDFMSSKPNRSEST >CAK8575515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:148336439:148336753:-1 gene:gene-LATHSAT_LOCUS27772 transcript:rna-LATHSAT_LOCUS27772 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKNSIPDSVFEESCFTVEESLNSVYRQGRKTESFGPLEIKVVENGTFDKVMDFALSQGASINQYKTPRCVKYDPIIELLNTKTVSSFFSLQCPHWVPGHKNW >CAK8540438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560569981:560570199:-1 gene:gene-LATHSAT_LOCUS9443 transcript:rna-LATHSAT_LOCUS9443 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSKIISHNIRELVNNDASVKVKVIQAHIAEKYGYRISYRKYWIAKIKVVKSLYGNWETSYNELP >CAK8560363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15731108:15734566:1 gene:gene-LATHSAT_LOCUS14042 transcript:rna-LATHSAT_LOCUS14042 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEVKSWINRGQKVKGTVLLMQKNVLDINVLTSATSARGLFIGGVNAAAGVTNALIDTYTAHWGRSVAFSLISATSTDGSGKGKVGEKTYLEGLVTSIPILGAGQSAFDIHFDWDSEMGIPGAFYVENKMQGEFFLVSLTLEDIPNHGTIHFVCNSWVYKHTKYNTKRIFFANKTYLPSKTPAPLVYYRQEELKNLRGDGRGERVESERIYDYDVYNDLGNPDRKASLARPVVGGSSNMPYPRRGRTGRNPSKKDPKSESRGDFFYIPRDESFGHLKSSDFLVYILKSASQNIIPQLRSVVTLQLNNSEFNTFEEVRSLYDGGIKLPTDVLSKISPIPLFKEIFRNDGEQALKFPPPKVIQVNQSAWMTDEEFAREMIAGVNPHIIKRLQEFPPKSKLDRQLYGDNTSTITKEHLEPNMGGVTVEQAIQNKKLYILDHHDTIYPFLRKINATDTKTYATRTIILLQNDGTLKPLAIELSKPHPQADSFGPVSKVYLPVSEGVEASIWFLAKAFVVVNDATHHQLCSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLGGACSVEMSSFVYKDWVFTEQGLPHDLLKRGVAVEDPTSPHGVRLLIEDYPYAVDGLDIWAAIKLWVEEYVNFYYKSDTDVSQDSELQAFWKELVEVGHGDLKNATWWFKMQTRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGSPEYDELSKNFQKAYLKTITPKKDALTDLTILEVLSRHASDEQYLGQRNEGELWTSDSVPLEAFKRFGRKLSEIEKKLVGRNNDESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >CAK8574473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:324599:330968:-1 gene:gene-LATHSAT_LOCUS26817 transcript:rna-LATHSAT_LOCUS26817 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFKKFTPLFIVILIALPFLLMNSKSNFSLFDDFTKDKMLHLLGENKNGGRLHNISDDHINVQNNTDSKVQGKIENGTTPTEVRPATTLGLKNDSLTSSTNNREKFLDGLLPSGIDDEPSCISRHQSHLFRKSSPHKPSSYLISKLRNYEKIHKRCGPNTNAYRKSMEEILHSNNINNDYERCKYLIWTRANGLGNQMISMAATFLYALLTDRVLLVRFGKDKQGLFCEPFLNSTWLLPQNSPFWSENHVETYESLIEKEKANNNSYLDLPSALFLNLQYQQNYHEKFFHCDDRQDLLSKIPLMILLSDQYFVPSLFMTPLFNKELEKMFIEKEAVFHHLARYLFHPSNSAWRLITTFYQQHLAKADEKVGLQIRVFRPNTTPQQAVMNLVLNCTLENKLLPKVLDMNNSVSSGGIKVVLVASLYPEYGENLKTLYMNKSTVTGEVVEVYQPSGEEEQKFNDNTHNMKALVDMYLLSLSDVLVTTSLSTFGYVAQGLGNLKPWLLYRLGSNESHYPACEREFSMEPCYHYPPKNYCGGKAIHDYAASFIYMRKCKDYSSGVKMINNFR >CAK8574728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7603978:7604349:1 gene:gene-LATHSAT_LOCUS27045 transcript:rna-LATHSAT_LOCUS27045 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENCNCLGSRNNCFWSSYERIGSDPIVCVNEFMSKIKIARLKTLWRKIKRENKRRMFRSSSPVFLYDPSSYLQNFDDGYSNDDDFSRSFSARFATPSSKVFNKNIEVIYDEEIIETSELAT >CAK8574090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661541877:661542731:-1 gene:gene-LATHSAT_LOCUS26467 transcript:rna-LATHSAT_LOCUS26467 gene_biotype:protein_coding transcript_biotype:protein_coding MECLNRYLFKMQQNSNFNCHPKCEKLNITNLCFADDLLLFSRGDKNSVDLMMAAYAKFSKATGLVVNPQKCRIYLAGVDEKTKKDIRMASDFQMGQLPFRYLGVPVTSKKLSIAQYSSLIDKIVEKVQHWTTRLLTYAGRLQLIKSVMFATTNYWLNCFPFPKGVLKKIETICHIFLWTGGFAGNRKSPVSWKQVCKPFGYGGLDIIDIEVLNNVNLMKLLWNLSGKEDSLWGRWIQAYYIKNRSLLDIECTSNDSWIMKVILSQRDDLTTKGKLAGGCLVMID >CAK8575770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:318270002:318270524:-1 gene:gene-LATHSAT_LOCUS28006 transcript:rna-LATHSAT_LOCUS28006 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRLSLNNSSNLVLFDPQLLWGTKEEIQSIPLFKWFSLLLVPVPNSSPGSSQCLVPVIVICFPEPRGLSVETFTNNRRFLMVFPLLTAALSTPPDIWCQIVAYFLISSIIELTIFVASIVQVREEAGRVE >CAK8573730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633294137:633304168:-1 gene:gene-LATHSAT_LOCUS26138 transcript:rna-LATHSAT_LOCUS26138 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNKKSVKGKVVLLKKSLLDFHDIKANVLDRVHEFLGKGVSIQLISATTPDPAKGMQGKHGKMAYLERWISSITSLTRASDTEFSVTFDWEHEKMGVPGAFKIRNNHHSQFYLKKVTIEDIPGHGPVTFVCNSWIYPAHRYTHDRVFFANKTYLPCETPEPLRKLREEELAALRGKGVGKLNEWDRVYDYAFYNDLGTPDDGPDYARPVIGGSQMFPYPRRGRTGRPPTKTDPKTESRLHLLNLNVYVPRDEQFGHVKFSDFLAYALKSVAQVLLPELKSLCDKTINEFDTFEDVFDIYEGSFKLPSGALQSKIRELIPYEILRELVRNDGEKFLKFPVPDVIKASKTAWRTDEEFAREMLAGVNPIIIRRLQEFPPESQLDPSVYGDQNSSIQEKHITNSLDGFTIDEAIQRNKLYILDHHDALMPYLTRINSTNTKTYATRTVLFLQHDGTLKPLAIELSLPHPQGEQHGAVSKVFTPSQEGVAATVWQLAKAYAAVNDSGYHQLVSHWLYTHAVIEPFLIATNRQLSLLHPVHKLLKPHFKDTMHINSLARHTLINAGGVLEKTVFPGKYALEMSAVVYKDWVFTEQALPANLLKRGIAVRDSNSPHGLKLLIEDYPFAVDGLEIWDAIETWVSEYCKFYYTSDEMVENDYELQRWWKEVRNEGHGDLKDKSWWPQMKTRIELIQSCTIIIWVASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYEELEFDPELAFLKTITAQFQTLLGVSLIEVLSRHSTEEVYLGQTVDPDWTLDAEPLAAFKRFSQKLLEIENNIMKRNKDPSLKNRNGPVKLPYTLLFPNTSDYSREGGLTGKGIPNSISI >CAK8574551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1950054:1950544:-1 gene:gene-LATHSAT_LOCUS26885 transcript:rna-LATHSAT_LOCUS26885 gene_biotype:protein_coding transcript_biotype:protein_coding MKANFMKRYNELCPRIIKLINRALESYETYTFLSKVYEESSKIVDDMLAKKYVNGESSGMVHVFISITNDKVDNVDTVGMAKGIKKRDGSRNNKKLDKFWVEKLARKSKRYSKKENELSRKFITIVAATNINPIDSN >CAK8566358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413319010:413320039:1 gene:gene-LATHSAT_LOCUS19493 transcript:rna-LATHSAT_LOCUS19493 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASEFRSWDELIPDALGVIFTNLSLQERVTVIPRVCKSWARAVSGPYCWQEIDIEEWSNHCQSDKLDQMLHMLISKSCGSLRKLCVSGLQSETIFTFIAENAGSLRSLRLPRSNMSDLVVEQIAGKFSMITFLDVSYCIKISASAIEMIGMNCKMLEGLCRNMHPLDTADKPLQDDEAYAIAATMPKLKHLEMAYQRISTSGVVRIFSNCPKLEFLDLRGCWSVNLDNNVKGFPKLRVLGPYVLGAYESDGWDDDYSDVSDEFAWDFADGNEYYVDYDSDGYEERIEDELEFGFYEGIEDAAMYWPPSP >CAK8535031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805267649:805269957:1 gene:gene-LATHSAT_LOCUS4516 transcript:rna-LATHSAT_LOCUS4516-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIFSRVIPKTQTLTSLISRSLSTTTTTTVPSSSAIALLRRLRPLSAASVTSSAVLFLPNSFRSFSTRATTSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLAQVIGGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRRENVVNRDMQTRPPVQNPGPNMAGPPHNAGGFPPNNAGGFPPNNAGGYPPNNAGGYAPAPPSNQGGYAPNNTTGGYPPPNMGGPPPNMGRPPPPPNSGYGMPQNNYPGNNGGVQQNQNMGGLPPNAGWANNQ >CAK8535032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805267649:805269957:1 gene:gene-LATHSAT_LOCUS4516 transcript:rna-LATHSAT_LOCUS4516 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIFSRVIPKTQTLTSLISRSLSTTTTTTVPSSSAIALLRRLRPLSAASVTSSAVLFLPNSFRSFSTRATTSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLAQVIGGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRRENVVNRDMQTRPPVQNPGPNMAGPPHNAGGFPPNNAGGFPPNNAGGYPPNNAGGYAPAPPSNQGGYAPPNAGGYAPAPPSNQGGYAPPNAGGYAPNNTTGGYPPPNMGGPPPNMGRPPPPPNSGYGMPQNNYPGNNGGVQQNQNMGGLPPNAGWANNQ >CAK8573621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625653517:625654393:-1 gene:gene-LATHSAT_LOCUS26050 transcript:rna-LATHSAT_LOCUS26050 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQRKKHIREPPSVPFIWETKPGIPIKDWKPMVSSVSQLPKSPLKQIASVPFVWEEKPGTPLPSFSHVDAQHESITSLDFQAFSFDADECFKLSADIIPRRQSSFSKNACDLRCTPSCPVSPASSETDGSLSSYATGVSSLTGSAFLECLFPLFPPKSGFLEKNVGYTEKGSSSLVEQRGKDFEDEDYMCDVSSKQPTLGELIMMSRRRSCRRKATQMNKWDPPKKITRKQVFGCFSILTSNSMIEGLFKRKYYPRLKLV >CAK8563082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572298740:572301208:-1 gene:gene-LATHSAT_LOCUS16527 transcript:rna-LATHSAT_LOCUS16527 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPPSSRHHTYDRKQKSLGLLCTNFLSLYNRDNVHLVGLDDAAARLGVERRRIYDIVNVLESIGVLARKAKNQYTWKGFGAIPVALQELKEEGLWKNFNSQEGVNEDVKISDEDDEEESYSQPNGSQSESLSLSQTNGSQTNGSQTNGSQSDSLNPNSSFPRSMKNDRREKSLALLTQNFVKLFVCSNLEMISLDDAARLLLGDAYNSSTMRTKVRRLYDIANVLTSMNLIEKTHTTDTRKPAFRWLGLKGKTWSEVSLYNSHQTESKKRAFGNDVTNISFARNRTDLFMSGDFSQSFKKQKTIENDSGLGQEDKKQTSTNYQFGPFAPAFVSKSGTSENTKVKQVHDWESLATEHSPQYQNQALKELYSHYMEAWKSWYSEVAGKRSTQVL >CAK8560628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30757562:30757867:-1 gene:gene-LATHSAT_LOCUS14289 transcript:rna-LATHSAT_LOCUS14289 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEKGPKSFHLQKQEFKGVPKGFMAIKVGQGEDQQRFVVPIMYFKHPLFIQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDRGKNLHQHVGCFGL >CAK8571778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471955132:471955845:-1 gene:gene-LATHSAT_LOCUS24410 transcript:rna-LATHSAT_LOCUS24410 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSFFQYQNLNTSFSEPFSWDDFFIFNEDIITIPLNFTDQNTQEVSSNSNSNSNSNASGSQTSIESQEVSSDSTQLAQSSSFSSPKRPYRGVRRRPWGKFAAEIRDSTRNGVRVWIGTFDTAEEAALAYDQAALSTRGSMAVLNFPEQVVRESLKDMVNYKCWEKDGSSPVLALKKKHTMKRKSKASSNKKSKRVEREMENIVSENVLVLEDLGSEYLDQLLSLSTSCSSQVFC >CAK8579150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679925838:679926512:1 gene:gene-LATHSAT_LOCUS31118 transcript:rna-LATHSAT_LOCUS31118 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFIKTIFLFSLITLCTFTYTQAATIEVKNNCPYKVWAAAVPGGGKPLNKGESWTITASAGTQKARVWARTGCNFDNSGNGHCQTGDCGGVLNCQVYGTPPNTLAEYALNQYMNLDFFDISLVDGFNVPMDFSPTSNGCRGIRCTADIIGQCPSQLQTQGGCNNPCTVFKTDNYCCNSGSCQATDYSRYFKTRCPDAYSYPKDDATSTFTCPGGTNYRVVFCP >CAK8560067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6351262:6351563:1 gene:gene-LATHSAT_LOCUS13780 transcript:rna-LATHSAT_LOCUS13780 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTVLGIRPHCLVLQLSQVSIVALIFAVKITVQESRLTSAES >CAK8542613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530603625:530614085:-1 gene:gene-LATHSAT_LOCUS11438 transcript:rna-LATHSAT_LOCUS11438 gene_biotype:protein_coding transcript_biotype:protein_coding MADALTDSHMDNSNTAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFSRWAALRKLLYQFLDVEKKTDENGPTKLQILSLGAGFDTTYFQLQDEGKAPHLYVEVDFKEVTSKKAALIETCSQLKNKLGETASISREKGEVVSAHYKLVPADLRDVKQLNDIITITEMDPSLPTFIIAECVLIYLDPDSSHEVVGWACRTFSTAIFFLYEQIHPDDAFGQQMIRNLECRGCPLLGIYATPTLLAKEKLFLDQGWQKSVAWDMLRVYNDFVDAQERRRIERLELFDEFEEWYMMQEHYCVAYAINDAMGLFGDFGFLNNNNVLPSS >CAK8564483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677819310:677819723:1 gene:gene-LATHSAT_LOCUS17785 transcript:rna-LATHSAT_LOCUS17785 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDDESGGLASGSKELLQERLLPIANVGRIMKKALPSKAKISKEAKETMQECVSEFISFITGEASEKCQKEKRKTINGDDLVWAMTALGFEEYAEPLKVYLNKYREIEGDKNFSMNMIAGKELQSGNTDHRFFQG >CAK8537244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:176198070:176198399:1 gene:gene-LATHSAT_LOCUS6547 transcript:rna-LATHSAT_LOCUS6547 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPQIRKSSSGPQTSKQGKGNVGPRTTAANLQILLFLKGKNVQQFRYRNRDNALRFKGVVIGLFFLFPKTANNLRFSKKRCSSFQQHKKAKNNHNTKIDHVNFNHKNI >CAK8535400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845950351:845951643:-1 gene:gene-LATHSAT_LOCUS4865 transcript:rna-LATHSAT_LOCUS4865 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAVGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTVGESLFDESSNTKVKKRKANKKKSSEPSNKRKKKINTNGRISPHPEVDKPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8532464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266884309:266886776:1 gene:gene-LATHSAT_LOCUS2167 transcript:rna-LATHSAT_LOCUS2167 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTFCLFCGCVEQSSVGVVEQWGRFHRLAQPGFQFFNPFAGECLAGILSTRIASLDVKIETKTKDNVFVQLLCSIQYRVVKENADDAFYELQNPQEQIQAYVFDVARAIVPKMNLDELFEQKGEVTRCVLEELEKVMGEYGYSIEHILMVDIIPDPSVRRAMNEINAAQRMLRASEFKGEAEKVLIVKKAEAEAESKYLGGVGVARQRQAITDGLRENILQFSTKVEGTSAKEVMDLIMITQYFDTIRDLGNSSKNTTVFIPHGPGHVRDISNQIRNGMMEASCAQVTDDVE >CAK8535266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831652886:831654587:-1 gene:gene-LATHSAT_LOCUS4739 transcript:rna-LATHSAT_LOCUS4739 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFNPKNVLTMFFILTLWTSLVTSDKLQERHEQWMEEHGKSYKDGAEKEKRFQIFKQNMEFIESFNAAGNSGFNLSINQFADQTNDEFKANSLNGKNKPLIGVGIAATEEAVFRYENVTDVPATMDWRERGAVTPIKHQHLCGSCWAFATVAATEGINQITTGRLVSLSEQELVDCVKTNRTYGCGGGYAEDAYEFIVKKGGITSEAKYPYKRIDGKCNIKKSSYTVANIKGYETVPANNEKALLKAVANQPVAVYIASGKRAFQFYSSGILGEECGIDLDHTVTIVGYGSNDDGVKYWLVKNSWGTKWGEKGYVKFKRDIHAKEGVCGLAMVPTYPIA >CAK8571904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:491983399:491984730:1 gene:gene-LATHSAT_LOCUS24527 transcript:rna-LATHSAT_LOCUS24527 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNFQHFISLLLLLLFISPTFSQKSFRPKALVLPVTKDSSTTLQYIAQINQRTPLVPLNLIVDLGGQFLWVDCENQYTSSTYRPARCHSAQCSLAKASGCGDCFSSPKPGCNNNTCGLLPDNTVTHTATSGELAEDVLSIQSTNGFNPGQTVSVSRFLFSCAPTSLLKGLASGASGMAGLGRTKIALPTQLASAFSFDRKFAFCFSSSNGVVILGDGPYGFTANVGSAPNVVYDSKSLTYTPLLINPVSTASAFSQGEASAEYFIDVKTIKIDEKVVSVNTSLLSIDSNGVGGTKISTVDPYTVLEASIYKAVTDAFVKASIARNIKRVASFAPFEFCYSFDNLPGTPLGAAVPTIELVLQNENVVWKMFGANSMVNINDEVLCLGFVNGGENPRSSIVIGGYQLENHLVQFDLAASRLGFSSSLFARKTDCFRFNFTSTA >CAK8575704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262578603:262579103:1 gene:gene-LATHSAT_LOCUS27944 transcript:rna-LATHSAT_LOCUS27944 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGGIVKLHPQDLTSLLKKVSQYLRSKKMGYKSCSCSCNWSIAENVKHISLNELIASVVTKMSESEISCSVDDLCAWPYLKAKITGSSFRSFDMNKVLEFGALLASGGQEYDIGSDNIKNPRDRWVRQKQNLRRRLV >CAK8531555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124715373:124716965:-1 gene:gene-LATHSAT_LOCUS1339 transcript:rna-LATHSAT_LOCUS1339 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQLVEKVVIVPEKPTPRKRMFLSNIDLSLVVYQDSASFFDPPSNQMCFSDICSKLYYALGKMLVHYDFMAGRLVPSLDEKNRFEIDCNDAGIVVAAAITERKLCEFGVITAPNPELRELVVFLHEQGDEEIDLKEIPLASLQLTQFGCGSLALASHYNHCILDGISIKEFETNLASLTRGDELVIIPNTDRTVLKARNPPKISHPHFEFSKSTNIENLFTTRGTTSINIKKSVVENQIHVLHLSPQKIATLKKKALEKKSLKNITTFQVIAAKIWIARTIAIKIEEDKLSTMLFPVDVRKKVVPELPNGFAGNALVPGFTRSTVKELVEQGDDYAIRKVQEGIERLNDEYIKSGIDWLEMNKGVPCNEDSFSLVAWWRLGLENEVFAWGRLKCATPLIVKSGLVMLLPGVEDEGGINICLSLPKDQLDEFCRIILET >CAK8543603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624791884:624797180:1 gene:gene-LATHSAT_LOCUS12351 transcript:rna-LATHSAT_LOCUS12351 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGQNQMDLTSAKCVINSISRFIHLVSCQTVKPAPLQKNCTKMISVLRCLKPVLDDVFDYKIPLDENLYRECEELDRQVNEAREFIENWSPKTSRVLSVLQSGELLIMLQGTSLRICHIIDRFHKLTSSTSVLNNLQHHMQELKCLKKESTSVYIEEVLRNQKNNTKPSYECQREIIELLNLTSNQELLKESIAVEKERLNAEVNKTKVELDEINQIANLVCSLRDYAMKTKCPGVKSDVSVPSYFRCPLSLELMLDPVIVASGQTYQRQSIQTWLDSGLNVCPKTHQRLNHAILIPNYTVKAMIANWCEENNVELPHDSKQSNSIQSSPPTDYLLHQDLNRARSFGSSHSSNSNSKSSLQTGNAFEQQKGDDSSRLSGERETEMFEQQSHAHSCSHSRSESFSSSISSTDYVPSVSKTVSEISNKHPNVMLSGEINNNVFTASPANEEVANFPTASQEQFQSPGSKKATTPGMSNKHQNVQEKHQNVPTVSGISNKHQNVLLSGEFNNSVFPASPAYKEFGNFPMATQEQFQSPVSKNAKSPGISDKHQNVQEKHQNVPAVRGMPNKQPNVQEKQENVQKHQNVPTVSGISNKHQNVQEKHQNISMVSGISNKHQNVLLSGEINNNVFPASPANKEPGNFPTVSREQFQSPGSKNAMTLGISNKHQNVQEKHEIVPRVSGISNKNQNVQEKHQNVPTVSGISNKHQNVLLSGEINNNVFPASHANKEVENFPTVSEQFQSPGSENATIPGISIKHQNMLEKHHNVPTVSEISNKHQNVQEKHQNVPTVSGISNKHQNVLLSGEINNNVFPASPAYKEFGNFPTASREQFQSLGSKNQTTDNENKYNNNNDIVFTSHSSSEDDVRSVSNSESDKLTTTHVGKLIEYLQSQSKETQASAAEELRLLTKHNMENRIIAGKCGAIMHLLPLLYSDMKITQEHAVTAILNLSINEDNKTLIMEAGAIEPLIHVLKNGNNGAKENSAATLFSLSVPENNKMKIGRSGAVKALVELLASGTLRGKKDAATALFNLSIFHENKARIVQAGAVKFLVKLLDPADGMVDKAVALLANLSTIPEGRIEIVRERATPLLVELVDSGSNRGKENAASILLQLSLHSPKFCTLILQEGVVPPVVALSQFGTPRAKEKAQQLLSHFRSQREGPNGRGKS >CAK8537425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:351872781:351873277:-1 gene:gene-LATHSAT_LOCUS6718 transcript:rna-LATHSAT_LOCUS6718 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNKISSVDKILDLLSTLGYVDATGSDAPPSQKIAAGLSWIIAALNPNSNIIHDENNTQYIEESLKLIECSHPLQQTHIQNCDADALFPVIQWISSRLKSTQEQCVSEC >CAK8574085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661266058:661267490:1 gene:gene-LATHSAT_LOCUS26462 transcript:rna-LATHSAT_LOCUS26462 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSENLAKAVGGKTARACDSCITKRARWYCAADDAFLCQGCDSSVHSANSLARRHERVRLKTASYKPVGDINSGPFSGFTKKARTPRQGKQKSNGNGNVNVNVSVNVNNPFHLVPELGLDEVQSGSNEENEEQLLYRVPIFDPFVAELCTSSPSVGSTEGGLEMVSGVSAFASDRSESRIRLSGGESNIEMEGFRGLLPSDIELAEFAADVESLLGKGLENECMGMEELGLIDAKQEDEEWECSGKVKEEGEEVHEVVEGDDNIKDMGKESSFELNFDYETCEEVKEKVDLEIEQNENNNKVKKKISLQLDYDAVIIAWDSQKCPWTNGDKPNLDIDETLTDFMGICGTTEVQYPYSEFGGYGCNQAMVDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSFAVPTFPLLK >CAK8578077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605294971:605297817:1 gene:gene-LATHSAT_LOCUS30119 transcript:rna-LATHSAT_LOCUS30119 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTNVSGFLCFLIMFASILLSCGGVSSQTSPVFACDVVKNPSLANYRFCDKSLGVNARVKDLVMRLTLQEKVGNLVNSAVNVSRLGIPKYEWWSEALHGVSYVGPGTHFSNVVPGSISFPMPISIAASFNTSLFQTIGKVVSTEARAMHNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASKYAAGYVKGLQQTDGGDSNKLKVAACCKHYTAYDVDDWKGVQRYTFNAVVTQQDLDDTYQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLKGVIRGKWKLNGYIVSDCDSVDVLFKDQHYTKTPEEAAAKSILAGLDLNCGSFLGRYTEGAVKQGLIGEAAINDAVSNNFATLMRLGFFDGDPSKQLYGNLGPKDVCTSANQELAREAARQGIVLLKNCPGSLPLNAKAIKSLAVIGPNANATRTMIGNYEGVPCKYTSPLQGLSALVPTSFAAGCRDVQCTEAALDDAKNIAASADATVIVVGANLAIEAEGHDRVSILLPGQQQQLVTEVANAAKGPVVLAIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGYHNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPATGYPGRTYRFYKGKTVYSFGDGISYSTFEHKLVKAPQLVSVPLSEDHVCRSSECKSLDVADEHCQNLAFDIHLRIKNKGKMSSSQTVLLFSTPPAVHNAPQIHLLAFEKVHLTGKSEAMVSFKVDVCKDLSMVDELGNRKVALGEHVLHVGDLKHPLGVMI >CAK8542144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478209744:478209977:1 gene:gene-LATHSAT_LOCUS11010 transcript:rna-LATHSAT_LOCUS11010 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKIHTIKRCPGRVIHLNGIEDQLLKQRTKIDWLKNGDKNSAYFYAMLKIQIVQNQILNLVNNDGVVLTTQKDIE >CAK8532828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:523488390:523489697:-1 gene:gene-LATHSAT_LOCUS2492 transcript:rna-LATHSAT_LOCUS2492 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPPPLSPVVSTPVSQSQSVLRSNTGGKNLNTAEGAGIIYFGETSETSDAREDESIGKKRNNIELEKPNSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVTQPETNVLSKKVEDDNKGPNEIGTVNLDIVTSRKGNASSTTAKIPAIRNKEVEKVIEKWTEIIKSGRGRGKQIGKVGAAGMISHDNGFDALEILKDLLEAQNTGQ >CAK8573229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594821495:594825366:-1 gene:gene-LATHSAT_LOCUS25701 transcript:rna-LATHSAT_LOCUS25701 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRSKRFELPPGQENVDKLEKVVKDGNYYGAQQMYKSISARYVTAERYSEALDILHSGACLQLAHGQVTCGAELALLFVETLGKGKIPYDDETLERLRKIYEGFPRVPLPQHLWDVDDMQQLSENLGSAKMRVEGCSSFLKAAIKWSAEFGTNSSGAPELHILLAEYIFSESPEVDMTRVTYHFVRGNDPIKFATNLVSFLGRCYPGEDDLAIARAVLRYLSLGNLKDANILVDEIKKQTQASEVEFPKTDLMQFINFLLQTMERDAFPLFNMLRANFKACIEREPAFNELLDDIAEKFYGVQRRNPMGMFGDIFKMMGAE >CAK8541294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:106302781:106303191:-1 gene:gene-LATHSAT_LOCUS10224 transcript:rna-LATHSAT_LOCUS10224 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAINELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVRKKDKKPVGYDVYRDPSYHEYADQASQSSQMQSQPSQTSKKLKLSQSPQKKSQPSGFKKVGIITIFTVI >CAK8539376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510520841:510524975:-1 gene:gene-LATHSAT_LOCUS8479 transcript:rna-LATHSAT_LOCUS8479 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNVGILALDIYFPPNCVQQEALEVHDGASKGKYTIGLGQDCMSFCSDVEDVISMSLTVVSTLLEKYEIDPKQIGRLEVGSETVIDKSKSIKSFLMQIFEKYGNTDIEGVDSTNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPISFESKLRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDTCYKNFCQKYEKHEGKKFSLSDAGYFVFHSPYNKLVQKSFARLVFSDFLRNSSSSTDEVAKEKLGPFAALSDEESYQSRDLEKASQQVAKPLFDEKVQPTTLIPKQVGNMYTASLYAAFASLIHNKNSSLEGKRVILFSYGSGLTSTMFSLQLREAKHPFSLSNIARVMDVAEKLKSRQEFPPEKFIETLKLMEHRYGAKDYVTSKDSSLLYPGTFYLTEVDTKYRRFYATKTSESNSTVNSVSANGH >CAK8531281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:96008453:96008919:-1 gene:gene-LATHSAT_LOCUS1084 transcript:rna-LATHSAT_LOCUS1084 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSEKKGLDFRYLIGSILIGVLVFLLAGLCLFQVIRRTTAASGGTD >CAK8532742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:508953495:508954190:-1 gene:gene-LATHSAT_LOCUS2416 transcript:rna-LATHSAT_LOCUS2416-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVLKRLFIEGCKNLKSILIAEDASQKSLSFLRSVKIWDCNELESFPPGGLATPNLVYFAVWKCEKLLLLPEMEIDNLPNLQAFVIDDLPSSLQELTVGSVGWIMRSTGPTCEHLTCLVVLRINRNDSVNMLMWPFLPASLLTLCIYDLNDTVIDGKWFQHLNSLQKLEIVNAPKLKSLPKEGLPSSLYVLSITCCSVPEASLQRKRGKEWRKIARIPFIIINDKIIT >CAK8532741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:508953495:508954205:-1 gene:gene-LATHSAT_LOCUS2416 transcript:rna-LATHSAT_LOCUS2416 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTMGALPVLKRLFIEGCKNLKSILIAEDASQKSLSFLRSVKIWDCNELESFPPGGLATPNLVYFAVWKCEKLLLLPEMEIDNLPNLQAFVIDDLPSSLQELTVGSVGWIMRSTGPTCEHLTCLVVLRINRNDSVNMLMWPFLPASLLTLCIYDLNDTVIDGKWFQHLNSLQKLEIVNAPKLKSLPKEGLPSSLYVLSITCCSVPEASLQRKRGKEWRKIARIPFIIINDKIIT >CAK8543567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620209846:620216486:1 gene:gene-LATHSAT_LOCUS12315 transcript:rna-LATHSAT_LOCUS12315-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRPSSSGAFSIFNWKKRGPSSLTTGLLNDSSEIELSTYGKIPSPGSESPSDLLNSESVYVEPIADLDLFFERLYCYYCEKGLWCIVIKWIVELLIESGIKPCDLSKEALNQHPLTPLTFTKAIIVGYLGIFSIYWIFCFLRFFAQLRDTLEIRQFYYNSLHVTDNEIQTMPWATVVDKVVLVQSTRKLCVLKDLSVHDIVMRLMRKENYLIGMLNKGVLAFPISQWFPGAGPTVQSSPDGAQHRLVLTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLKKRLMVVGFAMLLLSPFLVIFMLAYLFLKHAEQFYNHPSTASSRRWSNLSRWMFREFNEVDHLFRHRINNSVLHASDYLKQFPTPIISIIAKFISFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAIANELLVLNPEGAISMVVQHTHYMPKRWRGKEITELVRIEFETLFQYTGMMLLEDMASIFLTPYLLLFVVPKRVDDILQYIADFTVNVEGVGHVCSLSTFDFQEHGNTHYGSPYNAPRSRRSSQGKMEKSLLSFQSSYPSWEPNAQGKQFFLNLRTFREQKLSVNGNRHRSSSSRMWRGSPNMGDNGDRNRFPSGEMPYSTLVTGNHLGSLWLIEANQNNHPYLLDWYYTSQPHEATLSDVSLEPYGVTEHYSRDYSMPSNLQQNEPKYEEYSNEFHEERAGSHLGASTSAPIFRESLIQDQNYNELPQATRSQWWARSRLHSGKAQTSFFEPPDFNDQTGWARSRLHSGKVQTSFFEPPDFNDQTGWARNPLHSGKAQTSVFEPPDLNDQTEWARSRLHSGKAQTSFFEPPDFNDQKAYNYHDKFSDRGAEDQDQEQHSYWRDHRNKLSSPALTDDLEAGEFNLHFGDIYSRPPETPNASF >CAK8543566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620209846:620216486:1 gene:gene-LATHSAT_LOCUS12315 transcript:rna-LATHSAT_LOCUS12315 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRPSSSGAFSIFNWKKRGPSSLTTGLLNDSSEIELSTYGKIPSPGSESPSDLLNSESVYVEPIADLDLFFERLYCYYCEKGLWCIVIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLSKEALNQHPLTPLTFTKAIIVGYLGIFSIYWIFCFLRFFAQLRDTLEIRQFYYNSLHVTDNEIQTMPWATVVDKVVLVQSTRKLCVLKDLSVHDIVMRLMRKENYLIGMLNKGVLAFPISQWFPGAGPTVQSSPDGAQHRLVLTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLKKRLMVVGFAMLLLSPFLVIFMLAYLFLKHAEQFYNHPSTASSRRWSNLSRWMFREFNEVDHLFRHRINNSVLHASDYLKQFPTPIISIIAKFISFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAIANELLVLNPEGAISMVVQHTHYMPKRWRGKEITELVRIEFETLFQYTGMMLLEDMASIFLTPYLLLFVVPKRVDDILQYIADFTVNVEGVGHVCSLSTFDFQEHGNTHYGSPYNAPRSRRSSQGKMEKSLLSFQSSYPSWEPNAQGKQFFLNLRTFREQKLSVNGNRHRSSSSRMWRGSPNMGDNGDRNRFPSGEMPYSTLVTGNHLGSLWLIEANQNNHPYLLDWYYTSQPHEATLSDVSLEPYGVTEHYSRDYSMPSNLQQNEPKYEEYSNEFHEERAGSHLGASTSAPIFRESLIQDQNYNELPQATRSQWWARSRLHSGKAQTSFFEPPDFNDQTGWARSRLHSGKVQTSFFEPPDFNDQTGWARNPLHSGKAQTSVFEPPDLNDQTEWARSRLHSGKAQTSFFEPPDFNDQKAYNYHDKFSDRGAEDQDQEQHSYWRDHRNKLSSPALTDDLEAGEFNLHFGDIYSRPPETPNASF >CAK8564069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649203225:649207906:1 gene:gene-LATHSAT_LOCUS17409 transcript:rna-LATHSAT_LOCUS17409 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLKRLLAAAPQQQNQAKLVHYVATLREQLEQLAEEKTPDGLPRISKTTLNDYSEKIEAIASKLVHVSDIEVSEKDIERNVKENPSEIEEKKPMSPSGLRRRAVAASSTEDRAHEPADTDHLSSVKLDAAGHAHIEKHRKLQDDLTDEMVVLAKQLKESSLMMSQSVKNTEKILDSTEEAIEHSLASTGRVNVRANAIYSESSKTSCLTWFVMFVMMLVFVMVILLIRVT >CAK8571952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496341228:496341638:-1 gene:gene-LATHSAT_LOCUS24566 transcript:rna-LATHSAT_LOCUS24566-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHIGPPDIQLPPPSLRPLCAPQLALVSYACGMLSFTPGSHPSPILPPPSPSSPDDDEGHHNEGQQSHHHRHGHRHRHHRTSHEDNCCRWARDIDSRCVCEILVKLPLFLTRPLHEYTVVIGESCTVTYSCGGPI >CAK8571951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496341228:496341704:-1 gene:gene-LATHSAT_LOCUS24566 transcript:rna-LATHSAT_LOCUS24566 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINIINSVTLVLTFLTFGQKMESHIGPPDIQLPPPSLRPLCAPQLALVSYACGMLSFTPGSHPSPILPPPSPSSPDDDEGHHNEGQQSHHHRHGHRHRHHRTSHEDNCCRWARDIDSRCVCEILVKLPLFLTRPLHEYTVVIGESCTVTYSCGGPI >CAK8562132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:444433940:444434866:-1 gene:gene-LATHSAT_LOCUS15656 transcript:rna-LATHSAT_LOCUS15656 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGEKGLPAITTATSDRGYRWEVSWVYVGDGGGYNVVNKSVVVFEGASVMPTSPPFLLLKFSPLISKVKILACSCFGNTLNLLALCFWSAVGKGFKLQGQTFRKPASESVSSIM >CAK8567788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544703073:544704653:-1 gene:gene-LATHSAT_LOCUS20811 transcript:rna-LATHSAT_LOCUS20811 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGENCQLGTLGALSLSVVSSVSIVICNKALMSSLQFIFATTLTSWHLLVTFCSLHVALKMRLFEHKPFDQKAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTILLEIIFLGKKFSRRIQFSLVILLFGVGIATVTDLQLNALGSFLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQTCPYQAATLLIIGPYMDKVLTDLNVFYFKYTTQVTFVIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVIVRDPFSWRNILGIMVAMVGMLLYSYSSILENQQKAVEMTLQAPQAREGELDPLINVENGATILNKRPLIWNKEKD >CAK8543822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641452690:641454990:1 gene:gene-LATHSAT_LOCUS12553 transcript:rna-LATHSAT_LOCUS12553 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTIQSFIRCMHNYVRPILQPATVSNLSTELPTNSYIILLCKQQYYKEALEVFDIHLKNPNFHLEPSTYTSLVLACANSRSLEYAKKIHDHISKSSCQPSMILQNHIINMYGKCGSMKDAREVFDTMQLRNVVSWTSLISGYSQNNQENDAIVMYIQMMQSGQFPDQLTFGSIIKACYIAGDIGLGRQLHAHVIKSWFGHHLISQNALISMYTNFGHITDASNVFTMISTKDLISWGSMITGYTQQGYRIEALYLFRDMLRQGVYQPNEFIFGSVFSACSNLFELEYGKQVHGMCTKFGLGRNVFAGCSLCDMYAKFSFLPSAKTAFYQIKSPDIVSWNAIIAAFADNADANEAIDFFRRMMQTGLIPDSVTFISLLCACGSPTTSNQGMHIHSYIVKIGFDKEVTVCNSLLTMYTKCSDLYDALNVFRDVSGYANLVSWNAILSACLQHKQEGETFRLFKQMLFSENKPDSITITTLLGTCAELTSLVVGNQVHCFSIKSGLVLDISVCNGLIDMYAKCGSLKHARDVFDSTQSLNIVSWSSLIVGYAQFGLGHEALYLFKVMINLGIRPNEVTYLGALSACSHIGLVEEGWCLYKSMETEYGIPPTREHFSCMVDLLARAGCLHEAESFIQKSEFDPDITTWKTLLAACKTHNNKVEIAERAAENILKLDPSNSAAMVMLSNIHASAGNWEDVAKLRNLMKQMGVQKVPGQSWIEVKDKIHIFFSEDSSHSQRSNIYTMLEELWLQVLDDGYDPCQRLDISLW >CAK8544421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683320692:683341815:1 gene:gene-LATHSAT_LOCUS13103 transcript:rna-LATHSAT_LOCUS13103 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGDAFLLLLFVATYSISVSSADSIYGCGGFVQASSSLVKSRKQSDAKLDYSHVTVELQTVDGLVKDRTQCAPNGYYFIPVYDKGSFVIKVNGPDGWSWDPEKVPVAVDNQGCNGNEDINFRFTGFSISGRVVGAAGGESCSVKNGGPSNVKVELLSPSGDLVSSVLTSPSGSYLFTNVIPGKYELRASNPDIKVEVKGSTQVELGFGNGVIDDIFFVPGYSISGSVVAQGNPILGVHIFLYSEDVSEIKCLQGSAHGPRQEAALCHAVTDADGKFSFNSIPCGSYELVPYYKGENTVFDVSPSSVPVNVKHQHVTVPQKFQVTGFSVGGRVVDGNDMGVEGVMVIVDGHERSITDNQGYYKLDQVTSTHYTIEARKEHYKFKKLENYMVLPNMASIEDIVAVSYDICGLVRMVSSSQKATVALTHGPDNVKPQKKQTDGNGNFCFEVIPGEYRLSAIAATPDNAAGLIFAPSYIDVAIKSPLLNVEFSQALVNVRGAVVCKEECNPSVSVTLVRQAAKHNEARKTISLTSDSSEFLFSDVIPGKYRLEVKHSSPESVTKEDNWCWEKSFIDVNIGAEDLDGIVFVQKGYWVNVISSHDVNGYITQPDGSTVNLKIQKGSQHICVEFPGIHEFNFIDSCIFFGSSSVKIDTSKLLPIHLKGEKHLIKGQINVHSGLNDTLPEKIVVDIYRDGADVADNAMAILKSHGKDQISIFEYSLWANPGEKLTFVPRDSRNDGDKKLLFYPREQHVSVTDDNCQAYIPTFSCRLGLYIEGTVSPPLSGVHIRIFTAGDSNVTGLKNGELILETTTGTDGSFVAGPLYDDVGYTVQASKSGYHLKQVGLYSFSCQKLGQISVHIHHKDNDKELIPSVLLSLSGDNGYRNNSVSGTGGAFLFDSLFPGMFYLRPVLKEYAFSPSAQAIELEAGEFREVVFQATRVAYSAIGGVTLLSGQPKGGVSVEARSVSKGYFEETVTDSSGNYRLRGLLPDTVYEVKVAKRDTAGSSNIERASPDSISVKVGTEDIKGLDFIVFEEPEMTIVSCHVEGNGTDELRKHLMVEIRSASETTKIESVFPLPISNFFQVKGLSKGRHLLQLRSGLPSSSLRFESDIIEVDLEKNIQIHVGPLRFRIEDQLKQELTPAPVFPLIVGFLVVALFISIPRLNDLYQATIDIPAPGTTTTSRKDVRKPILRKKTF >CAK8563271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588336805:588339866:-1 gene:gene-LATHSAT_LOCUS16699 transcript:rna-LATHSAT_LOCUS16699 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKVTQAAKNAHNARHLELEQHDVEQMDVEQQDERQVEVEQHDSGQVDAEIQDNENPKDPPITMVPTPDFRPHEAIKTSLHVRDPSSSAQGSRHMSDPNTQSGSLHVQTSDDLVQESRHSCHEIHKTTDGRPYIFPYGRGWNPCRVASRALTKVIESQFRKAWISWREIPDKRVNRMFMKFGKIVAWRPEDEFELKSIFKSKGSKRLSEILMDARKKQERPSWMGEGAWKGLKIKWETPEYKVKAARNKKNRASAKGGSVHTGGSISTNEHIIRMRRELGREPTLDEVFLRTHTKKKDSSWVDDRSKKTYETFQEKLKHASQVGETSNSGPKEVDSATRLNFWAEATGGKTRGRLYGVGDLSKHYKPGVSSLITQQSRVSTCSGQVSAEIAAQMATIEERENAAEEDARVAREECRKANKRTQDLERQLRELDESVASIKGDKRRRRHSDYDDDSDSDDDSIGSI >CAK8570668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:84563431:84565114:1 gene:gene-LATHSAT_LOCUS23404 transcript:rna-LATHSAT_LOCUS23404 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKATIVSQLQHLKHQSGKSYTQLGEETGLTNVYVAQLLRRQAQLKPETAPKLQAALPDLPKQLVDEMMKPPLRSYDPNIIQDPTIYRLNEAVMHFGESIKEIINEEYGDGIMSAIDFFCSVDKVKGVDGKERVVLTFDGKYLPHTEHKTEHMVSRTRPLEKQ >CAK8571801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:475990827:475993247:1 gene:gene-LATHSAT_LOCUS24430 transcript:rna-LATHSAT_LOCUS24430 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRRDTRNNRVALFDGIEEGGIRASSLYSSGSSHEIDEHDNEQAMDGLQDRVNLLKRLSGDIHEEVDNHNRMLDHMGNDMDSSRGVLSGTMDKFKMVFETKSSRRMFSLVASFVVIFLIIYYLTR >CAK8543757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636966878:636967147:1 gene:gene-LATHSAT_LOCUS12496 transcript:rna-LATHSAT_LOCUS12496 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKPPEIPTNTMPVKPPETSTNITPVISPEREETTGTGMDSWTRARKSVRDKGKNIMADTYSNINCNNGFDALEVLNDHQVIINPEPC >CAK8535951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893616570:893617300:-1 gene:gene-LATHSAT_LOCUS5364 transcript:rna-LATHSAT_LOCUS5364-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIEGKNAVSMSREEKKELVQTEENVLKEDIKDLETWVDLMETMDDKQLEGYLKNNPDDLKKPRDQKMKKKVQSTGKSKSSNSTGIMASVWKFDKNLN >CAK8535950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893616570:893617312:-1 gene:gene-LATHSAT_LOCUS5364 transcript:rna-LATHSAT_LOCUS5364 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTMDAIEGKNAVSMSREEKKELVQTEENVLKEDIKDLETWVDLMETMDDKQLEGYLKNNPDDLKKPRDQKMKKKVQSTGKSKSSNSTGIMASVWKFDKNLN >CAK8575206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52426907:52429675:-1 gene:gene-LATHSAT_LOCUS27490 transcript:rna-LATHSAT_LOCUS27490 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKYQNLLRHSLLLIPTTTTRTLSSSFFSSSSRLPTKSPLITKAFSSSSSEMVKAIRVHELGGPQVLKWEDVEIGEPKEGEVRVKNKAIGVNFIDVYFRQGVYKAPLPFTPGMEAVGIVTAVGAGRTGIQVGDLVGYVGQPMGSYAEEQILPANKVIPIPSSIEPAVAASVLLKGMTAQFLLRRCFKVEPGHTILVHAAAGGVGSLLCQWANALGATVIGTVSTREKAAQAKEDGCHHVIIYKEEDFVARVNEITSGAGVEVVYDSVGKDTFEGSLASLKLRGYMVSFGQSSGSPDPVPLSSLAAKALFLTRPSLFQYVVTRDELLEAAGELFANVASGVLKVRVNHTYPLSEAAKAHEDLENRKTSGSVVLIP >CAK8535784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:881094748:881096658:-1 gene:gene-LATHSAT_LOCUS5207 transcript:rna-LATHSAT_LOCUS5207 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLLKPKSYTKCKTNLKFIKTRLETIRKKRNAVQKFLKKDLADLLRNSLHYNAYGRAEGLLVEQNMSACYELVAKFVGSVSGHVRDICKNEDCPDECKEAIPSLIYAAARFSDLPELRELRTFFTEKYGNSLEPYVNKEFIERLKQNPPSKEMKIQLLHELAQELSIDWDVKALEQRLYSPPVSRQEKEKPKDDEQNDNDNASPKIHDVWWSVQRSTDSETTITDSSSQDGQKACSSSLENLSDDNEETEVKKPFTSKFDPPPPYIRENKFESNLNKTTTESPPAEKPKPRSVRRRPLKPPPNENTVKDFSETGDGFEEKMVDELLMHYSQKQPHEFGKGQNKRPIKSISQKDMSMVETLQERGRSTSLVPEMLRTTTSKHVHPSLPEYDDLSARLAALRRT >CAK8566738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:457026515:457033399:-1 gene:gene-LATHSAT_LOCUS19849 transcript:rna-LATHSAT_LOCUS19849 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARFDDDFDFGGEIGGRESGNKRPSPDFDDEDYDNDPFAPKKAKTKAEETASGVTTGMILSLRESLQICKDQLATCQNELEAAKSEIQSWHSSIQNEPVVRAGATPEPKMLIDYLQALKSSEESLREQLEKAKKKESAFIKTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAVHEEFTRLKNLVEEKEKKVKELQDNISAITFTSQSKMGKMLMAKCRTLQEENEEIGNQASEGKIHELTMKLALQKSQNAQLRIQFEGLQKHMEGLTNDVERSNETVLMFQEKLEEKDQEIQRLKNELQQNNLTEDGRSDETLNKSEGDKVMTEEAAKELISV >CAK8577382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559240969:559244337:-1 gene:gene-LATHSAT_LOCUS29495 transcript:rna-LATHSAT_LOCUS29495 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRRAPYGAYLCAVISAVLLLLSVSLLYSRLSVSHSDSHSNPRTLLSDTDDSDLSTSDPIDELDFIDVDQQQQQQQSQPLHTNPSSYFFDPITSSIRKSFKSPSIFSDSITTTDDEFAIFSTFSTPEDRSKSAFTSDDIPLDDNIRRKATVIKSIEDLLLLKSSSLREVWGEWFDKKGLFLRKDKMLKSSFEAFNPMLNPLLQDPDSVGVSSLTRGDKILHKWWINEFKRVPFSFSPHKNTNNNGKLVTVAKGGTERRTLNDNSDAVEFLNHHQHIYADGNNWGYFPGLPLRLSFNDFMDSFFRKGKCVMRVFMVWNSPPWMFTVRHQRGLESLLFHHPNACVVVFSETIELDFFKDSFLKDGYKVAVVMPNLDQLLKGTPANVFSSVWFEWRKTKFYSTHYSELIRLAALYKYGGIYLDSDIIVLKPISFLNNSVGIEDHAAGSSLNGAVMAFGRHSLFIKKCLEEFYMTYDDNSLRWNGADLLTRVARKSEGEKNKTIKRSELNEEPSHIFFPINSQDITRYFVAPATETEKARQDVLLERILHESLTFHFWNSLTSALIPEPDSLVARLMNYACIRCLELL >CAK8537209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:139319774:139320626:-1 gene:gene-LATHSAT_LOCUS6515 transcript:rna-LATHSAT_LOCUS6515 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKSTLRNNLTGHQREKGRNAYKEMWVVQIQYIFHKTAFYAWRVSQCKTWPWV >CAK8535973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894855263:894856600:1 gene:gene-LATHSAT_LOCUS5386 transcript:rna-LATHSAT_LOCUS5386 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPYNTSKKTKHLKKLINQNNYIVHEASSHLDMVHTSSSNHQTSSDTSSETCHHDQDGKWSSKLLKECAIAISNRDSSKIHHLLWMLNELSSPYGDINQKLASYFLQALFSKATQSGHKCYKTLSSIASKNHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALEGEKKLHIIDISNTLCTQWPTLLEALATRNDETPHLKLTIVVTSKNSSDVVMKEVGQRMEKFARLMGVPFELNVISGLKHLRELTKERLGIQEDEAIALNCIGALRRVEVGERESLIKFFKSLCPRVITFVEEEGDFVSDDFVKSFDECLKFYTLYFEMLEESFPPTSNEKLMLERECSRSIVRVLACDHEFDHDNDDEEGGDDCEKRERGKKWFERLKNEFSPCGFSEDVVDDVKALLKRYQSGWSLVVPQGDDNISGIYLTWKNEQVVWASTWKP >CAK8578859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659007063:659009379:1 gene:gene-LATHSAT_LOCUS30841 transcript:rna-LATHSAT_LOCUS30841 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSTSSSSHCNSNDSLPLYTDPSTTCLTKRRNNKPMVSPQETTSSHTSPNFKRLACKRRVVRVSIRRKRTDLASIGFPLGMSFAAVMAEVLYRRNAAFDTDRVSPTHITLICTSAIKESLASVFGDKLDDLVRNFGQSFACTLSTLGSIYESSMGDEGNSLNTMKMEFSTCKLTRDKGDCSSDSVIGDDKTEGVLSEEIVDQTNNCKEVEENFQMDSISRHGITLHRQSNQLVCLPTISYGSGINNNSMVSAYEKSVMEESRSNDLKALELALTMKKLKLKETQLALNSDLNHLERSKLTMGVSKASFRVEKFKNQLEDLRHGELIQNCIDCLIAGLLVMSSSLTYGAYVYSYERIAESTTSCNHSNKESKSWWSPKSMFSLDSKLHILWCQVQVMSRMAFGVLMIFAIAYLLIMRSTSVSDTMPVTFILLLLGVACGYCGKVCIDTLGGSGSLWLLYWEILCMVHFLSIVFPPTLFKILHGPVAASQQTKQNIIFPYWFRRFWFYGTLTVFLQLFCGLKPFAGVSQWKDHFLMKVSIFNKLE >CAK8533709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651681305:651682322:1 gene:gene-LATHSAT_LOCUS3312 transcript:rna-LATHSAT_LOCUS3312 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYDQSVFADVGGFHNFCRQDLYACRVPIPPTIYGLRQIIRIQLGSCCVGYPLQSSWRCFYVQLQASWWLSYSSIVLDSQVFSNTWKRGENWIPAQNCGLPRAIRWSYKQGVLKVDELRPVLDEMTPADIIWQPFEDHRVWCQFDEICLYRGCRKWGDVIVLYFPERCIHQFGYKQYTPPPPPDYMMADDIDVDWIGYHHSVFTVIRPTSLTTTPSEIDYGYLEWYYRVSHPRLIPPRYDTPREIWVSLYDARPFDLSWARVSTLIHRYLRQVDAEEDDPQFADLFEALRISRSH >CAK8543282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595470858:595471142:1 gene:gene-LATHSAT_LOCUS12049 transcript:rna-LATHSAT_LOCUS12049 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDIFKVLSVFKVYSESFLGLSHQQNWPTYEGFTLCHGETMGKNKKGRPNSTRITTEMDDFEKEKRRCGICREIDHMRRKCPNVAGPSNWPV >CAK8577341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556154407:556157990:1 gene:gene-LATHSAT_LOCUS29455 transcript:rna-LATHSAT_LOCUS29455 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLLPLSSPSTSSSKLNHRFCLASSLLNHHRYSHFPKFLSKPKIDTTTSLHLRLRCSLRGSTLALDRNEASVSGTPDNSGLPKVDKTGRFCSPRAARELALSIIYASCLEGLDPVRLFEKRMNERRETGYEFNKEKLLEYNHMNFGGPPVAAETDEEANELLRNIELEAAIEEEVLAAPPKLVYSRLILRFARKLLVAVRDRWDSNVPVINKVIPPNWQSEPAGKILELSILHLAMSEIAMLDTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFYRDLEAEASKNRV >CAK8574787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9459098:9459763:-1 gene:gene-LATHSAT_LOCUS27096 transcript:rna-LATHSAT_LOCUS27096 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPPVLPVANIPPPPPGSTVASTGSDAPANSPAVRVLLNNLSESLRHGLALRRPWTELVDRSAFSKPESFSEATLRVRKNYSYFRVNYYAIVAGILAVSLLTNPFSLILLVGLLASWTFLYLFRPSDRPLVILGRTYTDFETLAILSGLTVIVVFLTSVGSVLVSALMLGVAVVCIHGAFRAPEDLFLDEQDNSQAIGFLSFLRAPAAVAVPSVAGRV >CAK8534783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767339144:767340625:1 gene:gene-LATHSAT_LOCUS4297 transcript:rna-LATHSAT_LOCUS4297 gene_biotype:protein_coding transcript_biotype:protein_coding MASENSKPEVALESSKVETISKAVDSLLKWHKSRLETEKPKLFEDEEFVYLVLTLKKIPSNGRVNPHKIPIPHPLLSPSSEQCLIIDDRANKPARLTKDEAQKKIQSESIPIAKVLKLSKLTTDYRPFEAKRKLCNSYDLFFADKTIVPLLPRLLGKQFFKKRKLPVQVDLKKKNWKEQIERACSSALLFLRTGTCSVLKVAKLSMERDEIVENVVAAMEGVVEVFPKKWGVVRSFHVKLLESVALPVYQAVPDVRLKIEGVRDLEAALEKDEKKVRDGKDGKKSKKGRIHEIKYMDDRMSEDGDVRSDVDVGVDDIDDDAVGGGDKVDDNHEVGEIESGGLGSKKRKKGDKGKKEALSELGSVKSLKGSAKRKKKDLLETVNAAEEEGSIKKGSEKRKILSAKDEGSDKKKKKGSVVKAATEDLIKKSEDKVSIEDVGSDKKKKRGLAVKAATEDLIKKSKDKLFTKDASSDKKTRRADVKVKGRKSKNAAV >CAK8565058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32147919:32148866:-1 gene:gene-LATHSAT_LOCUS18299 transcript:rna-LATHSAT_LOCUS18299 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCNAHPHMFSTDSDELCDNDPYINIKFDYTIISVPSDQMFPYSSETKSYGLYYVSKDKEILKTSVIKWLPEIDVPEAAFTMVVTEISRCVCEMVDGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAAARTIEDDPNEIGYQDEDYQDEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTQSYNWEENMGIEDNMFVNDVEGDEDEWEYGWEEGIETEENIRFVPAVKSCIEDLKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTI >CAK8570738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:104917216:104919199:1 gene:gene-LATHSAT_LOCUS23468 transcript:rna-LATHSAT_LOCUS23468 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLLFTVKRQAPEFVTPSKPTPHEIKLLSDIDDQDGLRFQIPVIQFYKYDPNMAGKDPVDVIRKALAETLVFYYPFAGRLREGPGRKLMVDCNEEGVLFIEADADVGLKDFGDALQPPFPCLEELLFDVPGSSQVLNTPLMLIQVTRLNCGSFIFALRVNHTMCDAAGLTQFMSALGEISRGMKEPSIPPLWGREHLNARNPPRVTCTHREYEQIPDPKGTIIPLDDMAHRSFFFGPTEVAAIRAYLPSHQQRRSNFEILTACLWRCRTIALQPDADEEVRIICIVNSRSKFNPPLPKGFYGNAFAFPVAVTTAGKLIKNPMGYALELVQKAKGDVTQEYMHSVADLMVLKGRPHFTVVRSFLVSDVTRAGFGEVDFGWGKAVYGGPAKGGVGAVPGVASFYIPFVNGKGEKGLVIPVCLPAQAMERFVEELDSVLKNNIVNKPTKSGVGSFITSSL >CAK8562521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506197292:506198137:-1 gene:gene-LATHSAT_LOCUS16012 transcript:rna-LATHSAT_LOCUS16012 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISPITVSIITLFLLFHPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRTDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECSDNNE >CAK8534352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719951478:719951777:1 gene:gene-LATHSAT_LOCUS3902 transcript:rna-LATHSAT_LOCUS3902 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIYEQSLVDFSGNSGSRSKLLRYPLRSSNKLKELKPDASNSTNHSESKRGRNTPIVSKSVGVLDFSAKGKSSSAKLPRRLSNPVKASPTPSPNTCF >CAK8542417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512221392:512223043:1 gene:gene-LATHSAT_LOCUS11257 transcript:rna-LATHSAT_LOCUS11257 gene_biotype:protein_coding transcript_biotype:protein_coding MANENHDLFYHDDDELNHSNFSFSENFQGFDPSSTHTTSFTDYLHGSMDYSTLSKAFDLSCSSSEVVSSINTKKSSAGDSESSSSNEAEAVIQRDSTKSDNKDKHPKLGSEDGDENSKKENKVKKKEKKPKEPRFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCIVKKRVERSYQDPSIVMTTYEGQHNHHCPATLRGNAASNIFGSNSIGLEQRIHQDFLAQFLPSYSQSSNHQMFHQNVSLPAHQQQFQLHCDNGLLQDLLPSSFPGKQEQ >CAK8532714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498217049:498219758:1 gene:gene-LATHSAT_LOCUS2392 transcript:rna-LATHSAT_LOCUS2392 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYEYPCCESSFWLFLIICLVIVSLAGITSGLALGILSYSPVDLEVLVKAGRPRDKKNAERIQPFVKNGHFVLCTLLLGKSLAMEALPIFMDLIIPSWFTILMSAPLVTVFAEILPQAVCSRYGLTFGAKLAPFTHFLLLIFFPITYPASKVLDWALGKEHSVLLRRSELKTFVDLHADQAGKGGELSHHETSIITGAMDLTQKTAIDAMTHISEIFSLDINSKLDMHTMTQIMSKGHSRVPIHSGNPRNIIGLILVKNLIFCRPEDESPIKNLIIRKIPRVYESWPLYEILNQFKKGHSHMAVVLKGNMETETNEAAHAVDSPIFLNIITNKESNQAHINGESNSSYVLEMSERSSNQESSDVEFHSPTLENVMVLDNEVGQESKQWEHEIGCMSHEQIEAVGIITMEDVMEELLQGDILDETDEYVHVQKNIKINLRQSHRSQSRSSRRNFGSSRR >CAK8541233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92779114:92779476:-1 gene:gene-LATHSAT_LOCUS10166 transcript:rna-LATHSAT_LOCUS10166 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQMMSVNKLGYQKLRHEVESDDERERTTIQRPRNFIRFRKVPMRRRFKLKIPSLRRLWRKKVKVVSSMRISCAKVMKRFKDGHVHFGDLFAGNYLFMQVNPSSLNYLGKEVSLSKIA >CAK8564119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652680578:652686432:-1 gene:gene-LATHSAT_LOCUS17454 transcript:rna-LATHSAT_LOCUS17454 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKSITLEEIKNETVDLEHIPVEEVFEQLKCTKEGLSSEEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAALMAIGLANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPGQEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFEKGVDKEHVMLLAARASRIENQDAIDAAIVGTLADPKEARAGVREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQIMNLCNLREDAKRNIHAIIDKFAERGLRSLAVSRQEVPEKTKESAGGPWQFVGLLSLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSATLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVVPSPLPDSWKLNEIFATGIVLGGYLALMTVIFFWAIKENQFFPDKFGVRHLNHDEMMSALYLQVSIVSQALIFVTRSRGWSFLERPGALLVIAFLIAQLIATLIAVYANWGFAKVQGIGWGWAGVIWLYSIVFYIPLDVMKFAIRYILSGKAWNNLLDNKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPESSGIFNEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >CAK8534896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788407193:788407840:1 gene:gene-LATHSAT_LOCUS4398 transcript:rna-LATHSAT_LOCUS4398 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGRGRPPKNTVPPPSKTQPVSPTQQQSELCNHLESNTSLTEEGILETLDARTKPNQEEMATVTQSIDTTQPVIPKQPENGKPIHEGASEEVRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKVEVVIEDEDIISEVKFWESSLILYTMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIRE >CAK8578748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651129500:651133947:1 gene:gene-LATHSAT_LOCUS30734 transcript:rna-LATHSAT_LOCUS30734 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGNIGAWAADSERAEAEEREAAAEAATAGSANFPSLREAVTAKPKKNKKMSLSEFTGGGYASGGSAAAYPRLTPDEMLRLPTGPKERSAEEMQFNRLGGGFSSFDRSGRGRDRDGGSGDASWGAGRRSYGGFDDERRAPNSRVSELDQHSRADEVDNWASVKKPLPSFDSGRQNRYSGGGGGGGSGGGGFGGESKADEVDNWAVGKKALPVRSSDYRDSGMNPDRWSRGSAVEPDRWSRGSAVEPDRWSRGAPRDMEQQRPRLVLDPRKGDGSVNEAPAKTNKPNPFGAARPREEVLAEKGYDWKKLDSEIEAKKTSRPTSSHSSRPSSAQSNRSDGPDAALKPRPKVNPFGDAKPREVLLSERGKDWRKIDLELEHRAVDRLETVEEKQLKEEIDNLKKEIEKESSTSSNKEVDDAVGDETGARALLLEKEKELELLIRDLDDRVRFGQKAVERPGSSSGKTAGFPDRPPSRSGSFEDSRSVDFSDRPRSRGTGDMLTRPTDDRRQFQGSKDRGWFSNSSDLNRPRSRERW >CAK8568998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662252696:662253427:-1 gene:gene-LATHSAT_LOCUS21897 transcript:rna-LATHSAT_LOCUS21897 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIFVLVFAIAVAMPLRTVMGQANWQDLMGDGDLSESIDQAKKALGAANTAAAGQAAFDDYFGGGDFAGEDGGGAAGGYGGSVPAGDGAASLAGFIEENMTAEEQPTLPVDSPIGAPEDLPAPVPEGSQTDYDDSFAPGRSPIMSPDEDEGIIDKRIVEAEGIVDKRFVGAAPIESPDGAPSDDEDDMDTPDGAPAFAPGVSGFDDDDN >CAK8533315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602339956:602341049:-1 gene:gene-LATHSAT_LOCUS2955 transcript:rna-LATHSAT_LOCUS2955 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKSLLPTSSERRRCTAKLFHKESQDMCCKGGKVTITQVPTPDELLQLFSDTSTEGRHFRQHIKSYNHVMSFTSLGVHVDESLVTTRRGIYTFRAQGTIYHKIGGFHPNQGSRSRYLQLYIYDTDHELQNRMREKPILNQVIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKERPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVISCDGNLTKVQETIGYYDPLQYPILFQFGTYGWDIETKNNVGKNVTCREYYSYVLQIRHNDQFVLLKSGRLLQQYVVDNYVKIETRRLRWIRRNQNNIRSEVYQGLQDALHDGKNNAGI >CAK8563322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592499713:592515030:1 gene:gene-LATHSAT_LOCUS16746 transcript:rna-LATHSAT_LOCUS16746 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKPKNEDPKPENSGAVVHHQKICLSIDMDKHLVYGYTELEIAVPEIGIVGLHAENLGIESVWVDGEPTAFEYYPHQYQQLENEKRWSSVSSPSSAADAAVSVYLSSLEKELVPNLLINCCKPFKAETEQQQQEQPVAENGFHTSAEAKQNVRSVRIDYSIEKAETGIHFRDNVLHTDNQMRRARCWFPCIDDNLQQCCYDLEFTVANNLVAVSTGSLLYQVLTKDNPPRKTYVYKLDVPVAARWISLAVAPFEVLPDHQFGLISHLCLAPNLAKMRHTVEFFHNAFSCYKDYLSVDFPFDSYKQVFVEPEMAVSSLSLGASMSVFSSQILYDEKVIDQTIDTRVKLAYALARQWFGVYITPESPNDEWLLEGLAGFLADFFIKKHLGNNEARYQRYKANCSVCKIDNGGTTVLSCSASCKDLYGTQCIGLYGKIRAWKSVAVVQMLEKQMGPESFRRILQTIVTRAQDKVRSVKTLSTKEFRHFANKVGNLERPFLKDFFPRWVGSCGCPNLRMGFSYNKRKNVVELAVLRGCTALQTSSTAVLDINPDTENRDADSGWPGMMSIRVYELDGMYDHPILPMAGESWQLLEIQCHSRLAARRFQKPKKGLKHDGSDDNGDMPSMDIRSSTESPLLWIRADPDMEYLAEVLFNQPVQMWINQLEKDKDVIAQAQAIAALEASPQLSFSVVNALNSFLTDSKAFWRVRIEAAFALANSASEETDFSGLLHLVKFYKSRRFDSDIGLPKPNDFHDFAEYFVLEAIPHAVAMIRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSIGEFEFGQQSILLLSSLLKRIDRLLQFDSLMPSYNGILTVSCIRTLAQIAIKLSGSISLDRIYELLKPFRDLKAIWQVRIEASRALLDLEFHFKGVDAALLLFTKYVEEEPSLRGKLKLATHVMRLCQMRDGLISNDEITSQTLVSLLSLLEGRMSFNNVFLRHYLFCILQILAKRPPTLHGIPRESRMLHISLTEAGNYQRNLFVLDSENKPLELPNSTQNLTQDLIGTEGFRDALDEAPKDQVFEASKDQTFEAPKEVHIEALKEVPHEAPKDDLTGFPPEVPIEAPIEIYKEADTVSNSHERKRLIKIKVKQSSATSRADTDNQMIERSLGARNDIDHGASSSVSVSVDAPQRNFAETVSIGNHNIEEVNSWHDPGSRMTASIGSAKILGDELVKELQCTADSSVVYSQPKLEDPSPSSIIQDNNIDADARRFASLQTLSVARLDHDGESFGKEISARRKEKHRDKDKKRKRESHKGQHDDPEYLERKRLKKEKKRKEKELAKLQSDGAKRSSIDLSCKKEQPVVDEVKQLKSVEPSGYNSVPDIRTIDTKPRASEGTSSAPKIRIKIKNRMLNKS >CAK8532310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:245372705:245380430:-1 gene:gene-LATHSAT_LOCUS2033 transcript:rna-LATHSAT_LOCUS2033 gene_biotype:protein_coding transcript_biotype:protein_coding MALANAAVVGPSLYGVSRITKAPFLGSHGDAGSGYCGSSFCIGSHAGKSDVILERRKLKSVDNNNTINRIVENPHVKSSVEIPVSCYQLIGVPDRAEKDEIVKAVMSLKNAEIDEGYTMGVVASRQDLLMDVRDKLLFEPEYAGNLKERIPPKSSLRIPWSWLPGALCLLQEIGESKFVLDIGRTSLQHQDAKPYADDLVLSMALAECTVAKIGFEKKKVSQGFEALARAQCLLRSKPSLSKMTLLSQIEESLEELAPACTLELLSMPNTLENVERRRGAIAALREMIRQGLDVEASCQIQDWPSFLSQAFGNLLASEIVDLLPWDSLAVMRKNKRTIESQNLRAVIDSNCFYRVFTAHMALGFSSRQKELINKAKSICECLIASEGIDLKFEEAFCLFLLGLGTEAEAVEKLKQLELNSNPKHNSVLGKAIMDASAANPSLELWLKDSVLDLYLDTKGCSPSLANFFNTQKKISGSKNSKGSPQIFPAICHRPLSSNGSVERKDFEEPRSYTSSSPYLGFAVKQLTPTDLQGSLLSGKSENGHNPSEPAVKVNRNLGTHHKGIWDNLLTHAQVFERITYITVFGCVAFASMKLFGMNLGKTVTGSNWAFTKANNCTSWAANSSADYTLGPTYIRRSSIANQLKKIMSTVKIQFLHLPDAGSRSDLHSAHTSSSSPINVYRRLMPVEEAETLIREWQTIKAEALGPSHGVNGLAQVLDESMLAQWQALADAAKEKSCYWRFLLLKLSVLRADILSDGNGSDIAEIEALLEEAAELIDSSQQKNPNYYSTYKVKYVVKRQEDGSWKFCEADIRTR >CAK8569983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19018611:19023747:-1 gene:gene-LATHSAT_LOCUS22776 transcript:rna-LATHSAT_LOCUS22776 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAERETHSFRGSEAHNNLHRILQSCPKLVEVGDSNEYQNTVSELVNFLDSLLDATLSDPDNEHKENNAFEALSEIHQYIFSSSLDQEVVDALSFELPKAVSKFAGISRKFLDMAISIIDQFIVKCGPRDMLSILCDTLGYSSKVTNAASYIVPPLSGLSKVLISIRRRQFEQVKETVPIILNVLKAVCLESDEEELDNVFDRAVEIANSIYEVCDKLDNAAREKLRALLGLYVLQCLALVSARIDYKASGCHSLVSQLSRISSYCGLSYLSLVTTYDADAVASTVFGENKDDCMGCLSHVKHGTALSVIWGHVSEEVAQAAKEDMIAVKDELCNNQIKRWQAIVALKHVLSFVSLPWELKKYTINFLLCITDGDIRGNCDDEHSQWPSYMPNIFSALQAVKMVIMYAPDPELRKNSFAVLKGVLADIPISQRLDILEALITNTDSSSMIAILVDLVRREMHTEINNSTSVVKSTQQINSNEHSNISFWTPSVLKFVERILRPPQGGPPSLPDQSDAVLSALNLYRFILMTESTGKTNYSGVLSRSSLLKVYNEWLLPLRTLVTGIMAENKSDYDELAVDTVCTLNPLELVLYRCIELVEEKLKQVT >CAK8569984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19018611:19023747:-1 gene:gene-LATHSAT_LOCUS22776 transcript:rna-LATHSAT_LOCUS22776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAERETHSFRGSEAHNNLHRILQSCPKLVEVGDSNEYQNTVSELVNFLDSLLDATLSDPDNEHKENNAFEALSEIHQYIFSSSLDQEVVDALSFELPKAVSKFAGISRKFLDMAISIIDQFIVKCGPRDMLSILCDTLGYSSKVTNAASYIVPPLSGLSKVLISIRRRQFEQVKETVPIILNVLKAVCLESDEEELDNVFDRAVEIANSIYEVCDKLVDNAAREKLRALLGLYVLQCLALVSARIDYKASGCHSLVSQLSRISSYCGLSYLSLVTTYDADAVASTVFGENKDDCMGCLSHVKHGTALSVIWGHVSEEVAQAAKEDMIAVKDELCNNQIKRWQAIVALKHVLSFVSLPWELKKYTINFLLCITDGDIRGNCDDEHSQWPSYMPNIFSALQAVKMVIMYAPDPELRKNSFAVLKGVLADIPISQRLDILEALITNTDSSSMIAILVDLVRREMHTEINNSTSVVKSTQQINSNEHSNISFWTPSVLKFVERILRPPQGGPPSLPDQSDAVLSALNLYRFILMTESTGKTNYSGVLSRSSLLKVYNEWLLPLRTLVTGIMAENKSDYDELAVDTVCTLNPLELVLYRCIELVEEKLKQVT >CAK8534549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740432675:740436377:1 gene:gene-LATHSAT_LOCUS4089 transcript:rna-LATHSAT_LOCUS4089 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAPITVFMFRDSEGFASAISEALHPNPSSSFTRLEDSFELSLESYGIKDHKASGNVLHYVDSHGIYKVSLVIMQHYEPPVLACALNEVLNKILKGDDPSTMPTLLVPFLVESSKVKGNSKSLRSDESKALTYGIQIGQITDIMQALLKKTQEPPSSLRIQHENFACFLHFVRVMKLPTFFLIGQTNQYLDNTSTKQHEAICEIGEILASSTGLQFSEDKVIWNPKKTSKEIKEPWRDLYG >CAK8531362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104835992:104836390:1 gene:gene-LATHSAT_LOCUS1159 transcript:rna-LATHSAT_LOCUS1159 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITLSLLILALAITLSLATSSEMDGQNSNLQGTSHFFLSRKQNKISLTCDKYPKICNIKGSAGPDCCNNSCVNITADVFNCGRCGKKCSFPKICCEGKCVNPKSNEKHCGKCGNKCDNRGSCVYGMCSYA >CAK8538886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498859118:498866400:-1 gene:gene-LATHSAT_LOCUS8035 transcript:rna-LATHSAT_LOCUS8035 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSDGIAPPHEEDSSDSVPDSSNAIVSATTSVCLLRTASDTGGGALMGSVFGFGTGLYNKKGFKGSFVEAASQAKTFAVLAGVHSLVVCILKRLRGKDDVINAGVAGCCTGLALSFPGPPQALLQSCLTFGAFSFIMDGINKPRPALALPTSWKTRVQYNARLPSILPLQFPLPNEMKEAFSSFSESLKKRSKGAYPTSR >CAK8536461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943141754:943146252:1 gene:gene-LATHSAT_LOCUS5828 transcript:rna-LATHSAT_LOCUS5828 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFETNPFAEDEVNPFADGGAKGKASGQPNYGGGAFYTTNPGSVSPATSGKLTPLPHEPHDRGVTVDIPLDMPKELKAREKELQAREAELKKREQELKRKEDAIARAGIIIEEKNWPPFFPLIHHDIASEIPIHLQTIQYFAFATWLGLVLCLTFNIAAVTVAWLKGEGLSIWFLAIIYFISGVPGSYVMWYRPLYRATRTDSALKFGWFFLCYSFHILFCVLAAVAPPIIFKGKSLTGILPAIEVLTYNPVVGILYLVGFGFFAIESLLSIWVIQQVYMYFRGSGKAAQMKREAARGAMMTAL >CAK8531989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186982585:186984129:1 gene:gene-LATHSAT_LOCUS1735 transcript:rna-LATHSAT_LOCUS1735 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTHLPVLTQDDYNNLLQDIINNNPNNMKKRNVKDNHEPNQERQHKQQKQNNNTNNIKDILASLLLLEEEETKEQQNRLLDSQQHKAMFNSNFKNQTQTMNNYMEQLQNHYTDLDQSHHTKSKKARLTAIATASSIASGSDQPGSTQTGSESKESNHPHQRRLWVKDRSNNWWENCNRENFPDDEFRRCFRMSKSTFNMICNELDSSVTKKNTTLREAIPVRQRVAVCIYRLATGDPLRLVSKKFGLGISTCHKLVLEVCSAIRTVLMPKFLRWPNEETMQKTKRDFEGIFGIPNVGGTMYTTHIPIIAPKVNVALYYNKKHTERNQKTSYSITVQGVVNSKGVFNDVCIGWPGSMPDSEVLEKSALYERAKRGNLKDIWIVGNSGYPVLDWVLVPYKHKNLTWTQHGFNEKLEEIERVGKEAFGRLKGRWGCLQKRTEIKLQDLPIVLGACCVLHNVCEIMNEEMDDEWKFDVVDDENVVEDGVCSVSSLKARDQIAHYLLHHGRAGTTFL >CAK8540845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25484726:25485064:-1 gene:gene-LATHSAT_LOCUS9811 transcript:rna-LATHSAT_LOCUS9811 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNSTMNISSSQAELASLKNLSSPVPYLFAGLALMCGVIAIALLVIACSFRRYSSSISSNDEEKSSNMHVMDVDQVSPEPKIVVVMPGESNPTHLAKPVLSISHHDQQDL >CAK8568681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631548407:631549584:1 gene:gene-LATHSAT_LOCUS21616 transcript:rna-LATHSAT_LOCUS21616 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGIPILDFRKKNGITLEEGSEGWKEMSKKVREAFESHGVFLLKCDEIPNELRKEMFTGMKSLFDLPEETKLKYTGTGNYRGYNKLPGLPHSHSFSIDDAFKSDTTQKFTNLMWPEGNPTFSETLLSFSSKARELNSLILKMAAEGFGLSEKYISEVEELNSSANSRMTKYQLPEENKDSAITCVPHTDKGTITLLCEDDVPGLQVLQKSGNWADVNFPPNSFIVIVGDMLQAWSNGRFKAPMHRVVLRGNKERFVFILFSVPKKETVIKAPSELIDEEDHPPVYKPFMFEEFMDFLKIYGTKEGELEEFAGF >CAK8575935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:366134252:366138181:-1 gene:gene-LATHSAT_LOCUS28160 transcript:rna-LATHSAT_LOCUS28160 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSNSKFSVYQNPNLSAVLTSNSLQPSNYTLISILSFFSASAFAFLAIIFRENGFVDIFKLQSVSPFTAYWLVKTLQTLLGFVFIGTMLALFKVVFLRKTRYSGGVVAPNSNQANKNQMCLTKHQLELLGVKPKVDLVQSESSKKPPKSKPQSASASSELLVPLHQPVSSPSRRVDSDGSNSNRGVPGRSIGNLSRSPGSASLYLSRGVVSSAQGSAGMDSVVSSPWSNRRGSSANKITSEESLEQFLAEVDERITESAGKLSTPPPSVPGFGIASPNTVTGSANNSGAKRRTPLRPVRMSPGSQKFNTPPKKGESGDLPPPMSMEEAIEAFDHLGVYPQIEQWRDQLRQWFSSVLLNPLIHKIDTSHVQVMQAAARLGISITVNQVGNDALSTGTASTLPSIDKTQDWQPTVTLNEDGLLHQLHSTLVQAIEASKSNPQQPPQQSHLIPIMQDCVDAITEHQRLQALVKGEWVKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTLELPSDSHLLLHLFCAFLEHPKWMLHADAMSYAGAQSSKNPLFLGVLPPKERFPEKYISVVSSVPSVLHPGACILVVGKQGPPIFALYWDKKLQLSLQGRTALWDSILILCHKIKVGYGGIVRGMHLGASALSILPVMETETED >CAK8542767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544726236:544730871:1 gene:gene-LATHSAT_LOCUS11576 transcript:rna-LATHSAT_LOCUS11576 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLTPQPIPTPFITKLTSSPKPWKNLFILNYPFHHKKLLPAPPFFPLNAVHTQGLYTPPPPSKTDPEDDPISLLNDRIRREYSKRDVSRTVMDTKEADKYIQMVKEQQQRGLQKLKGDREAKDGTISYKVDPYTLSAGDYVVHKKVGIGKFVRIKYDVSKNSGEPTEYVFIEYADGMAKLPVKQASKMLYRFSLPNESKKPRTLSKLNDTSAWEKRKTKGKVAIQKMVVDLMELYLHRLKQKRPPYPKSPVVAEFAAKFAYEPTPDQKQAFIDVENDLTERETPMDRLICGDVGFGKTEVALRAIHCVVAAKKQAMVLAPTIVLAKQHFNTISERFSVYPDIKIGLLSRFQTKSEKETYLKMIKSGDLDITVGTHALLGSRVVYSNLGLLVVDEEQRFGVKQKERIASLKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTKLSAFSEQRAISAVEYELDRGGQVFYVMPRIRGLEESMEFLAEAFPNVKMAIAHGKQYSNELEDTMEKFAQGEIKILISTTIVESGLDIQNANTIIIQDVHYFGLAQLYQLRGRVGRADKEAYAYLFYPDKNLLSDQALERLAALEECRELGQGFQLAEKDMGIRGFGAIFGEQQTGDVGNVGIDLFFEMLFESLSKVEDHRVASVPYHSVQVDLNINPHLSSNYINHLENPMEIINEAERVAEKDIWSLVQFTENFRRQYGKEPQPMEIILKKLYLRRMAADIGVIKISSSGKTVYMKTKMSKKVFKMMTESMTSDTYKNSLVFEGDQIKAELLLELPKEQLLNWIFNCMAELHASLASLIKY >CAK8539285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508425876:508431666:1 gene:gene-LATHSAT_LOCUS8395 transcript:rna-LATHSAT_LOCUS8395 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVGLTVTVAVAACAVAAVVVGRRVKSRRKWKKVANVLKELEEGCDTSVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVDNLPNGTEKGPYYALHLGGTNFRVTRVHLSGQPSPVLEHEVERQPIPPHLMTSTSEDLFDFIASSLKEFIAKEGDGSNTSQDRRELGFTFSFPMKQMSVSSGILIKWTKGFSIVDMVGRDVVACLQEAFVRKGLDVHVAALVNDTVGTLAVGHYHDPDTVAAIVIGTGTNACYLERIDAIIKCQGLLTTSGRMVVNMEWGNFWSSHLPRTPYDIELDAESPNPNDQGFEKMISGMYLGDVVRRVILRMSLESDMLFGPISTKLSTPFVLRTPLMAAMHEDDSPDLIEVARILSDTFEIPDVPLKARKIVVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMKRTVVAIEGGLYSSYTLFREYLHEALNEILGEDIAKHVTLNVTEDGSGIGAALLAASYS >CAK8533537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633607356:633609413:-1 gene:gene-LATHSAT_LOCUS3158 transcript:rna-LATHSAT_LOCUS3158 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTLFLLTLVALITTATIATTTTTPIIGLDSYLTSQSRTDPQATTDSFQSLSTSIKKSLSHLPSLSLSLSPIPVSLTIHLIGDFPPNTHSLLSTFLSPPPSPFHVITPSSPLSQSSQSHTLSLHHTLHLHVTTSQTQTLSQTISQTLTTLFQTTPTPLRTSLLPFPHSSVDKIISQHFHSISPKPEGFHLYLINLPKPKIDPVSNSAKPYAYTYTSTASDNSSPGFTNCLGTFWTSSERYFWVDLSAGHVDYGPAVSGDGLIPKGEFHPFATLHGGPKSQRALAADLASLVWSAYQVFLAPSLRIPVHYENKLVVQFIHVYSGEEKDVKGLDWKLIEKSFRDESNGKILLGDQSLSFKVFDVKYDECPLCSFAVSRSTNSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSAGEMRKIGAIPEEEDFGRVIPVYVFDLDYHPLLMLDRYHQSVAFKDMVIAVRTRNTQTVSDYSCNGRHVFVHTRELERPIVGSVLQSMWGVSPTHLSWSPLHNSTLVDYTWSMGQTPFGPFSELLSLSFVQKDAARRNILLTTLNYSISSAFDVLQSLETHGGDRNMLKQKQHDEFVQRWNLFKYKLNKAVSAMSHLDYEMALFYLKSSDHDLYAIHSIVYHASQEIEASLTCFKDPPFPWVSVSTSVAAFVALSYVYAKRHKIFRNKSKQF >CAK8541677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:350852295:350853300:-1 gene:gene-LATHSAT_LOCUS10580 transcript:rna-LATHSAT_LOCUS10580 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPSATAKSNKEKFCLRPSETQKIRFRVHHRGYFVDTPAKLYVVGLILEMNWKWNVDYLSYFELLELIKKDGYRDIMCIWYWNPRYSFTRGLRKIKGNGDVLRLIRDVDGCEVVDLYVEHSISVPDIVDDAEVGHDIISDDDDVQCTSEKFVDDEVEVDNNAEAVDDAEVGEVNNDVEVGDCDGVEVSIDVEVSDDDGVEVDIDAEVGDGGGVEVNINAEVGDGVDVDNDAEVGDGVDVHNDTEVGDGHDDNGPELDSEEELESELELD >CAK8561570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:301141705:301145612:-1 gene:gene-LATHSAT_LOCUS15148 transcript:rna-LATHSAT_LOCUS15148 gene_biotype:protein_coding transcript_biotype:protein_coding MKREKLNPLLLFPNRPKLLHVFITFMLLYLVFTTFEFKTFEFVSVSTVFENEHQNTHHRKALNFPSQEASGVHQGSLHRKPMQELQKVSTLNFNEGKLNETGLGKGKDKFSEIQRDVKEAWVKGKRMWEEIQFQNMESVTVAENISDTCLHSISLSGSELRKQKGIMMIPCGLTLWSHVTVVGTPRLGHWEHDSKISVVKDEEEKVLVSQFMMELQGLKIVEDEEPPKILHFNPRLKGDYSGKPVIEQNTCYRMQWGSSLRCEGWKSRADEDTVDGQVKCEKWIRDDDSHSEESKATWWLPRLIGRKHKITLDWPYPFAEGRLFVLTLTAGLEGYHFSVDGKHITSFPYRTGFSLEDATGLSIKGDIDVHSIYAASLPTSHPSFAPQMHLELLPQWKAPPILDVNAELFIGILSAGNHFAERMAVRKSWMQHKLIKSSHVVARFFVALHGKKDINMDIKKEADYFGDIIIVPYMDHYDLVVVKTVAIAEYGIRTVAAKYIMKCDDDTFVRVDSIMNEVRKVGIGRSLYIGNMNYHHTPLRHGKWAVTYEEWTEEEYPTYANGPGYVISSDIAQSIVSNFEEHRLKLFKMEDVSMGMWVEQFNKSKPVEYVHSYKFCQFGCIEDYYTAHYQSPRQMTCMWDKLQHKGKPLCCNNR >CAK8576540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489525948:489526547:-1 gene:gene-LATHSAT_LOCUS28719 transcript:rna-LATHSAT_LOCUS28719 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPKYIVNAHYNESVIVSDEVSLIFENTDISRFSINRRTSFQHFKERVEMKVQAGSLTHITYRNAVHFRNNQFKFVPLKVFDDEDVETLFSNHECSEFSYIDLYVAFEQCQQTQISQVINASIKETPTTIPHKDVEEEDVGEENEAHVGDLYTTLFEEGNDVNKVNNDEQHIPIGNDFCPPAHMTNLPLNVEGTSFE >CAK8535159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818680070:818682235:1 gene:gene-LATHSAT_LOCUS4635 transcript:rna-LATHSAT_LOCUS4635 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIESQTIHKETLHEEAPVVLHKAKRPVILKFDDVVYKINIKSGGLFKKNVKVEEKTILKGVNGVVEPGEMLAMLGPSGSGKTTLLTALGGRLGGKLHGKITYNGQPFSNVIKRNTGFVTQDDVLYPHLTVTETLVFTALLRLPNTLTKQAKVALAKNVIDQLGLTKCEDSVVGNTTLRGVSGGERKRVSIGQELLINPSLLFLDEPTSGLDSTTAQRIVSTLSELARGGRTIVMTIHQPSSRLYYTFHKVLLLAEGNVVYFGKGSEAIQHFSNIGYAPAMAMNPSDFLLDLANGIYTDDANHDHIIDKQKLISAFKSNSDGQLKVEEHHEINDSDGRFQETGSEKWPTSWSQQFFVLLRRDVKERKYESFSVLKICQVLVVAVISGLLWYKSDTSHMQDQIGLLFFITGFWGFFPLFQAIFTFPQELMMLEKERSSGMYRLSSYFISRMVADLPMELILPTVFLLITYFMAGLKATALNFFETLFSLLLNVLVAQGLGLALGAVVLDQKSATTLASVLMLVFLLAGGFYVQNVPKFIDWVKYISISYYTYQLFIGSQFHSGDTYPCSEGQCPIEEFPPIKQVGFDLNAQWIAAMALVIMLIGYRLIAYFALTRIGITKK >CAK8537516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:382794956:382797652:-1 gene:gene-LATHSAT_LOCUS6805 transcript:rna-LATHSAT_LOCUS6805 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATERLAVVTGANKGIGFAICNQLASNGINVVLAARDEKRGVEAVERLKELALSGDVVFHQLDVTDSASIESFVDFIKSQFGKVDILVNNAGIGAHVNGEALAALGVVVDPNQIDWTKIFYENNEIVEKILRTNYFGTKELTTVLIPLLQSSSSPKIINVSSSIGRLEMLANGRPKEILSDVKNLTEEKIDELTNEFLKDYKDGSHETKGWPLANSAYIVSKAALNAYTRVLAKKCPSFGINAISPGYIKTDMNDGNGALTSDEGAEPIVKLALLKDGSPSGRFFSRGEEKPF >CAK8535894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889149065:889151002:-1 gene:gene-LATHSAT_LOCUS5313 transcript:rna-LATHSAT_LOCUS5313 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLANRWTGIAAGIWIQWSCGASYTFSIYSPILKSTQGYDQSTLDTVSVFKDIGSNFGVLSGLLYSAVTPYGHRSPASKWSSLGGPWIVVAAGAVQCFVGFFFIWASVVGLIHQPPVSLMCFFAWLASNGQTFLDTTNVVTGLRNFPQYGGTIIGIMKGFLGLSGAILIQLYHAFFDGEPATFLLLLACLPAIICMLFMFLSRIYEVQDYDYKKHLDGFSVVTVIIVVYLMFLIALQNLVSLPYWARVFTFVILMVLLASPFGIAVKAHWEDSKIFSETHSIENAAPTLEYLELPREEVQVQGISSDMLPLEVEMNVLQAICTVEFWMLFVTMIAGLGSGLTTINNMSQIGQSFGYSTIQISNMVSLWSMWNFLGRFGGGHVSDYIMHERGWPRPLLLAVTLGIMILGHLIIASGFPGNFYLGPVLVGICYGTNWSLMPTITSEIFGVKHMGTIFNTIAAASPLGSYILSVRVVGYIYDKEASKDNSCFGNHCFMLSFLIVAGVSFLAFLVSLALYFRTRRFYKLVVLRKLKHYAR >CAK8562874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551332146:551333057:1 gene:gene-LATHSAT_LOCUS16336 transcript:rna-LATHSAT_LOCUS16336 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDILYFRPLVQFSRPLITQAQPNPPSIFHKYQKVTKEMNLTKKKLIPILVLFLSIISVLRILSLTLKTHSSSASPPFAYTNESSLHPRVSHNISATFQEKEFKVLINIISLKSPCNLLIFGFQPQFLILSSMNEAGSTVFLDNNHDRATTNYNNNTQIHSFSYNVPTKEAYKLLKHARNNPVCAPDPRYLQTSKCNLALKNLPAIVYEKNWDVILVDGPSGDSTESPGRMASIYTAGVLARGGNVSDVIVHDVNRMVEKWFSWEFLCDENLLYSKGKLWHFRIRGSLNSTTFCSVTDRVST >CAK8564095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651506172:651509760:1 gene:gene-LATHSAT_LOCUS17434 transcript:rna-LATHSAT_LOCUS17434 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLEFGFVLLIVLLAFLVFVKGEIYIVTVEGEPIISYTGGIDEFEATAVESDEKIDTTSELVTSYGRHLEKRHDMLLGMLFEQGTYKKLYSYRHLINGFAVHISPEQAETLRRAPGVKSVDRDWKVKRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIFPHHPSFATHNTEPYEPVPRYRGKCEVDPDTKRSFCNGKIVGAQHFAQAAIASGSFNPSIDFASPLDGDGHGSHTASIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSVGPNSPPAAAKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTMVSYSPWIATVAAAIDDRRYKNHLTLGNGNILAGVGLSPSTHLNQTYTLVAANDVLLDSSVMKYSPTDCQRPEVFNKKLIEGNILLCGYSFNFVVGTSSIKKVSETAKSLGAAGFVLCVENVSPGAKFDPVPVGLPGILISDISNSKKLIDYYNISTPRDWTGRVKSFKGLGKIGDGLMPILHKSAPQVALFSARGPNIKDFSFNEADLLKPDILAPGSLIWAAWSPNGTDEANYVGEGFAMISGTSMSAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGNPLLAQQTSETEAIKFVKATPFDYGSGHVDPTAALDPGLIFDAGYEDYLGFLCTTPGIDVHEIRNYTHVPCNSTMGKPSNLNTPSITISHLVGTQDVHRTVTNVAEEETYVITARMEPAVAIEVNPPAMTVNAGASRQFTVTLTSRSVTGSYSFGEVLLKGSRGHKVRIPVVAKGFQR >CAK8544573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693381475:693382101:-1 gene:gene-LATHSAT_LOCUS13237 transcript:rna-LATHSAT_LOCUS13237-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLFLLITSLGQVINAYPLSACPNCGDFEVPYPLSTNDNCGDKRYKIYCNNHTLKFLSSTGTYYNILKIDPNANKLIIKPPIIQKHTCYSSDLFNGGLVLDENLPFNISTLNTVMLLNCSDNILQSPLNCSSNSICRQFEEKVEEGKGCMNTLCCHYLKDSAMNSHKIRLRVGSCTAYTCLVNFKPGDPFETWSYGVELQWMSPY >CAK8544572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693381475:693382116:-1 gene:gene-LATHSAT_LOCUS13237 transcript:rna-LATHSAT_LOCUS13237 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLKMLILLLFLLITSLGQVINAYPLSACPNCGDFEVPYPLSTNDNCGDKRYKIYCNNHTLKFLSSTGTYYNILKIDPNANKLIIKPPIIQKHTCYSSDLFNGGLVLDENLPFNISTLNTVMLLNCSDNILQSPLNCSSNSICRQFEEKVEEGKGCMNTLCCHYLKDSAMNSHKIRLRVGSCTAYTCLVNFKPGDPFETWSYGVELQWMSPY >CAK8570802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:117588740:117590473:1 gene:gene-LATHSAT_LOCUS23523 transcript:rna-LATHSAT_LOCUS23523 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNALSSTSILRSPTNQAQSSLNRKVKQHGRVNSRQKPSRFVVKAAAKDIAFDQHSRAAMQAGIDKLIDAVGLTLGPRGRNVVLDEFGSPNVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASILAREIIKLGLLNVTSGANPVSIKKGIDKTVAALVEELEKLARPVKGGDDIKAVATISAGNDELIGKMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDKGYISPQFVTNPEKSIVEFENARVLITDQKISAIKDIIPLLEKTTQLRAPLLIISEDITGEALATLVVNKLRGILNVVAIKAPGFGERRKALLQDIAILTGVEFQASDLGLLVENTTIEQLGLARKVTISKDSTTIIADDASKDELQSRVAQLKKELSETDSIYDSEKLAERIAKLSSGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGTALVHLSGYVPAIKEKLEDADERLGADIVQKALVAPASLIAQNAGIEGEVVVEKIKNGEWEVGYNAMTDTYENLMESGVIDPAKVTRYALQNAASVAGMVLTTQAIVVEKPKPKHQ >CAK8569192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681497323:681499625:-1 gene:gene-LATHSAT_LOCUS22073 transcript:rna-LATHSAT_LOCUS22073 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERREVCMNGEENEAEIVKDEDKLALQFLDSLHNYLSLAGSLSSTLRQGWLELASARHSMGASRVNSSLLDLKLHPAATTLKITGNDGEQPQFTLQKWVSSEHQSTQLEDKNEQPQESDLKSSDNDEVQKERHKSLSVFGVLISPKLRDSQLSFEKALETLVEIANMRSALLHSFHQLHQEVEDTKE >CAK8573840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641687453:641690010:1 gene:gene-LATHSAT_LOCUS26241 transcript:rna-LATHSAT_LOCUS26241 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDSDSKRRHSKFDREPSPKRHRRDKERVTTNDVGGVDREQRNLPLSNRSSKHEITDGSLKKSNPIDHSEPSKHSSQPSRSRSYYQVGRSAGQREADGKVYTQSKENNQRVETSQSREQRDEKSLAKQDDKFQRRDSFTERKDDPTPSMRKRPAFREKKIPVDSGEANPAGTVTVKSSHTNHPSEKAERKEDRSSNPNHLDRSEKQIADDRAPNNREARRDVFSSRARYGGNGGNSSYRGRDKFNGRQDYNPVKTQTEKWKHDLYQEVNKDPIAKNEDDQIAKLEALLAS >CAK8579739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719669615:719671094:-1 gene:gene-LATHSAT_LOCUS31660 transcript:rna-LATHSAT_LOCUS31660 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAMYDEECDYLFKAVLTGDSGVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNIRVRDKFIKAQIWDTAGQERFKAITSSYYRGAMGALLVYDITRRSSFENVRAWLVELREFGGEDMVIILVGNKSDLEESRQVEEKEGKEFAEKEGVCFMETSALKNLNVEQVFLQMITKIFEITSQRSLEAKMNEKPLKLLNGREIYLADEVTATKPAPCCS >CAK8542442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:514892445:514900600:1 gene:gene-LATHSAT_LOCUS11281 transcript:rna-LATHSAT_LOCUS11281-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENEDQNLLDQATDNGLQKKLKISYTREFLLSISGLDVCKEFPSGFDQSLLSEFEDASLDRHRSTGALPTHSFRRNEYSSSPPTRGDMNNFSRGTHGKWDSRSSGRSDRDIDSQSEWDSDSGKRIGNQSRRSLQGPEHDGLLGSGSFPRPAGYAPGLSAPKFRANDNYQPNRSNEPYLPPRPYKAPHSRRETNDSFNDETFGSLECTSEDRAEEEKKRRASFELMRKEQTEKLKMNPDKNKVDFDLSSLIDDDSKRLVAGGNESMEPPLTLVALSNDEKSSSHSLASARPLVPPGFANTKLERNMGTKISSNTHVAEAGKPEPGDTRGSHVFSINPENKEGNLSTMHVDNNQQNLQRADINVSINNEKENTSNLSSSVDIPNIKTGINDQLRKRSALSEALEASDDNTFIPLKAEVKGKEAIGAAFNPESSESILYKLFGNASTLTSGISTSIVESDHKADETWSPHAFQSSKFAHWFAEEEKKSLDDLTPKPNDLLSLIVGGEKGGLQVSNVEKTHHVAPNFNFQNPEPAGEGIPTNVTHTAISNSELSYKSDVPEILPAVLTCEDLEQSILSQVNENGLSSQPCLQDKDFGTEAGQSNSIDGHASEHLLSLLQKGPLHKDVELSSVIHSTDTVHNTERATTGKFLDNPEKVNADASNSSKTLTLETLFGSAFMKELQSVGAPLSVQRSSIGSVGADFSESQLFPFPTSDNVNPPTGELTLNRHGSGGAFPSEKTHQPKSNRLEEQWLGYGDSHGDVNSSLLQSGISKASGFNRSHDFRLPEEDSLVSVSDPLQTFLSVGNSAKADLSQDTPAEITRKLAALNSAFRDERLMMRNQGQAYPRGPYEIREPGIPYQNLNAHRSSQLQPHQLNHIGPMFNQPDSHSPHISSYMKHVTSEGMVHHDSPSNRHFPGNMLRPPFHQPSSVVTGFDPPAHHPLLQQMHMQGNLPPPHLLRGFPRGGAMPPHPGNPMSGIMQEPNPMQGFPFSGQQHLGGPGMQLQAPGVAGGRNHPEALQRLFEMELRSNSKPIHTSGHNQGGIHELDLGFGYR >CAK8542441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:514892445:514900600:1 gene:gene-LATHSAT_LOCUS11281 transcript:rna-LATHSAT_LOCUS11281 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENEDQNLLDQATDNGLQKKLKISYTREFLLSISGLDVCKEFPSGFDQSLLSEFEDASLDRHRSTGALPTHSFRRNEYSSSPPTRGDMNNFSRGTHGKWDSRSSGRSDRDIDSQSEWDSDSGKRIGNQSRRSLQGPEHDGLLGSGSFPRPAGYAPGLSAPKFRANDNYQPNRSNEPYLPPRPYKAPHSRRETNDSFNDETFGSLECTSEDRAEEEKKRRASFELMRKEQTEKLKMNPDKNKVDFDLSSLIDDDSKRLVAGGNESMEPPLTLVALSNDEKSSSHSLASARPLVPPGFANTKLERNMGTKISSNTHVAEAGKPEPGDTRGSHVFSINPENKEGNLSTMHVDNNQQNLQRADINVSINNEKENTSNLSSSVDIPNIKTGINDQLRKRSALSEALEASDDNTFIPLKAEVKGKEAIGAAFNPESSESILYKLFGNASTLTSGISTSIVEQSDHKADETWSPHAFQSSKFAHWFAEEEKKSLDDLTPKPNDLLSLIVGGEKGGLQVSNVEKTHHVAPNFNFQNPEPAGEGIPTNVTHTAISNSELSYKSDVPEILPAVLTCEDLEQSILSQVNENGLSSQPCLQDKDFGTEAGQSNSIDGHASEHLLSLLQKGPLHKDVELSSVIHSTDTVHNTERATTGKFLDNPEKVNADASNSSKTLTLETLFGSAFMKELQSVGAPLSVQRSSIGSVGADFSESQLFPFPTSDNVNPPTGELTLNRHGSGGAFPSEKTHQPKSNRLEEQWLGYGDSHGDVNSSLLQSGISKASGFNRSHDFRLPEEDSLVSVSDPLQTFLSVGNSAKADLSQDTPAEITRKLAALNSAFRDERLMMRNQGQAYPRGPYEIREPGIPYQNLNAHRSSQLQPHQLNHIGPMFNQPDSHSPHISSYMKHVTSEGMVHHDSPSNRHFPGNMLRPPFHQPSSVVTGFDPPAHHPLLQQMHMQGNLPPPHLLRGFPRGGAMPPHPGNPMSGIMQEPNPMQGFPFSGQQHLGGPGMQLQAPGVAGGRNHPEALQRLFEMELRSNSKPIHTSGHNQGGIHELDLGFGYR >CAK8574776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9029545:9032639:1 gene:gene-LATHSAT_LOCUS27086 transcript:rna-LATHSAT_LOCUS27086 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQKQNTTNPFESLSEELIFTILDFLEEPNNNNNSHQTKKSFSLSCKWFHALESKHRRALRPLRAEHLPSILARYSSIQTIDLSLCPRVSDTSLSMIAEAYKETLTRIDLSRSRFFTGSGLLSITASCLNLVELDLSNATELRDAAMVGVARAGNLERLWLNRCKLVTDMGIGCVAVGCRKLKLISLKWCVGVADLGVDLIAIKCKDLRTLDLSYLPITEKCLSSIFKLQHLEDLVLEGCFGVGDDSLSNEVFKQGCKTLKKLDISGCHNISHTGLSRLTSISRCVEQLVLADGSPVTLALVDSLNKLSMLQSIIFDGCTITCDGLKAIGNLCISLKELSLSKCVGVTDEALSFLVSKHRDLRKLDITCCRKITDVSIASITNSCRSLTSLKMESCTLVSSEAYILIGQKCHFLEELDLTDNEIDDEGLESISRCSRLSSLKLGICLNITDRGVAYVGMCCSKLKELDLYRCTGVTDLGISAIAYGCRGLEMINTAYCTNITDRALFCLSKCANLQTLEIRGCLLVTSIGLASISMNCKQLSRLDIKKCYNIDDSGMIPLAHFSQNLRQINLSYSSVTDVGLLSLAGISCLQNFTLLHLQGVSPQGLAAALLACGGLTKAKLHVKLRSLLPEILIRHVEARGCVFEWRDKVFQAELDPKCWKLQLEDVMQ >CAK8568109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574159395:574166434:1 gene:gene-LATHSAT_LOCUS21104 transcript:rna-LATHSAT_LOCUS21104 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSSMSSRRTSESGAARKSISSLRPIGVTGERTVKSLRLSRALTVPETTTIYEACRRMAARRVDALLLTDSNGLLCGILTAKDISTKVVAQEINPEDTHVSKVMTRNPVFVLSETLAVEALQKMVHGKFRHLPVVENGEVLALLDITKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGSTNSDSNSSFIEALREQIFKPSLSTIFPENSKMVKVAPTDSVLKTTKKMVELHASCAVVTIDGKPQGIVTSKDILMRVIAQNLPPSSTPVEKVMTPNPECTVIDTPIVDALHIMHDGKFLHLPVVDRDGIVVAVVDVIHVTHAAVATVSQVGNNEAGTSLMHKFWDSAMALSPNDDDDETRSENSFKVGSDGGETGRSVPYSPASMPYTFSFKVQDKKGRMHRFTCDTRSMTEVISSILQRLGTDIDPNNLPHILYEDEDHDKVVLASDSDLAAAVDHARTTGLKGLKLYIDYEGTDSKRKGSHSGRLDHAYSDAWASAYSGAAAGAALVAGLGLLTYLKRA >CAK8533159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586152532:586152804:1 gene:gene-LATHSAT_LOCUS2806 transcript:rna-LATHSAT_LOCUS2806 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLVTEREYEDLNRMMEHTCLNEMDSIGDHFTWSNKQAAAPIYSRIDRILGNTEWFLTNMETVLKILPPNISDHALLYLDTKEQRKNT >CAK8536818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:23408513:23411340:-1 gene:gene-LATHSAT_LOCUS6149 transcript:rna-LATHSAT_LOCUS6149 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSKNGKVAENWDAFKSKESKKKKKKNKENESVIKEGKTGCWVRLRFFSSCISSRSKVDTSVSGSGTGTGTSTHYAESKSTNDTSQCQPTVPIISSTTTSNAESNSSTSKLEEELKIASRLRKFSFNELKSATRNFRPESFLGEGGFGCVFKGWIEENGTAAVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHQNLVKLVGYCIEDNQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAQGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSVKGAQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRFGASPNTRSAHVQGASLARNGQQRRSLSIPNGTHVSPYHHKFPQQSPKPNGKS >CAK8564473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676778974:676779543:1 gene:gene-LATHSAT_LOCUS17776 transcript:rna-LATHSAT_LOCUS17776 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSLKLKLHLPNSSNPSCSLLSPKNHQLFIKPNKVLNIKNMKQTPSKSSAIKITKQTPVVEDAPRTQQQPMKLQVLETGNSRAMVIGAVSVGVLLLLSGMDEHKALALGPEGPLVEEFWDNVRRYALYALTVSTGAIYTIMVPIFELLKNPITAILIVALFGGGYYIVSQVLSAMVGISDFSYDYGN >CAK8579793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722725360:722725641:-1 gene:gene-LATHSAT_LOCUS31709 transcript:rna-LATHSAT_LOCUS31709 gene_biotype:protein_coding transcript_biotype:protein_coding METGEQGLPSAIATAPTAGDPTASPPLIGDAVISPDPAVDFDYVAKTEVHALISDEEHDHVHEHELDHDFDHDHEHVHEHDHHDEGHERRSRG >CAK8574746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8528529:8529374:1 gene:gene-LATHSAT_LOCUS27060 transcript:rna-LATHSAT_LOCUS27060 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKESVRKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGVNVQIGGSDQRGNITAGTELIRKILQVEGATATYGLTFPLLLKSDGTKFGKSEDGAIWLSPSLLSPYKFYQYFLSVPDADVIRFLKILTFLDIDEIVKLEEETKKPGYVPNTAQRRLAEEVTRFVHGEDGLREALRATEALKPGSEIKLDWKTIEGIAEDVLSCSLTYDEVLNQSLVDLSVSSGLFDSKSAVRRLLKQRGLYLNNSIVDSENKRIEAADIVDGKVLILSAGKKNKVLVRIA >CAK8575184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:48384285:48386396:-1 gene:gene-LATHSAT_LOCUS27468 transcript:rna-LATHSAT_LOCUS27468-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPQTFPTTKRPPSESSFISPNLNNFNLLHSLLHLTHQIGSLNAAPWCRFLLNKTSSSTIRKTQLLGVVFEELVCNFNSISISTTLCSNSFVLCLEEMYIVLHRIKTLIEDCSNGSKFSVLMQIETVADNFNKLTGELSTLLDVFPIQQFSLNDDVLEIVHLIKKQCSESKLQLGEEQTKLRNDVVSVLEGIKREIIPNQEKLSSIFEKLEIRDSSSCRSEIENLQEEIQNRSEEQSKSEIVALIGLVRYAKCVLFGASTPSTRNETLRRNQSLDVVVPSDYRCPISLELIRDPVVVATGQTYDRASIKLWIESGHNTCPKTGQTLVHTDLIPNHALRNMIALWCREQKIPFDDETVTVKINGGVTNKTAFEATRMTASFLVNKLNNGSLLSGEDTNAVVYELRVLSKTDSESRACIAEAGAIELLVRFLSYEAGSQHPSLQVNAVTTILNLSILEANKTRIMESDGALNGITEVLRTGATWEAKSNAAATVFSLTGVVAFRKRLGRKIRVVSGLVELAKCGPEGAKRDALAAILNLASDRETVARLVESGAVQMTAEIMTGMPEEAVTILEAVVKRGGLVAVAAAFMGIKKLGKVLREGSERARESAAATLVTMCRKGGSEIVTELAAIHGVERVIWELMAVGSVRGRRKAATLLRILRRWAAGLNGGEMEGFSTTNASSVSASTTVVVPTTTSSAQYHN >CAK8575183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:48384285:48386495:-1 gene:gene-LATHSAT_LOCUS27468 transcript:rna-LATHSAT_LOCUS27468 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHHNHLHSSFTSSDNNNSIIHLNYNHHFTKTMAISPQTFPTTKRPPSESSFISPNLNNFNLLHSLLHLTHQIGSLNAAPWCRFLLNKTSSSTIRKTQLLGVVFEELVCNFNSISISTTLCSNSFVLCLEEMYIVLHRIKTLIEDCSNGSKFSVLMQIETVADNFNKLTGELSTLLDVFPIQQFSLNDDVLEIVHLIKKQCSESKLQLGEEQTKLRNDVVSVLEGIKREIIPNQEKLSSIFEKLEIRDSSSCRSEIENLQEEIQNRSEEQSKSEIVALIGLVRYAKCVLFGASTPSTRNETLRRNQSLDVVVPSDYRCPISLELIRDPVVVATGQTYDRASIKLWIESGHNTCPKTGQTLVHTDLIPNHALRNMIALWCREQKIPFDDETVTVKINGGVTNKTAFEATRMTASFLVNKLNNGSLLSGEDTNAVVYELRVLSKTDSESRACIAEAGAIELLVRFLSYEAGSQHPSLQVNAVTTILNLSILEANKTRIMESDGALNGITEVLRTGATWEAKSNAAATVFSLTGVVAFRKRLGRKIRVVSGLVELAKCGPEGAKRDALAAILNLASDRETVARLVESGAVQMTAEIMTGMPEEAVTILEAVVKRGGLVAVAAAFMGIKKLGKVLREGSERARESAAATLVTMCRKGGSEIVTELAAIHGVERVIWELMAVGSVRGRRKAATLLRILRRWAAGLNGGEMEGFSTTNASSVSASTTVVVPTTTSSAQYHN >CAK8531986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186391103:186391327:-1 gene:gene-LATHSAT_LOCUS1732 transcript:rna-LATHSAT_LOCUS1732 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTWALFGKPGKGGISGPGLKMDLNANIGLTFTPIEWGNEQKHILSAIPQGKSVFITGSAGTGKTLLLEEINY >CAK8533278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599814837:599819083:-1 gene:gene-LATHSAT_LOCUS2919 transcript:rna-LATHSAT_LOCUS2919-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILLLLLFVLLQLIPSLHSVSPRHWLSKPLIGDDGRIYVCSHKTFLAFETNGTIAWSIRVDYTCNLHMAPLHAGHQKIYLVAENRILKINYGNVETSEPAVELFFGPEPGQKAESEIVGLSVSTLSSTVFINIKNHGLFAYQPQGRLLWSVGPLLYQFGYRQGCKKNLTDCSFSSVPMLDQCEASIYIANTEGELYCLSVRGRDFRWIQDFSSLDKNFTITPGNNGHLYVTVPTRALVLAIDVFSGNILWQGSVGPLSKIDCAPVVDSNGWISIGSLDGFLYSFSPTGVLKKFSRRNTENSIVQVGPFLDCSGFAVYSSQIEMEGKISHSIGEYTSVSAIRPKAAIFTMLVPATGSIYWSEVYPGQVSTLLSKSDLSQFVVNEEILLTFLAASKVDTPLPCRTFGQKLASSCSQARNKLVNIYSGNERTIELFLLFESCMLVLLIGLVRFCCTFWSKKKLRDQGLGSFLDKRCSLQLQKKALVKTITELEKKAAEESVDNEVYRKLGDIVREKECIDRKLSTTYSLGRDRTNTQQKSMLPLQIGKAKGYSFQGEKNQKLTMFHTLSDTSSESSIEGETNMFEEIDLYAKDKGKTPMVEVTSTSSNDGYFTNPFYGN >CAK8533279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599814837:599819083:-1 gene:gene-LATHSAT_LOCUS2919 transcript:rna-LATHSAT_LOCUS2919 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILLLLLFVLLQLIPSLHSVSPRHWLSKPLIGDDGRIYVCSHKTFLAFETNGTIAWSIRVDYTCNLHMAPLHAGHQKIYLVAENRILKINYGNVETSEPAVELFFGPEPGQKAESEIVGLSVSTLSSTVFINIKNHGLFAYQPQGRLLWSVGPLLYQFGYRQGCKKNLTDCSFSSVPMLDQCEASIYIANTEGELYCLSVRGRDFRWIQDFSSLDKNFTITPGNNGHLYVTVPTRALVLAIDVFSGNILWQGSVGPLSKIDCAPVVDSNGWISIGSLDGFLYSFSPTGVLKKFSRRNTENSIVQVGPFLDCSGFAVYSSQIEMEGKISHSIGEYTSVSAIRPKAAIFTMLVPATGSIYWSEVYPGQVSTLLSKSDLSQFVVNEEILLTFLAASSQKLASSCSQARNKLVNIYSGNERTIELFLLFESCMLVLLIGLVRFCCTFWSKKKLRDQGLGSFLDKRCSLQLQKKALVKTITELEKKAAEESVDNEVYRKLGDIVREKECIDRKLSTTYSLGRDRTNTQQKSMLPLQIGKAKGYSFQGEKNQKLTMFHTLSDTSSESSIEGETNMFEEIDLYAKDKGKTPMVEVTSTSSNDGYFTNPFYGN >CAK8535156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818578547:818583016:-1 gene:gene-LATHSAT_LOCUS4632 transcript:rna-LATHSAT_LOCUS4632 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSCLDHALFQLTPTRTRCDLVIVAGGVSERLASGLLEPFLSHLKCAKDQISKGGYSITLHPVGTFAPWFTKATLQRFVRFVSTPEVLERFVTIEKEIVQIEGSVQSSEVEGNLSYAEGRVKRSTNSSNRDGHEENSRVRLQRVLDNRKAMLCKEQAMAYARALVAGYHPESMDDLICFADAFGASRLREACLNFLELCKQKNEDKLWMDEIAAMQVSSQPVLPYLRTSGIVLAGEDESTPSHASLDIGQDDTLPASGQTPSSDGRAQMPKSWPNHHPQYIHNFQGHAFQQMPPYQGYMYPGMQVPPSSYFPGNMQWPPNEDRSHNDKTSYKKKKKKKNKQSQVVERSEEDESATSSESSYESDSDVDSKQSKKNSSAERMHKKKHGKKSSRKVVIRNINYITSKGDGEKGSITDENLSNEEEFINGDSLKHKVEEAVASFEKRNKSTLRHHKKQHSAKHLGSLNDSTVSDSNGIKDGNNWDAFQNLLLIDDDDSTRDTEKQPMKFEEEYMSNKNIEDGRSREFNGEAGFAKTRVVSNDSFVVTQRELNNEGRNRLEYFKEGKDEPSFMQKNKSTEEDLMFSRRNEEPGRYYVSSSATDGLPVEKNKKDILADDSFMIQARSSQNKFDSQSAANISSISDIVGATEFTNGTREGSHKKTETLTSREPDDLLMVLDRDSAVEQNATPWRMEMDYENNIALSEANRKPSDVGTDRNRASNHEGADKKTSGAKNGKISSKDAKSKAPNASLGKSKSDIMSRSRTLPGSKTTVTKSKSEKEEETRKRREELMIQRQKRIAERSASKKTGTETKNSTKKGNPKIHPSNEETKKSNKPVLRSSTINRLATARVTQQKVSPSQPKSSPAKKPSLKANKVPLQKKQLQKEVKSSNPKEDARKTKAKVLSGTNAKTKNETKASLVLPIKPVAVQAVEQNNNNHDLKVTEEVSKASPEKHPRSLISERETPHENMRHLRTNPSLPNHDHPSGGNQSRGEEVSDKLSSLHGDNNQPRQNTDMITTSPAALPTKPLIYTASNSKVNQKIDERYVTPPKVSEIQISTPPPSNQSMQESNHNRKKWINDEEDSSKAAKGFRKLLFFGRRS >CAK8574618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3954707:3957010:-1 gene:gene-LATHSAT_LOCUS26946 transcript:rna-LATHSAT_LOCUS26946 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQNCIISPIINNEKPDSEIITPIINNEKPDSEIITPIINNEKPDSGTQPNKRQKKKSIVWDYFTVEPVVAGCARAYCKLCNKSFSYITNLKVAGTSNLKKHISLGICQVMQQKSQQANSHLVNEVMQQKSQQPNSHPVNGVNGGLQVNGILPNSHPVNGVNGGLQVNGILPNSHPVNGVNGGLQVNGILPKKRQRTTPTYAGKGVSFDQERCNNDIAKMVILHDYPLDIVEQPGFIAFAQTLQPQFNPLRLNSFEGYCLSMYLKEKQTLLDLINGIPGRLNLTLDMWSSNQTTGYVIIRGHFVDSDSNLHHPILNVVTVPFLDSDELINQSIMTCLSDWHLEGRVLTLALDKFFSSETVKVNLRSHLSINNPVVLSGQLLNRNCYARVLSRFAVDALQAMSETISKVREYVKFVKHSESHEEKFIELKQQLQVPSLVNLLIDDNYKWDTTYHMLVAAWELKEVFACLDTSCPDFTMTLTMDDWKQIKTLCTYLKHLYDAARILTTRPYPTANLFFAEVSKLHMELTIAAFSQDLFLSNLILPLLQNFDQYWRDSCLILAVAVAMDPRHKMKLVETTFSNIFGENAEPWIRIVEDGLHELFIEYNTEMLHFAATNGGGEGDEVMLTVEPYEGPVDGSLFVDEGEFSDFEFCVSDMACLQPYKSELDEYLEEPQLSEATEFDILNWWRLNQSKYPTLSRMASDILSMSISTVSPDSVFDTGVRKMDNHRSSLESHTLEALICAKDWLQHKSLPKNVSNTLETTKLQR >CAK8531157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85489144:85490458:-1 gene:gene-LATHSAT_LOCUS967 transcript:rna-LATHSAT_LOCUS967 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRLIATALCCLAIVFGGLTFSSDAQLDPNFYIQTCPQLQFTVSQILSKVAKKDPRMPASLIRLHFHDCFVQGCDASVLLNKTDTIVTEQEAFPNINSLRGLDVINKIKTAVEKACPNKVSCADILTLSAAISSVLTGGPGWLVPLGRRDSLTANQSLANKNLPGPSFSLTQLKSAFSDQGLTTLDLVSLSGAHTFGRSRCFLFSDRLYNFSSTGKPDPTLDAAYLKVLQKQCPQNGPGDNRVNFDPTTPDILDKNYYNNLQVKKGLLQSDQELFSTPGADTIGIVNNFANNQNAFFENFKKSMIKMGNIGVLTGKKGEIRKQCNFVNQKKKSSELDITTVTSPESFEGDMVSSM >CAK8565495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:245932877:245935126:1 gene:gene-LATHSAT_LOCUS18708 transcript:rna-LATHSAT_LOCUS18708 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRSIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHADATNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGVNDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPPPRLSPEEIWNRVSKLPKFTDYGEAYRIEGYGVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDKNRVVLFYCDWYDPSSRGTKIDKKYNIVDIRMDRRYKEYDPFIMSHIVKQVYYVPYPSIQSRKRGWCVVIKTKPLGHIETDDLVEDAAYQDHEISQINDVVEVEEITNLCDTLVEGHQIDASVLLVDNNVDEEREDIGSEDIIESDDENNMAEEHEEFE >CAK8531685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139402702:139408748:-1 gene:gene-LATHSAT_LOCUS1458 transcript:rna-LATHSAT_LOCUS1458 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKYIWVLISINRYILNTIQDEKTRKSLKSKCITNIKIQNQQFFEFSHQSVLSNLYYGIDAIETAIQSHHSEERSLNLKNSEQMLQVPAMLDEDDFTASIPNRYLVCCSYFYLSVVRKLQGDEWQAAVHFLQAVLVAPRVVWNEFAPELCGSLFKKCGSRSLEFGSCLDSEEEINEVVVKEKARRYKECLVYYQVMLYGEIPWWSSYCSSNSANYMDVSNTSCVSSTSVHHEQRLKTCNTYEKIHPMDPQDVMQEKLDAKNIIKLDSSMFTRSIEDVALSISKRIEKTSIDLNCRVEDLLIEEALQPLEFHLFDHASSRLRLGLKNHEESSKMKLQTSLGKYGEEYIVNTASIFESLIGSSGTNYGSLKDVILDELLIVISTSKEEKELRASVSILTTIISRNKSIIEDVKKKGLRLCDLASALKQNVYEAAILIYLINPSPIDIKTLELLPIFVEIVCTSSQSCYKSNKQEDKQEESLLMTPHAASLMIIEELLTSFDYATNNMHLEAISSPHFLSGLLEVARNDNLEEFFSLTTILIKCMQFDSQCRKYVSKFTPLAPFLHLLDAENIRTKCMALEYFHEILCIPRSSAINLLQRIKKESRMDIMQILMHCADQLQPGHQILAANIMIQLDALNSTDKSLFREEAVRILLRALTSEESSEQILSASILSNLAGTYAWTGESYTAAWFLRKTGLNSPYHQNMIRNFNWLDHSLQDTSIDVWCSRIAKCIISVGDSIFYTLEKGLRSKMKKVSRDCLVTLSWLGCQISKNPDSLSNSTSEIILRRVEQFLHPGMELDERLLACMCMFNYASGKGKQKLMHFSEGVKESLRRLSNITWMAEELHRVADFLLPNTSRISCVHTQILEVGRNFSISVCSLIYYKGLLFSGYSDGSIKVWDIRGHSASLVWDIKEHKKSVTCFSIYEPLDGLLSGSTDKTIRVWKMIQRNLECLEVIVMKEPIHHLRSHGETIFSITERQGIKVVNKSREIRDIFKGKHGKCMAVAQGKLYTGCTDSSIQEYNTTHNRELEIKPPTRSWRKQSKPINSIVTYRDWLYSASKHVEGTTIKEWKKSGKPEISILTEKGDNVVAMEVVEDFLYLISSSSTSSIQIWLRGVPKKLGRISAGSKITSIYTANDIIFCGTEKGLIKGWIPL >CAK8571511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434842144:434842633:-1 gene:gene-LATHSAT_LOCUS24170 transcript:rna-LATHSAT_LOCUS24170 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWTFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSAHVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8531393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107379718:107380419:1 gene:gene-LATHSAT_LOCUS1189 transcript:rna-LATHSAT_LOCUS1189 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPSIMTGIALIGIITVVLGATFAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRAALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPDKSQNMVLMGGLTKHAPITKMAFLIGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSTAGLTAFYMFRIYLLVFEGYLNVHFQNFNGKKNSSFYSISLWGKEEKKN >CAK8562333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474751125:474752567:-1 gene:gene-LATHSAT_LOCUS15838 transcript:rna-LATHSAT_LOCUS15838 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPTKLRSNTLQLKSNQSVSKAFGLEHYGAKVTCSLQSDFKELAYKCVEASKIAGFALATSALVVSGASAEGAPKRLTFDEIQSKTYLEVKGTGTANQCPTIDGGVDSFAFKAGKYNAKKLCLEPTSFTVKSEGVTKNTPLAFQNTKLMTRLTYTLDEIEGPFEVSADGSVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFSGEFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTQTKPETGEVIGVFESIQPSDTDLGAKAPKDVKIQGVWYAQLES >CAK8575768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:318001326:318002849:1 gene:gene-LATHSAT_LOCUS28004 transcript:rna-LATHSAT_LOCUS28004 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSKGGNMLVERNTDSSKNKTNEKIHRPNFGAFLHSEPSSFYTNNDDGQGRISNVSAASPQTYNGDCSTPTSSNNASPSYMLSPWNQEHNSPLSKSPWLMPSSEAISLFHHHHQHQNDNNGYNDRYYPENGLIGSLVREEGHIYSLAVSGDLLYTGSDSKNIRVWKDLKDFTGFKSSSGLVKTIVISEGNIFTGHQDGKIRVWKNSSKNSKVHKRVGSLPTFKDYVKSSMNPKNYVEVRRRKNTVKVKHFDAISSLSLDQEQGLLYSASWDKSVKVWRVADFKCLESINAHGDAVNAVVAAFQSYVFTGSADGTVKMWKRETDGKGKKTKHILDRILLKQENAVTALTVNRLSTVIYCGSSDGLVNFWERQQKNNLTHGGVLKGHKLAVLCLAAANNLLFSGSADKNVCVWKREQSGAHTCLSVLTGHTGPVKCIAVEEHEEQDRSVIEKNDQRWIVYTGSLDKSVKVWGVSEHAPELRLMQGWTSPIAPKGHNSPATGFSKNSKF >CAK8574591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3123189:3123919:1 gene:gene-LATHSAT_LOCUS26921 transcript:rna-LATHSAT_LOCUS26921 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPYNPNGPQSSSSKLLSPTLTAKLNKCHMASLSLQMSKQPQKETQQHVPTQSFSSCSINNTSTFVYGNDDIHGGTSGESGLIRWLDEGSSFVGFESHHHVEVSHQQFQPVLEDDHIEQMIQELLDSGCSIEICSVGSS >CAK8541252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:97687030:97687433:-1 gene:gene-LATHSAT_LOCUS10184 transcript:rna-LATHSAT_LOCUS10184 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKDKLHDMNFMRKAKAEAKAEEKAEKEIVQARKDIAHEVRLAKEAEVAMDLHVTKAGEIAKKEIEKHAPIDPNANSVDQGSSMQPPSNNPTSM >CAK8572410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535004377:535011931:1 gene:gene-LATHSAT_LOCUS24981 transcript:rna-LATHSAT_LOCUS24981 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGKNNGCKSNGFVPSSFKFISSCIKTASSGVRTAGASVAASISGDGHDNKDQVLWACFDRLELSLSSFKHVLLLGYTNGFQVLDVEDASNIRELVSKRDDPVSFLQMQPVPAKSEDCEGFRASHPLLLVVACDKSKIPGTVQNVRDGHNEAQAENIINSATTVRFYSLRSHTYVHALRFRSTVYMVRCSPQIVAVGLATQIYCFDALTLENKFSVLTYPVPQLGGQGIVGVNIGYGPMAVGPRWLAYASNNPLLSNTSRLSPQSLTPPSVSPSTSPSSGNLVARYAMESSKHLATGLINLSDMGYKTLSKYYQDLMPDGSSSPVSPNSSWKVSRFASNSTETDSAGVVVVKDFVSRAVVAQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSYSKKGPGSQSNDWSCSHVHLYKLHRGMTSAVIQDICFSHYSQWVAIISSKGTCHIFVLSPFGGETVLKLHNQDTEGPALLPVFPLPWWFTPNFTVNQHQQLCHIPPQPPALLSVVSRIKNVNAGWLNTVSNAASSAAGKVSVPSGAVSAVFHSSVSLDSHNAYAKVHVLEHLLVYTPSGHLIQYNLLPSLMAEPNETASRTAQVPLPQIQEEDLRVKVEPVQWWDVCRRYDWQEKEVYISGNTPGGLDAAEMILDVSNCENYSVGNDDSIKPNQDCHFSNAEVHISSGRIPIWEKSEVSFFVMGSFETGGLNKFDLLTNGEIEIEDIPVNEVEIRQKVLLPVYDHFHKIQSTWGDRGIVIRRCSSSSSDSHATEEKGSEDAAISHPKLIVPGLAEKKDVGASNFADSITKVKSSEHGDCFNSSFSGCDMNMHVTCEESLRDSPDYEQFFQEGYCKASVDCHESAEITTDMDCSSPSGREKSDEDGDNDDMLGDIFDFSEEG >CAK8544313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678086843:678089780:-1 gene:gene-LATHSAT_LOCUS13004 transcript:rna-LATHSAT_LOCUS13004 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKKEEEIVVMNMEDFQEIHVSDNPDPTIPQLQNQKQQKFRRNDSLEIESRTTSHLHHSNGPSMALILQLAFQSLGIVYGDIGTSPLYVFSSVFPDGINHNDDILGVLSLIFYTLTLISLLKYVFIVLRATDNGDGGTFALYSLICRYAKVGLIPNQQLEDAEVSNYKLKLPNNREKRASKLKSMIENSHGTKIFLLFTTLLGTSMVIGDGVLTPCISVLSAVGGIKEAASKITDDQIVWISVAILILLFMVQRFGTDKVGYSFAPIICIWFTLIAGIGLYNFIRHDTSVIKALNPKYIVDYFIRNKKNAWISLGGVVLCTTGTEALFADVGHFTVRSIQISMCCVTYPALILAYAGQASFLRKNNDLVSATFYKSIPDSMYWPMFVVAVLAAIIASQAMISGAFSIIQQSLSLGCFPRVQIVHTSEKYEGQVYIPEVNYILMIACIAITVGFKTTTKIGNAYGIAVVFVMTLTSAFLTLIMIVIWKTHMLLILSYVLVIGSVELLYLSSVLYKFDQGGYLPLAFSAILMFIMYIWNSVYRKKYFYELDHKISPEKFREVACNTSLCRFPSLAIFYSELVQGIPPIFKHYVANVPALHSVLVFVSIKSLPISKVPIGERFLFRRVQPKEFYVFRCVVRYGYTDVRNEKEPFEKLIVERLKEFIVKEYYWSQKMIQDGETDENLNLDKSQEISEGGRVQEAIEKEIETIEKASRAGVVHLIGENEVISSKGAGFGKRILIDYAYNFLKKNLRQSEKLFDIPHKRMVKVGMTYEL >CAK8560994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69449753:69450154:1 gene:gene-LATHSAT_LOCUS14623 transcript:rna-LATHSAT_LOCUS14623 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSWMKADRLGPVYENKVLEFLEYADKHLPDNNDIFYYPCVVCVNIKKGTKKEIFNHLCFYVICQNYIIWTWRGGVDKEESRASQSQRVDEDEYMEDQLEDMFHDIGESILRMLIFMILYVVIKTPLYIRDA >CAK8536350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932910188:932911822:-1 gene:gene-LATHSAT_LOCUS5727 transcript:rna-LATHSAT_LOCUS5727 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKEMKIARFSSCRGVAFEINPSRRSSPFAIDSPTKPERSIGTWLWIPWTRNNSFKILPQPQAISPTRSRASSHFCDINIDADDVELEFLSEVEDIEKNQEKVKVLSKSEPTKRNSRLSVILLDQGFTVYKGLFMVCITLNLLALALSASGNFSYGKSKATLFSIGNILALTLCRSEVALRFLFWFVVKTIGKPFVSLRIKTATTSFLQSVGGIHSSCGVSSIAWLVYSLILTIQNNDKTSPEILAVTFTILSLITLSSLAAFPLIRHLHHNAFERIHRFSGWLALILLWLFILLSISYEPSSKTYHLTISKMVKKQECWFTLAITILILIPWLSIKKVQVHVTAPSNHASIIKFEGGVKPGLFGRISPSPLSEWHAFGIISDGKKDHMMLAGAVGDFTKSLVSSPPKHLWIRSVHFAGLPYLVNLYQKVLLVATGSGICVFLSFLLQKNKADVYLIWVAKDIEMNFGNEIKELVGKYSKEKVIVHDTAVSGRPNVAEISVNAAINWNVEVVIVTSNPEGSRNVVKACSKAKIPAFGPIWDS >CAK8542215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490019753:490021293:1 gene:gene-LATHSAT_LOCUS11072 transcript:rna-LATHSAT_LOCUS11072 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFIGSWIIKTLLQNGYTVNTTVRSSPGQKKDVSFLTNLPGASKKLKIFNADLSDPESFTAAIEGCVGIFHTATPMDFLETEPEEIVTKRTIDGALGILKACKNSKTVKRVVYTSSGSAVYYQEKEKDVMDESYWSDVNFLKKLKPFGWSYAVSKTLAEKAVLEFGQENGLDIVTLIPSFVVGPFICPKLPGSVYASVPFLFGDIDKTPLMASRMHMVHVDDVARAHIFLLENSNPKGRYNCSPFIATYDEIIDIISSKYPEYQIPKSIVLRGNKGPMLPHLTSKKIMDAGFKFKYSIEEMFEDTIECCKKKGYL >CAK8542353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:503684620:503685999:-1 gene:gene-LATHSAT_LOCUS11198 transcript:rna-LATHSAT_LOCUS11198 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGTNLVTTVIGFGMSATFIVFVCTRIICGRLRGGVESRMMYEIESRYDIEQPEHHVNDPDPEPVLLEAIPTLKFNQEAFSSIEDTQCVICLADYKEREILRIMPKCGHTFHLCCIDIWLRKQSTCPVCRLPLKNSSETKHVRPVTFTMSQPLDEPPHTSERNADIERHIEPTAVNSVQPTSEGPEARQ >CAK8576968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528340870:528347848:-1 gene:gene-LATHSAT_LOCUS29120 transcript:rna-LATHSAT_LOCUS29120 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRALWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQNIINDPFMLNSVILVLANKQDLRGAMTAREVCDGLGLFDLKNRKWHIQSTCALRGDGLYEGLDWLSSTLKEMRAAGYSSLGLGTSSF >CAK8574273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673103031:673104482:1 gene:gene-LATHSAT_LOCUS26633 transcript:rna-LATHSAT_LOCUS26633 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLLLLPLLFLSTSTSSPSHNLSYPNFQHLNVKQTIAETKLNLKLKPFHSTKQPQENKKAKTETEGEKSESGKQKLKLLHRDKVPTFNISRDHRSRFNARMKRDSKRVAAVVRRIAGASGYEAEEFGSDVVSGMEQGSGEYFVRIGVGSPPKNQYVVIDSGSDIIWVQCQPCTQCYRQSDPVFNPDDSSSYSGVSCGSTVCSRVENAGCHEGRCKYEVSYGDGSYTKGTLALETVTLGGTVIQNVAIGCGHRNQGMFVGAAGLLGLGSGPMSFVGQLGGQTGSMFSYCLVSRGTESSGSLEFGRESVPVGASWVSLIHNPRAPSFYYIGLSGLGVGGLRVPISEDVFRLNELGEGGVVMDTGTAVTRLPTAAYDAFRDAFIAQTTNLPRSSSGVSIFDTCYDLNGFMTVRVPTISFYFLGGPILTLPARNFLIPVDDVGTFCFAFASSPSGLSIIGNIQQEGIEISVDGANGYVGFGPNVC >CAK8559983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2889719:2891071:1 gene:gene-LATHSAT_LOCUS13707 transcript:rna-LATHSAT_LOCUS13707 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETEPQIHHIVNIPEMIEPMLHEQCCIYKVPNHLHKLNEEAFTPKFISIGPFHSHNPDLNQEKQKQRYFHAFWKRLSNKQGLALAQYKVFLEENKEIIAKCYSKHDVCVLDKFVEMILLDSVFIMELFLRKSNESEQKNDFMFMTSWIYRITQRDLLLLENQIPMFVLEELHTRVLGGNCVSFIELAFNYFQDYYPQKKSLKDEMIRNCESCKHFTDLIRYSYLPRKIQENGVKQSENFNFTKFSSEYVLRTATKLNEAGISFEKVQGRSYCDIKFKKTPILNWFLCLGCIPCFRFVESKLQVPHLKVDQSTECVLRNLIAFEQCHYSNQPFVCNYVSLIDSLIHTHEDVELLVDTEIISHELGSHAELATLVNCLCRHVVVTSNCYGEMVKELNEHYTNVWKHYMGMMRSVYFRDPWRLSSTVVGVSIFLFALVNFLKVIGVFSPKY >CAK8570550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59229260:59230567:1 gene:gene-LATHSAT_LOCUS23290 transcript:rna-LATHSAT_LOCUS23290 gene_biotype:protein_coding transcript_biotype:protein_coding MASASYKKPRLRDLALRRLLSVVFVTLCGVLILIFLLGSNSTLNNETKDLDIVDDGSRYRRDLKFTKVQTLPQQSDLSLILEKLNLLPPRNLDLYPNLAKDAIIVVLYVHNRPQYLRIVVESLSKVVGINETLLIVSHDGYFEEMNKIISGIRFCQVKQIYAPYSPHLFPNSFPGVSVGDCKEKDDAEGKHCKGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLNETRVHSGHILFIEEDHFIFPNAYRNLQILTSLKPMKCPDCYAANLAPSDVNSRGEEWESLIAERMGNVGYSFNRTVWKKIHNKASEFCFFDDYNWDITMWATVYPSFGSPVYTLRGPRTSAVHFGKCGLHQGQGENKACIDNGVANIHVEEPDKVANIVADWNVHVYENQPGYKAGFKGWGGWGDDRDRHLCLSFANMHHSSKGTTSLL >CAK8573883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643990261:643992164:-1 gene:gene-LATHSAT_LOCUS26283 transcript:rna-LATHSAT_LOCUS26283 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGSLSRSFISTARNSLRPVAPSPLPRIRPPPLAAPRIQSRLFSMPATTSRNLGQLGCVQSLLPLHSTMAVACLTSHIVVSARACSELSNVT >CAK8573884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643991355:643992164:-1 gene:gene-LATHSAT_LOCUS26283 transcript:rna-LATHSAT_LOCUS26283-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGSLSRSFISTARNSLRPVAPSPLPRIRPPPLAAPRIQSRLFSMPATTSRNLGQLGCVQSLLPLHSTMAVACLTSHIVVSARACSELSNGRNGKDG >CAK8542395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:508642498:508643556:1 gene:gene-LATHSAT_LOCUS11236 transcript:rna-LATHSAT_LOCUS11236 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHDPPRSFFPFGNPFHMISPKATKLSPQLLAVLRAFEETLEERLKKLIPKSKDDVVSFSWMTSAMQALCESHNDIKTLMTDLELPVTDWDEKWIDVYLDISVKLLDICNAFSSDLSRLNQGQLLLQCTLHHLGSSSPDQLFRACSSLDGWRQLMSSKNPRIEKCGSILDDLVESSDMPKVKKSAKGTVLMQAIYGVKALTVFVCSVFATAFSGSTKNLMDMDVVNIYSWAPSFKVLQNFVNEEIRLRFSIGKFTVLNELEAVDLSVRELHPIIQGVVHTIETESHAETVKKLGRATEDFSQGLDLLAKEVDGFFQVVLSGRDALLANLRSVILGNDHILGGKSDAQVVN >CAK8539446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512918328:512919611:-1 gene:gene-LATHSAT_LOCUS8539 transcript:rna-LATHSAT_LOCUS8539 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINTLPSTPGKLKPEKYYPPYNIHRIRLSKLTVYSSIFLAFIIFFFFLSPPSSSPSSLRTLNPWGGPDWEKRVTKSARRSSASSLTVLVTGASGFVGTHVSLALKHRGDGVIGLDNFNRYYDPNLKRARQKVLSRAGVFVVEGDINDGNLLRKLFDVVPFTHVMHLAAQAGVRYAMQNPNSYVHSNVAGFVSLLEASKSANPQPAIVYASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHAYNHIYGLSVTGLRFFTVYGPWGRPDMAYFFFTKDILKGKRITVFESPDGGSVARDFTYIDDIVKGCLGALDTAKRSTGVGGKKKGNAQYRIFNLGNTSPVPVTELVNILEKLLNVKVKRKVMPMPRNGDVKFTHANISRAQKELGYMPTTDLEGGLKKFVRWYLDFYSPLKNKGVW >CAK8531559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125180674:125183632:-1 gene:gene-LATHSAT_LOCUS1343 transcript:rna-LATHSAT_LOCUS1343 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRFFCATNLSLSIQNNAKLDNKCITQKVKNLVNTVQFPSISSSSSTPLESLQRGTWVKLICGASFEDVVDIRNLTLVYTLAGVDCIDCAADASVVSAVNEGIEAARDILCGLRKPWVMISVNDDKDLHFRKAQFDPEDCPAECSRPCENVCPANAISFQRKSALQILYDNSAPRGGVITERCYGCGRCLPICPYDKIRDVTYVRDAFTTANLIKRNDVDAIEIHTSGRQSKQFEELWLALGDSVENLKLVAVSLPNVGDSTISSMNKMFSIMKPNLQALNLWQLDGRPMSGDIGRGATKESIAFATQLAKAKDRPSGFLQLAGGTNAYTIEGLKKEGLFQTTITEYLDHEESSNTTSNPSCALISGIAYGGYARKIVGRVLRSMQSQHGGAAAIEDHPEHLLLALTEALALVGPVKCL >CAK8541916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431916013:431916273:-1 gene:gene-LATHSAT_LOCUS10804 transcript:rna-LATHSAT_LOCUS10804 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKRSRKIKSLETSNWTTAMDEVLLDSYLHQQTLGNKNGNSMTTSAMDSILKELKTHFPDKPISKEKNKRSHETHQNKVQFLL >CAK8564076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649996852:649999964:1 gene:gene-LATHSAT_LOCUS17416 transcript:rna-LATHSAT_LOCUS17416 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQMAMIMQLNPFIPNLRNEKAQNHLRFPNKHSSNLICSSLTSSDSVFSHALAHHFNPKIPIEKAVTPPSSWYTDHSFFHLELDRVFYRGWQAVGSTEQIKDPGDFFTGRLGDVEFVVCRDDSGNVQAFYNVCRHHASILASGSGQKSCFVCPYHGWTYGLNGTLLKANRISGMRDFNVNDFGLIPIKVATWGPFVLLNFEKENLTQKEVDSHNVAKEWLGSSSEILSTNGVDSSLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKDLASGLNLDSYSTTLFERVSIQSCEGMSGKSEENNDRLGRKSIYAFIYPNFMINRYGPWMDTNLVLPLGVNKCQVVFDYYLEPSLQGDKDFIEKSLQDSEKVQIEDIVLCEGVQKGLQSPAYSVGRYAPKVEQAMHHFHYLLHENLTT >CAK8538800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496727643:496728047:-1 gene:gene-LATHSAT_LOCUS7958 transcript:rna-LATHSAT_LOCUS7958 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTENSSKRIKNYASGARTSSPNLEAPSSYDFNLSSPMERPMRQKAEKRKGKAKENSNATEPPSRVMHDTMNKRMVVMENLAQLKEEENKLVKEKMKFEEMKFIMLDTSKMNDSQREFHEKYCNKLKEKYGW >CAK8541188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:85333101:85347440:-1 gene:gene-LATHSAT_LOCUS10125 transcript:rna-LATHSAT_LOCUS10125-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFRGKVRVGVLIVVVVGICLAALYGLLKPISNGCLMTYMYPTYIPITSSESVTPVKYGLYLYHEGWRKIDYREHLKKLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQNGPLEHSFYREASQIPKEGDADISFSNFQLPNQYTSRLDWFAVDLEGEHSAMDGAILEEHTEYVVYAIHKILDQYNVSYDARTKEGAANSGSLPKSVILVGHSMGGFVARAAVIHPHLRKSVVQTVLTLSAPHQSPPVALQPSLGHYFARVNSEWRAGYEMQTTNTGHFVSSPILSDVVVVSISGAYNDYQVRSKLASLYNIVPPTHGFMISSTAMKNVWLSMEHQAIMWCNQLVVQVSHTLLSLIDARTGQPLSDSRKRLEVLARMLHSGISHNFNQMMQLPSSKLSMNIPVQNTKDTSGSQMPRSVTCPHNIHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGSNGKSHFVLVTNLEPCSGIRLHLWPEKGKSTSDLPLNDRVVEVTSKMMHIPSGPAPRQPEPGSQTEQPPPSAVFWLGPEDMHSFRFLTISVAPRPTVSGRPPPAASMAVGQFFNPEEGNKDLSPWFMLQSTYSQKELLLEESHPLAVKLSFSISLGLLPVTLFMNTVSCGIRNSGLPEEEAGDLESSRLCKLRCFPPVALAWDDISGLHIYPNLNSETIFVDSSPAQWSSTQQSDKTIVLLLVDPHCSYKSSISISFSAAASRLLLLYSSKIIGFSIAVVFFALMQQAYSWDLNLQIPSMLTAVESNLTLMSHFFPLAILPIFFALFLSFMMSQPFPSLASFTSISLICYIVANGLIAIIILISHLVFFMAAVTHIFIKARWQMWEQNVRLIFLRRFFNRSSSFFSLKVIRVLRANPVLVTVITAVTLACLVHPSFGLLVLLVAHFFCCHNALCSSFLTASCRSHEQNNETVDFNSDEYKVSERQKYNFDGSFNRTFLSEDNSNSPGSSKSFGEAQLDVFHHRHGLLVLHLLATLMFAPSVVAWFQRLAMGESLPWLLDSVLCIGVILHGICNSKPEFNSFFLSIPGVPFSNVRLYVVYLIAGYWSYFSGLALSPDRAFYAMAAVGCISFALRMINRRSGDTKEVTYGSRKHSHRH >CAK8541189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:85333101:85347440:-1 gene:gene-LATHSAT_LOCUS10125 transcript:rna-LATHSAT_LOCUS10125 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFRGKVRVGVLIVVVVGICLAALYGLLKPISNGCLMTYMYPTYIPITSSESVTPVKYGLYLYHEGWRKIDYREHLKKLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQNGPLEHSFYREASQIPKEGDADISFSNFQLPNQYTSRLDWFAVDLEGEHSAMDGAILEEHTEYVVYAIHKILDQYNVSYDARTKEGAANSGSLPKSVILVGHSMGGFVARAAVIHPHLRKSVVQTVLTLSAPHQSPPVALQPSLGHYFARVNSEWRAGYEMQTTNTGHFVSSPILSDVVVVSISGAYNDYQVRSKLASLYNIVPPTHGFMISSTAMKNVWLSMEHQAIMWCNQLVVQVSHTLLSLIDARTGQPLSDSRKRLEVLARMLHSGISHNFNQMMQLPSSKLSMNIPVQNTKDTSGSQMPRSVTCPHNIHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGSNGKSHFVLVTNLEPCSGIRLHLWPEKGKSTSDLPLNDRVVEVTSKMMHIPSGPAPRQPEPGSQTEQPPPSAVFWLGPEDMHSFRFLTISVAPRPTVSGRPPPAASMAVGQFFNPEEGNKDLSPWFMLQSTYSQKELLLEESHPLAVKLSFSISLGLLPVTLFMNTVSCGIRNSGLPEEEAGDLESSRLCKLRCFPPVALAWDDISGLHIYPNLNSETIFVDSSPAQWSSTQQSDKTIVLLLVDPHCSYKSSISISFSAAASRLLLLYSSKIIGFSIAVVFFALMQQAYSWDLNLQIPSMLTAVESNLTLMSHFFPLAILPIFFALFLSFMMSQPFPSLASFTSISLICYIVANGLIAIIILISHLVFFMAAVTHIFIKARWQMWEQNVRLIFLRRFFNRSSSFFSLKVIRVLRANPVLVTVITAVTLACLVHPSFGLLVLLVAHFFCCHNALCSFLTASCRSHEQNNETVDFNSDEYKVSERQKYNFDGSFNRTFLSEDNSNSPGSSKSFGEAQLDVFHHRHGLLVLHLLATLMFAPSVVAWFQRLAMGESLPWLLDSVLCIGVILHGICNSKPEFNSFFLSIPGVPFSNVRLYVVYLIAGYWSYFSGLALSPDRAFYAMAAVGCISFALRMINRRSGDTKEVTYGSRKHSHRH >CAK8541418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157230608:157230911:1 gene:gene-LATHSAT_LOCUS10339 transcript:rna-LATHSAT_LOCUS10339 gene_biotype:protein_coding transcript_biotype:protein_coding MSASENHREKFTGLTLDDVLAKRRLDNPATTPNQPPPSKSRTLFDIIREDDTNRKDRRSWKAFKDKL >CAK8541171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79917320:79920005:1 gene:gene-LATHSAT_LOCUS10110 transcript:rna-LATHSAT_LOCUS10110 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNPSADNGFTRSEMYSKNLAGTVDAYDRHIFLCYEDPLSWPSNLEEFDTHHLPAIFDSTLKCRQKDIPAKTKFTVCEAREEDGFSNGDVFIFPDMIKYRGVLDPMVGFFFKDVMVDGKPWSFGATENFTGSYVYVCAHGSRDARCGTCGPALIKKFNKEIELRGLKDQITVTACSHIGGHKYAGNVIIFSPGPDGKTTGHWYGYVTPNDVPDLLDQHIAKGEVIHQLWRGQMGAPGATRASKKKKEKDSRYCRSACGASCCSYKRQNNQNWPTLESNVLTVVGVLGAVAAVTVAYKLYRRSG >CAK8575539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:156536654:156537001:1 gene:gene-LATHSAT_LOCUS27795 transcript:rna-LATHSAT_LOCUS27795 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSMRTISKLVGLSVARSGFRGTPATYSVEQPVRNASRTSSPTRFSTQGAKDSEVKPLHTTVSGDLSDWEFTDEGNLFMTGGDPTPRVMFGGVLAFREAQEATAELKDAIDQ >CAK8574719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7307791:7309050:1 gene:gene-LATHSAT_LOCUS27036 transcript:rna-LATHSAT_LOCUS27036 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAENALQSSKKRAAGRELTRDTPLDDDEDDTDLNTGTFKKASDEVLASRRIIKVGRRQQTNSTPSSNPFAGIRLSAPTESSAKPVEATAETLPGSKSLEVEDKSTSNNDAAGKNNVTKELAEKESINENSKVDNEQSKDGSKIENEGKKEAADKESAGEIEKEPSTEVKNTENNDKSENSESKDKENKVSDEATAEGGPFKSFQQLSSSQNAFTGLVGSGFSSSLFSFGPKTNDGTALGSGTGSIFGLKPDQPLGLGLSNTGTSGFGTSGASAISKSETLQEVVVETGEENEEVVFSADSVLFEFVDGGWKERGKGEVKVNVASGTEKKARVLMRSKGNYRLILNARLYPEMKLTNMEKKGVTFACATEGKTGLSTFALKFKDGSIVEDFKAAITAHKGEATTTVKTPENSPKASVV >CAK8534789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767880784:767881116:-1 gene:gene-LATHSAT_LOCUS4303 transcript:rna-LATHSAT_LOCUS4303 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTDIFNIFWDNKGKLKHVFCKDINVLVALFEASQLSIEEDYLDSAGQFCNDYLNEWSSTFQDHVQDYTIILPSFPNHVLTSYNSGLAVILNTRISYNHQTNSTKLCII >CAK8576589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496209206:496212245:1 gene:gene-LATHSAT_LOCUS28765 transcript:rna-LATHSAT_LOCUS28765 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITCYLLVFFCVLFTPCFSITDLDALLKLKESMKGGKSKHGDLLGDWKFSSSGSAHCSFSGVTCNQDKRVIVLNVTQVPLFGRISKEIGVLDKLERLIITMDNLTGELPFEISNLTSLKTLNISHNTFSGNFPGNITLPMTKLEVLDAYDNSFTGHLPEEIVSLKELKFLCLAGNYFTGTIPETYSEFQKLEILSINANSLSGKIPKSLSKLKTLKELRLGYNNAYEGGVPPEFGSLKSLRYLEVSNCNLTGEIPPSLGNLENLDSLFLQMNNLTGTIPPELSSMKSLMSLDLSINELSGEIPESFSNLKNLTLLNFFKNKLRGSIPAFIGDLPNLETLQVWENNFSFVLPQNLGSNGKFIFFDVTKNHLTGLIPPDLCKSKKLQTFIVTDNFFHGPIPKGIGACKSLLKIRVANNYLDGPVPQGIFQLPSVTIIELENNRFNGQLPSEVSGVNLGILTISNNLFTGRIPASMKNLRSLQTLLLDANQFVGEIPEEVFDLPVLTNFNISGNNLTGVIPTTVSQCKSLTAVDFSRNMITGEVPKGMKNLKVLSIFNLSHNNISGLIPDEIRFITSLTTLDLSYNNFTGIVPTGGQFLVFNDRSFFGNPNLCFPHQSSCSSYIFPSRKNHTKVKAIITAIALTTAVLLVIATMHMMRKRKLHIAKAWKLTAFQRLDFKAEEVVECLKEENIIGKGGAGIVYRGSMPNGTDVAIKRLVGQGSGRNDYGFKAEIETLGRIRHRNIMRLLGYVSNKDTNLLLYEYMPNGSLGEWLHGAKGCHLSWEMRYKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDADFEAHVADFGLAKFLYDPGASQSMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIIGRKPVGEFGDGVDIVGWINKTELELYQPSDKALVSAVVDPRLTGYPMASVIYMFNIAMMCVKEMGPARPTMREVVHMLTNPPQSTTHNNLINL >CAK8534537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739678555:739683748:-1 gene:gene-LATHSAT_LOCUS4078 transcript:rna-LATHSAT_LOCUS4078 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIFVYFQLERFYQNHHSINLMVNKSAISWKSDREHKFGKDVFPKKNSEQRKSGSLLLWLPIVYMHQLLHRLTPHLQEETSTTQIYAARTSYMETYSYASSDYFTIFAYYGSTNFCILSARKILPESPQATWRSITDLSWNRNQARSYK >CAK8561250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128781779:128786637:-1 gene:gene-LATHSAT_LOCUS14859 transcript:rna-LATHSAT_LOCUS14859 gene_biotype:protein_coding transcript_biotype:protein_coding MMETENASGNSFMQNSSSRTSFGHSGSKPARHGSNSKADSEAFSMSQKEISDEDARLIYVDDPDKTNERFEFSGNSIRTGKYSFLTFLPRNLFEQFHRVAYVYFLIIAILNQLPQLAVFGRGASLLPLTIVLLVTAVKDAYEDWRRHRSDKVENNRLGLVLVNGCFVEKKWKDIRVGEIIKINANQPIPCDFVLLSTSDPTGVAYVQTLNLDGESNLKTRYAKQETQFKFRDKERFSGLIKCEKPNRNIYGFQATMEVDGKRLSLGSSNIVLRGCELKNTNCVVGVAVYCGRETKAMLNNSGAPSKRSRLESQMNSEIIMLSFFLVTLCTVTSVCAGVWLKQNKSKLNLLPYYRKLDVSKGKEANYQYYGWGVEIIFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIKDSRLYDEATNSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSSAKVSLENEQVEYSLQVDGKVLKPKMKVKVNEELLQLSKSGFANRDGNRIYDFFLALAACNTIVPLVIDTSDPKVKLVDYQGESPDEQALTYAAAAYGFMLIERTSGYIVIDIHGERQRFNVLGLHEFDSDRKRMSVILGYNDNSVKLFVKGADTSMFSVINKSLNTDIIQATETHLQAYSSIGLRTLVIGMRDLNPSEFDQWHFAFEAASTSLIGRAALLRKIATNVENNLCILGATAIEDKLQQGVPESIESLRQAGIKIWVLTGDKQETAISIGYSSRLLTNGMTQFRIKSHNRESCRRHLQDALLMSRKNEVGNYFDGSSIDVVSTPMALIIDGTSLVYILDNELEEELFELSQRCSVVLCCRVAPLQKAGIVSLVKKRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLFIHGHWNYQRLGYMVLYNFYRNAVFVLILFWYVLFTAFTVTTAINEWSSVLYSIVYTAVPTIVVGILDKDLSKRTLLHNPQLYGAGQRQEAYNKKLFWLMIADTLWQSIVVFFAPLFAYWGSTIDIASIGDLWTLSVVILVNLHLAMDVIRWSWISHASIWGSIIATFICVMIIDAIPSLHGYWAIFDVGGTALFWLCLIGIQIAALLPRFVVKFIHQYYCPNDIQISREVEKFKNPRINRNAEIEMFHISNPQR >CAK8544872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709732903:709733397:1 gene:gene-LATHSAT_LOCUS13517 transcript:rna-LATHSAT_LOCUS13517 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGMIRDISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQMINSLRQSIHILNGTALISLLQPTPETYELFDNIILLSEGQIVYQGPRENVLAIFQHIGFKCPERKGVANETFDCSI >CAK8575533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:154985284:154986946:1 gene:gene-LATHSAT_LOCUS27790 transcript:rna-LATHSAT_LOCUS27790 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLARGAASLSRLTATASKSPIQLVHRRGLAGAADPHATPRVDIWKDPLSPSKWKEEHFVIASLTGWGVLIYGGYKLFSGGKKEEKLPEAAH >CAK8571116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303511996:303513313:1 gene:gene-LATHSAT_LOCUS23809 transcript:rna-LATHSAT_LOCUS23809 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMHNFAGCGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKTLHHCAPGYRTFFDHFEEDDFRWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDRYHKSAANDQWKFDDWRNHNRQERQHWMNRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQPIFNTPQQIFNTPFNPNPTQPPYTSSQHYNEPYTSFNPNPFNIQQQNPTSSYPQYNQFSFSQPIQQEHNYQTPQPQVPFYLSQNFTLSQDQHPYTPYMTNAAPPINPPSWSNEGTRLSYGSAAAIPCDDDFNDDLVAQFMNPNNDAGPSTQTQNAEVDRRRST >CAK8541888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:422516608:422520241:-1 gene:gene-LATHSAT_LOCUS10777 transcript:rna-LATHSAT_LOCUS10777 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKHADEAVVSNSFNDTTNQQGILGKDEDQEQDHSFSVKDLLWHGGSVYDAWFTCSSNQVAQVLLTLPYSFSQLGMLSGILLQILYGILGCWTAYLISVLYMEYRTRKEKENVKFNTHVIQWFEILDGLLGPFWKAAGLAFNCTYLIFACVIQLIACASNVYYVSDNLDKRTWTYIFGACCATTVFIPSFQNYRIWSFLGVGMTTYTAWYLTTAAILHGQAENVTHTGPNKLVLYFTGATNILYTFGGHAVTVETMHAMWKPQKFKHVYLLATLYVFTLTIPSASVVYWAFGDQLLNHANAFSLLPKTRFRDAAVILMIIHQFITFGFVCTPLYFVWEKVIGMHDAKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGSILVSFTVYIIPSIAYMVTFRNASARQNAVEKPPFFIPSWTAMYIVNTFIVVWVLVVGFGFGGWASMTNIIRQIDTFGLFAKCYQCDPPTPPMVAAPPLHAPHH >CAK8571612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:448854250:448854501:1 gene:gene-LATHSAT_LOCUS24255 transcript:rna-LATHSAT_LOCUS24255 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRHENLPSSKTTNFNFPDEWTETSWGFDLALRQVSFTRNIFETITIIGMDLKTMYSRRTITFQILSNPSIFDHLQLHFWSD >CAK8562937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558936423:558938806:1 gene:gene-LATHSAT_LOCUS16397 transcript:rna-LATHSAT_LOCUS16397 gene_biotype:protein_coding transcript_biotype:protein_coding MQQISPIHFQTTRVLPEYDIFIHTSDGTRIPAHTSVLASVSPVLENIIDQPPKHRSSERIIQIHGVPSTAATAFIGFLYSSRCTEEEMDKYGIHLLALSHVYNVPQLKQICIKGLSQRLTVENVVDVLQLARLCDAPDLRLRCMKLLTNHFNTVQKTEGWKFLIKHDPWLELDILQFMDESETRKEKLRRRREEFGLYAELSEAMECLEHICTEGCIDVGPYHVEVDREKKPCKRFSTCQGLQLLIRHFATCKKRVKGGCWRCKRMWQLFRLHSYVCQQNDSCNVPLCRQFQLRMEQEKRKDDPKWKLLAKKVVSAKVMFSLSLPKRKRDEEVRVTIDNRGIRQFGYNEYCNNRCVSC >CAK8537884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444638280:444639605:-1 gene:gene-LATHSAT_LOCUS7135 transcript:rna-LATHSAT_LOCUS7135 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKIAFQKSPFLLFYLSDECWEHVFKFLIICSEGETENKHKLYFKSLSLVSKRFLSITNRLVFSITISDHSSRLLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKCLSIFNIELNDANGITSQYIDSLVTLKGLNILKFCYSHISDDLLYSITREVLPLKNFVLKNCIGYSYDGIYCLLSKCPEIQHLDLHQADFLRDHHIHQLSLFLGSLLSIKLSKCLKLTKLALSFLIRNCHLLSEITMEDIKMETAQNSDSLKDFDVNPQLKSLCLGHGAFILNKNIILFASIFPNLQHLDLSYCNSICEKVPHMEVLDLSGTKVDDRTLYQISKSCCGLLQLLMPNCRYVTTKGVMRVIKNCTHLKEIDLRGCHGVTTNGVISTLLSRPTLKERKLFLHNGCLFFK >CAK8537885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444638280:444639605:-1 gene:gene-LATHSAT_LOCUS7135 transcript:rna-LATHSAT_LOCUS7135-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKIAFQKSPFLLFYLSDECWEHVFKFLIICSEGETENKHKLYFKSLSLVSKRFLSITNRLVFSITISDHSSRLLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKCLSIFNIELNDANGITSQYIDSLVTLKGLNILKFCYSHISDDLLYSITREVLPLKNFVLKNCIGYSYDGIYCLLSKCPEIQHLDLHQADFLRDHHIHQLSLFLGSLLSIKLSKCLKLTKLALSFLIRNCHLLSEITMEDIKMETAQNSDSLKDFDVNPQLKSLCLGHGAFILNKNIILFASIFPNLQHLDLSYCNSICEKGICQVLRTCSKVRHLNLGYFYKVRRLKMNCVVPHMEVLDLSGTKVDDRTLYQISKSCCGLLQLLMPNCRYVTTKGVMRVIKNCTHLKEIDLRGCHGVTTNGVISTLLSRPTLKERKLFLHNGCLFFK >CAK8544078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661389427:661390482:1 gene:gene-LATHSAT_LOCUS12785 transcript:rna-LATHSAT_LOCUS12785-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQLSESFNADLKNHLKSDLNLVQFFTHFKRAVNGKRNNEAEAEYESKHKLPRLKMKKARMLVQAGNIYTPKCFEEFQEEYEEYQDTCIKELKEGMYAVTNYGNVKERIVMGDLTEHRVSCDCRKFETHGILCNHALKVLDVMNIKLIPEQYILKRWTRDAKLGNNQDWKGKHVELDMKAHFMKRYNELCPRMIKLTNRAFESHETYTFLSKVYEESNKIVDDMLTKKYVDIESSGKVHVSISIENKEIDNKADTTCGAKGIKKRDCSRNNKKRDKSWVEKLARKTKRYSQKKRKLQENLVDTARLPSQVDTTGFQYQASSQADDEVDITGFQQQTLCQVDTLELHNFF >CAK8544077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661389088:661390482:1 gene:gene-LATHSAT_LOCUS12785 transcript:rna-LATHSAT_LOCUS12785 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKPKTILTDQDAAMAKAISLVMPEIFHGLCTWHIRQNSLRHVNHLYQKSPQFSLDFEACIDLHEEEGEFLKAWNSLLIEHNVSKGSWLHMIFQLKEKWAWTYVRKTFTAGMRSTQLSESFNADLKNHLKSDLNLVQFFTHFKRAVNGKRNNEAEAEYESKHKLPRLKMKKARMLVQAGNIYTPKCFEEFQEEYEEYQDTCIKELKEGMYAVTNYGNVKERIVMGDLTEHRVSCDCRKFETHGILCNHALKVLDVMNIKLIPEQYILKRWTRDAKLGNNQDWKGKHVELDMKAHFMKRYNELCPRMIKLTNRAFESHETYTFLSKVYEESNKIVDDMLTKKYVDIESSGKVHVSISIENKEIDNKADTTCGAKGIKKRDCSRNNKKRDKSWVEKLARKTKRYSQKKRKLQENLVDTARLPSQVDTTGFQYQASSQADDEVDITGFQQQTLCQVDTLELHNFF >CAK8578537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636126430:636126933:1 gene:gene-LATHSAT_LOCUS30539 transcript:rna-LATHSAT_LOCUS30539 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAYSSGTSSLQNSGSGSEGDMNMNMNTNMNHMQVNITDQKKRKRMQSNRESARRSRMKKQQHMEGLSAQIEELKKENNQISTNVGITTQMYLNVESENAILRVQMAELSNRLQSLNDIIQYIESSNSLFQETDQLFNDCGFLDTWNFFPVNQPVMASNDMLMY >CAK8539431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512636348:512636787:-1 gene:gene-LATHSAT_LOCUS8528 transcript:rna-LATHSAT_LOCUS8528 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVSTPQTHKFPVPTLETSGTPLRPSKLLVNVTIENTLGAIQVLMSPENTVGDLVKVALVTYDKEKRRPLLKDTDPKHYQLHYSPYTLQSLKENEKLKNLGSRNFFLCSN >CAK8568809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642967592:642976761:1 gene:gene-LATHSAT_LOCUS21732 transcript:rna-LATHSAT_LOCUS21732 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQSQRSPSPSQPSGKSEVSDLKLQLRQLAGSRAPGTDDSKRDLFKKVISNMTIGIDVSALFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDQDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDNNSYVRTVAVIGVLKLYHISATTCMDADFPETLKHLMLNDQDTQVVANCLSGLQEIWTLESTTSEEAARERETLHSKPVVYYFLNRIKEFSEWAQCLVMELVAKYIPSDNSEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTLSMADVHQQVYERIKAPLLTQVSSGSPEQSYAILSHLHLLVMRAPYIFSSDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTSEALVLVKDLLRKYPQWSQDCIAVVGNISSNNVQEPKAKAALIWMLGEYSQEMHDAPYVLESLIENWDEEHSAEVRLHLLTAVMKCFFKRPPETQKALGAALAAGLADFHQDVHDRALFYYRLLQYNVSVAESVVNPPKQAVSVFADTRSSEVKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEFSDELGNLSINAESGDSVVPAQRVEENDKDLLLSTTEKDDVRDPGSNGSAYNAPSYNGSSPSPSATSQPLADLAFSSTSATGQAPASISAIDDLLGFDFSVGTATTPPPPPLTLNPKAVLDPGTFQQKWRQLPISLSEEYSLSPLGVASLTTPNALLRHMQSHSIQCIASGGQAPNFKFFFFAQKAEGASIYLVECIINTSSAKSQIKIKADDQSSSQAFWTLFQSALSKFGLP >CAK8567707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537938799:537942035:1 gene:gene-LATHSAT_LOCUS20732 transcript:rna-LATHSAT_LOCUS20732 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVASGEKLVRVEEEEESGTESRGGGEMKGLLRWEKFLPKMVLRVLLVEADDCTRQIITALLRKCNYKVAAVADGLKAWEILKGRPRNFDLILTEVDLPSVSGYALLSLIMEHDSCKTIPVIMMSSQDSVSTVYKCMCRGAADYLVKPIRINELRNLWQHVWRRQSQLTATAGMNGPQDESDAQQKVEAMAENNAASNRSSGDAACIQRNKDLIEKGSDAQSSCTKPNMEAESGLVDNMQEFTQLKCAEACPSEIKTHEFDIHLGQTLIAQDSHAGGLSVANCKNGETSTNNGKDVNDQEHFRIASTSGEVHDNHYVQINSTKEAIDLIGAFRTHPNCSLKKSSIDWTDKLDNSPQLDLSLRSSHPSNFEKELTEERNTLMHSNASAFKRYTNRQLHASPAVVVNFSDQQREQKTNNGNHNSDSSIPSMQKCNISPATAQSKESELATSHSQQGHSLPIPVKGVRFNDLRVAYGSTLPQGFCTQSGPPSMPGSVVFLEQNFQADALYQPNVKENNSEQVYEPRCPNGNSTSNQNVYTQEHRPEHAEDQRLISPTTDQSVSSSLCNNGNASHLNSIGYGSNCGSSSNIENVAAFRTSAVSDGKNEDLTNGGYSHSHRSMLREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVNPDSLSGEKEC >CAK8567706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537938799:537942035:1 gene:gene-LATHSAT_LOCUS20732 transcript:rna-LATHSAT_LOCUS20732-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVASGEKLVRVEEEEESGTESRGGGEMKGLLRWEKFLPKMVLRVLLVEADDCTRQIITALLRKCNYKVAAVADGLKAWEILKGRPRNFDLILTEVDLPSVSGYALLSLIMEHDSCKTIPVIMMSSQDSVSTVYKCMCRGAADYLVKPIRINELRNLWQHVWRRQSLTATAGMNGPQDESDAQQKVEAMAENNAASNRSSGDAACIQRNKDLIEKGSDAQSSCTKPNMEAESGLVDNMQEFTQLKCAEACPSEIKTHEFDIHLGQTLIAQDSHAGGLSVANCKNGETSTNNGKDVNDQEHFRIASTSGEVHDNHYVQINSTKEAIDLIGAFRTHPNCSLKKSSIDWTDKLDNSPQLDLSLRSSHPSNFEKELTEERNTLMHSNASAFKRYTNRQLHASPAVVVNFSDQQREQKTNNGNHNSDSSIPSMQKCNISPATAQSKESELATSHSQQGHSLPIPVKGVRFNDLRVAYGSTLPQGFCTQSGPPSMPGSVVFLEQNFQADALYQPNVKENNSEQVYEPRCPNGNSTSNQNVYTQEHRPEHAEDQRLISPTTDQSVSSSLCNNGNASHLNSIGYGSNCGSSSNIENVAAFRTSAVSDGKNEDLTNGGYSHSHRSMLREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVNPDSLSGEKEC >CAK8575379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103950266:103950583:-1 gene:gene-LATHSAT_LOCUS27648 transcript:rna-LATHSAT_LOCUS27648 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRFQCIVHHGGEISKEFSKFSKLGWLEEIWNVNPDYLSYFEILDKLKDLGYPIVTSLWYYDEMDVNDIILLENEKGIRRMKTIVVVTKTCHLCYSSSFTTWRY >CAK8533222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592496079:592496499:1 gene:gene-LATHSAT_LOCUS2867 transcript:rna-LATHSAT_LOCUS2867 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSVNALTNNSAGSATSKGKSSLRNIIPKWSFMYRTPADIENANVPTLEVSASGPREKPMISRSLSLCKIFTPRMKRTSSLPLEEIGHSIPESTHGGNGSVDCDSLSKKEF >CAK8576717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508564208:508574028:-1 gene:gene-LATHSAT_LOCUS28890 transcript:rna-LATHSAT_LOCUS28890 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSAAPSSSSSSPKTRPNKRSRLSSSTSTSSATATATATTTTAVATTPIRSVNTRTRASRNKEPLPSKNLPPMDSNNESSSASRRDRRGKNSERDNSDKGKEKEHDVRIREREAERGLALNMEAGAGDEDDNDSDSGAGLLHQNLTSASSALQGLLRKLGAGLDDLLPSSGMGSSSHQNGRLKKILFGLRADGEEGRQVEALTQLCEMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSSDKLDELCNHGLVTQAASLISNSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSSNTSVSPALSRPPEQIFEIVNLANELLPPLPQGTISLPISTNFVKGPVVKKSPAGSSAQQEDSNGNPPEISAREKLLNEQPELLKQFGMDLLPVLIQIYGSSVNIPVRHKCLSAIGKLMYFSTSEMIQSLLSMTNISSFLAGVLASKDPHVLTPALQIAEILMEKLPGTFSKMFIREGVLHAVDLLILPGNSTNVSTQASTAEKDTDSIPGASSRVRRNRRRSGNPNPDGNPLDDIKSPVSVNVGSPPSSTNIPTVNSSIRLSVSAAAKTFKDQYFPSDPGAVEVGVTDDLLHLRNLCMKLNAGVDDQRTNGKGKSKTSGFGLEECLTGVISEMLKELGKGDGVSTFEFIGSGVVAALLNYLSCGYFSKDKPSETHLPKLRKQALARFKLFITVALPATIDNEDVTPMTVLVQKLQNALSSMERFPVLLSQSPRSSSGSARLSSGLSALSQPFKLRLCRAQGEKSLKDYSGNVVLIDPLASLAAIEEFLWPRIQRSESVQKSNAPAGNSESGTSPAGAGVPPPSTSTPSTTRRHSTRTRSSASLGDTTRKELTQDKSLSSSKGKGKAVLKPAQEATRGPQTRNAARRRAAVDKDVQMKPANGDSTSEDEDLDISPVEIDEALVIEDDDDISDDEDDDHEDMLRDDSLPVCLPDKVHDVKLGDSAEESTVTPATNDGQTNAASGSSSKVGSVRGSDTADFRSGYSSSSRGAMSFAAAAMAGLGSANSRGIRGSRDRQGRPLFGSSNDPPKLIFTAGGKQLSRQLTIYQAVQRQLVQDEDDDERFASSDFVSSDGSRMWGDIFIINYQKADSQTDRATPGGPSSNTSKSSKSGSVSNCSAEVKLHQTSVIDSILLGELPCELEKSNPTYDILALLRVLEGLNQLAPRLRAQVATDSFAEGKFLDLDELVVATGSKVPPDEFISSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFEVRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLQMWRSGSDQMEIDGDEKKKKSSEGNIARDGELVQAPLGLFPRPWPANAEASEGSQLFKVIEYFRLLGRVVAKALQDGRLLDLPLSVAFYKLVLGQDLDLHDILYIDAELGKTLQELNALVCRKHNIESIGGGYTGTVANLHYRGAPVADLCLDFTLPGYPDYTLKPGDEIVDLNNLEDYISMVVDATVKTGITRQLEAFRAGFNQVFDVSSLQIFTPHELDYLLCGRRELWKTETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPKLPPGGLAVLNPKLTIVRKLSSTAANTASNGNGPSETADDDLPSVMTCANYLKLPPYSTKEIMHKKLMYAINEGQGSFDLS >CAK8567570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526240970:526241649:-1 gene:gene-LATHSAT_LOCUS20611 transcript:rna-LATHSAT_LOCUS20611 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGLISNTNLTSFIASGNYQLRKDLSLQRGSIFSVRHGGQIAKNLFARKISHIEQREVYGLGVRKLSKLNRGCVHYRSEEYYDIDETKVVPVVSDEGTGEAIQLEGNGALVSPWWRRLPKRWLIVLLCFTAFLLCNMDRVSILFW >CAK8577508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569965741:569966583:-1 gene:gene-LATHSAT_LOCUS29610 transcript:rna-LATHSAT_LOCUS29610 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTVNETDHDHVYAANNHSHPPHGYVLQMPSYGIPQPYPPPPPPYISNSSRAIPRTHHWSTGLCRCLDDPGICLVTCFCPCVTFGLISEIVDKGNSTCTCNGTIYGALLAMTGLACLYSCYYRSKLRAQYDLPEAPCMDCLVHFCCETCALCQEYRELKNRGFDLSIGWEANMERQRQGSVTATVAPPMISPAMTR >CAK8575422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:116287051:116294191:1 gene:gene-LATHSAT_LOCUS27691 transcript:rna-LATHSAT_LOCUS27691 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEASDKPNLPENFDDGSSDDDQPLSFQRYAKKSPLHSQVKKTTSHSQEGRSNRQITDTPSSNGQNSKPSNSAVNTSSNKSPVANSKSPSLGDKQNLPEKFDDDSDDDQPLSFKRYAKKSPLHSQVKKTTSHSHKGRSNRQITDMPSSNGQNSKPSNLADNTSSNKPPVANSKSPSLGDKPKHLLGLKVTVDVKKQITPMEEHPTKGYCEGLEDDDDDKPLSSRWKIKSNHGSKVVAPVVNNKSSQDSDSDDDDVPLSANLLPKLLRNSNSGTSSMNYDDSDKKRISKVQNERQNGSSTSNKQQKPSTLPVKRELENCDSPHSSAKKLKISDSTTSIKTKQVSVKSEIKAEGEDDDNDDELPISHRFKKLPTLADKPSSTEKILAKVTKVNKSGSTSFKKSIKNKQTKNKSKKSGSGSEYSKSTKLLPSSGDGQKKWTTLVHNGVIFPPPYKPHGVKMLYMGRPVDLTPEQEEVATMYAVMRDTDYMLKDRFKENFWNDWRKLLGRNHVIQSLKDCDFTPIYDWCQSEKEKKKQMTTEEKKALKEEKLKQEEKYTWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGRLKKRIHPSDIVINIGSEAPVPECPIPGERWKDIRNDNTVTWLCYWSDPINPKLFKYVFLAASSSLKGQSDREKYEKARMLKDYIGNIRASYTKDFTSKDITKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTRETPNKLKFNFLGKDSIKYENTVEVELPVYNAILKFQKDKGPGDDLFDKLDTSKLNAHLKELMPGLTAKVFRTFNASITLDDKLNKDTKDGDAAEKIVVYQHANKQVAIICNHQRSVSKSHSAQMIKLNEKIDELQDVLKELKVDLDRARKGKSPTKSSDGKSKRSLTSEVLEKKISQTNAKIEKMQRDMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKLFTKSLLAKFAWAMDVDPDFRF >CAK8543652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628741695:628746133:-1 gene:gene-LATHSAT_LOCUS12398 transcript:rna-LATHSAT_LOCUS12398 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVRNPRKRLLKIMKCLCSGEVVKEEDAMVPSSLQSLATKDYHSATGSGISGQDGQVERRPDSGNIEQAESSLRESGILNFEEARALLGRYEYREGNIEAALHVFEGINIAAVTPKINNFLAKSRERPKRRSRDHTTPSISIHTAGLLIEAILLKAKCLQVLGRFEESAQTCKIILDMIESSLPEGMPRNFGAECKLQETLSKAVELLPELWKLADCPREVILSYRRALLHRWNLDSETTAKIQKEFAIFLLYSGGEEILPDLRTHIDSSFVPRNNTEEAILLLMILLRKIYVNKIEWDPSILEHLSFALSVSGNLTTLANQWEELLPGTINRRERYHALALCYYGADKDLVAFNLLKKLLCNGEDPKHVHALLMASKICCENPDLAKDGVSYASRVRENLGERCNQLGSLANCLLGVSLSTYSKLAVSNSEMFERQSEALHSLEIASTMTRMNDPLVLYYLSLECAEQRKLDSALYYAERFLNLEAGSNIKGWLLLARILSAQKQFLNAESIVDAALDRTGKWDQGFLLRTKAKLQIAQGKLPSAIETYIQLLAILLVQRKTFGSRNKLYKDNRDHARNLEVEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLDSASRCHVIGTMLEAKGLYKEALKAFVDALNLDPAHVPSLISTAVVLRRFGTPSNPAVRSFLMGALRLDRTNASAWYNVGILHKAEGRILEAAECFQAANSLEESEPVEPFR >CAK8536953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44972855:44974114:-1 gene:gene-LATHSAT_LOCUS6276 transcript:rna-LATHSAT_LOCUS6276 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRLLSMYKRNPSALSDPPPSGPNSSYLVILDEEVQNYSCFGLCKDNRIKDFPLPQNKNLTINYSVGVNTDTTSETTRSEEAMFIPVLNQPLSSNRYYVIRRKGKNQGQASTSSKEEDMTTCLCCSFVHDVKPKPLEPFNDYQQIEIIKRGYGFRAKSVASDGIPPGLLREKGWKLDASTPHNYHLSQALGSNDSLRSKLPSFNFPLSNNCSESVIVGKWYCPFMFVKEEMKLKEQMKMSVFYELTLEQRWEKMFSKENIEEGGVLVDVDIQTEVAKVAGKDAVWDENRLVHGVVWFKSGEDVGEEMSVGLSLEVVEGMKWEEERFGWTSGKGRQVRVAKFEEIGGTNKWKKFSCYVLVERFSLRRGDTRLVLTYDFRHSHQIRSKWE >CAK8533041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:569912931:569915488:-1 gene:gene-LATHSAT_LOCUS2693 transcript:rna-LATHSAT_LOCUS2693 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQRVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPLSLYNPPTHMQNIDIVDDGTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSENSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDDNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8531078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78631425:78633323:-1 gene:gene-LATHSAT_LOCUS893 transcript:rna-LATHSAT_LOCUS893 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLKGLFDRYQEQFGCGPGLGPGSGTCLMKVDGIAPNFIRSIYKASAALYRTEPWKRLRPVHYFGVRVGRDSDWNAKKQPFSCVQFIGGDGGDVGFYMYRSENDAKKMTGVRETVRVPNAEVLRVTYEVESLMFPLNRKLIKSLLLEASGSDRFPVIDVARCTVAGDLQFRNTTLDELRFVYAFMKAIYMVHPLLLVADREGGSKYSTMVNFEPFIETVDVQWPPEVTKGGYDLVAVTVSHPPGRAYDEKSSSVSAGSTPTKYVEPPRDDTFIDPNAYSSTGLRQCAMCEREVFGEQSICCGRCRTVVYCGSICQKQHWNETHKNLCGLYRAMMEREEELAINIFLFPCSADQPCKWLESLDIHQKGMWRRKCSCYTHCPFGLLPLKGGLHEQWGELDEFEYPHDTSFNNNFTPSLLLLSGWSEYYNLRSLPLSSPVADILSHPLTVYHILTTLNVGSKNLLLKGKEVIIHYLGPEGELDWMPAFAEVGHLLHGMGNVQIVMVGPEVPTNLSGTTSGIGSRVRVNLVRGVYQVEASYLPSPHVVIALNSRLENYSSWGGALDLIKSIGVPAFFTDQSEASCVNAKQVLRNAGLHITHPVTPNPFRSPVKNLTPSSNLPSYSNGFVFGVNT >CAK8539259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507737533:507739891:1 gene:gene-LATHSAT_LOCUS8371 transcript:rna-LATHSAT_LOCUS8371 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGGYVPPAYIPLGQSDSDAMSVSLHHGDDSSNGSNQMQAQWSSGICACCDDMPSCCIGCFCPCYLFGKNAEFLGSGTFVGSCVTHFILWSLINAACCIITDGLFWGLPGCLVSCYACGYRGTLRSKHNLPEAPCGDFVTHFCCHMCAICQEYREIRERAGDSEATDTKLAVVTAPAVQTMQPDSMQ >CAK8536971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46180252:46183449:-1 gene:gene-LATHSAT_LOCUS6292 transcript:rna-LATHSAT_LOCUS6292 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKELGLFLGFDQHFNSLSSLLATIKATLEDAEEKQFTDRAIKDWLLKLKDAAYVLDDILDDCATQLLEMESKGLSHKVQTSFLSSFHPKNVAFRYQMAKKMKNMRERLDEIAEERNKFHLTQIVREERSGVLDWRQTTSIITQPQVYGREEDKDRIINFLVCDASSFEDLSVYPIVGLGGLGKTTLAQLVFNHERVVNHFELRIWVCVSEDFSLKRMIKAIIESTTGDACAELDLEPLQRKLLDLLKGKKYLLVLDDVWDDGQENWQRLKSVLACGGKGASILVTTRLLNVAEIMKTVPPHDLSILSNTDCWELMKQRAFGPNEEERGELVVIGKEIVKKCGGVPLAAMALGGLLRLKREKIEWLNVKESKLWSLQGENSVMPALRLSYLNLPVKLRPCFALCALFPKDQIINKKFLIGLWMANGFISTNGMLEAEDIGNEVWNELYWRSFFQDIKKDDIGEIENFKMHDLVHDLAQSIAEEVSCCNTEAILSKRILHRSTYGEKFSRVAGLIQLHGIKSLRTFLTQYNICSPPQVLKCYSLRVLDFQRMKEFPSSIFRLKHLRYLNLSHGNFKTLPESLCKLRNLQILKLDWCLRLQRLPYGLVQLKALQHLSLDNCYSLLRLPPHIRKLASLKTLTMYVVGKKKGFHLAELGQLNLKGSLCIKHLERVESVMDANEANMLRKQVNNLKLEWEINEEFQLQENVEEILEVLEPQTQQLQKLRVRGYTSAYFPQWISSSSLNILTTLELLNCESCLHLPDLGKLPSLKNLTVFKMSHVKYLYEEDSCNGGGAGGFRKLENLELVQLPNLVKLSREDRDNNFSCLSILQITECPVLLELPCLPSLSDLHVGGECSQHLLNSIHKYHTLEKLCFSDNEELSFSPDGMLRDLTSLKIFNTNDCENLKSLHDILSHGLHSLKRLSIRRCQKFNLSESFQYLTCLEELIIKSCPEIEGLHEALQQMCALQSLTLGDLPNLASLPEWLGNLGLLHKLEIYNCPKLTCLPISIQRLTSLKRLEIYNCRELEKRCKENTGEDWHKIAHIPDIYIGV >CAK8575375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103216647:103217216:1 gene:gene-LATHSAT_LOCUS27644 transcript:rna-LATHSAT_LOCUS27644 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNGKVCGICDKWFSSAKAIGGHMRSHYVKLLIPPKLETNNQVQDNSANLTQHPNQSAFSLICHPKKNQTQNFRSRKRNFSAISANANPNRRDGSVFYPQSPTRKRSKCHRKLNASADRKAAEVLYMMSKGGPKSRRGGSLAQADSQTRFKCDRCGKMFQSYQALGGHKASHSKTKNLGQGGGYPHS >CAK8536296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927544549:927548782:-1 gene:gene-LATHSAT_LOCUS5680 transcript:rna-LATHSAT_LOCUS5680 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLTTSPKEPQSLSNGRKHGGWRTFPFFIGMVAGLSLASAGIVGNLIVYLIQEFNIKSIDAAQIVNIVVGSSNLVPIVAAILADSFFGSFSVAFASSSVSLLGTVILLLTATINSLKPHPCSNGSSSTCKPPTKIQYTVLYISIVLISIGFGGSRFTSASLGASQFDKPEHQGIFFNWFFFAFYLASCVALTGVIYIEDNFGWALGFGVCAFVTFLGVVVFLSGYGFYRNDKPNGSAVLDLGRVFVASLRKWKWKNSSRMEDYYTGATGYDEMVQILPPTTPGKGLRFFNRAALITDADLNSDNTIKKSSWRLCTLQQVEDFKKIIGILPLWTSSIFLGTPIAIQSSLTVLQALVMDRSLGSHFKVPAGSVSVIILISTAIFLTFLDRVILPGWHKVTGKSPTPLQRIGVGHVLNVLGMVVSALVESKRLKLGHEHVSMSVLWLFPQLVLVGVGEAFHFPGQVTFYYQQFPQSLRSTSTAMISMLIGISFYLSTALIDQVRRSTEWLPDDINHGKVDNVYWMCVLFGGINFVYYLICSIFYKYENV >CAK8578563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638502661:638503759:-1 gene:gene-LATHSAT_LOCUS30563 transcript:rna-LATHSAT_LOCUS30563 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDQGRTKSKEEVKYCMRPSQKQGIRIQFHLRGKLVSKPVKWYVNRVISEMNWEWNMDYVSYMKLRELIHNEGYTNIKCLWYWNLMYSFWCGLRPLNSDQDVLKFVADVKGYDVVDVYVKHLVYTPNIIDEAELGKEDVDGKEDENVEGNEDVEGKEAENVEGNEDEDVEGNENENVEGKEDENVEENEDVESKKDENVEGNEHEYVEGNEHENVEGNEHEYVEGKEHEIVEAEIGKENDNVNGKEHDNVDDNDNDSLSEGANKYVASESDNDSSSEGEDDYVTSEDD >CAK8531261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94200932:94201282:-1 gene:gene-LATHSAT_LOCUS1066 transcript:rna-LATHSAT_LOCUS1066 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSVATITFLALSFNIFFLTAVSSAQAPTVSPPLNCPSDLHVCVTLLQDLVSVVVGPPQSKPCCSLLANLVDLDAALCLCISIKANVLGINLNIPLKVVLDVCGRSTPNDYVCR >CAK8564429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673905106:673907083:1 gene:gene-LATHSAT_LOCUS17735 transcript:rna-LATHSAT_LOCUS17735 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARTSMAGSWFTKLRMRRSKSNKPLPEPSSSSSKTRLNCDADNMERKRFDSLESWSMILDSENVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNQNEERRTLLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSIETVLRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPVPASCQPALAHLIKRCWSANPSKRPDFSDIVSTLERYDECVKEGLPLTHHSGLVTKNFIIERLKGCVPMSSSIPVNA >CAK8566897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471082765:471086029:-1 gene:gene-LATHSAT_LOCUS19996 transcript:rna-LATHSAT_LOCUS19996 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKENKAKEHGQVGKISDNLDGASTPSLVFSSDDDDEEANQDLSLRIVEKALRAREAKLAPNDAVLNGISSSLVSPSQQSEFKVMQSDAVLDGSSGITAWEVMEEKKTTKLMVDSGDSSVIIAADQEVEQINKSTENHESVDLEASPVQIGDNVVLRKLLRGPRYFDPPDSSWGACYNCGEEGHAAVNCTAAKRMKPCYVCGGLGHAAKQCTKTNCYICKKGGHRAKDCPEKFTTARVPKSLTVCLKCGNSGHDMFSCRNDYSPDDLKEIQCYLCKTFGHLCCVNTADATPGEISCYKCGQMGHTGLACSRSHGETTGAATRTLCYRCGEEGHFARECTSSIKAGKKNSESWNTKSRRSCKENDYMGHWSAPHDMGKMHKTKRPLTDERGLRQTKKSKSRGGWMTEHPGDFSSSKSNRNSWMSAGTPYARSTNMHASGSGSRTPGWSSQSSKAWKGQHSEASNSAFQHRYSSSRFGNSNDDRRSHWW >CAK8566898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471082765:471086029:-1 gene:gene-LATHSAT_LOCUS19996 transcript:rna-LATHSAT_LOCUS19996-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKENKAKEHGQVGKISDNLDGASTPSLVFSSDDDDEEANQDLSLRIVEKALRAREAKLAPNDAVLNGISSSLVSPSQQSEFKVMQSDAVLDGSSGITAWEVMEEKKTTKLMVDSGDSSVIIAADQEVEQINKSTENHESVDLEASPVQIGDNVVLRKLLRGPRYFDPPDSSWGACYNCGEEGHAAVNCTAAKRMKPCYVCGGLGHAAKQCTKTNCYICKKGGHRAKDCPEKFTTARVPKSLTVCLKCGNSGHDMFSCRNDYSPDDLKEIQCYLCKTFGHLCCVNTADATPGEISCYKCGQMGHTGLACSRSHGETTGAATRTLCYRCGEEGHFARECTSSIKAGKKNSESWNTKSRRSCKENDYMGHWSAPHDMGKMHKTKRPLTDERGLRQTKKSKSRGGWTRELPSEERGFTTPKKSKSRGGWMRERPSEERVFTTPKKSKSRGGWTMEHPAEERDFNTPMKFKSRGGWMTEHPGDFSSSKSNRNSWMSAGTPYARSTNMHASGSGSRTPGWSSQSSKAWKGQHSEASNSAFQHRYSSSRFGNSSDDGYRRNNLASRFGNSNDDRRSHWW >CAK8567593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527584317:527587966:-1 gene:gene-LATHSAT_LOCUS20632 transcript:rna-LATHSAT_LOCUS20632 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTSSSSNQDLVHTTPVSLQGISTSVPMDLSESSMDTKDKVQNIEFKNAENIIRHSQKLQEDLEVVGMKIKQHEDRLSLLNKEKVKLEDSIIHLQVAIGKSNSSSTSMFDNAEEEVHTQILQHEKSAAGVLCQIKSCYGEHASLFTLTKDVLGIVPMLGRIEEDNLSRLFSEYLGVETMLAIVCKTYEGVKAIEMYDKEGCINRSSGLHGLGASIGRALDGRFLVICLESLRPYAGKYVVDDPQRKLDILNPRLPNGEYPSGFLGFAVNMINIDTVNLFCVTPSGFGLRETLFYNLFSRLQVYKTRVEMMQALPFITDGALSLDGGMIRSCGVYSLGNREDVNVRFLKPDRSAGLDEQVEIEKQMKDAQCKKGNFLEDINRERTLLDMAKQTFSKKKIDFLKYLASSSSSYATQVQNAPSVP >CAK8566815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465101815:465103560:1 gene:gene-LATHSAT_LOCUS19921 transcript:rna-LATHSAT_LOCUS19921-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDVLRECLPEVVGEFHVLAVDDSHVDRKVIERLLKFTSCKVTVVESGTRALQYLGLDGDTSSIGFDGVKVNMIMTDYSMPGMSGYELLKKIKESSVFREIPVVVMSSENILTRIDSCLEEGAEEFLLKPVKLSDVKRLTDFILRGEGKKVGGKRSQKRSRSDDSISSLSTSCSSCELSSLSPSEISSKKSRL >CAK8566816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465101815:465103560:1 gene:gene-LATHSAT_LOCUS19921 transcript:rna-LATHSAT_LOCUS19921 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDVLRECLPEVVGEFHVLAVDDSHVDRKVIERLLKFTSCKVTVVESGTRALQYLGLDGDTSSIGFDGVKVNMIMTDYSMPGMSGYELLKKIKQESSVFREIPVVVMSSENILTRIDSCLEEGAEEFLLKPVKLSDVKRLTDFILRGEGKKVGGKRSQKRSRSDDSISSLSTSCSSCELSSLSPSEISSKKSRL >CAK8543763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637101848:637102333:-1 gene:gene-LATHSAT_LOCUS12501 transcript:rna-LATHSAT_LOCUS12501 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGDYCLCIQISEVIRLPKSVSIPVTLKVLEFELFHFYPIPKIMCGISFAAVGLMDMFNTGGALDEVEIHRETDKKQELFDREVVSSELIISLGPN >CAK8567741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540867351:540872539:-1 gene:gene-LATHSAT_LOCUS20764 transcript:rna-LATHSAT_LOCUS20764 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIDGAASLDYASIKIFPNKNRYDAYVCKGNQFEKVAAGQLERLLPHLPEINDLHAKGFDANFDLKLPKDLHGAEWFSKATVKRFLHVVGSPDSINDINTIMDEMYQLENSKKFHVSLYGKDCQNHLGSGERDGNRSSNGEAPTSITEVSTVSSDASKNELLKAMDLRLTALRNKLAETFNKAADAKCSPKDMTHLLNFSHRFGATNLEHSLCKFIELNQIRQDVDETTMLTCDVTRVLHNDGSKEFKDLHISKPSTSDAPIKYGVSPAKVAQVERDSSTGSEESSDSSDEDQISAERSRSLQRSVTPRRSASPMRRVQIGKAGPRRAAALTIKSLNFVPARSYRDAAENGFEGEVSEQPFKKPEIDVRRITVQDAISLFESKQRDQSEDIQKKKSLTDVSLSTTKSVLRRWSSGMGETSVQGLQDPVPQDPIPLTSSDAICDEIPKNSEVEVVSDFISESQNTPDSDVKPERQENVDSYIVDNLEETSPIAREETIKKLAASAEWNQRKQAEFNQILKKMVESKPVLFGKPQPRKNQNTSSEQRGRSYDHYKEKRDAKLRGEKVGKRVGKEAPIHEMQQLVNKKKAEMSKNVSATKTSSTRLPQKPLRNSPQPANSPKETIPKPTVAKKVSAKSSSVPATRKSWSATPSPKTTGTPPSISRGGTSSASSTPTHRKPVLTASVPLPSPQREKSQPQSRNEKEIQPSNAKNLKSTNAKRQAGIPNKSNAIKSNKSNAVKSKVTSDSGETVPSKASLVNKGTKKSSVVPLESKPFLRKGSRMGNGTGDPNKNKSPPPKLDKSHRDGEDRVEDQESELVVNASDSASRHSDRDTVAPSHHIAATESDPQNQINNHLLCGETENLDQDPSTGDVSTYIEESSLNVRNEEESTISPSAWVETEEDLELPKPCEDSTFQPASLANAAASGSTSPRVRHSLSQMLQEEISEPDICEWGNAENPPTMLYQKDAPKGLKRLLKFARKSKGETGSTGWSTPSVFSEGEDDAEEFKNSNKRNADNLLRKAALNVKSYDYLARDDGKGSHKMQGGRDSGAGPATRGSRSFFSLSAFRGTKP >CAK8561087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91638906:91662938:1 gene:gene-LATHSAT_LOCUS14709 transcript:rna-LATHSAT_LOCUS14709 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPASSLMEGKVVGIRFSMATRHEISTASISDSQISHSSQLGNPFLGLPLEFGRCESCGTSEVGKCEGHFGYIELPVPIYHPSHVTELKRILSLVCLNCLKLKKIKIPSSGSGFAQRVVAPCCEDNNAATQVSIREIKTADGACYLVLKVPKSKMSDGFWSFLEKYGYRYEGDLTRALLPCEAMEIIKRMPRETKKKLAGKGYFPQDGYILKYLPVPPNCLSVPVVSDGVSIMSSDPAMTVLRKLLRKVEVIRSSRSGEPNFESHLVEANDLQSVVDQYLQIRGTSKAARDIETHYAVNKELNESSTKAWLEKMRTLFIRKGSGFSSRNVITGDGYKKINEVGIPLEVAQRITFEERVSIHNIHYLQKLVDENLCLTYKEGLSTYSLREGSKGHTYLKPGQIVHRRIMDGDVVFINRPPTTHKHSLQALVVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLSADSLLSLKMLVKSCFWDKATANQMAMFLSLPLPMPALLNAGSGDSYWTSIQMLQCALPFSFDCTGGRYLIKQREILEFDFTRDVLPSIINEIAASIFFSKGSPEALNFFDVIQPFLMENIFAYGFSVGLQDLSISRAVKRVISRSIGKVSPLLHQLRVIYKELVAQQLEKHLQDIELPVINFALKSTKLGDLIDSKSKSAVDKVVQQIGFLGQQLFERGRFYSKGLVEDVASHFHVKCFYDGDGYPSAEFGLLKGCFFHGLDPYEEFVHSIATREIIDRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGIQSGEAAQHLFPAGEPVGVLAATSMSNPAYKAVLDASPSSNSSWEFMKEILVCKVNFKNEPNDRRLILYLNDCDCGRSYCRENAAYLVKNQLRKVSLKDAALDFIVEYQQQRRRSEIPEDAGLVGHIHLDEAMLEKLKINMIDVYQKCQEKLNSFSRKKKLFSFFKRTELFFSESCSSPNYSAPCVTFVWPDGKDLDHTTKVLADLICPVLLDAIIQGDPRISSANIIWVNPGTNTWVRNPSKTSNGELALDVILEKEAVKQSGDAWRIVLDSCLPVLHLIDTRRSVPYAIKQIQELLGISCTFDQAIQRLAASVRMVAKGVLREHLILLASSMTCGGNLVGFNIGGYKTLARQLNIQVPFTDATLFTPKKCFERAAEKHHADSLSSIVASCSWGKHVAVGTGSRFDIVWDPKETKTNEIEGMDVYKFLHMVKGLANGEEENNACLGEDIDDLPNDENVDWDMSPPHTSGFDAVFDETFELVNGSASNGWDSSKDQIDDQFKANTNDWSGWGQNKSEIQVDGVEKNQWSSGTNQDSSKSSAWGGGKNQDDSSKSSAWGAGTNQKDSSKSSAWGTGTNQEDSSKSSAWGAGTNQEDSSKSSAWGAGTNQKDSSKSNAWGTCTNQEDSSKSSAWGAGTNQEDSSKSSAWGAGTNQKSDQSSWGNQWNSGTNQDSSKSSAWGGGKNQDDSSKSSAWGAGPNQKDSSKSNAWGAGANQEDSSKSSAWRAGTNQEDSSKSSAWGAGTNQKSDQSSWGKSKSGVQADGAEKAKWESGSSQKWNADVIQEDSSKSGAWGANTNQNQNNDQSSWGKKKSGIQDDGEEKAKWESGNSQKWKADVIQEDSSKSGSWGTNTNQNSDQSSWGKKKSGVQDDGAEKAKWESGSSQKWRADVIQEDSSKSGAWGANTNQNSDQSSWGKKKPGIQGDDELEKSKWESGSSQKWKAGVAQDDSSKSGAWGANTNQINDQSSWGRNISGVQDGGDGRTQEEAGGIQNDSSNSGGWKSWGKSKPEDSPKSSAWGANKDETKPKSNDMSSWGMKKDVSSWGKPKENQPWNSKNESNQAASSRGWDSQAANANSENDRSFQWGKQGRESFKKNRFEGSQGWGPNAGDWKNRSVPARPPGQRFELYSSEEQDVLKDIEPIVQSIRRIMQQQGYSDGDPLPADDQIYVLENVFEHHPDKETKMGGGIDHVMVSRHSDFQDSRCLYVVLKDGKKEDFSYRKCLENLVRKKYPDIAESFCGKYFKKPLPRVKRDLTPNPAGEQPATSNQAGEQTSILTPAREPATSNPGEQISVPTPAGEQSATPNPAGEQTATPAGDLTSTSMPMETNE >CAK8542162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:482824980:482828761:1 gene:gene-LATHSAT_LOCUS11027 transcript:rna-LATHSAT_LOCUS11027 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKCSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVIVLLVTLFWYEILMPQLSAWRVRRNARIRERKRFEAIELQKLRKTATRRCRNCLNPYRDQNPGGSRFMCSFCGHVSKRPVLDLPGLQELQISNSGIVKDLVGKRGKMLNNKAWSENGWMCSQDWLENGNWVGGSVLGNPINWRMNGNGRIYGGDEHCLTARSYSGILVFACRLLASFFLTIRWLWRKIFRISSREDRLSDAERRALLAKRGENGENLNESRGERARRKAEEKRQARIEKELLEEEERKQREEVAKLVEERRRLRDETMEAEKGSSKLSHTSKEKDRRKEAEKKRQERRKEKDRGSSKSNSDVEEMEKRATKESEQKRDFDKKSGTDHREHQKSGLEGDKGQNTDTVHSKVFAANSYHRGSTGTRYLDRMRGTILSSSKALGFGKGASIPATVVKESKSNNSVDHAHTASSRRDILPPERPTTKSNLKDDKIINHSVIPEPQPWTAPKKSWQQLFTRSSSVPKSSNSNVICRPNSKIQAEVEAKSPQLSGQSPVAQSFNNPIQFGLPSPFNISTHLNGSTSSSLGFSPAIEPVFSPVVNTSHDFRHEEQELFEDPCYDPVPLSLLGPVSESLENFQLDLGSGFMKDKEVIKPRALRNTSGTDLNKPSPIESPLTREKKIGSNRFTSIPQSQDIHPFPLDDAAAIEKGTWQMWTSSPLVQEGLGFVGGPESWLLPSQRNAPTNGEFMLPACQKTMPSVFNRDDNIISSGYSAQNVFLPNGNNSGGTFSPVAVSSGYAPWSHNGLFPPLSGSLKAHESAQNERIYGSPSGSAISNVLECSPANCWSKNEWHPHGSVESIGKSSAARAHIGSPQHPTSDVHSFWSFD >CAK8571398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:397937389:397937610:-1 gene:gene-LATHSAT_LOCUS24065 transcript:rna-LATHSAT_LOCUS24065 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNEENQQLLCELKKKLSKNGGNQKNGPNTNFDLNLSSGSGQNNAS >CAK8541874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419451118:419452871:1 gene:gene-LATHSAT_LOCUS10764 transcript:rna-LATHSAT_LOCUS10764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNREIEVLSPASYIENSNWLFQENKGSKWTQEENKLFENALAYYDKDTPDRWIRVAEMIPGKTVGDVIKQYRELEEDVCVIEAGLIPVPGYTTGSFTLDWANDEGYEEFKQFCSVGGKRSGSTRPSEQERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQVSGGKDKRRSSIHDITVVNLQETKSPSSEPSLDHSVKAANESQNHKPFGMVKQEYDWKSQDEGTPFVFNSTKGNVFVAPLCGISSQESKSPRRNVLRGTHHGYQFSPFETILQMQSMQHQ >CAK8541873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419451100:419452871:1 gene:gene-LATHSAT_LOCUS10764 transcript:rna-LATHSAT_LOCUS10764 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRTMNREIEVLSPASYIENSNWLFQENKGSKWTQEENKLFENALAYYDKDTPDRWIRVAEMIPGKTVGDVIKQYRELEEDVCVIEAGLIPVPGYTTGSFTLDWANDEGYEEFKQFCSVGGKRSGSTRPSEQERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQVSGGKDKRRSSIHDITVVNLQETKSPSSEPSLDHSVKAANESQNHKPFGMVKQEYDWKSQDEGTPFVFNSTKGNVFVAPLCGISSQESKSPRRNVLRGTHHGYQFSPFETILQMQSMQHQ >CAK8535899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889558046:889560137:1 gene:gene-LATHSAT_LOCUS5317 transcript:rna-LATHSAT_LOCUS5317 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIVIFDFDSTIIEMDSDNWVLDHFGLTEKFYQLLPNTLWNPLMNKMMNELHSQGKNIEEIIELVKRTPIHPRIVPAIQAAYSLGCELRIVSDANIFFIETILKHHGVLNCFSKITANPSYVNEDGRLIICPYHDYLKSSHGCNLCPPNMCKGLVIEKFQSSLDEEGEKNMIYLGDGSGDFCPSLKLKESDYLMPRMNFALCDLVSKNSNDIKAHVHGWRDGGELENVLLHIINKINIDYGNNIVGPKVMSLDCKMGTISTEALKPFPEAISIPL >CAK8560075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6406444:6407276:1 gene:gene-LATHSAT_LOCUS13788 transcript:rna-LATHSAT_LOCUS13788 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEGAYNRVLSARQTVPHETYAYFMDLLAKTIRDEIAGCSEKAYDYLSINDAKQILLFSKDQELLEYLKEEHSEWEIKNGSVFFQKAKDTAPCKEIPSLQLINQTLSYARELERIV >CAK8532587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:309320751:309371633:1 gene:gene-LATHSAT_LOCUS2276 transcript:rna-LATHSAT_LOCUS2276-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSTTPTTTGGDSSNSNNRFNNEKKNTENDGSSLRDFKLNESTFLASLMPKKEIGVDRFLDSHPNYDGRGALIAIFDSGIDPAVDGLQVTSDGKPKILDVIDCTGSGDIDTSKVVKADAHGCICGASGASLVINTSWKNPSGEWHVGYKLVYELFTEDLTSRLKRERKKKWDEKNQEEIARAVKQLDDFDKQHTKVEDGKLKRVREDLQHRLDHLRRQSESYDDKGPVIDAVAWHDGEVWRAALDTQSLEDDPDCGMLANFVPLTNYRIERKYGVFSKLDACTFVVNVYNNGNVLSVVTDCSPHATHVAGISAAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLIRALIAAVEHKCDLVNMSYGEATLLPNYGRFVDLVNEAVNKHRLIFVSSAGNSGPGLSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPKWTLQRRMLMNGTSMASPSACGGTALVISAMKAEGISVSPYSMRKALENTSVPIGDLPEDKLSTGQGLMQVDKAFEYIQKCQNFPCVQYQINVHQSGKTIPTSRGIYLREPSACRQTTEWIVQVNPKFHEDASNFEEKIPFEECIELYSTKKIVVKTPNYLLLTHNGRTFNVVVDPSNLCDGLHYYEVYGIDCKAPWRGPIFRVPITITKAKAVTNQSLQVSFSNMLFQPGHIERRYIEVPHGAFWADVTMKTSGCDIPRKFFVDAVQMCPLQRPLKWEKVITFASSGAKNFTFKVISGQTLELVIAQFWSSGIGSHETASVNFEVVFHGIKVNHEELILDGSEAPVRIDAETLVVSEELAPVAILNKIRVPYRPIDSKICALSADRDKLPSGKQILALTLTYKVKLEDGAQVKPHIPLLNDRIYDTKFESQFYMISDSNKRVYSSGDAYPSSSNLPKGEYNLQLYLRHDNVQILEKMRHLVLFLEKNLEKKDVIRLNFFSQPDGPLMGNGSFKSSLLIPGIKEGLYLGPPQKEKLPKNSQQGSVLIGAISYGKLSFADQEEEKNPEKHPASYQISYVVPPNKVDEDDKGKGSSLSTKKTVSERIKEEVRDAKIKVLGTLKQESYEERLEWEGLSASLKLEYPKYTLLLAKILEGLVSRSNIKDKIHHDEEVIDAANDVIDSIDREELAKFFALKNDPEDDEAENTRKKFESARDQLAEALYEKGLALAEIESLKDLDATEDAKKGVDGKQSTDDGSHSDLFEENFLELKKWADVKSSKYGILTVTRERRSQRLGTALKVLCDIIQNDAEPAKKKFYELKLSLLDEIGWKHLATHERQWMLVRFPPTLALF >CAK8532586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:309320751:309371633:1 gene:gene-LATHSAT_LOCUS2276 transcript:rna-LATHSAT_LOCUS2276 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSTTPTTTGGDSSNSNNRFNNEKKNTENDGSSLRDFKLNESTFLASLMPKKEIGVDRFLDSHPNYDGRGALIAIFDSGIDPAVDGLQVTSDGKPKILDVIDCTGSGDIDTSKVVKADAHGCICGASGASLVINTSWKNPSGEWHVGYKLVYELFTEDLTSRLKRERKKKWDEKNQEEIARAVKQLDDFDKQHTKVEDGKLKRVREDLQHRLDHLRRQSESYDDKGPVIDAVAWHDGEVWRAALDTQSLEDDPDCGMLANFVPLTNYRIERKYGVFSKLDACTFVVNVYNNGNVLSVVTDCSPHATHVAGISAAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLIRALIAAVEHKCDLVNMSYGEATLLPNYGRFVDLVNEAVNKHRLIFVSSAGNSGPGLSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPKWTLQRRMLMNGTSMASPSACGGTALVISAMKAEGISVSPYSMRKALENTSVPIGDLPEDKLSTGQGLMQVDKAFEYIQKCQNFPCVQYQINVHQSGKTIPTSRGIYLREPSACRQTTEWIVQVNPKFHEDASNFEEKIPFEECIELYSTKKIVVKTPNYLLLTHNGRTFNVVVDPSNLCDGLHYYEVYGIDCKAPWRGPIFRVPITITKAKAVTNQSLQVSFSNMLFQPGHIERRYIEVPHGAFWADVTMKTSGCDIPRKFFVDAVQMCPLQRPLKWEKVITFASSGAKNFTFKVISGQTLELVIAQFWSSGIGSHETASVNFEVVFHGIKVNHEELILDGSEAPVRIDAETLVVSEELAPVAILNKIRVPYRPIDSKICALSADRDKLPSGKQILALTLTYKVKLEDGAQVKPHIPLLNDRIYDTKFESQFYMISDSNKRVYSSGDAYPSSSNLPKGEYNLQLYLRHDNVQILEKMRHLVLFLEKNLEKKDVIRLNFFSQPDGPLMGNGSFKSSLLIPGIKEGLYLGPPQKEKLPKNSQQGSVLIGAISYGKLSFADQEEEKNPEKHPASYQISYVVPPNKVDEDDKGKGSSLSTKKTVSERIKEEVRDAKIKVLGTLKQESYEERLEWEGLSASLKLEYPKYTLLLAKILEGLVSRSNIKDKIHHDEEVIDAANDVIDSIDREELAKFFALKNDPEDDEAENTRKKFESARDQLAEALYEKGLALAEIESLKLADLTWCILSKDLDATEDAKKGVDGKQSTDDGSHSDLFEENFLELKKWADVKSSKYGILTVTRERRSQRLGTALKVLCDIIQNDAEPAKKKFYELKLSLLDEIGWKHLATHERQWMLVRFPPTLALF >CAK8571150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:315315651:315316352:1 gene:gene-LATHSAT_LOCUS23840 transcript:rna-LATHSAT_LOCUS23840 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPAEPPTTMPETALPRQPLFTRIRLATPSDVPYINKLVHQMAVFERLTHLFTATESSYSSTLFSPDNKPFHSFTVFLLEVSQNPFTDTRFDNDPFYKPLIKVVNLELPIDDPEKENFKTENGNEVIVAGFVLFFRNYSTFLGKPGFYVEDLFVRESYRRKGFGKMLLTAVANQAVKMGYGRVEWVVLDWNVNAIKFYEDLGAEILQEWRLCRLTGENLEAYGATD >CAK8566424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422162236:422162565:1 gene:gene-LATHSAT_LOCUS19556 transcript:rna-LATHSAT_LOCUS19556 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLTGDSTAVDTFRFVPQSLVSFGSTVIVEGCDTSRAISWVHALTVADGIITQVREYFNTSLTVTRFAEKDSEEILPATFDSGRFPCVWESSVSDRVGKSVPGLVLAI >CAK8565958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:359206687:359207175:-1 gene:gene-LATHSAT_LOCUS19131 transcript:rna-LATHSAT_LOCUS19131 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNFQTLLFFCLVSFIVSLSHALNNGFSVELIHRDSEKSPFLPTCQKPIPTDNQNIRMAIVLSHYHGRNRFLTAKLQNIIQQLLVAGGNNSIEPQASAGKSNKRAHRIHKYQNFCIKIKECVKTPNATATRLYNNSQSLGHLSMIIPQKAHGRPNLHLFQ >CAK8562590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:513449583:513450014:1 gene:gene-LATHSAT_LOCUS16076 transcript:rna-LATHSAT_LOCUS16076 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRTNLNEMDSIGDYYTWSNKHNENVIYFRIDHVLANVEWYKKYIHVSLNILALSVSDHAILLLNSHELNRQKRMNHFKFLNNMNDMDVYQKEVTNRWRDPFNGRPMFVLWKKQIRVHASTRALRKPLIEASYSIKNVGYSR >CAK8567313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502958006:502958605:1 gene:gene-LATHSAT_LOCUS20377 transcript:rna-LATHSAT_LOCUS20377 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHMHDYVNFSCELRILQARNIDFIKSTKNLFARFYLPIGNDKRIQLNSKNVSTKLGPFWDESFILDCSCPREFLGNLNQQSLVLELRQKKMWGSQLIGKGEIPWKAILQSQNMKLKKWMKMDLVSGSYCKEVMLTTPEVEVEIKVKVSSIAEMEKQNKKRFNNWNECGCKNRHDHNTWCNAEDCDIFALGAALEAF >CAK8542163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:483469716:483471908:-1 gene:gene-LATHSAT_LOCUS11028 transcript:rna-LATHSAT_LOCUS11028 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVQRRVSLQHTFQQLREVTRSSAINKASIIVDASKYIQEMKKKVEGLNSELGIVESSSSQMDELPMVSVETLEKGFLINVLLEKNKPGMLVSILEAFEDLGLDVLDARVSCEDNFQLEAVGGDSHKDDSINAQVVKQAVLQAIKNTDD >CAK8532471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:268207222:268218597:-1 gene:gene-LATHSAT_LOCUS2173 transcript:rna-LATHSAT_LOCUS2173 gene_biotype:protein_coding transcript_biotype:protein_coding MESASELVEFPLLLTPIHSHYRACTIPYRFPSDNPRKPTPNEISWINLFLNSIPSFKKRAESDTSVPDAANKAEKFAQRYADILEDFKKDPESHGGPPDCILLCRLRELILRELGFSDIFKKVKDEENAKAISLFEKVLHVNDAIEDEGKRIEHLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFKLKWSKKSWKKVIIFVDNSGADIILGILPFARELLRRGSQVVLAANDLPSINDVTYPELVDIISKLKDEKGNLVGVSSSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVSI >CAK8561614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:320595587:320595874:1 gene:gene-LATHSAT_LOCUS15190 transcript:rna-LATHSAT_LOCUS15190 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGITPTASESEIKKAYYMKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPAQRQAYDAYNKSGHHRFSRMFIRFDLIAASFLLH >CAK8543452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608478211:608480849:-1 gene:gene-LATHSAT_LOCUS12208 transcript:rna-LATHSAT_LOCUS12208 gene_biotype:protein_coding transcript_biotype:protein_coding MESNHVISITNEEENNNTNITQMKKNKTNISIVPISSYPSDNKNNNKQKKSEQDIKKMIHSTKVGISLLLVSLLYILNPLFDQVGENAMWAIMTVVVIFEFNAGATLGKGFNRGIGTIVGGGLGCLAAILAQKIGGVGNSIFIGSSVYILGSCATYFRFVPRIKKRYDYGVVVFMLTFNLVVVSGARPGFKVWEIARERLLNILMGFIVAICVSLFVFPTWASDELHDSVVERFHHLASTIQGYLEECSKSVKEKENQPDTSFTVCKSVLNSKSKDESLANFAKWEPWHGKFGFSYPWEKYLKIGEVLRELAALIIALQCCIHASKKPTASLKQCETIGSKVVWTLREVGDSMKQMRKCEERDNIIAKLKTTREELSLVISTSMIEEFENDERLAIASLVFLLMEVVEKVGELVIEVGELQDGAGFRTRVTLVSM >CAK8573403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609730272:609731881:-1 gene:gene-LATHSAT_LOCUS25851 transcript:rna-LATHSAT_LOCUS25851-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLAFIDGANKLSREEERHENVDEDNSNRLAITQCIGETDTHLDAIISRYAHTLTNYSLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMKFEVSVLDWFAKLWEIEKNEYWGYVTCGGTEGNLHGILVGREQLPDGILYTSEDSHYSIFKIARMYRMKCVKVGSLISGEIDCSKLEASLLANKDKPAIINLNIGTTLKGGIDDLDLVIKTLDKCGFTNDQFYIHCDGALFGIMLPFMKQAPRISFKKLIGSITISGHKFLGCPSPCGVLITRLKYINALSRDVDIIASRDATITGSRCGHAPIFLWYALQKRGLTGLENEVHKCIFKARYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTARWNLASNKNIAHVVVLKHVTFEMLDTFIREFVQKRYVWYKGGQVQPPCIANDVGSKNCACSIHKLSRKY >CAK8573402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609730272:609731890:-1 gene:gene-LATHSAT_LOCUS25851 transcript:rna-LATHSAT_LOCUS25851 gene_biotype:protein_coding transcript_biotype:protein_coding MENMAVTLAFIDGANKLSREEERHENVDEDNSNRLAITQCIGETDTHLDAIISRYAHTLTNYSLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMKFEVSVLDWFAKLWEIEKNEYWGYVTCGGTEGNLHGILVGREQLPDGILYTSEDSHYSIFKIARMYRMKCVKVGSLISGEIDCSKLEASLLANKDKPAIINLNIGTTLKGGIDDLDLVIKTLDKCGFTNDQFYIHCDGALFGIMLPFMKQAPRISFKKLIGSITISGHKFLGCPSPCGVLITRLKYINALSRDVDIIASRDATITGSRCGHAPIFLWYALQKRGLTGLENEVHKCIFKARYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTARWNLASNKNIAHVVVLKHVTFEMLDTFIREFVQKRYVWYKGGQVQPPCIANDVGSKNCACSIHKLSRKY >CAK8569689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8140368:8140592:1 gene:gene-LATHSAT_LOCUS22512 transcript:rna-LATHSAT_LOCUS22512-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYGEIFDFSYDHLSNDATILSNDSNIDFQEFMCRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8569688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8139861:8140592:1 gene:gene-LATHSAT_LOCUS22512 transcript:rna-LATHSAT_LOCUS22512 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSIDCMHWEWKNYPVALKGQYVRGDHGKPTVMLEAVASQDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYTINRTEYNKGYYLSDGIYPECATFVKSILMPQGDKRKLFAQHQEGARKDIERAFGVLLSRFVIIRNPTRSWHLDTFQRIMNTCIILHNMIVEDERATYGEIFDFSYDHLSNDATILSNDSNIDFQEFMCRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8563591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618969564:618970982:-1 gene:gene-LATHSAT_LOCUS16985 transcript:rna-LATHSAT_LOCUS16985 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLYFIPYLAAGHMIPLCDIASLFASRGHFVTIITTPLNAKILPKSNNFFNVHTFPFPSQEVGLPDCVQNLSSVTDLESSNKIFQATTLLQEQFENFVEQHPPDCIVADFLFPWVDELANKLTIPRFAFNGFSLFTICAMEALKLHPLPGDASGSFVVPHFPYDVTISSTPPMGSKSFLDPLLTIALKSHGFIINSFVELDGEECVDYYEKTIGHKAWHLGPASLVRKTTQEKAMRGEKSSVSVQKYLTWLNSKQDNSVIYICFGTFCYFPDEQLYEIASAIEASGYEFIWVVPEKKGKENESKVESEKWLPKGFEERNKGMIVRGWAPQVVILGHPAVGAFLTHCGWNSVAEAVSSGVPMITWPVHSDQFYNEKLVTQVHGIGVEVGVDEWLTTAFREMEKVVGKDCIEKALRRLMDGGEETVRIRRRAKKLAKIAKHAVCDGGSSHENLTALIDELKRLRQSKVSD >CAK8562030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:428992024:428993753:-1 gene:gene-LATHSAT_LOCUS15566 transcript:rna-LATHSAT_LOCUS15566 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAMAVAAEKIGTAVRRQAVSLTDGAASRIRVLLQQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFVFINPNSKGQCGCGESFMTTPSTGAAKQGNG >CAK8573957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650281367:650281873:1 gene:gene-LATHSAT_LOCUS26350 transcript:rna-LATHSAT_LOCUS26350 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAPPLMRNAKATRVILPTGEVKQFKEIMKAAELMLENPNYFLVNSRSLHISTRFSPLAADEELDFGSVYIFFPMRRLNSVVTGADMAVLFLAANSAAKRLRAGKTRVQPDESSGGGVENDQNDSAPRLSLEGVDSGFSYRLSYCRSKKPFLETINEEPIN >CAK8543997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654896799:654898996:-1 gene:gene-LATHSAT_LOCUS12711 transcript:rna-LATHSAT_LOCUS12711 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLALTLTLAFLCISPSLTHSAPQNDTHALTEFRLQTDFHGYLVGNWTGEDACNSAWHGVECSPNGRVVALSVPSLNLRGPVDSLSTLTYLRFLDLHDNRLNGTIVPLLNCTDLELLYLSSNDFSDEIPPEISSLRLLIRLDMSDNNIHGEIPKELSKLKYLLTLRLQNNELSGEVPDLSSSLLNLRELNITNNELQGRLPDAMLTKFGNKSFSGNDGLCGLTPLPNCPVTEAHAPPPSDDDGGSEIVPSNPSSLPKSSRNANGNIPSETPSSKRKMLSPGAIVAVTVAISVALLVVVSFMVAQCCGRGGRSNSTVGMDSEKGKSDSSSGSEKKGYGPNSNNGGVDRDSDGTTETERSKLVFFDRSNEFELEDLLRASAEMLGKGSLGTVYRAVLDDGCTVAVKRLKDANPCDRNQFEQYMDVVGKLRHPNVVRLKAYYYAKEEKLLVYDYLSNGSLYSLLHGNRGPGRIPLDWTTRIGLVLGAARGLARIHGEYSAAKIPHGNVKSSNVLLDRNGVACIGDFGLSLLLNPAHAVARLGGSRAPEQAEAKKLSQEADVYGFGVLVLEVLTGKAPSCQYSSPIGVRPCVEFEEEEADLPKWVRSIVKKEWTSEVFDQELLRYKNIEEELVSMLNIGLACVVSQPEQRPTMLEVVKMIEDIRVEQSPLREDFDESRTSLSPSLATTEDCQA >CAK8578976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665774273:665774578:-1 gene:gene-LATHSAT_LOCUS30953 transcript:rna-LATHSAT_LOCUS30953 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVKNKARVEGSICAHYLHRETSHFFSHYFIHMMLTPRIIRNEVHFSERSQFTLSVFGRPGRPSGNTSVHWLSEKEMQSAHVHVLINCVEVKPYLE >CAK8568268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588402390:588403722:1 gene:gene-LATHSAT_LOCUS21242 transcript:rna-LATHSAT_LOCUS21242 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQRGSKKELKVAGHGLKLMRRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHIAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAVALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFRYRQYIPHPPLDHTMAGDIDVD >CAK8532344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248673842:248677721:1 gene:gene-LATHSAT_LOCUS2062 transcript:rna-LATHSAT_LOCUS2062 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSFTYDVFITLFGDDTRYGFAGNLWKSLSNRGINTFVDDHEEDLSKGDEIASTLLNVINESRISIIVFSNNYAFSSFCLQLLVYILDSFHLNHRFIFPIFYDVDPAVVRYQRGTYAEAFSKHEKKFKDNKDKVKKWRNTLYQVANLSGFHFKQGDGYEFEFIERIVEEISSSFDLVPVHIANYTVGLESRLEEVCLLLELESKQVLTAGIYGVGGIGKTILARAVYNKIGDQFEALCFLPNVSENSNIHGLVHLQNRLLSEMVGLKDIQSGNASTGVSVIKYRLRRKKVLLILDDVDTLEQLETLVGELDWFGPGSRVIITTRDKHLLAFHGFERRYEVQKLNHVDALELLSHRVFKQGIVDPNYTELLSRVVTYASGIPLALEVIGSNLSGKSVDQWKHTLDRFERNPPNNIQNILRISFDDLDQEEKNVFLDITCCFKGYELTDVVDILCARYGQDMKNHIEVLIDKSLIHISLDGKVTPCPLIESMGKKIICDESPSDPGSRSRLWFREDIVQVLKNNKGTSNIEIIHLDSSLIENEEAIEWDGEAFEEMPNLRILIIRKCHFSKAPKYLPNSLKVLEWWRYPSEELPSDFDSKKLVICKLPNMGFMSPDLNEFLQISHPDVSLILDKIARVMLQISHGDFQTEMTWRLVGLMSSVVGLSCYALSPSFNRLIGRWKPFKFFLYGVFSLAMLTTILFTKQSSLSTRHFQLKTYASFAVLLIISVYSFFYDKAVNGKPETLSIVSNASFALVSLSLHKLIKFGFEIGMFSYFLGCFAIQLLTINWMLIFVAIFFGCPLFLMHSSMNSRAEVAAGIPL >CAK8577712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584751312:584751728:1 gene:gene-LATHSAT_LOCUS29793 transcript:rna-LATHSAT_LOCUS29793 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRMHPHKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSAGSCGFKDIRRGTPFAATTATENALRTVVDQGMQRADVSIKGPGRGRDAALRVIFRSGILFNVIRDVTPIPYNGCRAPKKRRV >CAK8539619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518404848:518405602:1 gene:gene-LATHSAT_LOCUS8699 transcript:rna-LATHSAT_LOCUS8699 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDERKRRFNEAIVNTLYPPSPQHEQDSEPVKDLIELESYDDVISGTLDDCENASTSGEEGGRGYETEKLTRAQRKRIRKKKMKEESILRGKLIGPLMPPSQTTQARDDNPPPVRSNASQKGDETTRANAKGMKQRRMVKRVVRETRDASALDKCNQISSDAVDGMKEARK >CAK8534479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731445562:731445948:-1 gene:gene-LATHSAT_LOCUS4022 transcript:rna-LATHSAT_LOCUS4022 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAADGFLRPIYEGSISGHDHSVERRPYHRKCGCTLHSKSRKISCVHKSPISNSRVRYPLRRVWSEGNLALSASAYSSHSPSPSTSPASLGGVKSRRSYVDLENDVHLQNQFDDKICGLFEINQSF >CAK8567154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491319732:491323686:-1 gene:gene-LATHSAT_LOCUS20230 transcript:rna-LATHSAT_LOCUS20230 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAMLKQLIGQLQHLLDSQSHHHSSPSSSFILQQPSHHTILLQQHPRWTAFDIENSSGDDCFGIEMAAGKSHSFRLQEPLKPPPTKKPRRDRSRGKSSGRSCTSEVMEQEIWKDFPEDLFEAVIARLPIATFFRFRSVCRRWSSLLASQSFSQHCAQVPQENPWFYTITHENVNSGAMYDPSLKKWHHPSISAMPTKLIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVRVWSRVAVGMIANGNSAGSGYKILWVGCDGEYEVYDSVKNSWSRPGNMPGSMKLPLALNFRSQAVSIDSTLYFMRSDPEGVVSYDMATGVWRQYIIPVPLHLTDHTLAEYDGHIMLAGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSKQMNRLVTYNIAKKEWLKVPGCVVPHGRKRQWIACGTAFHPCLTAVA >CAK8567155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491319732:491320922:-1 gene:gene-LATHSAT_LOCUS20230 transcript:rna-LATHSAT_LOCUS20230-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSHSFRLQEPLKPPPTKKPRRDRSRGKSSGRSCTSEVMEQEIWKDFPEDLFEAVIARLPIATFFRFRSVCRRWSSLLASQSFSQHCAQVPQENPWFYTITHENVNSGAMYDPSLKKWHHPSISAMPTKLIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVRVWSRVAVGMIANGNSAGSGYKILWVGCDGEYEVYDSVKNSWSRPGNMPGSMKLPLALNFRSQAVSIDSTLYFMRSDPEGVVSYDMATGVWRQYIIPVPLHLTDHTLAEYDGHIMLAGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSKQMNRLVTYNIAKKEWLKVPGCVVPHGRKRQWIACGTAFHPCLTAVA >CAK8537910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:446722696:446724097:1 gene:gene-LATHSAT_LOCUS7159 transcript:rna-LATHSAT_LOCUS7159 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNGEDVASNLLKAQSHIWNHIFNFINSMSLKCVVDLGIPDIINNYGKPMSLSKLISSLPIHPTKKPCIYRLMRIMTHSGFFTQQNVTENELEIEYTLTDASRLLLQDNPMSVTPFVQAMLSPIMTNPWHQMSTWLKNEESSPFETTHKRYFWDYAAHDPIFNLLFNESMASDARLVGDLLIENCKEVFNGLESLVDVGGGTGTMAKAIAKSFPQMECIVFDLPHVVDGLQGVDNLNYVGGDMFQEIPQAHAILLKWILHDWNDEKCVKILKKCKESLEKKGKEGKVIIIEMVLENATENIKESVETQLFFDMLMMIIPAGKERNKKEWIKLILSAGFSDYKITPSLGLRSVIEIYP >CAK8567787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544687450:544690829:-1 gene:gene-LATHSAT_LOCUS20810 transcript:rna-LATHSAT_LOCUS20810 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGERFQLGTVGALTLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHLALKMRFFEHKPFEQKAVVGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLGKKFSKRIQFSLSILLMGVGVATVTDLQLNALGSFLSLLAVITTCVAQIMTNTIQKKYKVSSTQLLYQSCPYQAATLLISGPYLDKLLTSQNVFAFKYTTQVTVFIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLQDPFSWRNIMGILLAMVGMILYSYYCTLENQQKAIEAAALASQAREGESDPLINLEKGSSAVTDSVGHMSPVWSKDKD >CAK8578962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664355701:664357821:1 gene:gene-LATHSAT_LOCUS30939 transcript:rna-LATHSAT_LOCUS30939 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTPLFSLSSLSLSSSSSVYPSKLHSPSRTSTLTRNPSSHVNLAIRSSYSGNGSSPDAFNSRNSEIPFALSMVESNKPRWRRVLLKVSGEALAGDQAQNIDPKITMAIAREVAAVTRLGIEVALVVGGGNIFRGASWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKRNPQARLLDTLTYQDVITKDLSVMDLTAVTLCQENNIPVVVFNLNKPGNIEKAIKGERVGTLIGATWNSTVSRTL >CAK8571482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429292249:429293922:-1 gene:gene-LATHSAT_LOCUS24144 transcript:rna-LATHSAT_LOCUS24144 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMLLKSPSLTLHHHSTIPIQRPFYPSTILPLPSKLTNPFHASNISLPMKCSNSSMNSTNMQPPKTIEFEPDPSLTNEDLKPTTPTQRIFSGLEIASLWVGLVVGVPSYYLAGSLVDLGMSWWQGISTVVLANIILLFPLILTGHAGTKYGISFPVLARSSFGIHGAHIPTLLRALIGCGWYGIESWIGGEAIFILLPNSLKKITFLSNNLPWLGTSPLEFSCFMVFWVAQLAIVWRGVDGIRQLEKFSAPILIFLTSCLLVWSYVKAGGFNHLFSLSSRLTNSEFFSIFFPSLTANISFWATVALNIPDFTRYAKSQKDQVIGQIGLPIFMGLFTFVGVVVTSSTKVIFGEVISSPIQLLGRIGGFSTSVLAIVGISLATITTNIAANVVAPANALVNLNPSWFTFRKGAFLTAMLGIAFQPWRLLKSSESFVYTWLVGYSALMGPIGGIVLVDYYVVKKMKLRISDLYTRSAFGKYYYYKGFNVDAIVALVVGILPVVPGFLQKVGVVSSIHDGFVVVYNNAWFISFFLGGFLYWVLLSLRRKLGESDAIESCL >CAK8579093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674103340:674105538:1 gene:gene-LATHSAT_LOCUS31063 transcript:rna-LATHSAT_LOCUS31063 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMCEPNSEKVCEGNSAESPTIPAEKQPEEAEPKTSERIKATRHPRWTRQETLVLIEAKKVIENGDQVCRYRSSTSGLVQTDPKWDLVSSLCQQHGVKRGAVQCRKRWGNLLTDFRKIKKWETNVKDETESFWIMRNDVRKENKLPGFFDAVVYNVLDGGVCTAAAFPLTLVKMAPRAENGDQVEGAVPTALALEQGTKEDENEEEEDEAIVDSEKMGWSTEEENTETNTSGNVVISPFKTPNPKKTNIAGSFKLTPPPILLPSSAVRQQQPFPQGNYDPGYQREALFNEGFKRKRPSPENSEDAADFTEDVIKVLRRNSNILKAHLGAQNINTQLARDQQKQQTDSLVSALGRLTDAITKIADKL >CAK8532179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216180420:216180932:1 gene:gene-LATHSAT_LOCUS1910 transcript:rna-LATHSAT_LOCUS1910 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFSLSNARSLYPPLKLGFPSKPQTLPISTLNFSSSFRCIGSRRLQKNQKILTVSATSPNSRGGKASNDGSDVKETGSASKGPPLLTILFGFLVFFLVFKVIGSIVMWLVSLIVNVPPPK >CAK8533049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:571546642:571549459:-1 gene:gene-LATHSAT_LOCUS2701 transcript:rna-LATHSAT_LOCUS2701 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEQKQHVQTQSSNANNPNSTEKSETVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGPVGDANVFYWFQNRRSRSRRRQRQMQAAAQLAAGAGANLYHQYQCSNTNSNSISVGAAEGFGGSSGLTMMNSPSDSSYNHNFSSSSSSSSAGVGVGGGEEGFRGLFLGSSQINFPEIEHHTSAASSVLNPSHDHALNLNYHHSGFGGSNVSGLITVYINGVATEVARGAIDMKTVFGEDVMLIHSSGVSVPTNEFGILMQSLQHGESYFLVSKQTQV >CAK8574146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665684887:665687090:1 gene:gene-LATHSAT_LOCUS26519 transcript:rna-LATHSAT_LOCUS26519 gene_biotype:protein_coding transcript_biotype:protein_coding MISLYEEIRLKRDAENKKKLEALNLPKLSQSLPKTSSSSSKPSPSVKGRPRFVQPGELEVNKKRLRSTTTRKSLIIPPPIKTTITLLPIQTKITPLPIETTITPLPIQTAKDIVVADEDEDIMVGDETEDDVVGDEAEDVVVGDEAEDVVVEDVTDDVAKVAKFVYWDVNVISEEGYVSNTRLCVKDLVTKSKSDGTWIILEFDKDHCVIGPASGLLAGYLGIIIRMFKDFPKMFESWKDIATDTKIKFYDSKIKLHFLVDDGRDKEFILAYATKKWKDDRHQLFRQFYRWDLTLEENLQNYPKCIGILENDWAVFVQYRRKEKTQKIAPKNAQNRAKLKTPHTLGFKSIARKKHELESRDGRTCSRREMYAISHKKSDESFVSEDAYNNNEKL >CAK8579526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705676908:705677779:1 gene:gene-LATHSAT_LOCUS31466 transcript:rna-LATHSAT_LOCUS31466-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLANGISSKNLQH >CAK8579525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705671002:705679507:1 gene:gene-LATHSAT_LOCUS31466 transcript:rna-LATHSAT_LOCUS31466 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNQLIVNIPDKQPHDLHHQPTVTVFASSINPKHANQIVRRLNQIAPLGDLRHVKRIRKEVLEGGQIELSVVLCLAYEGDNQLDGVPPHLQEMISSYQLSPFITNVCKYEATSKEEWQEQCKFWPTAYHPRTYSIDGITGFSEEDSHSVLKFMQSAVELATSDGLVVNAAVIVDPSAKQIISTASDQVFTWNTCKDDSCDRKPDLFSSHPVSNSLDPQKPMHLRSSCNHLKQSYTGVACLYPGQWTEQQSHSQSSYYCHPLRHAAIVAIESSAARDRHLFPNDEGSKEKYLELDLENPCTSSPSKRQKTVCATVEDNDKLNAHSQTSNQIEENDKLNPNLLPERPYLCTGYDIYLAWEPCTMCAMALVHQRIRRIFYAFPNPKTGALGSVHRLQGEKSLNHHYAVFRVLIPEEALHKCHTSVAESEETGI >CAK8537606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407294551:407294694:1 gene:gene-LATHSAT_LOCUS6881 transcript:rna-LATHSAT_LOCUS6881-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8537605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407294551:407295040:1 gene:gene-LATHSAT_LOCUS6881 transcript:rna-LATHSAT_LOCUS6881 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8532833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:527632520:527633659:-1 gene:gene-LATHSAT_LOCUS2497 transcript:rna-LATHSAT_LOCUS2497 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATEYLGSSTMNQRGNQVQSMENSSLENELNLFQKHVTDRFNELSSSVSNDDLLSLSWVRNLLDTFLCCQEEFRMILHNRRSKVCKPPLDRLVNEFYERSVKALDVCNAVRDGVEMVRQWEKLLEIVLCALDHKRIISEGQFRRAKKALVDLAIGMLDDSSKDSNNGFSFASRNRSFGRNNVHHLRRDSSALGHFRSLSWSVSRNWSAARQLQAIGSNLCFPKNNDLVATNGLALTIYTMSSILLFTMWALVAAIPCQDRGLHLNFSIPRQLSWAAPMTLLHERILEESKKRERKNSCGLLKEIQKIEKCARVMNDMADSLEFPLSEEKEEEVRVKVDDVVNVCESLKDGLDPLERQVREVFHRIVLGRMEGIDFLS >CAK8560135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8579081:8581537:1 gene:gene-LATHSAT_LOCUS13841 transcript:rna-LATHSAT_LOCUS13841 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCVKQHHLRGLQSETTLTFLSLLRNLKLPGTVRWVSGEIPVKSSFRCYATAKSERIIPIKKKKRLDEVCLERYEQYSRSIIQSWILQGKVYVNGKVINKAGTPVSDKSVVEIMAEVPKYVCRAGHKLEGAIEQLGVDVAGKVALDSGLSTGGFTDCLLQYGASHVYGVDVGYGQVAEKIRRDERVSIIDRTNLRYLKELPQNVDLVTLDLSFISILTVMPAVVNVMKEDAALVILVKPQFEAQRSQVGKGGIVKDPLVHQEVLEKITKGVESFGFCSKGWIESPLKGAKGNTEFLVHFSRIHTKGSKKHETKEEYKLDDAFLQSSEK >CAK8562720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531265314:531266615:1 gene:gene-LATHSAT_LOCUS16193 transcript:rna-LATHSAT_LOCUS16193 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGLSGIDSWRKSFCYSHWERWMTTSKRVQDRSKKKRVHELEVVTEKWKITSKIIFLMELLKQEPEMVIPVRSLSHYRKQINLPKPHRISDFLRKTPKLFELYKDRNGVLWCGLTHKAEDLMEEHKRVVEENEDKASEYVTRFLMMSVDKRLPLEKIAHFRRDFGLPMDFRTGWVNQYPQLFRVVKPSLDDVEFLELVSWNSEWAITEIEKKNMKMVEGITETETSHTPGLLSLPFPLKFPSNFKRVHSYYGEKIKLFQGRSYLSPYADAKGLKPGSLEFDKRAVAVMHELLSFTVDKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYDGSELIEKSPLILWREKLLSLVGYRGRKKKFETDTESDEEGGDGLRLLQSDSEVEDLDVELEQKDTLEYKDPLLEDNSEMDVGEIM >CAK8565659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300665422:300669017:-1 gene:gene-LATHSAT_LOCUS18850 transcript:rna-LATHSAT_LOCUS18850 gene_biotype:protein_coding transcript_biotype:protein_coding METVGSTRLGRASSRYGAPAVFSGPVRKWEKKWVYVSPSSFNNNKNSNGSSRLLLRRWTPVTNRSSAEDARDVSDEPPRRKFRYTPIAVLEEQKKGAVEKVEKEPTIESDQLTDRQSNVNREVHRKLNMNEISEDSKDSNMSKVDLGLDFQGNNGKNSQNSDDLVGGP >CAK8566393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418617579:418621452:1 gene:gene-LATHSAT_LOCUS19526 transcript:rna-LATHSAT_LOCUS19526 gene_biotype:protein_coding transcript_biotype:protein_coding MDKICFASFVSKAKPYVFTIAVQFGFAGAVIFSMASFNIGMSRFVFIVYRNIIAAITLAPFAWFFERKVRPKMTISVFLQIMALAFLEPVIDQGFTFLGMQYTSASFTSILLNTVPSITFFLAVIFRIEHVNIKQIRSIAKVIGTIVTFGGALLMIIYKGPQIHLFYSPNTAHHDAGSHDTQTLKHWVSGTLFILLGCFAWSSFFILQSITLKKYPAEMSLSTLICLVGSLMSTAVALVAEHHSGARVWALGWDFRLYGPLYTGIVTSGITYYLQGLVLQSRGPVFFTAFNPLCMIITCALGSFLLAEQLHLGSIIGAVIIAMGLYSVVWGKGKDYSSATTTMPPSSVTMKQNETQQLPITSSDHV >CAK8575032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22787709:22789411:1 gene:gene-LATHSAT_LOCUS27325 transcript:rna-LATHSAT_LOCUS27325 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTFTLSEVSKHNTPKDCWVVIHNKVYDVTKFLEDHPGGDDVLVSSTGKDASNDFDDVGHSITATSLLDDFYVGDIDSSTIPSKVDYTPPKQPHYNQDKTSDFIFKILQFLVPLFILAVAVGIRFYNKST >CAK8563073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:571186517:571188580:-1 gene:gene-LATHSAT_LOCUS16518 transcript:rna-LATHSAT_LOCUS16518 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKRKQAAETVERKAKSVRVGQIQTENPKNPFPSHSAPTPQECLHIRDTLLTLHGIPPELAKYRKSQPTDDTAPPETVLDGLVRTILSQNTTETNSQKAFVSLKSLFPTWEHVHGAESKELENAIRCGGLAPTKASCIKNLLRCLLERKGKLCLEYLRDLSVDEVKAELSLFKGIGPKTVSCVLMFNLQLDDFPVDTHIFEIAKTMGWVPAVADRNKTYLHLNQRIPDELKFDLNCLLYTHGKLCSKCSSKKGNKQQEKFNDNSCPLLNYYKEPV >CAK8564168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654831490:654832848:1 gene:gene-LATHSAT_LOCUS17497 transcript:rna-LATHSAT_LOCUS17497 gene_biotype:protein_coding transcript_biotype:protein_coding MITILGSSSRLKEMSDLIEQMKQDSCECKDSVFVSAIKIYAKQGLVDEAISLYKKIPQFNCVNWTQSFNTLLEIMVNEGKIEDAHGLFVESSCGWEVKSRVRALNLLMYALCRKSRSDLALQIFQEMDYQGCYPDRDSYLVVMKGLCKDKRLHEATHLLYSMFWRISLKGNGEDVVIYRTLLDALCDDGKFEEAVEILGKILRKGLKTPKRCYNQLDLSQCGDGKDAEVTKRWIHEALVRGSVPSTSSYNAMAVDLYEEGKIDEADKVIVEMKNKGFKLKHLIFEAKVAALCKVDKVDEAIKVIEEDMVEVNCLPNARVYNILLKNLSNVGSSTLVLESLNKMSKKVGCMGDKETHIILLEMLCHERKYLEASKLLEKMSIKSYWPCANSYNLLIEGLCFLGRQYEAVMWLEDMISQGKLPEISVWSSLESSFCNSDLLKVLVEIFNRLRSL >CAK8542516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522114549:522118405:-1 gene:gene-LATHSAT_LOCUS11352 transcript:rna-LATHSAT_LOCUS11352-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDENESNINKSMNENENRGDGNFLSDPKGERFFEFPCKRSLAERRGFNKNVTTTSLVSVPARSLHLTIPPGIPPGISPTALLESPVMLLNCQVVPSPTTGSFSMLQPPMFTSVAKNERNMDISSSSNIIASSEFKSQVDFNSNSLNQGSNNYHMMNGEHKEDQIFVQGQAPIDFSFSEDFSNNLFKNNGFLFHNDLKMVDLVVDNIDVPISHSEEVSDESNLLENSIPVVDDIGQQHVLEVEQKETGYAIETKNTDDGYNWRKYGQKQVKGSEFPRSYYKCTQSSCQVKKKVERSHDGQITKIIYKGNHNHAKPHSNRRGSISLNDEILEAAEANETRDKVNSRENDVNHDSELKLDDQERTSPSSVTELSDRMKRGRLLGVFESDEAQENSSALVNHDGNKNGSTQTVLPNEDSTEDGDSESKRRKKESYPTEPMVSARASREPRVVVQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCGVRKHVERASHNLKYVITTYEGKHNHEVPTAKNNNHINSNDFGSHSSGANGLHGGAGNLKFETHQPLASHFDRKPDFSDEFVRSSLMGSFANDMKFGPSSISQMKYSSLDSVVPYGCSYGTNLECGVAPQVGHIPPMFPEFPMPLPLNPPSSGNFSLDGANFSYAKPLNYVQSYLSNQQMRDFDTGFLRPKEDLNDESLYTSCQSSFHLASSSLNPPSTSPPQSIYQSVMQNFPS >CAK8542515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522114549:522118405:-1 gene:gene-LATHSAT_LOCUS11352 transcript:rna-LATHSAT_LOCUS11352 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDENESNINKSMNENENRGDGNFLSDPKARSLHLTIPPGIPPGISPTALLESPVMLLNCQVVPSPTTGSFSMLQPPMFTSVAKNERNMDISSSSNIIASSEFKSQVDFNSNSLNQGSNNYHMMNGEHKEDQIFVQGQAPIDFSFSEDFSNNLFKNNGFLFHNDLKMVDLVVDNIDVPISHSEEVSDESNLLENSIPVVDDIGQQHVLEVEQKETGYAIETKNTDDGYNWRKYGQKQVKGSEFPRSYYKCTQSSCQVKKKVERSHDGQITKIIYKGNHNHAKPHSNRRGSISLNDEILEAAEANETRDKVNSRENDVNHDSELKLDDQERTSPSSVTELSDRMKRGRLLGVFESDEAQENSSALVNHDGNKNGSTQTVLPNEDSTEDGDSESKRRKKESYPTEPMVSARASREPRVVVQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCGVRKHVERASHNLKYVITTYEGKHNHEVPTAKNNNHINSNDFGSHSSGANGLHGGAGNLKFETHQPLASHFDRKPDFSDEFVRSSLMGSFANDMKFGPSSISQMKYSSLDSVVPYGCSYGTNLECGVAPQVGHIPPMFPEFPMPLPLNPPSSGNFSLDGANFSYAKPLNYVQSYLSNQQMRDFDTGFLRPKEDLNDESLYTSCQSSFHLASSSLNPPSTSPPQSIYQSVMQNFPS >CAK8567725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539341501:539343874:-1 gene:gene-LATHSAT_LOCUS20748 transcript:rna-LATHSAT_LOCUS20748 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKWAKSMGRISRIGSFAISSSLNDNNLPQPCITCTTFNILAPIYKRLNDEDPTCRESDYRAYWLARNHRILDWLLNEKSSIICLQEFWVGNEELVNLYEKRLQEAGYVSFKLGRTNNRGDGLLIAVQTEYFNILNYKELHFNDCGDRVAQLLHVELVFPFSKGLTEIRQEILIVNTHLLFPHDATLSLVRLKQVYKILQYVETYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDAEADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLNASWSEAVFAMFKYLLRRASLTESDAFAFLRADNEDCITYSGFCEGLRQLNLIGHCHGLSEEEIKELWVQADIDGNGVLDYKEFLQQIWISSVLDQIDDNKNREQEDSSNDVQEQTIGFSVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQMIC >CAK8544294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676893027:676895861:1 gene:gene-LATHSAT_LOCUS12986 transcript:rna-LATHSAT_LOCUS12986 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTLGELKPSVSGRKSFRPSSSIRHATEWPISDVSSDLTIQVGASTFSLHKFPLVSRSGRFRKLLLDAKDSKVSRVSFPAVPGGVEAFELAAKFCYGINVDFNLSNIAMIHCTSHFLEMTEEFSEKNLVTRAESYLKEIVLPNTSSIINVLHHCENLVPLSEEINLVNRLINAIANNACKEQLTSGLLKLDHNFPSKATSIMEPETPLDWWGNSMNVLSLEFFERVVSVMKSKGLKQDLISKILVNYAHNSLQGTSTVSSLDVESQKKQKVIVETIVRLLPTQSRKSSVPIAFLSCLLKTAIATMVPTSCRSDLERRIGLQLDQAILEDILIPTNSPLSTHNTMYDIDSILRIFSTFLNLDEVDDEDSDFRDENQMMYEYDFDSPGSPKQSSILKVSKLMDNFLTEVALDSNLLPSKFIALAELLPDHARVTSDGLYRAVDIFLKVHSNIKDSERYRLSKTIDCQKLSQEACSHAAQNERLPVQIGVQVLYYEQIRLRNAMNGGHNQIMFGGNHGQFPQRSGSGIGSGAISPRDNYASVRRENRELKLEVTRMRMRLNDLEKDHVSMKQELVKTGPAQKFFKSFAKKLSKFNALFRINSIRESGTGRSSSQTRSRFPFHRRKCHSVS >CAK8577365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557326419:557326697:1 gene:gene-LATHSAT_LOCUS29479 transcript:rna-LATHSAT_LOCUS29479 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTDVMSSTTENLSSLISNCVTAKSLKHAKALHSHKTALFFGAFLANGLIDLYSKCGCLESTHKAFDDLPNKTTRSWNTLLSLYCKRGVFN >CAK8566946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474720911:474721318:1 gene:gene-LATHSAT_LOCUS20041 transcript:rna-LATHSAT_LOCUS20041 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPVNHLSHSCINADEVLDSFALTNFDFDGLDAVPIGNTLMVGNTKKTKHRTNRLKLENIPEETELYVDNRNEDTHPEELEEACIHLEMDLEVLRKSIDIGVCVLCLGLGYLVSNKFRLRPKFSSLLSSSFLSL >CAK8541175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:81173110:81176174:-1 gene:gene-LATHSAT_LOCUS10114 transcript:rna-LATHSAT_LOCUS10114 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIIRIVFIVLVMIFAIFYSGEGQLVENFYISSCPNVELLVSQAVTNKFTQTITTGQATLRLFLHDCFVEGCDASIMIASPNGDAERDAKENLSLPGDGFDTIIKAKEAVEAFCPGVVSCADILALATRDVIVLLGGPSFSVELGRKDGLISKASNVEKNLPKPTFNLNDLNKIFSKHNLTQTDMIALSGAHTVGFSHCDQFTDRLYSSQVDPTLNPSYAEELMTGCPRNVDPQIVLPLDPQTQFVFDNIYYQNLVNGKGLLSSDQVLFTDDASKSKVVEFANDGAKFFDAFVVAIKKLGRVGVKIGKDGDIRRDCSKFN >CAK8539745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523850528:523851223:-1 gene:gene-LATHSAT_LOCUS8815 transcript:rna-LATHSAT_LOCUS8815 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAINFVLKVTRNLPITALVQSTYYQMSSLFGKRGHKWTKMLATRKVFTDGCNKGMADEVARANTHNVMQFDHERFCFMVQEKINQNNGRPTSTFSVDLRNRLCDCGKFQAFHLPCSHMIAACSSIRQDYIIHIPEVFIVLNIFKVYKESFSGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMENAEKEKRRCGICREIGHMRRKCPNVVGSSNRPPI >CAK8539746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523850528:523851130:-1 gene:gene-LATHSAT_LOCUS8815 transcript:rna-LATHSAT_LOCUS8815-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFGKRGHKWTKMLATRKVFTDGCNKGMADEVARANTHNVMQFDHERFCFMVQEKINQNNGRPTSTFSVDLRNRLCDCGKFQAFHLPCSHMIAACSSIRQDYIIHIPEVFIVLNIFKVYKESFSGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMENAEKEKRRCGICREIGHMRRKCPNVVGSSNRPPI >CAK8564835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11752313:11764555:1 gene:gene-LATHSAT_LOCUS18094 transcript:rna-LATHSAT_LOCUS18094 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVNLPPESHDRSPTTAAPPSPPQPPPADDDLPPPPLPRRRDFRDDRDFDRRPFRGGRGGRGGYFNRDRDFKRRRSPSPNFRDRRYSPPPQQSRRSPPPFKRSRRGSPHRPNDRFGYDHFGGYERGGGGRAGYTDDRPVGRFPHRSAGGYQNGISDLDAARGYADGPSGGARREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRAYFNAHKDEEWLKDKYHPTNLLPVIERRNENARRLAKDFLLDLQSGTLDINPGLTSVSTRSEQASEPNSEEETDAGGKRRRHGRGSNKDNDFSAAPKAHPVSSEPRRIQAEIQQVQAVVRKLDKEKGIEDNILCTNDHSKNGDKAHSGSVGPVIIIRGLTSIKGLEGVELLDTLITYLWRIHGVDYYGMLETNDAKGFRHVRPEGARHEETGKSGSEWEKKLDSFWQRRLEGQDPLEVRTAKEKIDAAAADMLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAVEFVYKHLKLKHPELVLELTSKLREDLYFQNYMNDPDAPGGTPIMQQSQKDKPLKRRLLDGRLKDDRGNQRDQDRSDRTNGDRPDSSPSHERRLGGRDETMFDAYGGPAVPQFSSDMHPPPSVLMPVPGAGPLGPFVPAPPEVAMQMFRDQGPSSFDTSGMTMRSGPQIGGQTSMIAVPPAFRPDPRRMRSYQDLDAPEEEVTVIDYRSL >CAK8542799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547917773:547918076:1 gene:gene-LATHSAT_LOCUS11606 transcript:rna-LATHSAT_LOCUS11606 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTFLDSAVQWKSLLASSNGTSNRAVESCLILSLCRVGGSGQEVASRTFGRVVPNQGRIRCGFHF >CAK8538902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499237445:499239880:1 gene:gene-LATHSAT_LOCUS8050 transcript:rna-LATHSAT_LOCUS8050 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLTLHPYNPFNFLPNSSSKYSFHSSFSKRTTFTVLSTHSNPNIIKTNRRSNYGKTLSLHEYDEELFEIDDDDDDDDDDDDEEEEEEEEDDDDDDFFSDEEFTESADFDAKDKRSKSKTRAVRHQENGGDVKSLKNGKSSKLSIRQRKASLQQNYSEKFGRISSVERSRDMFEEIDLDVKFSPLLDYLRTFGINNSELVQIYEKHAPSLQVNVCTAQEKLDYLMSLGVKHKNIRRILLRQPQILGYAMENNLKTHVAFIMSLGVPSSKLGQFIAVTPSLFSYSVERSLKPRVRYLIEEVGINEKILGKVINLSPQILLQSIDILWNTRLMFFTKELGASRESIVKMVTKHPQLLHYSIDDGLLPKIKFLRSIGMNNADILKVLTSLTQVLSLSLEANLKPKYLYLVNELHNEARSLTKYPTYLSLSLDKRIRPRHRFLVSLKKAPKGPFPLPSLLPTDEDFCQKYAKTTLDKYMAFCKRLSLQNLAEKYNRKM >CAK8561302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:142574528:142575037:-1 gene:gene-LATHSAT_LOCUS14909 transcript:rna-LATHSAT_LOCUS14909 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSNQRKKTMGRKKIEIKKVQKETNKQVTFSKRRSGLFKKACELCVLSDVNLAIIVFSPADKLFCCGRPNTDAILNSYVKGTTEFEDQKSTEDSSICEEYNRDYEEALKMLEMEKKKLADINMARFRNRGGWWNASIDDMSSEELEQFMMSVFEFRKKLAEKEHNIS >CAK8541379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:144177563:144179312:-1 gene:gene-LATHSAT_LOCUS10304 transcript:rna-LATHSAT_LOCUS10304 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQETLLDKPKKSIPKTFWLILSLAAIIGSSAVIVSNFNNQTSFFNLSSAPNLCEHAVDTKSCLTHVSEVTQAPTFSNTKDHKLSILISLLTKSTTHIQEAMNKANVIKCRVNSGREEIALNDCEQLMSLSMERVWDSVMTLTKDNMDSQQDAHTWLSSVLTNHATCLDGLEGTSRVVMESDLQGLISRARSSLALLVSVLPAKGNDGFIDESLNGEFPSWVTSKDRRLLESSVGGVKANVVVAKDGSGKFKTVAEAVASAPNKGKTRYVIYVKKGTYKENVEISSQKTNVMLVGDGMDATIITGSLNYVDGTGTFKSATVAAVGDGFIAQDIGFKNTAGPKKHQAVALRVGSDQSVINRCRIDAFQDTLYAHSNRQFYRDCFITGTIDFIFGNAAAVFQKSKLVARKPMSNQKNMVTAQGRTDPNQNTATSIQQCDIIPSTDLKPVLGSIKTYLGRPWQAYSRTVVMQSLIGKHIDPAGWAEWNDESKSFLKTLYYGEYSNSGPGAGTSKRVNWPGYHILNTAEARKFTVAQLIQGNVWLKNTGVAFIEGL >CAK8535534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:859405348:859406112:1 gene:gene-LATHSAT_LOCUS4986 transcript:rna-LATHSAT_LOCUS4986 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVSASGNETRNHQPEESGWTSYFEDFSKHIEPSYCSSSLGGSSLVSDAASCAAWKFSHKNHVRNSPNLSKKLSMKKTRTKQISQDDPLEDTASSPVNSPRVSDMNAREMMSKKINDQLDVSISRGKGFKSEHYPKVETNDCDINFNGKNVDCTSLEKKGLCLVPMSMLVNYYG >CAK8533859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665605398:665605734:1 gene:gene-LATHSAT_LOCUS3450 transcript:rna-LATHSAT_LOCUS3450 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGVEEINGTLEFLQVQKVSAINKRNHCSMDDASLHTGGSIPHRLHWKRIKKEKGADPSLTEFYFSTYQRKGQSWGMFTLNLHM >CAK8572468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538948660:538949565:1 gene:gene-LATHSAT_LOCUS25032 transcript:rna-LATHSAT_LOCUS25032 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGLLWEVEGKWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKLLTPKLEAEKQEIFKKHIEGATKFLLPKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFIYIAHGLKEIKC >CAK8542626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:532970607:532972301:-1 gene:gene-LATHSAT_LOCUS11450 transcript:rna-LATHSAT_LOCUS11450 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRTRNAVLKPSVSFLLHFYSSYSKKNKTNPNFTNPKTLSPKSLQPNSSKPSIPRLLPNTTLYSLLTDLVSCPTDTDTAIDSVLDSYKGELTSNLVLSVLKSYNHLGRTKTLKFFSWAGEKMDFQFDDAVIEYMVDFFGRRKLFDDIKCLLMTIVARKGQVSYKALSICIRFLGREGRINEVLSLFDEMESVFGCKPDNLVCNNVLYVLCKKQSSVEMIDLALSIFDKIESPDSFSCSNMIVGLCRLGRFDAALEIFRKMDKVGVHPTRSAMNVLIGELCLLSSKEGSLEKVKVTNTRRPYNILVPNMGGNRAAIQPAVEVFFTVVKSGLLPSTFVVFKLMSELCRLGNTEEAVKVLKIVEERKLTCVQEGYSIVMKALCDHRRVEEAGSLFGRMLETGVKPKLVVYNSVISMLCKLGDLDNAHGVFEIMNKNRCFPDSLTYIALIHAHCEFKNWKIAYELLVEMLGLGWIPHFHTYNLVDSLLREHDQLNLCLKMERKLENQKLLKLCKEGQLDDAYEKVKSMLEKGVYLSAYARDTFKLEFQKSGKLEIAHELLENAQRV >CAK8566478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:427909485:427911439:1 gene:gene-LATHSAT_LOCUS19606 transcript:rna-LATHSAT_LOCUS19606 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDCNMNELTQGSEMYSPITHQAWGALLNLLGFFYHFFSQIIESFAHFPLLSFSSHESFKSLPNIDHDFALPITSDTDHRPLQKLTVVLDLDETLICSYETSSLPDILKTQATQAGLNWFELECVCANKEVDGKPKINHVTVFERPGLKEFLKQLSEFADLVLFTAGLEGYAKPLVDRIDTENRFSRRLYRPSTVNTENREHVKDLTCISKDLSHIVIVDNNPFSFLLQPNNGIPCVPFSAGQPNDTQLIDVILPLLKHLSDQKDVRHVLHDAFRMPEWFQQHGFPVPS >CAK8566479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:427909530:427911439:1 gene:gene-LATHSAT_LOCUS19606 transcript:rna-LATHSAT_LOCUS19606-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPITHQAWGALLNLLGFFYHFFSQIIESFAHFPLLSFSSHESFKSLPNIDHDFALPITSDTDHRPLQKLTVVLDLDETLICSYETSSLPDILKTQATQAGLNWFELECVCANKEVDGKPKINHVTVFERPGLKEFLKQLSEFADLVLFTAGLEGYAKPLVDRIDTENRFSRRLYRPSTVNTENREHVKDLTCISKDLSHIVIVDNNPFSFLLQPNNGIPCVPFSAGQPNDTQLIDVILPLLKHLSDQKDVRHVLHDAFRMPEWFQQHGFPVPS >CAK8530761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47569369:47571804:-1 gene:gene-LATHSAT_LOCUS603 transcript:rna-LATHSAT_LOCUS603 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRGLNKVGKICEIRSRLQEIKPAIIILIETRVKEAKAKAIREKLMVYDNHIDNYKDHTNGRIWIHLDSNRVNLKCIQSSSQHIHSGIYDNLGDFKHWLTAVYARNQLNKRKTLWAEIENISGSIQGPWCIIGDYNNVTKSQDRLRGNLVTEKEYADLKKMIENTSLSEMDSIGDHFTWSNKQAASPIYLRIDRVLGNTNWFLTNMETILKILPPNVSDHAMLFLECKQEQWKKSRQAKFKFSNCLTELPGYDNLIKKSWDGHIRRSLMYVLWQKLKRLKHELKNFSKPLSDVKNKLISVRNTLRETQEKLSNDKMNNTLIGKAKDLTEEVISLNEMEWKILQQRAKIDWIRKGDGNNQYFYAAIKGRHHSNILNNLRKNDGRQITTKKDIEDEVINFYRNLMGKDDESISHIDIEAMRMGKQLDMDQREYLTKTISEDEITKALKGIGDLKAPGLDGYGAKFFKTIWTTIKTDVIAAIKKYFEIGKIYKAFNSVVVSLIPKGQNSCEIKDYRPIVVCTTFYKIISKILTDRLGSVIPSVVNHNQAAFIPGQNIHNHIMLATGLIKDYTRKGGTPRIMMQIDLQKAYDMVNWRALECIMKEMGFPNKFIQLTMLGITTLSYSFNIMGEYIDILQAKRGIRQGDPLSPMLFVLIMEYMNRLMVKMQRNPNFNYHAKCENLNITNLTFADDVLLFCKGDEISMQLILRAFRDFSNSTGLIMNPNKCKIYFGGLDNEKKKILKELSGFREGTLPFKYLGIPLSSKKLTITHFMPLVDRIMARIHHWSSKLLSYADRIQLVKSISSAMVQ >CAK8567416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513129129:513139092:1 gene:gene-LATHSAT_LOCUS20472 transcript:rna-LATHSAT_LOCUS20472 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGDNFNLLSPQQRELVNMLLDNGQGHLFRDWPSPGVDDDEKKAFFDQLVVLDSSYPGGLVAYINNAKRLLADSKAGNNPFDGFTPSVPTGETLKFGDENFNKYEEVGVREARKAAFVLVAGGLGERLGYNGIKVALPAETTTGTCFLQHYIESILALQEASSEGEGQTQIPFVIMTSDDTHGRTLELLESNSYFGMQPTQVTLLKQEKVACLDDNDARLALDPQNRYRVQTKPHGHGDVHSLLHSSGILKVWYNAGLKWVLFFQDTNGLLFRAIPSALGVSSTKQYHVNSLAVPRKAKEAIGGITRLTHSDGRSMVINVEYNQLDPLLRASGYPDGDVNSETGYSPFPGNINQLILELGPYIEELAKTGGAIQEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLSPSSRVGFTVMETWFAYAPVKNNAEDAAKVPKGNPYHSATSGEMAIYRANSLILKKAGFQVADPVLQVINGQEVEVWPRITWKPKWGLTFSLIKSKVSGNCSISQKSTLAIKGRKIFIENLAVDGALIVDAVDDAEVNVSGSVQNKGWALELVDYKDSSEPEVLRIRGFKFNKVEQAEEKYSKPGKFHFKA >CAK8570200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28420786:28421004:1 gene:gene-LATHSAT_LOCUS22973 transcript:rna-LATHSAT_LOCUS22973 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMTTDFAKVVAKLSYASKYDLVVEVKKLELIDEDEIDLVIKFFHKQQYNFFFWEFEGSQRMSYVRKIMRM >CAK8533690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650594606:650603664:1 gene:gene-LATHSAT_LOCUS3294 transcript:rna-LATHSAT_LOCUS3294 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKSFHDKFHNYGQRETYPNLLIKGLPFIVTLLIITILSFFFLYSPNPLSIIPNQDLEIIQKQSQNDEYHHAKTFVPNQEHDTIEEHQHVSPSKLHKEKKTCDIFKGHWIRGLRGSSYYTNSSCPTIPDSKNCFKHGRMDSDFLNWKWKPEKCDLPMFDPKIFLNIVRGKKMAFIGDSVARNHMDSLLCLLSQEETPIDIYKDSEDKFRTYHFPKNGFTLMILWSRFLIEGEERIVNGTGSGTFDLQMDKVDEDWAKVIPELDYAIISGGHWFFRLMFLHEAGKLLGCIYCSEKNVKNYDIDFPLRLAFKTSLKYINNCKDCRKGKLFTIMRTFAPAHFENGIWNTGGYCNRTGPINEGEIDLNRFELNLRNIQMEEFKEVKNNNRGKTRFEILDVTNAMMMRPDGHPGEHWGNKWMKGYNDCTHWCLPGAIDVWNEFFLAVLQREDDKQRRR >CAK8535329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838631418:838633308:1 gene:gene-LATHSAT_LOCUS4795 transcript:rna-LATHSAT_LOCUS4795 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLNFAISNTTTTTWNTNTPQSNNTVPSLNNFSSITLNNSSFNKTHVVRIRCIKSESISPLEPVISDQPDIGGGGDDGDNFGGGRGGDDGDGGGESEGDEEFGPLLNFEAVMKEVEARGATLPSDMEEAARITGIREMFLLRYLELQGSSGPLSFLMKHCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVDIALVGMLAPYARIGKPALSKGLLGRIQHACAALPSSVFEAERPGCKFSAMQRVATYFYKGALYGSVGFGCGIVGQGIANMIMNAKRSISKSEDDIPIPPLLQSAALWGFFLAVSSNTRYQIINGLESIVEKSSVAKKVPLVAMAFTVGVRFGNNIYGGMQFIDWAKLSGVQ >CAK8566952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:475117498:475127260:-1 gene:gene-LATHSAT_LOCUS20047 transcript:rna-LATHSAT_LOCUS20047 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVARTVVHEVLGDGIIDVDEPIVSYIVNVLADEDFDFGLDGEGAFDALGDLLVAAGCVPDFSECRSVCSTLSDRFGKHGLVKAKPTVRSLAAPFRMNEGLDDGEAPKRKPEPVDGPLLSERDKLKIERRKRKDERQREAKFQLHLAEMEAVRAGMPVACVKHESGGGHTVKDIHMDNFTISVGGHDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRHMAMHAIDGIPRNCQILHVEQEVVGDNTSALQCVLNTDIERAQLLEEEAQLIAKQRESEDSTEKGNDANGGVKGDAISQRLEQIYKRLELIDADAAESRAASILAGLSFSPEMQKKATKTFSGGWRMRIALARALFIEPDMLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIIHLQNQKLTTYKGNYDTFERTREEQIKNQQKAVEAHERSRAHMQTFIDKFRYNAKRASLVQSRIKALDRLGHVDAIINDPDYKFEFPTPDDRPGAPIISFSDASFGYPGGPILFRNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRGHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVEELWIVSEGRVAPFHGSFGEYKRILHSS >CAK8570581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63532665:63534843:1 gene:gene-LATHSAT_LOCUS23319 transcript:rna-LATHSAT_LOCUS23319 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYARPAAVVANDIEVPVPVPVA >CAK8569531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4273531:4274347:1 gene:gene-LATHSAT_LOCUS22373 transcript:rna-LATHSAT_LOCUS22373 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFYHEEEQPNHSKRCKFFAASLKEVFSNCQTFGRTRRVSSARLEDEFPISDLDEQQEVIVSAVRSRAMEKQRNKPSQLRESFSWVYSPATGELSVTEKMKPQHANEGGNEEQGEQEEFLSVKSCFSMYSSSSANGEAFYSVKTNLSRCSSLNEFDLSEYWKRSIIQEFCHCEGWPFGLCRKAVLLPPLPKSPSESWLYRKIQLSTKVT >CAK8569313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693459544:693463234:1 gene:gene-LATHSAT_LOCUS22176 transcript:rna-LATHSAT_LOCUS22176-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQPLLLNSEPTQLRLPRVASVDVFRGLSVFLMIFVDYGGSIFPAISHVPWNGIHLADLVMPFFLFLVGISLALVYKRRPQRTQATWKAFVRSLQLFILGILLQGGYFHGITSLTYGVDIQTIRWFGVLQRISIGYIVAALCEIWLPTLQWKQMGFFKSYYWHWFVAAILLAIYSGLLYGIYVPDWQFDVSTATSSLPPIDTGNVYKVNCSVRGDLGPACNSAGMIDRYILGLDHLYKKPVYRNLKECNMSSTGHVSDSSPSWCHAPFDPEGILSSITAAVSCIIGLQYGHILAHLEDHRGRINHWLGFSVSLLALGWFLTILGIPPNKSLYTVSYMLLSSAASGLTFMALYVLVDVYDHRRLTSALEWMGKHALSIFVLVSSNLAVIAIQGFYWRKPENNIIRLIVSRFHQK >CAK8569312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693459517:693463234:1 gene:gene-LATHSAT_LOCUS22176 transcript:rna-LATHSAT_LOCUS22176 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRPYSYMADPQPLLLNSEPTQLRLPRVASVDVFRGLSVFLMIFVDYGGSIFPAISHVPWNGIHLADLVMPFFLFLVGISLALVYKRRPQRTQATWKAFVRSLQLFILGILLQGGYFHGITSLTYGVDIQTIRWFGVLQRISIGYIVAALCEIWLPTLQWKQMGFFKSYYWHWFVAAILLAIYSGLLYGIYVPDWQFDVSTATSSLPPIDTGNVYKVNCSVRGDLGPACNSAGMIDRYILGLDHLYKKPVYRNLKECNMSSTGHVSDSSPSWCHAPFDPEGILSSITAAVSCIIGLQYGHILAHLEDHRGRINHWLGFSVSLLALGWFLTILGIPPNKSLYTVSYMLLSSAASGLTFMALYVLVDVYDHRRLTSALEWMGKHALSIFVLVSSNLAVIAIQGFYWRKPENNIIRLIVSRFHQK >CAK8567591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527489681:527491407:-1 gene:gene-LATHSAT_LOCUS20630 transcript:rna-LATHSAT_LOCUS20630 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNIECVTSSDGMDEDEIHPHHHSEFSSTKHRNAAANINNILGPNAIAPITSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHETVCNFRPYTCPYAGSECSTVGDINFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQNQDAAVCIPNLCS >CAK8540024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536235236:536236734:-1 gene:gene-LATHSAT_LOCUS9063 transcript:rna-LATHSAT_LOCUS9063 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRVDAEYVKEIYKTRSDLRSLITNKKCAPLMLRLAWHDAGTYDAKTRTGGPNGSIRNQQELNHAANKGLKIAVELCEEVKAKHPKISYADLYQLAGVVAVEVTGGPAIHFVPGRKDSLESPEEGRLPDAKQGASHLREVFYRMGLNDGDIVALSGGHTLGKAHKDRSDFEGQWTRDPLKFDNSYFVELLNSESKDLLKLPTDKVLVEDPKFRKYVELYAKDEKAFFKDYAKSHKKLSELGFNPNCNYRSKLAKAVLGVVIASTVVVLGYLLELNKKIN >CAK8574899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14269624:14269965:-1 gene:gene-LATHSAT_LOCUS27198 transcript:rna-LATHSAT_LOCUS27198 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQSLVLFFFILLAFATMNQAIPVGWSPIKNISDKFVNNIALFAVREYDQQKGVKLEFDKLIKGELQAVGVAGTNYRLTFSAKNGSSYNNYEAVVWVQPENYSWTLTSFKRT >CAK8577910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596975060:596976904:1 gene:gene-LATHSAT_LOCUS29973 transcript:rna-LATHSAT_LOCUS29973 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIGGSSSSAAATFSSSVKFSPSPLLPPSQFPKSTFSPHRTPLNVSKCTLRSENPLPQNAIVSKPSPLEILKTSSLDRYTKEKSSIIVIGLNVHTAPVEMREKLAIPEAQWPQVIQELCALNHIEEAAVLSTCNRIEIYLVALSQHRAVREVTDWISKLSGVSIPEICKHQILLYNKDATQHLFEVAAGLDSLVLGEGQILSQVKQVVKSGQGVPGFDRKISGLFKQAISVGKRVRTETNISSGSVSVSSAAVELALMKRSVASFDDARVLVIGAGKMGKLVIKHLAAKGCRKMVVVNRTEERVNAVREELKDVDLVFRPLSEMLGCAAEADLIFTCTASESPLFSKENVEMLPLVGQGASRLFIDISIPRNVDPGVSELENAQVYNVDDLREVVDANKEDRHQKAMEARGIIQEELNKFEAWIDSLETVPTIKKFRAYVERIRASELEKCLSKMRGNVSKEQKEAMYALSMGIVNKLLHGPMQHLRCDGNDNKSLSEVLENMRALNRMYDLETEISLMEEKIKVKMERAKK >CAK8576641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499821510:499821956:-1 gene:gene-LATHSAT_LOCUS28815 transcript:rna-LATHSAT_LOCUS28815 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKLWSLIPEDVKEKAAKSKGTAPVIDVTQHGFFKVLGKGVLPSNQPIVVRAKLISKIAEKKIKEAGGAVVLTA >CAK8567780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544120272:544123812:-1 gene:gene-LATHSAT_LOCUS20803 transcript:rna-LATHSAT_LOCUS20803 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPGKCWDSSKKGSWKAIMVLAYQSLGVVYGDLSISPLYVFTSTFAEDIEHSETNEEIYGTLSFVFWTLTLIPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLIPNRQHADEALSSYKMEEPPEKDNSKVKILLEKYKTLHTALLIVVLLGTCMVIGDGVLTPAISVFSAVSGLEVSIMSKKHHQYAVIPITCFILVCLFALQHYGTHKVGFFFAPIVLTWLLCISTLGFYNIFKWNPHVYKALSPYYMFKFLKKTKISGWLSLGGILLCITGSEAMFADLGHFNYMAIQIAFTFLVYPALILAYMGQAAYLSQHHNSDLQISYYVSVPENVRWPVLILAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQVYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIVVCWNKPPILAFCFLLFFGSIELLYFSASLTKFREGAWLPILLALFLMIIMFLWHYATIKKYEYDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTTGIPANFSRFVTNLPAYHRVLVFVCVKSVPVPHVTPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVQKLSDFIQYDWYRTRANSMSIEDDGSHSNESSSYRLTVIGTTSFCSQQGYESQQSVQPGSASGFPSVQSVTDVIEMEPMDANERRVRFAVDNDHESETRSEAGVQMQEELEDLYAAQEAGIAFILGHSYVKTKQGSSLLKKLALNYGYNFLRRNCRGPDVALKVPPVSLLEVGMVYIV >CAK8530673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39247202:39248235:-1 gene:gene-LATHSAT_LOCUS519 transcript:rna-LATHSAT_LOCUS519 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >CAK8574516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1287582:1289585:1 gene:gene-LATHSAT_LOCUS26856 transcript:rna-LATHSAT_LOCUS26856 gene_biotype:protein_coding transcript_biotype:protein_coding MENKENIEAATKFLTSSLQTSKAISSALDESSSRLELLDQRFLSMQASLKLISIQNLPFSDVEHGIDSVLCSVSAVHSVFECVTQLKHSLSTDLSSDLFTYVSYTKKLEEALKLLTDNCKLASGWLKSVFEFLQNKTTVSINDSYLLNLNKSLRILQELQTIENTAHFDGGSLSTALDKLETAFHKLLIANCMPLPLVSLASHIDPQNNDANQGMEFSSSLTKKLQIIAERLNANNRLDKCQAMYVEVRGTNARRSLKTLDLSYLEFSTTEFEVAHGMESCIDKWGKHLELVVKKLLEVEYKLCTIVFEKIGSKAWMSCFAKIAIESGILSFIKFGKTVTYRKNDPFKLLNLLSMFKVLNGLRLKFNQLFRGEACEEIRIVTKDLITRVVNGVNGIFWQLPEQVKLQRPTCPPADGSVPKLVSFVTDYCDKLLSNDYNPHLNKVLEIYFSWRNELFEEGIVLNQIYSIIKEVAVNLDDWSKVYEDINLSYIFMMNNHCHFYNLRGTLVGNMMGDSWLSAHEQYKEYYAALYLRNSWGNLQDILVVSTSMSCQDLVKRISAFNLAFDEKYKKQCNWIISDEALRKSVCKHLVEGVIPIYKVYLKNYILYVESDDVMVDNKHVKYTVQSLENRIWSLFQPKVKKNHDIKQKNLISKIKEVSHKFGLTLT >CAK8534824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:772216351:772221719:-1 gene:gene-LATHSAT_LOCUS4334 transcript:rna-LATHSAT_LOCUS4334 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKNEGFLTYAYLLLYIALSSGQIFFNKWVLSSKEINFPYPLALTLLHMVFSSVVCFVLTKVLKVLKVEEGMTPEIYATSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAVGLEVMSCKMLLIMSLISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVMYYVSPCSALCLFLPWIFLERSKMDDHGTWNFPPVLLILNCLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLLSAALFADTKLTTINLFGYGIAIAGVAAYNNFKLKKEASRDPSDSPVADEPTQKQESRPLISR >CAK8568711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:634530977:634532341:-1 gene:gene-LATHSAT_LOCUS21643 transcript:rna-LATHSAT_LOCUS21643 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMKQMALFVSFFGVVSFILGVIAENKKPASGTPVAVKDGVSCKFPSDPTIALGYLSIVFLIASTVVGYISLFYPYKGKSVPQGVLFKHTTFLVFFNVALFTSGLAATLLLWPVIQEQIHRSRKVHFDADYACPTAKTGLIGGGAFLSLDSCLFWLVALMLADNVREDHFDDGKGERNAEFEGAYDARNAYDASIVI >CAK8574110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663157821:663159718:1 gene:gene-LATHSAT_LOCUS26485 transcript:rna-LATHSAT_LOCUS26485-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNTQKTEDTSTEDIDTDDKNNQCFSTVSWCNGVENEALVVVDSKDQCKTKDKSEEQKNLRRLVQNREAARKSRLRKKAYVQQLESSRLKLAQIEQELQQVHQQGVFVATGVTADHGHSVVGNSSSGALAFDMDYARWVDEHQRLINGVRSAINSQMGDNELHLLVDSVITHYDELYRLKRIGAKADVFHILSGLWITPAERCFMWLGGNRSSELLKIVRNHLDPLTDQQLMGIYNLQRSSQQAEDALSQGMEALQQSLTETLSSTTNGSGNVAGYMGQMAIAMAKLATLETFIHQADLLRQQTLQQLRRILTAHQAARALLVINDYILRIRALNSLWLACPKE >CAK8574109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663157268:663159718:1 gene:gene-LATHSAT_LOCUS26485 transcript:rna-LATHSAT_LOCUS26485-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLNTTTESSSPLYSHSSFLLRGDESNLNPTRFFDPHLSFQQHYVDLSSMVGAKSNNVAVAASNLQYGTFNTSLGSASSSGMGDNTQKTEDTSTEDIDTDDKNNQCFSTVSWCNGVENEALVVVDSKDQCKTKDKSEEQKNLRRLVQNREAARKSRLRKKAYVQQLESSRLKLAQIEQELQQVHQQGVFVATGVTADHGHSVVGNSALAFDMDYARWVDEHQRLINGVRSAINSQMGDNELHLLVDSVITHYDELYRLKRIGAKADVFHILSGLWITPAERCFMWLGGNRSSELLKIVRNHLDPLTDQQLMGIYNLQRSSQQAEDALSQGMEALQQSLTETLSSTTNGSGNVAGYMGQMAIAMAKLATLETFIHQADLLRQQTLQQLRRILTAHQAARALLVINDYILRIRALNSLWLACPKE >CAK8574108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663157268:663159718:1 gene:gene-LATHSAT_LOCUS26485 transcript:rna-LATHSAT_LOCUS26485 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLNTTTESSSPLYSHSSFLLRGDESNLNPTRFFDPHLSFQQHYVDLSSMVGAKSNNVAVAASNLQYGTFNTSLGSASSSGMGDNTQKTEDTSTEDIDTDDKNNQCFSTVSWCNGVENEALVVVDSKDQCKTKDKSEEQKNLRRLVQNREAARKSRLRKKAYVQQLESSRLKLAQIEQELQQVHQQGVFVATGVTADHGHSVVGNSSSGALAFDMDYARWVDEHQRLINGVRSAINSQMGDNELHLLVDSVITHYDELYRLKRIGAKADVFHILSGLWITPAERCFMWLGGNRSSELLKIVRNHLDPLTDQQLMGIYNLQRSSQQAEDALSQGMEALQQSLTETLSSTTNGSGNVAGYMGQMAIAMAKLATLETFIHQADLLRQQTLQQLRRILTAHQAARALLVINDYILRIRALNSLWLACPKE >CAK8538982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500900815:500904855:-1 gene:gene-LATHSAT_LOCUS8125 transcript:rna-LATHSAT_LOCUS8125 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSSSSSSSSSSQPNVTAHIQPSLPPTQNIDAPSSSRQRSMNNEVWLEPVVEALATQVAIDASHFHGRLSAASALAIIFQVCSTWREVSRSDLLWQRLTRRIWRRTYRLRDTWQLEYIYWHRTARNFTTGRHALVVPQYDPGDPHQTLICRCLTLSDTHLACGFVDGTVRLFDLISGAHIATFWSNHGHLFGPFSQSVSGIVIVNSNLATIAFARLDGDVYVAIINGSEIIPGPIAARRAISGDVVNNGVLVEFAGCSRWWIGLFAGHAGGAFQIWDAVTEQRVFVGGSLTDPETVQGWHMLTELVEPVGRVRVTEREFVVACTSSRLVCFSLRNPEVLIRDVGSTTGFVVGSLDVCHEVFVLVERNGVGTVRRVGSFERVSRFRLRGSWLRGLLGCMNLGYVITYSGGSGLLRVWDIHEPAARLCITLGVREDGEGQVHGNSMVANQTHVAIASNDSSIHLLDFSVQ >CAK8561673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:351333334:351333603:1 gene:gene-LATHSAT_LOCUS15241 transcript:rna-LATHSAT_LOCUS15241 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPILIKDLEKGKQVWKMFIRVVDLWIMKEKSGLQQFEMVIQDSQVIKSMSQLGTVSLKTGLNN >CAK8566403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419890120:419895357:-1 gene:gene-LATHSAT_LOCUS19536 transcript:rna-LATHSAT_LOCUS19536 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSLVFHWTLIRSLLAILQWWTFNVTVIIVNKWIFQKLDFKFPLSVSCVHFICSAIGAYVVIKVLKLKPLITVEPEDRWKRILPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLTSVTEMSFNMFGFCAALLGCLATSTKTILAESLLHGYKFDSINTVYYMAPYATMILVLPATLLEGNGVLEWLNTHPYPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYFNAVGCAVTLVGCTFYGYVRHLLSQQPPVPGTPRTPRTPRSKMELLPLVNDKLENKF >CAK8538399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481342235:481342567:-1 gene:gene-LATHSAT_LOCUS7608 transcript:rna-LATHSAT_LOCUS7608 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIAIIHILGLLALAVLISSEVSARVFTETSSNTKMDGGYPGNPHVLGGIVGRAAVGGIVGRAAVDGTRN >CAK8542239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492574140:492574373:1 gene:gene-LATHSAT_LOCUS11092 transcript:rna-LATHSAT_LOCUS11092 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKMFKSLDVVGKRALKSRVFEFAFPTMTSMRPPPEKIKTKGGVKKKDKKTSRI >CAK8567532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:522531775:522535727:1 gene:gene-LATHSAT_LOCUS20578 transcript:rna-LATHSAT_LOCUS20578 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDRIQNPWMASEQTPMNPTNATQRSSPPSNSSNSDNLGIAERAFSAAGAAVLSAVIVNPLDVAKTRLQAQAAGVAYSHPLSNLISRMSCFGPNMMFADLRCSPSCTRAGFHGTVSLCPPDCFRYKGTLDVMYKIIQQEGFTRLWRGTNAGLALAVPTVGIYLPCYDIFRNWFEEYTAKNAPIATPYVPLVAGSLARSLACATCYPIELAKTRMQAFKETQIGQKPPGVYKTLLGVVSNVKGTSNSPNNLQGYRALWTGMGAQLARDVPFSAICWSTLEPTRRKLISLVGGDDAGILSVLGANFSAGVVAGTLAAGATCPLDVAKTRRQIEMDHVRALKMTTRQTLIEIWRDGGLKGLFTGFGPRVGRAGPSVGIVVSFYEVVKFVLNHRNITT >CAK8563182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581236030:581236502:-1 gene:gene-LATHSAT_LOCUS16616 transcript:rna-LATHSAT_LOCUS16616 gene_biotype:protein_coding transcript_biotype:protein_coding MARTFFRLFVILLGLSHLLCSTAVPVTRTENLMQDVQVRLIPLENTPKVITEKNLHLEELNITERMDLELHDYPPSGANGRHTPRAP >CAK8566008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366986373:366989898:1 gene:gene-LATHSAT_LOCUS19177 transcript:rna-LATHSAT_LOCUS19177 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQVPDSPSSKTSTSHVLVIPYPSRGHINPMMNLSKLLVSNTPNNILVTIVVTQEWLTLIDTDPKPDNIRFETIPSVGGDSFLNIVEAVMTQMEAPLERLLDRLNRRPPTFVIYDAFLFWAVRVGNRRNIPVAAFWTSSTSELWVQFFHIFLQGKPLENGDKLIDYIPSKSWIHLADVPLLDKNNHQVLQWALQSYQWILKAQYLLLSSFYELEPQVIDALKSKLTIPIYTIGPNIPYFNLGHNSHSLNATNDVAQSYINWLNLQPSGSVLYISYGSFLSVSRSQMDEIAAALKDSGVRFLWVTRDETHRLKDMCGKMGLVVTWCDQLRVLLHPSIGGYWTHCGWNSVMEGVFAGVPFLTFPLAMDQPLISKIIVEDWKVGWRVKKDDKLDTLVTRDEIVVLLRKFMDLDFDIGSDLRKKAKEFQLLCQGAKKKGGSSEINVKAFLKNVMQSGLTHEGSNELQVQIAIENFN >CAK8542612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530527339:530532126:-1 gene:gene-LATHSAT_LOCUS11437 transcript:rna-LATHSAT_LOCUS11437 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLENEDTVRRCKDRRRLMKEAVYARHHLAAAHSDYCRSLRLTGSALSTFAAGEPLSVSDNTPAVFINHKTTTPTPTAATKTFHQPPKPQPPSSHIPSPSPSSFHRPPPPQQQPFQPSPSPTITSSKLPHILSSSTPSTNQHHHPRRRKLPPPKLPHILSDSSPSSTPRSNFSNNFTPGFFPTAHSTYSSTPSQTSSVWNWENFYPPPPPPPGSDYFDREHEHEHDQEDTTSQFSFISRNSEIPYPRQQPQQQQQKQQPQQPQIQTYQQHQQQQQQRYPNPTAHEVEGFDSERSEYDYFNRKLATVQKNPSHHHLDEQHTETEREEVECSEWGDHYSTTTSSEEDDEEGEEEDDDGVDGDVESRSEIGTRSNFGSSSAAAKGYVAAVGKSHDVASSSTGEGVMEMKMVVRHRDLKEIVDSIKENFDKAAVAGDQVSEMLEISKAQLDRSFRQLRKTVYHSNSLLSSLSSTWTSKPPLAVKYRLEPGSLDEPGGLKSLCSSLERLLAWEKKLYDEVKSREGVKIEHEKKLSALQSQEYKGDDEAKIFKTKTSINKLQSLIVVTSQAVSTTSTAIVGLRDSDLVPQLVELCHGMMYMWRSMHQYHEVQSNIVQQVRGLVNRSGGGDSTSELHRQATRDLESAVSAWHSSFCRLIKFQRDFILSLHGWFKLSLIPVDNDNVNRTEHSDADMFFDDWKLALDRVPDTVASEAIKSFINVVHVISSKQAEELKIKKRTENASKELEKKASSVRNIERKFYSSYSLVGISLPDSAPDNGQGLDARDPLAEKKLELATCQRRVEDEMMKHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFTEALDSVCTRSYAIK >CAK8561435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:210188432:210190894:-1 gene:gene-LATHSAT_LOCUS15028 transcript:rna-LATHSAT_LOCUS15028 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMLRSIHSVVSRNRSHLARRGYATEPVPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSRSEVAGYAGEEELGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLATAIAKYCPHALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKAKVPVADVNVPVIGGHAGVTILPLFSQATPSANLSADVIEALTKRTQDGGTEVVTAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSYVQSNIISELPFFASKVRIGKNGVEEILGLGSLSDFEQEGLEKLKSELKSSIEKGIKFASQ >CAK8536038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901647499:901647885:-1 gene:gene-LATHSAT_LOCUS5440 transcript:rna-LATHSAT_LOCUS5440 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYLLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMVADAEPLEILLHLPLIAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAMEKLLI >CAK8538484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484550556:484554983:-1 gene:gene-LATHSAT_LOCUS7675 transcript:rna-LATHSAT_LOCUS7675 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSPSKLFLLSFILCSLFQEHTHALKKAYIVYLGGHSHGPNPSLDDLDSATNSHYDLLASILGSHEKAKEAIMYSYNKHINGFAALLEDEEASKIEKNSNVVSVFLSKEYKLHTTRSWGFLGLEKDGGISLDSAWWKARFGEDSIIANLDSGVWPEHVSFSGIGYGPVPSKWRGNGVCEIDHFITSSNATTLCNRKLIGARIFSKNYEALYGNLNPANLTARDFIGHGTHTLSTAAGNFSPDVTIFGNGNGTAKGGSPRARVASYKVCWSKTDVAGCHEADILDAFDHAINDGVDVISTSLGGSNPYVEALFTDGISIGAFHAVAKNVVVVCSAGNDGPGPRTVTNVAPWSFTVAASTIDREFVSQISIGGKQYIKGASLSKGLPSGPSKKIYPMIHSIDARFLNATIQDARYCKPRTLDPTKVKGKILVCTRLHETTSIAQGFEAALAGAVAVFVINDEKSGSILLAEPHPLPGASMDANEDDDIDEREWFGKGGTDNNVTRRMLAYMSASTTYTGLKPAPIMAGFSSRGPSAVQPLILKPDITAPGVNILAAYSLGTSPSNLPSDPRRIPYNLQQGTSMSCPHVAGIVGLLKTLHPSWSPAAIKSAIMTTATTLDNTNQPIRDAFDKISTPFEYGSGHIQPNLAMDPGLVYDLNTIDYLNFICASTHSQNLLKFFNETSFTCPEYYNIEDLNYPSITVHNRGLNTINITRTVTNVGSPSTYVAHAQHPEELKVYVQPSSLTFAKIGEKKTFQVILEVTGVPPSGFPVFGSLRWTNGNHMVTSPIVIL >CAK8537620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:409210796:409213074:-1 gene:gene-LATHSAT_LOCUS6891 transcript:rna-LATHSAT_LOCUS6891 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFASLLCTEDISFFDENDFGGSMEVLEEPCQVEYYPPNLDETQQLDEPIGSVPPLLSDESLKALVEKECHHVPVSDYVSRLKIGDLDLEGRMEAIEWIQKVGMHFGFGPLCVYLAVNFMDRFLSAVDMLKDRMWSIQLLAVASLYLAAKIDETAVPRSLDMQMNEEKYLFDNKTILKMELMILSTLNWRMQSITPFSFIDYFLNKLIDDQAPTEDSFQQSFQLIMSTIRGLDFIQFKPSEIAAAVAVTISADGENRTVETDKAVSLLTQYIEKERVMKCIEMFQQLASSGSPSAEDSITTTNASDSVGFSIGVLDSLCLTNINATTSSSLANSSNNTNSPEAKRIKHNKTYEDA >CAK8560686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35759973:35761709:1 gene:gene-LATHSAT_LOCUS14343 transcript:rna-LATHSAT_LOCUS14343 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKVLFYLIFPVIHAAVNNCPFSLCGNFSLPIRFPFHLEGEQSPYCGYPGFNLICSNHRKTVLELPYSGEFYVRNINYLTQQIQVYDPANCLPKRLLSLNFSNSPFISTFTRDYTFLSCSSQNIGSQFIPIDCLSNSTYFVSAIPSVNLVNPLPGSCSVMKRLLVPVARQERYEENLRDDLSADLQLTWDKPDCSYCELNQLMCGFESIYNSQVVCITDYQTGRPRQGLKVFRIIALCVTGPALIFVMLMASCVCYNDRIANITRNAASRSAPAAIAPQPEIIVTTGLDETTIESYEKVVLGESRRVPGPNDGCCWICLSEYNSKETVRCIPECKHCFHADCIDEWLRINITCPVCRNSPAPSPLNVNVASSNL >CAK8576431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475438675:475439043:-1 gene:gene-LATHSAT_LOCUS28619 transcript:rna-LATHSAT_LOCUS28619 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVCKQNGNSDSDIATFIPTGFVGQLRGWWDHYLTDSQKKDILDHKKRIKSEAPIIGASGVGTTGEEDAVYTLCFSILQHFVGTNIPIGEKIQTLLQNLRCPSLTHFRWYKDTFCLEFIN >CAK8539787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525213868:525214867:1 gene:gene-LATHSAT_LOCUS8849 transcript:rna-LATHSAT_LOCUS8849 gene_biotype:protein_coding transcript_biotype:protein_coding MSKANMDQKQQKHFVLVHGACMGAWCWYKLKPQLESIGHKVTALDLAACGINTQKIEDVDTFADYSKPLLEFLASLDPNEKVILVGHSFGGMSIALAMEKFPEKIAVGIFIAAFIPDTIHQPSYVFQQYIERYPITGWLDSELPFDGNKTLLLLGSKFLSTNFFQLCSKEDLELVNNLRRTGSLFVEEFSETENFSKKGYGSVRSVYVVANEDLAIPVEFQQWMIQNAGIDVVEVINGSDHMAMLSKPQELCLSLLDIADKYA >CAK8571507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434819431:434819685:1 gene:gene-LATHSAT_LOCUS24167 transcript:rna-LATHSAT_LOCUS24167 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQDDARSAQVPKHAESARAAVHNRDEDVSASISTTQAWATITIRVGRCLESIGGPARTVPHPTETHRRPPSASLPTISSTL >CAK8570543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57666367:57668976:-1 gene:gene-LATHSAT_LOCUS23283 transcript:rna-LATHSAT_LOCUS23283 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEGAVHVIHFTDRPLEELNKVDYAVERKKSLRARYYFGIVFFIMNLVAWFFRDYGQNVLHWIHYIKACGNEGDDCFHSLGVLRVSLGCFIFFLVMFVTTVKTKKLCEGRNSWHSRWWELKAVLLLLSIALPFFIPSQFVQVYGEIARIGAGIFLLLQLVSVIHFIMWWNNYWTADDETKERCSLGLVMSTLFYVCAICGIVYMYASYASKSSCSLNIFFITWTSILLAVMMVISLNSKVNRGLLSSGIMASYIVFLCWCAIRSEPATIRCDTKNHENGNGSWITILGFLIAIFAIVLAAFSTGIDSKCFQFSKNKVENEDDIPYNYGFFHMVFSLGAMYFAMLFISWDLNNSARKWSIDVGWISTWVKVLNEWFAATIYIWMLISPVVRQNKVMDSDTTASIDA >CAK8533412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615205415:615205759:-1 gene:gene-LATHSAT_LOCUS3037 transcript:rna-LATHSAT_LOCUS3037 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNFVTPTLVNGEIEITIEESNVIEELEFWENAMILFSLEDTLSMNVAKKFKEMSWNFVSMPDLYYNEEGYFIVRFRTCEDKENVVAQGPYFIYGKPLFLRQWTTEFKIKDAF >CAK8539100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503906400:503907764:-1 gene:gene-LATHSAT_LOCUS8229 transcript:rna-LATHSAT_LOCUS8229 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSFTVLSKYLRTISSNGTFSSSSSSSSLQHQFHSSSSSPIGSPTRVQKLIASQSDPLLAKEIFDYASLQPNFRHNYSTYLILILKFGRSKHFSLLDHLLRRLKSESQPITPTLFSYLIKIYGEANLPDKALNTFYTMLQFNIKPLTKHLNRILEILVSRRNYVRPAFDLFKDAHRHGVVPDTRSYNILMRAFCFIGDISIAYTLFNKMFKRDVVPDVESYRILMQALCRKSQVNGAVDLLEDMLNKGFVPDSLTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRARDACKVIDDMRANGCLPNLVSYRTLVNGLCHLGMFDEAKEYVEEMLSKGFSPHFAVIHALVSGFCNVGRVEEACGVLTNSLEHGEAPHKDSWMIVVPQICDTEEDGVKIGGVLEEVLKIEIKGDTRIVDAGIGLEDYLIRKIRAKARQF >CAK8536819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:23552906:23559350:-1 gene:gene-LATHSAT_LOCUS6150 transcript:rna-LATHSAT_LOCUS6150 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRSTTSVYSERTPIPEPRADVHHRSASAGSSYEAHKSDAPYSRYDCDVELNSKHRDLPAVVVDKHIDVSEDEGWITIPCRELPENWDHEPDIQSLRPLDRSFLFPGEQVHILACLSASKQDTQITTPFEVAAMISKNGRGQSLEKENGSIENRNTLVSGEELSTSGEEQPEVHNRQTALLLQKFENSHFFVRISEANEPLWSKRSSVESFSSSFEANSQKGPTIKTKENTLPSIGTVIDKGNFDATVCGGTARNSVKCFALPNGDIVVLLHANVSVNFLKDPCIEVLQFEKCQERTLSPNSQVKEVCTNQDPCAELLNWILPLENVRPPTRPESHHHLTSNSGIGSTSHRSNYSAPTGSPLFAFGNFRSYSMSSLPQTTNAPSAPVKAASSKPNFDLEDWDQISSQSYFWKKTGVEELLSFRGVSLERDRFSVCCGLEGVYIPGRRWRRNLEIIQPVEIHSFAADFNSEDLLCVQIKNVAPAHAPDIVIFIDGINIIFEESTKNGAVSSFPISCVETGNDHSLPNLALRRGEEHSFIVKPETSTLKSLKAQDDRNSRLSKLPYGNKTSTLSLDHYAIMVSCRCNYTSSRLFFKQPTSWRPRASRDIMISIASQMSGKPPGAYEKTYQLPVQILTLQASNLTSEDLTLTVLAPASFTSPPSVVSLNSPTTPKSPFIGFAEFLARTNGERSIGARWKKSFNSVMKKKVEQSFDVKAQTVSLSDDVIPSSGISCTHLWLQSRVPLGCIPSKSVVTLKLELLPLTDGIITLDSLQIDVKEKGVAYMPECSMKINSTSSICKGIM >CAK8566910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471935991:471936892:-1 gene:gene-LATHSAT_LOCUS20006 transcript:rna-LATHSAT_LOCUS20006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLSMYQSKGMEERNETRGYSEEFQAMLDRLEQEDSYEDSNLMLEKKRRLGYDQVKALEKSFELDNKLEPERRMKLAEELGLQPRQVSIWFQNRRARSKTKQLERDYGVLKSNFDVLKVEYSNLQQENQKLRDLKERMLRVGRNELEKGGAESSSCGVIKEESNVDCSMINLVHYYDDSRGSHESVFQNQFMRIEEQNLFSNGELFSFFSLDQSPTL >CAK8572256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525027135:525027649:-1 gene:gene-LATHSAT_LOCUS24841 transcript:rna-LATHSAT_LOCUS24841 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLVVVLIFSQVAALNYKVIILDEVHERSEESDPVLVCVKRFLLKNNDLRVVLMSATDDISRYRDYFRDLGRGERVEVLAIPSSNQHMVFQRSVSYVDQACICTLRNLFFRQ >CAK8560716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37640943:37642842:-1 gene:gene-LATHSAT_LOCUS14371 transcript:rna-LATHSAT_LOCUS14371 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVEKFDFEKVIEEFERITKDAGNVQKETLRRILEENASAEYLQDLGLNGRTDPESFKACVPLVTYKDLEPYINRLVDDVSPILTGKPITAVSLSSGTSQGNRKFIPWNDELFTTTVQIYQTSFAYRNRDFPIQDGKALNFIFSSNATQKKGGVMLGTATANVFGNPGYKNAMEALKAPSCSPYEVIFSPDFHQSLYCHLLCGLLFREEVQSISSTFAHSIIYAFRTFEQDWEELVNDIKEGVLSSRITVPSIRDAMSKLLKPNPELANLIHKTCSGLSNWYGLFPVLFPNVKYVQGIMTGAMLPYVTKLRHYAGEVPLLTSEYGASEGWIASNVNPKVPPEFATYAILPQIAYFEFIPLAQLDGSQVELKPVGLTDVKIGEEYEIVFTNPAGLYRYKLGDVVKVMGFHNSTPEIKFMRRSNLLLTINIDKNTENDLQLSVETASKFLIEEKIELIDYTSYIDLSKEPGHYVIFWEISGEASDDALVECCNCLDESFIDPGYVTSRKVQGIDALELRIVEKGTFQKILESQVARGVPMSQFKTPRCIGPKNTTMLQILLENVVKSYVSTAYN >CAK8567678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535426127:535429255:1 gene:gene-LATHSAT_LOCUS20707 transcript:rna-LATHSAT_LOCUS20707 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIQHILLLCFLLHNFHVIICNSNNTDKDILLSFKLHLTDPNNALSSWKQDSNHCTWYGVNCSKVGERVQSLTLSGLSLSGKLPSNLSNLIYLHSLDLSKNNFHGQIPIQFSHFTLLNVIQLAFNNLSGSLPPQLGQLHNLVSLDFSVNNLTGEIPSTFGNLFSLRNLSMARNKFVGEIPIELGNLHNLSRLQLSENNFTGKFPTSIFFNLSSLVFLSLTKNNLSGELPQNFGDAFPNLTTLALATNRFEGVLPNSISNSSHLQIIDLSNNRFHGPIPLFNNLKNLTHLTLGNNYLTSTTSLNLKFFDSLRNSTQLRILMVNDNNLTGELPNPVAYLSGNLQQFCVSNNQLNGSIPKGMNKFQNLISFSFEQNYFTGELPLELGSLKKLEQLLVYQNRLSGEIPDIFGNFTNLYILAIGNNQFSGRIHASIGQCKRLNLLDLEMNKLVGVIPIEIFQLSGLTTLYLHGNSLSGSLPLEFKMQQLETMILSDNKLSGNIPKIKVNGLKTLKMARNKFSGSIPNSLGDLASLVTLDLSSNNLTGPIPVSLEKLEYMVRLNLSFNKLEGEVPMKGVFMNLSQVDLQGNNKLCGLNNQVMHKLGVTLCVAGKKNKRNFLLPIILGIIGVIVLFASMLYLLWLLISLKKKHEEEKSSLSSTPLKGLPQNISYGDIRLATNNFSATNLVGKGGFGSVYKGVFNINTYESQTTILAVKVLDLQQRKASQSFIAECEALKNIRHRNLVKVITSCSSTDYKGGDFKALIMQFMPNGNLEMNLYPEDLESGSLLTMLQRLNIAIDVASAMDYLHHDCNPPIVHCDLKPANVLLDEDMVAHVADFGLARFLSQNPSEKHNSTLELKGSIGYIAPEYGLGGKASTSGDVYSFGILLLEMFIAKKPTDEMFKKGLNMNVSEMGEKQLLNIVDQRLINHYEYSTQNFSSDSHSGGSGDISYSDGSNTYWTQKAEECLAAAMRIGLSCVAHHPKDRWTMREALSKLQGIKQFILGL >CAK8530410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19679072:19680283:-1 gene:gene-LATHSAT_LOCUS277 transcript:rna-LATHSAT_LOCUS277 gene_biotype:protein_coding transcript_biotype:protein_coding METIEQNKPKVVVIDSLQSWESYVNQASNQNSPIVVHFTASWCMPSVAMVSFFEELASDYPDFLFLSVDVDEVKEVATKNDIKAMPTFLLLKDGAASEKIVGANPEELKKRIDGFAQTTRASIA >CAK8572389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533809112:533810207:-1 gene:gene-LATHSAT_LOCUS24960 transcript:rna-LATHSAT_LOCUS24960 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPSVKGRPRFVQPGELEVNKKRLCSTTMCKSSIIPPSIKTTITLPPVQTKITPLPIETTITHLPIQTAKDVVVEDKDEDDVVGDEAEDVVVGDEAEDVVVGDVTEDVVKVAKSVYWDVNVINEEGYVSNKRLCVKDLVAKSKSDGTWIILEFDKDHCAIGPTSGLLAGYLGIIIRMFKEFPIMFESWKDIPADTKTKFYDSKIKLHFLVDDGREKEFILASAAKKWKNDRHQLLR >CAK8537036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:65136439:65136951:1 gene:gene-LATHSAT_LOCUS6354 transcript:rna-LATHSAT_LOCUS6354 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARASAPRNSWISCNMRLNAITLICILFLIGAVGKSAHIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITSTGATTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVTSFT >CAK8534368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720648148:720654853:-1 gene:gene-LATHSAT_LOCUS3918 transcript:rna-LATHSAT_LOCUS3918 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVSETVQSVKSIKFREALTQLITLGLVVTSALVIWKGLMCITGTESPVVVVLSESMEPGFQRGDILFLHMNNDPIRAGDIVVFNIDGRDIPIVHRVIEVHEGQDTQETCYLTKGDNNGMDDRVLYNHGQNWLEKKHIIGKAAGFLPYAGWATIIMSEKPIVKYILVGALGLLVLTSKK >CAK8563022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566905271:566908192:1 gene:gene-LATHSAT_LOCUS16472 transcript:rna-LATHSAT_LOCUS16472 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFSYCLCHFLLLLVTSFVVTLCDDADVTETNLLLRIKSELVDPLGAMNNWSPTTHVCHWNGITCDDVDRKHVVGLNLSGSGISGSISVELSRFVSLQTLDLSSNYLNGSIPSELGSLQSLVELQLYSNYLSGNIPKEIGNLKKLQVLRIGDNMLTGGIPLSVANLSELNVLGLGYCHLNGTIPIGIGKLKNLTSLDLQMNSLGGLIPEEIQNCEKLQNFAASNNMFEGNIPSSIGSLRSLKILNLANNTLSGLIPISLSYLSNLTYLNLLGNKLNGEIPYELNSLIQLQKLDLSRNNFSGSMPLLNSKLQNLETLVLSDNSLTGSIPSSFCFKSSKLQQLFLDRNILSGKFPSELLNCSSIQQLDLSGNSFEGEIPSSIDELQNLTDLVLNNNTFAGSLPREIGNISTLEGLFLFGNFFTGEIPVEIGKLKSLNTIYLYDNRMSGLIPRELTNCTSLREIDFFGNRFTGSIPETIGKLKNLVLLHLRQNVFSGPIPPSLGYCKSLQILALADNKLSGSIPHTFSYLSELYKITLYNNSFEGPIPNSLSSLKNLKIINFSHNKFSGSFSPLTASNSLTLLDLTNNSFSGLIPFSLADSRNLRRLRLAYNNLTGTIPSEFGQLNDLNFFDLSYNNLTGEVPAQLSNSRKIEHILLSNNRLNGEIPNWLGEFQELGELDLSCNNFSGKVPSEIGNCSNLLKLCLHHNNLSGEIPQEIGNLTSLNVFNIQSNSLTGVIPSTIQKCKKLYELRLSQNFLTGKIPFELGELDELQVILDLSKNLFSGEIPSSLGNLMKLERLNLSFNQLQGKVPTSLGKLTSLHVLNLSYNHLEGQIPSTFSGFPRSSFTNNSRLCGLPLVSCSGSTSERKMQLSNTQVAIIIVAIVFTSTVICLVMLYIMLRIWCNWRKVAISNEDGGGVGQKKEERACNDHKARNGEYWNMNSFGFIPSPDKSNSVTTTCFFNIKMEAMENANI >CAK8535888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888866212:888867426:-1 gene:gene-LATHSAT_LOCUS5307 transcript:rna-LATHSAT_LOCUS5307 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSWDDDDDSQLVARIPILWNTHSQDLEREYSMSNPSSTFLSYQMQETQKVQSGWEESLIFQSYPSLSVNINTPNNYVPDFNMVHQQNSKSTTTCSLESSLDCLFSATTNSNTDTNSVQDDGISMIFSDCKNRNLWNFGAVSSAESESTNASISARRNKDMQYPFKELDHEIVSQTSSDQGKINDNSKVYSTKRINIDQYDPYFSITTTQNSSSASSEFGFKLISEKPPKSKKPRWDDDNKKCPGSSNINFQQPNSSLSLSNSFIHEEADPEAIAQMKEMIYRAAAFRPVMNLGLEVMEKPKRKNVKISNDPQTVAARQRRERISERIRVLQKIVPGGTKMDTASMLDEAANYLKFLRSQVKALENLGNKIDTMNNSPPSSIAFSFNPSIPMQNPKDHIQYS >CAK8571988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500421364:500421765:-1 gene:gene-LATHSAT_LOCUS24597 transcript:rna-LATHSAT_LOCUS24597 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSNWLRKMLYTTFRINPNMKRTVIYEKTHEKWNVGMNRMKAYRARKTTLSIVEWSFNEQYRRLYDYAYELLRSYPNNTIKLNVQATEQQPEEYVSRPLLPSFHRMYMSLDACKRSFAVCRPIIGVDGCFLK >CAK8577297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553140111:553141016:1 gene:gene-LATHSAT_LOCUS29418 transcript:rna-LATHSAT_LOCUS29418 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRRLKPHFKEGVVAFLTYAFAQDCCRSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNREASSSQTRIGVEIGRETSSSQSHLQDHEQFNLIDDMVDDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEIDIPLFEGSSDSMLSMCVRLLAAKSNWNVLDQCLEFFAKMMLDATPVKENMPKSYYDAKRMVSKLGLKVKKIDCCIGGCMLFYDNEFGTNDGGLEECKFCQSPMYLVHNKGVDQKQKRVAVKSMFYLPIIPRL >CAK8542688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537784175:537784489:1 gene:gene-LATHSAT_LOCUS11507 transcript:rna-LATHSAT_LOCUS11507 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGACFSPIFRRKQPSQAMKLKSYGFRSIAPACFAMSVHIRAPATEKRKQRQSGWKEYLEQAKELIEEDGGPPRWFSPLECGSQLENSPLMLFLPGQSLFCC >CAK8530431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20743753:20747846:-1 gene:gene-LATHSAT_LOCUS297 transcript:rna-LATHSAT_LOCUS297 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRFIFFFLFITASTSTVTDTLTSSQILRTNQTLESLQGSFVLGFIQGTYPNIYLAIWYKNIQDTVVWVANRDNPLLNSTDSFLRITDDGNIVLLNSSSVSNQNNIVWSSNQTNANNQVLVLQLLDTGNLVLRETNANDPTKYLWQSFDYPTDTLLPTMNMGWNFDKKTEKHLTSWKVTGKDPSSGYYSFKIDFHGLPEIFLRNDDTIIYRSGPWNGERFSGVPEMQPDTDSIVFSFSSNEHGVNYSFSIENKSIFSRLIVNSNGQLQRLTWVQSSKTWTTFWYAPKDQCDDYKECGPYGVCDSNASPVCECVKGFSPKNGQAWKLRDGSDGCVRDKDLDCESDKFYRMENVKLPETSSVFVNRTMEIDECGKLCHGNCSCTGYANVYISNGGSGCVMWFDELIDIRSYPDGGQVLFVRLSASELGSTSGSHKKSNKAEIIGITISAAVIVLGLCILLCNKRKLLSHRKTDNRGSIQRSRDLLMNEVVFSSNRETSGERNMDELDLPMFDFNTIIIATNNFLEENKLGQGGFGSVYRGRLIEGQEIAVKRLSKTSGQGNEEFKNEVRLIAKLQHRNLVRLLGCCIDMDEKLLVYEYMENRSLDSILFDKARKPLLDWKKRFNVICGIARGLLYLHHDSRLRIIHRDLKASNILLDGEMNPKISDFGMARIFGHDQTEANTLRVVGTYGYMSPEYAMDGNFSVKSDVFSFGVLVLEIISGKKNRGFYYANDDMNLLKNAWGQWREGKALELIDSAIGNSYTESEVLRCIHVGLLCVQERAEDRPTMPSVLLMLGSETALMPEPRSPGFSLGRSKNPLETDSSSSKQDETWSVNQVTVTLLDAR >CAK8535482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851841558:851851982:1 gene:gene-LATHSAT_LOCUS4942 transcript:rna-LATHSAT_LOCUS4942 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSEDCSDNTQGPDSNKEQRVYFVPQRWWKDSQDAMPVDLDKKKGIVYASSPGSSYGPMKIINNIFSSDLVFNLQREEESRHILENGEVGVSGRDFALVSGDMWLQALKWHSDSKNAMKDEKGFSATDSDIGDVYPLQLRLSVQRETNSFEVRISKKDNTVELYRRACKMFSVDSEMLRIWDFSGQITLLFNDDENHVPTDCRRQSDQETLLELQVYGLSDSMRCREGKKDEMANFSGSASMKMNGTADSLNSDCMRVGSLSFSLGPGEAGSLGLTGLQNLGNTCFMNSALQCLAHTPKLVDYFLEDYGREINPDNPLGMNGEIASAFGDLLRKLWAPGASPVAPRMFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKFKPYVEAKDGDGRPDEEVADEYWHYHLARNDSVIVDVCQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSATMRTMTLTVVSNIGDGMPQLSPYTISVPKHGKFEDLTRALSISCSLGPDETFLVAEVYNNRIIRFLEDPADSLSLIRDADKLVAYRFPKDNGEAPLVVFINQRMEEQYIYGKSASNWKAFGIPVVASLCNVVNGSDLCNLYLKWFHPLQDSVEGTLENCAVSERTEVAEKEGVADPCSDPNVNGLDTPSDIEMEFYLTDDKGTVKNSKILMNEPFTVNGELNLLHVLVCWSEKQTKKYDTQLCSSLPEVCKSGFFAKKPQEPVSLYKCLEAFLQEEPLGPEDMWYCPGCKDHRQASKKLDLWRLPEILVIHLKRFQYSRFMKNKLETFVDFPVDHLDLSAYISHGNQKSYHYTLYAVSNHFGSMGGGHYTAFVHHGGDQWYDFDDSRVYPISKEKIKSSAAYVLFYRRVFEVLT >CAK8560310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13895631:13899943:-1 gene:gene-LATHSAT_LOCUS13994 transcript:rna-LATHSAT_LOCUS13994 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLILLLIKLHFGLCIQHVHEYSDTNLPHFRFSGHLSIAVPPIVSSSIALPPAKSLSGVPVSIALPPSESTARAPTKKPKHGSLDSPISHHKHHYSGKHNRNPTLGPTSTIQAPTYSNQGPSFLKPQPPFSSPKSKFIHAPVYAPAPSPASRSGHLDVPSLPPRISPIGSSFNKIKTPPPAYALVLPPPPPNKDCLSVTCSEPLTYTPPGSPCGCVWPLQVKIRVSIATYKCFPLVSKLADEIAASAMLNHTQVRIVGADAANQQLERTNIIINLVPKGVKFDDTAALLIYKKFWHREILIDDSLFGTYEVLYVHYPGLPPSPPSIPSGVSNVDDGPNQGRDNNGMMMKPLGVAIPKKKKEGSNGRMVFIIVLSSVTVFVLFLGLAWLCLLKYSSCIHQHEKASDSLMSSSSKHLRAAGPLNHGIVSGSGSRSFGSGTIAFTRSAKNFALNDLEKATNNFDSSRILGEGGFGLVYKGVLNDGRDVAVKILKRDDQRGGREFLAEVEMLGRLHHRNLVKLLGICIEKKTRCLVYELVPNGSVESHLHGVDKESDPLDWSARMKIALGAARGLAYLHEDSNPYVIHRDFKSSNILLEHDFTAKVSDFGLARTALEEGNKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVTWVRPLLTSNEGLQTIIDPNVKSNVSIDTVVKVAAIASMCVQPEVSQRPFMGEVVQALQLVCSEFEETNYIKSSNFHEDDLAITNMEGKFMEVSNERVEFSECQKSLYGYQSGEENVRLSASELLSTSGREFESFRRKSTSGPLTTEKKRPFWTNLRGLSKGSSTNDHGFSTKLWPGSH >CAK8568347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597677357:597679369:-1 gene:gene-LATHSAT_LOCUS21310 transcript:rna-LATHSAT_LOCUS21310 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQMMEETASDDNIPKTPYNTSHGDSTEHSPHIQLCNNPCCFFCMMKEQNPSLRKSKISTYFKEMPQRDDREHVLVLSGLWNIAMTQPNNPEFPSLGIFNCMAKLIIKGTNNKNWLLQNQNIYIPYYAAHIIGSYTMHKEEFAQIAIQSGVIPPLVDLLKGEISWVEQRVAVRALGHLASYKSTFVLVSEYESELVKSSMNLASSCLEKIYVEFVSVKRRVEYHRNLLTRGLGDLEMENRKAEEWASQLQCWCIHLLNCFASKDSKSLNLICRKVFLNDLCDMWGGLVNNTSPGGFGLIRILCYNKFGRKKIAESPKVLKSLCNLSRSCDDWQYLGIYCLLLLLKDQNTRYKVVDIENVDVVSCLVDLVELRRLGDKSNVGETITKVLMQLVEHNNNATNLISLNSKVDRRNKERVMSEVELKEKMVAAKLIKKKGNKMVSLGKVEEALLKYCEALEVCPLRYRNERMVIYSNKAQCSLLLKDPDSAISDSTRALCLCNPANSHGKSLWRRSQAYDMKGMAKESVMDCIMFMNGFIKSNENKRVKVPYHVARMFCKQMDATWLFRNPCPKSKSKVIQKSENESNYGEQPCDDDKKNFMPGLCSIMEEPFDGKGGRRRKMERAKRRLKKGVAVARLM >CAK8541601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:294114562:294114912:-1 gene:gene-LATHSAT_LOCUS10509 transcript:rna-LATHSAT_LOCUS10509 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFLSEEGIDVRKMMEHKRSICSVDESNYNSMASKKQKPDLLITTKDRKEKIGERIVALQQLISPYGKTDTSSVLKEAMEYIGFLHKQVKLLSAPYLETTPAIQTT >CAK8574287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674041997:674042981:1 gene:gene-LATHSAT_LOCUS26646 transcript:rna-LATHSAT_LOCUS26646 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSLLLQKQLKDLCKAPLDGFSAGLVDENNVFEWNVTIIGPPDSLYDGGFFNAIMSFPPDYPQNPPRMKFTSEIWHPNVYSDGTVCISILHPPGDDPAGYEDSGERWLPVHTVESIVLSIISMLSSPNIESPANIDAAIDWRDNRSEFKKKVARCVRKSQEM >CAK8573833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641173712:641173884:1 gene:gene-LATHSAT_LOCUS26236 transcript:rna-LATHSAT_LOCUS26236 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVGMK >CAK8532200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:219489901:219493370:-1 gene:gene-LATHSAT_LOCUS1931 transcript:rna-LATHSAT_LOCUS1931 gene_biotype:protein_coding transcript_biotype:protein_coding MILIDGVPFSTHSSSTSQGLDILLSLLENPILVSTSTSFKENPERKFSVSEEFSPERSKLVYLFQKEYATVDPAFVHYVGTDEATTCVGVVIRNPKNGMTSVSHMDSPNIVETGLSQMLLLLVDDSLETEFDVHLIGGFEEVSPQRANGGIVSESDADLDGYSLPLCSKIVHTLWSRAEKFHIRTTCVLGHNTKKDSDGNTCPIFNGFVVETATGRVIPSCFDRSSRIPDEIVRRIRVSASYEDTSWDGKLLETYDTVTDCFKIAPCCWTRRQYHIALSLHHYSDSEILPICSTSPTAEAPDFVDNLRRQWNYMIEHPHWTETFPKKQPRVFTRSADGKWKRC >CAK8576087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:403444732:403445808:-1 gene:gene-LATHSAT_LOCUS28302 transcript:rna-LATHSAT_LOCUS28302 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTQPETASFWCYSCTRFVNLSDHSIIVCPHCESGFVEEIQAGESPHHRLSPFPDDAVSTRRQGFRRRRRDAGSRSPFNPVIVLRGAGEDGADQEGGSAFELFYDDGDGSGLRPLPPTVSEFLLGSGFDRLLEQFSQIEMNGFGRPENPPASKAAIESMPTVEICEEQVRCEMHCAVCKEEFELGVEARELPCKHIYHSDCILPWLSVRNSCPVCRHELPSDLNNPLESRVSGQIDEEAIGLTIWRLPGGGFAVGRFSGGRSAGESHFPVVYTEMDGGLNANGAPRRISRTVRSHRVRESRGIGRAFRNFFSFFGRIGSRSNSGSENVSVSRSRSLVNSMFNRNSRRRRRTLELED >CAK8564632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3505102:3506573:-1 gene:gene-LATHSAT_LOCUS17917 transcript:rna-LATHSAT_LOCUS17917 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFAFFNRSNTIHASSSEESQKPFNEFLDRSGSLSRFYGSVESMKSSIRGRMVKKLCNMFESSPTKESHKSELRSASKLGSEPHGASKLGSESLAASRLGSESRSGSELSSESRSGSKLGWESGMLFRLEGGEERIVVYLTSLRGIRRTFEDCNAVKMILKGFRVWVDERDVSMDHAYRKELQCVMGEENVSLPQVFIRGKYIGGADVIKHLFESGDLKRMLDGFPKMKPGFVCESCGDARFVPCDNCSGSRKIFDEDEGLLKRCLECNENGLIRCPCCSCY >CAK8566475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426944876:426945062:-1 gene:gene-LATHSAT_LOCUS19603 transcript:rna-LATHSAT_LOCUS19603 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDVSEGIVTFRAASNCWNKSHD >CAK8576827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517162931:517165871:1 gene:gene-LATHSAT_LOCUS28990 transcript:rna-LATHSAT_LOCUS28990 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILANLIVMGGGILARAVVQAYRQALTNASKNGVAQETIQNTIRKASTKITEQEARQILGVTEETPWEDIIKKYEKMFENNAKNGSFYLQSKVYRAKECLESIKESNKGDGNPS >CAK8534706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757072770:757073824:1 gene:gene-LATHSAT_LOCUS4226 transcript:rna-LATHSAT_LOCUS4226 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSTEYNYNNSYPSQTLQTIENNSETITFQHHEPEQQLFELSQQNYDFSSSFLDETLFFPSPYFCSNETYPCEDQLIIDSTFSCDQNDGFVSMNEIFPNDENFNNYDVPCAKRQKLGYEETNQQQQQQQHFANYFGDEFIANPFTTSFEAEPFSGSKMVNVVQCENVQCEKKVSERSISTQSVAARERRRKITEKTQELGKLVPGGPKMNTAEMLNAAANYVRFLQAQVGMLQVMETFSKEEKEPPPSEDLHKLVVSPFVQEKLYSEEKCFIPKEIVTTLANNVDVRSKPSILQGLKQLVGREIDHENDKKLKQE >CAK8577493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568571464:568574651:1 gene:gene-LATHSAT_LOCUS29597 transcript:rna-LATHSAT_LOCUS29597-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSNQRGSRTETKTHEGLPVSVSPGGGAAVAKKKWSSLLPLCVALVVIAEIAFLGKLDMAKNAAMVADLFYRSRVVESDDLGLEMVVGERNLESKNESCEEWLERVDAVTYKRDFVKEPVFVSGAEQEWRSCAVGCKFGLSGNQRPDATFGLPLQAGMASVLRSMESAHYYPENNLAMARRKGYNIVMTTSLSSDVPVGYFSWAEYNIMAPVKPKTEKALAAAFISNCGARNFRLQALEALERTNISIDSYGGCHRNRDGRVNKVETLKHYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPSPGSVLHIKELKDAGSIAKTMRYLAENPEAYNQSIRWKFDGPSDSFKALVDMAAVHSSCRLCIHLATTSREKEEKSLNLKNRPCKCTRGSETVYHIYVRERGRFEMESIYLRSSNLTLEALKSAVLAKFTSLNHVPVWKSERPEVLKGGNELKIYRIHPAGLTQRQALYTFEFDGDDDFRGHLESNPCAKFEVIFV >CAK8577494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568571464:568574651:1 gene:gene-LATHSAT_LOCUS29597 transcript:rna-LATHSAT_LOCUS29597 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSNQRGSRTETKTHEGLPVSVSPGGGAAVAKKKWSSLLPLCVALVVIAEIAFLGKLDMAKNAAMVADLFYRSRVVESDDLGLEMVVGERNLESKNESCEEWLERVDAVTYKRDFVKEPVFVSGAEQEWRSCAVGCKFGLSGNQRPDATFGLPLQAGMASVLRSMESAHYYPENNLAMARRKGYNIVMTTSLSSDVPVGYFSWAEYNIMAPVKPKTEKALAAAFISNCGARNFRLQALEALERTNISIDSYGGCHRNRDGRAVNKVETLKHYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPSPGSVLHIKELKDAGSIAKTMRYLAENPEAYNQSIRWKFDGPSDSFKALVDMAAVHSSCRLCIHLATTSREKEEKSLNLKNRPCKCTRGSETVYHIYVRERGRFEMESIYLRSSNLTLEALKSAVLAKFTSLNHVPVWKSERPEVLKGGNELKIYRIHPAGLTQRQALYTFEFDGDDDFRGHLESNPCAKFEVIFV >CAK8544224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672974001:672976698:-1 gene:gene-LATHSAT_LOCUS12923 transcript:rna-LATHSAT_LOCUS12923 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVAVPVAVEVDPNQIEVKLFNRWSFDDVQLSDVSLSDYIGVQSSKHATYVPHTAGRYSVKRFRKAQCPIVERITNSLMMHGRNNGKKIKAVKIIKHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIGECLADELINAAKGSSNSYAIKKKDEIERVAKANR >CAK8571433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:410292834:410295625:1 gene:gene-LATHSAT_LOCUS24099 transcript:rna-LATHSAT_LOCUS24099 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETHSAFDLNPNSNPYDIHPSGFRTSEYPDPTFRLSLHDDTPLSETFQLSEELHALGSACSQSNAAVCVQKVYRGYRTRRRLADSAVVAEELWWQAIDFWRLNRSTISFFNLPETAASRWSRVTLNASKVGKGLSLDAKAQKLAFQHWIEAIDPRHRYGHNLHYYYEEWCKTDSGQPFFYWLDLGNGKTLDLDRCSRSKLRKQCIKYLGPQERENYEYIVCDGKIINNQSGDFLHTKKDTADAKWIFVMSTEKKLYAGKKKKGQFHHSSFLAGGATLAAGRLEAEDGVLKSISAYSGHYRPTDETLDAFLSYLRENGVNLDEVEIRKPNDDLDNYEEGKLGERSLTTGISITSEISEETENTPSQDKEDPPQPEITVGSYKRTLSGGLQSPRATEVSKTSILQRINSKKGSQSYQLGHSLLRKWSTGAGPRIGCVNDYPVELRLQALEMVNLSPKLPPSPLSCMLRGGLVSPTAPPTPNTVQIYKDESLVN >CAK8574063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656420152:656420634:-1 gene:gene-LATHSAT_LOCUS26443 transcript:rna-LATHSAT_LOCUS26443 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGNNARPKANFMLWLACHGRLATKDRLHKYGMIEDTECCFCEKNESLNHLFFECERLKSVWIEILRWAQINHTPGNWHSEMKWLIQHTKGKGVRVAVIKMAISETIYEIWQARNNSIFGEKPEITIIGRKVIETLVYRGWNTKKLRKYIVILMLEGDK >CAK8574064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656420152:656420595:-1 gene:gene-LATHSAT_LOCUS26443 transcript:rna-LATHSAT_LOCUS26443-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLACHGRLATKDRLHKYGMIEDTECCFCEKNESLNHLFFECERLKSVWIEILRWAQINHTPGNWHSEMKWLIQHTKGKGVRVAVIKMAISETIYEIWQARNNSIFGEKPEITIIGRKVIETLVYRGWNTKKLRKYIVILMLEGDK >CAK8579452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700229476:700230957:1 gene:gene-LATHSAT_LOCUS31399 transcript:rna-LATHSAT_LOCUS31399 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIQQQCAQLSNIALSDTHGENSPYFAGWKAYDENPYHDLTNSSGVIQMGLAENQVSFDLVEKYLKEHPEDYNGFRENALFQDYHGLISFRTAMTTFMEQIRGGRAKFDPERIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNSSNNFQITPQALQAAYKEAQSMNMKVSGVLITNPSNPLGITIQRAVLEQILDFVTEKNIHLISDEIYSGSVFSSSEFVSVAEILEARSYKGAERVHIVYSLSKDLGLPGFRVGTVYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKEFTEKYIKINRERLKKRYEMIVEGLKSVGIECLKGNAGLFCWMNMSPLLKESSKEGEIEVWNEILKEVRLNISPGCSCHCSEAGWFRVCFANMSEQTLEIALERIRKFMAKRTRTKKE >CAK8578502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633805526:633807784:-1 gene:gene-LATHSAT_LOCUS30507 transcript:rna-LATHSAT_LOCUS30507 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQSPSVGGNGTPISQTNNTAASAAGADDSMQNLKQINKSIEKTLGLIHQLTLTVSNFSAALQMPLLQRINGLVAELDHMVKLAENCNIEVPMEVVNLIDDGKNPDEFTRDVINNCIAKNQITKGKTDAFKNLRKHLFEELEQNFPDEVETFRESRAASAAELKRLVEAQSVLPNGDLRVKLEH >CAK8561462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:230045404:230045853:1 gene:gene-LATHSAT_LOCUS15051 transcript:rna-LATHSAT_LOCUS15051 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFAILDDEPESEIEFQHLFVSNYHLEDDDDEPVSFFVLSIQWSDYEVSNVDDDKRGKIFLHGSSDSGLQKIFMHVTAWKFDISGLKPEVLLLSMDERWIKLQKPRKSFQETVKTILITLYFLHCVKKKNLDYLLCLFGVTCVRIEI >CAK8572599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550446646:550452230:-1 gene:gene-LATHSAT_LOCUS25150 transcript:rna-LATHSAT_LOCUS25150 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTLSFAASTPPLAIIAAAKLAGISPSIDSSLPPDSAPTFLFSNGLKLRGAFVLLRYIGRTSTLPNFYGHNAFETSQIDELLEYAPVLSSGPAFENGCKYIDEYLEKRTFLVGYSLSIADLAIWAGLAGAGKRWESLRKSKKYQNLARWYNSIVTEHGTSLNEVTTTYVGKKGLGEPSATKTKDNAVTDKVRNVNGDASDNIKGGGKPLAEIDLPDAEVGKVRLRFAPEPSGYLHIGHSKAALLNKYFAERYQGQVIIRFDDTNPAKESNEFVDNLVKDIDTLGIKYETITYTSDYFPELMELAEKLISQGKAYVDDTPREQMQKERMDGIESKCRNHSIEENLKLWKEMIAGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPMPHHRIGSKYKVYPTYDFACPFVDSKEGITHALRSSEYHDRNAQYYRIQEDMGVKKVLIYEFSRLNMVYTLLSKRKLLWFVQNGRVDSWDDPRFPTVQGIVRRGLKIEALIQFIVEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIADRRVLLTLTDGPEESFVRIIPRHKKYEAAGSKATTYTKRIWLDYADAESVSAGEEVTLMDWGNAIVKEVEKDQDGNVTGLSGVLHLEGSVKTTKLKLTWLPEIDELVSLTLMEFDYLITKKKLEEGEDFVDVLNPCTKKETLAYGDANMRNLQPGDVLQLERKGYFRCDVPFIRPSKPIVLFAIPDGRQQASLK >CAK8561370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:172536490:172536871:-1 gene:gene-LATHSAT_LOCUS14970 transcript:rna-LATHSAT_LOCUS14970 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCALGYRTFFDHFEEDDFLWRPYLKLEDEDPTESDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPGQPEMCDLLPVEPTNAYVDRVEIN >CAK8536117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908962303:908962853:-1 gene:gene-LATHSAT_LOCUS5516 transcript:rna-LATHSAT_LOCUS5516 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKTKKGAGGRKGGGPRKKSVTRSVRAGLQFPVSRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRSENAAASTPKSPSKKAGKSPKKA >CAK8563046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569232928:569234499:-1 gene:gene-LATHSAT_LOCUS16494 transcript:rna-LATHSAT_LOCUS16494 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLHWLKEFSNNVRTGRRLSLGEYKRAVSWSKYLVSSGAAIKGNEEDDWNADLSQLFIGSKFDSGRHSRIYRGLYKNIDVAIKLVSQPEEDEELAALLERQFTSEVALLFRLRHPNIITFVGACKKPPVFCIITEYMAGGSLRKYLQQQGPHSVPPKQVLELALDIARGMQYLHSQGILHRDLKSENLLLDEDMCVKVADFGISCLESQTGSAKGFTGTYRWMAPEMIREKRHTKKVDVYSFAIVLWELITGLTPFDNMTPEQAAYAVTHKNARPPLPSDCPLAFSHLIKRCWSSNPNKRPHFVEIVSNLERYTDALEQDPEFFSTYKPGPSNVLVKCLPNCNAGNKFSS >CAK8542389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507631837:507635749:1 gene:gene-LATHSAT_LOCUS11230 transcript:rna-LATHSAT_LOCUS11230 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVICDSCGESSLSALKAAKVRWPGLFDGVDSTTEDWIVDQMHIVRPVVETGYENLLLVRLLLETRKPSIRKSSVAEGLAVEGILENWSKLKPIIMEEWGEDREALIDLFGKVRDEWLEQDFATWIGANRIYPGVSEALKFASSRVYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVEILKQLQKRPEHQGLTLHFVEDRLATLKNVIKEPELDNWNLYLGNWGYNTAQEKEEAAAIARIRVLQLSDFSKKLK >CAK8572038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503975554:503976687:-1 gene:gene-LATHSAT_LOCUS24646 transcript:rna-LATHSAT_LOCUS24646 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDFTASYGNPQQPDSLHYISGSGRLNSCQKAIMEVGDVILFYDSDKRFPAWGFGGMIPGSTVSHCFNLNGNPASSEVVGVEGIMEAYANALHTGSFLQPKDDPDSEISKVVRAIRKKLQQIKMLETKQSKGHILTARVKIFL >CAK8561303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:142742341:142742817:-1 gene:gene-LATHSAT_LOCUS14910 transcript:rna-LATHSAT_LOCUS14910 gene_biotype:protein_coding transcript_biotype:protein_coding MASIINQFLLLFLWIVIVPIFAFPIITTKENGVQQISLHPKTTVRIYNHLGGYDFDVHCKSKNDDLGNQLVHDNEYYQWDFHPNIWGSTLFYCHISWTNGEGTYDIYKQKRDFSKRCKQYCDWYVTKDGIQGFKQVLDRNETQHDSEQDTFLNWESRP >CAK8573300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601573536:601575218:1 gene:gene-LATHSAT_LOCUS25767 transcript:rna-LATHSAT_LOCUS25767 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDEVMGTFAPIVIYWIYAGFYHLLPPLDKYRLHTMRDEGEKNLVPFEAVVKGVLLQQLVQAIVALILLTTTSNASGITVQPSITKQIIQIVIAMFVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPIEGLLLDTVGGAISFLVSGMTARTAVIFFCFAVVKTVDDHCGLWLPGNIFHLFFQNNTAYHDIHHQLQGLKYNYSQPFFLIWDKLLGTYMPFDLVKRAEGGFEARPAKD >CAK8530719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44398335:44400385:1 gene:gene-LATHSAT_LOCUS563 transcript:rna-LATHSAT_LOCUS563 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQKYLLVLLTLILSSWTFLCYGIPSKYSILAFDHTKLPSEEQVVELFQQWKEDHQKFYRHPEETALRLENFKRNLKYILERNAVRNSLLGHRLGLNRFADMSNEEFKNKFISKVKKPVSKRRLAKSESCEDAPYSLDWRKKGVVTGVKDQGNCGSCWSFSSTGAIEGVNAILTGDLISLSEQELVDCDTTNDGCDGGIMDYAFEWVINNGGIDTEANYPYTGVDGTCNVTKEETKVVTIDGYTDVAQSDSALLCATAKQPISVAIDGSSLDFQLYTSGIYDGDCSSNPNDIDHAVLIVGYGSDGDEDYWIVKNSWGTSWGMEGYIYIKRNTNLSYGVCAINYMASYPTKEFTEISPSSPPSPPSPPLSPPPPPPSPTPVECGDFSYCTADETCCCLSEVFGLCLTYGCCEYENAVCCTGSQYCCPSDYPVCDVKDGLCLQHIGDLMGVAAKKKKMGKHKFPWTKFEETKKTHYYPLQLRRNAFAAMR >CAK8540012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535994498:535995644:1 gene:gene-LATHSAT_LOCUS9054 transcript:rna-LATHSAT_LOCUS9054 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLNNQHYLQLILCFLLFLVFKGVLVSAATFTFLNKCDYTVWPGIYGKPELGTTGFQLPKGTSRSFQAPTGWSGRFWARTGCQFDDSGHGTCSTADCGSGEISCNGATASPPATLAEFTLGTGSLDFYDVSLVDGYNLPMLVTTSGGTGTCAVTGCSTDINKKCPSELRTANGGACNSACGAFGKAEYCCNGAFSNPDTCKPSVYSEMFKSACPKSYSYAYDDATSTFTCSGADYTITFCPSSTPSLKSATDSDSGSNNTKETGNTGSESGSEQSELASASWMAYMATASDASTISRTSMVSLLLVVGFIFNVLVFH >CAK8571417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404042032:404044578:1 gene:gene-LATHSAT_LOCUS24084 transcript:rna-LATHSAT_LOCUS24084 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREAKTLLYACRNCDHQENADNFCVYRNEVHHSVGERTQVLQDVAADPTLPRTKAVRCVQCNHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >CAK8541514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:212433903:212443397:-1 gene:gene-LATHSAT_LOCUS10430 transcript:rna-LATHSAT_LOCUS10430 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEATRRFTVLSSHLNPTRLASDQRPFHLSGSGCSSGSDSETRLGDIKNQSLVHDDCVFCSIIRGQSLAVKLYEDDMCLCILDTNPLSHGHSLIIPKSHYPSIDTTPQSVVAAMCSKVPFISNAIMKATGCSAFNLLVNNGADAGQVIFHTHIHIIPRKAYDCLWDSESLLRRPLKLDDEKVSQLAACIQEQLLASDISQDSKNEDFCSSKS >CAK8530382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18141715:18143308:1 gene:gene-LATHSAT_LOCUS250 transcript:rna-LATHSAT_LOCUS250 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHMEISSIRGLPELGIIEDPNFLHQWNNHLNSIDTTNLSASDFGGALQKHFLSNTPNFNNKTCMEASERAAKQLKNNSWNYNSSNLQTSETQYANLLSFADSNYANQLGLLKPKSEMMVCPKIDSSTTTIANMLMNQAHLSENHQNHVFKAFQEAKDVETRPSKPSQAHDHIVAERKRREKLSQRFIALSALVPNLKKMDKASVLGEAIRYLKQMEEKVSVLEEEQKRKKTVESVVIVKKSKLSYDAEDSCSDTDNTFDETLPEIEARFCERSVLIRLHCLKSQGVIEKTVSEIEKLHLKVINSSALTFGNFTLDITIIAQMDVGFCLTLKELVRKLRSAYSSFM >CAK8560090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7154085:7157101:-1 gene:gene-LATHSAT_LOCUS13803 transcript:rna-LATHSAT_LOCUS13803 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQIICGVAENIINKLASAAFREFGRIYGVMDELERLKSTLDSIKAEILDAEDKQQQNRAIQIWIRRLKDDVLHPADDLLDEFLIQDMRHKTPKNRMTKVLHSFSLNSIAFRHRMAHVIQKVQKKFDDIVKDMAGLNLNPNNVVVEHSKNVKRETSSYVLQSDIIGREDDKKEIISLLTQSHGNQNVSVVAIVGIGGLGKTALAQLVYNDVEVKNIFEKSMWVCVSDNFNVKTIVKKMLESLTKSIVDDKLSLENMQNMLRDNLTGKKYLLVLDDIWNESFEKWAQLRTYLMCGAQDSKVVVTTRSKIVAQTMGVSVPYIVNGLNPIQSWSLLKKIVTYGDETKAVNQILEPIGKKIAEKCKGVPLAIRTLGGLLQGENEKKEWIDILQGDFWKLCEDEESIMPVLKLSYQNLSPQLRQCFAYCSLYPKDWEIEKDELIQLWMAQGYLEFSDEKQAMEDIGNQFVKIFLMKSFFQDAKLKDDGNLYSFKIHDLIHDLAMHVADTDCCYLDSETKRLVGSPMHVMLESNAISLLERLKASRVRTLILKNDISWDWNEKELSVISKFKYLRFLKVSYCSISKLCDSIGNLKHLRYLRLRHCIGLEGIFKFIRNIVCLQTLILENWEIVEFSTKYDLSLSNLRHLHIENFKAFKEKKTTRGFGKLTLVERYMDLIFFNHLSSLTNIVTILLFDCEGVQYLPTMERLPFLKSLIIIKLHELEYINYEEPLLSEEFFPSLETLVISGCEKLKGWWRIRNDNSLQSFHLSFFPCLSYLTIVDCPMLTHMPTIPNLDQKLYFSNTKMDTLEATLNMVKSNCSIEFPPLSMLKHLFLGGHKLDVKTLPNDWMQNMTSLKHLVFYDLPNQTFQEIEIWFKDRLNYLPSLQKIEIRCCSDLETFPVWICNLSSLQHVTIDYCEILASLPDGMPRLTSLQTLEIINCPLLMEECRTETSVTWPKIAHIPNIILN >CAK8539811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526036425:526043885:1 gene:gene-LATHSAT_LOCUS8872 transcript:rna-LATHSAT_LOCUS8872 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPCSGVQYAGESDCPQRGSETTFIYQEEPSCPENTAQAKLADDQLNESLHKIEGSEIAMQDDRTQNVCASLTNSNCQCNGASCCDCQGEDQKNYGGFVDFDDEMMNERYLTSENSLAVLDTIESESPNNGREGDLSFSEPQWLEGDASVALWVKWRGKWLAGIRCARADWPLSTLRAKPTHDRKKYFVIFFPHTRIYSWADTLLVRSIDEFPHPVAYKTHQVGLKLVRDLTAARRFIMQKLVVGMLTIVDQFHLNALAEIARDVKVWKAFAMEASHSNGYPDFGRMLLKIHNSILGHYIRADWLQYSSHSWIERCQSTNSAESVELLKEELFDSILWNDVNNLWDSPVQPILGSEWKTWKHDVAKWFSTSPSLSSSKDTPRQISVDSYQTNFQASRKRPKLEVRRADTHASKVELKGSDHTIALVNDPGFFKNQETLSTLTPETFKHENIRNVSITNDLSSDLTNKWNEIVVEAADSDFLHTKEIESTPTNEMVAVNSVDPGSKNRQCIAYIEAKGRQCVRWANEGDVYCCVHLSSRFLAGSANAENLGQTDTPMCDGTTVVGTKCKHRALPGSLYCKKHRPNAETIQVSSLPQSTMKRKHEENYTGSENMFCKDIVLVNGEGSLQVDPVPSIAGNSLHGENNLSEKPMHSEKGYVAMEAPICIGSPPFDNKNPCREAPKRYSLYCETHLPSWLKRARNGKSRIVSKEVFSDLLRGCSSWEQKIHLHEACELFYRLFKSILSLRNQVPKDVQFQWALTEASKEFGVGEFFTKLVQSEKERIKSMWGINDDMNVSSVIEEQQQEEQQPLLLLPPTINHSFDNENAIKCKICSTQFPDDQTLGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGDSEQLWQHVLSSHHVDFKPSKAPEQQTFSTGEGSLVKHEQGNSAALENNSENPGGPRRFICRFCGLKFDLLPDLGRHHQAAHMGPNLVSNRPAKRGVRYYAYKLKTGRLSRPRFKKGLAAAASLRMRNKATANLKRCIQATKSIGVEETTVKPHITETTNITGLTEHQCSAVAKILFSEIQTTKPRPNNLDILSVARTTCCKVNLVASLEEKFGALPEKLYLKAAKLCSERNVVAKWHHEGFACPRGCNLLNDQASQSPLESLPNGFVMPKSVNFSDPTGDEWDVDEFHCIIDSQSLQLGSRKRAIVLCDDISFGKESVPVICVVDQDLLHSLNEHGLNEQDIISSKPWESFSYVTKPIIDQSLDLDSESPQLGCACSYSTCGPETCDHVYLFGNDYADAKDIVGKPMRGRFPYDANGRIILEEGYLVYECNHMCRCNKFCPNRILQNGVRVKLEVFKTEKKGWAVRAGETILRGTFVCEYIGEVLDVQEAHHRRERYGRENCSYFYDINTRVNDMSRLIEEPVQYVVDATKYGNVSRFINHSCTPNLVSHQVLIESMDCERSHIGFYASRDIAVGEELTHGFQYELVPGEGTLCLCESSNCRDRLY >CAK8573991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652686992:652688057:1 gene:gene-LATHSAT_LOCUS26384 transcript:rna-LATHSAT_LOCUS26384 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDLEDGSEVDMSSAIDELWKRFKSLDAVGKKALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKGKKPVGYGVYRDPSYHECVDQACQPSQTSKKSQPSQASQKKSQPSQASKKVIASLHGYGEDGWPMVRPDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIDAFGPQPPHKWLTLPDIGYVIANRYNVVLVCLGFECWTFFPMITSFSPNVPFYCIGFVNTNHWVQVNMKEGFPLPPVIVDWKKFRSPAATSWMLGFAGCLQYWQQLMPILPTHYEL >CAK8539434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512726772:512729484:1 gene:gene-LATHSAT_LOCUS8530 transcript:rna-LATHSAT_LOCUS8530 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSPPMSVNPGRIRTLKEGSGKPGPVVYWMFRDQRLKDNWALIHAVHQANKSNVPVAIVFNLFNSFIGAKSRHFGFMLRGLRQLCHQLQHTLQIPFFLFQGEAEETVSKFVRECGASLLVTDMSPLRELKKCKAEICKRVGDLVTIHEVDAHNVVPLWVASEKLEYSARTLRGKINKKLPEYLVEFPIIEPPATKWVNLNTEDHTIDWDDIIAQVLRNGAEVPEVSWCEPGEIAAMEVLMGNKNGFLTKRLRSYSTDRNNPCKPTALSGLSPYLHFGNISAQRCALEARKLRASHPQAVDTFLEELIVRRELADNFCFYQPHYDSLKGAWEWARKTLLDHASDKREHVYTREQLEKAKTADPLWNASQLEMVHYGKMHGFMRMYWAKKILEWTKGPEEALEICIYLNDKYEMDGRDPSGYVGCMWSICGVHDQGWQERPIFGKIRYMNYAGCKRKFDVDKYIAYVNKLVGELRKRKAENLLSEKEKVLRCSNPED >CAK8561957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418258674:418259369:-1 gene:gene-LATHSAT_LOCUS15501 transcript:rna-LATHSAT_LOCUS15501 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVVHALGFVENTNKKDSRIKKKGGNKGYKDHQFSSKSKDFVVRIAHPGGQQDVYRHAVPVYSLMTKYPGMCIASPDVFKLPHQSVLWREDLLIPGHKYILISLKDVEKLKKRHQEKDQVRETNKVVEKEKPDTKSKSPGENKHKEQNGVVEGMKVDTKMKEYVVEGEVLENSFRSANEFYNPKEKPTRPSRKRGLRPKKTFVPPFPKSRQYQSFGWKPSLPTVKELSP >CAK8560573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26496543:26496857:1 gene:gene-LATHSAT_LOCUS14235 transcript:rna-LATHSAT_LOCUS14235 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKELCEYKRDSPASTQKDLQRWLEGKFQLKVSQGTISNTLKRSNDYLSAEIEKGRAEIKRHKPTKYPDMEKVVYEWFLQHQECVNIT >CAK8533996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681187609:681189081:1 gene:gene-LATHSAT_LOCUS3574 transcript:rna-LATHSAT_LOCUS3574 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGCEPDITTYNILINSSCSDGGIKEAEEFIERAKERRLLPNKYSYTPIMHAYYKQGDYVMASDILFKIAESETGGKSDLVSYGAFIHGIVTIGEIDVALMVQEKMIQKGVFPDAQIYNVLMSGLCKKGRFPAAKQLLSEMLDQNLQPDAHVYATLVDGFIRNDELDKATTLFEVVMSKGIDPGIVGYNVMIKGLCKFGKMSDAVSYLNKMKVAHHTPDEYTYSTVIDGYVKQHDLDSALKMFGQMMKQKCKPNVVAYTCLINGFCKKADMSRAEKVFIGMESFNLEPNVVTYTILIGGFCKAGKPENAASFFELMLIRNCVPNDTTFHYLINGLTNITDNTFLIRKNEENGKSLILDFFATMISDGWSEVIAAYNSIIVCLCKHGMVDTAQLLQNKMLRKGFLMDSVCFSAMLHGLCQTGKSKEWKDVISGDINKIEFQTSFEYSLKLDKYLYQGRLSEASLILQTLIEDSKFSDQQDKDQWVTSLQ >CAK8535510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855981812:855983574:-1 gene:gene-LATHSAT_LOCUS4965 transcript:rna-LATHSAT_LOCUS4965 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKMEHPLERRQAEAARIREKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGM >CAK8567650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533453261:533455916:1 gene:gene-LATHSAT_LOCUS20684 transcript:rna-LATHSAT_LOCUS20684-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATNNEESEIRENLEEGPVAMMDEEVNRIAPWRKQITVRGLIASFVIGVMYSVIVMKLNLTTGLVPNLNVSAALLGFVFIRIWTKILAKAKIVSIPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRRTYEQAGIDTPGNTPGSTKEPSIGWMTAFLFVTSFVGLLALVPIRKIMIIDYKLTYPSGTATAVLINGFHTPKGDVMAKKQVHGFVKFFASSFVWAFFQWFFTGGDTCGFVQFPTFGLQAWKNSFYFDFSMTYVGAGMICSHLVNLSLLLGAVVSWGIMWPLIRGLKGEWFPANIKESSMKSLNGYKVFISIALILGDGFYNFAKVLYFTATNIHANMKKKNLNHTFSGNQKQLPLDDLRRNEMFARENIPIWLACTGYIFFSVISIVVIPLMFPQVKWYFVLFAYIFAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLAALAGKSDGVVAGLVGCGLIKSIVSISSDLMHDLKTGHLTLTSPRSMLVSQAIGTAIGCIVAPITFFLFYKAFDVGNPDGIYKAPYAIIYRNMAILGVEGFSALPRHCLQLCCGFFVFAVVANLVRDLGPKKVGRWIPLPMAMAVPFLVGGYFAIDMCVGSLIVFAWHILNKSEAGLMVPAVASGLICGDGLWILPSSILALLKIRPPICMSFFPSR >CAK8567649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533453219:533455916:1 gene:gene-LATHSAT_LOCUS20684 transcript:rna-LATHSAT_LOCUS20684 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVTKLEESVNPMDATNNEESEIRENLEEGPVAMMDEEVNRIAPWRKQITVRGLIASFVIGVMYSVIVMKLNLTTGLVPNLNVSAALLGFVFIRIWTKILAKAKIVSIPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRRTYEQAGIDTPGNTPGSTKEPSIGWMTAFLFVTSFVGLLALVPIRKIMIIDYKLTYPSGTATAVLINGFHTPKGDVMAKKQVHGFVKFFASSFVWAFFQWFFTGGDTCGFVQFPTFGLQAWKNSFYFDFSMTYVGAGMICSHLVNLSLLLGAVVSWGIMWPLIRGLKGEWFPANIKESSMKSLNGYKVFISIALILGDGFYNFAKVLYFTATNIHANMKKKNLNHTFSGNQKQLPLDDLRRNEMFARENIPIWLACTGYIFFSVISIVVIPLMFPQVKWYFVLFAYIFAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLAALAGKSDGVVAGLVGCGLIKSIVSISSDLMHDLKTGHLTLTSPRSMLVSQAIGTAIGCIVAPITFFLFYKAFDVGNPDGIYKAPYAIIYRNMAILGVEGFSALPRHCLQLCCGFFVFAVVANLVRDLGPKKVGRWIPLPMAMAVPFLVGGYFAIDMCVGSLIVFAWHILNKSEAGLMVPAVASGLICGDGLWILPSSILALLKIRPPICMSFFPSR >CAK8566705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453837107:453844153:-1 gene:gene-LATHSAT_LOCUS19817 transcript:rna-LATHSAT_LOCUS19817 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTGGTKLSFEVLRRNPIVEEDSFLHHSKSDPATLPDRKKRKNRASKKKKKSLDPVDSVADSGDPNRKNELPLENGGPCNGFGIDAMRYCGNGGSVVYEEASETSFSGVTAAPEVGSSFSTTVRGSVEGFGELRQRNVNSSSEDLVASVVGDIGEIGKDDDCVKESPVETPRKETERKVLTKSETVESVDWKRIMEEDPNYVFTVDRSPVAYFLEEMYNGNSLRSTTTLGNETERERVYDTIFRLPWRCELLIDVGFFVCFDSFLSLLTIMPTRILMTIWRLLKTRQFKRLSTMELSDFGCFIIMSCGVVLLQRTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNGDVLQTSFHSAEGLASCPPENMRFWLWRFVCDQALAVAITLSTCIVAHNNALLALLVSNNFAEIKGNVFKRYSKDNVHSLVYFDSVERFHISAFILFVLAQNILEAEGPWFESFLYNIFLVYVCEMVIDIIKHSFIAKFNDIKPIAYSEFLEDLCRQTLNLQTEGVKKNLTFVPLAPACVVIRVLTPVYAANLPQNPLPWKIFWILLFSTMTYVMLTSLKVLMGMGLQKHATWYINRCRRRKHHLHAD >CAK8532243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228867817:228868265:1 gene:gene-LATHSAT_LOCUS1973 transcript:rna-LATHSAT_LOCUS1973 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNVVVTAHFLSPSLSLSFILFSFSFSLLQKWRSFPDLCTCKGSNLFKPYPGHHFPPINRLNQTDFSGLETISDLITLLTGQIILLLD >CAK8540010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535880242:535881539:1 gene:gene-LATHSAT_LOCUS9052 transcript:rna-LATHSAT_LOCUS9052 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQFSTTLIALILLHYLIGSYSTTFTIVNKCSYTVWPGILSGAGTSPFSTTGFALQPGETNSLAVPPSWSGRLWGRTLCSQDSTGKFSCKTGDCDSSTIECAGKNAIPPASLAEFTLNGAGGLDFFDVSLVDGYNLPILIEPHGQTAGGNCTATGCSVDLNAACPTELKVMSSDGGVESVACRSACEAFGDPQYCCSGAYGSPDTCKPSSYSQFFKSACPRAYSYAYDDGSSTFTCASADYTITFCPTLSTGSIKSGDEKYPIPAGDVSGVQRVDKRINNIVTIVVAFVLMVLVVDRLW >CAK8579251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687783891:687788367:1 gene:gene-LATHSAT_LOCUS31212 transcript:rna-LATHSAT_LOCUS31212 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFGRPVNSNSFQNDVANMKNSAEFSSCNEFLKTQPCKSSNQLPHIKCEDLVKDKLSGNGKNCALITSTVEGVPLQRKSSKSNRSNSSGSKRSRMSQSDDYTSPNGTEESKDSFEKLGSHNLKCTSPEKSPLPKQKGNNSKRGDKKNFKVPSSKAKFESSSMKMGTSIFSSSTGGNNFFGLYGLKHDFHDVTNLMDEPPLDELLKGTFDCPIISKDKGKKASNNNESFLSSVRKACSIIQSPKLVQSQNMEMDYSSNKKMSTSQFSSICAVENDVNEDKEQSCSTDISSSQKDSCSETDCKASPLDFPLCQPKDVLEQIALHPFREFESLLIDVSKLAISTKNSNDLRSGKQVSRRPSLPSFPWSHAFGGNFRTNSDTAKLSTSRSTCRGKWARIGLIASSSDIDRSSFTDLDSFSYDQSLVPSFGNSDSQLIQSFFASLPFRQLDSSSSVSCSKDFQANTEFGGQVDTKENDETVLAAAQTLCEIKTRSQRQSSDGILRWQRKPSHKAMKTCYLKSNEKHEDAPSAPVSMLGSNMVARKVEQITPTHSKKSRLSTVDNKNSGSHSDNVKKGGGCPWPTSKSGRSSLPSKLVRNSIVENKRTNTNASTVKQQHCMMMPPPARDLDKAYDGGKFVLMDWKRGRDKSD >CAK8578301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618647809:618653232:-1 gene:gene-LATHSAT_LOCUS30325 transcript:rna-LATHSAT_LOCUS30325 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSLRSFITILLIMFFVLLSNTSGQAHGYLLSRHKPIFNHLSASQMVETTTTNQYDSILKFLNSSSFPNRASFPQGFLFGSGSSAVQIEGGCDEGGRGLDVYLDVDKFPLKIDHYKRYKEDVQHLKKLGINSYRMSISWSRIMPDGTLKGGINQEGINFYNNLIDELLENDIEPFVGIMHFDYPLALKQKFGGFLNLSIVKYYKDYSELLFKTYGDRVKHWTTINEAEVTALFQFMFNLDKVSTDQTCKNTKICTQAYTLVHNFLIAHATASKLYSTKFKEIQKGEIGLALSSGRYVPYSSELEDVVASQRLMNFYWGWILDPVFHGDYPQIMKELVGNRLPKFTKKEKHLLKGSTDFIGLNYYTSHFARHESNKTKIMFDNYDALAVSEVFNAKGETLGYKDQYSGTNVYPEGLYNMLVYVKEKYKNPKIYITENGISSPKIQNPLKDEHRIAYIAAHINATKAAIDDGVNVQGYFLWAAFDTYEFQAGYSENWGLYHTDFNDSLKRLLDTANWYKKYLTHDLKN >CAK8578300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618647809:618653232:-1 gene:gene-LATHSAT_LOCUS30325 transcript:rna-LATHSAT_LOCUS30325-2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSLRSFITILLIMFFVLLSNTSGQAHGYLLSRHKPIFNHLSASQMVETTTTNQYDSILKFLNSSSFPNRASFPQGFLFGSGSSAVQIEGGCDEGGRGLGIWDDIVVQNKDVYLDVDKFPLKIDHYKRYKEDVQHLKKLGINSYRMSISWSRIMPDGTLKGGINQEGINFYNNLIDELLENDIEPFVGIMHFDYPLALKQKFGGFLNLSIVKYYKDYSELLFKTYGDRVKHWTTINEAEVTALFQFMFNLDKVSTDQTCKNTKICTQAYTLVHNFLIAHATASKLYSTKFKEIQKGEIGLALSSGRYVPYSSELEDVVASQRLMNFYWGWILDPVFHGDYPQIMKELVGNRLPKFTKKEKHLLKGSTDFIGLNYYTSHFARHESNKTKIMFDNYDALAVSEVFNAKGETLGYKDQYSGTNVYPEGLYNMLVYVKEKYKNPKIYITENGISSPKIQNPLKDEHRIAYIAAHINATKAAIDDGVNVQGYFLWAAFDTYEFQAGYSENWGLYHTDFNDSLKRLLDTANWYKKYLTHDLKN >CAK8562592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:513884519:513895753:-1 gene:gene-LATHSAT_LOCUS16078 transcript:rna-LATHSAT_LOCUS16078 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPPPPPTMMVGPTSYAPTNTSMISPNSSTTNIMSPATARFPFTSQQQSDPFAVTHDSPSSPSTLAKKKRGRPRKYSPDGNIALALAPNRVSSPAAATSASAGDSGNAEAPAKKHRGRPPGSGKKQLDALGAGGIGFTPHVVLVESGEDITEKVMAFTQSGPRTVCILSANGAVSSATLRQPSGGIARYEGQFEIISLSGDMHLSENSGEHSRPSSLYVSLAGADGRVLGGAVAGVLIAASTIQVIVGSFIMDLKKSSSSMVKSGPSSAPTSQMLNFGAPTTPTSPTSQGPSTESSEENDHNSNFSRGPALYNNANQPVHNNMQQQMYHHPLWAGQNHQ >CAK8579742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719966373:719968488:1 gene:gene-LATHSAT_LOCUS31663 transcript:rna-LATHSAT_LOCUS31663 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKKKTSVKSEAKDQKSFGESLMKQFQKHLTKLLVFVALLGLFVLSFHFDSFEKEQQISFQQFRNELLKPRLVDHIVVSSKGFAEIYARKFYARKFYPNQTEIDVLQGKRSGGIYVGFFYIANVDSFEKKLERAQKVLGIDPNDFVPVLYSSSNFFFSEFLGIVSSVLPLVFLAYMSGIYKIFGPQFVKFDKNAKNKVYFKDVAGCDEAKQEIMDFVHFLKNPKKYERLGAKIPKGALLVGPPGTGKTLLAKATAGESGVTFLSITGSEFMQLFVGVGVARVKSLFREARKYAPSIIFIDEIDAIGRSRGGEGQSASRANDERENTLNQMLVEMDGFGTTSGVIVLAGTNRPEVLDKALLRPGRFDRTIMVDRPDVNGRDQIFQIYLERIKLDHKPLYYSQRLASLTPGFVGADIANVCNEAALIAARTEDAHVTMNHFEAAIDRIIGGLEKKNKVISKLQRRTVAYHEAGHAVAGWFLEHTEPLLKVTIIPRGTAALGFAQYVPNENLLMTKEQLYDRTCMTLGGRAAEKVLIGTISTGAQDDLEKVTKMTYDQVAVYGFSEKVGLLSFPKKDDSYETSKPYSSKTGAIIDSEVREWVDKAYERTIKLIEEHKEKVAELAELLLEKEVLHQDDLLQVLGERPFKSSEITNYDRFKLGFHNEEKVAETTVDKA >CAK8569692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8415869:8418279:-1 gene:gene-LATHSAT_LOCUS22515 transcript:rna-LATHSAT_LOCUS22515 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVTVTSAVGPFLSRSRRRSTTALRSSKVSLEQTKLLTKLKQECATPLPLLQHVADTMSSEMRAGLSSVDGPGLPMIPTYVHTLPTGNEKGLFYALDLGGTNFRVLRVELGGKDDRVISTQFEQVSIPHDLMFATSEELFDFIALGLAKFVAKEDGKFHFPPGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTVGRDVVVCLNEAMERQGLDMRVSALVNDTVGTLAGAEYYDNDVKVAVILGTGTNACYVEQISSIPKLQGHVASSGKMIISTEWGAFSKGLPLTVFDREMDAASINPGQQIFEKTISGMYLGEIARRVLLNMAEEDDLFGSSVSQKLSTPFILGTPDLCAMHQDDSGDLQIVGSLLYDKAGVESNLRERKAVLEVCDTIVKRGGSLAGAGIVGILQKMEEDSKGDFFGKRSVVAIDGGLYENYPQYRTYLQDSVKELLGTEKSNNVVIEHSKDGSGIGGALLAASNSMYKQD >CAK8533745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656371788:656373763:-1 gene:gene-LATHSAT_LOCUS3345 transcript:rna-LATHSAT_LOCUS3345 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWYADNGERLGTYRGHNGAVWCCDVSRDSGRLITGSADQTAKLWNVQTGQQLFTFNFDSPARSVDFSVGDKLAVITTDPFMELTSAIHVKRIAKDPADQTGESLLVIKGPQGRINRAIWGPLNRTIISAGEDSVIRIWDSETGKLLKESDKESGHKKTISSLAKSADGTHFITGSLDKSAKIWDSRTLSLIKTYVTERPVNAVAMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDADYFNIKI >CAK8569843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13498710:13501436:-1 gene:gene-LATHSAT_LOCUS22652 transcript:rna-LATHSAT_LOCUS22652 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLTAGADSSAQDSQNGRTALHTAAMTNDVDLVQVILVAGVGVNIRNVHSSIPLNHSLTIVITLTSTPPSDSPSDSSMETVTECHNSENENCKLQRRRRGELVVNGYFVYTRSKRIEHHSDNEIVKRLRTEENEQVKSETDSGAAEKNAVVLWTSKRQRRPSFKLKADSQEDTSADKASAVSNTKSVVANEKLMTVKELFDTGLLDGVPVVYVGCKKEASDSGLQGVIAGGGILCSCCLCNGRRIIPPSKFEIHACKIYKRATQYICFENGKSLLELLGVCRTAPLHTLEATIQNFLCIPPEEKYFTCRSYRGCFPVSTVKRVGLICHSCMETSKSEDGSIRAVGKRVRIPRPYLFSSPSSISETSISSQTKRQQKKKTKSSKRVSMTKSSKKSASRPIMQKTSLCSMETKSSKLLVKFKIAPITSNSKCSSPQNKSQWRINKKHQRLHKLIFEEDGLPDGAEVAYYARGQKLLEGIKKKSGIICRCCNTEISPAQFEIHAGWASRRKPYAYIYTSNGVSLHELALFLSKDRKYTAKYNDDACIFCWDGGNLLLCDGCPRAFHKECASVSSTPRRGRYCPICQHMFLGEGSVALNPDAVAAGRVEGVDPIEQIAKRCIRIVKDIEAEIGGCALCRGSDFSKSGFGPRTIIICDQCEKEYHVGCLRDHKMAYLKELSDGDWLCCNDCTRIHSILGNLLVKEIKRLPESLLDVIKKKQEERYLEPLNEIDIRWRLVNGKVASPETRPLLLEALSIFNECFDPIVDVATERDLIPSMVYGRNLQTQDFGGMYCALLIVNSSVVSAGMLRIFGRDIAELPLIATRHKNRGKGYFQTLFSCIERLLAFLNVKNLVLPAAEEAESIWINKFGFSRMKLEQLTNYRKNCQQMMAFKGTVMLHKTVPQCRMSNL >CAK8572682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555382979:555384732:1 gene:gene-LATHSAT_LOCUS25215 transcript:rna-LATHSAT_LOCUS25215 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >CAK8577150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540480878:540482423:-1 gene:gene-LATHSAT_LOCUS29281 transcript:rna-LATHSAT_LOCUS29281 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSNNSIIRSGSNTSSSTRRNYYDVFVSFRGTDTRLNFTDHLFGALQRKGIFAFRDDTKLNKGESIAPELLQAIEDSRIFIVVFSKNYASSTWCLRELEHILLHCGQLLEKRVLPVFYDVDPSEVRHQKQTYGEAFAIHEQRFQQDFEKVIRWRAALAQVADLSGWDVRHKPQYAEIEKIVEEVINISTRGNYFDVFVSFRGEDTRYNFTDHLFAALQRKSISAFGDDTKVNKGESIAPEVLRAIEYSRIFIVVFSKNYASSTWCLIELECILERAQAYGKRVLPVFYDVGPSDVRRQKGPYGDALANHEQRFQQDSEMVERWRAALTQVANFSGWDVRDKPQHAEIENIVEEVHKYIRLQMHRDLN >CAK8568362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598745669:598748020:-1 gene:gene-LATHSAT_LOCUS21324 transcript:rna-LATHSAT_LOCUS21324 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIKKAKDGGLDAIETYIFWDLHEPIRRQYDFSENLDFIKFLKNVHEEGLYVVLRIGPYVCAEWNYGGFPMWLHNLPGIQLRTDNVVFKEEMKIFTTKIVTLCKEAGLFAPQGGPIILAQIENEYGDVITNYGEDGNAYIKWCAQMALAQNVGVPWIMCKQNNAPSPIINTCNGYYCHDFKPNNPKSPKMFTENWVGWFQKWGERKPHRTAEDVAFSVARFFQKGGVLQNYYMYHGGTNFGRTAGGPYIITAYDYDAPLDEYGNLNQPKWGHLKKLHAAIKLGEKVLTNGTVTAKQYGDLIYLTIYANNATGEKFCFLSNSHNSKDVEVDLQQDGKYYVPAWSVSILQDCNKEVFNTAKVDAQTNVYVKKLSTELGNRLIWTWASDPVEDTLQAIGTFNTSQLLEQKSVTIDASDYLWYMTKVFINETSAWDNATLQVNTSGHVLHAYVNGQYIGPQWGTHDNLSFTYEKMVSLKQGTNIISLLSGTVGHAHYGASFDMKETGIVGGPVKLIATDSVNTLDLSKSSWSYKVGLNGEARRFYDSKINNGFQWNANDVVIEKPLTWYKTTFKTPEGKDPVVLDFIGLTKGHAWINGQSIGRYWPTMVADKNGCDTNCDYRGNYGADKCLSGYGEPSQRFYHVPRSFLNNDTKANTLVLFEEMGGSPFNVSVQTVAINFICARIDYGKTLELKCPDGKTISEIQFASYGDPQGKCGSFQVGEWESRHSVTVVEKACSGKQSCSINVTSSIFGITKGGINGQLAVQLLCDGSNPEDNRVQQIHV >CAK8575688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:252465933:252466835:1 gene:gene-LATHSAT_LOCUS27930 transcript:rna-LATHSAT_LOCUS27930 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRRTAVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSVIRMLAYGSPADIVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDIEHLLKMGESRGFPGMLGSIDCMHWEWKNCSVAWKGQFCRGDHGKPTIMLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNVFNDILEGHAPTVQYTINGTPYNMGYYLADGIYPEWATFVKTISMP >CAK8531719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143589530:143589793:-1 gene:gene-LATHSAT_LOCUS1490 transcript:rna-LATHSAT_LOCUS1490 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKSQKSKVYFRRYQVRFKRRREGKTDYHARIRLINQDKNKYNIPKYQFVVRFTNKDIVARIASASIAGDIVPAAAYSRAATLQS >CAK8531610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130197480:130200888:-1 gene:gene-LATHSAT_LOCUS1388 transcript:rna-LATHSAT_LOCUS1388 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKEGTKSGGSFFHLFDWTSKSRKKLFASKSDLPESLKQERKSNHNGAMRHPYLVDEDENGVEEFVRGSCDHSYASSVTSDETSGTRAPNVVARLMGLDSLPPSGFSDPYSSPYFDTRSLQDETQFLRKNHEELRVLKGSSIMEAQPQKTIIRPIEKFQKEVLPPKSAKSIPVTHHKLLSPIKNPGFVSSNNAAYIMEAAARIIEPGSSQAKAKAHMASSTVSLRVKDLRDKLDSSQKGPLIGTSSVAFRTRELKERRDISQRTTSRVSEPSQRSSSVELNATKNLKGHSLNRSWNGTAETSVKSPTHAEEDTSLKNKGKPASLNRSWDGTAETSVKSPTHAEEDTSLKNKGKSASLNRSWDGTAETSVKSPTHAEEDSSLKNKGKSVSLAIQAKVNVQRREGLSSIGGRSLMNQKDHLDTKSNQPPKANAQKNLHRKSSGPNSSSNVLRQNNQKQNHSMDTNDKLVPSKPLVSNSQGRKVMTGDSSYGRHRSSNGKSTAKSKTGLKKSAVEVTDSENEILYTRTNNFPRKKRTTDKDWNDRVVDNLFIEKTPKPAKPEEVKKKDMDVVSFTFTTPLTRSSNIGFETPRQGGKNTNDLSLDQRIKRVLLDADSSRSPIGYNVIGGDALGILLEQKLRELTSGVENSSSSDVSKVKQLSNSALMSSDQVTNFNIVNLNPRLQLKKDQDMSYSDSLSSSRGSNTSFTNHPESSLKQHKSCGDEMEPLSSSCRQPSPVSVLEPSFSIESCESSMSVDVTSTEGSKMFSSIQAQEIHGFNFSRKFYPTESDAELSDSASSTSTRTMIKKHADTFSMKFGRSSSTWELEYVKEILCNVELMYIDFSLGRARKVVNSHLFNQLESRKGGFKSDDESRIERKVIFDIVSECMDLRCRSYVGGGYRMWSKGFEMVRRKDWLAKDVYKEILGLRGMRDSMVEELVYKDMSSQYGKWLDYEVDAFEFGEEVVNQIFESLVDDVVYEMLQA >CAK8530732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:45582305:45585107:-1 gene:gene-LATHSAT_LOCUS576 transcript:rna-LATHSAT_LOCUS576 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQGSPRSGKNSEFNGATRVMLGTKDYKITSVDCRWHSKTLLLHGLKNGSPKYNTIRGFYVGKKNIRTLVLMFGLLACLFLLDSIFISFFEFENLQPSRGSNNSSQLQVHVSFLRKKQSPIHMYDRLLNMASSALAEREFKQESSNLWMDPYKQASLWKPCAQSKDQTKSVKSNGYILISANGGLNQQRVAICNAVAVASVLNATLVIPKFLYSNVWNDPSQFGDIYQEEHFIDILKDDIKIVKELPPDIKSMDVEAIGSQITDADLAKEATVGDYIETVLPLLLKNRVVHFLGYANRLGFDPLPSDIQRLRCKCNFHALKFVPKIQQVGSILIKRIRKYRASQSMLDTHLVGKFIRNKEGHEAAKGSTKYLALHLRFEIDMVAYSLCEFGGGENERNELQAYRERHFPLFLERLKNSSSISPTNLRKKGRCPLTPEEAALVLAGLGFKQETYIYLAGSHIYGENSRMEAFNRLYPNIVTKENLLTDTELAPFKNFSSQLAALDFIACASADVFAMTDSGSQFSSLVSGFRTYYGSGHAPTLRPNKTRLAAIFTENGTIGWNSFEFRVKKMILEGQNVAIRSYGRSIYRYPRCPECMCKHH >CAK8530909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62311826:62312314:1 gene:gene-LATHSAT_LOCUS738 transcript:rna-LATHSAT_LOCUS738 gene_biotype:protein_coding transcript_biotype:protein_coding MACQQHQIIERQRDDAEIYKGEAICMQKTKLLLDEICLPRGLLPLDNVVEMGYNRVTGFVWLKQRGKKVHRYNDIGRTVSFDTEVTAFVEERLMRRVTGVKTKELFIWLPVTNIFIDDPSSNKISFANSSGIARSFPLSAFALQEEHTTQPNQHSISSKHFF >CAK8564240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661284160:661287961:-1 gene:gene-LATHSAT_LOCUS17563 transcript:rna-LATHSAT_LOCUS17563 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRETHEDETTKQTLQTSNSPKSVSDKQIFAFCLAFRMLNSLLVQSYFNPDEHWQGPEVAHRIAFGYGHLTWEWKQGIRSYFHPLIFLPLYKFLGLLHLDNPWFMMRAPRLLQSVFSAVGDLYLYKLSAVLFGDSVAKWALFSQLSNWFMFYCFSRTLSNSLETVLTLVSLYFWPCMRTSSGKSSYVSRKWGLVLAALACAIRPTSAVTWIYVGVIELFNARDKLKFVFLEMVPIGTLVLGLTCLLDRFMYGTWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMIFSHIPFCIAGIIYSKQWKFTGLLAWVLGFYSILGHKEFRFVLPILPIALMFSGYSLAMIEDPGAGSPPYKEKEFSKKHYKYPPKMTAAILFLLATNIPMTLYMSLVHQRGPEDVMNHLAKEAFQGKVKSILFLTPCHATPYYSMLHRNLPMQFLDCTPSEEKGVLDESDRFMKDPVSFMSKFANNWSLPSHIVLFDSEEQKLRSFLISLDYREEKRFFNAHFKVDRDLQASIVVYVRIR >CAK8561278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135119912:135120313:-1 gene:gene-LATHSAT_LOCUS14886 transcript:rna-LATHSAT_LOCUS14886 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVKPFNSNIFTRSLSSSTSSPSLQLSSNNSLNNILEENGSLHLSAAATSPHMSATILLQKAAQMGATVSNSNACMVMTDKTTVATNMMAPPPLFGVVQQQGQSFMNHYMQQQQQPQYINNNFNENVMISG >CAK8565061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32336517:32336951:-1 gene:gene-LATHSAT_LOCUS18302 transcript:rna-LATHSAT_LOCUS18302 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFIEAQNEYNKFLIKEDTYWKQRAKMHWLGDEDFNTKFFHMSTTVRKEFSKITMLQQDEGIEVTYQVGICDIERSYFEELFVVKASNQEAVLSLIQPVITEEVSNMLITSLFMEELYNALREMHPNKSLSLDGLNLAFYQKI >CAK8574457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682528837:682529721:1 gene:gene-LATHSAT_LOCUS26801 transcript:rna-LATHSAT_LOCUS26801 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNKDIKIIAGAGADDNSIALKDALISHLRSLNIQVEDLGNSSYYSISAEVGRRVSQSLSSSPEIRGLVACGTGAGVSIFANKFPGVFATTCLTPSEAVNARSINNSNVLAVSGYFTSKETAIEIIDAWLSTPFKSPCPANDNKVWPEEVEKFLDKSLVEMPEIGKGDAVNKCAICCLAKNRELKAVDLIPGGSMKIVRESPTSGFVRFKAGSVEPAHHHTFGHDLVVIEGKKSVWNVTKEERYDLSVGDYLSIPGGDVHRVKYHEDTEFFVKWDGQFDIFFEEDLDAANLA >CAK8564555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681126566:681126993:-1 gene:gene-LATHSAT_LOCUS17852 transcript:rna-LATHSAT_LOCUS17852-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKNTAEFFRRRDEWRKHPMLGNQFRHATPGLGIALVAFGVYLVAEQVYNKANSSSSSHHVKAGEH >CAK8564554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681126566:681127041:-1 gene:gene-LATHSAT_LOCUS17852 transcript:rna-LATHSAT_LOCUS17852 gene_biotype:protein_coding transcript_biotype:protein_coding MRKREKEGSIDRSGRRMGAGKNTAEFFRRRDEWRKHPMLGNQFRHATPGLGIALVAFGVYLVAEQVYNKANSSSSSHHVKAGEH >CAK8574594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3175713:3178645:1 gene:gene-LATHSAT_LOCUS26924 transcript:rna-LATHSAT_LOCUS26924 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFDDDNWVETAMADVNLVANFLITMKKTSSPPSSSSLSPPLNLRWTVRQRRSKSRTRKMKTDSTRASPTTPLSWSAATSASGADEESSRLTKPVHTSRSKVEEQCEASGNKRLRKKRSLPELLEEERLLLKERGNLKDRVASMHLNVEKQRSNNESLKKMKLDLVSQKNTETARISLVPEKNTETAIISLVSGKAVLHTPQVADANCGSSSNLVQEKKSIVITEGASSSKLVQPQTVQEKKSVVITEGASSSKLLQPQTVQEKESVITEGASSSVQREIHQEVNNRELPFLLPDLNLPPEEE >CAK8566591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439697475:439698888:1 gene:gene-LATHSAT_LOCUS19712 transcript:rna-LATHSAT_LOCUS19712 gene_biotype:protein_coding transcript_biotype:protein_coding MANSESRSRSSRWTLKGTTALVTGGTRGIGHAVVEELAEFGASVYTCSRNQEELNKCLNEWKEKGFSVHGSVCDVTSSSHREELLRKVASVFNGNLNILVNNVGTNVRKPTIEYTTEDYSNLLSINLDSAYHLSKLAHPLLKQSGNGSIVFISSVAALTSVGSGTIYAACKAAINQLSKGLACEWAKDNIRINCVAPWYTKTPLNDYLYANKEFVNEVLSRTPIKRIAETHEVSSLVAFLCLPAASYITGQIVSVDGGFTANGFQPSMIIRSD >CAK8571430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:408763987:408767388:-1 gene:gene-LATHSAT_LOCUS24096 transcript:rna-LATHSAT_LOCUS24096 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGTCEIVEAREELNTVKESGIYQSSSGYSMAEKNLKLPAVKLGYKYNLDDDINKLFESISLKSSSRDLSYLPDGTSPRMKSALKKPMIVGIPRSPRVGTSEPVTLKQALRDLCISKASEMAATKRLSKSGASPRISEVGKIQTLYNSVVADDARRSGPSNVESKRSSNEISVVLEESKSLALNKESRSHQTSKSTLSQNAHSPKIAVATIQKDNGASLMQSDLECSSSKVEGSLQSSEPYQIENQISASSISCCNTNRSKVELCEKDSSPKKLGNKASMSKNVRKGTLQTTSSSSTSVSSSRVCKLSRSPRTVKLAIKNKDSGKKKLKQGADSALSAPPCNETNNKSVPASTTPLVCERCWCEIENTNIGNSKGIVTPGSLSPADGINLKSVLYGPASTGCNSNREVAKVKKNGRLKEQLEFSQSSKSSQGDYSSSTSTSDESNVSGSSCGNRPHMSKDFRWQAIRDAQVQHRVLGLRHFNLLKKLGCGDIGTVYLSELIGQNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYAQFTSENLSCLVMEYCPGGDLHVLRQKQLGRCFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDVSPTLLKSSSDKDAAKVSGPYAKSSCIEPFCIEPSCQVSCFSPRFLPPAAKARKLKADLAAHIKSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVLQNLRFPDTPFVSFQARDLIKGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAIPPELPDLCEFELGVSDMIAESQSKGGKYLECATTGEQVEFELF >CAK8566892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470361858:470365790:-1 gene:gene-LATHSAT_LOCUS19991 transcript:rna-LATHSAT_LOCUS19991 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQYIGSSSSSSKVASLKKFDVFISFRGEDTRKTFTSHLYEALRKKVQTFIDIELEKGDEISPALNEAIEGSDASIVIFSKDYASSKWCLNELVKILECKRDRRQIVIPVFYDIEPSDVRNQTGTYRQSFEKHERDLRHNKDKLQKWKDALFEAANLSGWNSQNYGMDAYFIEVIVEDVLERLNRRHPFEVNKQLVGIEKKYEKLESLSKIGSNGVRTLVLWGMGGIGKTTLAKHLYAKQYSQFECTCFIENISEESTKCGLKSVRNKLFSTLLGLPLNAPYVETPIFKNKLAHERSLIVLDDVATLEQAENVNVVHKYLGEGSRVIITTRDMQICSQFDECEIYEFEEMNAEESLQLFCWNAFGEKCPKDGYDNLSKRAIRFCRGNPLALKVLGANFRTKKSKEAWESELGKLKRIPHRRIHDMLKLSFDDLDSTQQAIFLDIACISKYSRRYDNLDDKDYKTAFWNACEFFAESGLQVLKDKALIYFEKECFIRMHDLLKEMGKEIVTKESVKDPGRRSRLWDQNVYDVLKYNKGTEVVEVIQFTIYLMGDLYLSSDSFKSMINLRHLYINIGYQSNLHLLEGLEWLSDKLRCLEWHKFPLESLPSTFYAKFLVKLIMKHSKLKKLWDGIQRLYNLMILDLGYSKDLIEIPDLSKAPNLQRIILSGCKSLCRLHPSIFSIPKLTELKLDGCQKIESLKDNIHFKSLQILDLSYSSFLAEFSLISEEMVLLSLWGAVVHGLSSLMWCTKKLTELHLNGCTQINASNLWFILEGTPYLEVLCLSMCCNLETLPDNIQNNSMLEILDLDDCQKLKSLPKLPVSLHSFTAKNCIHLETNSIQRSILENMLHNRTHLHNNWKVPIYCMNLCFSPGAQVPREFNFHTTEASIDIPPILKYGLCGFVICIILPKRADFTYSHISFTINQLNEIIDHKEWSLYGKLISDHVLLGWIGCYSSHWMKVGSESGGYHYNLSFKFNCVRGWEGKTWIKGCGVIPVYDLKHSFVLDGRTSGVEIVEIQPGAQLSDDDANDIKVLDDCHGHSKFDIDESQDQEIGAENEDHQQQLIILPTKNTELNDKSSCSCSIGLLLNHILEESKRLFLKQR >CAK8541207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89331261:89331933:-1 gene:gene-LATHSAT_LOCUS10142 transcript:rna-LATHSAT_LOCUS10142 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLFRVISGVCRTMIIANTGGSLMLLLVFLLGGFILPKRESLIINQTLIKALILCNLLWSLIWLSLNVLTCDIPNWWVWGYWISPLSYAFNALSVNEMFAPRWSKPSSNRSTSLGVAILNIFDIYSNENWYWIGVGVLIGFTVLYNVLFTLSLMYLNPIGKK >CAK8561362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168585221:168586920:1 gene:gene-LATHSAT_LOCUS14963 transcript:rna-LATHSAT_LOCUS14963 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHPFSFSHITSQSFTFPLSFFSLLLPQNEEDGDGYDVSGDFTTICDISVVDLGKKRGLELYFKILACGIRHSP >CAK8571579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442921649:442923525:1 gene:gene-LATHSAT_LOCUS24224 transcript:rna-LATHSAT_LOCUS24224 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSFPACLFSCSTEVNNNDFADGEINDGSFRLFTYQQLKSATGNFSDKIGQGGFGSVYKGRFKDGSFVAVKVLSIEIESMRGEREFVAELATLANMKHRNLVSLKGCCVEGAKRYLVYDYMENNSLHHTFLGSEDKRERFSWEERKSISIGVARGLSYLHEELKPHIVHRDIKAKNILIDRSFTPKLADFGLAKLMRDEKSYISTRVAGTLGYLAPEYASSGQLRRKSDVYSFGVLLLQVITGLAVVDAYKDIERFIVEKAYAAYEADNLLRIVDPVLNRNYSAKEAIKFLKVGLLCVQETARLRPRMSDVVEMLTNNSVELNDVHISKPGFVADLRHIRIKQNMTTSSPQESGSSGTTFASSIWSVGNLAR >CAK8574570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2504650:2505486:-1 gene:gene-LATHSAT_LOCUS26901 transcript:rna-LATHSAT_LOCUS26901 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGLPQSDSIQIREVWNDNLEAEFALIREIVDAYPYIAMDTEFPGIVLRPVGSFKNSYDFHYQTLKDNVDMLKLIQLGLTFSDEHGNLPTFRDDDDDKFCIWQFNFREFNVNEDIFANDSIELLRQCGIDFEKNNENGIDARRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFMKLKENFFSGSLERYAGVLYGLGLENGQSTH >CAK8530723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44744988:44748730:1 gene:gene-LATHSAT_LOCUS567 transcript:rna-LATHSAT_LOCUS567 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCNTFGFWFRSYVCFISVWGISECWSLNDEGLALLEFRGRITCDPRVALENWNPNDCDPCNWFGVHCVDSKVQTLDLNGLSLEGTLAPELGKLSHLKSLVLCNNNFSGEIPKELGDLAELELLDLRENNLSGCIPPELSNMLSLKHLLLCDNNIEESDSQDQGNFRLFSKSLLDDCSSPFATLFACINRKFGHCVWHSNIQQWKKPDSLIIPIKVALLKCLDAFSMPLFKQGHEEKHLEPEILMNVPNFISFGHRRLLEHSSNLAAAPYTGEPAKDISKTPISISSGSFSAVPQAKNIQNQSQSHIPLPSPSDPLPNIVNRSSLTNIKKENVWKYIVILIGIVVIVILIIVLLCIWTKPAAKIIKPWNTGISGQLQKAFTTGVPKLNKVELETACEDFSNIVIKFEVCTIYKGTLSSGVEIAVVSSSSTQEQWTKLMQFNYRRKIANFSRINHKNFINLIGYCEEEEPFARMFVFEYAPNGSLFEYLHVKDVERLEWSERVRIIMGTAYCLTYIHGLNPPISHTKVASNLIMLTDDYAAKLAEVIFRDITRPLPTSKGESSKKPEIQRANFYTNVYDFGILLLEIISGKLPQSEEQGSLVNWASEYLNDRRSISYMIDPSLKSFKDNELDVICEVIQGCIQPEPKLRPTMQDITSRLREVVNVTPEQAAPRLSPLWWAELEILSVEAT >CAK8560128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8220300:8225368:-1 gene:gene-LATHSAT_LOCUS13836 transcript:rna-LATHSAT_LOCUS13836-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKPVFLHLLLLALLLLSWLWTNLITVESTHNSKERIKSSFFTKSLFCSLSVSAFNILLCSSDYLSWSRNGFSKEKLVTLLDLALNTVTWGVICVFLHRKLLCPMSLFFKAWSTVYLLVSCYCFTVNIVLYEKQDPLPIQYIVSDVFSVCVGLFICYLCFLKRNEGGIEDRTLQQPLFNGDNTTKGEDTVTPYSNAGIFSILTFSWITPLIATGKKKALDLDDVPQLDIRDSLFAAFPYFKDNLDAYCVDNNNRVTTIKLVKSLAFSAWKEILLTAIFALVNTFASYVGPYLIDNFFQYLNGQRNFEYEGLVLVSTFFVAKLVECMTRRQWIFRLQQVGIRTQALLVTIIYNKTLTLSSQSKQGHTSGKIINFMTVDAERVGDFCYHLHDLWLVVLQILVALLVLYKNLGIASVSGFVAIIIVMLANVPLGSIQEKFQDKLMRSRDKRMKATSEILRNMRILKLQGWEMKFLSKITDLRKTEQDSLNKFLYTIALIIFVFWSAPAFVSAVTFSTCLVIGVALESGKILSSLATFQILQEPIYNLPETISMMAQSKVSLGRIASFLCHDDIKSDIVEKLPKDSNSYDLAIEVVDGNFTWDLSSSNAVLKNINLKVFHGMKVAICGSVGSGKSSLLSCILGEVPKMSGILKVCGTKAYVSQSPWIQSSKIEDNILFGKDMKRERYEKVLEACCLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDHADIYLFDNPFSALDAHTGSHLFKECLLRFLSSKTVIYVTHQVEFLPAADLILVLKDGEITECGKYNDLLDSGTDFMELVGAHREALSVLGSSNGDTSITESDKIEEKKKQVQNGGTDKIEVQNKGSDEMLEAKGQLVEEEEREKGKVGFQVYWKYITMAYGGALVPIIVIAEILFQLFQIGSNYWMASATPISKDVGPPVGGSKLLIVYVGLAIGSSFCVLARATLVVTAGYKTATLLFNKMHLCIFHAPMSFFDATPSGRILNRASTDQSAVDINIPFQIALVACSIIHLLGIISVMSQVAWQVFIVFIPMTVISICYQKYYIPSGRELSRLLGVCKAPVIQHFSETISGTSTIRSFDQVSRFQQTNMKLMDGYSRPKFNNSGAMEWLCFHLDMLSSVTFSFCLLFLISSPQGTINSSVAGLVVTYGLNLNIIQTWMIWELCNLETKIISVERILQYTRIPSEPPLVVDENRPHASWPLYGTVDIHNLQVRYALHMPLVLRGLTCTFVGGQKTGIVGRTGSGKSTLIQALFRITEPIAGRILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDALEEYTDEQIWEALDKCQLGDEVRKKEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLEQHFSDCTVITIAHRITSVIDSDMVLLLDQGLIEEYDSPTRLLENKLSSFAQLVAEYTTRSNSSLNLQN >CAK8560127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8220300:8225407:-1 gene:gene-LATHSAT_LOCUS13836 transcript:rna-LATHSAT_LOCUS13836 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFSFHFLTFFMNVKPVFLHLLLLALLLLSWLWTNLITVESTHNSKERIKSSFFTKSLFCSLSVSAFNILLCSSDYLSWSRNGFSKEKLVTLLDLALNTVTWGVICVFLHRKLLCPMSLFFKAWSTVYLLVSCYCFTVNIVLYEKQDPLPIQYIVSDVFSVCVGLFICYLCFLKRNEGGIEDRTLQQPLFNGDNTTKGEDTVTPYSNAGIFSILTFSWITPLIATGKKKALDLDDVPQLDIRDSLFAAFPYFKDNLDAYCVDNNNRVTTIKLVKSLAFSAWKEILLTAIFALVNTFASYVGPYLIDNFFQYLNGQRNFEYEGLVLVSTFFVAKLVECMTRRQWIFRLQQVGIRTQALLVTIIYNKTLTLSSQSKQGHTSGKIINFMTVDAERVGDFCYHLHDLWLVVLQILVALLVLYKNLGIASVSGFVAIIIVMLANVPLGSIQEKFQDKLMRSRDKRMKATSEILRNMRILKLQGWEMKFLSKITDLRKTEQDSLNKFLYTIALIIFVFWSAPAFVSAVTFSTCLVIGVALESGKILSSLATFQILQEPIYNLPETISMMAQSKVSLGRIASFLCHDDIKSDIVEKLPKDSNSYDLAIEVVDGNFTWDLSSSNAVLKNINLKVFHGMKVAICGSVGSGKSSLLSCILGEVPKMSGILKVCGTKAYVSQSPWIQSSKIEDNILFGKDMKRERYEKVLEACCLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDHADIYLFDNPFSALDAHTGSHLFKECLLRFLSSKTVIYVTHQVEFLPAADLILVLKDGEITECGKYNDLLDSGTDFMELVGAHREALSVLGSSNGDTSITESDKIEEKKKQVQNGGTDKIEVQNKGSDEMLEAKGQLVEEEEREKGKVGFQVYWKYITMAYGGALVPIIVIAEILFQLFQIGSNYWMASATPISKDVGPPVGGSKLLIVYVGLAIGSSFCVLARATLVVTAGYKTATLLFNKMHLCIFHAPMSFFDATPSGRILNRASTDQSAVDINIPFQIALVACSIIHLLGIISVMSQVAWQVFIVFIPMTVISICYQKYYIPSGRELSRLLGVCKAPVIQHFSETISGTSTIRSFDQVSRFQQTNMKLMDGYSRPKFNNSGAMEWLCFHLDMLSSVTFSFCLLFLISSPQGTINSSVAGLVVTYGLNLNIIQTWMIWELCNLETKIISVERILQYTRIPSEPPLVVDENRPHASWPLYGTVDIHNLQVRYALHMPLVLRGLTCTFVGGQKTGIVGRTGSGKSTLIQALFRITEPIAGRILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDALEEYTDEQIWEALDKCQLGDEVRKKEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLEQHFSDCTVITIAHRITSVIDSDMVLLLDQGLIEEYDSPTRLLENKLSSFAQLVAEYTTRSNSSLNLQN >CAK8543638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628568681:628570587:-1 gene:gene-LATHSAT_LOCUS12384 transcript:rna-LATHSAT_LOCUS12384 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGHSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLCFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8563658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623105671:623105889:1 gene:gene-LATHSAT_LOCUS17043 transcript:rna-LATHSAT_LOCUS17043 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRHSGETVSRHFHRVLDGLIEVEGKILKQHDGTQVPPQILNNHRFYPYFNINKFFCLIQILISFLVHF >CAK8543462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609397604:609402171:-1 gene:gene-LATHSAT_LOCUS12218 transcript:rna-LATHSAT_LOCUS12218 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKETLKRKFDFTEEDESTVDGLHENSRRPSLADDITNRIDLLNSVFSTSDPDIGSAQNALVFLSDYAKDEDMVDTMVVCDVVPALVKVLLMVSNGGDFDADTCDVEKDCVSILGLIAVKPEYQQLIVDAGALPCLVELLMRPKVLGVSQALFSRLLGRVADAITNLAHENIHIKTLVRLEGGIPPLVDLLEFNDTKVQRAAAGALRTLAFQNAENKDQIVECNALPTLVLMLGSEDPAIHYEAVGVIGNLVHSSPEIKREVLLEGALQPVICLLSSPCLESQREAALLIGQFATTDSDCKVHISQRGAIQPLIKMLKSSDLQLREMSTFALGRLAQDSHNQAGIAYNGGIEPLLNLLATKNPSVQHNAAFALYALADNEDNVPLIIKADGLQKLQDGHFSVLPTQECVAKMLKRLEEKMQGRVLKQMLYLMRSGEKVVQKRVALALAHLCSADDRKTIFIDNNGLELLLDLLESTNRKQKREASAALHTMATKGMGASIVDLAPTSPTSQVYLGEQYVNNPLLSDVTFVVEGKLFYAHRVCLVSSDIFRAMFDGGYREKEAKDIEIPNIKCNVFELMIRFIYTGTVDVDLDVAPDLLKAADQYLLDGLKRICESVISEDISVENVSVMYMMSDTYNATSLKYSCILFILEQFDKLSSKPWYGHFIRCIKPDIRNFFSTLLTMPKHAD >CAK8578681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646967987:646968677:-1 gene:gene-LATHSAT_LOCUS30671 transcript:rna-LATHSAT_LOCUS30671 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALGGATGIFYLHHDCSQPVIQSSVLFDEDYEAKIVTHGYIAPVS >CAK8575888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:349551802:349555920:-1 gene:gene-LATHSAT_LOCUS28113 transcript:rna-LATHSAT_LOCUS28113 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTASAADSPRDDFVTPTLDTESPTLLQSISEHGGYAYVRMASLAAAGDVRAAEAVREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKHHYGNGEFKEALRALDMGIIMGGNLLRKDLDSAICKVSEKARILRVSDRSCQDFGGDDHRLVDQDFDVSKVLQLLPVKSLASKLVVKRSALSLEKFLKDHYLSGSPVIISDCMAHWPAKKNWNNKDYLLSVAGDRTVPVEVGKNYLCSDWKQELVTFSEFLERIRSHGCSPNGPTYLAQHPLFDQINELRKDIFIPDYCFAGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPASLSEELFPYSETMLSNSSQVDLDDVDEVKFPKVQDLEFVDCILEEGEMLYIPPKWWHYVRSLTTSLSVSFWWSEGESSSPS >CAK8535469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851008837:851010486:1 gene:gene-LATHSAT_LOCUS4930 transcript:rna-LATHSAT_LOCUS4930 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYHSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCLCLKCECRSIISDLEEVEHHLKRKGFIKNYWVWTYNGEQLPSNVYSETTNTHASSSRSHMESDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTRYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKVINRKQKRVAVKSMFYLPIIPRLKILFASMHIASQMTWHHTNKTCKGIMRHPSDGEAWKHFNRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPHEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDKKYNTVDIRMDRRYKEYDPFIMSHIVKQVYYVPYPSIQSRKRGWCVVIKTKPLSHIETKDLVEDVAYQDHEISQINDVVEVEEITNLCDTLAEGHQIDASVLLVDNNVDEEHEDIGSEDIIGSDDENNMAEEHEEFE >CAK8540887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29194900:29196093:1 gene:gene-LATHSAT_LOCUS9846 transcript:rna-LATHSAT_LOCUS9846 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLEKSAGKTIRKLLKPFEESILHYSYKIPGFPFSHIQRHSYVNVYMKWKKDSYYDSIEHIHHSIQLKPIIALKNCITQDPNECIPISAVSKRGLQLDVPMKVARFMRQYPSIFEEFTGPEYNHPWFRLTSEAAEIDRDEKRVYEESREELRSRLRKMILMTKENVLPLKIIQGMQWYLGLPDDFLQHPELNLDESFRFVEMEHGLKGLALESREKVYSVMEKNATKRGLNFDGSIEFPFFPSKALRVKSKIENWLHEFQKLPYISPYEDFSNLDPNCDIAEKRLIGVLHELLSLFIDHSAERRKLLCLKKYFGFPQKVHRAFERHPHMFYMSFRNKTCTVILKEAYCNESAIEKHPLLSVRKKYIKLMKESEVILRNRRMKNRFSDSSEKLILE >CAK8566151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385696488:385698914:1 gene:gene-LATHSAT_LOCUS19311 transcript:rna-LATHSAT_LOCUS19311 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLKFIPVSKFSSLHQRAHQCFSSVTPFLQKDADFSTLFNACVNVNLTKQFHALLVVFGKSQNVVLSTKLINLYVTFGDISLSHSTFNHINKKNIYSWNSIISAYVRFGQYNEAMNCVNELFSLSDDLRPDFYTFPPILKACVDFVDGKKVHCCVFKMGFEHDVFVAASLINLYSRFRVLDVAYKVFVDMPVKDVGSWNAMISGFCQNGDAAGALGVLNRMKGEGVKMDTVTVSSILPVCAQSEDIVDGVLIHLYVLKHGLDTDVFVSNGLINMYSKFGWLRDAERVFDHMEVRDLVSWNSIIAAYEQNNDPNTALRFFKGMQLVGIWPDLLTVVSLTSIFSQLSDQRVSRSIHGFVMRRGWIEKDVVIGNALVNMYAKLGDMNYAHTVFDLLPSKDAISWNTLITGYTQNGLASEAIDAYNMMEECINIIPNQGTWVSIIPAYSHVGALQQGMKIHGRLIKNSLYLDVFVATCLIDMYGKCGKLEDAMSLFYEIPQETSVPWNAVISSLGVHGHGEEALQLFKDMLAEGVEADHITFVSLLSACSHSGLVDEGQKCFDIMQKEYGIKPSLKHYGCMVDLLGRAGYLEKAYDLVKNMPVQPDASIWGALLSACRIHGNAELGTLASDSLLEVDSENVGYYVLLSNIYANTGKWEGVVKVRSLARDRGLRKTPGWSSIVAGSKVEVFYTGNQTHPKYAEIYKELRVLSAKMKNLGYVPDYSFVLQDVEEDEKEQILNSHSERLAIAFGITSTPPRSPIRIFKNLRVCGDCHNATKYMSRITEREIIVRDSNRFHHFKDGICSCGDYW >CAK8561852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:395481236:395482588:1 gene:gene-LATHSAT_LOCUS15406 transcript:rna-LATHSAT_LOCUS15406 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGIFSHLAHGVAGAAHGGGYPPQHGYPPQQGYPPQHGYPPQQGYPPAGYPPQQGGYPPAGYPPQQGYPPAGYPGSSGHGAPGSHGHGGHGGMGALLAGGAAAAAAAYGAQHLSHGSHGHHPQGGYAHGGYGHVGAHMPHGKFKGGKHGKFKGGKHGKFKGGKFGKHGGKHGFMKWK >CAK8572618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551548575:551561555:1 gene:gene-LATHSAT_LOCUS25162 transcript:rna-LATHSAT_LOCUS25162 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEEVMKLRGGSVLGKKTILKSDHFPGCQNKRLRPNIDGAPNYRQADSLHVHGVAIPTIDGIRNVLKHIGAQTEGKKVHVLWISLREEPVVYINGRPFVLRDVERPFSNLEYTGINRERVEQMEDRLKEDILNEAARYGNKILVTDELPDGQMVDQWESVSCTSVKTPLEVYQELQVEGYLVDYERVPVTDEKSPKEQDFDILVQKISQADVHTEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRSNSVGTISQYLTNVPDQMPNSEEAIRRGEYTVIRSLIRVLEGGVEGKRQVDKVIDKCSSMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSEMAALRARSASHSSFTDWMRARPELYSIIRRLLRRDPMGALGYSSLKPSLKKIAESTDGRPSEMGVVAASRNGEVLGSQTVLKSDHCPGCQNPRLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVLRRIGSSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMREYTGIGRERVEKMEARLKEDILREAEQYNNAIMVIHETDDGQIYDAWEQVTSDVVQTPLEVFKTLEADGFPIKYARVPITDGKAPKSSDFDTMTFNITSSTKDTAFVFNCQMGRGRTTTGTVIACLVKHRIDYGRPIKILGDDVTQEDVDGGFSSGDEVGGYDSAPNNLQIKTDEKQKHVFGINDILLLWKITAFFDNGVECREALDGIIDRCSALQNIRQAVLEYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGEGESKVSFKNWLHQKPEVQAMKWSIRLRPGRFFTVPEELRAPQESQHGDAVMEAFVRARSGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDEYSVYCMATPTISGAKEMLKYLDAIPKAKASAARKVILTDLREEAVVYIKGTPFVLRELNKPYDTLKHVGITGPVVEHMETRLKEDIIAEIRQSGGLMLFHREEYNPSTNQSNVVGYWENTLADDVKTTVEVYSALKDEGYDIVYQRIPLTRERDALASDVDAIQFCKDDSAGSYLFVSHTGFGGVAYAMAIICIRLGAEANFASPVQQPLLSPKQYTETEDNFPARASNEAALKMGDYRDILSLTRVLIHGPQSKADVDIVIDRCAGAGHLRDDILYYYKEFEKFTDGDDEERAYLMDMGIKALRRYFFLITFRSYLYCTASSNMEFAAWMDARPELGHLCNNLRIDK >CAK8572619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551548575:551561533:1 gene:gene-LATHSAT_LOCUS25162 transcript:rna-LATHSAT_LOCUS25162-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEEVMKLRGGSVLGKKTILKSDHFPGCQNKRLRPNIDGAPNYRQADSLHVHGVAIPTIDGIRNVLKHIGAQTEGKKVHVLWISLREEPVVYINGRPFVLRDVERPFSNLEYTGINRERVEQMEDRLKEDILNEAARYGNKILVTDELPDGQMVDQWESVSCTSVKTPLEVYQELQVEGYLVDYERVPVTDEKSPKEQDFDILVQKISQADVHTEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRSNSVGTISQYLTNVPDQMPNSEEAIRRGEYTVIRSLIRVLEGGVEGKRQVDKVIDKCSSMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSEMAALRARSASHSSFTDWMRARPELYSIIRRLLRRDPMGALGYSSLKPSLKKIAESTDGRPSEMGVVAASRNGEVLGSQTVLKSDHCPGCQNPRLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVLRRIGSSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMREYTGIGRERVEKMEARLKEDILREAEQYNNAIMVIHETDDGQIYDAWEQVTSDVVQTPLEVFKTLEADGFPIKYARVPITDGKAPKSSDFDTMTFNITSSTKDTAFVFNCQMGRGRTTTGTVIACLVKHRIDYGRPIKILGDDVTQEDVDGGFSSGDEVGGYDSAPNNLQIKTDEKQKHVFGINDILLLWKITAFFDNGVECREALDGIIDRCSALQNIRQAVLEYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGEGESKVSFKNWLHQKPEVQAMKWSIRLRPGRFFTVPEELRAPQESQHGDAVMEAFVRARSGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDEYSVYCMATPTISGAKEMLKYLDAIPKAKASAARKVILTDLREEAVVYIKGTPFVLRELNKPYDTLKHVGITGPVVEHMETRLKEDIIAEIRQSGGLMLFHREEYNPSTNQSNVVGYWENTLADDVKTTVEVYSALKDEGYDIVYQRIPLTRERDALASDVDAIQFCKDDSAGSYLFVSHTGFGGVAYAMAIICIRLGAEANFASPVQQPLLSPKQYTETEDNFPARASNEAALKMGDYRDILSLTRVLIHGPQSKADVDIVIDRCAGAGHLRDDILYYYKEFEKFTDGDDEERAYLMDMGIKALRRLQPFYVSPCLQAVFFSHHIQILPLLHRFVQYGICCMDGCKARTWPSM >CAK8532252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231355788:231356220:-1 gene:gene-LATHSAT_LOCUS1981 transcript:rna-LATHSAT_LOCUS1981 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTIVRSFSSGFSFTHSLPPRSSFYTTFSKKKDVQDNTNESQPFSSLRISNSNLVRAAIGVFGLGFIDAGYSGDWSRIGVITQQNEEFLRLAAFLVVPICVLFVFRVPKEPNY >CAK8534705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757055336:757058103:1 gene:gene-LATHSAT_LOCUS4225 transcript:rna-LATHSAT_LOCUS4225 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRYRFSSFAIHTPFTHSSSPRLLFLLPLQSHTTLTNIFTNHSHFCVKPIKRNNGFFSVANNIHSSSSLSKDSPFSGLEDVFVSFLFGKKKATDVAHMVWKHVVQKGDTVIDATCGNGFDTLELLNLVADDLHNGYVYALDIQKDALDKTSLLLEESLNYYQKHVKLFNICHSKMEEVVQRSSSVRLVAFNLGYLPGGDKEIITRSETTLQALEAAERIVIPGGLISVVVYVGHPGGREELETVETFAARMSVENWICCKLQMLNRPCAPIPIFLFRR >CAK8574403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680126749:680128260:-1 gene:gene-LATHSAT_LOCUS26754 transcript:rna-LATHSAT_LOCUS26754 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSKMMMNLNQMNQSQMMKMNPPQIINQSQSQSQMMKMNPPQIVNQSQSQFQGMNQQMMNQNLPPMMNRGGYKVWSQQLPLDPNMKFQNPNLKPNFAKPGRSNNNNNNWKGKKVSGDKRKDIRRMEKPNQSNSSSVGYQPPTLQELQSQNRLKARKFYPKKKFNARFAPFAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPILSPSREMLGDMAKEEWGVDGYGSMKGLIRLRDVHDDEDEDGGDGSSESDVEEHVEEHVEVERRLDHDLSRFEMIYPNYGGGDYNNVLENRVDDQDSHIAQLEEENLTLRERLFLMERELGDLRRRMLFLERQSQNQIVEDVNEEVVENVSDNESDGGSDVPVMGIENNVEMVDSMLESERSENVEVNVAVKLDNEGVSEAEGVEDVFMGESVPSEVVSNQDEIKGIEMGGGFVFDVVKEEKDEHKNELATQHCVSDESFAKEKDGEDHKECGENEMIDRSDESNTQEATDKVQIA >CAK8560369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15845985:15849340:-1 gene:gene-LATHSAT_LOCUS14047 transcript:rna-LATHSAT_LOCUS14047 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLFGKGSKLKGTVVLMQKNVLDINELTAAQSPGGIIGGTIGAIGGIAGSIIDTATSFLGRSVSLRLISGTSADASGKGKVSKEAFLEGILTSIPTLGDRQSAFRIHFEWDSNMGTPGAFYIDNFMQGGEFFLVSLTLDDVPNVGSIKFACNSWVYNSKKYKTDRIFFANKTYLPSDTPAPLVYYRQEELKTLRGDGTGERKEWDRIYDYDVYNDLGAPDQKATLARPVLGGSSTLPYPRRGRTGRKPTRKDPKSESRSDTVYLPRDESFGHTKSSDFLIYILKSASQNIIPQLRSVVTLQLNNPEFNTFEDVRSLYDGGIKLPTDILSKISPIPLFKELFRSDGESALKFPPPKVIQVDHSAWMTDEEFAREMIAGVNPHIIKKVQSFPIKSKLDSQLYGDNTSTITKEHLEPNMGGVTVEQAYETNRLFVLDHHDPLFPYLRKINATDTKAYATRTVLFLQDNGTLKPLAIELSTPHPDADSFGPVSKVYLPASEGVDASIWLLAKAFVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGNYAMEMSATVYKDWVFTDQGLPNDLIKREVAVKDPSAPYGIRLLIEDYPYASDGLEIWTAIKTWVEEYVNFYYKSDGAIAQDTELQAFWKEVVEVGHGDLKNATWWFKMQTRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGTSEYDDLAKNYEKAYLRTITPKNDTLTDLTIIEVLSRHASDEQYLGERIEGDDWTSDSQPKEAFKRFGKKLAEIEQKLTQRNNDESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >CAK8571975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499692209:499693955:-1 gene:gene-LATHSAT_LOCUS24586 transcript:rna-LATHSAT_LOCUS24586 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKPKPKSELPLLDSTTTTTTTTTTYFGVRTRAKTLALSQNQDLSLSNDSYLQLRSRRLQKPPTPQHSSKKNKPQNQNPKSPIRREVSKEKTPDAEDADFGENVLDFEGRDSRSTRETTPVNLIRNPDILRTPSSTTKRTFSTEAHRRTEHAARTVIPSTREMDEFFAKNEAAQQKKFMEKYNFDPVTDMPLPGRYEWEKLTP >CAK8541825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409834975:409836628:1 gene:gene-LATHSAT_LOCUS10719 transcript:rna-LATHSAT_LOCUS10719 gene_biotype:protein_coding transcript_biotype:protein_coding MATPISLRILINEEKNKVLFAQAGKDFTDVLLSFLTFPLATIARLVSTESNMQKVSFGSISKLYQSVANLEEHQFWTPACKQMLLQPKNSMEHYYQNLKINIDVAQKPCYYVCENWYCSRELSGGLLTTFSNLKCRCGKFMSQKICLSDTEKVQNFDGFFADAVTFCISDDLSLKPDSFQNFICQPMNLLGFEDFNAIKFITVDVTHKEILDLLKLSLTSESPLTDMFLLKKQSIENTQLNSVLDFAIGIVEENDGKKIEVKVVMRKSNSKILFALGDADFADFILSFLTFPIGGVEHMLKGNSCGSSIDNLYKSILELDSDTYLKTSDLKEKLVKSKLAHQFKLRNQLLPFDEMPHVDYFCVTRHNRKKTGFHAYLTAFEELSICTKELSVPLRYLEPQISIGEVFARSGGKGFMKSPSLYMVTDDLVVTPSSSVSVISFLSNLGIPSSDLEERMITIGKFEGLSILKASLISSSALSNGLGPFLKVKD >CAK8573013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577153236:577153638:1 gene:gene-LATHSAT_LOCUS25508 transcript:rna-LATHSAT_LOCUS25508 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGGTNFGRSARGPFITMRYDYDAPIDEYSLLREPKYFHLKDLHQAIKQCEHAFVSSNPTVTLLGTYEQSHVFS >CAK8579346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692938912:692942520:1 gene:gene-LATHSAT_LOCUS31298 transcript:rna-LATHSAT_LOCUS31298 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIILVTGGAGYIGTHTVLQLLLAGYKVVVVDNLDNSSAKSIDRVRSLAGKFAGNLSFHKFDLRNRDELEKIFSSTKFDAVIHFAGLKAVGESVLKPMVYYNNNLIGTIVLFEVMAAHGCKKLVFSSSATVYGWPKKVPCTEEFPLSAVNPYGRTKLYVEEICRDIYHADSEWKITLLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRSALTVFGTDYSTIDGTGVRDYIHVVDLADGHIAALRKLDDPKTGCEVFNLGTGKGTSVFEMVTAFEKASGKKIPVVKAGRRPGDAETVYGSTSKAEKELNWKAKYGIDDMCRDQWNWASKNPYGYGESTE >CAK8537236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174307756:174308151:1 gene:gene-LATHSAT_LOCUS6540 transcript:rna-LATHSAT_LOCUS6540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPTETLPAPYRNPVRNSIRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYCKRSMMEDPWKCLKPIIWCSTYHFSNISFTAENLKPQAPSESTSTKREGPSAIFSKSNSGPSHAEYLASAFNEAANTEE >CAK8537235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174307711:174308151:1 gene:gene-LATHSAT_LOCUS6540 transcript:rna-LATHSAT_LOCUS6540 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSSPHIESTNLQMSPSPTETLPAPYRNPVRNSIRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYCKRSMMEDPWKCLKPIIWCSTYHFSNISFTAENLKPQAPSESTSTKREGPSAIFSKSNSGPSHAEYLASAFNEAANTEE >CAK8541313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:116944930:116954666:1 gene:gene-LATHSAT_LOCUS10242 transcript:rna-LATHSAT_LOCUS10242 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSTLFLKTQIPSPILLFSTSKGTFNAKLLPNLCFCKSIQTSSYEVAKGSYVPTPTVDLPKENIIVEKPSRTIESVGAFQKLPIVMPSIDILGSALRKARRVSATKGIVNIAKREKNKGAKQLDALMKELAVPLRTYVESFPNKRHLHPYERSLIELTLGDGYYEKVLGNVDRLRKRVVSVGKEHASLCAKSTTKREAEERLNEGLKIIEESFGQDRNVVEDLLNIAKTLRAMPVINLETSTLCLVGAPNVGKSSLVRVLSTGKPEICNYPFTTRGILMGHIIFDHQKFQVTDTPGLLKRHEDDRNNLEKLTLAVLQHLPTAVLYVHDLSGECGTSPSDQFSIYKEIKERFPGHLWLDVVSKADLLKTSPVIYATEDRDLTQHELEKYRKAGPDGAINVSTTTQEGIHELKHRVNELLNMQMAKIRDVSNNQEK >CAK8569961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18236615:18239147:1 gene:gene-LATHSAT_LOCUS22756 transcript:rna-LATHSAT_LOCUS22756 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEENQEDSVSESQEHNEQKKSKGYWIWNCFCIPIHWFKMLSREMHWSFVLGVVVVYGISQGVGGALAGVGTKYYMKDVQKVQPSEAQVYAGITSIPWIVKPLWGLLTDVVPVLGYRRRPYFIFAGLLGATAMLSLSFHENLHLVLALLALTAGSAGVAIADVTIDACVAQNSISHPSLAADMQSLCAFSSSVGALLGFSVSGIFVHLIGPMGVFGLLTIPAGLVILVGFLLDEPRMENFSYRQVNQNFVDASKAMWTTLKSENVWRPCLYMYLSLALSLNILEGMFYWYTDSKDGPSFSQESVGFIFSISSVGSLLGAILYQYALKDYAFRDLLFWTQLLYGLSGMFDLILVLRLNLKFGIPDYVFVVFVESIGQMTIRLKWMPMLVLSSKLCPSGIEGTFFALLMSIDNVGLLSSSWAGGFVLHVLKITRTKFDNIWLAILIRNILRITPLCMLFLVPRVDPNSFVLLPKESEDSKVDMDASETKNVELISLVHSVDDNM >CAK8544793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705943470:705946125:-1 gene:gene-LATHSAT_LOCUS13444 transcript:rna-LATHSAT_LOCUS13444-4 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGINVMDKKPNGVTSNGSFSDRVRVSPKIAAMVEAMDHEIKESAEGNSLSAKSTKLNASLPEERNDKSEVQKMSDEEKELSSPIAITIPVDKEHTSPPAPQQSDQATVKHVTHTQTVDAKADSNGQSLSPNAKNAHSPDSNGQNLSPNAKNTHSPNSSKNSQTNSPFTTRKLAQHDKKHHEDEDNWSVTSSSVASGRTARFKVTVGTAPTFRSSDRAEKRKEFYMKLEEKNRALEEERLQYEARRKEEEDAAIKQLRKNLVIKAKPVPSFYYEAPPPKTEIKKQPPLTRPRSPKLSRPKSPRLSRRKSCGDVVNNSSSEASTRVHHSTCSTPKSGSGTPGTHKNKDLITGRKSHGACKTKEWTKPDKETQTAPPNIIEQTNVDISVQ >CAK8544794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705943470:705946125:-1 gene:gene-LATHSAT_LOCUS13444 transcript:rna-LATHSAT_LOCUS13444 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGINVMDKKPNGVTSNGSFSDRVRVSPKIAAMVEAMDHEIKESAEGNSLSAKSTKLNASLPEERNDKSEVQKMSDEEKELSSPIAITIPVDKEHTSPPAPQQSDQATVKHVTHTQTVDAKADSNGQSLSPNAKNAHSPDSNGQNLSPNAKNTHSPNSSKNSQTNSPFTTRKLAQHDKKHHEDEDNWSVTSSVASGRTARFKVTVGTAPTFRSSDRAEKRKEFYMKLEEKNRALEEERLQYEARRKEEEDAAIKQLRKNLVIKAKPVPSFYYEAPPPKTEIKKPPLTRPRSPKLSRPKSPRLSRRKSCGDVVNNSSSEASTRVHHSTCSTPKSGSGTPGTHKNKDLITGRKSHGACKTKEWTKPDKETQTAPPNIIEQTNVDISVQ >CAK8544792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705943470:705946125:-1 gene:gene-LATHSAT_LOCUS13444 transcript:rna-LATHSAT_LOCUS13444-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGINVMDKKPNGVTSNGSFSDRVRVSPKIAAMVEAMDHEIKESAEGNSLSAKSTKLNASLPEERNDKSEVQKMSDEEKELSSPIAITIPVDKEHTSPPAPQQSDQATVKHVTHTQTVDAKADSNGQSLSPNAKNAHSPDSNGQNLSPNAKNTHSPNSSKNSQTNSPFTTRKLAQHDKKHHEDEDNWSVTSSVASGRTARFKVTVGTAPTFRSSDRAEKRKEFYMKLEEKNRALEEERLQYEARRKEEEDAAIKQLRKNLVIKAKPVPSFYYEAPPPKTEIKKQPPLTRPRSPKLSRPKSPRLSRRKSCGDVVNNSSSEASTRVHHSTCSTPKSGSGTPGTHKNKDLITGRKSHGACKTKEWTKPDKETQTAPPNIIEQTNVDISVQ >CAK8544791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705943470:705946125:-1 gene:gene-LATHSAT_LOCUS13444 transcript:rna-LATHSAT_LOCUS13444-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGINVMDKKPNGVTSNGSFSDRVRVSPKIAAMVEAMDHEIKESAEGNSLSAKSTKLNASLPEERNDKSEVQKMSDEEKELSSPIAITIPVDKEHTSPPAPQQSDQATVKHVTHTQTVDAKADSNGQSLSPNAKNAHSPDSNGQNLSPNAKNTHSPNSSKNSQTNSPFTTRKLAQHDKKHHEDEDNWSVTSSSVASGRTARFKVTVGTAPTFRSSDRAEKRKEFYMKLEEKNRALEEERLQYEARRKEEEDAAIKQLRKNLVIKAKPVPSFYYEAPPPKTEIKKPPLTRPRSPKLSRPKSPRLSRRKSCGDVVNNSSSEASTRVHHSTCSTPKSGSGTPGTHKNKDLITGRKSHGACKTKEWTKPDKETQTAPPNIIEQTNVDISVQ >CAK8535428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847359543:847362851:1 gene:gene-LATHSAT_LOCUS4892 transcript:rna-LATHSAT_LOCUS4892 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSSEQVLKDNNAADPSSISSLHLTHKALSDVSCLASFNKLEKLDLKFNNLTSLEGLRGCVSLKWLSVVENKLESLEGIQGLTKLTVLNAGKNKLKSMDQIGSLTTIRALILNDNEINSICKLDQMKELNTLVLSKNPIRKIGDALKKVNSITKLSLSHCQLQGIDTSLKSCVELTELRLAHNDIKSLPEELVHNPKLRNIDLGNNVIARWSEVKVLKSLCNLRNLNLQGNPVASIEKVTRKIKNALPKLQVFNAKPLDKDTKNDKGHIADDANDFSLDHASQNDDDRLEAADERKSGKKRKKTVDISEEAGVLDKENTGHSKDNGDREKDELIGTVDLDMKSKSSKKKKRKDEKPSDKALPAEENVNRIEKKKKIQKNEKQSQFDIIDDPETSFLDLFKIQDEHSLNHGGGEMKLKNKVAKDLKQLGSIDTSPVKHKSVKMHNTESLLPPITEIGMGGQSTWDD >CAK8560626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30220421:30221860:-1 gene:gene-LATHSAT_LOCUS14287 transcript:rna-LATHSAT_LOCUS14287 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFIISPSSSSSMIQNPLLPQTSTLQQKLQVLLQSQSDNWVYAILWQTSKDEKGHSFLSWGEGHFQGTKETTTSKKLYDTDTTTNTDTDTCTDGDAEWFYVMSLTRSFSVGNSSSISLPGKAFALDSVLWLNSKQELQFYNCERSNEAHVHGIQTLICIPTTNGVVEMGSYENIQQNWNLVHQAKSMFLTSSSESNSNSKLDLLPTNPLDKIQTFDQNISFSDIGIISGASEETEKTQKTMNKKSQKKHNIVSSCYIDSEHSDSEYYPQLPTPTTTNDSFEKREPKKRGRKPLTGIQTPMNHVEAERQRREKLNNRFYALRAVVPNVSRMDKASLLSDAVDYINELKAKIEELESENQKESKKQKMETIESTVTTTSTVVDQKTTCSSNNNNNNVSALDIDVKIIGNDAMVRVQSENVNHPGARLMSVFKDLEFQVHHASISCFNEIMVQDVVVVQLPDEMRNEESLRSAIRMRLEHE >CAK8572501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541997558:542006305:1 gene:gene-LATHSAT_LOCUS25062 transcript:rna-LATHSAT_LOCUS25062 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVGDLKVFATFNEELYKEITQLLILNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPSNGPLAPTPVNLPISAVAKPAAYTSLGVHGPFPPAVANANANALAGWMANASASSSVQAAVVTSSTIPVPQNQVSILKRPRTPPATPGIVDYQNADHDQLMKRLRPVHSVEEVSYPVARQTSWSLDDLPRTVAMTLHQGSSVTSMDFHPLHHTLLLVGSNNGEITLWELSIRERLISKPFKIWDVSACSLPFQAAAAKEAPISVSRVTWSPDGSFVGVAFTKHLIHLYAYTGSNELTQRIEVDAHVGGVNDLSFALPNKQLCIVTCGDDKSIKVWDANGRRLFTFEGHEAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSTGVVQFDTTQNRFLVAGEDGQVKFWDMDNINPLTSTDADGGLQGLPRLKFNKEGNILAVTTVDNGFKILANATGLRSLRTIETPAFEALRSPIESAAIKVSGSSTVNVNPVNCKVERSSPVRPPPILNGVDPMSRSVEKSRTVEDASDRTKPWQLSEILDPVQCRSVTLPDNTDSFSKVVRLLYTNSAVGVLALGSNGVQKLWKWARNEQNPTGKATASVVPQRWQPNSGLLMTNDIAGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIISIGMEDSTIHIYNVRVDEVKSKLKGHQRRITGLAFSTNLNILVSSGADAHLCVWSIDTWEKRKSIPIQLPAGKPPVGDTRVQFHSDQLRLLVVHETQLAIYDASKMERIRQWIPQDVLPAPISYAAYSCNSQLIFASFCDANIGVFDAESLKLRCRISPSICLSSAALNRSQAVYPLVIAAHPLEPNQFAVGLSDGSVKVIEPSESEGKWGSSPPMDNGVLNGKAPSSSATSNHTADQAQR >CAK8565987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364852234:364868508:1 gene:gene-LATHSAT_LOCUS19156 transcript:rna-LATHSAT_LOCUS19156-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNTGKKIQADSTDEDYTEVEYEEEEEDDLEHFDDFTLASSWERFISEIEAVCRLWMSDGPKNLLVKGAVLLDDSGNLYKVTSETKYALKSYSMEYYFETNSAGKPANWNFDLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHVPSRKAYIGIQSMGTVFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTLDLSVHNFKVRIAMKLTFRTLPFDDDYMKDFDAKMIKSRENLSGETSNGTQWDDDCSWSEWYSAEDPVKGFELIATWSEKMVESSMEMAELENASPHEAEKWSISLRLEGSKERWIGFASQLHLLVDALQMSFEAQFIEDFISAAENPGSDNLRSSLVIPSPTVRDRVLKELFIEGVKFSDFSDGGYKTSRAVKGAPLESLFAQFCLHSLWFGNCNIRAISVLWIEFVREVRWCWEESQPLPRMPPNGSIDLSTCLINQKLHMLAICLERKCQLVEDFQDCIGSVDHIDSMSEEESVVGDDLMNIQTPSENFSGKVDRKPEDADLSNDIKSSEVTRRGSAGIVDSMMLLKSYQSMHAPYTQEPPLMTEDMHEERMQAVEAFGDSFNFSAQLEKDILTSDMSAFKAANPDAIFEDFIRWHSPGDWEADDDPESSGSTSSNALDINKSKDSWPPRGRLSKRMSDHGNLWRNIWNNSPALPASEQKPLLDPNREGEKVLHYLETLQPHQLLEQMVSTAFRAAADTISRTSYGELNQMETKIQQLYPTMASALRPLQVNRLSADSETLEDLKRLCVVFEHVEKLLTVAASLHRKLIRAPRLSRETFNDYYNFYIPTMGTGLTEEVVEKEFDKKQEVRDNEREVLSNMFVPPTANQSWRKVLSMGNLLNGHEPILREIIFSLHDKVSGNHYAARCDSVSQQDIETYRMYICGTSNDLRVALSVASCD >CAK8565986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364852234:364868508:1 gene:gene-LATHSAT_LOCUS19156 transcript:rna-LATHSAT_LOCUS19156-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNTGKKIQADSTDEDYTEVEYEEEEEDDLEHFDDFTLASSWERFISEIEAVCRLWMSDGPKNLLVKGAVLLDDSGNLYKVTSETKYALKSYSMEYYFETNSAVDAGKPANWNFDLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHVPSRKAYIGIQSMGTVFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTLDLSVHNFKVRIAMKLTFRTLPFDDDYMKDFDAKMIKSRENLSGETSNGTQWDDDCSWSEWYSAEDPVKGFELIATWSEKMVESSMEMAELENASPHEAEKWSISLRLEGSKERWIGFASQLHLLVDALQMSFEAQFIEDFISAENPGSDNLRSSLVIPSPTVRDRVLKELFIEGVKFSDFSDGGYKTSRAVKGAPLESLFAQFCLHSLWFGNCNIRAISVLWIEFVREVRWCWEESQPLPRMPPNGSIDLSTCLINQKLHMLAICLERKCQLVEDFQDCIGSVDHIDSMSEEESVVGDDLMNIQTPSENFSGKVDRKPEDADLSNDIKSSEVTRRGSAGIVDSMMLLKSYQSMHAPYTQEPPLMTEDMHEERMQAVEAFGDSFNFSAQLEKDILTSDMSAFKAANPDAIFEDFIRWHSPGDWEADDDPESSGSTSSNALDINKSKDSWPPRGRLSKRMSDHGNLWRNIWNNSPALPASEQKPLLDPNREGEKVLHYLETLQPHQLLEQMVSTAFRAAADTISRTSYGELNQMETKIQQLYPTMASALRPLQVNRLSADSETLEDLKRLCVVFEHVEKLLTVAASLHRKLIRAPRLSRETFNDYYNFYIPTMGTGLTEEVVEKEFDKKQEVRDNEREVLSNMFVPPTANQSWRKVLSMGNLLNGHEPILREIIFSLHDKVSGNHYAARCDSVSQQDIETYRMYICGTSNDLRVALSVASCD >CAK8565985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364852234:364868508:1 gene:gene-LATHSAT_LOCUS19156 transcript:rna-LATHSAT_LOCUS19156 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNTGKKIQADSTDEDYTEVEYEEEEEDDLEHFDDFTLASSWERFISEIEAVCRLWMSDGPKNLLVKGAVLLDDSGNLYKVTSETKYALKSYSMEYYFETNSAVDAGKPANWNFDLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHVPSRKAYIGIQSMGTVFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTLDLSVHNFKVRIAMKLTFRTLPFDDDYMKDFDAKMIKSRENLSGETSNGTQWDDDCSWSEWYSAEDPVKGFELIATWSEKMVESSMEMAELENASPHEAEKWSISLRLEGSKERWIGFASQLHLLVDALQMSFEAQFIEDFISAAENPGSDNLRSSLVIPSPTVRDRVLKELFIEGVKFSDFSDGGYKTSRAVKGAPLESLFAQFCLHSLWFGNCNIRAISVLWIEFVREVRWCWEESQPLPRMPPNGSIDLSTCLINQKLHMLAICLERKCQLVEDFQDCIGSVDHIDSMSEEESVVGDDLMNIQTPSENFSGKVDRKPEDADLSNDIKSSEVTRRGSAGIVDSMMLLKSYQSMHAPYTQEPPLMTEDMHEERMQAVEAFGDSFNFSAQLEKDILTSDMSAFKAANPDAIFEDFIRWHSPGDWEADDDPESSGSTSSNALDINKSKDSWPPRGRLSKRMSDHGNLWRNIWNNSPALPASEQKPLLDPNREGEKVLHYLETLQPHQLLEQMVSTAFRAAADTISRTSYGELNQMETKIQQLYPTMASALRPLQVNRLSADSETLEDLKRLCVVFEHVEKLLTVAASLHRKLIRAPRLSRETFNDYYNFYIPTMGTGLTEEVVEKEFDKKQEVRDNEREVLSNMFVPPTANQSWRKVLSMGNLLNGHEPILREIIFSLHDKVSGNHYAARCDSVSQQDIETYRMYICGTSNDLRVALSVASCD >CAK8575162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:42517127:42517414:1 gene:gene-LATHSAT_LOCUS27448 transcript:rna-LATHSAT_LOCUS27448 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTWTLVGVGGSPRVSSSKILGKRIKMALANSLMHYLPSTLIRAKCGKTKGKKIHGPTPSSPPRRNYEITPRTPLASRGRGPTIEPCSNGEHIS >CAK8573234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:595898388:595901458:-1 gene:gene-LATHSAT_LOCUS25706 transcript:rna-LATHSAT_LOCUS25706 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTGCTVYIGNLDERVTERVLYDILIQAGRVVDLHIPKDKETEKPKGFAFAEFETEEIADYAVKLFSGLVTLYKRTLKFASANKDKSTTNSSPATTPTSNSFQRSRPYSEQIISSENFQHSPRQAINYSQAPSPRRGTDQSSRYGSHNSGNQYDYSRRAFGEALDSANGSSGSRSRRPDGISPVSYLHH >CAK8532735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:507542822:507547439:1 gene:gene-LATHSAT_LOCUS2411 transcript:rna-LATHSAT_LOCUS2411 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQFEFQFSITVPTLTMFLLKTWRQSTFGVYGYLNFTKPAFLEHSKNFKPEEMETQIPGKNCIVTGANSGIGYATAEGLAQRGATVYLVCRNKERGEAALSQIQTKTGNQNVHLEICDLSSVSDIKSLATRFSNKNVPLHVLVNNAGGLEQNRVTTSEGFELNFAINVLGTYTMTELMVPLLEKASPDARVITVSSGGMYSTPLTKDLQYSESNFNGTEQYARNKRVQVALTENWGETYKNKGIGFYSMHPGWADTPGVAKSLPSFSKSLAGKLRTSEEGADTVVWLALQPKEKLVSGGFYFDRAEAPKHLAFNATNGSHAAINSVIDSLRSLSSLS >CAK8532129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210352260:210354903:1 gene:gene-LATHSAT_LOCUS1863 transcript:rna-LATHSAT_LOCUS1863 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLNYDPCSYFTRAFCQYPCYSEVKRSVRFNVKACFDSCNNIGNDNVNAKRVFLKEKKNGNSANVEHRSQGGSHNKPFCFPDYQFSQKLVVAVDVDEVLGNFVSALNEFIADRYLSNYSVSEYHVYEFFKIWNCSRDEANSRVHEFFETPYFKSGIQPLPGAQMTLQKLSRFCNLSVVTSRQNAIKDHTLEWIENNFSGLFDEIHFGNHFALDGGSRPKSEICRSLNAKVLIDDNPSYAIECAEAGIRVLLFDYENSYPWSKTEFAEQHPLVHKVENWEEVEQELMSLIVS >CAK8534169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701850761:701851429:1 gene:gene-LATHSAT_LOCUS3735 transcript:rna-LATHSAT_LOCUS3735 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNGIFKGTRNLPITALVKVTYYRFGSLFAAIGKKWSAVLESGQLFSETCMKYMKDETVKAASHRVRAFECHDYNFIVDETKDHNEGRPMGHYRVEIHKNWCDCGKFQTFCMSCSHVIAACSSVRQDLFLQLSKVYKVMNLFGIYNNSFLVVASEDYWSTYHGDTIYHNENTRRNKKGRPKSTRIRTEIDTTEKMERLCGICRLPEHTRKHCPNV >CAK8577276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551331047:551331910:1 gene:gene-LATHSAT_LOCUS29397 transcript:rna-LATHSAT_LOCUS29397 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLWDVLSETKRTIDTQPRHYFNLSLIFILPPFFVSFLVSFLVKHFQQQPQPQPESTYPALLFTLVSIFFLLISAIFTFCAFISITYSVYHSYFNQPIKLKEAIKSISTSFFPLLATDIIVFTILFFGSFLLALVIGVVTFLITYLGGVDLQAHSYLVVVLSVLVVLPLVMYLIINLSLVKVIVVVESVWGFEPLRRSWKLVKGMKRLIMSISCLFGSLQLMLVWISGYSWVLVLVIYPILAMLSLYSIAALTVLYIYCKEKHEKLAYEEFGKEKDEARLSLIPS >CAK8539496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514160549:514161625:-1 gene:gene-LATHSAT_LOCUS8583 transcript:rna-LATHSAT_LOCUS8583 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKKQKEQKDVVAEVFDAGQDLESVIHEHANFFDKLIELIPAKFYLPTDADEKPWFQGLNKKKKAQAKKKTKENIKKSRRQRLDPDKPSASTLELLKQSLGKEKVKDSNEDKDVVKSSVSGLEGDDRSVTYEELRQRLHRKLEGFQSNRNCADPEKAAKKREERDARRGYHYDGKKRKRDDETEKSKPVIDETEEKVKKDAAEASKELVFGKVKLQDDEMLAAKKRKVSKHKELERAKKLEEVKKNDPEKGEVIAKKESWKAAMNRASGIKVHDDPKLIKKSIQKGKKKHEKNAEKWEERVQSRDQLKAEKQQKRSANIAERINDKKKRKIAKREKKLLRPGFEGRREGFINDASG >CAK8576174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:418155824:418158544:1 gene:gene-LATHSAT_LOCUS28381 transcript:rna-LATHSAT_LOCUS28381 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSKTSLSLFLFFILFSSSYATSRVTHQQRFPATKFSPYQRAEKLIKSFNLFPKEPVNIIHGDSSVDDHFVPGKIVEKKFSFFGESDEPSVDDLGHHAGYYSLSKSARMFYFFFESRNGTKDAPVVIWLTGGPGCSSELALFYENGPFKINHDLSLSWNDYGWDKGSNIIFVDQPIGTGFSYSSNENEIPHDETGVSNDLYDFLQEFFKQHTEYVKNDFYITGESYAGHYVPALASRVHQGNKDNKGITINLKGFAIGNGLTNPGIQYPAYTQFAFDNKLITKEDQTDINKLIPPCLDATKTCESEGGESCLTALNQCDQITSNILGIAGNINYYDIRKACKGPLCYDFSNLETFLNKKTVRNALGAGNIEFVSCSKVVYNAMLQDWMRNLEVDIPSLLEDGIKVLIYAGEFDFICNWLGNSNWVHSMKWSGQKQFVASKTVPFLVDGKNGGSLNSYGSLSFLKVNGAGHMVPMDQPKAALQMLVNWIEGKLNETSV >CAK8563579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617592502:617593422:1 gene:gene-LATHSAT_LOCUS16974 transcript:rna-LATHSAT_LOCUS16974 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSKSIALILLALNLVLYFIVIVIASWAMNHGIQRSKEAASVLTTPARIFPIYFPMGNMTTGFFIIFTLIAGVVGFTTSITGLNNIFQWNATNLNAAAMSSLTTWALTLLAMGFACKEIELGWTDSNLRTLETITIIVSATQLLCTGVIHVGASEVIHNY >CAK8568675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631176782:631177367:1 gene:gene-LATHSAT_LOCUS21613 transcript:rna-LATHSAT_LOCUS21613 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSCCIRLYPTPTTNASLIPTNPPQISLEKNRGSWKRPCVVIGVASCFTIIGLQFNNSVISSHEVVVVPKEEIMVVTMSNSMDDDDYDEHEHLVLVGGVAKWSQKRMCPSWQGNNPLETIVPENLPRPAARRRYEAVRSDSKTAPPLSLSSVKLTTSRGDCFSM >CAK8535727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877166911:877173278:-1 gene:gene-LATHSAT_LOCUS5156 transcript:rna-LATHSAT_LOCUS5156 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIYRATNSLRARSSTVWRNSGVEVFSKSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGVANEVDVTDIGFQEKQKLLERLVKVAEEDNERFLLKVKERVDRVGLDIPTIEVRYQNLKIDAEAFVGGRALPSFINAITNVVESLLNVLHIIPSKKRHVAILKDVSGIIKPRRMTLLLGPPGSGKTTLLLALSGKLDPSLQLTGSVTYNGHGLNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDIDVYMKAIATEGQESSISTDYVLKILGLDICADTMVGDEMLRGISGGQRKRVTTGELLVGPANALFMDEISTGLDSSTTFQIVNSLRQYVHIMNGTAVISLLQPAPETYDLFDDIILISDGQVVYHGPREYVLDFFESMGFKCPERKGAADFLQEVTSKKDQAQYWVRRDQPYRFVTVTQFAEAFQSFHIGRKLAEELSVPFDKTKSHPAALTTKEYGLNKKELLKANFSREYLLMKRNSFVYIFKLTQLFIMALISMTLFFRTEMHHDTQDDAGVYAGALFFTLMTIMFNGLSEISMTIAKLPVFYKQRDLLFYPSWAYAIPAWILKIPITLMEASLWVFLTYYVIGFDPNVGRLFKQFLVVIFLSQMASGLFRAIAALGRNMIVANTFGAFAILTFLALGGFILSKKDIKKWWIWGYWISPLMYGQNALMANEFLGHSWHNATYDLGKNYLDTRGFFPHAYWYWIGIAGLAGFVFLFNLMFGVALAVLGPFDKPQATIPDDSEDDSSNDRTVQEVELPRRESSGRGDSVTESSHGKKKGMVLPFEPHSITFDDIVYSVDMPAEMKEQGVTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKVSGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSKTRKMFIDEVMDLVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSVHLIKYFESIEGVSKIKDGYNPATWMLEVTSTAQEFNLGVDFTDLYKSSDLYRRNKQLIQELGQPAPGSNDLHFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTAVRFFFTTFIALMFGTMFWDLGGKHTSRQNLLNAVGSMYTAVLFLGIQNSSSVQPVVAVERTVFYREKAAGMYSALPYAISQILVEIPYIFAQTVIYGAIVYAMIGFDWTAEKFFWYLFFMFFTLLYFTFYGMMAVAVTPNHHVAAIVAAAFYAVWNLFSGFIVPRPSIPVWWRWYYWACPVAWTIYGLVASQFGDITTPMTSEGEKEVKLFLEDYFGIKHDFIGECAIVVAGIAVLFAFIFAVAIKSFNFQKR >CAK8571090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:291587358:291588336:1 gene:gene-LATHSAT_LOCUS23783 transcript:rna-LATHSAT_LOCUS23783 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKSNVVQSSSSSESHLQTTLNLKLVCLRLETEHCFGLEVMRGNKGHEERKNFGSSSFFWKLFDGPLWRQIELFFCKL >CAK8565464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:226970479:226971531:-1 gene:gene-LATHSAT_LOCUS18680 transcript:rna-LATHSAT_LOCUS18680 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTDVIRMLAYGTSADSVDDYLRIGETTTLKCVDTFTRGMINIFGAQYLRRPNAEDIERLMQMGEARGFLGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEAVASQDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYTINRTEYNKGYYLSDGIYPEWATFVKSISMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARPWHLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8577283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552518489:552522192:1 gene:gene-LATHSAT_LOCUS29404 transcript:rna-LATHSAT_LOCUS29404 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQSLPGNYSMRDLNEESSSCGWPLFYGDKALANGQYYQNHLPSAAADVCSAYDKDVVKQMMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMKELHRNHRSIGQSFSPGPSFPIAGSSARDRPSISGIEGIHSPFGSNKGINKQTCLFPSPNGSSSKDVEILGSRPSKVRRKMFDLHLPPDEYIDTDEGEKFSDENASGTTIPDRNCKNGKGGDARVFCGNGGKTGSQEDTSRSEQSLRSRNGLADLNEPIQVEETNAAACIPPLNHSPYLGATECSDPFAKQKSRFFGFSTEDLRNSHYAPSSNGYLKNDGSGKVWISSKETGQAKSSSNPIPQVLKQEQSFFSSQATQDALGKGPEPTSDHLNNRSKTGLWREKTVGGLDISERNKHPENVVSPHSPGLFAFAPSSDFAKSWSPSSWQMASSSLNQKLLSVQMPPSSFLNSSGALNRSSQSHQSNGILGDSWPLNISTKQSAGFHREASVQNGFNPRVAEHFNNGSANYNKSSNLICNEMTSGKDINLNVRLSNGLSNDLVTQSGLGIVDREQKNGEQLAVLPWLRSKITCKNETQNAGSDRRLTAGGLSFLQVASSSNKDETQKGSSEKFINGVTSGLCSNIEPSRIEASDSCSKKKILGVPIFGVPLISTKESPSLTSPSSIELVENNRKNRGLDINLPCDADVLEVDMDKQAVTETIVCKERLSKVEANSRNQFDLNMIMNEDEAFVTTIPAADVRMKGVIDLEAPAVPETEDDAIPEEKQLEIPLVSPPGPQVTVEQPQDEFMRLAAEAIVSMSSLCCNQVDDVVSSPSERPLVDPLSWFADVAFSCVDDIQRKLDKSKGKNCEGKGESSSKEMDYFEAMTLQLEEVKEEDYMPKPLVPENLLVEEAGTSPLPTRARKGPARRGRQRRDFQRDILPGLTSLSRHEVTEDLQTFGGLMKATGHAWHSGSTRRSSSRNGCGRGRRRSQVLPSPSPPVVTIETCTPLIQQLNNVEVGLDDRSLTGWGKTTRRPRRQRCPAGNPPSIRLT >CAK8534796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769317162:769318902:1 gene:gene-LATHSAT_LOCUS4310 transcript:rna-LATHSAT_LOCUS4310 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPFSFKKTSSISKHNIDNADEDISGIHNVKIYTYKELRKATDDFSQANKIGEGGFGSVYMGRLKGGKLAAIKVLSAESKQGVKEFLTEINVISEIEHENLVKLYGCCVERNSRILVYNYLENNSLSRTLLGGSHNSIYFDWRTRCRICVGVASGLAFLHEEVRPPIIHRDIKASNILLDKDLTPKISDFGLAKLMPANATHVSTRVAGTLGYLAPEYAIGGRLTRKADIYSFGVLLVEIVSGRCNTNSRLPINEQFILERTWELYERKELVALVDTSLNGEFDAEQACKFLKIGLLCTQESPKCRPSMSSVVKMLTGEMKVDDSTMTKPALISDFMDLKVRKNQESTTMDLKTSLSYNTSSTSEQQDSTITSIATAQYDHSM >CAK8538893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499059154:499060000:1 gene:gene-LATHSAT_LOCUS8042 transcript:rna-LATHSAT_LOCUS8042 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLWLSLKDNVKCGNKITDVIKLPPKFGKGSSFLLQKEEKINKQDEEEEESFPFMKTPARLVLSKPNNTHARLYELGDGDPSRKIVEMIFQKASMNTSRHPRKVRTVLRVRYSEEVFERFEKYREKVKKEYPRHPRSAVDGNELLRFYVTTMRCFQGKKVCDLCNDPSCCFCRIIQFNFDEEHAGIQMNVSDGKSFDARVKNVKRVSIVCRIIAGSSVNEVDGEYEQGFENEMQFSLERFVVKNPCSILPCFVIIFS >CAK8576881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521749732:521751612:1 gene:gene-LATHSAT_LOCUS29043 transcript:rna-LATHSAT_LOCUS29043 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGDESEFLRSELALLQFSGANFKSPLSPLFIHIHDDDDQTSSTSFGSESEPSSQQQQNEPQHPKDEWLPLTESRNGNAYYAAFHILNSNIGFQALMLPVAFSTLGWIWGSVCLSIAFIWQLYTIFLLIELHESVSGKRQSRYLFLAMSAFGERLGKVAALFPVMYLSGGSCVMFIITGGGTMKLLFKILCENDNGTRCSAHSPSGVEWFLVFTCLAILIAQLPNLNSMAAVSLVGAVVSISYCTLFWSLSVKKGRPMGVSYKTTLMPQESTLVKISDILNAIGIIVLAFRGHNVVLEIQGTLPSNLTETSKQPMRRGVTISYILIAMCVFPLSIAGFWAYGNQIPSDRGLLTAFPQFHKQQVTKFTMGAIYVLVILHCLSSFQVYAMPVFDNLELRYTTLKNQKCPRWLRTCFRLFFGGFTFFIAVTFPFLPSLAALIGGMTLVPITYAYPCFMWLAIEKPRTKGISWCFNLVLGCLGMVLSVLLVASAIRTLADKGLNANFFKP >CAK8531939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:179573130:179583466:1 gene:gene-LATHSAT_LOCUS1692 transcript:rna-LATHSAT_LOCUS1692 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLPLILFLVKLSVMQGSEGSVIPPSPAFLPAVHHDGEAPGPIHNGQSWRSNASSPSDTHGSVISPSPATLQVDLSPSEAPSLLRSNGSFLQPPVALPPPTLAPTPQKIKGIESSISPSPSPSTKSLSPPYKAVPAPSTAERNFPPSIQPIPPQQQAPSPISTPIAPALVAIPPEILPKTSPISQPIEHGNLPPKVDKRNESKSHNLEPVSPGSFLQPPVVLPPNASAPSPQKVKGIESSISPSPSPNIKSLSPPYKAVPAPSTVERNLPPSMQPIPPRLKEPIVRPPVSAPISPAPSAIPSGNLPITSPISQPIEHGSFPPAVDSRNESKSHNLEPVSQAPVATPSPDVPKISPLSPSTDNGSFPPNSHSTPTNKGQPPETISPDTAPVFNLPKYSPVNQPTEPGSLPPTVHKRNSSISHTLEPVSQAPVAEPPTIFPKSSPVSQPTHHGNFPPNVHNRTVHKGHIHTPEPVMPPVVTSPTSTFPGDPPLVHQVIPATSPSELPAPVISPSLTPSRSFKGKNGGEPVSAPLYKTPKSSPTLVHSPAQAPSPSEHKARPSHHAPEPLISPPKSPFNKEDHSPAPSSSPSTAFYKHQRTRNTITSPAPASSYFVSPPTSKHQDQPIPPSFLPTSRRRHYAPPPMNTGTADSPFTFPIQSPVSQVSPAPSPSFKISPHSTKIPFHPPAISPSRPFSKSPKKPVLPRVQALPPPPPNEDCISYICSEPYTNSPPGVPCMCVWPMRVGLRLSVPLYTFFPLVSELASEIASGVFMKQSQVRIMGANAATEQPDKTDALIDFVPLGEQFDNTTAFLTSERFWHKQVAIKASYFGDYEVLYVSYPGLPPSPPLPPSSVNMIDGGPYSNNGNNGRTIKPLGVDIQKKQHKSGLSKGIIAIIALSAFLAIVLCSAAVFALFKFRDHVPDSQPTSTPRGFPPAHAKASGTAGPSVGGAVTSASTSFRSSIAAYAGSAKTFSMNEIEKATDNFHPSRILGEGGFGLVYRCDLEDGSKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICTELSSRCLVYELIPNGSVESHLHGVDREKSPLDWSTRIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLENDFTPKVSDFGLARTAADEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDFSQPPGQENLVAWARPLLTSREGLEAIIDPSLGTNVPFDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEAKEAGSTSSSKDDLSSDFNAASEQLPNNFQSHFAAGNYDFGVDIENGLSASEIFSSSARFGRQVSGSFRRHSYSGPLRTVRSKRLWQIIRKLSGGSVSEHGDMFK >CAK8537984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:453822657:453838003:-1 gene:gene-LATHSAT_LOCUS7228 transcript:rna-LATHSAT_LOCUS7228 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASRYARPTGGGGGGNRIRSLFSSSGINTYSIPTTTGYRYGYSNGISFNNRNTRTCPSLLFSVKGFLSDSSSSYGRNSKVDGRALLSTSAKTDDGSQNQKNSTTVAKLPPGVGGQVADIKILRVLASYLWMKDNLEFRFRVIAALFLLVGAKVVNVQVPFLFKLAVDWLNTATGNAGAVASNPTVVALFATPVAVLIGYGIARSGASAFNELRTALFSKVALRTIRLVSRKVFSHLHDLDLRYHLSRETGALSRIIDRGSRAINFILSAMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVVFTLSITQWRTKFRKAMNKADNDASTRVIDSLINYETVKYFNNEAHETDHYDKYLKRYEDAALKTQHSLALLNFGQNAIFSAALSTAMVLCSHGIMNGTMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLIDMKSMFQLLEERADIKDKENAKPLKLTGGSVQFENVHFGYLTERKILDGISLLVPAGKSVAIVGTSGSGKSTILRMLFRFFDPHSGCIKIDDQDIREITLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYEASRQAAIHDTIMNFPEKYSTVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILSALKSVSKNRTSIFIAHRLTTAMQCDEIIVLENGKVVEHGPHEMLLENAGRYAQLWGQQNNSIDTIDAAIKLGA >CAK8537983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:453822657:453838003:-1 gene:gene-LATHSAT_LOCUS7228 transcript:rna-LATHSAT_LOCUS7228-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASRYARPTGGGGGGNRIRSLFSSSGINTYSIPTTTGYRYGYSNGISFNNRNTRTCPSLLFSVKGFLSDSSSSYGRVTNPFIPQPNSKVDGRALLSTSAKTDDGSQNQKNSTTVAKLPPGVGGQVADIKILRVLASYLWMKDNLEFRFRVIAALFLLVGAKVVNVQVPFLFKLAVDWLNTATGNAGAVASNPTVVALFATPVAVLIGYGIARSGASAFNELRTALFSKVALRTIRLVSRKVFSHLHDLDLRYHLSRETGALSRIIDRGSRAINFILSAMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVVFTLSITQWRTKFRKAMNKADNDASTRVIDSLINYETVKYFNNEAHETDHYDKYLKRYEDAALKTQHSLALLNFGQNAIFSAALSTAMVLCSHGIMNGTMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLIDMKSMFQLLEERADIKDKENAKPLKLTGGSVQFENVHFGYLTERKILDGISLLVPAGKSVAIVGTSGSGKSTILRMLFRFFDPHSGCIKIDDQDIREITLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYEASRQAAIHDTIMNFPEKYSTVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILSALKSVSKNRTSIFIAHRLTTAMQCDEIIVLENGKVVEHGPHEMLLENAGRYAQLWGQQNNSIDTIDAAIKLGA >CAK8571920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493254573:493254917:-1 gene:gene-LATHSAT_LOCUS24542 transcript:rna-LATHSAT_LOCUS24542 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLLDGFGFHMRCYAHILNLVVRDGLKVVSTSISSVRNAIRFVRSSPHRALKFKECVEYVGITCKKSVCLDVSARWNSIFLMLDVDEKFEISFDKLEDEDEDYRDFFDGDSP >CAK8577014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531462426:531462923:-1 gene:gene-LATHSAT_LOCUS29160 transcript:rna-LATHSAT_LOCUS29160 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEEEPTSSIHQQQPSSSYILLLNIMSKRRTWACLFFLVYGTLLASSWNFLKTMLSWYNSQAQSSTSGWPALYASVLLGTVFGLLSMVAALVVMVPAVLVTWITVVVLLAFFGKPRRVLVVEGRKITGEIFSFVVKILLTEGNVVAAVCAVLGYFALVRRNSE >CAK8535028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804879360:804881381:1 gene:gene-LATHSAT_LOCUS4513 transcript:rna-LATHSAT_LOCUS4513 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAITRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLGDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRIGPSLAYLEQVRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYTIAQQAALFQSIKSLFLNKPLIVVCNKTDLQPLDGLSEEDLKLVNEMKAEALKTAIGQGGEGTEADVLLTMSALTEEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAVPKLRDQKERPPCIPPAVLEAKAKLAASEEKRKTEKDLEEENGGAGVYSMNLRKHYILADDEWKEDNLPEILDGHNVYDFIDPDILHRVEELEREEGLRQAEAEDDDFEYDGTELTPEQQEALTEIRRKKNLLIQQHRIKKSTAESRPIVARKFDKDNQFTTERMGRQLSSLGLDPSLAVNRMRSRSVSRKGRKRERSSDGRNDEMDIDGDTPSKKQRLSRSLSRSRSVSRPPHEVVPGEGLRDSTQKIKAIKIARKSVKKRNKNAKRGEADRVIPTLKPKHLYSGKSSSGTRHSR >CAK8532609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:325180208:325183220:1 gene:gene-LATHSAT_LOCUS2297 transcript:rna-LATHSAT_LOCUS2297 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLTATITLKPLNRHYKPLFLHLQKPNPTLIFSPSRCRTNLKSQRLKSFALCLLMKDSKHDTQLKIEEEKSPPILIVSPRIEEKLARKKSERLTYLVAAVMSSLGITSLGVLSVYLRFSWQMEGSGEIPWSEMFGTFALSVGAAVGMEFWARWAHKALWHASLWHMHESHHRAREGAFELNDVFAIINAVPAIALLNFGFFHKGLIPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKLHHSDKFNGVPYGLFLGPKELEEVGGLEELEKEISRRTKSYNSS >CAK8544380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680885776:680894226:-1 gene:gene-LATHSAT_LOCUS13066 transcript:rna-LATHSAT_LOCUS13066 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDPHAAAATNIVPKCILFNAASGASAGVIAATFVCPLDVIKTRFQVHGVPQFANGTVKGSVIVSSLQQIFHKEGLRGMYRGLAPTVLALLPNWAVYFTMYEQLKSLLHSDDENHHHLPVGANMAAAAGAGAATTLFTNPLWVVKTRLQTQGMRPGVVPYKSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPMYETIKYYLANQDDAAVDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGMTDCIRKVFQQEGIPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVSHFPSDPQPHIL >CAK8569182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680215741:680219942:-1 gene:gene-LATHSAT_LOCUS22065 transcript:rna-LATHSAT_LOCUS22065 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSETTTTTTMTTTTKRKKKGRPSLLDLQKRSLKQQQKHLQNPNPYSNSNSNSNSIDEDERKLKKQKLLQAFNSNLQNPPALFPQSNSDPLSHPNGSDHNDGKVRKATDSKHGSQVVSGPTTPLPDKKLLLFILDRLQKKDTHGVFSEPVDPEELPDYHDIVKHPMDFGTIRKKLDEGLYISFEQFENDVFLVCSNAMQYNSSDTIYYRQARAMQEIAKKDFENLRQDSDDEDEDDGDSEPPPPKIVQRGRPPGKQTRKSLGISPSELVVPESSSDATLASVGDIASGSNGYNLRKVVSKFQPIDASARVFHNNSGGYTNLTSEWENEFPASVVKAVLRYGKKQFTVDETRRDTYRNPVPVGNEPPVLTAFEDNFKQLLSVGLHVKHSYARSLANFAANLGPVAWKVAARKISSVLPPGHEFGPGWVSDDDVSQRQHSAVRDERNSDTPVQEDYRSRFSSPSRMFSLANASPLQNGDMVINRDFSYQNEMNPSSSVSGGNESMIHGMIQQEPIPQSDDFGSNGRLGSNFSPQMKMVRLADITGSSNAGNAPQMLDMDTLHNLSGQTAPTNVNSTALKAQFFNKSSQSDSSNLSGLESGFESQRLPQGIAGNSSWQGSEAFSHANDLNGMIEATNSRSSNVETGPQLQPNLALQL >CAK8562482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:499865582:499866034:-1 gene:gene-LATHSAT_LOCUS15974 transcript:rna-LATHSAT_LOCUS15974 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTIVKTEVFLVLFMLMNSLFMILEARPFSIIDRKNSDTRDEVVDFFGWLSHSVGEIKQAVPVETLGGIKDSGPSSGGVGHKFTNVNTLGGIKDSGPSSGGVGHKFTNVNTLGGIKDSGPSSGGVGHKFTNRETLGGIKDSGPSPGQGH >CAK8532412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:258685762:258686109:1 gene:gene-LATHSAT_LOCUS2121 transcript:rna-LATHSAT_LOCUS2121 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFNNLTIFSEVAEDSLDSKIVLDNEEDEDLIFSEIVSDFMDEQDDKDSVEYLDMVPDFLDGGDDEDNLDINLNIHDNGLDINKFPDEEEDSSDENQHKEELKKLRKIYLLKFY >CAK8573367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605903523:605904056:1 gene:gene-LATHSAT_LOCUS25824 transcript:rna-LATHSAT_LOCUS25824-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTICAVFLGLILISQYPFAANARPSVGLHSGLISTVCSASSNKAQCDDILGSNPHAAHANSFGQLAKAVLQMAYEKASAGQTFLKGLAAATNCPALTQCANFDYDGAVMSFRSSLEELKEDPQTANYDAKVASDGPAQCDRGMVAGHVVNPQVTELNRQITFYSELAFLVTNNL >CAK8573366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605903520:605904056:1 gene:gene-LATHSAT_LOCUS25824 transcript:rna-LATHSAT_LOCUS25824 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSTICAVFLGLILISQYPFAANARPSVGLHSGLISTVCSASSNKAQCDDILGSNPHAAHANSFGQLAKAVLQMAYEKASAGQTFLKGLAAATNCPALTQCANFDYDGAVMSFRSSLEELKEDPQTANYDAKVASDGPAQCDRGMVAGHVVNPQVTELNRQITFYSELAFLVTNNL >CAK8564933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17105983:17107070:-1 gene:gene-LATHSAT_LOCUS18183 transcript:rna-LATHSAT_LOCUS18183 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVRKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKLVGYDVYRDPSYHEYVDQASQSSQMQSQPSQNSKKFKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVHRDLGLEIIHKERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLLDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFANRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8562656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:522604686:522608782:-1 gene:gene-LATHSAT_LOCUS16135 transcript:rna-LATHSAT_LOCUS16135 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGETNQMNIEEETETITKDIPEKKLKDRKVSWAKLRRVDSLNLEAGRVSMNTNHHSKVGWSMTLSLAFQSIGIVYGDIGTSPLYVYASTFTDGIKNRDDILGVLSLIIYTIVLIPMLKYVFIVLWANDNGNGGAFALYSLICRYIKVSLAPNQQPEDMELSNYKLEIPSYKQKRANKIKKMIEQSHFARILLLLLAIMGTSMVIGDGILTPSISVLSAVSGISSSLGQNAVVGITVAILVVLFSMQRFGTDKVGALFAPIILLWFLFIAGIGLYNLFKYDLGVLKAFNPKYIVDYFKRNGKEGWISLGGVFLCITGSEAMFADLGHFNVRAIQISFSFVTCPAILAAYVGQAAYLREFPDKVSNTFYDSIPDPLYWPTFVVAIGAAIIASQAMISGAFSIISQALSLGCFPRVRVVHTSTKHQGQVYIPEINYMFMLGCIVVCVAFKTTDKISHAYGIAVIGDMMITTTLVSLIMLVIWKKSLWMVILFFCVFGFTEILYFTSQLTKFTGGGYFPIVLALFLTMIMGIWHYVHKERYMFELKNKVSTEYLKELANNADVHRIPGIGLLYSELVQGIPPIFPHFVASVPSIHSVVVFVSIKTVPVSRVASEERFLFRQVEPREYRIFRCVVRRGYNDVLEEPVEFESQLIQNLKGFIQQENFMLEANEGTTTTNVAAATSGELVVPISTNENEQMEDVKPKSTNSSSRIIPSVGVSHVSSDSIRSLPGSVTKSSNFYAPMIQGPEEEIKFIDKAMERGVVYMIGEAEVVAHPNSSILNKIVVNYAYSFLRKNFRQGEQSIAIPHKRLLKVGMTYEL >CAK8561345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:161765570:161767510:-1 gene:gene-LATHSAT_LOCUS14946 transcript:rna-LATHSAT_LOCUS14946 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWREVEGRAIATTCGHLLCTEDANKILSNDGACPVCDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQMLMKSAHKSVMFYIGQKELEMQCKMNKVVSQWQQKCEMMQEKVTERIEQLHTAYQKMAKRCQMMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPASNFYSRNERDLFSDPPNILEERETGRKGLPVFTPPTPGPKEDLWSARQNSNNSGDAGIGIRRAHPVFGPGATSNPSMNLRNLILSPIKRPQLSRKRSNLFTL >CAK8565122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:42481522:42481818:-1 gene:gene-LATHSAT_LOCUS18359 transcript:rna-LATHSAT_LOCUS18359 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALICAQNWLKLTLSQFKDLNINKDFEVSFTTVSEFGGSSVSGSTFGCDSNVVGKGKEPVAGSSQSHT >CAK8576036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389230632:389235950:-1 gene:gene-LATHSAT_LOCUS28254 transcript:rna-LATHSAT_LOCUS28254 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLISSPSFLGTPLPSLHRTFSPNRPRLFTKVHFSFHQIPPIQSVSHSIDLSGILARAEGVLYTLADATVAVDAGSSTDVAVQKNGGWFGFISDGMEFVLKVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPKIKAIQERYAGNQERIQLETSRLYTQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFLWIPSLGGPTSFAARQSGSGVSWLFPFVDGHPPLGWQDTAAYLVLPILLIVSQYVSMEIMKPPQTNDPTQKNTLIIFKFLPLMIGYFSLSVPSGLTIYWFTNNVLSTAQQIWLRKLGGAKPAVNENAGGIITAGQAKRSASKPEKGGERFRQLKEEEKKKKLLKALPVEEVQPLASASASNDGSDVENKEQEVTEESNTSKVSQEAKSFSRERRSKRSKRKPVA >CAK8566440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423424869:423425579:-1 gene:gene-LATHSAT_LOCUS19571 transcript:rna-LATHSAT_LOCUS19571 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLINGSPTTEFEMYRGLRQGDPLSPFLFLIVAEGLVGLMRNVVSRDKFQGFKFNDFLHIKLLQFVDDTILVCDGKLNNLWTTKAILCGFELKSGLCVNWNKSKVYGINLHADVLRVASNFLACAVGLVPFNFLGIQVGFNPRRKATWTHLVDKVKKRLTKWKGKQMSIGARVVLLNVILTNLSIFQFSFYKEPKGVIQYLIKIQRSFLWDGTNFKNKIDRVSWPTVCKPKISMD >CAK8575400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:109153678:109157726:-1 gene:gene-LATHSAT_LOCUS27669 transcript:rna-LATHSAT_LOCUS27669 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLSHLLLQITVLILLLLFMDKSQSSPIRGEKYSFSIITEVDVLPSDISPSTQPLPFIPLLAPSPLIPFTNNSLPKLSGLCSLNFSAAQDIMTKTATDCWTSFAPYLANVVCCPQFDAMLITLIGQSSKYSGLLALNKTQANHCLSDINKLLVSQGANENLNNICSVRPSNFTEGLCPVASVDEFESIADSSRLLTACRKIDPVNECCDQVCQNAIDYAARKIALNDMSNSNGNRSLPPKIARIKDCKNIILRWLAGKLNLSTSNSVFRGLSNCNLNKVCPLVFTNVTRIAKECGNQIRNRTTCCKATKSYVSRLQEQSFVTNLQALKCAVSLGKKLQKENVSENVYDLCHISLKDFSLQVATQESGCLLPSLPSDAVFDRTSGIGFICDLNDNIVAPWPSTSYALQSACNRTTKLPSLPTATSSQNGLFINILVLPPLFTSILLRRIL >CAK8566427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422364450:422371252:1 gene:gene-LATHSAT_LOCUS19559 transcript:rna-LATHSAT_LOCUS19559 gene_biotype:protein_coding transcript_biotype:protein_coding MHIINSTVICSIPYANSHKSVWMLGNPLASPTRLIFLQVSLITIVTQLMGACLRPLGQTSLVSQILGGLVFGPSMLGHKKMVTRILFPMKGSLILNTVATFCVNFFYFICCVKMDAGTMLKTEKQAITIGISVFAFAFLIPLGLSFALTSFVSMDKTLATALPLIAVSQSFTVFISISVLLTELKILNTNVGRLALSSAMFTDVVSIPVITLIFAVIQVKTSNKSMVTLVWILLSIGALLVVIFYVMRPTIVWYVGRLNGKPIDEFCIVCIILCVLFTSFCSEIIGQHYSMGPIFFGLAFPEGPPLGSTLISKMETLSGGFLYPLYLAVSGLQTDIFKINFQATWIITVIVIVAFVAKIIAVILPGYYYNVPMKDCVLIGLVLNGRGIAELSMYNLMKSGKILTGQLFSFMVLTLIVINGIISPLIKFTYNSSAEYHSGKRISIQHTKRDSVLRIMVCFNKNENIPTMFNIIEASCASQESNVEVIALLLVELLGRSRPLLVAHQPHDTLPITSCDSTQLDNALKQYKQLNEGCAHVQSFTSMSDFDTIHEDVCGISLDRIANILIMPFHKRWEIDGTVEVKNRGIQTMNIKVLERAPCSVGILIDRAILSGSPSLLIGRSTYYVAVLFIGGADDAEALAYASRMSRHECVNVSVIRFLVFGEENSKGRKYETDLVDEYRYHNNGNRRFEITEEVVRDGIEFSSSVRRMVDCFDLVMVGKSHPQSVLLHGHDQWSECPELGVIGDMLASSDFVTKASLLVVQQQRVGGRFVNKNVMPSPRGPVRDVSMDESPRHSCSISVPKHDV >CAK8566428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422368660:422371252:1 gene:gene-LATHSAT_LOCUS19559 transcript:rna-LATHSAT_LOCUS19559-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVANSSVICTNPQLQSSKSVWHLGNPLSSPTSLLFLQVSLITIVTQFMDVCLRPLGQTSLVSHILGGLVFGPSMLGHKDITLTRIIFPIKGSLVLNTVATFFLSFFYFICCVKMDTASMLKTEKQAITIGFSVFLFTFLIPLGLAFALPKFVTMDKSLAEALPLIAISQSFTIFISISVLLTELKILNTNVGRLTLSSAMFTDVFSFSIAIVIFAVLQVTTSNKSMLTLVWIFLSSIGLVSFIIYGMRPIIKWYIARLGGKPVGEVFIVSIFLCALLTAFLSEFIGQHYVMGIIFFGLAVPEGPPLGSALISKMETLTCGFMYPLYLAVSGLKTDIFKINIHTTWIIFVIVMVSFFVKIAAVMLPGYYYNVPMRDCFVIGLLLNGRGIAELTIYNVWKEGKQLTGQQFSLLVLTLLLINAIIAPLVRFIFNPSGQYCSGKRCSIQHIKRDSELRVMGCFYKNESIPTMFNIIEASCASKESNVMVIALLLVELLGRSMPVLVAHQPHDTLRTTASYSAPLDKALKQYAQLNEGYAHVESFTSISDFDTIHQDACHISLDRLANILIMPFHKRWEIDGTVKVNNRRIQMINIKVLEGAPCSVGILVDRGLLGGSPSLLQGKSAYYVAVLFIGGADDTEALAYASRMVRHESVNVLVIRFLIFGEENSKDRRLDTDLVDEYRYYNNGNRRFEIAEKVVKDGIEFSSSIRRMIDCFDLVMVGKSHPQSVLLHGHDQWSECPELGVIGDMLASSDFVTKASLLVVQQQRVGGRFVNKNVMPSPRGPVRDVSMDESPRHSCSISVPKHDV >CAK8579717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718191931:718195737:-1 gene:gene-LATHSAT_LOCUS31641 transcript:rna-LATHSAT_LOCUS31641 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRDFWRRHRRKILISVGVLGGGYCLYNLYDVHRQKLHGLERELQVQRETEERIKDQMQAHFENIQRISDTITLPHSMHHLSCRISEELNLSHLLERLMQGKGQPNALTQSEKLDLWGRLKILSFTRMALSIWATVMLCLYTKVQVNILGRHLYIDTARSFETANLMESGDVVDGEGQQKFLGSVDFLSQHGMPALISDMEAATKEVLKGKQLTSLFNYTAFQETITQILNTFMSRGSPFFWVKYMIPEDAKLRSTASGSNDTGPFYITEFEQLMMEAHAVLSSAEFGSVIDISLKAVVDTLAEQMGTTTVPLARALPQIAQMCPLLLEEPSKNQFIQIINNIPEVELFLTFLYANIPSAESLVHND >CAK8573538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618608361:618608576:1 gene:gene-LATHSAT_LOCUS25974 transcript:rna-LATHSAT_LOCUS25974 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAFGVNVTYDEPEDFDGEEFPNEEAQIFCQLLNEMNTPLFEGSLDSKLSMCVRLLAAKQNWNISDQCL >CAK8530281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11618559:11619080:-1 gene:gene-LATHSAT_LOCUS159 transcript:rna-LATHSAT_LOCUS159 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLSLNSSSSLINISKPYKHHHNLQLSNNHQRQPRIVQFSVSCRATKSVPMNHKLDDDDGNLYKILCLSSNRATADEIKRAYRRMALQYHPDVCHDRMKKEESTRMFVQVNAAYKTLSDPKLKEEYDSKLLGDLRRSKWMEQIVELNRRSQRGEGGASSWGCRMRARNNSN >CAK8565463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:226792567:226794971:-1 gene:gene-LATHSAT_LOCUS18679 transcript:rna-LATHSAT_LOCUS18679 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSINYYILFFLLTHFLSSVYSDITTPITTIPNVVQTSPTILNPNSNPDTYSPSTSTSTSNSPVSSGSSWCIASPSSSQRALQIALDYACGYGGADCSAIQAGGSCYNPNSVHDHASFAFNKYYQENPVPNSCNFGGTAVITNTNPSVGTCQYPSTSTSSSVLNITNTSGANVFGYVPVPTNPSSASVGAATSNTFAQICLNLCAIAILKKNYIQHE >CAK8530833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54104741:54105679:-1 gene:gene-LATHSAT_LOCUS669 transcript:rna-LATHSAT_LOCUS669 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKNLVSYNSFISGLTRHGSYEEAVKLFRVMQKGCGGFMLDEFTLGSIVGCCSCLGNVKWLQVHGVAVIVGFHSNVILNNALIDGYGKCGEPDASFHLFSSMFEKDVLSWTSMVVAYTRASRIDDACKVFDEMPIKNMVSWTVLITSFAKNGRCYKALEAFRGMIEEGVMLSAQTFVSVLDACASEALIGKGKQVHCQIIRGRNIGNLFNVYVCNSLIDMYAKCGDMKSNENLFEIIHVRDVVSWNTLITGFAQNGCGEDSLVLFSRMVEASIEPNRVTFLGVLSAWNHAGLVNEGLELLDSMERRYGVKA >CAK8575703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262405158:262407918:1 gene:gene-LATHSAT_LOCUS27943 transcript:rna-LATHSAT_LOCUS27943 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDCGIYGVIKLRTFFAFLILFLSTSTFSLSSSSSSNVQINSNSILVALLDSHYTELAELVEKAMLLQTLEDTVGNNNITIFAPKNEALERDLDPDFKIFLLEPRNLKSLQTLLMSHIIPTRINGSEPGSTRHKTLSLQHHLTIQPNETSQQWTVNGARILHLNDVTRPDGVIHGIERLLIPRSVQDDFNRRRSLVSIAAIKPEGAPEVDPRTHRLKKPAPPQNPGSPPALPIYDALAPGPSLAPAPAPGPGGPHHHFNGEAQVKDFIQTLIHYGGYNEMADILVNLTSLATEMSRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGSPEQIMYYHLIPEYQTEESMYNAVRRFGKVCYDTLRLPHKVDAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPHQEEEEVVDKDVNQTRLGQPAKAVVKQRRGKLLETACWMLGTFGQHSRFTSCQ >CAK8574154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666445078:666448461:-1 gene:gene-LATHSAT_LOCUS26527 transcript:rna-LATHSAT_LOCUS26527 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSQQNWILMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPNHLCTTTTVSVSPSFQQDRMWLNGKEISLSGGRFQSCLREIRARACDVEDDKKGVKISKEDWSKLHVHIASYNNFPTAAGLASSAAGFACLVYALGKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEENGSDSLAVQLADEKHWDELVIIIAVVSSRQKETSSTSGMRETVETSLLLQHRAKEVVPKRILQMEEAIKNRDFASFSKLTCTDSNQFHAVCLDTSPPIFYMNDTSHRLISIVEKWNRSEEAPQVAYTFDAGPNAVLIARNRKAATLLVQRLLYYFPPNSNDLDSYIIGDKSIAKDAGINGIQDIEALPPPPEIKDNIPSQKYKGDVSYFICTRPGKGPVLLTDDSQALLNSENGLPK >CAK8569848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13606299:13608153:-1 gene:gene-LATHSAT_LOCUS22657 transcript:rna-LATHSAT_LOCUS22657 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIEIFTTNSSCKISESELCRDESTALILKFVAMASILVAGFSGIAIPLLGNRRGLLRGDGEILPAAKAFAAGVILATGFVHMLKDAWEALNHSCLTSYSRVWSKFPFAGFFAMVAALFTLLVDFVGTQYYERKQGGGVAAEHGEVVGGVDELEEELLGSGIVEVKEESSSGGGGMHIVGMHAHASHHRHSHQNHHDDDELQHGHGHSRSFGENDEVEGSIRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIAALSFHQFFEGFALGGCISEAKFKTSSATIMACFFALTTPLGVAIGTLVASNFNPYSPGALITEGILDSFSAGILVYMALVDLIAADFLSKRMRCSFRLQILCFCLLFLGAGFMSSLALWA >CAK8543066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573749616:573751335:1 gene:gene-LATHSAT_LOCUS11853 transcript:rna-LATHSAT_LOCUS11853 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQNIAMENNSELFQFIVANNPSFFDYSSTPMMQPSLCSSSDNNNYYHPFEVSEITDTPSSQQDRALAALKNHKEAEKRRRERINSHLDHLRTLLPCNSKTDKASLLAKVVERVKELKQQTSEITELETVPSETDEITVISAGGGDFTGDGRLIFKASLCCEDRSDLIPELIEILKSLRLKTVKAEICTLGGRTRNVLIVAGDKEDSSIESIHFLQNSLRSLLDRSSSCSDRSKRRRGMDRRMNMP >CAK8577142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540302678:540304731:-1 gene:gene-LATHSAT_LOCUS29273 transcript:rna-LATHSAT_LOCUS29273 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLQLSFDGLVEETEKEIFLHIACFFNFRSVEYVMNILNCCGFHAEIGLRVLVDKSLICNSENTCIISMHGLLEKLGKKIVQEKSRKWSRVWFPEQFYNAKLENMEKKVEAICFDISEEPPDMITGEILSKMSNLKLLILKGVDFLQNLSCLSNELRYMQWNYYPLKYLPSSFQPNHLVELILISSNVKQLWKDKKYFPHLRSLDLSHSKNLEKMPDFKYIPNLERLSFEGCVKLVQMDPSIGVLNKLVFLNLKDCKNLTSIPNNIFGLSSLECVNPFGCPKMFNNQRIFNISENLPFFISFSSLVELDISFCGLSQLPDAIGCLSWLEDLNLGGNNFVTLPSLKELSRLVFLNLEHCTLLESLPQLPFPTAIDCSLRKKKPMITKGMVIFNCPKLSEREVGSTINFSWMTQFIQTNLVFTSIYDQICFVVPGSEIPSWCNNQSEGHSTKIDLSTIMPGNDNNFSGIACCAVFSITPIDKHITGGRRPGIELCIYHSKIVPYPFWFIPITLERDLIEIKLDHMCLIYFPLESILYFLKSMNITLSHFDHFELYFCIRSGRGMDVDFMSRKVKKCGYWICKQDRKQDQVPLPKLLGSEVQNFDN >CAK8531337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101838067:101839500:1 gene:gene-LATHSAT_LOCUS1137 transcript:rna-LATHSAT_LOCUS1137 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGGRRKKDKVGTPNSDSQPNSPSSPNTTFEPRPGSKKPEQISPYKPKPEHVSPLKPKPEHVSPLKPKPEQISRLKPEPKPEPDTIASRQLKLAYDHDIRLAQLPINCSFRVLRDLVKQKFPMSNSVLIKYRDDDGDFVTITSTEELRFAESTVDKAYSIGTLKLNIIKVSPEQEPRLLDEREEKEKPLDWVLDEKYGTTEHKKVVENVEVDDWLYEFAQLFGSLIGINESIDFRELGTEFCSEALEDIVTCDEAQDLFYKAESKFQEVAALAFFNWGNVDMCAARKFVKLDENENEVMLMKESEFDFVKEKYYLAREKYERAVVIKPDFYEGLLAIGQQQFELAKLHWSFGLVNKMNLGKETLRLYDVAEEKMMAASDMWERLEGGKLGMQGSVGMRSQINLFWGNMLFERSQVEFKLGMRYWKRKLDASVERFKIAGASADDVSTVLKKHCSNARDGEVKGSPRIQNVIKMMK >CAK8560403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17567450:17567809:-1 gene:gene-LATHSAT_LOCUS14077 transcript:rna-LATHSAT_LOCUS14077 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNDEDKNSKFFHRVVRGRQRQNFISSVATPKGRKEEVEEVERGVRDFFKENFKKEGSVRPIFSGLDFEKLSNRNNQELEKLFIEEEIKEALWNCNGSKSPSPDGFSFGFFSGLLGDN >CAK8577857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594390564:594391481:-1 gene:gene-LATHSAT_LOCUS29926 transcript:rna-LATHSAT_LOCUS29926 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVNDSNILYVSQDELFFNAEEDINKGKPKKKYYNEDGTRVFVSKNLEIERRRRDKLHSRLCTLRSIVPNITNMLKESIIEDAITYVKKLQDDVNKLTQELQAMEAEENLERKINKVSDAEEMKKWGIQEEIHVEKTDGTNLWIKMIIEKKRGRFKKLMEVMNDLCIEMTDISVTTIKGAYMITTCLKNLGGEPFDVDQAKYWLQDIIKII >CAK8562722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531322429:531323466:1 gene:gene-LATHSAT_LOCUS16195 transcript:rna-LATHSAT_LOCUS16195 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRASISSIKSAIKSNIRSSSIPKPTSTTSSPLRRSFSSRIAPELGCVQSMLPLHSAVAVSRMMSRLSITSRNCQSLSQGT >CAK8562723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531322429:531322722:1 gene:gene-LATHSAT_LOCUS16195 transcript:rna-LATHSAT_LOCUS16195-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRASISSIKSAIKSNIRSSSIPKPTSTTSSPLRRSFSSRIAPELGCVQSMLPLHSAVAVSRMMSRLSITSRNCQSLSQGTLCCTSPGL >CAK8543171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585056867:585057754:-1 gene:gene-LATHSAT_LOCUS11947 transcript:rna-LATHSAT_LOCUS11947 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRRNQGIHNDQVGQRRSSSYGGNHQVGHRRSSSYGGNPPLYNRYSTVPLWEEKFYATVGQVPWRRLLESHSNVMKWEDSAVKQAFYDAKFRFCAEINGYRWDDIPLLDPDMYIDEVDWDARVDPELYLDLEREEEARHILMEKRQQESEIVDNPFDHGWEIKPTGWGDEDENVTKPQEASYGAEGWIFNNHANNETNSWEQNDYHFADLQNKYQEKYDEYDRRKNAYRHGNQYKMNRGRRNRGKRGGRRENITYVAKAATPRSQ >CAK8567895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555265594:555266487:1 gene:gene-LATHSAT_LOCUS20909 transcript:rna-LATHSAT_LOCUS20909 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGITNSIWRNNDMDWSALNSSGRSGGIITMWNRSKISALSSFCGVGFLGIQFLWKNQNLVVVNVYAPRSSADIRNLWRDLAKIKSKISGAGWIVGGDFNEVKIVEERKGISANSIRDMKVFSEFIVELKLTDLPVFGNKFTWFNSNGKCRSRMDRFLVDGLAISMLSLINQLVGDRDVSDHRHVWLKSNFVNWGPVWLKSAVAGNMLSQFKIDGQPTVSILQFADDTLLIGDGSVSNVWEFKAILWAFELTSGLKTNYSKSCLYGIHVEHEFLWLLKTFSTVNRERCLLVSLVSL >CAK8566047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:373279548:373280568:1 gene:gene-LATHSAT_LOCUS19215 transcript:rna-LATHSAT_LOCUS19215 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKAHKRTAMYRNLQLLRSITYSTSQRKSSVILEATEYIQDLKHKLEELNLLAVATAQKVVEYDPMPKLKVEEQEERFVIKVLSERSCKGLLVFILEAFEELGLEVLQARVSCVDNFCLEAVGNKEENNEDSRTLNVQLIEQEVSQAIQNWSEVTQD >CAK8539127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504310306:504312875:1 gene:gene-LATHSAT_LOCUS8253 transcript:rna-LATHSAT_LOCUS8253 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHHLTVSKSIQFILLFCTLYFLSFVAFADPPYETCSTRNSYTNRSSFENNLSNLLHSLPSNVSASISKFGNTSSGIGLDRVYGLYMCLDFVSNETCHKCVTNAIEDIVKLCHQSEEAVVYEEFCQLRYSNKNFIGSLNVTGNIGKDNVQNISEPEKYESVVNKILNNLTEIASSNVSSNMYATGKVAFDDKTVYALVQCTQDLSANDCSKCLQSAIGDIPGCCYASIGARVWSRSCYLRYEFYPFYLGGTESTSSSTNHGGKNKSRKIWMITAIAVGLVLVIIIIIFYLCFIRNWKRRNGQGNISNDFPFIDIGSLCVATKNFSDSNKLGQGGFGPVYKGILSDGMEVAIKRLSTCSEQGSEEFINEVMLILKLQHKNLVKLLGFCVDGEEKLLVYEYLPNGSLDIVLFEQSAHLDWTKRVDIINGIARGVLYLHEDSRLKIIHRDLKASNILLDCDMNPKISDFGMARIFAGSEGEANTTTIVGTYGYMAPEYAMEGLYSIKSDVFGFGVLLLEIITGKRNAGFCYSKSTSSLLAYAWHLWNNGKGFELRDPLLLCPEDQFLRYMNIGLLCVQEDAFDRPTMSSVVLMLMNESVMLCQPEKPPFSVGRLNVIDPNVLDLEDYSVNLLTISDILPV >CAK8563777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630363638:630365783:1 gene:gene-LATHSAT_LOCUS17153 transcript:rna-LATHSAT_LOCUS17153 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYNMKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPGETEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTSPNGALGSLDYKKEDRRALAIKSREASPKFGTPERQKLIDEIHDYMLSKANPVPQTSPSQASEEPKNEEAKTQVTLPNPEALPAGEGIPDETGERIVEEQEVPVNANPNPAGVEATNEIQPEVPSNQLPPKSETRVQNLKPETRVQNLKPETRVQKPDDRVFTLAAIGLAIAIMVLLLKKFIKSTEHGAVFMNES >CAK8576955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527995941:527998747:1 gene:gene-LATHSAT_LOCUS29109 transcript:rna-LATHSAT_LOCUS29109 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASKTIAHQIGGIQNDVLRFGLPGVKSDIVGAHPLESSLQSVRGVEEAMKRQCKVNLYGAAFPLKEELDRQILSRFQRPPGVIPSSMLGLETVTGSLDHFGFEDFLNDPCESETFRPLDMHHGMEVRLGISKGPVYPSLI >CAK8538613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489299433:489303169:-1 gene:gene-LATHSAT_LOCUS7794 transcript:rna-LATHSAT_LOCUS7794 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSCSSVSPFFHIPTFLIQQRKPQLLFKPPPFLHQTNLPSPLTLSRKNLPKCFSQQKETQSIQEEEKEEEEEAFQFERLFSNINQVTLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASTVTCILCWLFMVVTGLLLAEVNVNTMCDLGSGGVSLVSMARRTLGTVGVQISCWSYIFIHYAVLVAYIARSSDILTNFLHIPIWESGTLFSLIFGGICFFGSQRFIGAINGVLVFGIISFFVALVAVASGNLHLDALLKANFPAVPMSIPIIALSFVYHNVVPVLCTNLEGDLLKVRSAIVLGTGIPLVLFLIWNGVILGTVGDNPMGLDPLQQLRSSNGTIGPIVEAFSFLAIATSYIGFALGLSDFLADLLNLPTGQNKPLPYILTLIPPLLLSLLDPEIFFKALDFAGTYGVLLLFGVIPAAMSWSDRNSNSSSSVKLPELVPGGRITLLMVLGGSGYVILSELFENFQHL >CAK8532260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:232633652:232643747:-1 gene:gene-LATHSAT_LOCUS1988 transcript:rna-LATHSAT_LOCUS1988 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSITPPGESTVPPSSDEAKISLFPVTNSSLQITTSSVPQWLSNSSFTTDLSVINDDVASLLNRETVQSPSQDDDDSDENQAQEKSLPPTYAILESSESDGDGMERVEKKRSKRKKKKRKRDRSDERSGFDGYGSRKSRVRAWADSEANTAKDYYFDSHGDRDNLAFGCIYRMDVARHKPYNPLNMSGRHVKGLYSWNQSGSLGERDGDIDALDDKMKSSGRYWSGKYMALEQHKSFKRIRLVAPKLSPHTAHDEYIPLSDIGTSHGAVDSESDSKISSTLEESWEDEMLNKTREFNKLTREHPHDEKVWIAFAEFQDKVARMQRQKGARLQLLEKKISILEKAVELNPENEDLLLFLLKAYQTRDSSDVLIGRWEKILLQHSGSYKLWSEFLHVVQRDFSKFKVSVVRKMYVHAIEALSASCSKHSRQAHQAPDSSPDPAIIQLEFRLVDIFLSLCRFEWQAGYREVATALFQAEIEFSLFCPPLLLTEQSKQRLFEHFWNSHGARVGEEGALGWSTWLEKEEETRQRVIKEDLSHENEGGGWTGWSEPLSKDKEGVTNFEVESDNDLVMEDNQDEDEYEDVEPEDDTENLLKSLGIDISAGDGGEVNDTLTWIKWSEEESSRDYDQWMPVRRKSDTASSTSEALKTEEDEQLSRIILYEDLNEYLFTLNTKESQIYLVSQFIDFYGGKMSQLFCTNSPTWTENTLSLEDLPDFMLEKLKCIHNILTKAQNIPTGFTFDVLLGSFTMNGDMMKFVQNAVLLCLTVFPRNHYLEEAVLICEELYVTKMSSSKCAVTPCRALAKSLLKSDRQDVLLCGVYARREANYGNIDLARKVFDMALLSVEGLAEEIQSNAPLLYFWYAEVELANKTDDGRESSYRATHLLSCLGSGTKYSPFKSQASSLQLLRARQGFKEKLRTVGSSWFRGIINDQSVALVCSAALFEELTTGCDAGIEVLDQAFTMVLPERRSHSYQLEYLFNYYIRMLQRHQKKSSLIKVWESIYRGLQMYPFSPELLKGVVEVGHFHTTSNKLRWILDECSYKKPSVVVWLFALSYEMSRGGSHHRIRGLFERAVGNDMLCSSVVLWRCYIGYELNIAHDPSAARRIFFRAIHSCPWSKRLWLDGFLKLNSILTGKELSDLQEVMRDKELNLRTDIYEILLQES >CAK8532259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:232633652:232643747:-1 gene:gene-LATHSAT_LOCUS1988 transcript:rna-LATHSAT_LOCUS1988-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSITPPGESTVPPSSDEAKISLFPVTNSSLQITTSSVPQWLSNSSFTTDLSVINDDVASLLNRETVQSPSQDDDDSDENQAQEKSLPPTYAILESSESDGDGMERVEKKRSKRKKKKRKRDRSDERSGFDGYGSRKSRVRAWADSEANTAKDYYFDSHGDRDNLAFGCIYRMDVARHKPYNPLNMSGRHVKGLYSWNQSGSLGERDGDIDALDDKMKSSGRYWSGKYMALEQHKSFKRIRLVAPKLSPHTAHDEYIPLSDIGTSHGAVDSESDSKISSTLEESWEDEMLNKTREFNKLTREHPHDEKVWIAFAEFQDKVARMQRQKGARLQLLEKKISILEKAVELNPENEDLLLFLLKAYQTRDSSDVLIGRWEKILLQHSGSYKLWSEFLHVVQRDFSKFKVSVVRKMYVHAIEALSASCSKHSRQQAHQAPDSSPDPAIIQLEFRLVDIFLSLCRFEWQAGYREVATALFQAEIEFSLFCPPLLLTEQSKQRLFEHFWNSHGARVGEEGALGWSTWLEKEEETRQRVIKEDLSHENEGGGWTGWSEPLSKDKEGVTNFEVESDNDLVMEDNQDEDEYEDVEPEDDTENLLKSLGIDISAGDGGEVNDTLTWIKWSEEESSRDYDQWMPVRRKSDTASSTSEALKTEEDEQLSRIILYEDLNEYLFTLNTKESQIYLVSQFIDFYGGKMSQLFCTNSPTWTENTLSLEDLPDFMLEKLKCIHNILTKAQNIPTGFTFDVLLGSFTMNGDMMKFVQNAVLLCLTVFPRNHYLEEAVLICEELYVTKMSSSKCAVTPCRALAKSLLKSDRQDVLLCGVYARREANYGNIDLARKVFDMALLSVEGLAEEIQSNAPLLYFWYAEVELANKTDDGRESSYRATHLLSCLGSGTKYSPFKSQASSLQLLRARQGFKEKLRTVGSSWFRGIINDQSVALVCSAALFEELTTGCDAGIEVLDQAFTMVLPERRSHSYQLEYLFNYYIRMLQRHQKKSSLIKVWESIYRGLQMYPFSPELLKGVVEVGHFHTTSNKLRWILDECSYKKPSVVVWLFALSYEMSRGGSHHRIRGLFERAVGNDMLCSSVVLWRCYIGYELNIAHDPSAARRIFFRAIHSCPWSKRLWLDGFLKLNSILTGKELSDLQEVMRDKELNLRTDIYEILLQES >CAK8563020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566778542:566780149:1 gene:gene-LATHSAT_LOCUS16470 transcript:rna-LATHSAT_LOCUS16470 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHLCTSCSSTSLSRDDDTGGLFCASCGAVQPFDQYESFTGGINGPQGTFVHIGTSGSGNFYSYKDRKLLSARNSIEEFTNRLGICSKTIEIKSMISDITDCEFGQGNWFQVLIGACCYVVMRKSDRPLPMAEIANALACDVYELGKMILRVIDFLDLRGSDFPEFDIVYSLERTINSSCCFDDVDRSLIDKMKKQGVFLLQCAVKLFLSTGRRPLPLVVAILVLVAEINQVEVRMEDLAKEVHVVVSTCRTRYKELLETLVKIAQVLPWGKDITKKNIVKNAPFVIQYMEKKSMSKPVEKRKNLDQTRFDLEEVVAECLAQENGYEYGVDGLVSRKDSQYLSLPSNADREGIRDIDTSQISPECLSLIYEKFLNENRGAMLSRTANVQKQKRVEFDFHECREWWDGESELSRKLILRKLLEKDIGAETMPPSFVNGQLKCKIRRERIDAARKRIKRITHPLNADLDETVPLGILDGTCNEKRKKRRGVVVDGIDWEDLIIETLILHQVKEEEIEKGHYNTLLGLHVFNSGVV >CAK8533865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666256997:666258826:1 gene:gene-LATHSAT_LOCUS3455 transcript:rna-LATHSAT_LOCUS3455 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVHTIRLQFQSLRLPSPSFFTTLPPLPPKTLFSLPPPSHFKSRKQLLPFKVCAAVTENGPPKWWEKNAPNMIDIHSTQEFLNALSQAEDRLVIVEFYGTWCASCRALFPKLCRTAEEHPEIVFLKVNFDENKPMCKSLNVKVLPYFHFYRGAEGQLESFSCSLAKFQKIKDAILTHNTARCSIGPPKGIGDLVLEPSSAPKDKPAESV >CAK8533794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660744843:660745373:-1 gene:gene-LATHSAT_LOCUS3391 transcript:rna-LATHSAT_LOCUS3391 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGIMMKENKSDSTTSSSSSIGTFSEDSMGSMCCCSSELIEDADSSSNGSLCDLSELMNNLPIKRGLSMFYEGKTQSFSCLGEVQKMEDVPKKSMGYKKRMKKCKSYGDRIWYSPKATISKKTSRVPFSSLLTKRESYFLIP >CAK8531259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93996366:93997655:-1 gene:gene-LATHSAT_LOCUS1064 transcript:rna-LATHSAT_LOCUS1064 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVIDDCLNDNTEEDVIRLVREAQEQANNTSKHRKRRTVIDRSREEGHNRLFNDYFSENPVYTEEQFRRRFCMGRHVFLHIVGALGNHDEYFQRRIDAVGRMGLSPLQKCTAALRILAYGSPADSVDDYVQIGESTTLECLYRFVIGVCTIFGAQCMRRPNNEDIARLLQINVTHGFPGMLGSIDCMHWKWKNYRVAWKSQFSRGDHGKPTIMLEVVTSQDLWIWHAYFGTTGSNNDINVLNMSDVLNGKAPVVQYSVNRTTYYMGYYLADVIYPEWATFVKTILMPQGEKRKLFAQQQESARKDVERAFGVLQARFVIVRGPARAWHVNTMKHIMLACIILHNMIVEDERDTYAGNFDYEHVNNNLSTTEVSTGPDPNLTILFERIAHVHQRQNHRQLQADLVEHIWERFGHENNEN >CAK8576812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516307197:516307463:1 gene:gene-LATHSAT_LOCUS28978 transcript:rna-LATHSAT_LOCUS28978 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPTIIRRASSSSKAMDVPKRYFAVYVGEEMKRFLIPVSYLNQPSFQELLDHAEEKFGYDHPMGGLTIPCGEDLFLEITSRLSAC >CAK8574404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680346477:680363431:1 gene:gene-LATHSAT_LOCUS26755 transcript:rna-LATHSAT_LOCUS26755 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGPSESQGPVMQRRITRTQTAGNLGEAIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRIKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPFNILPLDPDSANQAIMRFPEIQAAVFALRNTRGLAWPKDYKKRKDEDILDWLGAMYGFQKHNVANQREHLILLLANVHIRQFPKPDQQPKLDDRALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFLPECLCYIYHHMAFELYGMLAGNVSPLTGENIKPAYGGEEEAFLSKVVTPIYNVIAKEAERTKRGRSKHSQWRNYDDINEYFWSADCFRLGWPMRADADFFCLPVEQLYFDKLKGNKPDNRDRWVGKGNFVEIRSFWHIFRSFDRMWSFFILSLQAMIIVAWNGNGDLSAIFNGNVFKKALSVFITAAILKLGQAILDVILSWKAQRSMLMYVKLRYILKVVSSAAWVIVLSVTYAYTWDNPPSFAQTIQSWFGSKKHSPSIFIMAVIVYLSPNMLAAILFLFPLIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTVFWVLLIITKLAFSYYIEIKPLVEPSKAIMHVRISHFQWHEFFPRARKNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEEKSELRKKGLKATFSRRFDQIPSNKGKEAAKFAQLWNQIITSFREEDLISNREMDLLLVPYWADPELDLIQWPPFLLASKIPIALDMAKDSNGKDRELRKRIEFDNYMSCAVRECYASFKSIIRYLVQGDREKKVIEYILSEVDKHIEAGDLISEFKLSALPSLYGQFIELIKYLLDNKHEDRDQVVILFQDMLEVVTRDIMMEDHIFSLVDSIHGGSGHEGMLLLEQQHQLFASEGAIRFPIEPITEAWKEKIKRLYLLLTTKESAMDVPSNLEAKRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEEVLFSLRELESPNEDGVSILFYLQKIFPDEWNNFLQRVNCSNEEELKEYDELEEELRRWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAIENSDDNSRGERSLWTQCQAVADMKFSYVVSCQQYGIDKRSGAARAQDILRLMARYPSLRVAYIDEVEEPSKERPKKISKVYYSCLVKAMPKSSSPSETEPEQCLDQVIYKIKLPGPAILGEGKPENQNHAIMFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRIFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEQGLSTQKIIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLIVYQIFGNAYRSGVAYLLITTPTWFMVGTWLYAPFLFNPSGFEWQKIVDDWTDWNKWISIRGGIGVPPEKSWESWWEEEQEHLKYSGMRGIIAEIVLSLRFFIYQYGLVYHLNFVKSTKSVLVYGISWLVIFLILVVLKTVSVGRRKFSADFQLVFRLMKGLIFVTFVSILVTMIALAHMTLQDIVVCILAFMPTGWGMLQIAQALKPLIGRAGIWESVKTLARAYEVVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKGRSSRNKE >CAK8532544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:289261527:289264994:-1 gene:gene-LATHSAT_LOCUS2239 transcript:rna-LATHSAT_LOCUS2239-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWNWVGKSSKRSVIVEENHETAPSGCMCAVFQFFDFHHLSNSINHQQQITFKSPSSTLVTKVSGGEASRNSLESEDSLVSASLSKEENFKIPNIQIKTKRSNGGILTHLSSEISTSSSPGTKTPTLVARLMGLDILPNSNSPSFSSPLSTLNSQSKNNIIQHLHQIRTKPRKSIDSSEITRMPSSTKSDFDQHRLSLQINKENSFGDEDFELPRLSFSKRKVDENSSKSSSQYAKQIVKQVKESVVSRKVGQDITNNIKSIQDINIKQNTKVTKKLPSNDQLQGREEFLGQLRVKKCPKTTSLKDSNTNSSNSPRTRFIDNKDKSNTSIKTRSKDQNTKPKVYALKQEQESKDKKLASSNCKKATNEKFSSRFKRPPQTSDIIVRPTSSSRANDIKSNTKCKKVQPLSSNLINIINAVSNVDSVKTEPSHLSNKIPRKQWQESETQDSKLQSYKPEFQYIAEILNKHVTTTKTMSFNRMFSSTHSLDPSIFNLLEQNSDDKDQSFATKNQLGQRWNRKLMFDLVDEVLMDILKPNSSEKKLYFLDGFCEKWTVMELTEKVWKRVREFPCAKCEVLDDIDNLIESEDMEKVIKVEGEDERKGLVREIEGNILNTLVHETILVMSMIE >CAK8532545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:289261527:289264994:-1 gene:gene-LATHSAT_LOCUS2239 transcript:rna-LATHSAT_LOCUS2239 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWNWVGKSSKRSVIVEENHETAPSGCMCAVFQFFDFHHLSNSINHQQQITFKSPSSTLVTKGGEASRNSLESEDSLVSASLSKEENFKIPNIQIKTKRSNGGILTHLSSEISTSSSPGTKTPTLVARLMGLDILPNSNSPSFSSPLSTLNSQSKNNIIQHLHQIRTKPRKSIDSSEITRMPSSTKSDFDQHRLSLQINKENSFGDEDFELPRLSFSKRKVDENSSKSSSQYAKQIVKQVKESVVSRKVGQDITNNIKSIQDINIKQNTKVTKKLPSNDQLQGREEFLGQLRVKKCPKTTSLKDSNTNSSNSPRTRFIDNKDKSNTSIKTRSKDQNTKPKVYALKQEQESKDKKLASSNCKKATNEKFSSRFKRPPQTSDIIVRPTSSSRANDIKSNTKCKKVQPLSSNLINIINAVSNVDSVKTEPSHLSNKIPRKQWQESETQDSKLQSYKPEFQYIAEILNKHVTTTKTMSFNRMFSSTHSLDPSIFNLLEQNSDDKDQSFATKNQLGQRWNRKLMFDLVDEVLMDILKPNSSEKKLYFLDGFCEKWTVMELTEKVWKRVREFPCAKCEVLDDIDNLIESEDMEKVIKVEGEDERKGLVREIEGNILNTLVHETILVMSMIE >CAK8562073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:436694369:436699559:1 gene:gene-LATHSAT_LOCUS15601 transcript:rna-LATHSAT_LOCUS15601 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEAEILIDFNTQLHSEKVVSVQNGDHGVSTSLANDVVMSQQPAPKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFLEALEHQLLKDRKKNVKKRPLQGGNCQSPALNTISNQSNTRAVKMILVESQNIIKLGPSKQPLKRNVNMGVNRSNAKGDSNNSKPTRQRKKSATITAAAYRKWEKAAMAGVSLVADAAEHLERAATVKEVEHDQENPGSYHSGGEKCSDPADHVLPSLPTFPQNHYVDNNVQNIVKLKLQLFPIDEPTRRVLEMEKHNPYLELTLSTRKKISSILEHLNRKWGNSSIAVGELMLFPYGVQKENLNSYQRWNQESTLSAADIYAMIGSPPIFRLRYGWFSNAEHGRLNMQEPVASGYIVRQSKIGGDNMMDQIVSLASLPMPSTNNYSTECSVDCGTSMNKNNVLTSTSTYLPNTRDGSIYQKTSTEEPCGPTAHISLHGEDVTDGAVSRQLEDMEELKSNSGSGLSAGEWADSLTNISVGDLLSGVSQDLNDNCIDPLIAENCRIVQQIPFSSDSFDAAIAAHISKHQDKMGQSTMVSHMSSIWDAEETCDAFSFKKDPVRHEDGPCFSLTAALDADKKVLERSFENLDKLSPEKESLVDDIAQTDHHMPMDGCESGADIKYHLGKDFTGLADMYWPDSLGPLDLEIPSTKYNSDDPILSDSLSGLNRLIASSLDAFQNCSFFGIDKKEAPSTVEAQETATLSDFKIGSGI >CAK8562072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:436694369:436699559:1 gene:gene-LATHSAT_LOCUS15601 transcript:rna-LATHSAT_LOCUS15601-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEAEILIDFNTQLHSEKVVSVQNGDHGVSTSLANDVVMSQQPAPKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFLEALEHQLLKDRKKNVKKRPLQGGNCQSPALNTISNQSNTRAVKMILVESQNIIKLGPSKQPLKRNVNMGVNRSNAKGDSNNSKPTRQRKKSATITAAAYRKWEKAAMAGVSLVADAAEHLERAATVKEVEHDQENPGEKCSDPADHVLPSLPTFPQNHYVDNNVQNIVKLKLQLFPIDEPTRRVLEMEKHNPYLELTLSTRKKISSILEHLNRKWGNSSIAVGELMLFPYGVQKENLNSYQRWNQESTLSAADIYAMIGSPPIFRLRYGWFSNAEHGRLNMQEPVASGYIVRQSKIGGDNMMDQIVSLASLPMPSTNNYSTECSVDCGTSMNKNNVLTSTSTYLPNTRDGSIYQKTSTEEPCGPTAHISLHGEDVTDGAVSRQLEDMEELKSNSGSGLSAGEWADSLTNISVGDLLSGVSQDLNDNCIDPLIAENCRIVQQIPFSSDSFDAAIAAHISKHQDKMGQSTMVSHMSSIWDAEETCDAFSFKKDPVRHEDGPCFSLTAALDADKKVLERSFENLDKLSPEKESLVDDIAQTDHHMPMDGCESGADIKYHLGKDFTGLADMYWPDSLGPLDLEIPSTKYNSDDPILSDSLSGLNRLIASSLDAFQNCSFFGIDKKEAPSTVEAQETATLSDFKIGSGI >CAK8537333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:276949979:276950533:-1 gene:gene-LATHSAT_LOCUS6630 transcript:rna-LATHSAT_LOCUS6630 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVAPASGLRDVNAASSVIAADRLPDEILGMRIKDDKEMEASVVDGNSTEAGHVIVTTIGGKNGQPKQTISYMAERAVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVTLKHCFFSTTEKDELYLNLVLEYVPETVHRVIRHYSKMNQSMPVIYANIVILVVT >CAK8560957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:63183415:63184413:-1 gene:gene-LATHSAT_LOCUS14592 transcript:rna-LATHSAT_LOCUS14592 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSPSKRNSTIDPYKILKIKLNPDGSLTRNPIVPTVPPSSDPTNSPPQPSLSKDITLNAATKTSIRLFIPHPPPPAKLPLILYFHGGGFILYHSSSLIFHHSCSEFSAQIPAVIASVDYRLSPEHRLPAAYDDAVDSLLWLKCQAQNPNESDPWIRNHVDFDNCFLMGNSAGANIAYFAGLRALDLDLSPIKIRGLILNSIFFSGVERTESELRLVNDRILPLPVGDLMWRLCLPEGADRDHVYCNPTVANSVYGEKIGRLPRCFVNGYSGDPLVDKQKELVRILKARGVHVVSWFCEDGYHAVEIFDRSKARDLVDSVKKFVATRQSSL >CAK8570219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29950769:29953780:-1 gene:gene-LATHSAT_LOCUS22991 transcript:rna-LATHSAT_LOCUS22991 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLGESLSGDNEEQEESLLGGESSSLSTTQRLYAFAACSIAGLVLMLLSMIVFAKPIKFAILFTFGNLLAVGSTAFLLGPAQQMEMMFDPVRVFATSIYLGCVVIALICALLIHSKVLTLLAIIIEIGAFIWYSLSYIPFARRMVSNLMIKLCDTEL >CAK8567059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483832954:483834429:1 gene:gene-LATHSAT_LOCUS20147 transcript:rna-LATHSAT_LOCUS20147-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCHPFLVTNLVPVTSLTSTFLSGTPPSYFSINRKPKTCNLKNRSLSISCSSVKTVRDHTLDRHVVMKNRIRSYLSLHKPRSLLSMIHRYPSIFEKFTIPWPALPLNATKSYHQLCVRLTPAAAALAAEELNLQYSISTVLANKLQKLLMLSSHRRLLLAKLVHLAPDLGLPPNFRARLCNDHPDKFKIVNTSYGSALELVSWDTDLAKALPPRESHSRDLIVDRPLKFKQLRLRKGLNLKRPHQEFLLKFEEMPEVCPYSCPAESLAKESIEAEKRCCAVIREVLGMTIAKRTLIDHLTHFRKEFGLPNKLRGLIVRHPELFYTSIKGQRDSVFLVEEFDEKGNLLQKDEVLALQDKWMDLARESKRMRNERRKARADKNIGRVRGVNQKHNESDVDSDDDIEIDNFEDGYDDGFEDIFEDLDFEAEDYDDRNKLFANKIGEFWIAGPFPIDNGLDGEQKQPW >CAK8567060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483832954:483834429:1 gene:gene-LATHSAT_LOCUS20147 transcript:rna-LATHSAT_LOCUS20147 gene_biotype:protein_coding transcript_biotype:protein_coding MALCHPFLVTNLVPVTSLTSTFLSGTPPSYFSINRKPKTCNLKNRSLSISCSSVKTVRDHTLDRHVVMKNRIRFVQKLKTLLLSKPKHYIPIHILSKCRSYLSLHKPRSLLSMIHRYPSIFEKFTIPWPALPLNATKSYHQLCVRLTPAAAALAAEELNLQYSISTVLANKLQKLLMLSSHRRLLLAKLVHLAPDLGLPPNFRARLCNDHPDKFKIVNTSYGSALELVSWDTDLAKALPPRESHSRDLIVDRPLKFKQLRLRKGLNLKRPHQEFLLKFEEMPEVCPYSCPAESLAKESIEAEKRCCAVIREVLGMTIAKRTLIDHLTHFRKEFGLPNKLRGLIVRHPELFYTSIKGQRDSVFLVEEFDEKGNLLQKDEVLALQDKWMDLARESKRMRNERRKARADKNIGRVRGVNQKHNESDVDSDDDIEIDNFEDGYDDGFEDIFEDLDFEAEDYDDRNKLFANKIGEFWIAGPFPIDNGLDGEQKQPW >CAK8540471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8459186:8460792:1 gene:gene-LATHSAT_LOCUS9474 transcript:rna-LATHSAT_LOCUS9474 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENRHGEHDSLCDMHCTSIIPKTVYIMGGGEGSSAREALKHKSMEKVVMCDIDKDAMQRKALASAAAAAALEEANATECIIRNLRLLQMDSNIECLRFLWLISKGMKIPLSGRLGCSLADLQGESH >CAK8562029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:428917807:428919066:-1 gene:gene-LATHSAT_LOCUS15565 transcript:rna-LATHSAT_LOCUS15565 gene_biotype:protein_coding transcript_biotype:protein_coding MENIPVEVIGNILSHIGSAREAVIASSTCKKWREAWRSHLHTLSFNSCDWPVYHELTSDSLEILITQTIFQTKALQCLIISMGDVHEFSTAPVIAWLMYTRDTLRQLHFYVSTPPMFNIIEKCGRQKLEVLALGRISITHVEPSYQKFPCLKSLSLSFVSISELDLCLLLTACPRLETLSMVSPEIAMSDSQASMELSSSSLKEFSVESFGLDKFVIEADLLECLHLKYCTFEVFELIGKGSLKVLKVDDVSLIHLDIGDNADNLEFVDISNFTIMWPKFYHMISKASKLRRLRLWGVGFEDDEEVVDLETISVCFPQLMHLSLSYDLREGVLNYGLQGSSLLMNVSVLELGWTSISDLFAVWVAGLLERCPNLKKMVIHGFVSEVKTHEECIILAKFTEFIIQLGREYTNVTIEFEYE >CAK8572127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512908611:512909135:-1 gene:gene-LATHSAT_LOCUS24726 transcript:rna-LATHSAT_LOCUS24726 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALWRAARATTLPRWEREMNHMKDLNINAWKDMMYVPATCWIRSHLKTDTPCNLQVNNMCDAFDHAILEYIDKPIISLLEGIKHYIIVRIFAQKEKLSRYKGITSPNIQQVLQKTKRPAEGWIVTWHSDDDFAIFGVSNGVDTYAINFLQRKYGCRKWDLGGIPCCHDKHTA >CAK8560537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24221142:24221774:-1 gene:gene-LATHSAT_LOCUS14201 transcript:rna-LATHSAT_LOCUS14201 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVILFFVALLSPYIPNATCVNNDFCVADLSLPKTPLGYPCKSEKDVTVDDFVFSGFVAGNATKIFNTGITFVNVDKLPGLNGLGISTVRADLGVNGSVPLHSHPDSTELIIVVEGQLNVGFITPTKVFLKDAKPGDVIAVPKGQLHFLVNTGAEKAVAFAAFSSSDPSVQTLDLLLFGNDLSTAILSQTTLLDVAQIQKLKAAFGGKN >CAK8539399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511888874:511890201:-1 gene:gene-LATHSAT_LOCUS8499 transcript:rna-LATHSAT_LOCUS8499 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFQELKAKRSYRFIVFKIEQQQVVIDKIGEPTETYDDFQASLPAAECRYAVYDFDFTTAENCQKSKIYFIAWSPEISRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKGRAL >CAK8579177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682033568:682034392:-1 gene:gene-LATHSAT_LOCUS31143 transcript:rna-LATHSAT_LOCUS31143 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKEGSIIIREVWASNLEYEFSLIRQVIHQYSFISLDTEFPGVIHLPKIDRRYLTPSEHYRYLKANVDALKLIQVGLTLSDSKGNLPNFGGSNSYIWEFNFCDFDVNNDLYNQDSIAMLRRQGIDFNRNFHHGVNSVRFAELMFASVLVFNQSIVWVTFSSAYDFGYLVKILTQNNLPNRLEGFLNMVEGLFGKNVYDMKHMMKFCNALYGGLERVATTLNVNRAAGKSHQAGSDSLLTWHVFKKMMDTCFVNNMAQKHAGVLFGLEMITVK >CAK8544471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686931942:686932978:-1 gene:gene-LATHSAT_LOCUS13147 transcript:rna-LATHSAT_LOCUS13147 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSYLSLLLFSSTLFLRVESWYSPIIPISSLISKSLFDSIFLHKDDTACPAKNFYPYQSFIEASKSFPEFGTTGCLATRKREIAAFLAQISHETTGGWSTAPDGPFSWGLCFKEEISPQSIYCDSTDKDWPCFEGKSYKGRGPIQLSWNFNYGPAGKALGFDGLKNPEIVSNNSVIAFKTALWFWMTERKPTPSCHNVMVGKYVATEADIAANRTAGYGLVTNIINGGLECGIPGDARVNDRIGFFQRYSKLFNVDTGPNLNCAYQKSL >CAK8561476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241111285:241111494:-1 gene:gene-LATHSAT_LOCUS15064 transcript:rna-LATHSAT_LOCUS15064 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8531214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:90187668:90203309:-1 gene:gene-LATHSAT_LOCUS1020 transcript:rna-LATHSAT_LOCUS1020 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPNEASLSGVEPLMQKIQNEIRTVDAGILAAVRQQSNSGTKAKEDLAAATRAVEELMYKIREIKTKAVQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKIIELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQQLSDACLVVDALEPSVKEELVNNFCNRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRSNEEIWKIFPSSWHVSYRLCILFCKKTRKQLEDILSNLKEKPDVGTLLLALQRTLEFEDELAEKFGGGTQNREIGNEIEEIGRGANSSNNASDIRKKYEKRLAAHQGSESEEKNGTKDLAVPGAGFNFRGIVSSCFEPHLTVYVELEEKTLMDSLEKLVQEETWDIEEGGQSSVLSSSMQLFLIIKRSLKRCSALTKSQTLFNLFKVFQRILKAYATRLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTAGELAESVSKIIDHQFADGVDMSEVQDEFSAVITKSLVTLVNGLETKFDIEMAAMTRVPWGTLESVGDQSEYVNAINLILTTSIPTLGSLLSPVYFQFFLDKLASSLGPRFYSNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKEGPGIKQTQITPTIAPAPPVAPVIPSPTAALGLVGSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >CAK8573451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613305957:613306298:-1 gene:gene-LATHSAT_LOCUS25898 transcript:rna-LATHSAT_LOCUS25898 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLSKLLSRSLSVAGKWQNQQLRRLNIHEYQGAELMSKYGVNVPRGVTVSSVEETRKAIKDAFPNQSELVVKSQILAGGRGLGTFKSGLKGGVHIVKTEQVEDIAGKMLG >CAK8574690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6730237:6733422:1 gene:gene-LATHSAT_LOCUS27012 transcript:rna-LATHSAT_LOCUS27012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFSVSAFALRFLCVLLVIVLANSQLPEEMYRFDKRSHVSYKYDRIDEVQKQCVSVLSAASELRLGYSGVVGMKREFSFVNGDWIQEDGKFPIMPFDDRDSPGMIAGDRSSPMKLVSFRVTDVDHAHRLKKSIPINGFMVMGITRDGSFMENVYGDGNLDFRLWPGHSQISIPFQGVYTESKRNGGERVLCLLGNTMLPTRETVPANPWGWMKNPSDVPMSEDDQILLILRYPLTFTLTNRMITGELRSLNRDSNPKYFDVVHISSQLGSSAKYTFGSQHIVSKACDPYPYKDNLTNNVITVYKGTRFCEILEEVTRDKPLSIVPNWSCNGTDDFCSRLGPFLSDERIKSTHGSFQDVKLYMQDVICEQAAGKHNSGFTTVSAVFRAVSPSENRYNAAKRSGVNNMSLATEGIWKSSSGQLCMVGCLGLVDAKGGNCNTRICLYIPTTFSIKQHSIISGTLSPINNNGDFFPLSFEQLVQPTELWNYFMFTHPNYSYSKINLAGTILEKNEPFSFSTVIKKSLLTFPKLEDETFQDSLSLLSEDLTFHIPGFPDPMPRVQAPRVDIQMEILSVGPMFGRYFDAQNGSTVEQLDTPNQANAAEYTEKQLLLNVSAQLSLSGKGYSNFSMLFLEGLYDPHVGKMYLIGCRDVRASWSVLYQSYDLEAGMDCLIEVVVSYPPTTTRWLVNPTATISIESQRTDDDGLRFNTIKLQTLPIIYRKQREDVLSHRGVEGILRILTLTFAVGCIFSQLFYIKHNMDSLPYTSLVVLGVQSLGYSIPLITGAEALFKRMVSESYDVSSSGTLENSEWLHIIDYTVKLLLIVSLLLTLRLFQKVWKSRIRLQKRTSSEPFSVPSDKRVLLCTFILHLIGYIIVMIIHSTKTSQKHLREKAYMVEKENSHSLPDWAAELEEYAGLVQDFFLFPQIIGNLIWQIRCKPLRKLYFIGITLVRLLPHVYDYVRAPVPNPYFTEDSEFINPSLDFYSKFGDIAIPVTAIILAILVYIQQRLGYDKLSQILTFGQYKFLPSFRYERLDSKSFETELVPGINGGDENEKEQVDIE >CAK8564700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7222685:7225048:1 gene:gene-LATHSAT_LOCUS17976 transcript:rna-LATHSAT_LOCUS17976 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEVSPILFLVIFMVINGVIIKGQNVPAMFIFGDSLIDNGNNNNMASLAKANYFPYGIDFNGGPTGRFSNGYTIVDEIAELLGLPLIPAYNGATGNEVLQGVNYASAAAGILDATGRNFVGRIPFDQQLGNFEDTLNQIRGNIGADNLATQLARCIFFIGMGSNDYLNNYLMPNYNTRNQYNGQQYANLLVQTYDNQLNRLYNLGARRFAIAGLGLLGCTPSILSQSLSGSCSQEVNLLVQPFNEMLKGGGGGGGGGGGGTMLGNLNNNLPGSRFIFLDSTRMFQEILSNARSYGFTELNRGCCGLGRNRGQITCLPMQTPCPNRNQYVFWDAFHPTEAVNILMGRMAFSGNTNFVYPINIQQLAQL >CAK8535879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888447869:888449938:-1 gene:gene-LATHSAT_LOCUS5298 transcript:rna-LATHSAT_LOCUS5298 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLMAFFIWVNLFIIIFNCLLTATPIFSNSNSIPNFLDLAKEPQVFDWMVDIRRKIHENPEVCYEEFETSKLIRAKLDELGIQYKHPVAVTGVIGYIGTRLPPFVALRADMDALLMQELVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILKEQEKYLQGTVVLVFQPAEEGCGGAKKIVDAGALENVSAIFGLHVLYNLPLGEVASRSGPQFAGSGFFEAIISGMGGHAAIPQHSIDPILAASNVIVSLQHIISREADPLDSQVLTVAKFQGGAALNVIPDSVTIGGTFRSFSRESFTQMRHRIEQVITGQAAVQRCNATVSFLDEEKPLFPPTVNNGDLHDYFQSVAKSLLGADKVKGIEPMMGSEDFAFYQEALPGYIFLLGMEDVSVEHLPSGHSPHFKVNEDVLPYGAALHASLAAKYLAKLHQELPVVEGKNHDEL >CAK8534087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692738805:692739602:1 gene:gene-LATHSAT_LOCUS3657 transcript:rna-LATHSAT_LOCUS3657 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSQTLFALSIIFIITSCYADSSNTTPSSSLCPISRCGNISIHYPFWIKSLTINSKDRFCGYPDFGLECSKNNKTIINLPSDMYYVTDINYDKRSITLVDIDILDQKCPRVRKNVTLSNLPLSFSKLDLNLSFYFNCSSYPSILEPIECFRVSNNEKLKSYVIEDGDEGGIGYDNWKCEDHVVVSVKVDVVSDFVGGGLINGFGSAVKKGFVLDWRKGFEDCAKCENTGGYCRYGQESKNFTCICGNGSVVAKSCKKGTCLCF >CAK8537505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:379407808:379408350:1 gene:gene-LATHSAT_LOCUS6794 transcript:rna-LATHSAT_LOCUS6794 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTSTVRRRERKWQRPGSEEVSRTLCHFENFPSKKISPVTLSHAIMGGRQHSGWEGRIEATRAESQWIVAARPLCHLQYSVAYLSRLQRILPAAQQELRFKVSRKAHPPYGVHQRHVPLGDQGPLLLVGKRTAGARIASSPDSDLEEFSHNPTHGSFAPLAFQPSAMTNCANQRFLSY >CAK8533759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657746137:657748842:-1 gene:gene-LATHSAT_LOCUS3359 transcript:rna-LATHSAT_LOCUS3359 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLSSSIVHARRSLHAASNLTLPSPTNFLQGYVRGFSSANWGLGGRNQSENVNAGARPDFLRDRENINPRSENNAEVGGISRRTDFVRGAIEEDEKSIMGGYLYNQYHYEHDADFVHIKMLRNNTFVTVTDAKGNVKLSGSAGSLKDMKSGQKLSRYAAEATAEVVGRRARGLGLKSVVMKVNGFTHFRRKRQAILSWREGFTDSRGDKNPIVYIEDTTRRPHNGCRLPKSRRI >CAK8532074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200463391:200465739:1 gene:gene-LATHSAT_LOCUS1811 transcript:rna-LATHSAT_LOCUS1811 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVEIETLEKSLLEDNEEDEEVLYSASFKETEENFVKYQTVQWVLYSLLLILAWGIGLLMLLYIPIRRFILRNDIRSRTLYLTPNAIVYKVTRPVPFPCFGVLHKEKHVLLHSVADIVVEQGYLQSLFGVCSVRIENVGVRRPPSDDVKILGVANPNDFRKAVMMRLSNIRNELVSRQASTLEDSSHLMMSPSKSERYDSTRLRDLLLMQKLEEVGSSVKRMQTLFEEQQSQTI >CAK8563407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601072189:601074618:-1 gene:gene-LATHSAT_LOCUS16824 transcript:rna-LATHSAT_LOCUS16824 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYTATTTILLVMFLSLPYTGAQTHKRLPEQIAPGSSLSPTGSDYKSLWLSPSGLFAFGFYTQDNNSFLVGIWLLGKMNRTIVWTANRDDPPVSSTSKLQFTINGTIILTDQYGQEKLIVNVNARASSASMLDSGNFVIYDYNNISRIIWQSFDHPTDTLLGSQSLPCGVQLSSSLSNANSSTGKFRLNMQVDGNLVLFAGYTTESFWDAYWASNTASGNVKYYLYLNKTGLLQILDSNNSSIVTTLFDTGKQQQKTGGNQTIYRATLDFDGVFRLYAHPNNNKASDEIITSWPRSNPCEVKGFCGFNSYCTLDDDKPLCNCFQGYKFIDENEKTLGCERNYSKVECRGNSDGLAFYNIVPMKHILLEDHPYFEITDISEQDCSSSCLVDCNCWAALYDGEKCMKQGFPLKYARRTLEDEKLTTAFLKVGKQGIGNWKSNDTLSPLQPSPPPIKTTGNEAVVHIIVVTSIFTVLLVSAVVISCHYIYKIRVLRYKRLTDTGNIGLNEDVALRRFSYNELKRATNQFKEELGKGSFGSVYKGTLNKGKRLIAVKRLEKVVEEGEREFQAEVRSIGKTHHRNLVRLLGFCVEGSKRLLVYEYMSNSSLGKLLFGDQRRPDWNERVRIALDIARGISYLHEECDAPIIHCDLKPHNILMDEFWTAKISDFGLAKLLMPDQTRTFTMVRGTRGYMAPEWNKNVPISVKTDVYSYGIVLLEILCCRRNIDVNVLEPEEILLSGWAYKCFVAGELNKLVPWEVIDNNVMENMIKVALWCIQDDPLLRPTMKAVVLMLEGITDVAVPPCPDSTSA >CAK8574745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8001524:8001889:1 gene:gene-LATHSAT_LOCUS27059 transcript:rna-LATHSAT_LOCUS27059 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENCNCLGSRNNNIWSSYERIGHDPIVCVNEFMSKIKIVKLKNLWRKIKREKKMKNFKSSSSVYLYDPYSYLQNFDDGYFNDPEYFSVSFSARFAAPNTKMFVKNIQVRNDEEILEINH >CAK8577448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564698881:564701328:-1 gene:gene-LATHSAT_LOCUS29556 transcript:rna-LATHSAT_LOCUS29556 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYFNIAKSRTFCSYRLIHKAAPFSTIQHASLFNQQHSSIFSSLLREFSNTLIHVKSIHAQIIINCVSTQHFLATKLIKSYSDLGFINSAYKVFDQCPHPETMLCNAMMGGFLKNREYEEVPRLFKMMGSCDIEMNSYTCVFALKACTVLFDKEIGMEVVRMAVRKGFHLHPHVGSSVINFLVKLGNLDEARVVFDGMPERDVVCWNSIIGGYVQDFLFKEAVLMFVEMIGCGVRPSTVTMASLLKACGESGMKKLGMCVHAFVLALGMGDDVFVLTSLVDMYCNVGDTDRAFLVFNSMQSRTLISWNTMISGCVQNGMVPESFALFHRLVESGAGFDSGTLVSLIRGFSQTSDLENGKVLHACIIRKGLESNIVLSTAIVDMYSKCGAIKQATNVFRTMEKRNVITWTAMLVGLSQNGYAEDALKLFCQMQEENVAANSVTLVSLVHCCAHLGSLKKGRSVHAHLIRHGYTFNAVNISALIDMYAKCGKIHSAEKLFCKGFHLNDVILCNSMITGYGMHGQGHQALGVYDRMIDERLKPNQTTFISLLTACSHSGLVEEGRILFHSMERDHNVKSSDKLYACFVDLLSRAGCLDEADSLVKQIPIEPSTDVLEALLSGCRIHKNINMGIQVADKLISLDYLNTGIYIMLSNIYSEARRWESVNYIRGLMRTRGLKKTPAFSLIELGNQVYTFFAGDDSHPGWGNIKQLLENLRLEVEASGYVPDTSCVLHDVNESMKVQLLWGHSERLAIAFGLLNTPYGSLIRITKNLRVCVDCHTVTKYISKIVKREIIVRDANRFHHFVNGECSCNDYW >CAK8577449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564698881:564701016:-1 gene:gene-LATHSAT_LOCUS29556 transcript:rna-LATHSAT_LOCUS29556-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNAMMGGFLKNREYEEVPRLFKMMGSCDIEMNSYTCVFALKACTVLFDKEIGMEVVRMAVRKGFHLHPHVGSSVINFLVKLGNLDEARVVFDGMPERDVVCWNSIIGGYVQDFLFKEAVLMFVEMIGCGVRPSTVTMASLLKACGESGMKKLGMCVHAFVLALGMGDDVFVLTSLVDMYCNVGDTDRAFLVFNSMQSRTLISWNTMISGCVQNGMVPESFALFHRLVESGAGFDSGTLVSLIRGFSQTSDLENGKVLHACIIRKGLESNIVLSTAIVDMYSKCGAIKQATNVFRTMEKRNVITWTAMLVGLSQNGYAEDALKLFCQMQEENVAANSVTLVSLVHCCAHLGSLKKGRSVHAHLIRHGYTFNAVNISALIDMYAKCGKIHSAEKLFCKGFHLNDVILCNSMITGYGMHGQGHQALGVYDRMIDERLKPNQTTFISLLTACSHSGLVEEGRILFHSMERDHNVKSSDKLYACFVDLLSRAGCLDEADSLVKQIPIEPSTDVLEALLSGCRIHKNINMGIQVADKLISLDYLNTGIYIMLSNIYSEARRWESVNYIRGLMRTRGLKKTPAFSLIELGNQVYTFFAGDDSHPGWGNIKQLLENLRLEVEASGYVPDTSCVLHDVNESMKVQLLWGHSERLAIAFGLLNTPYGSLIRITKNLRVCVDCHTVTKYISKIVKREIIVRDANRFHHFVNGECSCNDYW >CAK8534040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:685240636:685243316:1 gene:gene-LATHSAT_LOCUS3614 transcript:rna-LATHSAT_LOCUS3614 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSQDQRSRPAKPPTIHTCALSGDLLGLHKLLRENPNLLNERNPVMAQTPLHVSAGNNRAEIVKFLLEWQGSERVEIEAKNMYGETPLHMAAKNGCSEAAQLLLAHGASVEARANNGMTPLHLAVWHSLRAEDFLTVKTLLEHNADCSAKDNEEMTPLNHLSQGPGNEKLRELLNKHLEEQRKRRAIEACGETKAKMDELEKELSNIVGLYDLKIQLRKWAKGMLLDERRRALGLHVGTRRPPHMAFLGNPGTGKTMVARILGRLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQQAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFIDFSSEELATILHIKMNNLAEDSLLFGFKLHSECSIEAISALIERETTEKQRKEANGGLVDTMLINARESLDLRLSYDCIDTEELLTITLEDLGAGIGLLSQ >CAK8561266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132192414:132197302:1 gene:gene-LATHSAT_LOCUS14875 transcript:rna-LATHSAT_LOCUS14875 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQGKKSRAGLSSQVDSRIPSTTGKSLSKPAPQLSSEPPQSHHGLEKLSAAKKYVLIPDNFTSLQQVTSALRNEGLESSNLILGIDFTKSNEWTGRISFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDDDNLIPCFGFGDATTHDQEVFSFHADNSACYGFEEVLACYQKIVPNLSLSGPTSYAPVIEAAIDMVEKTHGQFHVLVIVADGQVTRYENNHDGKLSPQEERTIKAIVDASSYPLSIVLIGVGDGPWGDMKKFDDKLPARDFDNFQFVNFNDIMSKKISSSAKEAAFALAALMEIPFQYKATLELGLLGRTTGRAKKMVPRPPPAPYSRPMPPDYFLRNMPESSMDDERNQMICAICLTNRKDLAFGCGHMTCRDCGSRLSNCPICRQRITNRLRVFSG >CAK8571647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454674025:454675636:1 gene:gene-LATHSAT_LOCUS24288 transcript:rna-LATHSAT_LOCUS24288 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVTSSSIGVNLRPLSPIRHSSFPTPTSRPTHLRHNLKCLATKEDSPEPLPLTRNTETILHSFSPLPLLYTAALIPGDGAVKSAFEPFVEIVKSLNLPDWLVHWGHPANMAVVLFAMGGYGTYLGFRIRFSDDAEEKAKAKDLHPKLLAGMFFFFALGATGGVTSLLTSDKPIFDSPHAVTGIIGLALLTIQTILPSLFEGNPGLRNVHGILGGSIMTLFLIHAAFGLQLGLSS >CAK8537650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415317402:415323727:-1 gene:gene-LATHSAT_LOCUS6916 transcript:rna-LATHSAT_LOCUS6916 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRATYFFPRQFPEKRLDESSNKILDHEKKKLGVNSTDTTFCIENVTATSTTSNKDDVVFSPAKNSTVSDLFSSGDKFRCEQKQIAAFCDWLVDKRHTHHTHHSHHHHRRHHHHHHHRHRSDQRLPEDEPLLPDLHAPEKDDVDRSFDREVSLPRLSSGSSYAASLFASDVTVTATFSSDDITREDTSSFRVSKNEAKRRNEQHQQKEEEENNEEEEKCSEENHAKKYTESYELQLAFAKRLSFLSSFGSEPVLTFDTGLETWDVESVSRRLWVTGCLSYTDKISDGFYNILGMNPYLWLMCNDMEEEGNCLPTSMALRAVEPNESSLEVILIDRREDSRLKVLQDKAQELYSASENTLMLVEKLGKLVAIFMGGTFPMEQGDMQRRWQMVSKRLRNFHQCVVLPIGSLSTGICRHRAILFKRLADYIGLPCRIARGCRYCVSDHQSSILVKIKDDRQLSREYVVDLVGEPGNILGPDSSINGAYVSSTPSPFQISHLRKSQSPHVDDAASQVIGFDQISPEVIGFNQISPDNHLYSGPLQDEQQSKETDLLKNNNGFTYASVDQTCGDPSEALRPASEALSHEIPFGKDSVAVQEISYNEIIAKGSSVVNGIQSKQEQVDSRQGAGDIPKYVNLEPSLAMDWLEISWDELRIKERVGAGSFGTVYRGEWHGSDVAVKVLSVQNFHDEQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLFRLIHRPVSNEIQDPRRRLRMAIDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWNVKVCDFGLSRFKANTFLSSKSVVGTPEWMAPEFLRGEPTNEKSDVYSFGVILWELVTLQQPWDGLSHAQVVGAVAFQNRRPSIPPNISPVLASLTESCWADNPADRPSFGSIVETLKKLLKSPADAIKMGGTERAHCS >CAK8541333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:123399408:123400079:1 gene:gene-LATHSAT_LOCUS10262 transcript:rna-LATHSAT_LOCUS10262 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRRSLLLLALVSIGCLFASSVAKEEGTTKLGTVIGIDLGTTYSCVGVYKNGPVEIIANDQSNRITPSWVSFADSQRLISEAAKNLAAVNPERTIFDVKRLIGIKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETTEEFLGKTIRDVVVTVPTYFIDAQRQATKDASVIAGLNVARIINKQQQPPWNLHNTRTNH >CAK8542283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497372587:497375741:-1 gene:gene-LATHSAT_LOCUS11130 transcript:rna-LATHSAT_LOCUS11130 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMRRLKSIASGRTSVSSDPGDSTTKRAKFDQGAEGKENNEINRAEGGENNQDKYVDASQEDTSSKSNTTVSAVSKTEKSGYDQLPKELHEMKIKDDKSKNSKEKDMEATVVNGNGTEAGQIITTSIGGRDGQPKRIISYMAERVVGTGSFGVVYQAKCVETGEAVAIKKVLQDKRYKNRELQVMRMLEHTNVLKLKHCFYSTAEKEEVYLNLVLEYVPETVYRVSKHYVRMHQHMPILYVQLYTYQICRGLNYMHHVVGVCHRDIKPQNLLVNPTSHQLKICDFGSAKMLMPGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKSMPSEAVDLVSRMLQYSPNLRCTALDACAHSFFDDLRDPNVRLPNGQELPPLFDFTAQELAGASDELRRRLIPEHARS >CAK8565812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337901729:337902916:1 gene:gene-LATHSAT_LOCUS18993 transcript:rna-LATHSAT_LOCUS18993 gene_biotype:protein_coding transcript_biotype:protein_coding MARENSTLPICFFKIILQTNLQTIKIPNKFTRRHGAGLPNPVMIQPPDGTKWKVFWKNINGDIWFEKGWKTFTRNYSLQHGCLVVFKYKERTPELDVIILGQHALEIDHDSSNGILDDEHENLDDSDDESVEILNEWHNEKKPRQRSTLASPRPHKKSIGEIQHISQRTTSLNRPKESRARQVAQEFISSNPFFTILINSANLAANRPKVPNLKGIIDDKTMDVKVKIGKRSWNLKLLSYHDKTHRCLSAGWSSFARESGVQPGDVCVFELINKEDLVFKVHVL >CAK8566452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424512803:424515374:1 gene:gene-LATHSAT_LOCUS19582 transcript:rna-LATHSAT_LOCUS19582 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVESLKERKYPFIFTFSALLIWFMILLYANTTTFTTSDPKAFYPDVKVQQPLDQHQHAPPPPPPPPLVEDSKDRQEQPQNRHEGLLHWEKAFDTNNFSVDLNINWKLCKGVIAVDYIPCLDNMKVIKALRTRRHMEHRERHCPKSSSRCLLPLPKGYKVPVVWPKSRDMIWYHNVPHPKLVEYKKEQNWVVKSGEYLVFPGGGTQFKDGVNHYINFIQKTLPTIQWGKNIRVVLDAGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLFELNRILRPGGFFAWSATPVYRDDERDQKVWNAMVRVTTEMCWIVVAKTFDSSGIGLVIYQKPTSSSCYEKRKKNNPPICKSSDIKQISWYTKLSSCLIPLPVDDAARWPASWPNRLTSLPPSLSSESDSGDMFNKDTKHWSRIVSDIYMEAPINWSSVRNVMDMNAGYGGFAAALIDLPIWVMNVVPVDKPNTLTVIFDRGLIGIYHDWCESLNTYPRTYDLLHSSFFFKNFGQRCDIVDVVVEIDRILRPDGYVLVQDTMEAIRKLGSILHSLHWSVTLYQNQFLVGRKSFWRPKP >CAK8571654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:456423675:456425716:1 gene:gene-LATHSAT_LOCUS24295 transcript:rna-LATHSAT_LOCUS24295 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKTETWIEKAKPLIAVLFLQLGYAVMDVLSKAALNKGMSNYVFVVYRHVVAFIVIIPFALYFEKKVRPKMTISIFIKVLVLSLLEPVIDQNLYFLGMKYTTATFAAAMTNMLPAFTFILAAILRLEKLKIKSIRTQAKVLGTITTVAGAMMMTLVKGPILLEAFGNKSHHHDSVAISTQHAIAGGVFISIGCISWACFFNLQAITLQTYPAPLSLSSWICLMGTIEGAAVASVMEWGHPSVWSIKWDMRLLSIVYTGLFCSGLSYYLGGVVMKTRGPVFVTTFSPLSMVIVAIFGYFLLAEQMFLGRAIGAFIICLGLYLVVWGKSKDYENSSESIVEEAVESPKQTAGENCTHEVIIIR >CAK8542578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527267035:527268625:1 gene:gene-LATHSAT_LOCUS11406 transcript:rna-LATHSAT_LOCUS11406 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLAACFRPRLDRYTRGGSDAGGKQEGLLWYKDSGKHLNGEFSMAVVQANNLLEDQSYIESGSLSSGDSGPYGTFVGVYDGHGGPETSRFINDHLVRHLKRFTAEQQSMSADVIRKAIQATEDGFMSLVTKQWSMKPQIASVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGEVLAVQLSTEHNAAIESIRHELQSMHPDDSNIVVLRHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLREPFKTPILSSEPSISVHQLQPQDQFIIFASDGLWEHLSNQEAVDIVQNNPRSGIARRLVKAALQDAAKKREMRYSDLKDIDRGVRRHFHDDITVIVVFIDSNLVSRASNVKFPTISVRGGGMNLRPNTLAPCTTPTEASAT >CAK8574467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:276740:276919:1 gene:gene-LATHSAT_LOCUS26811 transcript:rna-LATHSAT_LOCUS26811 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRRFFSFIAGNVVGIYVAQNYQVPNIKNVADTFLLKAKEFEDKYRKPNKKGGNDGD >CAK8541923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:433303108:433304050:1 gene:gene-LATHSAT_LOCUS10811 transcript:rna-LATHSAT_LOCUS10811 gene_biotype:protein_coding transcript_biotype:protein_coding MFAADQDYYGEGKNVVLCMVPMHHVMGLAVITYTYMRRGNTVVSMVRFELEKALATMEKFRVTHLSIAPPVMVELVKWRQVVGRYDLSPLKRLACGAAPLEKDVMKECAKILPQNKIVQGYGLTEACGLVSVKNSREEWFICGLGSSGALLSSVESKIVSLETSKTLPPNQVGEIWLRGPTMMKGYFKNPEATKHTINDEGWMVTGDLEYFDEKGHQNYNILMPWKALIYHILGDEGNGYPGSCLCNEDFVCL >CAK8562183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451431936:451435134:-1 gene:gene-LATHSAT_LOCUS15702 transcript:rna-LATHSAT_LOCUS15702 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHQAKTTLEPFTTLLWIILPLTMFLLVNFSSKISKRLSYPPGPKGLPLIGNMNMMDKLTHRGLANLAKQYGGVLHLRMGFIHMVAISNAEAAREVLQLHDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVDVVITNVNDNLGKSVNIGELVFNLTKNIIYRAAFGSSSKEGQDEFISILQEFSKLFGAFNIADFVPWLRWVDPQGLNARLVKARGALDGFIDKIFDEHVEKKKRNMRGDEDSDMVDELLAFYSDEAKVNDESDDLHNSIKLTRDNIKAIIMDVMFGGTETVASAMEWAMSELLRNPEELKRVQQELATVVGLDRRVEESDIEKLTYLKCVVKETLRLHPPIPLLLHETAEDATVGGYYVPKGSRVMINAWAIGRDGDLWKDAEEFKPSRFLNSSAPDFKGSNFEFIPFGSGRRSCPGMQLGLYALDLALAHLLHGFTWELPNGMKPNEMDTSDVFGLTAPRASRLVAVPAKRLVCPL >CAK8566682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448622842:448635502:1 gene:gene-LATHSAT_LOCUS19794 transcript:rna-LATHSAT_LOCUS19794 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTISASTLESSLQNPSEPEINSSPPRNYLAETPESSLRNPFEPDINLPQRNYLAERVLKRRLIEEAQDESLIHGLELQEHAAKFLESNDLWLLQEAIYESDETKKEPLSKIFRSFELHYPNAFSLKLVNILQLHPTRLTRVDSLGYLLQILQRGETSFLNSSILIELKNPLLHSFKEESEEDMLPRLCEAIGLLVDRLHQSSLGGWEELLQYIYDCISGVAKLNNKKGLMLLTVSSVNREFWLNQGNFDLVFSIVSELVYSMDQELKALAYNSSISLISLSKDLQRTKVSDSLLPILLNTIDQHGEEVVLMNRIESLLDLVTPGDGTILKGKHGDVFRCMIRVAEIEHASEELGSLAVYVIKELYEASMIKNLSHEELKRVLVVAMNMLLCVVDDPLWYDVDYKHFINVGMTDAFYRGSFLFNSLMLDRDEGVFIPTAIEMITIIYASDIDWRLRHAAMLAFGRIAERNIKGDMIQYFDQVARLVLKSLDDRDPRVLWATMKAIESLSEYKELLMHGQYHKKLLAKLVPIIRCNSCARVQLYAVMAIHSLVKNCGLDKISPFGDSIIASLLALLKHEKQKLQVEAIDTLKSFAVLMPGTFRQNHYDTALEGLKVIVFDKYNLSRFLLFAKCLECMVYLVRKVGPDNFEEQEVVQVMESVISIEENLSSTEYLTKCFILKALNQICRCPRVSIDKYLDKLMPMLLGSAQLHLALTVDKLKDDYEKNLVETMIVWACNTLSYCAVRSSINFFPNIGKVTALFTRLLGCSSFQIRKASVLGLPNLLLSLKVGDKNIDTKSGLTFFIVNSLIEVLKKETDRVLYAIVWSLLVKCIQTSSSYFNDKLIKVIADEIEDTIRMIIEIEITKAQEVETSEGRCESLPTEDRIQAVVHLITTTIDTFKDRFMPHVDDLLSNVVVFLADDNSDRLVAFAISIFNVILPLFPDKLPLYHDRYSLASCFALRRDYPCSELHATRAIGICAMYGGDQFKALASEGILGLYNVMGKTRHCILCDTAVASLGKIFEFHHESIGPKAIQKWLNFLPLKHDFNEARYAHGLLSKLIQRSDEYLFGSNNENLAMIICIVKEILSGPDRLGTEESINQMIDFIDQHGGVEVEIGG >CAK8566660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446517194:446518543:1 gene:gene-LATHSAT_LOCUS19775 transcript:rna-LATHSAT_LOCUS19775 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPAPSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTKSVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEQSSKQMSMENLKNFFKRKYPNESEDEIMVTILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8543823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641476058:641481922:-1 gene:gene-LATHSAT_LOCUS12554 transcript:rna-LATHSAT_LOCUS12554 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLFAGIRFDRKKFGADIARFQKKTADTSSAKIQSVTEDEEIKETIEAVSSKKRKRKKTSSEAVGGFSVFRSSTSKTNEKVQAGDDESIRLKKEKNKQLERDAIFRKSHNIHVSGYNVPSPLQSFDELKTSYKCPSYLLRNLAEHGFREPTPIQQQAIPVLLQDRECFACAPTGSGKTLAFVCPMLMKLKAHSKGGVRAVIICHSRELSGQTYRECKKFAKGEKFRIKLMTKHLLQGSDFSKFPCDILISTPFRLRLATQRKKLDLSRVEYLVLDESDKLFEPGMFKQIDVIIKACTNPSIVRSLFSATLPDFIEDKARELMHDAVRVIVGRKNMASETIKQKLVFTGSEEGKLIAIRQSFAESLNPPVLIFVQSKERAKELYGELAFENIRVDVIHSDLSQEERENAVDNFRACKTWVLIATDVVARGMDFKGINCVINYDFPDSASAYIHRIGRSGRAGRSGEAITFYTEEDIPFLKNVANLMASSGCEVPSWLTDLQKKKWRKHRPKRDSISTKPDKVAKKQVTEKAVEEAPAQKDSISTKPDL >CAK8571125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308267643:308267952:1 gene:gene-LATHSAT_LOCUS23816 transcript:rna-LATHSAT_LOCUS23816 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVEISSSSSHFRRRRIKCYHGLDSPFATAWTSENPCRRFYGCGLLKLQGSKGYSFFIGTMTRFRNGQRK >CAK8577091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536428817:536429791:-1 gene:gene-LATHSAT_LOCUS29229 transcript:rna-LATHSAT_LOCUS29229 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGGEIVRVEGGHIVRSTGKKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSRAVDWLIRKAKPSIDKLAELPSWHPIGETQTLMPQEEEQNVADVSTGMVIGESSNGYSFHLLSQLSEDQDNPSSGFIDPDPNPIPFFPTTSSTSSSINFQNYPSENLGLSLHSFQYHNGFIPWQSQQPCENQNHNHNPNQTLFDNQYHVNWNNETTNDYIKKVGFMVNSDQFLGQGSDYTPNETLQSNFSHSALSWNETPMDSSSEVHQSSQQVHHQASIFGSRFVSDGLPGFCTLDTVQDGDEGHGVSSDKPSSVSPNHN >CAK8577929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597624270:597626329:-1 gene:gene-LATHSAT_LOCUS29991 transcript:rna-LATHSAT_LOCUS29991 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSCLLNLVFKFLHHFAWPLVALMYPMWASVQAIETDSNAATKDLISYWILLSLIYLFEYAFMSLLLWLRVWLYIKLMIIFLLTIPDFGRATYVYDNFIRPVKLQIVAWRKCFVEKDDFLMHAERYVKENGTEALEKLIASKNTMCRPDAKVTNEIIATDNKNALKTNGERLQIVHRDIKDLEATEKKEIHSTKQDIPVMPKVGPSQSASSATVETKGTAESDRTGGEVSQSSSTPKKMQKEWTCALCLVTTTSEITLNSHLSGRRHRDAAAALIAKKQPTIQKQKEAEVTNETISNDNIDMLKTNGNQRLEIDNKNIKDLETIDKKEIPATKQRTYAMWQVKRHHLT >CAK8537250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:177564377:177567316:1 gene:gene-LATHSAT_LOCUS6553 transcript:rna-LATHSAT_LOCUS6553 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLSPSILATTIFTLIFHFLLLTHATKNPDFHPLLAFKTATDTSNKLTTWNITRDLCTWYGVSCLRNRVSLLVLENLELNGSIQPLTSLTQLRVLSLKRNHFNGPIPNLSNLTSLRLLFLSYNNFSGEFPSTLTSLTRLYRLDLSHNKLSGEFPVNVNNLSRLLTLRLDKNQIYGHIPNIDLSYIQDFNVSGNSLSGGIPEYLAGFPDSSFTQNPSLCGTPLQKCKNLPALASSLVPSSSSSIRGNKTHRNGGPRKGTLVLIAIILGDVFVLAIVSLLLYCYFWRNHSNKMKEKKEGESNSKSLEGENQKIVYVGQQGLEKGNKMVFLEGAKRFEIEDLLRASAEMLGKGTLGTVYKAVLDDGSVVAVKRLKEVHIGGKKEFEQRMEVIGKLRHTNIVSLKAFYFARDEKLLVFDYMVNGSLFWLLHGNRGPGRTPLDWTTRIKIAAGAAQGVAFIHNHNNNNNNNRSHGNIKSTNILVDVSGTARVVDFGLSIFTLPSTRRSNGYRAPEALDGRKQSQKSDVYAFGVLLMEILTGKCPSAADGGSKVELPKWVQSVVREEWTAEVFDLELMRYKDAEEEMLALLLIALTCTAAAPDKRPKMSHVVKKIEELRGVGVSPCHDTLGSVSESPSASEDACGGATH >CAK8530961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66401230:66406894:1 gene:gene-LATHSAT_LOCUS785 transcript:rna-LATHSAT_LOCUS785 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREQNRILTNDVDTEPFDDSSSHSSEEDDDKENQYFEDTVPFDDGDAAFETEVVNFDGETEVLDIAGETQKLDDFDTQILDEGYESEGTQVLENSDDEVSVDDTQCIDSGPDSTVVTDQPTTESGSDKKQTGSGSMPPRFTFIRVEALRQAALAKSNLNLKHTHDQSNPVTGMNKKESFLGPPEKVGEVGQELNHGKHNVEVVGFENESMSNFARTTVRKLFNDDLPVEINEPSLSNNDFNEVDSLDKSPDYHGELERLSYVNSQEPGELSQNKALECVDRFLNSNFMELNEKPNYFTNVEKKSKSLPCFKGLQSLSKRINDRSKAKQTEIFDWDDNCEDEGGGDIYLRRKEDFFKGERHRPRSLPGCRKVKSCRPKGDKEDEQSSLPNRRKAAGHSESKLGMHNLKIRDDNKQQATRKLERNIANELDEEFNANCSRGEMGPNGNEDGQEMLDVGLDTQIAAEAMEDLLNTVEVADHVANDATRVTRSRSAYQLNTSSTGKMGPVTPKERTRKYDKKKIGVKSDLKTSGLSKKCTKKTGQREKGNVMSRSKNIANELDEQFNANCSKGEMGPNGNGDGQEMMNVGLDTQIAAEAMEALFNTVEVSDHVANDNTRVTRSRLTYQFNNSSTGKMGPVTPKEHTGLSKKCTKKAEQHEKGNVMSRSKKSKLNADGNQTSGTNKNGRIVSPPLGEQRKSAEALKRHHLDELKNLKSNDGGSTVDRKRVQDEVFHCTPIARRTRRSLAVREGATGIDPHEKSSGLGPKSTPGSFDNFAVDDNTKLCQQEKLASKENVVSVSNGISVDTLDYPRRRRSLRITRFSNHDEGSESLVGSSKSFKQNGDIGKGSSKSSEPTEDIEKSTARKRKTRTHSVIKSHVINYSPSSSHGGLVVPSDDQMQRKTLESNLNSNVKNNAEVLLSTKNLEVTISDESVRDGYKSPDMATTSPANCKTPVNDASPVCMGDDYYKQSCKRKYSKACDLKVFRVDLRKELSSLNAIRPELITPSKDSRKRKDMADVRILYSHHLDGDIVKHQKKILARLGVSMASSIADATHFITDQFVRTRNMLEAIAFGKPVVTHLWIESCGQANCFIDERNYILRDDKKEKEFGFSMPVSLARATQHPLLEGRRVFVTSNIKPSKEIISSLVTAVHGQAVERVGRSALKDHKIPDDLLILSCEEDYASCVPFLEKGAMVYSSELLLNGIVTQKLEYERHRLFAEHVKKTRSTIWLKRDDRKFAPVTKCN >CAK8531870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168191188:168191823:-1 gene:gene-LATHSAT_LOCUS1632 transcript:rna-LATHSAT_LOCUS1632 gene_biotype:protein_coding transcript_biotype:protein_coding MENSISLENVGQYLQQTYGGRVLPILYIWGIKLLAVGQSSTITGTYAEQFIMGDFLNLRLKKWPRALITRSFAIVPTTIVALWFDTAEDSLIILNEWLNVLQSVQIPFALIPLFCLASNEHIMGTFKIGTFFKVISWCVAALMTVINDSQLLEFFSSKVNGIIVGAIVCVVTATYVVFIIYLILRATTFSVVLDLAKANSVTANDILSLDS >CAK8578991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666247952:666249085:1 gene:gene-LATHSAT_LOCUS30968 transcript:rna-LATHSAT_LOCUS30968 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVQKGSAETFFRKVKFWKEDADGDAPPVFNVYGVNYFHVKVAGLLFVATTRVNVSPSVVLELLQRTARVIKDYLGVLNEDSFRKNFVLVYELLDEMIDFGYVQTTSTEVLKSYVFNEPIVIETAQMPLGPTSIFMHGSKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFDVDRTLSLVPPDGEFPVMNYRITQAFKPPFRINTLIEETGPLKAEVTIKVRAEFNSSINANTVLVQMPLPTFTARVNFELEPGAVGHTTDFKEANKRLEWGIEKVVGGSYHILLQS >CAK8562661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:523598060:523602153:-1 gene:gene-LATHSAT_LOCUS16139 transcript:rna-LATHSAT_LOCUS16139 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGETNQMNIEEDTETITKDIPEKKLKDRKVSWAKLRRVDSLNLEAGRVSMNTNHHSKVGWSMTLSLAFQSIGIVYGDIGTSPLYVYASTFTDGIKNRDDILGVLSLIIYTIVLIPMLKYVFIVLWANDNGNGGAFALYSLICRYIKVSLAPNQQPEDMELSNYKLEIPSYKQKRANKIKKMIEQSHFARILLLLLAIMGTSMVIGDGILTPSISVLSAVSGISSSLGQNAVVGITVAILVVLFSMQRFVDYFKRNGKEGWISLGGVFLCITGSEAMFADLGHFNVRAIQISFSFVTCPAILAAYVGQAAYLREFPDKVSNTFYDSIPDPLYWPTFVVAIGAAIIASQAMISGAFSIISQALSLGCFPRVRVVHTSTKHQGQVYIPEINYMFMLGCIVVCVAFKTTDKISHAYGIAVIGDMMITTTLVSLIMLVIWKKSLWMVILFFCVFGFTEILYFTSQLTKFTGGGYFPIVLALFLTMIMGTWHYVHKERYMFELKNKVSTEYLKELANNADVHRIPGIGLLYSELVQGIPPIFPHFVASVPSIHSVVVFVSIKTVPVSRVASEERFLFRQVEPREYRIFRCVVRRGYNDVLEEPVEFESQLIQNLKGFIQQENFMLEANEGTTTTNVAAATSGELVVPISTNENEQMEDVKPKSTNSSSRIIPSIGVSHVSSDSIRSLPGSVTKSSNFYAPMIQGPEEEIKFIDKAMERGVVYMIGEAEVVAHPNSSILNKIVVNYAYSFLRKNFRQGEQSIAIPHKRLLKVGMTYEL >CAK8562660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:523598060:523602153:-1 gene:gene-LATHSAT_LOCUS16139 transcript:rna-LATHSAT_LOCUS16139-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGETNQMNIEEDTETITKDIPEKKLKDRKVSWAKLRRVDSLNLEAGRVSMNTNHHSKVGWSMTLSLAFQSIGIVYGDIGTSPLYVYASTFTDGIKNRDDILGVLSLIIYTIVLIPMLKYVFIVLWANDNGNGGAFALYSLICRYIKVSLAPNQQPEDMELSNYKLEIPSYKQKRANKIKKMIEQSHFARILLLLLAIMGTSMVIGDGILTPSISVLSAVSGISSSLGQNAVVGITVAILVVLFSMQRFGTDKVGALFAPIILLWFLFIAGIGLYNLFKYDIGVLKAFNPKYIVDYFKRNGKEGWISLGGVFLCITGSEAMFADLGHFNVRAIQISFSFVTCPAILAAYVGQAAYLREFPDKVSNTFYDSIPDPLYWPTFVVAIGAAIIASQAMISGAFSIISQALSLGCFPRVRVVHTSTKHQGQVYIPEINYMFMLGCIVVCVAFKTTDKISHAYGIAVIGDMMITTTLVSLIMLVIWKKSLWMVILFFCVFGFTEILYFTSQLTKFTGGGYFPIVLALFLTMIMGTWHYVHKERYMFELKNKVSTEYLKELANNADVHRIPGIGLLYSELVQGIPPIFPHFVASVPSIHSVVVFVSIKTVPVSRVASEERFLFRQVEPREYRIFRCVVRRGYNDVLEEPVEFESQLIQNLKGFIQQENFMLEANEGTTTTNVAAATSGELVVPISTNENEQMEDVKPKSTNSSSRIIPSIGVSHVSSDSIRSLPGSVTKSSNFYAPMIQGPEEEIKFIDKAMERGVVYMIGEAEVVAHPNSSILNKIVVNYAYSFLRKNFRQGEQSIAIPHKRLLKVGMTYEL >CAK8542020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455984456:455988904:1 gene:gene-LATHSAT_LOCUS10895 transcript:rna-LATHSAT_LOCUS10895 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTFFIFFIALLLYFHPVVSLSSDGLALLTLKSAVNGDGAAAFSDWNEDDLTPCQWSGISCANISGESEARVVGVGLAGKGLRGYLPSELGNLIYLRRLSLHTNLFHGSIPVQLFNASSLHSIFLHGNNLSGNLSPSICNLPRLQNIDLSQNSLAGSIPGSLGNCAQLQRLILARNNFSGDIPVTPWMKLKNLVQLDLSANLLEGSIPDEIGYLNSLTGTLNLSFNHLSGKVPKSLGKLPVTVSFDLRSNDLSGEIPQTGSFSNQGPTAFLNNPKLCGFPLQKQCSSSAPSEPGTSPGSARQHVNRSKKGLSPGLIIIITVADAAAVALIGLLVVYVYWKKKDNSNGCSCTLKRKFGGNGNNEKSSFCCFCLSLGCVKGFKSDDSDIEESEKGGREGGGRGEGEGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFAAEVQAIGKVKHPNIVKLRAYYWAHDEKLLISDFISNGNLANALRGRNGQPSPNLSWSIRLRIVKGTARGLAYLHECSPRKFVHGDLKPSNILLDADFQPLISDFGLNRLISITGNNPSTGGFMGGALPYLKSSQTERINNYKAPEARVPGCRPTQKWDVYSFGVVLLELLTGKSPDSSPGASTSVEVPDLVRWVKKGFEQESPLSEMVDPSLLQEIHAKKEVLAVFHVALSCTEGDPEVRPRMKTVSDNLERI >CAK8573678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629290170:629293943:1 gene:gene-LATHSAT_LOCUS26093 transcript:rna-LATHSAT_LOCUS26093 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEDGGRSTPRTESEGEALNKKMKKSGNLSGKDMIFRADEIDLKTLDAVFDRHMSRAYSRSAEAKRPREEWEVDLAKLDLRYVVANGAYGTVYRGTYDDQDVAVKVLDWGEDGVASVAEIAALRVAFRKEVTVWQKLDHSNVTKFVGASMGTSNLKIPSDAGGQNPPPSKACCVIVEFVHGGTLKQYLLKNRRKKLPFKVVVQLALDLARGLSYLHSKKIVHRDLKTDNMLLDENQKLKIADFGVARVEAINPSEMTGETGTIGYMAPEVINGKPYNRSCDVYSFGICLWEIYCCDMPYQNLSFADASSAVASKNLRPDIPRCCPSALATIMKKCWDKHPEKRPQMQEVVSMLEALDTSRGGGMIPEDQTPFCVWFRRARGP >CAK8535261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831213528:831214907:-1 gene:gene-LATHSAT_LOCUS4734 transcript:rna-LATHSAT_LOCUS4734 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPKNTQTKLGQFNLNPNLITFFPLNVPHIDGLPFGAETTSDVSLSLGPLIMTAMDQTQPQIELLLTQLKPKIVFFDFTFWLPKFAQTLGIKSFQYFIVSPATVSYTTSPPRMCKGTNLTEFDFMKPPKGYPNSSFNLYSHEAKYLALKRKFEFGSGILFYDRLYNGLNFTDAIGFKGCREIEGPYVDYMEEQFGKPVLLSGPVLPESPKTVLDEKWGSWLGGFKEGSIVYCALGSESKLTQEQFHELLLGLELAGYPFLAILKPPVGFETIEDALPEGFKERVKEKGIVHSGWIQQQLILKHSSVGCFVTHCGAGSLTEGMVNNCQMVLMPHLDSDHIINTKIMGRELKVGMEVKKGEDDGLFTKENVCEAVKIVMDDENEIGREVRTNHAKLRSLLLSHDLESSYVDSFCEKLQELVR >CAK8539134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504913710:504915308:-1 gene:gene-LATHSAT_LOCUS8259 transcript:rna-LATHSAT_LOCUS8259 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVYYWHSPQKKILMRPLTLTSNCLSHFHSLSDHHQLLHRPISELNSLINSYVRRAQPISAWNLFRSLRRLRSDIDAHTFTPLLRPSSPSLGKQFHAQMIRTGADSGTVPKTALLDMYSRHGSLDDSLKVFDEMLHRDVVAWNALLSCFLRCGQPRETIGVLREMRRENVELSEFTLCSVLKSCASLKALELGRQVHGLVVSMGRDLVVLSTALVDFYSSVGCVDHALNVFYSLNGWKDDMMHNSLVSGCIRNGRYAEAFKVMSLVKPNVVAFTSVLVSCSEKLDLVTGKQVHCVAVRWGFTFDTQLCNVLLDMYAKCGKISLARSVFDGIRRKDVISWTCMIDAYGRNGCGDEAIMLFQNMREDGSEVLPNSVTFLSVLSACDHSGMVEEGKKCFNVMREKYGIVPEPEHYACFIDVLGRAGNIEEVWSAYQNMIEQGTKPTAGVWIALLNACSLSQDFERGEFAAKHLLLLEPDKASNIVLVSNFYAAIGRWDCVDELRSIMRTKGLVKEAGNSWIGEGFNGHARSLST >CAK8543390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604435325:604436014:1 gene:gene-LATHSAT_LOCUS12148 transcript:rna-LATHSAT_LOCUS12148 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLINLEGYFYSCNHCDTPLALVNHLLSTKFECPYKGRAYLFDKVVNVLDGEREDPVPGSHSTIVKIFCGRCEYFLGWKFVFAYAVILRYKEGKFILAR >CAK8560972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65672556:65708674:1 gene:gene-LATHSAT_LOCUS14605 transcript:rna-LATHSAT_LOCUS14605 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAEVLGQVHVATRVRPVDALWAVAYGGPLSLLPLAVSNIQEDTLEPHQGNFSVSVATTSLAAPIFRIISTAIQYSRNSEELSRCRGPAVLSKILNYLLQTLSSLGIGRDDGVGDEELVAAVVSLCLSQKINHTLKVQLFTTLLLDIKIWSLCNYGIQKKLLSSLADMVFTESAVMRDANAIQILLDSCRRCYWTVPEKDSVAGATRPVGEVNALVDELLVVIELLIVAASPSLVSDDVRCLLGFLVDCPQPGQISRVLHLFYRLVVHPNTSKAHTFVEVFLACGGIETLLVLLQREAKAGEIVVQESVSKFSGLQKNETDASFEIMKTCQDDEGSDLKSESIVQDNDQGSESVDSGSNFDLGSPDLNIERTTSNSEIPHIRNLGGISLSISADSARKNVYNFDNSDGIVVGIIGLLGALVVSGHLKFGSHAVPEATSNLMGVGLNDGGGTMFDDKVSLLLFSLQKAFQAAPNRLMTDNVYTALLSASINASSRENGMNLHDSGHRFEHLQLLLVLLRSLPFAPRPLQSRALQDLLFLTCSHPENRGRLTNMEEWPEWILEIMISNYELGPSKPSDMTSLGDIEDLLHNFLIKVLEHSMRQKDGWKDIEATIHCADWLSIIGGSSTGEQRIRREESLPIFKRRLLGGLMDFAARELQAQTQVIAVAAAGVAAEGLSADDAKEEAENAAQLSVALVENAIVILMLVEDHLRFQGKQSSSLRPADGSPSPLSLFYPVNNNSTTIIRESTEAMGDRTSSRNSGGTSSRNSGGISLDVLSSMADAYGQISHSVMERLAAAAAAEPYESVSCAFVSYGSCAKDLANGWKYRSRLWYGVGLPSNIASFGGGGSGWNIWKSSLEKDASGNWIELSLVKKSVAMLQSFLLDDSGLGGGLGIGRGSGTGMGAMGALYQLLDSDQPFLCMLRMVLLSMREEDGEEKNMLIGTMNTEDAISEGEKPCSVLLWSVLSPVLNMPVSDSKRQRVLAASCVLYSEVYHAVSIDQKPLRKKYLEAILPPFAAVLRKWRPVLAGIHELATADGFNPLNVDDNVLAADTQPVEAALAMISPAWAAAFASPPASMALAMIAAGTSSGESNAPSTSAQLRRDTSLMERKQARLHTFSSFQKPLEVPNKTPPLPKNKAATKAASFAAARDRQRFSRIGSGRGLSAVAMATSAQRRNESDMERVKRWTVTEAMEVAWTECLQPFDTKSVYEKDFNALSYKFIAVLVASFASARNIQRSEVDRRAHVDLLTRRRISAGIRAWCKLIHQLIEMRSLFGPFADHLYSPLRVFWKLDFMESSSRMRRYMKRNYQGSDHLGCAANYEDYSSDTNYQRTPVLSAEAISIEAINKDEEQVETENMDTKFNNIAENQPKISESAEEMVQISLEPNATQLQSQKGVIQSSSAFSSGRVPSERDERIVLELPSSMVQPLRVLQGTFQVTSRRINFIVDNNETSPPVDGLNFNSAFGDQGKDRSWLMSSLHQVYSRRYLLRRSALELFMVDRLNFFFDFGSSEGRRNAYRAIVHARPPNLNNIYLATQKPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSESLDLSNPSSYRDLSKPVGALSPDRLKKFQERYTSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQLGEKLDTVKLPAWAENPVDFVHKHRMALESEYVSAHLHEWIDLIFGYKQQGKEAIAANNVFFYITYEGTVDIDKISEPAQQRATQDQIAYFGQTPSQLLKVPHLKKMPITEVLHLQTIFRNPKVVQPYAVPSPENCNLPAAAIQASSDVIVVVDWSAPAAHVAKHKWQPNTPDGCGTPFLFQHGKATSGSGGRTLRRMFKGPSGSGEEWQYPQALAFGVSGIRSQAIISITCDQDIITGGHADNSIRVISSDGAKTLETANAHCAPVTCLGLSSDSNYLVSGSRDTTMLLWRIHKALASHSSVISESPSSSSNSSPHFIEKNRRRRIEGPLQVLQGHQSEILSCCVSSDLGIVVSCSDTSDVLVHSIRTGRLVRRLDGVVAHTVCLSSEGVIMTWNELQRALSTFTLNGVLITKTKLSFSTSISCMEISHDGRNALIGINGGADGGNLQSSKSTAVDFHSEQDTQESNSINVPTPAVCFLDLHTLEVFHVLRLKEGQDITALALNKDNTNLLVSTSDKKLIIFTDPALSLKVVDQMLKLGW >CAK8537798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:435686243:435686617:1 gene:gene-LATHSAT_LOCUS7052 transcript:rna-LATHSAT_LOCUS7052 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTLTKTTFNYYRSKIRRTNRASLEWIDSIPKEKWSRAFDRGQRWGHMTTNLAKAMNSVLKATRNIPITALVKSTFYRLGSSFGKLGHD >CAK8562979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563449552:563452280:1 gene:gene-LATHSAT_LOCUS16435 transcript:rna-LATHSAT_LOCUS16435 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTLLTTLKILIIFICAAWISLWILKPTQPWTRIWKHAEQSANNTIFGYYGLNFAVYTFPIIALAIIGLLFLDLKAGYQRTRSARSSSIVFSNPLVVNSLFGILTSIEILVVFLVIVFLGWTYYARISNDFKKLMQDKSLKLNLWQLKYLRIATRFGLLAEACLALLLLPILRGLSLFRIFGIQFEASVRYHTWIGTAMILFATIHGASTLFVWGVSHHIQHEIWKWQKTGRIYLAGEIALVIGLVIFVTSLPQIRRRKFEIFYYTHHLYILFLVFFLFHAGDKHFYMVFPGVFLFSLDKLLLIIQSSPKTCIVSARVFPCEAVELVLPKDPRLKYNPTSVVYMKIPTISHLQWHSFSIISSSKADENIMSVMIKCQGWWTNTLYDLIQTELNKGADKRKGIPVAIEGPYGPASLDFLKHDSVLMVAGGSGITPFLSILAEIDSTTNKNKFPLKIQLVHVIKRAQDVCLLHSITHLLHNQASRKFHLKLKLFVTQETQLDLGITELLNEFVKVKTLKLDTVSSRYYAAYGLESPVWMAAITGCCSITFLVFLICFNHIIIPFRKKSKLSKEKTSSWIVDLLLIAAFVVALSCSALIAIVLRWRKLKKGIPPMSQKEMKPFDQSSAEIKNDLEEHEVHFGGRPNFKDILGQFKNETCGSNVGILVCGPESMKESVAAACQQESECFKLGVKRTEPCFTLHSLNFTL >CAK8574527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1648380:1650131:1 gene:gene-LATHSAT_LOCUS26867 transcript:rna-LATHSAT_LOCUS26867 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHNFVVGQEFPDVKTFRNAIKEAAIAQHFELRIIKSDLIRYFAKCASEGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNALNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGFYQLPSYCEEIKKTNPGSVAEVFTTCADNRFQRLFVSFYASIHGFVNGCLPVVALGGIQLKSKYLSTFLSATSFDADGGLFPLAFAVVDVENDESWTWFLSELHKALEVNIECMLEIIFLSDGHKGIVDAIRRKFPKSSHAFCMRYLSESIGKEFKNSRLIHLLWKAAYATTTIAFKEKMAEIEELSPEAANWLQQFHPSQWALAYFEGTRYGHLSSNIEEFNKWILEFRELPIIQVIERIHSKLKTEFDDRCLKSSSWCSVLAPSAERRMVEAINHASTYQVLRSDEVEFEVISADRSDIVNIGSHSCSCRDWQLYGIPCSHAVAALISCRKDIYAYTAKCFTAASYRDTYAEVLHPIPEKIEWRKTDESSLDNDIVVVRPPKFRRPPGRPEKKRICVEDHNRDKHTVHCSRCNQTGHYKTTCKAEMISSIQQF >CAK8532965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:556410913:556412655:1 gene:gene-LATHSAT_LOCUS2620 transcript:rna-LATHSAT_LOCUS2620 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPIDLPPGFRFHPNDDEIITSYLINKVLNTDFSAIAIGEVDLNKCEPWDLPKKAKMGEKDWYFFSERDRKYPTGRRTNRATELGYWKATGKDKEIYKGKSKQLVGMKKTLVFYKGRAPKGVKTNWVMHEFRLDGKLATCNLPKDAKDEWVVSRIFNKNNNEIKKTSSISNLLRINSISDDLDFSSLPSLMDDTPFEINNTIDHHHHDENFKGTNSSSNGYYFPSYLINNEINLTNQENLNIPKTPFKHNMLSNDSYMNHQWNKECKMEQQFSTNNSLLSVSQDTCLSNEMNNDISSVVSKQEIMGRNATLYEDFEYLMNGY >CAK8530728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44887660:44889027:1 gene:gene-LATHSAT_LOCUS572 transcript:rna-LATHSAT_LOCUS572 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPTILMKKYEVGRMLGQGNFAKVYHARNIKTGQSVAIKVFNKDMIMRVGLREQIKREISVMRRVRHPNVVEFYEVMASKTKIYFAMEIMKGGELFNKVSRGRLREDAARKYFQQLIEAVDHCHRRGVFHRDLKPENLLLDENGNLKVLDFGLSALSESKNIDGLLHTTCGTPAYVAPEVIKKKGYDGAMADIWSCGVILYVLLAGYLPFNDKNLMDMYKKISKADYKFPQWFRPEVKRLLNRILDPNPRTRITISKIMQNSWFRKGYMQIEGQPLPPLSPTRGDISDVYNAFDDTSSPSNSNGTPRAMSITDYVEYPMKPYCFNAFDLISLSSGFDLSGLFEKDTDERTHARFSTKRPPYIVVAKLEEVAQLDGRFKVMKQNGIVRLEGTEAALNEQLSIDTEIFEVTSSLYIVEVNKIAGDTLEYRRFWNQFLKPTLDEIAWVWQGYEQYI >CAK8537313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227117570:227118445:-1 gene:gene-LATHSAT_LOCUS6611 transcript:rna-LATHSAT_LOCUS6611 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADIADHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSIASDMNTEINPAFFPLTVHPLSTIPVAIHAVGGDAGPLNFGVRLYMSSYFD >CAK8543847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643704612:643705124:-1 gene:gene-LATHSAT_LOCUS12573 transcript:rna-LATHSAT_LOCUS12573 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRKSFLSRSSYIFPTTSEIETNFNTKSSEATEFELDEADVWNMSCSNSNNMTESKKSVLPGLKRVSRKMESNNRVNPIIGSSSLPMNIPDWSKILKDEYKNKKNKESSDDDEDEDDYGGEIRLPPHEYLARTRGASLSVHEGKGRTLKGRDLRSVRNAIWKKVGFED >CAK8533875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666485670:666488029:-1 gene:gene-LATHSAT_LOCUS3464 transcript:rna-LATHSAT_LOCUS3464 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMKLSTRNFISSSLRIIQTSRFFSYVADEERDYASNSIIHPTSIVHPNAILGQGVSIGPFCSISSSAKLGNGCQLYPGSHVFGNTELGDSCTLMTGAVVGDDCPGCTVIGSNNTIGYHAVIGVKCQDLKYKPEDECFLEVGHNNDIREHTSIHRSSKSTDRTVIGDGNLIMGACHIAHDCKIGSNNIFANNTLLAGHVEVEDYVHTAGATVVHQFCHLGSFSFLGGGSVVSQDVPKYMMVAGDRADLRGLNLVGLARRGFNIEEIRSLKTAYRKIFMCADTTGRSLEERLAQVEQHEGLIHIPAVRALLQSIRDSFAEDRRGICKYRSWNGS >CAK8575858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:340013069:340016093:-1 gene:gene-LATHSAT_LOCUS28086 transcript:rna-LATHSAT_LOCUS28086 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPESASSGKNNTNNNKLRKGLWSPEEDEKLMNYMLNSGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNMSSNTSPNPSDESSYDPSKDHNNMGGFITSSTQDQHIDNNHFMPMFNTSSPPSMQNTVFNTIISASSGGGGFFNNNTTGSYFSQNNHDGKNTFYLEKVFGSVNINGDDEMGIYNVPPLESVNSTITYDEHSIKMEKNIAFKGEENYNNGSYNFDDINNIVNVSKRIDDKNRGEDEVENLFQGDLSVGDWDLEDLMKDVSSFPFLDFSN >CAK8560291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13200215:13204585:-1 gene:gene-LATHSAT_LOCUS13978 transcript:rna-LATHSAT_LOCUS13978 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGESNINGINASVGGLVWVRRRNGSWWPGRIMSLDELSEGCLVSPRSGTPVKLLGRDDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAAGLGRKAVKYARREDAILHALELESAHLDKVPLPLCSGSDKSGSGHGESAEELPVISNSGNGNEDVIDDLSDSEDNSNSAPELSQSGISFEEPNHNGSLKIQSMQGRRRRTPNDSEDDGTEGVKRMRGLEDLGIGIVSKRKVQGSVPTDIAQHVSASFNNSITGNGLANGTSVNGGKGYSSLKRKRSQVSNVHEFLKRKNRRRPLTKVLESTAMLSIPVTCEQFPSSSNSPLHGITNGKVSGFDSTYSKKSSAMEIHNADSAAEAACENGTSFIIHDHGSDFSNADLKEKENETSEVPALAGKDSAVALFDVPFVGVLEEEKPTPGLSPTLVSCSHGMPEVNALEQQSCQASESETFPLRNGCKNESGSTSSAAGHDIVGDRADKDSSKWQSKGKRNLRHTSKNRKQVSRNYAGMDGESGAYLTGTGNSDGFCQGAGQKQKVDWNGTGVSNASYNCNSQIKSKPIAEGQEAEGFRDLSKHIRGTAAEAKQLPNGSLAPQRSLPYRQSRFTINSRYEMADFPGKSCTTDGTLYDVKLEVKSSYRPQHVPLVSLVSKVNNKAFIGHPLTVEVLEEGHCDKMLSGIGGDEEEVGDIYCAVKPKPVTRRKPSKKSSRFSRRKSSKSKKSGLLNKKIRKLSSLTGHRQSEEERKPAVDKLKGPVIACIPLTVVFSRIHEALSGQARSSHRAASASNP >CAK8539920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531159454:531162581:1 gene:gene-LATHSAT_LOCUS8972 transcript:rna-LATHSAT_LOCUS8972-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQLDNLFPLTPSSIFSTKKGRSGYEPSDTETEWQDTPRYERGKKNMTLVSSSPSITGSVFNQPRRRHLSKSPYKLRIARDDGHDDDDASLSYMSGLNSSRNISPLPRPDFGRTMSPYNRNHEQRTPSNENRRTNLGLLEVDRASTKPNYRRAVTAPRLRDQQQTLQSTTRNLKQREKSPFKTGSVREREVNEMIAQVKLSKNDPTDDYSSALESTDSIQPGDLFFSRECNALQAKNSSLPKKVEQYAYFSPRPVITTINNLPESGKFNTNMNKPRTSSSTMLLSQTTMGPSIRKGSGTGNSSAKSSVKSDGSAKTTESMRKFTSNRKKNQKDAWFACMMRTGNCRTSRKSPERRPIDEASLIQRAIIVESIPQFWADKHQPASLNGFICNKQEAQLLKELVSQGSCPHILLKGPSGSGKRDLAMTFLREIYGDSCCKDKRTVKVSVPITFSPHHMELNVNSEPNAKYALMGLIKEISNIYAIAPEVSNVNFKSDYKVIVLYEVDKAVENIQHLIKWIIDRYSDICKLVLCCEDDDNIIAPVKNRFKVINVDAPQTHEIIEALTQIANKEEIDLSMSFAMKIATKSKQNLREAILALEACKAHNYPFSEEQPIPVGWEKIVIELAVEILTDPSFSRLLSIRGKFQMLLLDFVHPKLILLKLVEELLRRVETGIKRELYYWHAYYDRRLPPGTTALLKLEEFVAKFMSMCRKSSGSRQYV >CAK8539919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531159454:531162581:1 gene:gene-LATHSAT_LOCUS8972 transcript:rna-LATHSAT_LOCUS8972 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQLDNLFPLTPSSIFSTKKGRSGYEPSDTETEWQDTPRYERGKKNMTLVSSSPSITGSVFNQPRRRHLSKSPYKLRIARDDGHDDDDASLSYMSGLNSSRNISPLPRPDFGRTMSPYNRNHEQRTPSNENRRTNLGLLEVDRASTKPNYRRAVTAPRLRDQQQTLQSTTRNLKQREKSPFKTGSVREREVNEMIAQVKLSKNDPTDDYSSALESTDSIQPGDLFFSRECNALQAKNSSLPKKVEQYAYFSPRPVITTINNLPESGKFNTNMNKPRTSSSTMLLSQTTMGPSIRKGSGTGNSSAKSSVKSDGSAKTTESMRKFTSNRKKNQKDAWFACMMRTGNCRTSRKSPERRPIDEASLIQRAIIVESIPQFWADKHQPASLNGFICNKQEAQLLKELVSQGSCPHILLKGPSGSGKRDLAMTFLREIYGDSCCKLSHDLRHFPIQDKRTVKVSVPITFSPHHMELNVNSEPNAKYALMGLIKEISNIYAIAPEVSNVNFKSDYKVIVLYEVDKAVENIQHLIKWIIDRYSDICKLVLCCEDDDNIIAPVKNRFKVINVDAPQTHEIIEALTQIANKEEIDLSMSFAMKIATKSKQNLREAILALEACKAHNYPFSEEQPIPVGWEKIVIELAVEILTDPSFSRLLSIRGKFQMLLLDFVHPKLILLKLVEELLRRVETGIKRELYYWHAYYDRRLPPGTTALLKLEEFVAKFMSMCRKSSGSRQYV >CAK8542597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528712832:528717451:1 gene:gene-LATHSAT_LOCUS11423 transcript:rna-LATHSAT_LOCUS11423 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISSLHHLLFSSLVIFSLLLNHVHANKKCYIIYLGAHSHGPTPSSADLEFATSSHYHLLASILGSEENAKEAIIYSYNKQINGFAAMLEEEEAAQIAKNGKVVSVFLSKEHKLHTTRSWEFLGLRGNDLNSAWQKGRFGENTIIANIDSGVWPESMSFSDRGIGPIPAKWRGGNICQINKLNASTKIPCNRKLIGARFFNNAYQSVNGKLPHSQQTARDFVGHGTHTLSTAGGNFVPGASIFNIGNGTIKGGSPKSRVATYKVCWSLTDDTSCFGADVLAAIDQAISDGVDLISVSAGGSTSTNSQEIFTDVISIGAFHAIARNILLVASAGNDGPTPGSVVNVAPWVFTVAASTLDRDFSSSITIGSKTITGASLFVNLPPNKSFTVITSTDAKLANATKRDAQFCRAGTLDPSKVKGKIVACVREGKIKSVAEGQEALSAGAKGVILRNQPLINGRTLLSEPHVLSAITLPPNHSNTAQHNKDLIPTDIKSGTKIRMSKAKTIYGRKPAPVMASYSSRGPNKVQPSILKPDVTAPGVNILAAYSLFASASNLITDTRRGFPFNVMQGTSMSCPHVVGTAGLIKTLHPNWSPAAIKSAIMTTASTRDNTNKPIRDAFDKTLANPFAYGSGHIQPNSAIDPGLVYDLSIIDYLNFLCASGYNQQLISSLNFNMTFTCSGSHSITDLNYPSITLPNLGLNAVNVTRTVTNVGPPSTYFAKAQLSGYKVFVVPSSLKFKKIGEKKTFRVSVQATKVSPRRKYQFGELKWTNGKHIVRSPITVRRK >CAK8576992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530070469:530073061:1 gene:gene-LATHSAT_LOCUS29142 transcript:rna-LATHSAT_LOCUS29142 gene_biotype:protein_coding transcript_biotype:protein_coding MADASEKAVSNDSLNSKEVEKPQIQPIGLPTVEEIRGQDIWNNCAVRSVVSGVMGGGLGIFMGLFLGALDNPLMQEEMTGRQQIIFQAKQMGRRSWSSAKAFAVMGFVFSAAECVVEKARAKHDITNTAIAGCTTGGAISAKGGPKAACFGCAGFAAFSVVIEKFLERHQ >CAK8531237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92087949:92088371:1 gene:gene-LATHSAT_LOCUS1043 transcript:rna-LATHSAT_LOCUS1043 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVMKVSMNCQKCRTKALKVVASANGVSFVGLEGEEKDKLMVIGDGIDAVKLTNCLRKKVGYTEIVSLGEVKAS >CAK8568028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565628789:565629427:-1 gene:gene-LATHSAT_LOCUS21028 transcript:rna-LATHSAT_LOCUS21028 gene_biotype:protein_coding transcript_biotype:protein_coding MELESVKKFLEKGGETASMVNGFPPKFMGTLIMSSLRVDLIEPGRVICSMIIPPRLLNSGNSLHGGATATLVDVVGSAAIPASGHVGLTGVSVEINVTNLDAAYVDEEIEIEAKALRVGKSVAVISVEFRKKKTGKVFAQGRHTKYLPVASKL >CAK8571246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:353686338:353725798:1 gene:gene-LATHSAT_LOCUS23924 transcript:rna-LATHSAT_LOCUS23924 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPIKPSTSRGSTVIHPRREPFEFGLLPIPKLIFSDPTQSLIALKQKLLDSSSINRVDSATISDSLQISADQARLLLDTLASVHHSDSEPLVRAQPGQNDTVGADIHDLVLLLYIQSYKRLLPRSHKDSASVADVWPSTSAFDGYFSALSPLQLMRSNSRRFMPSQADEEAHQLLYLQKHLANILSLLAEQGESQGEGEESQVLTMDIFEHIGFLVQFGDKAEGNCLSQSSPFFANSDPDMPAVPVPVTQVHDWLMQNITSALEHISERTSAKENGPASGTDQDVAMTDACSVSVKFSAGTRDSCFIEGVCKSSYVKHASDMKCSSVKVLNCQESTIYVLAPLRYAIVYGCSDATIVLGAVGKAVRVEHCERVHVIVATKRICIANCRECVFFLGVNQQPLIIGDNHKLQVAPYNTCYPQLEEHMSEVGILPTVNRWDETLALGVLDPHDSLSHPAGVSDVQAESATRVDPDQFTNFVIPNWLAGESTGSTKNNPFALPDSYAASQHKNKKNLGEIRRLIHEASLEDNRKRELMSVLHMYFKDWLYASGNIRQLYCLQSD >CAK8572450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537798128:537808548:1 gene:gene-LATHSAT_LOCUS25019 transcript:rna-LATHSAT_LOCUS25019-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKSRSVNKRFTNTNDLSPEKDGGSSSKNKHRKKKLSNKLGSQWSKEELERFYEAYRKYGKDWKKVTAAVRYRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEKESNDATGSRKPVKRKREKIQLSVSKDPVRSQSVTSSDGCLSLLKKRRIDGIQPRAVGKRTPRVPVYHSYKKDDRESYVSPNKRSLKSTAEANNDEVTHVALTLSRASQRGDSPLSQTPHRRAGQKSSLVQSRERMQISETTRAKFHNVTVDEEFVEGSLESRGAENGEYARDTSSLIDMEGTSTAGVLLKKGKFYRKKEKVESIGNDQLDDGGEACSGTEEGLSFRSLKENNMEGTNERLEQFSPTSQRKKSEKLFFGDEIHALNALQTLADLSLMMPTSKVESESPVQLKGERMTVVKDDKSALPEATSTSHKRNKVRLRGVSVADASTSKKSKLGKDIANDTNALSESKEQLPFADKTWKRKHKSTVSKAVDDGNKPAIKGKHTDQVFASPKQLKTVKPPEVVLRGDEKGLAISTAEIPLLSEISSPIKQRSRRKMIFQRPSMPKEKSSENILKNQPNNYSTQKEKLSSCLSSSLVCRWFTFEWFYSALDYPWFAKREFVEYLNHVGLGNIPRLTRVEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYSELRTGVRDGLPTDLARPLYVGQRVIAIHPKTREIHDGSVLTVDHDKCRIQFDRPELGVEFIMDIDCMPLNPLDNMPEALRRQIGARKASIITKEPQTNGNSSFGGCEMHSSPVKVHPSSNASVKQGKADANHGTPLTNIDNLCAQGACAQPSQVMQHQAKEADIHALSELKRALDKKETVLIELRNANNGILENQNGIESLKDPEGFKKHYATVLVELKEASGQVSDTMLQLRQRNTYTDNSFPPWMKPKADFEVHDDLTSVLDNSMTQESRSTVIEIVKGSRLRAHAMLDAAFQAWSQATKEGKDAITRIGQALDSIDYQQLSSKYRLPVIRSQDQVNGSFYQNNQSTCKASEPLLNDASGPKLQKDSDEVDFEIPSELITSCVATLTMIQSCTERQYPPADVARILDSAVTSLQPCDPRNLPIYREIQMCMGRIKTQILALIPT >CAK8572453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537798128:537808548:1 gene:gene-LATHSAT_LOCUS25019 transcript:rna-LATHSAT_LOCUS25019-5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKSRSVNKRFTNTNDLSPEKDGGSSSKNKHRKKKLSNKLGSQWSKEELERFYEAYRKYGKDWKKVTAAVRYRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEKESNDATGSRKPVKRKREKIQLSVSKDPVRSQSVTSSDGCLSLLKKRRIDGIQPRAVGKRTPRVPVYHSYKKDDRESYVSPNKRSLKSTAEANNDEVTHVALTLSRASQRGDSPLSQTPHRRAGQKSSLVQSRERMQISETTRAKFHNVTVDEEFVEGSLESRGAENGEYARDTSSLIDMEGTSTAGVLLKKGKFYRKKEKVESIGNDQLDDGGEACSGTEEGLSFRSLKENNMEGTNERLEQFSPTSQRKKSEKLFFGDEIHALNALQTLADLSLMMPTSKVESESPVQLKGERMTVVKDDKSALPEATSTSHKRNKVRLRGVSVADASTSKKSKLGKDIANDTNALSESKEQLPFADKTWKRKHKSTVSKAVDDGNKPAIKGKHTDQVFASPKQLKTVKPPEVVLRGDEKGLAISTAEIPLLSEISSPIKQRSRRKMIFQRPSMPKEKSSENILKNQPNNYSTQKEKLSSCLSSSLVCRWFTFEWFYSALDYPWFAKREFVEYLNHVGLGNIPRLTRVEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYSELRTGVRDGLPTDLARPLYVGQRVIAIHPKTREIHDGSVLTVDHDKCRIQFDRPELGVEFIMDIDCMPLNPLDNMPEALRRQIGARKASIITKEPQTNGNSSFGGCEMHSSPVKADANHGTPLTNIDNLCAQGACAQPSQVMQHQAKEADIHALSELKRALDKKETVLIELRNANNGILENQNGIESLKDPEGFKKHYATVSDTMLQLRQRNTYTDNSFPPWMKPKADFEVHDDLTSVLDNSMTQESRSTVIEIVKGSRLRAHAMLDAAFQAWSQATKEGKDAITRIGQALDSIDYQQLSSKYRLPVIRSQDQVNGSFYQNNQSTCKASEPLLNDASGPKLQKDSDEVDFEIPSELITSCVATLTMIQSCTERQYPPADVARILDSAVTSLQPCDPRNLPIYREIQMCMGRIKTQILALIPT >CAK8572449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537798128:537808548:1 gene:gene-LATHSAT_LOCUS25019 transcript:rna-LATHSAT_LOCUS25019-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKSRSVNKRFTNTNDLSPEKDGGSSSKNKHRKKKLSNKLGSQWSKEELERFYEAYRKYGKDWKKVTAAVRYRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEKESNDATGSRKPVKRKREKIQLSVSKDPVRSQSVTSSDGCLSLLKKRRIDGIQPRAVGKRTPRVPVYHSYKKDDRESYVSPNKRSLKSTAEANNDEVTHVALTLSRASQRGDSPLSQTPHRRAGQKSSLVQSRERMQQISETTRAKFHNVTVDEEFVEGSLESRGAENGEYARDTSSLIDMEGTSTAGVLLKKGKFYRKKEKVESIGNDQLDDGGEACSGTEEGLSFRSLKENNMEGTNERLEQFSPTSQRKKSEKLFFGDEIHALNALQTLADLSLMMPTSKVESESPVQLKGERMTVVKDDKSALPEATSTSHKRNKVRLRGVSVADASTSKKSKLGKDIANDTNALSESKEQLPFADKTWKRKHKSTVSKAVDDGNKPAIKGKHTDQVFASPKQLKTVKPPEVVLRGDEKGLAISTAEIPLLSEISSPIKQRSRRKMIFQRPSMPKEKSSENILKNQPNNYSTQKEKLSSCLSSSLVCRWFTFEWFYSALDYPWFAKREFVEYLNHVGLGNIPRLTRVEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYSELRTGVRDGLPTDLARPLYVGQRVIAIHPKTREIHDGSVLTVDHDKCRIQFDRPELGVEFIMDIDCMPLNPLDNMPEALRRQIGARKASIITKEPQTNGNSSFGGCEMHSSPVKVHPSSNASVKQGKADANHGTPLTNIDNLCAQGACAQPSQVMQHQAKEADIHALSELKRALDKKETVLIELRNANNGILENQNGIESLKDPEGFKKHYATVSDTMLQLRQRNTYTDNSFPPWMKPKADFEVHDDLTSVLDNSMTQESRSTVIEIVKGSRLRAHAMLDAAFQAWSQATKEGKDAITRIGQALDSIDYQQLSSKYRLPVIRSQDQVNGSFYQNNQSTCKASEPLLNDASGPKLQKDSDEVDFEIPSELITSCVATLTMIQSCTERQYPPADVARILDSAVTSLQPCDPRNLPIYREIQMCMGRIKTQILALIPT >CAK8572452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537798128:537808548:1 gene:gene-LATHSAT_LOCUS25019 transcript:rna-LATHSAT_LOCUS25019 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKSRSVNKRFTNTNDLSPEKDGGSSSKNKHRKKKLSNKLGSQWSKEELERFYEAYRKYGKDWKKVTAAVRYRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEKESNDATGSRKPVKRKREKIQLSVSKDPVRSQSVTSSDGCLSLLKKRRIDGIQPRAVGKRTPRVPVYHSYKKDDRESYVSPNKRSLKSTAEANNDEVTHVALTLSRASQRGDSPLSQTPHRRAGQKSSLVQSRERMQQISETTRAKFHNVTVDEEFVEGSLESRGAENGEYARDTSSLIDMEGTSTAGVLLKKGKFYRKKEKVESIGNDQLDDGGEACSGTEEGLSFRSLKENNMEGTNERLEQFSPTSQRKKSEKLFFGDEIHALNALQTLADLSLMMPTSKVESESPVQLKGERMTVVKDDKSALPEATSTSHKRNKVRLRGVSVADASTSKKSKLGKDIANDTNALSESKEQLPFADKTWKRKHKSTVSKAVDDGNKPAIKGKHTDQVFASPKQLKTVKPPEVVLRGDEKGLAISTAEIPLLSEISSPIKQRSRRKMIFQRPSMPKEKSSENILKNQPNNYSTQKEKLSSCLSSSLVCRWFTFEWFYSALDYPWFAKREFVEYLNHVGLGNIPRLTRVEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYSELRTGVRDGLPTDLARPLYVGQRVIAIHPKTREIHDGSVLTVDHDKCRIQFDRPELGVEFIMDIDCMPLNPLDNMPEALRRQIGARKASIITKEPQTNGNSSFGGCEMHSSPVKVHPSSNASVKQGKADANHGTPLTNIDNLCAQGACAQPSQVMQHQAKEADIHALSELKRALDKKETVLIELRNANNGILENQNGIESLKDPEGFKKHYATVLVELKEASGQVSDTMLQLRQRNTYTDNSFPPWMKPKADFEVHDDLTSVLDNSMTQESRSTVIEIVKGSRLRAHAMLDAAFQAWSQATKEGKDAITRIGQALDSIDYQQLSSKYRLPVIRSQDQVNGSFYQNNQSTCKASEPLLNDASGPKLQKDSDEVDFEIPSELITSCVATLTMIQSCTERQYPPADVARILDSAVTSLQPCDPRNLPIYREIQMCMGRIKTQILALIPT >CAK8572451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537798128:537808548:1 gene:gene-LATHSAT_LOCUS25019 transcript:rna-LATHSAT_LOCUS25019-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKSRSVNKRFTNTNDLSPEKDGGSSSKNKHRKKKLSNKLGSQWSKEELERFYEAYRKYGKDWKKVTAAVRYRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEKESNDATGSRKPVKRKREKIQLSVSKDPVRSQSVTSSDGCLSLLKKRRIDGIQPRAVGKRTPRVPVYHSYKKDDRESYVSPNKRSLKSTAEANNDEVTHVALTLSRASQRGDSPLSQTPHRRAGQKSSLVQSRERMQQISETTRAKFHNVTVDEEFVEGSLESRGAENGEYARDTSSLIDMEGTSTAGVLLKKGKFYRKKEKVESIGNDQLDDGGEACSGTEEGLSFRSLKENNMEGTNERLEQFSPTSQRKKSEKLFFGDEIHALNALQTLADLSLMMPTSKVESESPVQLKGERMTVVKDDKSALPEATSTSHKRNKVRLRGVSVADASTSKKSKLGKDIANDTNALSESKEQLPFADKTWKRKHKSTVSKAVDDGNKPAIKGKHTDQVFASPKQLKTVKPPEVVLRGDEKGLAISTAEIPLLSEISSPIKQRSRRKMIFQRPSMPKEKSSENILKNQPNNYSTQKEKLSSCLSSSLVCRWFTFEWFYSALDYPWFAKREFVEYLNHVGLGNIPRLTRVEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYSELRTGVRDGLPTDLARPLYVGQRVIAIHPKTREIHDGSVLTVDHDKCRIQFDRPELGVEFIMDIDCMPLNPLDNMPEALRRQIGARKASIITKEPQTNGNSSFGGCEMHSSPVKADANHGTPLTNIDNLCAQGACAQPSQVMQHQAKEADIHALSELKRALDKKETVLIELRNANNGILENQNGIESLKDPEGFKKHYATVLVELKEASGQVSDTMLQLRQRNTYTDNSFPPWMKPKADFEVHDDLTSVLDNSMTQESRSTVIEIVKGSRLRAHAMLDAAFQAWSQATKEGKDAITRIGQALDSIDYQQLSSKYRLPVIRSQDQVNGSFYQNNQSTCKASEPLLNDASGPKLQKDSDEVDFEIPSELITSCVATLTMIQSCTERQYPPADVARILDSAVTSLQPCDPRNLPIYREIQMCMGRIKTQILALIPT >CAK8539603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517797574:517799488:-1 gene:gene-LATHSAT_LOCUS8685 transcript:rna-LATHSAT_LOCUS8685 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSTKATCNSHGQDSSYFLGWQEYEKNPYDHVQNPKGIIQMGLAENQLSFDLLESWLAKNPDVGGFKRDGKSIFRELALFQDYHGLPSFKKALVDFMAEIRGNKVTFDPNHIVLTAGATSANETLVFCLAEKGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNNFQITETALQQAHEEAKNKNLRVKGVLVTNPSNPLGTTMSKNELNLLIDFIKDKNMHLISDEIYSGTVFTSPNFVSVMEILKERTDKDFLDANVSERVHIVYSLSKDLGLPGFRVGAIYSDNETVVAAATKMSSFGLVSSQTQYLLSAMLGDKKFTRNYLSENQKRLKKRQKMLVNGLQKANISCLKTNNAGLFCWVDMRNLLTSNTFEAEMDLWKKILYEVGLNISPGSSCHCTEPGWFRVCFANMSEDTLNLAMKRLKDFVLNSNGEECSSSDNKRTRSTQSSRSFTRKSISNWVFRLSSRDHHEQEER >CAK8532993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561823092:561823748:-1 gene:gene-LATHSAT_LOCUS2646 transcript:rna-LATHSAT_LOCUS2646 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAEKFQTTFEKLEDEDPSYMEFFEHSSPPCSTDWEKAKAFVIFLKIFYEATKLFSSLQEVSLHLAFHNLSDILCKLQEASLNMKTYVAQMVSNMRTKYDKYWGDVEKVNHFIYFGVIFDPRFKFGYVEWSFNDMYGVGSELAKKNAKCVKKSLYKIYNWYKFEHDKNIGAALLDAPSGSTSHGETCTQTRKPSLFTRADAFKQHLKEKDMVDNQN >CAK8537915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447381602:447383069:1 gene:gene-LATHSAT_LOCUS7164 transcript:rna-LATHSAT_LOCUS7164 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIINNYGKPMSLSILISSLPIHPSKKPCIYRLMRIMTHSGFFSQQNVTENELEIEYTLTDASRLLLKNNPKSVAPFVQAMLSPIMTNPWQQMSTWLKNEESTAFETIHGEYFWEYAAHDPILNRLFNESMACDAPLVSDLLIEKGKGVFDGLESLVDVGGGTGNLGKALAKSFPQLEYTVFDLPHVVDGLQGTDNLSYVGGDMFQEIPQAHAILLKWILHDWNDKECVSILKKCKESLEKKGKEGKVIIIDMVVDNQHTNEKFETQLFFDMLMMVMQTGKERTEKEWVKLILSAGFSDYKITPILGLRSMIEIYP >CAK8560296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13388392:13389045:-1 gene:gene-LATHSAT_LOCUS13982 transcript:rna-LATHSAT_LOCUS13982 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPTTFHPMKPNFPEQEQLKCPRCESNNTKFCYYNNYNLSQPRHFCKNCKRYWTKGGSLRNIPVGGGTRKVTKRSSNPKRPTTSSSSSPSPSITSSAQTSSVPESDPTRIRIDPVEPSFGGGSFSSLLASTERFGSLFEGLNSNGSGFKMAQMGEFGENMNGDPVVNSGSGHNLNPSVRNAETDIFLGLQNGDSSCWNGNHGWSDLAIYTPSSTYQ >CAK8560107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7659189:7664552:-1 gene:gene-LATHSAT_LOCUS13819 transcript:rna-LATHSAT_LOCUS13819 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEHIEEIRRTKFSIGGKPNPLTQDLHHAVKNLSAELYAKDVHFLMELIQNAEDNHYIDGETPTLEFVITSDDITATGAPATLLIFNNEKGFSPKNIESICSVGRSTKKDNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQIRFNERPCSNCRIGYVVPEWVEDKPTLVDIKKIYGAGKDSLPNTTIILPLKPDKVKPVKMQLSSIHPEVLLFLSKIRHLSVRDNDNVSKQKTKTSVSISSEINFVTRKNMNAESYTVHLSAGKNSNDEKECSYYMWKQKFPVKLKNVMERRRGVEECVVTLAFPHQERLHKDKSLPGVYAFLPTEMVTYFPFIIQADFVLASSRETILFDDKWNQGILEYVPSAFVNAFKTFITGSDEVPIFSLPHMFRFLPICTSPFENFNQVRHKIKAKLDEENILPIETFTNQKHFYKPREVRRLLPSFWNILTKAQKEGVYLLDLSSHDGMKILSSSFDKSEYDRVLNFLGVKMVNLDWYAKCIQGSNLVDGVSENIYLELLVFVSRFTSKFVGDIPLIKYVASDGILSFSSLNECRHLGAGARCLVLASSTRNCPCSWLINWNNVFACVTNQFFMPESTQQALFQSHDKLHLLGWLSKVNIRILDAHSFAISIRSSINNNCKLAIAYAHFLYHSFSKGYLSSQEVNVLCSSIPLVDKFGRITECRNGVLLPANVSKWADLIGSNPWRDENYVELGKAYLNDSSYAGQHTDSGKLIEFLKTFVGASDIPYISPPNAGFSAADTPLTKDNAFLLLDWIRDLMKRGVGLPKKFLECIKGGRWLKVTGNRYMPPSKSFLIGSSLGKLLQKGSVLVDIPLIDEIFYGDRINEYKEELKTVGVMLNCEEACEFIGRELMSRAASFSLSRSHVLLMLNFIQYLKESFLPLDKFINSIKEGTWLKTSWGLRSPVGSVLNDSGWQVASQISNIPFIDQSYFGEEMYNYKEELKLLGVIVDVSGNYQVIIEHLKLPSNLASLTAEAVLLIMECIRHSNTPINLLNLLKGKDCLQTNMGFKTPNECFLYDPVWGCILEVFNDLPVIDHKFYGEKIFSFKDELKQTGVLVDFMDAIKKFASLFEQKASETSINLQNVISFLSCCRLLERTDYKFPSDFKTIVRKSKWLHTSVDGFRCPKQCILYGPEWKLISSITCLPFIDYSDKCCGLGIHEYKAELKSIGVVTEFKDGVKFVPECLSFPSDPSTISPESVFSFLECIRLLMQDNKLSFGDDFRKRLSENWLKTHVGYRPPEKCLLFDSKWSYFLNPTDGPFIDENFYGPNFASFQKELNAVGVISEAEKGCSLLASYIESLSDHDTIVKIYKYLCEHNWKPEEKAARKVWVLDGNKAGRWVDSKECIVHDPAKLFGSKFYVLEDIYGDSSILLFFYIAMEIKNKPSLEDYVDLWNEWGKSVEQLSYDECCRFWTSISKHLSTTEEKKLAGSLMKLPTTSGNNEIFLVDREDAFIPDNLHMKKLFEKEKVFVWYPQYNMTPLSKSKLSEIYRKIGARNISESLCKEESSLVNDGDKLKYVDPNNIFNLKGLVKLILGFLACSSLKMEPKKRHEAVQSLINLSFHETMEPLNVSYSLSLSSGDVITKKANKRVRWESQNCNFIIQKMDEAPGDSLKYASNFSEAISEGVLCENHDHVPALSELITLGFVMKFKNEEVDFLMESKNLQIDLEDEEFLSSAFPFD >CAK8560504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22555331:22555865:1 gene:gene-LATHSAT_LOCUS14170 transcript:rna-LATHSAT_LOCUS14170 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDMDLSGVVNNLFNLHEAPRIHDNHENRKTSTSIPVDILDTPKEYIFFMDFPGLSKSEIQVTVEEENTLVIKSNGKRKRQDGEDEGCKYLRLERRAPQKMLRKFRLPENANVSAITAKCENGVLTVVVEKQTQPPKSKTVEVAIA >CAK8570632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:76064142:76064516:-1 gene:gene-LATHSAT_LOCUS23368 transcript:rna-LATHSAT_LOCUS23368 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSFRGGSFYSDVVPYRSRDRLTARIPVAPTNEIQLCIDPVMEFDDEIIGLHGQVRRLRNVMYASLYLTRTRNESSRVELASARLDSLRIGSAQISVRV >CAK8560786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42635668:42638062:1 gene:gene-LATHSAT_LOCUS14435 transcript:rna-LATHSAT_LOCUS14435 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESAENNIRGIPTHAGRYLQYNIYGNLFEVSRKYVPPIRPVGRGAYGIVCAAVNAETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVMSIKDIIRPPQRENFNDVYIVSELMDTDLHQIIRSNQPMTDDHCRYFVYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTRQPLFPGRDYVHQLRLVTELIGSPDDASLGFLRSENARRYVRQLPQYPKQDFATRFPNMSPGAVDLLEKMLVFDPSKRIRVDEALCHPYMAPLHDINEEPVCVRPFSFDFEEPMFTEENIKELIYKESVRFNPDPPIH >CAK8531292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97806350:97809202:1 gene:gene-LATHSAT_LOCUS1095 transcript:rna-LATHSAT_LOCUS1095 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGQLADKTEFTECWRRTTESPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFEQVDKQTWLQETIVSTAVAGAIVGAAFGGYMNDKMGRKKTILVADVVFMLGAIVMAIAPVPWVIIIGRILVGLGVGVASMTAPLYISEASPARIRGALVSVNILLITGGQFLSYLINLAFTKAPGTWRWMLGVAALPAVLQFVLMLSLPESPRWLYRQSKEEEARVILSKIYRPNEVEEEMKAMHDSIEAEKADESLIGHSLGQKLKSAWSNDVVRRGLYAGITVQVVQQFVGINTVMYYSPTIVQFAGIASNSTALALSLVTSGLNTIGTIVSMVSIDRFGRRKLMLVSLVGIFVSLVVLSVTFNQASHHAPAIDKLDSLNFGGNSTCKAYTSAPNLSSWNCMQCLHEECGFCANSKSAFLPGACLAGGEKIVRGMCREQKRVWFSQGCPSKIGFLAVVILGVYIIAYSPGIGTVPWVLNSEIYPLRFRGLGGGIAAVFNWCANLIVSQSFLSMIKSLGTSGTFLLFAGFSLIGFVAIYLLVPETKGLQFEEVEKLLQKGFRPFPFNRKKDEDVKGKGKEEMHDLP >CAK8544005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655464945:655468380:1 gene:gene-LATHSAT_LOCUS12717 transcript:rna-LATHSAT_LOCUS12717 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSSTTTIPFAFHGAKDDITMQFSLIWTQIKAPLIVPLLRISVFLCLIMSVMMVIERVYMGIVISLVKLFGRKPEKRYKWEPIKDDIELGNSCYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKELVQIECQRWANKGVNIKYEVRDNRNGYKAGALREGMKHSYVKQCDFVAIFDADFQPESNFLWRTVPFLVHNSELALIQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSNLQVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIIKNKKVSLWKKIHVIYNFFFVRKVVAHINTFVFYCIVLPATVLVPEVVVPKWGAVYIPSVITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATIVGLLETSRVNEWIVTEKLGDALKVKAGTKALKKPRFRIEDRIHLLELGVGMYLFFIGCYDVMFGKNHFFIFLFIQAFAFFIMAFGYVGTFIPNT >CAK8572483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539811365:539811853:1 gene:gene-LATHSAT_LOCUS25045 transcript:rna-LATHSAT_LOCUS25045 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFSLPCSKEAQGGKTLRRKEPDESNATKCQRTNTTHRCKTCLKYGHNIRTCKKNKQIVLHKNQNITTIELPTQANQTENVQKETSKKRRPKGSLNKKGKGVPSKAPIQAPVQDTQATPPSVIDQINEALSVSV >CAK8544423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683681549:683682657:1 gene:gene-LATHSAT_LOCUS13105 transcript:rna-LATHSAT_LOCUS13105 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKCMNMDDYSIGSFPTYSLTNMFDLTEERSFMELLGVQNMNTSYSMLDFPVEVKKSLDENNGNGKESCSEILNSQQQPATPNSSSISSASSEALNDEHNKTVDQANHHLQKQLKAKKTTNQKRQREARIAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSVSCNVKKHVERSLNDPTIVVTTYEGKHTHPNPVMGRSSVSHVGSLIPTPPAECTTTNFGGSESYMMSSPYYNHYRQQVLLNTVSSMGFPSKVPQERLVCSNPRVMDHGLLQDVVPSNMFKEE >CAK8544424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683681573:683682657:1 gene:gene-LATHSAT_LOCUS13105 transcript:rna-LATHSAT_LOCUS13105-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSIGSFPTYSLTNMFDLTEERSFMELLGVQNMNTSYSMLDFPVEVKKSLDENNGNGKESCSEILNSQQQPATPNSSSISSASSEALNDEHNKTVDQANHHLQKQLKAKKTTNQKRQREARIAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSVSCNVKKHVERSLNDPTIVVTTYEGKHTHPNPVMGRSSVSHVGSLIPTPPAECTTTNFGGSESYMMSSPYYNHYRQQVLLNTVSSMGFPSKVPQERLVCSNPRVMDHGLLQDVVPSNMFKEE >CAK8533062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573916032:573919297:1 gene:gene-LATHSAT_LOCUS2714 transcript:rna-LATHSAT_LOCUS2714 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDHVMVHNDTAQNLKKLVSEHDCPHLLFYGPSGSGKKTLIMALLRQMFGPGAEKVKVENRAWKVDAGSRSIDLELTTLSSANHIEMTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLILNDVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSRVTEAIRSRCLNVRVNAPSEEQIVEVLQFIGKKEGLQLPSSFAARIAEKSNRNLRRAILSFEACRVQQYPFTDKQTISQMDWEEYISEIASDIMKEQSPKRLFQVRGKLYELLINCIPPEIILKRLLYELLRKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKSFLIATFG >CAK8561966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419220817:419225341:1 gene:gene-LATHSAT_LOCUS15508 transcript:rna-LATHSAT_LOCUS15508 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEKTTPICCQKPAPIATSSNDPPPKTASTKELKDRKKTISSDIGITVMARAQTCHPLDPLLAAEISVAVATVRAAGATPEVRDSMRFIEVDLVEPEKQVVALADAYFFPPFQPSLLPRTKGGPVIPSRLPPRKARLVVYNKKSNETSIWIVELTEVHATTRGGHHRGKVISSTVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLFFCRTESDCPMENGYARPVEGIHVLVDMQNMVVLEFEDRKLVPLPQADPLRNYTSGETRGGVDRSDVKPLQIIQPDGPSFRVNGHFVQWQKWNFRIGFTPREGMVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFNAHFTNFYGGVETIENCVCMHEEDHGMLWKHQDWRTGLAEVRRSRRLTVSFVCTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQQGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNVKVEEPGKNNVHNNAFYAEEKLLKSELEAMRDCDPLSARHWIVRNTRSVNRTGNLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDELHPGGEFPNQNPRAGEGLATWVKQNRSLEEADIVLWYVFGVTHIPRLEDWPVMPVEHIGFMLMPHGFFNSSPAIDVPPSPSDLDDKENGMPAKPSQNGLIAKL >CAK8561967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419220817:419225341:1 gene:gene-LATHSAT_LOCUS15508 transcript:rna-LATHSAT_LOCUS15508-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEKTTPICCQKPAPIATSSNDPPPKTASTKGITVMARAQTCHPLDPLLAAEISVAVATVRAAGATPEVRDSMRFIEVDLVEPEKQVVALADAYFFPPFQPSLLPRTKGGPVIPSRLPPRKARLVVYNKKSNETSIWIVELTEVHATTRGGHHRGKVISSTVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLFFCRTESDCPMENGYARPVEGIHVLVDMQNMVVLEFEDRKLVPLPQADPLRNYTSGETRGGVDRSDVKPLQIIQPDGPSFRVNGHFVQWQKWNFRIGFTPREGMVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFNAHFTNFYGGVETIENCVCMHEEDHGMLWKHQDWRTGLAEVRRSRRLTVSFVCTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQQGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNVKVEEPGKNNVHNNAFYAEEKLLKSELEAMRDCDPLSARHWIVRNTRSVNRTGNLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDELHPGGEFPNQNPRAGEGLATWVKQNRSLEEADIVLWYVFGVTHIPRLEDWPVMPVEHIGFMLMPHGFFNSSPAIDVPPSPSDLDDKENGMPAKPSQNGLIAKL >CAK8568917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654426975:654428480:-1 gene:gene-LATHSAT_LOCUS21824 transcript:rna-LATHSAT_LOCUS21824-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKNKVWDDFKGEVLESLRNGFASGKTIVPLKIEGLKYAFDFLRMLQVHVRTKSHRSIAWFDENGKPFFPGKFIDCDFSKIAKTNDADRINTKKLDSDNDDEVVIVGVKHASKFPNTRLLSKTENTYLYINNLFMDNIGNIDPNASIISIHDLHILSPIARARWSVFEKQMEITEAARGKANVVYGWYAASEEKVVSIFSNGFKLIRNYPEVRPSIGAGIFLADLKSPQYSGMQHQVEKDEEKYLVLCRIVLGRMERVDLETHQTQISNKGYDSGCDDPKNPHWYVVWGNDINKRVLPVCVITCKTSVIKDVVWEMKPVEMTMVPRFYLEMKKRVPIEFIPPLQDIYDIYKKDGMNMEVFKKHVRALLGNQWMAQIFKEIYDGEI >CAK8568916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654426975:654428903:-1 gene:gene-LATHSAT_LOCUS21824 transcript:rna-LATHSAT_LOCUS21824 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSPPQMASSQFSTTARPPLTSPHSSTSSSISIAHPQPSPTTLPYIPQPLSIAPPPIPSSAQPLSIVQPPLSLSRPLVSLPLNSFIDFNKIHLDNKPHLPLNPLIDLNQDAKQNQACIDEFLLHNRRNFARSEVPVRIMFFKNKVWDDFKGEVLESLRNGFASGKTIVPLKIEGLKYAFDFLRMLQVHVRTKSHRSIAWFDENGKPFFPGKFIDCDFSKIAKTNDADRINTKKLDSDNDDEVVIVGVKHASKFPNTRLLSKTENTYLYINNLFMDNIGNIDPNASIISIHDLHILSPIARARWSVFEKQMEITEAARGKANVVYGWYAASEEKVVSIFSNGFKLIRNYPEVRPSIGAGIFLADLKSPQYSGMQHQVEKDEEKYLVLCRIVLGRMERVDLETHQTQISNKGYDSGCDDPKNPHWYVVWGNDINKRVLPVCVITCKTSVIKDVVWEMKPVEMTMVPRFYLEMKKRVPIEFIPPLQDIYDIYKKDGMNMEVFKKHVRALLGNQWMAQIFKEIYDGEI >CAK8539643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519380293:519381758:1 gene:gene-LATHSAT_LOCUS8721 transcript:rna-LATHSAT_LOCUS8721 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKSLFHFVFLCSLAFSVFILVSGQQQSLEFLNLCETSEDIVQASSNSEFPIAVSLNNENLNHVSNNILLAETWLRSNIFPFYPSSKITNIVGKTSTFCQQDQNLNQNNLILVLSALKNLHHSLKRWGLEQDIKVSIALDLHCFSFNPNTDLRMVKPMTEFLHSVNSTFSLIHNSDKNPNFITSHLESMKKLGFFSLNNIINIATIVPKERNTITKTKTRKLYVTTIPVKPTPFPEIAHPPMNFPVGSPSNLPNSEPLPPLAQIVSSPPPISSPSFSPQEQPSPLPPQFLAPPANSPHYGFTLPPCNPDYTGSPSHSPSPYPNTVPVQKLWCVAKPSVPEETLQQALDYACGEGGADCNEITTSQGNCFYPDNLVAHASYAFNSYWQKHKRNGGTCNFGETAMLIHSDPSFLHCRFILS >CAK8542784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546489801:546490358:1 gene:gene-LATHSAT_LOCUS11591 transcript:rna-LATHSAT_LOCUS11591 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLLQLYAYEQSRKPGSFVDIVYHENARVLLHDENIYRIECSSTPPRLSIQLMDYGHDKPEVTAVSIDPNFSAYLHNDFLSVVPDSKEKSGIFLKRNKLKCAWSDEFPNQIMDGVQVTNGLECKIACNSSKVSYVLDTEDSLFRTKRKRRILYPNNSYREQTTSSSNICSSRTQWFCKLFSVK >CAK8566572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:437420992:437421228:1 gene:gene-LATHSAT_LOCUS19693 transcript:rna-LATHSAT_LOCUS19693 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRPKKENVLSPLIRTLELEKGTKSCSTSRSIRSSKHEGQDEGEGEKGDSTIPEFETTGQQGKVNNEEDQPKKL >CAK8544644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697389639:697389997:1 gene:gene-LATHSAT_LOCUS13302 transcript:rna-LATHSAT_LOCUS13302 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMIESSDDLDDSSDEDDDEETPVKKTDGKKAGHTTTPHPKKAGKTPNTDAKSPKSGGHLSCSSCSKTFNSETGLTQHTKAKHGAQSC >CAK8540044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536792094:536793449:-1 gene:gene-LATHSAT_LOCUS9083 transcript:rna-LATHSAT_LOCUS9083 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMATFLNLIVMLSVVSTGKSLSLNYYEKTCHDLEFIVLKAVKDATAKDKTVPAALLRMHFHDCFVRGCDASVLLNSKGNNKAEKDGPPNISLHAFYVIDNAKKALEAKCPGVVSCADILALAARDAVYLSGGPKWDVPKGRKDGRTSKASETRQLPAPTFNISQLQTSFSQRALSAEDLVALSGGHTLGFSHCSSFRNRIQNFNATHHVDPSLNPSFAAKLKSTCLLKNNAKNAGATMDPSSTTFDNTYYKLILQQKGLFSSDQALLNSPNTKRLVSKFAASQEAFYKAFAKSMIKMSSINGGQEVRKDCRKIN >CAK8544440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684506321:684508684:-1 gene:gene-LATHSAT_LOCUS13118 transcript:rna-LATHSAT_LOCUS13118 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVAAPVPGFIDKNGSSVGVEKLPEEMNDMKIRDDKEMEAATVVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVSRVIRHYNKMNQRMPMIYVKLYSYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLGELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALVHPFFDELRDPNTRLPNGRFLPPLFNFKVTELKGVPAEMLVKLVPPHARKQCALFGSSS >CAK8578560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638111730:638113111:1 gene:gene-LATHSAT_LOCUS30560 transcript:rna-LATHSAT_LOCUS30560 gene_biotype:protein_coding transcript_biotype:protein_coding MMISHIIKFFLFFFIFISITSLSFALSTDYSLSRNSNLDKFSSSDEQVLELFQLWKKEHGKEYENSEENAKRFETFKSNLKHINEMNAKRKSPTQHRLSLNKFADMSPEEFSKTYLQETPSNYGDRKLQDEDDDCENLPASLDWREKGAVTEVKDQGNCQSHWAFSVAGTIEGLNKIVTGNLINLSAQELVDCDPASHGCAGGYYFNAFGWVINNGGIDTEANYPYTAKNGTCKENANKAVSIDNLLVLDGSEEALLCRTSKQPVIVSLDATGLQFYAGGVYGGENCKKNSRNATLVGIIVGYNSVNGEDYWIVKNSWGKDWGEKGYLFIKRNVIKEWPYGVCAINAAVGYPIKEVLSSAM >CAK8561715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:364763462:364765273:1 gene:gene-LATHSAT_LOCUS15280 transcript:rna-LATHSAT_LOCUS15280 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLTKHVLNPVQRIIEEKLISLLRSCKSCERLYQIQAQIVTHGLEHNNFVAPSFIATCSRLGRMHHARKLFGKIPEPNTATWNVMFRGYSQNELHRDAVVMFGKLNRAAAMPNCFTFPMIIKSCAKSKGFVEGEEVHCCAAKLGFKSNSFVATSLIDMYSMKGCIEDAYKVFGEMRERNVVVWTAMISGYISCGDVASGRRLFDLAPERDVVMWSILISGYIEMKNMVVARELFDKMPNRDTMSWNAMLNGYAANGDVELFEKIFDEMPERNVYSWNGLIGGYVKNDLFSDALESFKRMLIEGHVVPNDFTLVAVLSACSRLGALDMGKWVHVYAESIGYKGNLFVGNALIDMYAKCGVIENAVVVFNCLDRKDIISWNTIINGLAIHGHAPNALSMFDRMESEGEEPDGVTFVGILSACTHMGLVKNGFLYFKSMVDDYSIVPQIEHYGCMVDLLGRAGLLDKALSFIRNMPMEPDAVIWAALLGACRIYKNVEIAELALQRLIELEPKNPANFVMLSNIYKDLGKWEDVARLKVAMRDTGFRKLPGCSVIECNDSVVEFYSLDERHLETESIYRVLKGLTVLLRSHGYVPNLTDVAQGI >CAK8540598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12007777:12009222:1 gene:gene-LATHSAT_LOCUS9590 transcript:rna-LATHSAT_LOCUS9590 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLWAQLGSILATMMFVYAIIERFLPPAFRDSLQISTQKVLNLLHPYIQITFHEFSGERLKKSEAYTFIQTYLSENSSRLAKRLKAEVMKDSQNPLVLSIDDDEEVTDEFQGVKLWWAAIKIETSSHGFSSFSNYKRYYKLTFHKKHRDLVTVSYIKHVLKEGKEISMRNRQRKLYTNNPSSGWYGYKQSKWSHIVFEHPATFETLAMEKEKKEEVIEDLLKFRNGKDYYAKIGKAWKRGYLLYGPPGTGKSTMIAAIANFMNYDVYDLELTAVKDNTELRKLLIETSSKAIIVVEDIDCSLDFTSHRKKEKNVEEELMEQKDYYHTKDIEEENISTSSRNSKVTLSGLLNFIDGIWSACGGERIIIFTTNFVEKLDPALIRRGRMDKHVELSYCCFEAFKVLVKNYLDIESHVLFDEIGDLLEMVNMTPADVAENLMPKSVNEDVETSLRNLIEALEKKKVEGHDEEDVKENGFVVSEK >CAK8534082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691471953:691473793:-1 gene:gene-LATHSAT_LOCUS3652 transcript:rna-LATHSAT_LOCUS3652 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSFLCHCFSTDGTEKKNSTSLTRDFEKGSNLVAVSSEKPVSNDEMVSVDSKVSGRRKKSVSVNVVSELPSSHGGQSLLIDSELQNNQCSISDCEIYKYSELEKATNNFDASRILGSGGYGTVYSGTLKDGQLVAVKRLHKDKFKILKLHDKKLEEETLRKFINEVSMLTRMRHENLVQLYGCTSPQTQELLLVQEYVPNGTVARRLHKDTFPWSTRLNVALQTSSALAYLHACNIIHRDVKTSNILLDESLNAKVADFGLSRIVPYGATHVTTDPAGTPGYIDPEYYEHCHLSDKSDVYSFGVILVELISSLPAYNEDEKQPFLSDFAMDHILKGQLGKLVDPNLGFQSDNWVSETVSAVAELAFGCLHLQRDMRPSMSEVLNTLESIKSGSSQKALKWDSNNCYNATKVHIVSRLPDDYFENK >CAK8559958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2473848:2479235:-1 gene:gene-LATHSAT_LOCUS13686 transcript:rna-LATHSAT_LOCUS13686 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGTMSLDAVIKEAVDLENIPLEEVFENLKCTKDGLTSEEVQERLDMFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAALMAIAMAHGGGKRGDYQDFVGIIILLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKHPGEGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTSIGNFCICSIAIGMIIEIIVIYGVHGYGYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDREMIEVFAKGVDKNLVVLMAARASRMENQDAIDCAIVSMLADPKEARAGIKEVHFLPFNPTDKRTALTYIDGAGIMHRVSKGAPEQILNLAQNKAEIGRKVHAMIDKFAERGLRSLGIARQEVPEGSKESAGGPWEFVALLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGENKDQLGAVSIDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKIADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLNSFWSFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVILGTYLALMTVIFFYIVFETNFFPDHFGVKHFRPDLHAPVTEEMKAMLASAVYLQVSTISQALIFVTRARGWSYTERPGLLLVFAFVIAQLVATVISAQANWEIAGIRSIGWGWAGVIWLFNTVTYVFLDPLKFAVAYQQSGRAWNLVVNQRTAFTNKNDFGKESREAAWAAEQRTLHGLRSVELKGFAEKHNHRELNTMADEAKRRAELARLRELHTLKGRVESFAKLRGLDIDMNGHYTV >CAK8537342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:283388914:283398988:-1 gene:gene-LATHSAT_LOCUS6639 transcript:rna-LATHSAT_LOCUS6639 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALNCNLAFNSKFDRKQYFYPDLPKGYQISQFDVPIATAGFLDVDIPLGLGGGHKRFGITRVHMEEDAGKLMHSENGNFSQVDLNRAGVPLLEIVSEPDMRNGIEASEYASELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSKLGTKVEVKNLNSFASMSRAIDFEISRQVQLHSQGQEDQIVQETRLWEEGSQRTITMRKKEGLADYRYFPEPDLPSVILSQEYVNAIQNCLPELPEEKRRRYEKIGLGMQDVLFLANDKNIAEFFDATLAKGADAKLVANWIMSDIAAFMKNEKLTINDIKLTPEELSELIGSIKGGIISGKIGKEILFELLAKGGSVKELIEKKDLVQIADPVEIEKMVDKVIAENPKQVEQYRGGKTKLQGFFAGQVMKISKGKANPGLLNKILLEKLN >CAK8538172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466710910:466711155:1 gene:gene-LATHSAT_LOCUS7401 transcript:rna-LATHSAT_LOCUS7401 gene_biotype:protein_coding transcript_biotype:protein_coding MDILSLFCKASGENINMDKSNIMLSRNTPNGIRKNILVKSRFRETSSLGTYIGVMLTGKSPKYRDFQYLIEKVQEKLAHWK >CAK8533878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666624630:666625973:1 gene:gene-LATHSAT_LOCUS3466 transcript:rna-LATHSAT_LOCUS3466-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFHSSIASVNFANPSSSSSTPFCTYAVHPLRNGTNNSCSTHRRKIPPLRIGNPSIQPKLNHRQSLNQHQNADLSRLLQEGNVNQVLELMGQGVFADYSVYISLLKLCEDLKSLELGEMVHDFVRRSKFGREVEVGNGLIGMYVKCGSLKDARRVFDKMPERNISSWNLMISGYTANGLGNDGLLVFKRMKQQGIAPNEETFASVLAACVSAEAVEEGLMQFESMKEYGIVPSTEHYLGVVNVLGCAGQLNEAEEFIESIPIEVGVEFWQSLRNFARIHGDLELEDRAEEWLTALDPSKAVADKVAAPHRKKQSAINMLEEKNKVAEYRCNMPYKEEGDVKLRGLTGQMREAGYVPDTRYVLHDIDEEEKEKALQYHSERLAIAYGLISTPPRTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >CAK8533877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666624624:666625973:1 gene:gene-LATHSAT_LOCUS3466 transcript:rna-LATHSAT_LOCUS3466 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELKFHSSIASVNFANPSSSSSTPFCTYAVHPLRNGTNNSCSTHRRKIPPLRIGNPSIQPKLNHRQSLNQHQNADLSRLLQEGNVNQVLELMGQGVFADYSVYISLLKLCEDLKSLELGEMVHDFVRRSKFGREVEVGNGLIGMYVKCGSLKDARRVFDKMPERNISSWNLMISGYTANGLGNDGLLVFKRMKQQGIAPNEETFASVLAACVSAEAVEEGLMQFESMKEYGIVPSTEHYLGVVNVLGCAGQLNEAEEFIESIPIEVGVEFWQSLRNFARIHGDLELEDRAEEWLTALDPSKAVADKVAAPHRKKQSAINMLEEKNKVAEYRCNMPYKEEGDVKLRGLTGQMREAGYVPDTRYVLHDIDEEEKEKALQYHSERLAIAYGLISTPPRTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >CAK8578758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651740804:651741187:1 gene:gene-LATHSAT_LOCUS30744 transcript:rna-LATHSAT_LOCUS30744 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSKRSKISSTGTYSSSSNPENLIDCSEYNSATQTGRPAGQKAAKRKGKGKTSPSITPIVDLTGMERASENKLAIYGKIAEAKLAESIPVLYEILMKDKSTMDDEQRREHEENFQSIKEKYFKRI >CAK8543447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608425397:608435704:-1 gene:gene-LATHSAT_LOCUS12204 transcript:rna-LATHSAT_LOCUS12204 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRMNGEDARRDLKRKLPQMDRGQERRSYNMNSTPYDRNALPPGWLDCPPHGQELGCIIPSKVPLGESFNDYIPNKKYTPKQAILQQRALGRELGLVIDLTNTTRYYPLSDWRKEGIDHVKIRCEGRDSVPDDESVQKFCNEVLDFCSRRPNTKKYILVHCTHGHNRTGYMIVHFLVRTESLSVTEAINKFAQARPPGIYKQDYIDTLYMFFNEKKPESLVCPPTPEWKSLPDSDVHDVSVSATDNHAYILQQENIVRNGVMTNDDVLGDPIPSNQLRTMQELCYQLLKLGKGGRGVLYFPGSHPVSLNRENLQLLRQRYYYATWKADGTRYMMLITGDGCYLIDRKFHFQRISMRFPCKYSNGGTPERNHHYTLLDGEMIIDTDPHTHKQERRYLIYDLIAINQVSLTEMPFYERWKLLEKEVIEPRNMEREALSKSISPYYRYDLEPFGVRRKGFWLLSTVSKLLHKFIPQLSHSSDGLVFQGWDDPYVPRTHEGLLKWKYPEMNSVDFQFEVGADGRPLLFLFERGKKKLMEGNTVIFKDSEDISSYSGRIIECYWDAAEHHWVCMRIRADKATPNEINTYRKVMRSIKDNITEEVVLKEINEIIRLPLYSDRIQRDIKAHQHMVSSRRK >CAK8539360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510141509:510143030:1 gene:gene-LATHSAT_LOCUS8463 transcript:rna-LATHSAT_LOCUS8463 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAIATLPLSASQPKHLKIKSNPISKYSKTNNTYLVLIQCCHNQKESIDSLNNNNGHARFPEKNPLINPLVASTFGAVPPRPRRIILVRHGESEGNVDESVYTRVPDPKIGLTNKGKVQAEECGQRIKNMIEKDGDENWQLYFYVSPYRRTLETLQSLARPFERSRIAGFREEPRIREQDFGNFQNRELMKVEKAQRNLYGRFFYRFPNGESAADVYDRITGFRETLRADINIGRYQPPGEKNFDVNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFGNGGELVMEKGYGGRYSLLMHHDEQELREFGLTDEMLIDQEWHKIARPAELNYDCPMVNSFFPHLHQETSNAQSL >CAK8570489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50138735:50139460:-1 gene:gene-LATHSAT_LOCUS23233 transcript:rna-LATHSAT_LOCUS23233 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKSKKLKVSEKSENAEEIDGELVLSIEKLQEMQDELEKINEEASDKVLEIEQKYNEIRKPLYDQRNDLIKSIPDFWLTASLSHPVLGDLLNEEDQKIFKHLSSLEVEDHKDVKSGYSITFNFNHNPYFGDTKLVKTFTFLEEGTTKVTATPIKWKEGKDIPNGVSQEKKGNKRTTSDVSFFNWFSDTEEKEDLDDLIHDEAAELIKDDLWPNPLNYFNNEDPDEAEEDDDEAGDTIHQ >CAK8563167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580001548:580008746:-1 gene:gene-LATHSAT_LOCUS16602 transcript:rna-LATHSAT_LOCUS16602 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRSKKLKVSENPEDVDAELILSIEKLQEIQDSLEKINDEASDKVLEIEQKYNEIRKPVYDKRNEIIKSIPDFWLNAFLSHPTLSELLNEEDQKIFKYLSSLEVEDNKDVKSGYTITLNFNQNPYFEDSKLSKTFTFLEEGTTNITATPIRWKEGKGIPNGVDHEKNGNKRAPVDVSFLSWFCDCEQKDDDLLDIHDEVAELIKDDLWSNPLIYFNNEELDEEHGDDEADDEEKDGEDESEEDDEEGDD >CAK8563168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580001548:580008746:-1 gene:gene-LATHSAT_LOCUS16602 transcript:rna-LATHSAT_LOCUS16602-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRSKKLKVSENPEDVDAELILSIEKLQEIQDSLEKINDEASDKVLEIEQKYNEIRKPVYDKRNEIIKSIPDFWLNAFLSHPTLSELLNEEDQKIFKYLSSLEVEDNKDVKSGYTITLNFNQNPYFEDSKLSKTFTFLEEGTTNITATPIRWKEGKGIPNGVDHEKNGNKRAPVDVSFLSWFCDCEQKDDDLLDIHDEVAELIKDDLWSNPLIYFNNEELDEEHGDDEADDEVIEVPFFLEKIEEKDGEDESEEDDEEGDD >CAK8574632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4430613:4431510:1 gene:gene-LATHSAT_LOCUS26960 transcript:rna-LATHSAT_LOCUS26960 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEELVIQDEYVYKEETRLTVLKTSQFFTGDGFVAYDCKGQLVFRFDSYGPNTRDKEQLVLMNPHGRSLLTLRRKKLSLHRRWEGFKDDRKKGDKPIFNLRRLSIIGRSRTNVIVKIQDDPSMLYLIEGCFSQRSCKIFNTRKKLVAEIRRKVDPATSVMLGKEVFVLCVQPDYDASFIMGLILVLDQINGKSVFDNETIETSVHPITED >CAK8574406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680394694:680395497:1 gene:gene-LATHSAT_LOCUS26757 transcript:rna-LATHSAT_LOCUS26757 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEELWEQLVHAALKRERTDAEIAENVSSKSIEIDEILTVADEVQDEDPTISRILCEHAYSLSHKLDSNSDGVLQFKTGVMSVMKQRVAKREVGSVDRKQEFGILQEFYESYRKKNNVDELCEEEMQNLELESKAVEKETIFGILKVLETVLEQLCEEISEK >CAK8534708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757094954:757096565:1 gene:gene-LATHSAT_LOCUS4228 transcript:rna-LATHSAT_LOCUS4228 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYYFGKKKVSGFFPEDGAIFMANRSTLKECFQRSLFGLPDSFSGFVNNVKAGMILFLFEFEARKLYGVFKAVSDGGMNIVPHAYASSGKQYPSQVKFTTILRCDPLFEDEFCDVIRDNYFTTYKFNFGLSKDQIEGLMWLFNSRKHEVPHSLHQKRKKKRKWDFQIIENKLIKGRFTNTQKRKLVSHDGASVTAEQEVEKLSLSRESCGNCESIQFHGDAYDPENPGFNHSVGSGAHSAASYESHELPSFPKKKGNLPILEEETEDFIPLCSTDNSDLEDGELDNFSESSEEKQIGLDMLEGNEVSYIPVPRFLISDKESNRLCDSSPTAVSNLQSKDETDTLPSKGMYSDKTKNRTSVFSRLNFSSEGITSENQDDINGKDIARYHYQYGYEKMEEVTQQIEDGRMYKRASVFMRLTSVSDTVPQIHSMTGLDHRTRWWKK >CAK8536931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40148350:40149437:1 gene:gene-LATHSAT_LOCUS6255 transcript:rna-LATHSAT_LOCUS6255 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDIDDGPKVDMSSVIDELWKRFKSLDVIGKRTLKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHEYVDQASQSSEMQSQPSQTSKKLKLSQFSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIGDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRECLMIEEFDPQPPHKWLTLPDMGYVIVNRYNIVLVCLGIECWTFFPMITSFSPNVAIYCIGFVNKHNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHWQQLTPILPTHYKL >CAK8564243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661608352:661609083:1 gene:gene-LATHSAT_LOCUS17566 transcript:rna-LATHSAT_LOCUS17566 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWKSFQLSKEEEEDITIEAEEICEGDIFQRTLVGKLWTDNNFNSRAFTNTIIGAWKLINPIEVQNLNKNLFLFCFDTIRDLEGVLKNRPRSFDRNILILSQISGEEQPSDLNMHYGSLWVRVYKLPIMLCSKAMTKKLGGILGEFEEMDLKEAHINGRFLRIKAKINLNKSLKRGTVVRFKEKNLIVYFKYERLPTFCFVCGRVGHQLKDCEPVGDFSEEGFKDLDEQDLSFECGCELPLS >CAK8565981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364407536:364408240:-1 gene:gene-LATHSAT_LOCUS19153 transcript:rna-LATHSAT_LOCUS19153 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWNKSTSSSFKCSSFKDIQTLLTDEPTTTKPKPSIFHRVTLANKLLRAWSTQPKLTYSPSPSPHDDPRAAQPHPPPSIPVSQQRVVIYFTSLRVVRTTYEDCKTVRSIIRGFKVALDERDVSMDSGFLSELRLVTGRKSGLSLPRVFINERYIGGAEEVRWLHENGELKKLLEGLPVADSYLHACHVCGDHRFLLCGECSGARKVYAEKGGFKTCTACNESGLIRCISCSC >CAK8536068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904505101:904505784:1 gene:gene-LATHSAT_LOCUS5469 transcript:rna-LATHSAT_LOCUS5469 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKTKTLKSTFLILCLLASAFFYPTKAHGGGHDDADDSDHDSPSSDNINIRSKSLVLVKIWCLIILFVSTFLGGVSPYYLRWNEVFLLLGTQFAGGVFLGTSMMHFLSDSNETFGDLTTKTYPFAFMLACCGYLFTMFGDCVVVYVTSNNQREAKVEELEGGRTPQEHEETNELAMDSSNVAFMKTSNVGDTVLLILALCFHSVFEGIAVGISGFAISLFLSMCL >CAK8567257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498297094:498304468:1 gene:gene-LATHSAT_LOCUS20323 transcript:rna-LATHSAT_LOCUS20323 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSIGSRTASSPMKREGTGAGDSSPLIPENDLSDDDRHSPKDRDRPFCSHFHQLCSYFTDDHRVPLHNSRISIFFTLLLLLAGLLALFTILHKLNSPYLCKKDGIVLHCPHVKESASLWENPYSSTTSWKPCAERRDGAISELPQENATNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKYDVRIVRDIPEWFTDKTELFSSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPSEINKLRCRVNYHALKFLPDIEQMADLLASRMRNRTGSSNPYMALHLRFEKGMVGLSFCDFVGTRDEKAKMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYMKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRLKSIKPDKGLMSKSFGDPYMGWAPFVEDVIVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >CAK8536625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2994890:2996505:1 gene:gene-LATHSAT_LOCUS5973 transcript:rna-LATHSAT_LOCUS5973 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMEVDSENREENKQQKDLFKAAEQGDSSIFESLSPQSLSKSLSLKNEDARSLLHVAASSGHSKVVKILLSSDASAEVINSGDEEGWAPLHSAASIGNLDIVEALLNKGADVNVKNNGGRTALHYAASKGRMNIAEILISHNAKINIKDKVGCTPLHRAASTGNSELCEYLIEEGADVDAVDRAGQTPLMNAVVCYNKEVALLLIRHGADVDVEDKEGYTVLGRAADDFRAILIDAAKTMLE >CAK8536626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2994896:2996505:1 gene:gene-LATHSAT_LOCUS5973 transcript:rna-LATHSAT_LOCUS5973-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEVDSENREENKQQKDLFKAAEQGDSSIFESLSPQSLSKSLSLKNEDARSLLHVAASSGHSKVVKILLSSDASAEVINSGDEEGWAPLHSAASIGNLDIVEALLNKGADVNVKNNGGRTALHYAASKGRMNIAEILISHNAKINIKDKVGCTPLHRAASTGNSELCEYLIEEGADVDAVDRAGQTPLMNAVVCYNKEVALLLIRHGADVDVEDKEGYTVLGRAADDFRAILIDAAKTMLE >CAK8540092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539542952:539543783:1 gene:gene-LATHSAT_LOCUS9129 transcript:rna-LATHSAT_LOCUS9129 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIKTKLNSCYDLFQNGLSGFGWDSTTNMWITEDEVWNKLIDAKLEAAEWKNKPILFYDKLAKLFGKDRATREHEGTIAKMRAKKAANVEKSHGTTIEEIDHLVETNEVILEGFDDDEHHSNNSPTRPYITNSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKKMVDVFEMNSMELVKQSKNANGEDIWAEFVEIGVEPSSLSLVYMYLVKNADALKAFNGISIDKRKEMLHLIVPDYPF >CAK8573389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607992555:607993913:-1 gene:gene-LATHSAT_LOCUS25841 transcript:rna-LATHSAT_LOCUS25841 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLSQGGVGNSFGGFDLPGSIQVHHQAHHPHTIHQHQPHPHPHPRQGSSLHSSVHDGFPLLQNCDQTVSMTDYSKGERSKNSTSDEDEPSFNEDGFDGQHEGGRGKKGPSSPWQRVKWTDKMVRLMITAVSYIGEDITSDGGGGGGGSGRRRFTVLQKKGKWKSVSKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCEVVENPLLLDVINFLSEKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDHALQCSMQIALRNRDDHDHENDDIRMSHVEDHDDDEQDVEIEERDDFKVHCASRGDSASRGGSMKNMKQIHGQEDGNTNTLGNSSNCQDYFNQGSHPRGQTVHSDGNQVSPENTRATWLQKQLVDIRQVQLEEQKLQIQAETLELEKQRFKWQRFSKKKDQELEKMSLENERMKLENERMALELKRKEMGISFN >CAK8563663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623187485:623187927:-1 gene:gene-LATHSAT_LOCUS17048 transcript:rna-LATHSAT_LOCUS17048 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIDFEEGWRFVHKGIKKLQDNLEGLPDTHVTSNDYIMLYTAIFNMCTRNPHDYREELYEKYKQVIPEYITSTVRNIAKLTSCIFTMGLKLIRRMIDFVITIGRLCLDNLKITERNRME >CAK8562663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524104418:524108021:-1 gene:gene-LATHSAT_LOCUS16141 transcript:rna-LATHSAT_LOCUS16141 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFLLFLISGVFRYSSAEQGFCSAPSTLSTESKSKPLYSKIYESILSPLDLQNLPGFTRSVYKRDHALISPESHVYGPLPDWIDTLGAYVISPEMGSHFVMYIAKLKENSRSGLPLHDVERFIFVLHGAVTVTSAHGVSHVLKVDSYAYFPPNFEHSIESDTPAIIVVFERRYAQLANHVPEPLVNSTDKQPLLETPGEVFELRKLLPSSLAYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGEGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTPTRYLLYKDVNRSPL >CAK8544245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673779312:673779677:-1 gene:gene-LATHSAT_LOCUS12943 transcript:rna-LATHSAT_LOCUS12943 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKDSHEFDKVPSHMLIEATADSEDTCNHSTMDEFGYEIGSVEYDDDDAESCCHDNAAEFKVCESLNEDEDEEVKKSDVYGSSYCEDDKYKSYVSDDSSDQEFLDEMEKNRLFWEACLAS >CAK8532804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:519820683:519824747:-1 gene:gene-LATHSAT_LOCUS2473 transcript:rna-LATHSAT_LOCUS2473 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAFRLCYPLTFTKPNNNNHYIKTLSSLWNKTLSHSLSIKPHSCSFFNLEASSSSSNNTPFNEAAYETERLTLDAEARRAMAIEGENSSLQDDPKAWKWIIRKRIWDLMEDNNFAQNPRPVHHRIPNFVGASLAAEKMRELDVFRGAQCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVIESNMLTLGTIKEACTSVGVAKYGRPIGLDEKIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGAIDDSTLVVTSVHECQLVDDIPVEKLLIHDVPVDIICTPTKVIFTHTSIPKPQGIYWDKLSPEKLGQIRILRELKRRIEQETGQKLPTGPSEKLPPTAQRGRRG >CAK8578411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627542296:627542968:1 gene:gene-LATHSAT_LOCUS30424 transcript:rna-LATHSAT_LOCUS30424 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSAREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGL >CAK8538704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493446636:493446872:1 gene:gene-LATHSAT_LOCUS7876 transcript:rna-LATHSAT_LOCUS7876 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIALDYKVEINGESNYLITADKIHRGIRSVLDKDEEVKKKVKEIRKKCKKTLLEGGSSYIYLGSLIDYIVNQVSD >CAK8532854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:536237729:536238898:1 gene:gene-LATHSAT_LOCUS2518 transcript:rna-LATHSAT_LOCUS2518 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEHHQQEQEPLIYNLPHDTLLKIFSSLPLRQIILCRSLSKFFNQLLTTPTFLHHISATLPPLNLLALRHHNHHSHHQNPSTVHLFDPDLNQWLHFPLNFLPFSNPLPVASSHGLIYLWAQPNNTSPISQAQAQTKSLLACNPLTRKFRILPQLGSAWCRHGSVLVDSVNRVMVLTELAALYFSGHDGGGGGNGGCWLKFSSNLPSKPRSPVLIEDSAYALCCDDVGSPWRSQWKLFSCRFTSTSSVKWSRVDRREWGDVFDILKRPRLVRGVGNRILMIGGLKSSFSLNSPCSTILILRLDVGTMEWDEAGRMPVEMFRCFQDAGKFKVFGAGDRVCFSAKRIGKVALWDRGAVAEGGEWRWIVNVPGNGDGVYRGFVFEGRLDALP >CAK8579043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669276412:669278587:-1 gene:gene-LATHSAT_LOCUS31018 transcript:rna-LATHSAT_LOCUS31018 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLTGFVLAVVSSSFIGSSFIIKKKGLQLARVNGPSASVGGYGYLLQPLWWVGMATMIVGEIANFVAYIYAPAVLVTPLGALTIIVSAVLAHFILKEKLQKMGMLGCLICILGSTIIVLHAPQEKSLSSVQQIWELAIQPAFLMYTASAIAITLFLVLYCAPRYGQTNILVYIGICSIVGSLTVMSIKAIGIAIKLTLEGANQVFYFQTWIFTMVAITCIIVQLNYLNMALDNFNTAVVSPIYYALFTAFTILASAIMFKDYSGQSIGSIVSELCGFLTILSGTFLLHSTREPDPPVNTDLYSPLSPRVSWYIQGNNESWKQREEDVSPFNLIAVIRQDHFK >CAK8542346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502662857:502663423:-1 gene:gene-LATHSAT_LOCUS11191 transcript:rna-LATHSAT_LOCUS11191 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYLKASFVLFVTLSLTSCLHSKAQSCRPSGRIEGKNAPAGQCNQENDSDCCVQGKMYTTYQCSPSVSTYTKAYLTLNSFQDGGDGGGPSECDNQYHSDDTPVVALSTGWFNNESRCLKNITISANGKSVVAMVVDECDSTMGCDKEHDYQPPCPNNIVDASKAVWEALGVPKNQWGGLDITWSDA >CAK8543483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610668740:610671966:-1 gene:gene-LATHSAT_LOCUS12238 transcript:rna-LATHSAT_LOCUS12238 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVAAETKKDTEVAPALIAVHPDRHSVAVAVGPELRVFNLLGNCAVSLLDDSVSGEQPFHKDNIRAIRFGAEGKLFVSAGDDKTLKIWSTQSWHCVSTVASEKRVTAVAISNDGLHVCFADKFGLVWVVDLNQTSQDKKPTPTPLLSHYCSIITSLEFSPDNRFIISADRDFKIRVTNFPKNLLNGAHEIQSFCLSHTEFVSCLAFVPAQESPHSLLLSGSGDSTVRLWDISSGSLLDTCEVAIKAGLLESNGNAEEHDHAITDLCTTLDGLLVAVAIQSLRGIVLLSCNVSAQTLSFAKVVSIAGETFIPTCLANSSTSKELWMVTGVSSLPGYDYPSLARVLVISGIDVEQEPVVLEDDKIPGGEKLLETLQGTTSVDGNAFLAAAEAVKAAMCNLLIKKHYPFENREYRKKTRNDKKLKE >CAK8533973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:677462576:677463481:1 gene:gene-LATHSAT_LOCUS3554 transcript:rna-LATHSAT_LOCUS3554 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLQAVNRLSKGNFPGYHEQLGSYGSLDVTKIEHEAVNGHTNEVFDMMKWNATYTIDNSKCILDLRTVSNKDGKINSVSFQVSDEMYSDGNIWFAVSKDTSLLNSATPPILLNGAFKNCKQKNNKTTNAAGSVIQTCIYLYGSGSKRGFLVLEETRKSGNNENSCKVTVAHYYAVSIRNIFSQNKIDIGLSVIVRIELSSERGLDISVHGPAQHPARALNSMFNEVMKTGIWKVTKCSHCANMVRYQGDSESEDCDDSLPVHVYGGKRYSQSIIDNNGVVNGNNNGNMHVENFYYIRKR >CAK8560054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6207665:6232133:-1 gene:gene-LATHSAT_LOCUS13769 transcript:rna-LATHSAT_LOCUS13769 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKAMSRESPSQKAINVLDSDLRSHWSTATNTKEWILLELNEPCLLSHIRVYNKSVLEWEIAVGLRYKPETFQKVRPRCEAPRRDMTYPTNYTPCQFVRISCLRGNPIAIFFVQLIGVSVAGLEAEFQPVVNYLLPHILSHKQDPHDMHLQLLQDMTSRLLVFLPQLETDLASFPDNPESNLRFLAMLAGPFYPILHVANGRTTSKHPSNITDFEVYKSSQLSTALTVSSNFEPRRSRSASSFNLSAYRSMVLRPDAIFMLLRKAYKDCDLSSVCKMASRIMQKLIDPEQNVSYPQNELTDPLEEKSKLELTSLCTLVDYSNLFGEEFRMPDQHWDCSYLNILDIGAVEEGILHVLYSCAAQHVLCSKMAERISEFWAALPLVQALLPALRPLLNNSFDVVDNSFSQWNQPIVQQALSQIIVTAASATHRSLLHACAGYLSSYSPSHARAACVLIDLCSGVLAPWITQVIAKVDLALELLEDLFGIIQDAHNSLICARAALKYIVLALSGHVDDILGKYKEVKHRILFLVEMLEPFLDPAIAVSKSKIAFGDLSSSFPEKQEHNCMIALNIIRAAVQKPAVLPSLESEWRHGSVAPSVLLSILEPHMLLPPDVDLCKSVLRPTENEAASVSLLSSGVNGGGTFSKFNSQDESDGKTEVPETAGRSDFVEDRNLLFAPPELQGISLRSNSNVPNHNSSVSRDADVRLESKHVVDKHSTHRFLSNVLVDSGLGFEYFNLQADYFQLLNYHDCELRASEFRRLALDLHSQNEITVETHDAAIDAFLLAAECHVNPYFMFSIGASSKLPDLLNLKEGKTEQSYANVDAKGTFGKNKPNLETIAHIERKRDKLVFHILLEAAELDRKYYLRVSDGESGSYYSECFDEQVIKMSSHDEQHADALTLVRQNQALLCNFLIKRLQGDQISMHEILLQSLVYFLHTGTKLFCPPENVIDIILKYAQDLNKMLASFHHEPKEGNLHFAEERARGVERRWLLLKQLIIASSNGGEEENFGTSIQSGFLCGNLIPPSAWMQRISHFSCSVYPLVRFLGWMAVSRNAKQYMKDQIFLASDLSQLTYLLSIFADDLAVVDNVINRKYEEVKIEDSQVEHSSSAKKEFEQGNQYHEELSFSAVYPELWKFFPNMKGKFESFGEAILEAVGLQLRSVSSTLVPDVLCWLSELCSWPFSFTSRIGNDNLKGYNAKNARTIILFILEAIIVEHMEAVVPETPKLVHVLVSLSSSSYCDVPFLDSVLRLLKPIISYSLSKVSHDERLLEVDSCLNFEELCFSVLLSKIKQKDKEYNVALGIFILASIFPDLSIQFRRDFLQSLLSLVNFTDSEPTASLYDYLSAFQCVMDNCRLILVNALTSFGVIPLQLPPFPRANVGGLSDDNIPNPWFLCDICHHSFENDVHNVEHNNNNAAADDDRCHFPSKDLEGFSKDIEVLISQLTPAIECCWNLHHQISRKLTISSAECFVFSKCLTSVSPKFQKFEEDDQVSSPAKSSDLFSLHWRIGVQGLSELTTVLQESSCWEVSCLMLDCLLGMPYSFSLDNVVGIICSSIKKVACNAPKISWRLRSDKWLSYLTARGIYNSRESEVPLTDLFCTFLGHAEPEQRIIAVKHLGRLLGQCMNDERAPINFTICTDFVPNKVVLSVPDYVLSQLVSNTWDEVVVLASSDTSLQIRVHAMILLSNYIPFAKRHHLQSLLIASDSICCLRNAQPSHDGSIRQLSLAVIAYACLCSPPEDISLIPQTVWENVETLASTKCDGNLGDLEKRTCQVLCRLRDGDEDKEVLKEVLSLNSSKQYDPDFAITRESVLQVLGNLTAVHSYFDVFSTKVNQDDMELEEAKLELDIIQKEHALPGQMEDSKDGNQIPSLYSSGKDVSRLQQIRECIRTIEKSKLKEDILARRQKKLLMRHDRQKYLEEAVLREAEILQELDRERVAEMEKEMERQKLLEIERAKTRELRHNLDMEKEKQAQRELQREIEQAESGIRPSRRDFPSSTHNSRPRDRFRERDNGRSGNEGSTRAVTGSLQPEIPSTNSSMATSQSIVLSGSRTFSGQMPTILQSRDRQDDSGSIYEENIDGSKDSGDTGSFGDPELASAFDGPSGGYGSQRHSSRGSKSRQLGERRERENRREGKWERKH >CAK8532198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:219203432:219208048:-1 gene:gene-LATHSAT_LOCUS1929 transcript:rna-LATHSAT_LOCUS1929 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNRGHPHPPPPPHAAISPPIRDPIFSMRGGGGGHPPHPSLLEDFRESQLGLGHRPPLHLHPAAVIEERLAVQHGEIQGLLGDNQRFAATHVALKQELEAAQHELQRMAHFKDSLRADTEVRMRELYERAGQLEAELRGAEAARAELQQIHGDIKELTAVRQDLSGQVQAMSQDLAKMTADLKRMPALRADVDAMKQELQCARAAIEYEKKGFTENYEHGQVMEKKLISMAREMEKLRAEIANAEKRTRAAVAVTAAGNPGPGYNANYGNAEPGYAGNPYPSYYGMNQVQPGVENFQQYAPGPGPAAWSAYEMQRAQGHR >CAK8537083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78364813:78368922:-1 gene:gene-LATHSAT_LOCUS6399 transcript:rna-LATHSAT_LOCUS6399 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASALFLLDIKGRILVWRDYRGDVSAIEAERFFTKFIDKQADEQSQDPVVYDNGVTYMFIQHSNVYLVIAARQNCNAASLLFFLHRLVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIIYKKNEVFLDVVESVNILVNSNGQLIRSDVVGALKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLLWVEATVEKHSKSRIEIMVKARSQFKERSTATNVEIELPVPVDATNPNIRTSMGSASYAPEKDALIWKVRSFPGGKEYMLRAEFRLPSIIDEEATPERKAPIRVKFEIPYFTISGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >CAK8537328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:267079628:267080131:-1 gene:gene-LATHSAT_LOCUS6625 transcript:rna-LATHSAT_LOCUS6625 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNAALLQSWADKLSSNGSEVTSSQLKNWLNNRKARLARTTKDVRPVTADIDNLVSDKQKGEAPGSLDSPIGPGQYVMLVGQQGEEIGKGKVFQLEGEWYGKALDGNALHGYGACVVDVCDLRVDKGLQLPYMSEAVGSTFAEAQTKFGVMRVIWPTRKLMAWRSD >CAK8564300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666896502:666899581:-1 gene:gene-LATHSAT_LOCUS17621 transcript:rna-LATHSAT_LOCUS17621 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHRVSEPLPVGPTQLENNSETNDEKNQHEKEQVGESPCVTESKQNYSANSIKHSIERNSETLIVPPSSISQKQSFDADLPGKPNSLISCVKAENQETVGPPEKEITANEAAPTPTTPTENQLQVTVCSIPLPELSPVHSFSNAPVRVVDKKKYSGGKALSSVSVPRTSASDGYNWRKYGQKQVKSPTTGSRSYYRCTQSNCCAKKIECWDHSGHVIETVYKSAHSHDPPRKTNFLRESKFASPKEPTAENSLLVKSVNVLKDSDPSTSSKAQEEAPCSAVKKEQNSSNINGNGKVIVKEEHLDEPEPKRRKEKGDLIQRDSPVKPEKKSKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAVDNSDAVIITYKGVHDHDTPVPKKRHGPPSAPLVAAAAPASMNNLQLIKPGSPLQNQKISTQWSVDTDGELTGEAMELGGEKAIESARTLLSIGFEIKPC >CAK8563212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583279405:583282421:1 gene:gene-LATHSAT_LOCUS16645 transcript:rna-LATHSAT_LOCUS16645 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFVKQGVSVTPAVDHSVDSERNNSSIINKNKKKKKDSAESVGLSWSEVGESGRTSLNGGNESLSFRLGNLSKYVEGEQAAAGWPAWLSAVASEAIHGWVPLRSDAFEKLDKIGQGTYSSVFRAKEIETGKIVALKKVRFDNFEPESVRFMAREIMILRRLDHPNIIKLEGLITSRLSCSIYLVFEYMEHDVTGLLSKPEINFTESQIKCYMKQLLSGLEHCHSRGVMHRDIKGSNLLVNNEGILKVADFGLANFCSSGSKHPLTSRVVTLWYRPPELLLGSTDYGPSVDIWSVGCVFGEFLVGKPILKGRTEVEQLHKIFKLCGSPPDEYWKKTKLPHATLFKPQQPYDSCLRETFRDFPATSVNLLQNLLSIEPNRRGTASSALSLEYFKTKPYACDPSSLPVYPPSKEIDAKHDEETKRKKIAGRVCGPEKRRSSRKPVGLSKLPLPEDLTRQIQTSQKTDDRSIHILKEENTNIGDEASKRSGGKPEDASDMKNASQVDIPFHGPLQVSKSSGFAWAKRRKDDTTSIRSHTRSISKGHIFNSLETSTQNSRNICDDNENKEVFGGRTSSRGHDVFEISKLVVQNQWSKFDRPDSFDTCEEYHSQELSMMVYHREDSLSKRSNRSYQDQGEKVEFSGPLISQMHTVDELLERHERHIRHTVRRSWFQRGKKLGN >CAK8570450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47384784:47387022:-1 gene:gene-LATHSAT_LOCUS23197 transcript:rna-LATHSAT_LOCUS23197 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQYWVWICTLLLATYFYVINFVWRLNEWYYNIKLTKKQYPLPPGDLGWPFVGNMLTFIKHFKSGHPDLFINNLVSKYGRIGIYKMHLFGSPSIVICDAEMCRQVLTDDETFKIGYPKSTIEVVRCKCIWSFSREEHKRFRRLISSLTIGHNTLETYISCMEDIVVKSLDEISSMSEPVEFLKELKNISFNIIIDIFMGSYNKHIITKIGTSFTEMHRALFCLPINLPGFAFRKGIVAREKLEKLVKPIVEERRRMMKHGERKDLLDILLEAKDEDGWKPEDEDIIDILIGIVLAGHDSTATTMMWSMIYLTQNPHILEKAKEEQEEIMKNRLPMQKHLSLKDIKKMTYLSLIINETIRLMTTNFAIFREATIDVNINGYIIPKGWKVLTWARALHMDPTYYPNPCEFNPSRWNDQNIKIGSFLPFGAGSRYCPGSDLAKLEISIFLHYFLLSYKLEIMNPKCPITNLSSSKPIDNCLAKVIKVSSNA >CAK8568427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605790830:605792498:1 gene:gene-LATHSAT_LOCUS21385 transcript:rna-LATHSAT_LOCUS21385 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASELVGYPGGPYDTSLLVKYEQHIAYRIWFGQERGSKKELKVARHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDTSMFSCKQLGGYPTLLQCWIHEYFSTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRKYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPTTTPYETDDGYLEWYYRVSHPRLVPIPYHDAPSEMPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8531386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106712007:106714538:-1 gene:gene-LATHSAT_LOCUS1182 transcript:rna-LATHSAT_LOCUS1182 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEGGAAASLKAALDRVHQAADRSNRNVKEIRVVAASKTKPVSTLRQVYDAGHRFFGENYVQEIIDKAPQLPEDIEWHFIGNLQSNKVKPLLAGVPNLAYVETVDDEKIANLLDRAVAKIGRKPLKVFVEVNTSGETSKFGVEPALCLDLVKHIVTNCPNLEFCGLMTIGMLDYSSTPENFKTLSKCRTEVCAALEISEAQCELSMGMTADFEQAIEMGSTTVRIGTAIFGRESIHIKKRSRCPDFAVLFGVMLLCF >CAK8575870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:344216560:344218137:-1 gene:gene-LATHSAT_LOCUS28097 transcript:rna-LATHSAT_LOCUS28097 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLLGIALSSIGGFSTSIGALFVIMSKAPSLRMLGLLQGFAAGLMLSISFFDLAHNAINSLGFLRGNLWFFSGVVFFAIVAHFIPEPTVPPPDKKNKKVGNEENKNTMKKRRRQVLFSGIITAIGISLHNFPEGMAVYLGSMKGLRVGLNLALAIALHNIPEGVAVALPVYFATESKWQAFKLASLSGLAEPLGVVIVACLFPTSLNPEILEGLLASVGGVMAFITLHEMLPLAFEYAGQKQSVKAVFCGMAFMSASLYFLRLSLPEDTGV >CAK8540008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535692576:535694624:1 gene:gene-LATHSAT_LOCUS9050 transcript:rna-LATHSAT_LOCUS9050 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVKQILAKPIQLADQVTKAADEASSFKQECGELKSKTEKLAALLRQAARASSDLYERPTKRIIEETEQVLDKALSLVLKCRANGIMKRVFTIIPAAAFRKTSSHLENSIGDVSWLLRVSAPADDRGGEYLGLPPIAANEPILCFIWEQIAILYTGSQEDRSDAAASLVSLARGSDRYGKLIIEEGGVGPLLKLIKEGKMDGQENAARAIGLLGRDAECVEHMIHAGVCSVFAKILKEGPMKVQGVVAWAVSELVANYPKCQDLFAQHNIIRLLVGHLAFETVEEHSKYAVVSMKATSIHAAVVMANNNNNSSSNLNPKKGNANGDDGVGGGNGNNKQGRVSHHPLGERPRNLHRVITSTMAKHAASKPTQGDEANQNQNFLSNSNPPNGNGLGNGNGNGKQGGHNNHQRNYSHSGINMKGREFEDAETKASMKEMAARALWHLAKDNVVICRSITESRALLCFAVLLEKGPEAVQYNSAMALMEITAVAEKDAELRKSAFKPNSPACKAVVDQVLKIIEKADSDLLIPCVKAIGNLARTFKATETRMIGPLVKLLDEGEAEVSREAAIALRKFAGSENYLHVDHSKAIISAGGAKHLIQLVYFGEHQVPALVLLSYIALHVPDSEELALAEVLGVLEWASKQSFMQHEETLEALLQEAKSRLELYQSRGSRGFHHKLHQ >CAK8543492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611234191:611239680:-1 gene:gene-LATHSAT_LOCUS12247 transcript:rna-LATHSAT_LOCUS12247 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDYDSYPSGMNVESVHRVAIPRPQPFFESLKYSMKETLFPDDPLRKFKNQPASKKLVLALQHFLPILEWGPKYTLPFLKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMASMLSREASPIENPTLYLHLAFTATFFAGLLQLSLGLFRLGFIVDFLSHAAIVGFMGGAATVVCLQQLKSILGLEKFTHKADVVSVMNSVFSQTHEWRWESAVLGCCFIFFLLVTRYFSKRQPKFFWVSAMAPLTSVILGSILVYVTHAEKHGVQVIGNLKKGLNPPSATDLVFVSPYLGTAIKTGLITGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIFGSLTSCYLTTGPFSRSAVNYNAGCKTAASNIVMSIAVMLTLLFLTPLFHYTPLVVLSAIIVSAMLGLIDYQAAIHLWKIDKFDFLVCFSAYIGVVFGSVEIGLVLAVAISVLRVLLFIARPRTFVLGNIPNSSAYRNVEHYPNAHHVPGILILEIDAPIYFANASYLRERITRWIDEEEEKIKGAGSTSLQYVIVDMTAVANIDTSGISMLEEFKKTVDRKGLQLVLVNPGSEVTKKLNKSKFLDEIGHKWVYVTVEEAVGVCSSFMLHTQKANPMKDESEGLNSV >CAK8567747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541179211:541180443:-1 gene:gene-LATHSAT_LOCUS20770 transcript:rna-LATHSAT_LOCUS20770 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNVILTCHYNGVFTTNIPDGFSFSNTDTYAFKIHVNSDFFHLKDRMGKKLTRSVEEIFYRHPTLNEDELTIFYLMTPIRNDEDVIAMFRCHTMFGNLHTIELYVRLIDNLETFPTQETQSHCYGYSQTSDDEPTQNNFPFIPNEEVGEASNDDIQEVRMQDIFCDSDDEDNKDMVVTSIRTQPISLYNPPAHMQNIYDEYDDTTSVFENATQNHVGDEIEIGMEFENKEACVFALQHWHITRSVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRNSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNADASLKVKVIIAHILEKYGYIISYRKAWIAKCKVVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLQTLPAISNDGSQLSGKRIFHHLF >CAK8540735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18178180:18179528:-1 gene:gene-LATHSAT_LOCUS9709 transcript:rna-LATHSAT_LOCUS9709 gene_biotype:protein_coding transcript_biotype:protein_coding MASSINGRKPSEIFKAQILLYKHILAFLDSMSLKWTIEMNIPNIIHDHGQPISLSNLVSVLQVPSSKISTVQRLMRILSHNGFFEVVTNPEMENEEESYALTVASELLVKGSEICLAPMVEAVLVPTFLGSYCEMKKWIYEEDLTLFDVTLGSGFWDFLDKNPNYNRSFNEAMASDSKIINLALRECNLVFEQLESIVDVGGGTGTCAKIICDIFPKLKCIVFDRPQVVENLSDTNNLSYVGGDMFTSIPNADAVLLKWILHNWSDEDCIKILKNCKEVVTNDGRKGKVVVIDIVINEKKDEKNLTKMKLLMDVNMACISGKERNEEEWKNLFIQAGFQDYKISPLTGFLSLIEVYP >CAK8569839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13272531:13280449:-1 gene:gene-LATHSAT_LOCUS22648 transcript:rna-LATHSAT_LOCUS22648 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMKHLLRKLHIGGGAATINNHNPNATLQLSHSDSHATTTPLPSSSASLSPSPSPSPSPSPSPTVIQNSQNDVVDRGVVDFNLLQEEEFQVQLALAISASDSDPHDVDESAQIDAAKQISLGYSASLTDTPALVQFQSLRYWNYNVIAYDEKVMDGFYDVYGIDSSLIERGKMPLLVDLKTVPTSRNVDYEVISVNRNVDVELSQLEKKACTLFEECSVSELGLFLSGLIQKLADVVVSRMGGPVSNADKFMEKWTTKSRELRDSLRTVVLPLGRLDVGLSRHRALLFKVLADRINIPCMLVKGSYYTGTDDGAVNLIKADDGSEYIIDMMGAPGTLIPAEVPSSQIQNYGFAGRDFTEIAEQPNNIYQMLGEETGVLGVLSDCNVGSVQSKELVEIGSQTKPDEINHVKVNEAGRFEHSEAYECSSQSESSHAENMHVKNVSKYVLSAAKNPEFASKLHTILLESGASPPSDLFSNMNSRDRGLDTVQADSNRLLLLSYDKSLILPQGVGSSSNTRLCQSVDQLAEQPKEFRSDANEFYDSSQISSTRNPFATVSGKDGDIQQSNPLIVDFASPNTCNTCKEKCPESSLSKAVFSCKRHNGVECFCDNDESGPRNEAGASLNNIELGTDSVIQINETVDGDCILHDDGKSKNVHPILGEDTQWEIQWEDLRIGERIGIGSYGEVYRADCNGTEVAVKKFLDQDVSGDALDQFKSEIEIMLRLRHPNVVLFMGAITRPPHFSILTEFLPRGSLYGLLHRPKLVLDEKRRLRMALDVAKGMNYLHTSHPPVVHRDLKSPNLLVDRHWVVKVCDFGLSRMKHNTYLSSKSCAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELTTTKIPWHGLNPMQVVGAVGFQNKRLEIPEEMDPVVAQIIRDCWQREPHLRPSFSQLMSRLYRLRQLVARKTSSTQ >CAK8560750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39552365:39555574:-1 gene:gene-LATHSAT_LOCUS14401 transcript:rna-LATHSAT_LOCUS14401 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLNSDLFDPVTVMESEWAHGGGSNSDADFGFAFNDSNFSDRILRIEIMADPVDPQPDSETCTTIADWARHRKRRREDIKKDNVGDLPDEQILNGNQPDMDDCVASENQDEEVVAMVEESPSGDEAVNSNDDLNLGMDYAEPAVVRFKTLHISSPILAAKSPFFYKLFSNGMRESELRHVTLRINASEEAALMELLNFMYSNTLSIKTAPGLLDVLMAADKFEVASCMRYCSRLLRNIAMTPESALLYLELPSSVLMAEAVQPLTDAAKQYLASRYKDITKFQEEVMNLPLVGVEAILSSDDLQVASEDAVYDFVLKWARQQYSNLEERRLVLGSRLARLIRFPYMTCRKLKKVLTCSDFEHDVSSKLVLEALFFKAEVPHRQRSLAAEEPTCSSRRFLERAYKYRPVKVVEFELPRQQCVVYLDLKRDECNNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARSRPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDCLYFINGVLHLRAELTIRH >CAK8535598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865561144:865563412:1 gene:gene-LATHSAT_LOCUS5041 transcript:rna-LATHSAT_LOCUS5041 gene_biotype:protein_coding transcript_biotype:protein_coding MANASGLFSTPSVPNFTLRVRPFSSIHRFQGFSTKVFCSGTIEGAQDYASPTQSRIPRLVSQGCKLVGCGSAVPALQISNEELSKIVDTNDEWISVRTGIRRRHVLSGGDSLITLAADAAKKALEMAKVDPDDIDLILMCTSTPEDLFGSAPQIQKQLGCTKNPLSYDITAACSGFVLGLLSAACHIRGGGFRNVLVIGADALSRYVDWTDRGTCILFGDAAGAVVVQACDSEEDGLFGFDVHSDGNGIRHLNAGIKENESNNALDSNGSVRSFPPKQSSYSCIQMNGKEVFRFAVRCVPQTIESALEKAGLPASSIDWLLLHQANQRIIDAVATRLEIPSERVISNLANYGNTSAASIPLALDEAVRSGKVKAGQTIAAAGFGAGLTWGSAIVRWG >CAK8566512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:430040196:430044532:-1 gene:gene-LATHSAT_LOCUS19636 transcript:rna-LATHSAT_LOCUS19636 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSVLPFSPISAKSCSTMQSLTVSNRLVVPSSGSRLSPARLAGVSVCRGGRRLLPCATLRSSSISVSSIGEENDGRRRFVEDSKSGNLVPIYRCIFADQLTPVLAYRILVDKDDREAPSFLFESAEPNSQSSNVGRYSVVGARPSMEIVAKENKVTVMDHVSGHLTEEMVDDPMEIPRKISQEWRPVLNDQLPDAFCGGLAGYFSYDTVRYVEKKKLSFSDAPKDDRSLADIHLGLYETVIVFDHVEKKAYVILWVRTDRYSSAESAYEDGMKQLNKLVAKLQDDKHPRLAPGAVGLKTRQFGPPLKESTMTPDAYKEAVLQAKEHIKAGDIFQVVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILAASSPEILARIKNKKIVNRPLAGTCKRGNTPQEDEELSAKLLKDEKQCAEHVMLVDLGRNDVGKVSRSGSVKVEKLMNVERYSHVMHISSTVTGELQDHLTCWDALRAALPVGTVSGAPKVRAMQIIDELEVARRGPYSGGFGYISFSGDMDIALALRTIVFPTGTRYDTMYSYKDQNKRQEWIAYLQAGAGIVADSDPTDEHQECQNKAAGLARSIDLAEAAFVDK >CAK8577055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534856248:534858450:1 gene:gene-LATHSAT_LOCUS29197 transcript:rna-LATHSAT_LOCUS29197 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKMNEVEITNVNFLQNSTTSASINPPPLKRKRNLPGNPDPEAEVIALSPKTLMATNRFLCETCGKGFQRDQNLQLHRRGHNLPWKLKQRTNKEIKKRVYVCPEKTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVSAASEINHNSLRSNIGNYNMMGTSLVPNNMSTHFPSIFKTISSPDHHHHETTTNQTRGLSLWMNQTSQPHETMITTNGNTNLHEIHVNQHFDSSSSRGLIYGGIENPNSNNYQLNWVYENKLPTNCSQELITSAATSLPLGINNVNVKDVVATHHDATSQQISSLYSSQHQIPSHQTTSSANMSATALLQKAAQIGVTSTDTSFLGSLGLKCSDNNNIDVNKLGGGAGMYGSSSLLISLGNEEDHNTTACDFSQMHPSKRRHVQSEENGGGGQTRDFLGVGVQTICHPSSINGWI >CAK8574636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4547598:4549961:-1 gene:gene-LATHSAT_LOCUS26963 transcript:rna-LATHSAT_LOCUS26963-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSFSFFLGLLILSSTFSLVLGNKAFIGTYGVNYGRVADNLPPPESVVTLLKAAKIRNVRIYDVNPQVLTAFKGSGIGLSVCLPNELLTDIGVGEDRAMNWIKDNVQPYLPGTKICGIAIGNEILGGGSVELWEALLPAAKNIYSALDRLGLAHEIEVSSPHSEAVFANSYPPSSCTFRDDIIPYMKPLLQFFSQIGTPFYINAYPFLAYKNDPSHIDINYALMKKNHGIYDAKSKLHYDNMLDAQIDASYFALEKFGFDKMEVIVSETGWASKGDDNEGGANVKNARTYNKNLRKKLLKRKGTPHRPKMLVRAYIFALFNENLKPGPTSERNFGLFKHDGSIAYDIGFTGLVPSSATSSFLSFKGIGSSYVMVSSSCMAILLLLAL >CAK8574635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4547598:4549982:-1 gene:gene-LATHSAT_LOCUS26963 transcript:rna-LATHSAT_LOCUS26963 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVQQQMVFSSSFSFFLGLLILSSTFSLVLGNKAFIGTYGVNYGRVADNLPPPESVVTLLKAAKIRNVRIYDVNPQVLTAFKGSGIGLSVCLPNELLTDIGVGEDRAMNWIKDNVQPYLPGTKICGIAIGNEILGGGSVELWEALLPAAKNIYSALDRLGLAHEIEVSSPHSEAVFANSYPPSSCTFRDDIIPYMKPLLQFFSQIGTPFYINAYPFLAYKNDPSHIDINYALMKKNHGIYDAKSKLHYDNMLDAQIDASYFALEKFGFDKMEVIVSETGWASKGDDNEGGANVKNARTYNKNLRKKLLKRKGTPHRPKMLVRAYIFALFNENLKPGPTSERNFGLFKHDGSIAYDIGFTGLVPSSATSSFLSFKGIGSSYVMVSSSCMAILLLLAL >CAK8574630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4321274:4322776:1 gene:gene-LATHSAT_LOCUS26958 transcript:rna-LATHSAT_LOCUS26958 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSTVSYRFNIAGRITRTMKAKRGLRQGDPISPLLFVLAMEYFHRLMHQLSRVPNYNFHAKCEKLQIIDLSFADDVLLFFRGDSESVQLLMNQLQSFSKSTGLVVNPAKCRVYFGGVNSETKTNILVSTSFTEGELPFRYLGVPLTCKRLSTHHYMSLVDRIVCRIRHWSSKLLSYAGRLQLINNTITAIAAYWMSCLPFPKHVIKTINSICRTFLWTGSEQKSRKSPIAWKMVCKPRKKGGLDVVDLSDWKVACLSKLLWNLCNKKDNLWVKWIHAFYFKTTDLMQIQEKQGMSWILKAILRHRIIITSMDNWNEMTEKYSVGKVYQFLKKDDPDVVWKHMLYNNIARPRARFTLWMACHRRLATRGRLKRLGLTTDDRCNFCDKVETIDHLLFECLPFKTCWQQIMGCLGYHRFPCAWREELEWLINHCKGKGWRKCILRSALAETIHEVWRYRNNAVFGNTVNILEIRDLVIYTLANRGWGNTKMRHHIAHLILK >CAK8568128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575046290:575055677:1 gene:gene-LATHSAT_LOCUS21121 transcript:rna-LATHSAT_LOCUS21121 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAHNQRDRRIDGLGDLRDVPDEILCSILERLTPRDAARVACVSSVMYILSNEEPLWMSLCLKRASGLLEYKGSWKKTALHNENLPDKYKEGHGQPLHFDGFNSLFLYRRLYRCHTTLDTFYTEGGNVERIKDISLKDFYKEYDMKKPVMLNGLADTWPARHKWTTDQLLLNYGDVAFKISQRSSRKISMKFKDYVSYMKVQHDEDPLYVFDEKFGEHAPSLLKDYCVPHLFQEDFFDILDIDKRPSYRWLIIGPQRSGASWHVDPALTSAWNTLLSGRKRWALYPPGKVPLGVTVHVNEEDGDVSIETPSSLQWWLDFYPLLADEDKPIECTQLPGETLYVPSGWWHCILNLETTIAVTQNFVNSNNFEFVCLDMAPGYLHKGVCRVGLLALEDDVDENGVQDMSCNEENLSYSDLSRKEKRPKTLKDVDDLCFESELSGVSRSYNLWKGGFSYDINFLSMFLDKDKDHYSSEWSSGNTIGQRELREWLSKLWIQKSEMRDLIWKGACIALNADKWLECLSKICAFNNLPLPTDDERLPVGTGSNPVYLVGNYVIKIFVEGGLEACLYCLGTELEFNSLLLEANSSIRKHIPSVMASGIVYLEDGSYTNLSWDGKGVPSVISKTNNITEKCNADGFPFGVWGKKLLEYRNAGIPVDGSISLAGNSSIWPYVITKRCEGNMFADLRDSLSREDTTNLASFLGEQLRHIHLLPHPPLNNSLISDIEHEISWSEENGCISNINCKSKSAAEWGIFTRILIKKRKDVSSRLTKWGAPIPSKLIEKIEEYIPSDLSKLLNINENFSSGASKPCSWIHTDIMDDNIYMEPSLVCSTSNGNTEDAAQVDNGLLSDHDGVKSWCPSYILDFSDLSIGDPIFDLIPIYIDVFRGDSNLLKKFLESYKLPFPCNISKCESTEGGQKFGRLSYAAMCYCILHDDNVLGAIFSMWKELRSSESWEEVEMTVWGELNNYKGFL >CAK8559952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2326359:2327698:1 gene:gene-LATHSAT_LOCUS13681 transcript:rna-LATHSAT_LOCUS13681 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQVVTRSSTFKEEINVNGNELLDDHNQNDGSMSAPSFFSSIHNSLATPSFNNSLAAQAIKASAARRDPSLSFAPPLHHENHNRSKSCDSDGDPSKSGFWGVLALKAKDIFDDDDPSPKLPHHDIQKLRSHSFNTTTIPATQNIAKIGESNLKQQFNQTLGTTNSQSIPETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKARCSQLEEENKLLRDKEGREKGQNRADDDLIRLQLETLLAEKARLASENEVNSRENRFLREIVEYHQLTVQDVVQFDEDMEHDSELYGSIDTTYGPQMLSPRSQVAGLPMHSKSMFDVSPPSSHDEATPTSN >CAK8579332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692090182:692097231:-1 gene:gene-LATHSAT_LOCUS31285 transcript:rna-LATHSAT_LOCUS31285 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSFIVEVEKAKEAKDGKPSIGPAYRSCFAEDSSPPSIEGLDSCWDIFRMSVEKNPTNRMLGRREIVDGKPGNYKWQTYKEVYDLVVKLGNSIRACGYGEGVKCGIYGANCSEWIISMEACNAHGLLCVPLYDTLGAGAVEFIICHAEVSIAFVEEKKIPELLKTFPNAAQYLKTLVSFGKVTPEQKQEVEKFGVIIYSWDEFLEVGHDKSFDTPVKKKSDICTIMYTSGTTGDPKGVLISNESIITLLAGITKLLNSCNEELNHKDCFLSYLPLAHIFDRIVEETMIWVGASIGFWRGDVKLLMEDIAELKPTIFIAVPRVLDRVYTGLQQKLKNGGFVKQTMFNFAYSFKLLNLKRGQKRDSASPLFDKVVFNKIKDALGGKVRIILSGAAPLSKHVEGFLRVVTCAHILQGYGLTETCAGSFLAIPNEIDMLGTVGPPLPYLEVCLESVPEMGYDALATTPRGEICMRGSSVFSGYFKREDLSKEVIIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYTQVSAIESIWVYGNSYEYFLVAVINPNKQVLEAWAEGDGIKMDFESLCKDSRTKKYMVGELVKIAKEKKLKGFEFIKAVHLEPVPFDMERDLITPTFKKKRPQLLKYYQDIINELYETTKKASA >CAK8572338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530715684:530719110:-1 gene:gene-LATHSAT_LOCUS24914 transcript:rna-LATHSAT_LOCUS24914 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNCSEGLGDDFFEQILAVPESGVGYGRNTTGMDHVGGVLQLGSTPGIMPLGLNLEQTHHGFLRHQDAATRFVDNIVDVETSITNGNNNNNHHHLRLHDINNNNNTSSSPSSTPGITDRDSMQHMRGLFSTFGQMHTPTHAQPVRPMLPSPTPQPQIHLHHQHQQQHFQSQQPNPASVTAMPQQPPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDKAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPVSAVEGEDIEGGTNQQAWAKWSNDGTEQQVAKLMEEDVGAAMQLLQSKALCIMPISLASAIFRMPQSDSSSIIKPESNNHT >CAK8564192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:656287633:656292679:1 gene:gene-LATHSAT_LOCUS17519 transcript:rna-LATHSAT_LOCUS17519 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLQQQEFIFRSKLPDIEIPTHLPLHSYLFQNLSQFHNRPCLINGDTGQTFSYSDVHLTARKIASGLNTIGINQGDVIMIVLRNSPQFALTFLGASFCGAAITTANPFYTSSELAKQATATKTKLIVTQSAYVNKIKEFAKINNIKIVCIDDSSSASSPSLESEQSEEDVVDFSVLTNADENEAPEVKINPNDVVALPFSSGTSGLPKGVMLTHENLVTTIAQLVDGENPHQYTNCDDVLLCVLPMFHIYALNSILLCGIRAGAAVLIVEKFEIKTVLDLIEKYKVTVVSFVPPIVLALVKSGESHRYDLSSIRVMITGAAPMGMELEQAVKDRMPQTVLGQGYGMTEAGPLSISLAFAKEPFKTKPGACGTVVRNSEMKIVDTETGVSLPRNKSGEICIRGTKVMKGYLNDPEATKKTIDNDGWLHTGDIGFIDEDDELFIVDRLKELIKYKGFQVAPAELEALLIAHPNISEAAVVPLKDEVAGELPIAFVVRSKGSKISEDEIKQYVSQQVVFYKRINRVYFTDAIPKAASGKILRKELTARLNEGLVV >CAK8539572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516673739:516674443:1 gene:gene-LATHSAT_LOCUS8655 transcript:rna-LATHSAT_LOCUS8655 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDISMTTTQIQKPSNPNTDTTTNTPSSSTWMWNPKQHQHQEQEDEDSWEVRAFAEDTRNIMNTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQNQPPLNSPHHPSSPFIHIPPPELVNAGLCLFYHPPNPNISSFNDSNGDSPSTFLSISSSSYPTNNFMMQMQMQTCSPPSFHFQANSARNLINQSISSFSNKPAICTSIDDKVHEIEELDLELRLGNKPSPA >CAK8539615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518295201:518297662:1 gene:gene-LATHSAT_LOCUS8695 transcript:rna-LATHSAT_LOCUS8695 gene_biotype:protein_coding transcript_biotype:protein_coding METIFHSRVDREIETLTERLHPPRVCIDNNSCKDCTVLKVDSANKYGILLEMVQVLTDLGLIISKSYISSDGGWFMDVFHVTDQAGNKVTDNNLMQKIEKELCDTKAKEDIDDETELESREELESYYTKLNVSTENTALEMSGMDRPGLLSEISAVLVKMGCNVTSATAWTHNRRAACIIYVEEESKPGPIKDPKRLAHVKEELETVVQARGVNGDRNNVRLRNFATGRTHTERRLHQLMYADRDYEGCRPCHGYSSGEHKRGCDGTHVSISRCEDRGYWVVNVICKDRPKLLFDTVCVLRDMHYVVFHAAISSKKSIANQEYFIRHKMNSLALRTQSEREKLVLCIIAAIERRVSHGLRVDICTQNRMGLLSKVTRVIQKNGLSIPRVEIGTRGENVVGTFYVTDPLKHEVNPKIVELLRKECGGSVDIVIDHKCPCGLSRSWSSPPITSENNGSIEDKRKTSIGSMLWSHIGKFSSNFSPIKY >CAK8579054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670517051:670519373:-1 gene:gene-LATHSAT_LOCUS31027 transcript:rna-LATHSAT_LOCUS31027 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVRRNITHNLKKLPFLSSSLFNSKHLFNVDVSGSVSFHSEAVVGGGGGGGGGLPSYMRGAVHWEPNKPLTIEEFHIPRPKAGELLIKTKACGVCHSDLHVMKGEIPFASPCIVGHEITGEVVEHGQHTDSKTIERLPVGSRVVGAFIMPCGNCSYCSKGHDDLCEAFFAYNRAKGTLYDGETRLFLRSSGKPVFMYSMGGLAEYCVVPANALAVLPSSMPYTESAILGCAVFTAYGAMAHAAEVRPGDSVVVIGTGGVGSSCLQIARAFGASDIIAVDVQDIKLEKAKTLGATHTINSAKEDPIEKILEITGGKGVDVAVEALGLPQTFAQCTQSVKDGGKAVMIGLAKAGSLGEVDINRLVRRKIKVIGSYGGRARQDLPKLIRLAETGIFDLGHAVSRKYTFEESDKAFQDLNEGKIVGRAVIEII >CAK8530306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13940754:13942280:-1 gene:gene-LATHSAT_LOCUS181 transcript:rna-LATHSAT_LOCUS181 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGRCSQCGRAIQVEAYSYANSMAMMCSGCHSQGFSQQPNYPFVNNNNNNSPPPAAYGRRPSWPHTLMGPQVSMTPPSPYGNKRAVLFGISYGNSANSLKGSLNDVHSMKYFLTQKLGFPTDCVRMFTDEPGERNPTRIPTKYNMRMAMKWLVEGCQAGDSLVLHFSGHGSRQVDHGMDEVDGYDEAICPVDYEREGKILDDEINATIVRPLPYGAKLHAVTDTCFSGTVLDLPFMCRMNRKGYYGWEDHRSHRAGYKGTRGGLAVCISACDDDGSAADTSAFSGMESAGALTYSFIQAMQVERKLTYGQLLNSMRSSIRGAREGMFGPNQQQNVMDNRQQYAHDPQLSSSEKFDIYSKTIAI >CAK8532378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:251812426:251817844:1 gene:gene-LATHSAT_LOCUS2089 transcript:rna-LATHSAT_LOCUS2089 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPSYKPASLGNEPSSNIPSLSPLSETLWREKAKTEFIGDVSARLTWKDLTVMVTLSNGETQNVLENLTGYAEPGCFTALMGPSGSGKSTLLDALSSRLASNAFLSGTILLNGRKEKLSFGTAAYVTQDDNLIGTLTVRETIWYSARLRLPDKMSRSDKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFEQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEGSDDPLDKITTAEAIRTLIDFYRTSQHSYAARQKVDEISKVRGTVLEAGGSEASFLLQTYILTKRSFINMSRDFGYYWLRLVIYIVVTICIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVVSNTLSATPFLILITFLSGTICYFMVQLHPGFSHYVFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLNGLIFDNQTPDLPKIPGEYILEYVFQIDVKRSKWIDLSVILSMIIIYRIIFFIMIKINEDVTPWVRGYLARRRMQQKSGAQNTTIAPDVLTQSPSLRTYISNQK >CAK8573118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586373159:586375680:-1 gene:gene-LATHSAT_LOCUS25603 transcript:rna-LATHSAT_LOCUS25603 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSENSKAMVVGRLSDSIREISGLPECQNVCKRMYGNLIRRVKLLSPLFEELKDCDESLCDEQLEAFEALRVALDLAMILLKSVNQGSKLYQALRRNDAADKFQLVTEKIEAALSDISYNKLEISEEVHEQIELVHAQFKRAKAQTEYADLQLDLDMAIAQKEKDPDPAILKRLSDKLHLRTINDLKKESSELHELVITSDGELADSFETVSSLLRKLKDWVLTENPEVDNTYVNEKESIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHRTCPKTQQTLLHTALTPNYVLKSLIGLWCESNGVELPKKQGSCKTTKSGTSLSDCDRIAIKALLDKLTSNDIEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSGDPRTQEHAVTALLNLSINESNKGTIVNAGAIPDIVDVLRSGSMEARENAAATLFSLSVLDENKVAIGAAGAIPALIKLLCEGTPRGKKDAATAIFNLCIYQGNKARAVKAGIVAALIRFMKDAGGGMVDEALAIMAILAGHHEGRMAIGQAEPIPILVEVIRTGSPRNRENAAAVLWSVCTGDLLQLKLAKEHGAEEALQGLSENGTDRAKRKAGSILELLQRIEGEDSLQNS >CAK8534400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723244962:723246644:-1 gene:gene-LATHSAT_LOCUS3949 transcript:rna-LATHSAT_LOCUS3949 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAAASSFFPVTSSSDIVEKSSKNGGNSFSLGGFKSKQTSDGISLKANASSPSKTNGNATKVENDSPSQQYHQRTFINQLPDWSMLLAAVTTIFLAAEKQWTTLDWKPRRPDMLADPFSIGKIVQDGFVFRQNFPIRSYEIGADKTASIETVMNHLQETALNHVKTIGLLGDGFGSTPEMCKKNLIWVVTRMHVVVDRYPVWGDVVQVDTWVSASGKNGMRRDWLVRDLKTGEIMTRASSVWVMMNKLTRKLSKIPEEAKEEIEPHFVNSVSVLNEDNRKLTKLNDTAEYIRTGLSPRWNDLDVNQHVNNVKYIGWILESAPQPILESHELHSVTLEYRKECGRNSVIESLTDVTDADIGNLADSGFVECKHLLRLEDGVEIVRGRTEWRPKPVHNFDVLNQVPSPSASSESV >CAK8569225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:684759710:684781998:1 gene:gene-LATHSAT_LOCUS22104 transcript:rna-LATHSAT_LOCUS22104 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIELCDLIALNPLQFPDKLSWICDKCPPPEYLSAGSPRVSRSQLNAVIAVARFLSKCSDSPDLRPKSVVIEFLRSIPFSFTQSFWPHPFSADFVSSFFIDFIGYVSKAAQSSPDFSEEVAGFTGEVVLSAIAEKNSVIARAFLVALSQIFLPIESPDANKLVTCLIEQFAAGPVPITPVEVNAGNSDNSSSRSSPPNGNHQSQTNYNGSPTSNVSGSSSGAASKAAGDDATVSTASSKGSVVANGGSHIWRSNADQLAQNLGLNDGGGSSSGQQVISFEEESVEFLERQEIAFKVIGHALEKVRVDPALLEQARLIGKKQIQSMSAFLKIRKRDWHEQGSSLKARINTKLSVYKAAVSMKIKSLSALDSDSRSVKRLVYEAVAILIDAAEACLLSGWRKLKTCEELFGSLLLGVAQIAIARGGQPLRILLIRLKPIVLNVCAQPETWSNNQGTMFDSVTKASCQIIESCWAKERAPVDTYIMGLATSIRERNDYGEQDNQDNQDNQDKPAIPFVQLNVIRLFAELSAAVNKSELVDVILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLNKLSNVGSADSKTEAPEATTERVETLPAGFLLIATGLTTDRLRADYRHRLLSLCSDVGLAAESKNGRSGADFLGPLLPAVAAVCSDFDPTSNVEPSVLKLFRNLWFYVALFGLAPPIQKTQVTTKSVSSTLNSAGSTGTMSLQAVNGPYMWNVEWSAAVNRIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAALAQRAALSAALGGRVDVTSMTTISGVKATYLLAVAFLEIIRFSSNGGVLNGGTTMDADRSAFTCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVSSMEDRVSEIGHEAEGRDSVLTMHTCFLIKSLSQREDHIRDIAENLLTQLRDRFPQVLWDSSCLDSLLFSFHDDPSSAVINDPAWTSTVRSLYQRIVREWIIKSLSNAPCTSQGLLQDKLCKANNWQRAQPTIDVVLLLSEIRIGTGKNDNGSTQTGNIPAVLAAAAASSGANLKVSESFNLEIISSGKCNQAAATVKCNHAGEIAGMRRLYNSIGGFQSSTAPTGFGLGAGLQRIISGAFPQQPQAEDDSFNGMLLNKFVRLLQQFVNIAEKGGEVVRSEFRETCSQATVLLLSNLSSGSKSNVEGFSQLLRLLCWCPAYISTHDAMETGVFIWTWLVSAAPQLGALVLAELVDAWLWTIDTKRGLFASEARYCGPAAKLRPHLAHGEPESQPQIDLLEQIIAHRLWLGFLIDRFEAVRHQSVEQLLLLGRMLQGTTKLPWNFSHHPAATGTFFTLMLLGLKYCSCQFQGNLQKFQLGLQLLEDRIYRAALGWFAYEPEWYDTNYTNFTQCEAQSVTLFVHYLSNIKGDAVQFGSKGNGQENGNSLADVNDLYHPVWGQMENYAVGREKRRQLLLMLCQHEGDRLEVWAQPTNTKESSSRPKISSDKWIEHTRTAFAVDPRIALSVASRFPTNTFVKTEVTQLVQAHIMDVRNIPEALPYFITPKAVDDNSVLLQQLPHWAPCSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQTLRHDDGKLVEGYLLRAAQRSDIFAHILIWHLQGETVPEAGKDPTSVKNGSFLELLPAVRQHIIDGFNPKALDIFKREFDFFDKVTSISGVLYPLPKEERRAGIKRELEKIELDGEDLYLPTATTKLVTGIQVDSGIPLQSAAKVPIMITFNVVDRDGDRNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAIGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLLEIFQQDYGPVGSASFEAARQNFIISSAGYAVASLLLQPKDRHNGNLLFDNAGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSDTWNQFLRLCVKGYLAARRHMEGIITTVSLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMTHVCKDAYNKWTTAGYDLIQYLQQGIEK >CAK8536653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4486026:4488660:-1 gene:gene-LATHSAT_LOCUS5993 transcript:rna-LATHSAT_LOCUS5993 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGIVKKLASTTMGSCASKPNGKTKSQKRRGNKSGKRRGKGNISSVLPDMPLKRASNAGNCVGDFDLSDFVHLDFDKGNSATCRRSEVSNVKFHLTQVQYHTQIDANGNYQEDAWFDSVSIIDSDSDDDFSSVHGDGFPFPGHAIGNVSNTQVLQYESSSCFLDSGCKYEEFYESYLKIDNNGEKTQESNSTQSTVIMLSVTRQSIDTGEKPDLCSSERFLYRPRAGIQIPVSTQEKTFPGSWSTLSPSVFKLRGETFFIDKQKSPAPDICPYKPIGVDLFVSSRKINNIAKHLELPSVVPNENVPPLLIVNIQLPTYPASMFPGEANGEGLSLVLYFKLSENFEKEISPCFQEKIKRLVDDEMEKVKGYTKESSVPFRERLKILCGVVNPEDLHLNSAEKKLIQGYNGKPVLSRPQHQFFKGPNYFEIDLDIHRFSYISRKGLDSLRDRVKHGILDVGLTIQAQKEEELPEQVLCCLRLNKIDFVNHGQIPTIMTIDSE >CAK8571859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:484522564:484525291:-1 gene:gene-LATHSAT_LOCUS24482 transcript:rna-LATHSAT_LOCUS24482 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQTVSSTSLRNLITFPQNPNSIPKFKPPFFTTLPSKLTNRNFKFNLKLNCSNSTPNVAYPKPSEIPWNKELCNTVNLIGFVANAVEIKHLPSGKSVAWTRLSVKKNATQTSWIHLTFWDELAHVASQHLQKGHQIHVSGRLVTDTVDSAEGKQQTYYKVVAQQVSFIDRSELPAPSHDKDFNFITSDDGKKSYAASNTTGSVVELWQVFFANPGEWWDNRRNKRNPKAPDFKHKDTGEALWIDGRSTPSWVKSQLEILDTRMGSYTGQNGRMAVDTVSPDEILSF >CAK8562499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501448091:501449948:-1 gene:gene-LATHSAT_LOCUS15991 transcript:rna-LATHSAT_LOCUS15991 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVKLIGSGSRFIVLAHGFGTDQSVWKHLVPHLLEEFRVILYDNMGAGTTNPDYFDFERYSTLEGYAYDLLAILQELGVDSCIFVGHSVSAMIGTVASISRPDLFAKIIMISASPRYLNDSNYFGGFEQEDLDQLFNAMASNYKAWCSGFAPMAIGGDMESVAVQEFSRTLFNMRPDIALSVLQTIFKSDMRQILCLVTVPCHIIQSMKDLAVPVVVAEYLHQHVGVKSIVEVMSTEGHLPQLSSPDVVIPVILKHIRYDIVA >CAK8543128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580866566:580867661:1 gene:gene-LATHSAT_LOCUS11910 transcript:rna-LATHSAT_LOCUS11910 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFCSPEFSYSNMGWLLQELDPPQSLNISHKEKNYATNSEYSLPQYHQFSSVKQQHVEIETPPPSPKLMVKKLNHNASERDRRKKVNTLISSLRSLLPGDDQTKKMSIPVTISRVLKYIPELQKQVEGLTKKKEDLLSRISQQQYAVNKESQRKIIPNYNSSFVVSTSRLNDSELVVHISSYDAYKIPLSEILMCLENNGLVLLNSSCSKTFGGRLFYNLHFQVDETQRLECDDLIQKLLLVYERQRSNQVVLGAKDHMIRSVMIY >CAK8560762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40992198:40992600:1 gene:gene-LATHSAT_LOCUS14412 transcript:rna-LATHSAT_LOCUS14412 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDELLCDLTKKGVMGKVLAYMYTIEFQKMELPHAHIIIFLHPSNKYLNPADIDKIISAEIPDAETDIELYNLVKSHMIHWPCVLVTNLRRV >CAK8565849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343393791:343396063:-1 gene:gene-LATHSAT_LOCUS19028 transcript:rna-LATHSAT_LOCUS19028 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSETTNQVRSDPHSLQAGGVGGVYSEPWWRGVGYNPVVQTMSGANSSSLDCANGDSESNEEGQSRSNSGMNEEDDDAAKDLQPAAPNQSGNYGQEQQGMQHTASSALITVPEEGLTQTPPMELVGHSIACATNPYQDPYYGGMMAAYGHQQLAYHPFIGMPHPRMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKSRKPYLHESRHQHAMRRARGTGGRFAKKTDGEGSNNSGKDNGSGPVLSSQSISSSGSEPLPSDSAETWNSPNMRQDARGSNENSGVSYHNNNNGMQSSRYQGERVDEGDCSGQLRGSISTNEASQRRLAIQ >CAK8571403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:400179589:400182304:-1 gene:gene-LATHSAT_LOCUS24070 transcript:rna-LATHSAT_LOCUS24070 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKQLFIFLCYSLVLVHLSGLEVEASHHLKHHPSKKLFVFGDSYVDAGNTPKSDLGSWKKPYGITFPGKPAGRFSDGRVLSDFIAKYLVLRSPIPHKFRNIVPKNYLKYGMNFAYGGTGVFDTSISGPNVTTQINSFNQLVQENVYTQSDITKSIAYVSVAGNDYSHYLDTNGSIQGFPSFIASVIKQITTNLVQLQRLGFKRIVVGALQPLGCLPSATAQTSFESCDDTSNALVGLHNNLLNQSVTKLNQDSNDHTTFAILDIFDSFAAVLNNPSRHNIKERLKPCCFGVSSEYFCGSVDENNVKKYLVCENPESTFFWDLSHPSQAGWNAVFNELKNKSLHQILY >CAK8571780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:472539682:472540030:1 gene:gene-LATHSAT_LOCUS24412 transcript:rna-LATHSAT_LOCUS24412 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDHIGCAMSGLIVDARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMVQNLLALIFVFLLVCLLTVFNGQNL >CAK8531479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:113952843:113953067:-1 gene:gene-LATHSAT_LOCUS1268 transcript:rna-LATHSAT_LOCUS1268 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQEGHCAVGIDLGTTYSCVAVWQEEHCRVEIIHNDQGNRTTLSFVAFTDNQRLIGDAAKNQASANPQNTVF >CAK8537522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:384160564:384160887:-1 gene:gene-LATHSAT_LOCUS6811 transcript:rna-LATHSAT_LOCUS6811 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVLSGALVNFTYRVLQIRTSNFSQLLRIGGFGSVYKGSLGDGTSVCIFAPTLHCYYRHFWWILLPLLLFLSQSGSC >CAK8567478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516783394:516786054:-1 gene:gene-LATHSAT_LOCUS20525 transcript:rna-LATHSAT_LOCUS20525 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYSKQLLLIVVVAVFHTKAVTQPISRPNCPTMCGSVTIPFPFGTTKDCSLDNTFLINCIETSSTTSNSTTQIPFLPNTNQSVLNISLDGKLRVAWPVARDCYGEKGTFMSRTFQNINMMHFPISPTRNKLIAIGCDTIGVFFAMDSGGETYTSGCVALCNRRDDIVANESCSGTGCCEVSIPQGHVLTEVVYASQSIFHNHSLVHNFNPCGYAFLVENGSYRLTYKDLLKLEKKEFPVLLDWAIRNQTCEQAKKDDSSYACKADKSTCHNAGTEKSGYLCRCLDGYRGNPYLNHGCQDINECMEFNDCVKGATCNNLPPGSYHCKCPTGYEGDGKNNGTRCSLKSRKEHMLIIALSVSVSVVALLMGSIYAHWALKKIKLIKLKEQFFQQNGGLLLQQQLVKHGGSTETTKVFTAEELNKATNNFDVENIIGQGGQGTVYKGVLQDTRTVAIKKSKINDSSQIEPFINEVILLSQINHRNVVKLLGCCLETEVPLLVYEFVSNGTVYEHLHDLNQSLNLTWKTRLRIAKETAGVLAYLHSAASTPIIHRDVKSTNILLDDNLTAKVSDFGASRIVPLDKTQITTLVQGTMGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTGKKALCFGRPEVGRNLALYFVSSMKEGRLFHILDKNIDEPNVEHLKEVALIAERCLRGKSEERPTMKEVAMGLEGILVIEEQCWGSDNLTSEETENMLNAAQSVINVEDGVGGSGINSSDSCSINQISMSVIGGR >CAK8535924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891591415:891593055:-1 gene:gene-LATHSAT_LOCUS5339 transcript:rna-LATHSAT_LOCUS5339 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASGEASATTNYPQQYFSPSQTQTHDDAPPKKRRNLPGNPDPEAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHKRGHNLPWKLKQRTGNEIIRKKVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFTRRDSFITHRAFCDALAEESSRTVIHQPNSQNMINLQTHEIQGFTLKKEQQNFSFLKPEIQVPSWVELEQDLNIHENPNPRNGPTLPSYRPSSAVSPHMSATALLQKATQMGSTSSSQQQQQQPMIMISGTHQHGHVSLDDSSTTNNMLTSNGNFGLNLSSCENQMMNNSFSSSGFHGTTTAIDDGGAVIGAVENDAFTRDFLGLRPLSDSDILSITGMGNCMDSSNSHNQPNQTQKPWQGN >CAK8576788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:514823347:514824093:-1 gene:gene-LATHSAT_LOCUS28956 transcript:rna-LATHSAT_LOCUS28956 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPANKEYQNGLDYFQDSAYTKGKPRGKEILCPCANCYNSNWFTRNEKKPKLGDLNDNHMNEEEDQIDDIDGLLHERFRYVVQEDNDVNISLNEDAKKFYNLVEEAKQDLYPGCKNLSKLSFIIRLYLSKCLYGWSNVSFDALLKLLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDACPNGCMIYWKDHENDTSCHVCGAPRWNEDIKGNDHVAKKS >CAK8535892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889039294:889041387:-1 gene:gene-LATHSAT_LOCUS5311 transcript:rna-LATHSAT_LOCUS5311 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLVWEIVKRNNSFLVKEFGNNTQSVQFSREPNNLYNLNSFKYSGLANKKTVTIQPAGKDQSVLLATTKPRKQNKPSALLHKSVMKKEFRRMAKAVQNQVADNYYRPDLKKAALARLSVVNRSLKVAKSGAKKRNRHA >CAK8532117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:208001271:208002238:1 gene:gene-LATHSAT_LOCUS1852 transcript:rna-LATHSAT_LOCUS1852 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEATTRGRRNRVSPSTPEIIDLDSFRSYGKRTIDDDIKILKFTPENIPLRKRKRNFERGESSNSSNIPFVCEICTETKTMKEAFYISGCSHAYCSDCVANYIGSKLEDNVINISCPVPECKGSLEAQFCRNILPAEVSEKWSKALCEAPNNVSQKFYCPFPDCSAILINDETKAVRNSECPNCNRMFCAQCKVPWHDGIKCSKFQKLREKKDIMLMRLAQKKHGRRCPNCKFYVARTAGCNFMLCRCKGRFCYKCGGTYTADGGHYCPKLVKRNLVYPGQQKLTLSRIFPGRV >CAK8534109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695976440:695977378:1 gene:gene-LATHSAT_LOCUS3679 transcript:rna-LATHSAT_LOCUS3679 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNDLNKVWEIKSLNRIGQDEATEILQKVAKQVQPIMHKRKWKVNLLSEFCPADPSLLGMNIGLGAEVKLRLTRPNRDWDFFPYEQVLDTMLHELCHNEHGPHNAQFYNLLDEIRKECEELMVKGISSTVRRLGGFSQQPPLSSLCQTALAATESQTRNGVLLPPGPQRLGGDSNIKSALSPIQAAAMAAERRLHDDMWCGSKSSEDRSSSGPSEKSIQTPVGEAKWQCNTCTFLNKVQFVFCLINTQDRSFYLQ >CAK8541991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448470263:448471144:1 gene:gene-LATHSAT_LOCUS10868 transcript:rna-LATHSAT_LOCUS10868 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSTIQEKKNVLFIMGATGTGKTKLSINIGIQFPSEIINSDKIQVYKGLDIVTNKIPKSEQCLIPHHMLGVIDDPDYDFTVHDFCKKMLETLDVIVENGRLPIIVGGSNSYLQALVDDPIYAFRLKYNCCFIWVDVSLSTLFPYLDKRVNEMIEVGLINEIREFFVPGEDCTRGIRRAIGVPELQRYFEIENDEGIDERHKEKILKESIRKMKENTCILAENQLIKIDNMVNILGWNMHKIDSTKVFEAILKGEDYQHLYQEIVVKPSLEIVKSFLKEATHENMKTQNNY >CAK8540977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37991430:37991828:1 gene:gene-LATHSAT_LOCUS9931 transcript:rna-LATHSAT_LOCUS9931 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQEKLMHYQVEQQPSWSYYMTRVTRTMEEDEMERIMRLATQNAVVIFSISSTSCMCHAMKSLFSGMGVNAMVHELDQDHKPFMMRLLGNSTSLPVVFIGGKLVGSMDTVLAFHINGSLVPLLKHAGALWL >CAK8535403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845981099:845982391:-1 gene:gene-LATHSAT_LOCUS4868 transcript:rna-LATHSAT_LOCUS4868 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCFMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDVVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKRKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDKKVLVQLWSFRRNHKLYFALVKL >CAK8532562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:295529497:295530123:-1 gene:gene-LATHSAT_LOCUS2254 transcript:rna-LATHSAT_LOCUS2254 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTDVELLKRAWRNEKAAPEILPFQSDLVTRVREQIELMEETVEEKSTVETDHLSVSLYQMDLDRTLFLLRSYLRIRILKIEKYMFHIRKTEELWNRLSKDEKIFTERCSDDLKKHLEESVLSKLPENYQSYERQSIISEEDDMIPEPRLDTFVLCRSKDYLTGIQLGDGPVDDRSKLFEMEAGVLYFICYKSIKPLVESGKIELL >CAK8530644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37769771:37770157:1 gene:gene-LATHSAT_LOCUS494 transcript:rna-LATHSAT_LOCUS494 gene_biotype:protein_coding transcript_biotype:protein_coding MNACSKCGGSRVCESGGGNDNGVSCFNHTNECYSDANWGRTLELNNPRFSSVNVATCHANFQQFVVSEIPPPAMPWSTSVNYDGIPFF >CAK8539523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514986093:514987481:1 gene:gene-LATHSAT_LOCUS8609 transcript:rna-LATHSAT_LOCUS8609 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSLITITPDELRFQFELEKQTFCDLKVLNNTQEYVAFKVKTTSPKKYFVRPNTGVIHPWDSCIIRVTLQAQHEYPPDMQCKDRFLLQSTIVSPNSDVDDLPQDTFTKDSGNSIENLKLRVSYISPSPTEGGSEDDAKNSTQKLDSSSVNVFSSTIFCAIQFQVSFSFLNMKKMKNSFKYHFRC >CAK8542042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458562004:458562424:1 gene:gene-LATHSAT_LOCUS10916 transcript:rna-LATHSAT_LOCUS10916 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWLSEEDTLELSAVLKLNNVDVTMVYPEPWCMPRLFTSEIASFYEGYYANKGINIIKGTVAIGFTSNSDGEVK >CAK8579738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719657635:719663852:-1 gene:gene-LATHSAT_LOCUS31659 transcript:rna-LATHSAT_LOCUS31659 gene_biotype:protein_coding transcript_biotype:protein_coding MANWISSKLKAAENILHQIDQQAAESLRKNDERLQLEEQASIDAPVKPGSGLALKGQLKNQLKKKIPENNDYNGKLRSDPNFSVLKTTPTIAPKHSPKPSPTITDGDWTELLSSPTQPTASASGGNHSNGVPAARFLRQNSRKQRNSSVSDIKRNQKSGNSGPRSLLRLDSVKGAKLNGKNSDDGKESTSSGSTDRQSNVELETDGTRGQEYVSNSSSDKPVIETNNKEKEDREHRFSYRDLSSPESLREDDKNIVAEAIPVRGVDKVHAAKIPVDVGSRLKNVIKGRRELNSVSDNLTSNDLKSSMASGESSDSDTESGSTSGSESEHEREERRKKRERILAERAAANAMIAIKEKENMVAKLEGEKQSLEKILEERAQQQAQEASHLQSTMMETMEAVDLEKQKHNNTRMEILTRLAKLETANADLARSLAAVQWNFEVEAKQVVELRQKLALKESVLEELKKSTRNPHQTGALRNQLASKGVEFEREILEAEHSFINDKVAQLQEKARKLEADIEMTRKEIEEPTEVEFELKRRLNQMTDHLIQKQSKVESLSSEKASLTFRIEAVSRLLDENMSVSAMNPASSSSDLESGMWELSNSKLKPMLKARIHSGKKHLGSLLQQLDYIFVTGALVLKRNSTAKLWAVIYLVCLHLWVIYILTSHSGPSDGGRSGAVVSLENINNTGGV >CAK8565755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:322944065:322949065:-1 gene:gene-LATHSAT_LOCUS18941 transcript:rna-LATHSAT_LOCUS18941 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRKLYSPALSRALSRALTSSTAATTPSQCESAFPLKMVTVANFEPSLAELRHHVRSSDFVSIDLEMTGVTSSPWRESFKFDRSDVRYLKIRDSASRFAVVQFGVCPFRWDSSNQSFVAYPYNFYIFPRQELDGFGPCNEFLCQTTSINFLAKYQFDFNVFIHEGISYLSREQEKEAIRSLNSMHDNECSDNSKLNGVRDLPSVNMADILFVERMKNKFSEWRDGLLQERSQPDQIQGTRKDSKQRFEVIFFKTHPALKLDGFTSRQLKLIQLVIKKHFKDLSYINMNNAGSSSQQIILYIDSEDELNLLLKEVKEENHRAKKMKIQAAVGFRHVIDLLSSEQKLIVGHNCFLDIAHVYNKFIGPLPETPEEFVSSVNKCFPHVVDTKVLMNTDNILQARIKKSRNSLGSAFSMFCPQIAAGSKSTELVSPSHVKVDVEVDDSRLSSWNPGGKHEAGYDAFMTGCIFAQLCSDLGVDFKLHECLKLASNEKLQKYINHLYLSWMHGDIIDLSTGDKVASSSPSHSPKRWYPNILFENIVIIWGFPSNVKSSDVRECISKVFGLTSVVFVYQLDATAVFVQFSKTELVSDFLLLKENLEKSNGPISVLHPLSKLLDGGNTCAANYDTYKEICASPLSEDLFADQANAVSMTPKTKLIEFKVALRCEEHENPSVQDNVNDIAMNFVEKIKPNTIDQLRNVQSTRQASPFEIEESGVAEANL >CAK8572949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572569560:572570302:1 gene:gene-LATHSAT_LOCUS25451 transcript:rna-LATHSAT_LOCUS25451 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPCNPLNWEFYNHDEGLLEDLKHSLLYTSLELEATIASAKEEITKKEYELIHANDLLTRVMKERDEARAKCHNLMLEKQEFQTENKSQSENASSSDCEENSSTTVPTQFQAVLELAEKKALPKKGKLLKAVVEAGPLLQTLLLAGPLPQWQHPPPQMNSIEIPPVSISSPKDLSLSKKREFALSSGSDSSVSKCRKVVQNLPTNHFLPNPPFS >CAK8568583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620354184:620354567:1 gene:gene-LATHSAT_LOCUS21527 transcript:rna-LATHSAT_LOCUS21527 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQQVNMMKIIKTDGKVLEYKTATIVEEVLPLPLPASPKGVKKVRFANPEVQDVHKSSVVRIKLVISKQKLQDMLDNGGISVDKMLSLAHGEKEMDGEDLCKENDDSLGEWKPVLKSIPEVI >CAK8562423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:488020601:488023976:1 gene:gene-LATHSAT_LOCUS15919 transcript:rna-LATHSAT_LOCUS15919 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNEGKHQNQTIQYKDLAHKTLLNSDALYEYILETSVFPREHPCLKDIREMTEKHPKSLMAVPADEGQLISMLIKLMNAKKTMEIGVYTGYSLLSTALALPSDGKVLALDISREYYELGLPMIEKAGVLHKIDFREGYALHLLDELLQDENTKGTFDFIFVDADKNNYINYHKRAIDLVKVGGLIGYDNTLWSGSVAAPPDAPMIDIIRNFRDYVIELNKYLVQDSRIDICQLSVGDGITLCRRIS >CAK8576950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527699705:527703573:-1 gene:gene-LATHSAT_LOCUS29104 transcript:rna-LATHSAT_LOCUS29104 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPAKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKMVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGESFKSAEPPEVVQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDGGEPQGFNLYVGGGMGRTHRMETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRNVVEQYYGKKFEPFRSLPEWEFKSYLGWHQQGDGGLFCGLHVDNGRIAGKMKTALREVIEKYRLNVRLTPNQNIILTDIRAAWERPITTILSQAGLLEPYVDPLNITAMACPAFPLCPLAITEAERGIPSILKRIRDMFEKVGLKHNESVVVRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGSSNQTSIARSFMDKVKLQDLEKVLEPLFYYWKQKRQSKESFGDFTVRLGFEKLKEFIEKWEGLVVTSRHNL >CAK8565663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:301131356:301133193:1 gene:gene-LATHSAT_LOCUS18854 transcript:rna-LATHSAT_LOCUS18854 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWMKEYNEAMKLADDISGMISEHNSFPSSGPETQRHASAIRRKITILGTRLDSLQSLLSRVPVKSEKEMNRRKDNLANLRSKVNQMASTLNMSNFANRDSLLGPEIKPDAMSRTVGLDNNKLVGLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDEHVDVTDSRLRRVQKNLAILNKRTKGGCSCLCMLLSVIGIVVLVVVIWLLVKYL >CAK8562862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550258027:550258524:1 gene:gene-LATHSAT_LOCUS16325 transcript:rna-LATHSAT_LOCUS16325 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKRHPTYHGIRSRGGKWVTEIREPRKTNRIWLGTFPTPEMAAAAYDVAALALKGRDAVLNFPDRAHMYPVPASNSSDDIRNAAIAAAEFMNTESSNDAGFEVNPSYQSDQFLDEEAIFSMPSLMVAMAEGMMVSPPRMNPPQSDYSHDQCYTMGQSLWNHF >CAK8570486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50045090:50046869:1 gene:gene-LATHSAT_LOCUS23231 transcript:rna-LATHSAT_LOCUS23231 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTFPTVNKCTSIGREKHTVVADMDGTLLIGRSSFPYFALIAFEVGGILRLLMYLLSAPVAAFLYYCISESAGIQVLVFASMAGMKLSSIESVARAVLPKFYSADLHPESWRVFSSCGKRCVLTANPRIMVEPFLKEFLGADMVLGTEIASYKGRATGLICKPGILVGDKKAQVLKKTFGDEKPDIGLGDRVTDAPFMALCKEGYIVPAKPKVTTVTSDKLPKPIIFHDGRLVQKPTPLMALLIILWIPIGFPLACLRIAAGSLLPMKFVYHAFKALGVRVIVKGTPPPPVEKSKSKTNQSGVLFICSHRTLLDPIFLSTALGRAIPAVTYSVSRLSEIISPIKTVRLSRDRATDASMIKKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMVNRMSMFHGTTATGWKGMDPFYFFMNPSPVYEVTFLKKLPKELTCGSGKTSHEVANYIQRVVASTLSYECTSFTRRDKYRALAGNDGTVVEKTNKANKVMGC >CAK8564408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672638115:672640042:-1 gene:gene-LATHSAT_LOCUS17716 transcript:rna-LATHSAT_LOCUS17716-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFPMSLITTWSEDHDEDQDHDHQHDHDDHHRSRVIVDEVDFFSDRKEKSSSPDDHLSVKNNQIYDPHCNLNDADHVNTGLQLLITNIGSDLEDESTINGGDNKHAKNLQLQEELRLKNVENQRLKEKLSDLQMRYVSLIQQNQRNEAAESEIVNGKAEGGDAVIGRKFVSGSSSEVVDPKVLNSPISDERKRSRSPRSNNKTDQKEHDPDSADQLVQVWEPNKLPRLNPNNGTDQAAAEATMRKARVSVRARSEAHMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRYAEDKTILTTTYEGTHSHPLPPAAIPMATTTTAAAAMLLSGSMSSADGVVNPNLLSRILPNCSSSMATLSATAPFPTVTLDLTHDSTDNNNNQNSHSQFRLGQPQNFGSGQLPQVIAQALYNQSKFSGLQLSQDVGGSSQLHSTQQASSLSAAITADPNFTAAIAAAISTIIGAGSSSSNNNNNPNVLNSAAYNQ >CAK8564407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672638115:672640063:-1 gene:gene-LATHSAT_LOCUS17716 transcript:rna-LATHSAT_LOCUS17716 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRELNMMKFPMSLITTWSEDHDEDQDHDHQHDHDDHHRSRVIVDEVDFFSDRKEKSSSPDDHLSVKNNQIYDPHCNLNDADHVNTGLQLLITNIGSDLEDESTINGGDNKHAKNLQLQEELRLKNVENQRLKEKLSDLQMRYVSLIQQNQRNEAAESEIVNGKAEGGDAVIGRKFVSGSSSEVVDPKVLNSPISDERKRSRSPRSNNKTDQKEHDPDSADQLVQVWEPNKLPRLNPNNGTDQAAAEATMRKARVSVRARSEAHMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRYAEDKTILTTTYEGTHSHPLPPAAIPMATTTTAAAAMLLSGSMSSADGVVNPNLLSRILPNCSSSMATLSATAPFPTVTLDLTHDSTDNNNNQNSHSQFRLGQPQNFGSGQLPQVIAQALYNQSKFSGLQLSQDVGGSSQLHSTQQASSLSAAITADPNFTAAIAAAISTIIGAGSSSSNNNNNPNVLNSAAYNQ >CAK8576785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:514372928:514376950:-1 gene:gene-LATHSAT_LOCUS28953 transcript:rna-LATHSAT_LOCUS28953 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMHRKTDSEETTNSNEQSSSSPPRSPPRRPLYYVQSPSNHDVEKMSYGSSPIGSPAHQNFHYYISSPIHHSRESSTSRYSASLKNPRNISASSWKKLNNRNSHDDLDLDEDEDDEGVYDNTRNVRLYFCFFVLFVVLFTLFSLILWGASKSYKPRIVVKSIVFENLNVQSGNDGTGVPTDMLSLNSTVKILYKNPATFFGVHVTSTPLQLSYYQLTLASGQMHEFYQSRKSHRMIAVVVFAYQVPLYGGVSVLGNSNTEHMNSVALPMNLTFVVRSRAYVLGRLVKSTFYRRIRCSITIHGNKLGKHLNLTDSCVYK >CAK8561908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409067411:409068805:-1 gene:gene-LATHSAT_LOCUS15456 transcript:rna-LATHSAT_LOCUS15456 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAPKLSFLILCLLPLFLGSKAEQCGSQAGGAVCPNGLCCSKFGFCGSTDPYCVDGCQSQCKSSPTPTKPTPSTGSGSGDVGRLVPSSLFDQMLKYRNDGRCAGHGFYTYDGFIAAARSFNGFGTTGDDNTKKKELAAFLAQTSHETTGGWPSAPDGPYAWGYCFVSEQNSQGDFCTSKDWPCAAGKRYYGRGPIQLTHNYNYGQAGKAINEDLINNPDLVSTNPTVSFKTAIWFWMTPQANKPSSHDVITGRWTPSAADSSAGRVPGYGVITNIINGGLECGQGQNSKADDRVGFYKRYCQILGVDPGSNLDCNNQRPFG >CAK8571097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:294570653:294571126:-1 gene:gene-LATHSAT_LOCUS23790 transcript:rna-LATHSAT_LOCUS23790 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEVDMEEMLPPAYKREHGRPKKLRRREPDKGPSKVRTQTTYCCTRCGVHGHNARNSTSQVVDPEAQKCKPKKTALGQGHSQTQSASVQGQGQTQTTETQVETQIEVDPEFEMLAANLVAAFEATQI >CAK8536641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3779592:3779819:-1 gene:gene-LATHSAT_LOCUS5984 transcript:rna-LATHSAT_LOCUS5984 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLKGVAKSTMSDQIRKELCEYKRDNPASTQKDLQRWLEGKFQLKVSKGTISNTLKLSDDYLSAEIEKGRVEI >CAK8544183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671033079:671035388:-1 gene:gene-LATHSAT_LOCUS12884 transcript:rna-LATHSAT_LOCUS12884 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGWWRPFSKADSRVPFQERFLHQKNSSQDQDNLDRFIPNRSAMDFGYAHYMLTEGTKGKENPEVITPYQKKLAEAVNINDRTRILAFKNKPPPPVELIPREILSPPPQSKLSKPKRCIPQTSERTLDAPDILDDFYLNLLDWGSDNVLSIALENTVYLWNASDSSTSELVTVDEEYGPVTSVSWAPDGRHLALGLNNSHVQIWDTAASKQLRTLKGGHRARVGSLAWNSHILTTGGMDGKIVNNDVRVRSHIVETYRGHNQEVCGLKWSSSGHQLASGGNDNVVHIWDRSVVSSNSPTNWLHRFEEHTAAVKALAWCPFQGNLLASGGGGGDHCIKLWNTHTGARLNSFDTGSQVCSLLWNKNERELLSSHGFTKNQLTLWKYPSMVKMAELNGHTSRVLYMTPSPDGFTVASAAADETLRFWNVFGNPATAGKAVPKAYNEPFAKFNRIR >CAK8542080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469878659:469879015:-1 gene:gene-LATHSAT_LOCUS10951 transcript:rna-LATHSAT_LOCUS10951 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFTIFLHPNNFYPVKHIENPKQQQHYSKVLKCGWRTEHSEIDFTADAASNVEGPQNGFEDLSPPSDFNHTVNEDDGSLAIELHEKLDLKDETKKVRLSRKFYEDEDVMLCFILFLI >CAK8566449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424433162:424440627:1 gene:gene-LATHSAT_LOCUS19580 transcript:rna-LATHSAT_LOCUS19580 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVKTVILVLLISFLSILHFSDAEEEEAFDVRKHLSTVSRYGVVKDITDKNFIPSKIPEGCTPIHLNLVARHGTRSPTKKRIKQLDNLSAHLEVLIRDAKEKHLSLERIPTWLNGWKSPWQGKLKGGELIKRGEEELYALGIRVRDRFPSLFDEDYHPDIYPIKATQIPRASASAVAFGMGLFSGNGTLGPGQHRAFSVISENRASDTMLRFHECCQNYKDFRKRQEPAVDKLKEPILDEITSALVERYGLNFTRQVTSTLWFLCKEEASLLDITDQACSLFSPSEITLLEWTDDLEMYILKGYGKSINYRMGMPLLEDVVQSMEQAIMAKEEKHVPGSFEKARLRFAHAETVVPFSCLLGLFLEGTEFDKIQKEIPLAFAPKPPQKRKWRGSTVAPFAGNNMLVLYRCPAPDKARSKHFVQVLHNEHPIPMPGCHGSDFCPFEVFKEKIVAPHRKHDYDTVCNAKLEQKGSKIFQILQWLFQLG >CAK8566450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424433168:424440535:1 gene:gene-LATHSAT_LOCUS19580 transcript:rna-LATHSAT_LOCUS19580-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVILVLLISFLSILHFSDAEEEEAFDVRKHLSTVSRYGVVKDITDKNFIPSKIPEGCTPIHLNLVARHGTRSPTKKRIKQLDNLSAHLEVLIRDAKEKHLSLERIPTWLNGWKSPWQGKLKGGELIKRGEEELYALGIRVRDRFPSLFDEDYHPDIYPIKATQIPRASASAVAFGMGLFSGNGTLGPGQHRAFSVISENRASDTMLRFHECCQNYKDFRKRQEPAVDKLKEPILDEITSALVERYGLNFTRQVTSTLWFLCKEEASLLDITDQACSLFSPSEITLLEWTDDLEMYILKGYGKSINYRMGMPLLEDVVQSMEQAIMAKEEKHVPGSFEKARLRFAHAETVVPFSCLLGLFLEGTEFDKIQKEIPLAFAPKPPQKRKWRGSTVAPFAGNNMLVLYRCPAPDKARSKHFVQVLHNEHPIPMPGCHGSDFCPFEVFKEKIVAPHRKHDYDTVCNAKLEQKGSKIFQILQWLFQLGKDDKYPKDEF >CAK8574344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676499985:676501442:-1 gene:gene-LATHSAT_LOCUS26699 transcript:rna-LATHSAT_LOCUS26699 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLKILCLFSLLYSILQLFKLIRKRRNQSCFMLAYECFKPREETKLNTDTCVRIVLRNRNLGLDEFRFLLKTMVSSGIGENTYSPKNVLEGREACPTLKGTYEEIDEIMFDTLDGLFKKTCFSPSEIDILVVNVSLFSPIPSLTSRIINRYKMRQDVKVFNLAGMGCSASVAAIDLVQQLFKTHENCLGIVVSTEDLGSHWYCGKNKDMMLSNCLFRSGGCSMLFTNKMELKNKAILKLKHMERTQYGADDEAYNCCIQVEDEDGFAGFRLTKSLVRSAAKALTVNLQRTVPKILPLWELVRFFTVSLLNSIKNIQLENIFSIFFSRGVKTVKKPIFNVLGGGINLKTGIQHFCVHPGGKAVVDGVGKGLKLNEYDLEPARMALHRWGNTSAGGLWYVLGYMEAKKRLKKGDKILMISLGAGFKCNNCVWEVMRDLSDTNVWKDSIETYPPPSLDNPLKEKYDWIHEDYLGFVRFDYSTLKLD >CAK8563432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603440298:603443014:1 gene:gene-LATHSAT_LOCUS16845 transcript:rna-LATHSAT_LOCUS16845 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLFHPFLLLLFTQFALLQAFTGTYGINYGRIADNIPSPDEVVTLLRASKIRNIRIYDADHSVLKAFSGTGLEIVIGVTNGQLQDMSSSADHALNWVKENVQAFLPETRIRGIAIGNEVLGGSDNALWGVLLDAAKNIYNATKKLHLDKIIEISTANSFAVFQDSYPPSSCKFDNNVKQYMKPLLEFFQQIGSPFCLNAYPFLAYVSKPDDIDINYALFQPTKGIYDPKFKLHYDNMLDAQIDAAYAALEDAGFHEMEVIVTETGWASAGDPNEPGANATNARTYNYNLRKRLAKKKGTPHRPKNVVKAYIFAIFNEDSKNGPTSERNYGLFKADGSISYDVGFHGLNAGYSSHLSLKDINTQGLSRSYTVTMILCLSALILMIF >CAK8563431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603440298:603443014:1 gene:gene-LATHSAT_LOCUS16845 transcript:rna-LATHSAT_LOCUS16845-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLFHPFLLLLFTQFALLQAFTGTYGINYGRIADNIPSPDEVVTLLRASKIRNIRIYDADHSVLKAFSGTGLEIVIGVTNGQLQDMSSSADHALNWVKENVQAFLPETRIRGIAIGNEVLGGSDNALWGVLLDAAKNIYNATKKLHLDKIIEISTANSFAVFQDSYPPSSCKFDNNVKQYMKPLLEFFQQIGSPFCLNAYPFLAYIDAAYAALEDAGFHEMEVIVTETGWASAGDPNEPGANATNARTYNYNLRKRLAKKKGTPHRPKNVVKAYIFAIFNEDSKNGPTSERNYGLFKADGSISYDVGFHGLNAGYSSHLSLKDINTQGLSRSYTVTMILCLSALILMIF >CAK8576191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:421723968:421724333:-1 gene:gene-LATHSAT_LOCUS28397 transcript:rna-LATHSAT_LOCUS28397 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMKTVVVDGVLRFKNNVFKKLSKCFLMERNGDESKDVKRGHFVVIAEDEEEIKRFFVPLRFLTNPMFVRLLEKAAEKYGFNGNGALTVPCRPNEFQMLMVQEGRPSVYFNLKQIMCRGY >CAK8577184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543522803:543523402:1 gene:gene-LATHSAT_LOCUS29313 transcript:rna-LATHSAT_LOCUS29313 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQLNNSTCSKTQLRSSSQLSFLSSTRTRTLPRHYHSTFAPLHRAQHARISCSVAPNQVQVPAAQTQDPKGKPDCYGVFCLTYDLKAEEETKSWKKLINIAVSSVAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVVLELEESLFPLLREVVISIDSYEVFQDAEWALLIGAKPRGPGVERAALLDI >CAK8542558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525571786:525572249:1 gene:gene-LATHSAT_LOCUS11387 transcript:rna-LATHSAT_LOCUS11387 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVAILRHVRLPLQATPKLQPWNGSFRSMSSHDDPIAKEELVDPVLSVVEDFPKVDPSKVTPEVLFQKDLGLDSLETLQEEFKLEIPDKEAEKIDTCQLIIENISNDKFLFEVL >CAK8572344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531408324:531410318:-1 gene:gene-LATHSAT_LOCUS24920 transcript:rna-LATHSAT_LOCUS24920 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVPDQFHQFITPRTSSSSLPLHLPFPLSTTPNTNNNNNTFPTFDPYNHHQLPPQLQPNNLLHPLHHPTKDERKEQTNTPSINNFQIERDQRQILPQLVDPWTNDEVLALLKIRSNMENWFPDFTWEHVSRKLAEVGFKRSAEKCKEKFEEESRFFNNININQNNNYGKNFRFVTELEEVYQGSGGGESKRNEVQDKMRSGSNDDDDDDSRNDDILVMKKDEDEVFEKSTRSRNDERKRKRGGDRFEVFKGFCESVVKKMMDQQEEMHKKLIEEMIKRDEEKFSREEAWKKQEMEKMNKELELMAQEQAIAGDRQANIIQFLNKFSSTNSSSILASIQSFGIGKEDKSKVITPKHFSNSTTLHSQNPNLELHDKTLPPTSENPSSTLPSSSTTMPQPRNYPVGGEKEDIGRRWPKDEVLALINLRCNYNNNEEKEGNNTNKAPLWERISQGMLELGYKRSAKRCKEKWENINKYFRKTKDNANRKRSLDSRTCPYFHLLSNLYNQGKLVLQSEKNESNNNNMNLPQENAVQEKEAPQDDDNNGGGESSEQVGPACW >CAK8531441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110551299:110552918:1 gene:gene-LATHSAT_LOCUS1234 transcript:rna-LATHSAT_LOCUS1234 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPILFFLSFFLLSVFYTSLAAPSASDSLYTNFLNCLKQNNTDPSISDIVFPQSNPSFSTVLQNYIRNARFNTSSTSKPLIIVTPKQPSHVQSTVICAKETNVQIKIRSGGHDYEGISYISNESPFIVLDMFNLRTINVDIENEVAYVQAGATLGELYYGIYEKSKVHGFPAGVGPTVGVGGHFSGGGYGTMLRKYGLSVDNIIDAEIVDVKGSLLNRKSMGEDLFWAIRGGGGASFGVVLSYTVKLVGVPETVTVFRIEKSLDQNATDLVVEWQQVAPTIDDRLFMRLLLQPITSKTVKDTKTVRASVVALFLGGAEEVVEILGKEFPLLGLKKEDCIEMSWINSVIWYNDDEEFKNGAKPESLLDRNLNSASFGKRKSDYVQKAIPKDALELIWKKMIELGKVGFVFNPYGGKMAEIPADATPFPHRAGNLFKIQFSVNWKEPTPNATLEYLNQAKSLYSFMEPYVSKNPRSAFLNYRDLDIGINSFGKDSYEEGKVYGAKFFNNNFDRLVKIKSAVDPDNFFRNEQSIPVLAEKA >CAK8576143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:415993885:415997607:-1 gene:gene-LATHSAT_LOCUS28354 transcript:rna-LATHSAT_LOCUS28354 gene_biotype:protein_coding transcript_biotype:protein_coding MQTENHKMPKKSIGNLMRRRLSDITNSSHSQQENNNHTLILDNNCIQQLLKERANLINLLADRNKMIERNGAELQRLRGDMKKLQMQNWNLAQSNSLMLAELNLGRDKIKTLQHEIFWRAALINGKAFDIQEKEEIDSEKNVSSSQLQEGDEKEALQSPRTSNDEKQCCLNRRRIRSKSTGSSTVATKNTSKEKSKDRRRLRRHSATSKVHEHEPLENLFEIEDAQYAITQSGSNKLSTSAVKTERRISSDLRKEAPRHSFGRPLRKAAEKVPSYKEIPLNVKMRRLA >CAK8533702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651294665:651294982:1 gene:gene-LATHSAT_LOCUS3305 transcript:rna-LATHSAT_LOCUS3305 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDLGYCTRVQHGVLKILHDELIIAKGSKICGLYILEGSNVIINSSLTSGGFHDKEELWDLSLRCYECVEKVLEEYNEFCRNQGIKMRVTAELLLSFWGRAELL >CAK8575149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:40290835:40292370:-1 gene:gene-LATHSAT_LOCUS27435 transcript:rna-LATHSAT_LOCUS27435 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVDQQSSFKHFCKICKKGFGCGRALGGHMRAHGIGDESGQMDDDDPASDWEGGNVNNIVPQTSNKRMYSLRTNPNKQKSCRVCEHCGKEFFSWKAFLEHGKCNSDEADEESPQSSPESNAMADDGDGDGPDGRRGCGWSKRKRSMRTKVGSYNNNNYICPSSEEEDLANCLMMLSNAIVNPLEAEPEESCASACRDEERRNPMNFIAPLSYRIPYETNNNNNNINNKAKGVAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDQNLDDTIAEDDVMTHDEFFPSKSISTLQYDQGTSSNPTLMPSSSSKKKSKVHECSICHRSFSSGQALGGHKRCHWITSNAPDTSTLARFQQFQDHLEQIPKFDNSSEPIDLKLDLNLPAPTNTQIYMQSWGATANPTASTIMDNNIKEDNSSQCMIQQNQNNNQVDQNNDTQDENNKNNKNNNLNSLVQNVDNNNNEDDSKVKLAKLSELKDVINIGGSSSPWLQVGIGATTDVRNDT >CAK8560176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9684134:9685006:1 gene:gene-LATHSAT_LOCUS13874 transcript:rna-LATHSAT_LOCUS13874 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKTMKKKFDPLPHFTLHRVLSQVSPQFYTKDELTQIEKTNQVLFQKINHSLISDNKVERVEVNDLKSCQPSKEEKIVKPKLAIKRKSRNQGKEILPSPPPELPNHINILVEVLDGTDVKYIMCKTLYSCDLTQNHNRLSMPISQIKCDFLTEIEKKTLEEKDEEKKPKSLDVIVLDPDFNEFSVCLKKWDMNSTSTYNLAKDWTKVLSKNNFKKYQKIDIWSFRVDGKLHFLLDNNEPEEIENTNEPEIEDTNDPEEIEESGEPKNSIVISNMEEKQSEEMKIDDSK >CAK8533079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575695890:575697480:1 gene:gene-LATHSAT_LOCUS2729 transcript:rna-LATHSAT_LOCUS2729-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSGTVQESKTAPLSRSGSLYNLTLDEVQNHIGNLGKPLGSMNLDELLKSVWSVEAGEVSDFGRDGAANMQQNQLSEMNRQGSLTLSGDISKKTVDEVWKDMQVKKRGVDRDMEARERQQTLGEMTLEDFLVKAGVVGESFHNKEGGDLLRVGSNEDSRKNVSQHDHHWMQFQLPSTTQQPPQHQHEKHLMPAFMAVHAIQQPFQVARNPVLDAAMSPSSLMDTQTLGRKRVASGIVVEKTVERRQKRMIKNRESAARSRARRQAYTQELELKVSRLEEENERLRRQNEIEKEVPCVPPPEPKNQLRRTNSASF >CAK8533078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575695890:575697480:1 gene:gene-LATHSAT_LOCUS2729 transcript:rna-LATHSAT_LOCUS2729 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSGTVQESKTAPLSRSGSLYNLTLDEVQNHIGNLGKPLGSMNLDELLKSVWSVEAGEVSDFGRDGAANMQQNQLSEMNRQGSLTLSGDISKKTVDEVWKDMQVKKRGVDRDMEARERQQTLGEMTLEDFLVKAGVVGESFHNKEGGDLLRVGSNEDSRKNVSQHDHHWMQFQLPSTTQQPPQHQHEKHLMPAFMAVHAIQQPFQVARNPVLDAAMSPSSLMGAFSDTQTLGRKRVASGIVVEKTVERRQKRMIKNRESAARSRARRQAYTQELELKVSRLEEENERLRRQNEIEKEVPCVPPPEPKNQLRRTNSASF >CAK8561354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:167500833:167501180:-1 gene:gene-LATHSAT_LOCUS14955 transcript:rna-LATHSAT_LOCUS14955 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDLPTQPENYLLRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSSVADLL >CAK8577663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582131110:582131502:-1 gene:gene-LATHSAT_LOCUS29750 transcript:rna-LATHSAT_LOCUS29750 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKSSTLTSNKLQENNTTKEEQKPSSLQKKAVSEIDEIFAGKKRKKSDVKKGEKADQAIKSSDKTKKRTNEKKKPKRADESEFIERPSRSRKKTEDGLTIYTEEELGLSKGDAGNTPLCPFDCSCCF >CAK8537791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434411179:434414061:1 gene:gene-LATHSAT_LOCUS7045 transcript:rna-LATHSAT_LOCUS7045 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVPFGGFFSTPSESKSHVSSTNASFTRCDKCNEKYEHEVADVLKVDPATLASSSSTSLPWFKKVVDTHGGLDVAKTNEENTSVNDKILGFQKKWNDICQQLHQTRSQVPSLEVFRLGSDFNASSSKDPSLNGLQCSSPFSYMPKNLHDTFPSKQISPALVHAVTLSVDVRTDHVQKVTETQEIDLMTPWAAPLRMANRCVLDNKSSSSLTSVATDLGLGTLYTSTPNACKPDTTRFQDKIKHFECVPDSASADSVAIQGNTSHQIARSSCSVSNLSANFDSIDFKSLNKLLFEKVGWQDQAICDISQTLFHRKSGEGKNRDSHGRADIWLAFLGPDRVGKRKIASALAEAIFGNTESVISLDLSFQDRFYQSNSIFKCQRSFSYDVINRKTVVDYIAGELSKNPHSVVFLDNVDKADFLVQSSLMQAIRRGKFPDSRGREISISKTIFLLTSSVCQGNGSSAWNDGKMFYEETILEAKRCQMQLLLGDTSEDAKRSCSTNIKIVPRKGFSRPSFLNKRKQVDTSFKEGTTSKMQKQVSETSIPNLDLNMPLEEGEDGMNGNDCEHKSVVDSSDSWFSDLCNQMDEKVVFKPFNFDELAEKLLKTISIQFERTFGSEFQLEIDYEVLTQILAAAWLADKKNSVEDWAESVLGKGFFEAQQKYHPAAKHVVKLINCESIFEEDPDLGVCLPPSINMK >CAK8540390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557374733:557375390:1 gene:gene-LATHSAT_LOCUS9396 transcript:rna-LATHSAT_LOCUS9396 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKYAYPYPAQGYYQGPPVMAPPQYAAPQPRRQSAGFLEGCFAALCCCCLIDECCCDPSILCIF >CAK8568227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583689029:583692251:1 gene:gene-LATHSAT_LOCUS21206 transcript:rna-LATHSAT_LOCUS21206 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAAVVYLATALVKLICLATFLKVSESDSFDPYQEILKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWEYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYLCAVIVATMPSITSYLRRGLGWHLPKVVGFELFTSLVMAFISWQLFSACQRPSV >CAK8567148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490903572:490904254:1 gene:gene-LATHSAT_LOCUS20224 transcript:rna-LATHSAT_LOCUS20224-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRLKLIDLAIQKHIHDNYHNLKDHETQYQNTLSQLLSVSQLELSKRDETVNRSEVSPVASVIEEKESETVVDGEGCKEEEKDEIMKEVKKVKRQNFVTHCLLSVMIVLTVAWQLSEVSLIMKVKDGINHPFRSFGNMFQEMKEKAFDMSGQNADDNVSLSLPSTVKVPDMTKMDAPYFEEE >CAK8567147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490903572:490904254:1 gene:gene-LATHSAT_LOCUS20224 transcript:rna-LATHSAT_LOCUS20224 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRLKLIDLAIQKHIHDNYHNLKDHETQYQNTLSQLLSVSQQLELSKRDETVNRSEVSPVASVIEEKESETVVDGEGCKEEEKDEIMKEVKKVKRQNFVTHCLLSVMIVLTVAWQLSEVSLIMKVKDGINHPFRSFGNMFQEMKEKAFDMSGQNADDNVSLSLPSTVKVPDMTKMDAPYFEEE >CAK8572137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513795990:513799219:1 gene:gene-LATHSAT_LOCUS24733 transcript:rna-LATHSAT_LOCUS24733 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLELIPIGTILTVVTNQVLRTAHAAADVLVGKESFKALSKYLFDIEPVLKELQRQELNDSQPARFALESLEADVKRANNLVEKYKNRGRFYLLVKCRSIVEEVEQVTRDIGWSLAALSIANTEVLSRISDQVDKLQDEMQRVEFEASQSQLEIVDKLSQGLRDQKQDQAFANDILKEIAMAVGVPMEPSEIGKELASIRKEKEEAANRKEKAEFVFLEQIINLLSRADAARDYEEVKNQYFERVQVIERYGSREKYIEPLNSFLCCITGAVMVDPVSLNTGTTCERSAIEGWFYDGNRTDPKTKEVLEDTSLKSNIPIRQSIEEWRELNYCLLIRSIRENLLTNFNLHESLSQMQALIDENSINKDWISIGELTDNVISILGNSNDREVKMKILITLKDAVEGHARNKEKLVESEGWDHIISCLESNSNISKAAIDLLYELLQDRSGWNQCFCKKLSENDTAVPFLVTLVKDSGNDSAEVAQKILKELFEINESSIITAASCGWHKPLADRMIQGPDSRMSMAKAIVNLELDDLNLMQLGKEGVIPPLIEMLSGSIESKDLSLSALVKLAGSHANKGIIASSGGVPLVLDLMFSPRTRAFITIKCSEILEKLSSSDDGIDFFVDGEGKQLELDSIITNLLHLQQTSNSGHNLRKPTLRALLGICKLETGFVKKAVLAANGVSLILPLLDDSDSEIRETAINLLFLFSQHEPEGVVEYLFKPRRLEALIGFLENDDNDNVQMAAAGLLANLPKSERELTSKLIEMGGLDAIISILKTGKMEAKEQALSALFRFTDPTNIESQRDLVKRGIYPLLVNFLNTGSITAKAIAAAFISDLSMSTPKLTDVSKPTGCWFCRPSRIPLCAAHDSVCSATTTFCLLEANALPGLIKLLQGEVHATAFEAIQTLSTLVLEEFPHRGARLLHDSNAMRPLLEILNWGSESLKAEALGLLEKVFVSKEMVEYYGMTARSRLVCLTGNNIYGDGHLRRKAAKVLSLLERYSKSSSSAISGVLE >CAK8574316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674781369:674782836:1 gene:gene-LATHSAT_LOCUS26671 transcript:rna-LATHSAT_LOCUS26671 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYRIAIGSPREASNPAAIRAAFAEFFSMIIFVFAGQGSGMAYTKLTNNGGATPEGLIVASLSHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITFLRSILYWIAQLLGSVVACILLHSCTGGMETTAFSLSSGVSIWNALVFEIVMTFGLVYTVYATAIDPKKGNVGIVAPLAIGCIVGANILVGGVFDGASMNPAVSFGPAVVSGTWTHHWVYWVGPFIGSATAAILYDNIFIGDDADHEPLSNSDF >CAK8565345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:143255954:143256904:1 gene:gene-LATHSAT_LOCUS18566 transcript:rna-LATHSAT_LOCUS18566 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDEEPALKRAKLCSDEFVGLSSGSSMEGVVCPSSDMSSVEGDDEDVASKGVLKREEFVRMITKALYSLGYKKSGEHLEEESGIPLNSTAVNLFKQQILDGDWDKSVATLNQIGLEDESTVKAASVLILEQKYFELLDADKVMEALKTLRTEITRLCVDSSKIRELSSLMLSPSGQDGSSGRDFVRARTTRSKLLEELQNLIPPTVMIPEKRLEHLVEQALILQRVASLCHISLNKKISFNPDHCDGKSQITLDQLANATAVSDNNDEVVTLTRADFERFATCAVKVDRLEEDYKKLVSMLSEVVNLLRVLPR >CAK8577726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585401811:585404688:-1 gene:gene-LATHSAT_LOCUS29807 transcript:rna-LATHSAT_LOCUS29807 gene_biotype:protein_coding transcript_biotype:protein_coding MYVASMRKSFKDSLKLLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFFVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTQERKASIREFYAVIYPSLLQLERGVTDSEDKKQKAVCMERYRRRDEDEYWQSSDIDIEREDECGICMEMNSKIVLPNCNHAMCLKCYREWRTISQSCPFCRDSLKRVNSGDLWVFTDRRDVVDMATVTRENLRRVFMYIDKLPLIVPDSIFDMYDSHIR >CAK8562453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:494504113:494510909:1 gene:gene-LATHSAT_LOCUS15948 transcript:rna-LATHSAT_LOCUS15948 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSKDNSANSGDASPADVPPSDSSTYSEGEKVLAYHGPRIYEAKVQKAEIRKNEWRYFVHYLGWNKNWDEWVGESRLMKHTEANVVKQHALDKKQGVDKNVKSGRSTHGKAKSSTDAKVDKEDIKNNVSKGKKRKNDSGIEKGSGNVDKLFKIQIPAPLKKQLVDDWDFVNQQDKLVKLPRSPTVDEILTKYLEYKSKKDSAAADATGEVLKGIRCYFDKALPMMLLYKKERKQCNEAVVDSVSPSSIYGAEHLLRLFVKLPELLAYVNIEEETLNRLQLKILDFLKFLQKNQSTFFLSAYDGTKGKGKGRDE >CAK8576596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496787520:496798250:-1 gene:gene-LATHSAT_LOCUS28772 transcript:rna-LATHSAT_LOCUS28772 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARGGCCPPMDLFRSEPMQLIQLIIPIESAHCTVSYLGDLGLLQFKDLNSEKSPFQRTYATQIKRCGEMARKLRFFKEQMFKAGVSPKGSTTQFDVNIDDLEIKLSEIESELTEMNANGEKLQRTYNELVEYKLVLQKAGDFFHSAQSRAIEQQREYESRNLSGDSMEVPLLQDQELSGDSSKAVKLGFLAGLVPREKSMAFERILFRATRGNVFLRQTAVEDPVTDPVSGEKTEKNVFVVFYAGEKVKAKILKICDAFGANRYPFAEELGKQAQMITEVSGRLAELKTTIDAGLLHRVNLLENLGTQFEQWNLLVRKEKSIHHTLNMLSLDVTKKCLVAEGWSPVFATKQVQDALQRASKDSNSQVSAILQVLHTRELPPTYFRTNKFTSSYQGIIDSYGVAKYQEANPTVFTVVTFPFLFAVMFGDWGHGICLLLAALYFIIREKKLSSQKLDDITEMTFGGRYVIFMMSLFSIYTGLIYNEFFSVPFELFGPSAYECRDLSCRDSTTIGLIKTRRTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIMSYANARFFKNHVNVWFQFIPQVIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFEGQKNLQLVLLLLAGVAVPWMLLPKPFILKKQHEARHGDDSYAPLPNAEESLQVESSHDSHGHAEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGYNNVIILIVGLLVFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYKFHPFSFTLLDEEDELI >CAK8576597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496787520:496798250:-1 gene:gene-LATHSAT_LOCUS28772 transcript:rna-LATHSAT_LOCUS28772-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARGGCCPPMDLFRSEPMQLIQLIIPIESAHCTVSYLGDLGLLQFKDLNSEKSPFQRTYATQIKRCGEMARKLRFFKEQMFKAGVSPKGSTTQFDVNIDDLEIKLSEIESELTEMNANGEKLQRTYNELVEYKLVLQKAGDFFHSAQSRAIEQQREYESRNLSGDSMEVPLLQDQVDILPGSYLTLFSYNVGQPSFNESNPAICFVVKELSGDSSKAVKLGFLAGLVPREKSMAFERILFRATRGNVFLRQTAVEDPVTDPVSGEKTEKNVFVVFYAGEKVKAKILKICDAFGANRYPFAEELGKQAQMITEVSGRLAELKTTIDAGLLHRVNLLENLGTQFEQWNLLVRKEKSIHHTLNMLSLDVTKKCLVAEGWSPVFATKQVQDALQRASKDSNSQVSAILQVLHTRELPPTYFRTNKFTSSYQGIIDSYGVAKYQEANPTVFTVVTFPFLFAVMFGDWGHGICLLLAALYFIIREKKLSSQKLDDITEMTFGGRYVIFMMSLFSIYTGLIYNEFFSVPFELFGPSAYECRDLSCRDSTTIGLIKTRRTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIMSYANARFFKNHVNVWFQFIPQVIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFEGQKNLQLVLLLLAGVAVPWMLLPKPFILKKQHEARHGDDSYAPLPNAEESLQVESSHDSHGHAEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGYNNVIILIVGLLVFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYKFHPFSFTLLDEEDELI >CAK8572090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508960219:508962882:-1 gene:gene-LATHSAT_LOCUS24692 transcript:rna-LATHSAT_LOCUS24692 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRDFHPLLRGGRRRDKYRNPFFSAEMESLASVCEALLPPLDSELLNTKGIQPRNKAMELFWEASGSDFSVPQEVAEMFVERALPEALTIVRVILWMLSTRLGTFLLCGSLSHTNKWPFINTFSNLSLQNREIVLQKWFKHRFFTPVRLAFLSIKIFCVIVFFSQCNENGENLAWEAIGYRVDNHENANNPRKERPLEKGIVEAMNEDNASLPKSLSKKGLEIEIDSKNNILKVKCDVVIVGSGCGGGVAAAVLASSGLKVLVLEKGNYFTPRDYSSLEGPSMNELYESGGTCSSLDGKIAILAGSTVGGGSAVNWSACIKTPDYVLKDWSENHNLSLFSSSEYVSAMDIVCKRIGVTDTCVEEGLQNQVLRKGCNKLGLQVDYVPRNSSQNHYCGSCNYGCSKGDKQGTDVTWLVDAVEHGAVILTRCKAEKFILANNEGGMRRKKCSGVMVNVLTENITWRIQVEAKATISSCGAISTPPLMISSGLKNKNIGRNLHLHPVLMTWGHFPDSLSDLKGKIFEGGIITSVHKVLSKSNDDAEVEAIIETPALAPGTFSTLFPWESGFDFKERMLRYSRTVHLITIIKDRGSGEVRTEGRIKYELDQEFDKENMKHGLQRALRILIAAGAVEVGTHKNGERIVCSGTNEKELEKFVESICATEGPMSHEESWSMYASAHQMGSCRMGTTEKEGAVDENGQSWEAEGLFVCDASLLPTAIGVNPMITVESIAYCVAKRIAVALRVG >CAK8574841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11175429:11176881:-1 gene:gene-LATHSAT_LOCUS27141 transcript:rna-LATHSAT_LOCUS27141-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYDEIVKEVASYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNVNEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLQTEVKSVEMHHEALAEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGAANKIKKK >CAK8574840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11175429:11201355:-1 gene:gene-LATHSAT_LOCUS27141 transcript:rna-LATHSAT_LOCUS27141 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYDEIVKEVASYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNVNEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLQTEVKSVEMHHEALAEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGAANKIKKK >CAK8530704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42533895:42540591:1 gene:gene-LATHSAT_LOCUS548 transcript:rna-LATHSAT_LOCUS548 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFFTSNRFRFFIIFTACLCIYFAILKKKTYKSKDIVSISSRFAIPTSKYTSILGPKLDKLPNQGDAKKLFQLWKKEHGRVYHDQEEMEKKFEVFVSNVKYIVEANAKRDSPHSAFFGLTNFADLSFPEFKETYLTLNDDAMDTLNDDVDDSTCSIDPPTSLDWRLKDAVTPVKKQGKCGSCWAFSTVGAVEGIVAIKTGKLISLSEQEVLDCEPDGNCKRGRVSKGLNWVIENKGIATQDDYPYTKKKGDCKSSKIANSPNSSITSYSRVKRSEMGLLCAVAQQPLSVSINSSTEGFHLYNGGVFTGEDCPSDSKNTTHAVVIVGYDSIDCDEYWIVKNSWGTRWGNQGYIYIKRNTGKKYGVCAINAWGRLLEKN >CAK8536498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946966916:946968313:1 gene:gene-LATHSAT_LOCUS5860 transcript:rna-LATHSAT_LOCUS5860 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVIEQTLVFPTTPRTTTTSLPLTFLDFAFADPIYIQRLFFYQFPYSTNEFCKTTLESLKHSLSLTLQHFFPLAGNYHSPPPPHKPFIFCTQNDSVNLTVIESSADFKHLSTFNHPKNIEDFDHLFPKLSHKTILDDNDIENKKTFIFPLLALKVSVFPNHGVCIGITYCHLMDGTSCTHFMNFWSLINRRGDGVELKPLPCFDREILRDTKRLEEVFSRDYFEYRKTWKDKSIDGSKTSEEYVKVITVFEKEEIEKMKRWLLSQWTKDDGEITAAPQFLSKFVVTCGFVWATMIKTMYRDDDDNDDETVENFFFAGDCRENLGYPIPEGYFGNCLAFHHASLKRKVMKGESGFLNAVKVIEKAVTEMKNEPFKDADEWIVKNKRMFEVGNIFSVRGSPKFNVYETDFGFGKPVKVEMVHSSRCMSLAESRDTEDGLEVGLVFKIEEYEYFCSVIEQGLATFTF >CAK8542418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512235039:512235444:-1 gene:gene-LATHSAT_LOCUS11258 transcript:rna-LATHSAT_LOCUS11258 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGSRVPIPTINEVREITGKHHSSDEIYAALKECSMDPKETAQKLLYLDTFHEVRRRRKKRNLCV >CAK8573511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617167913:617168842:1 gene:gene-LATHSAT_LOCUS25953 transcript:rna-LATHSAT_LOCUS25953 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDECKLKFLELKAKRNYRFIVFKIENQEVVVEKLGGPEETYEDFSASLPADECRYAVFDFDFITSENCQKSKIFFIAWSPDISKVRHKMVYASTKDRFKRELDGIQVELQATDPSEMSFDIVKSRAL >CAK8531724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143667701:143669380:1 gene:gene-LATHSAT_LOCUS1494 transcript:rna-LATHSAT_LOCUS1494-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLNSFCRQFLITLSPSFVAHTLRSLNNHHTALRFFTWASNQPNYSHSLDCYVSLIDLLLSPSTTTTSTAAAALNVFAELQRNCLPLTSLSANSLIKSFGNAGLVNGLLLVWRGMNEQNIQPSLFTYNSLLNGLVGSSFIESTERVFDAMKEGRIKPDVVTYNTLIKGYCKIGKTRKACEMVCEMEAINLEPNVVSYMTIMQACYAEGDVDCCLSLYHEMEDKGFEVPSHCYSLVICGLCKTGKVLEAYALFENMMRNGCKGNKAVYTALIDCYGKSGNSDGALRLFERMKMDGIEPDEVTYGAIVNGLCKSGRVEEALGYFRFCNENGVVVNAVFYSSLIDGLGKAGRVDEAEKVFDEMAEKGCLPDSYCYNALIDGLCKCGRIDDALALFKRMECDGCEHTVYTFTILISELFRVHRNEEAVKMWDLMIDKGITPNVACFRALSIGLCLSGKVARACKVLDELAPMGVVLETAYEDMIGALCKAGRVKEACKLADGIVDRGREIPGKIRTLMIHSLRKAGNADLAIKLMHSRIGIGYERTRSVKKRVKFQTLVDN >CAK8531723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143667419:143669380:1 gene:gene-LATHSAT_LOCUS1494 transcript:rna-LATHSAT_LOCUS1494 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISSLLLKQPSPSSHIHFSFPPHLQTHSPHNHNATPPPPSHSFLHSFKTLSLPPPELVEPFSDLSDVVSSKQNLQPSPWFTQILNLLDNSPSMESNLNSFCRQFLITLSPSFVAHTLRSLNNHHTALRFFTWASNQPNYSHSLDCYVSLIDLLLSPSTTTTSTAAAALNVFAELQRNCLPLTSLSANSLIKSFGNAGLVNGLLLVWRGMNEQNIQPSLFTYNSLLNGLVGSSFIESTERVFDAMKEGRIKPDVVTYNTLIKGYCKIGKTRKACEMVCEMEAINLEPNVVSYMTIMQACYAEGDVDCCLSLYHEMEDKGFEVPSHCYSLVICGLCKTGKVLEAYALFENMMRNGCKGNKAVYTALIDCYGKSGNSDGALRLFERMKMDGIEPDEVTYGAIVNGLCKSGRVEEALGYFRFCNENGVVVNAVFYSSLIDGLGKAGRVDEAEKVFDEMAEKGCLPDSYCYNALIDGLCKCGRIDDALALFKRMECDGCEHTVYTFTILISELFRVHRNEEAVKMWDLMIDKGITPNVACFRALSIGLCLSGKVARACKVLDELAPMGVVLETAYEDMIGALCKAGRVKEACKLADGIVDRGREIPGKIRTLMIHSLRKAGNADLAIKLMHSRIGIGYERTRSVKKRVKFQTLVDN >CAK8541674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:347112337:347112657:1 gene:gene-LATHSAT_LOCUS10577 transcript:rna-LATHSAT_LOCUS10577 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSHMQNLRNWPPEMTLSLALEYPHDITPYDTKIFHSFLLPLYPVNIPLPSPQLDLRTLFHHLLLGAPPGSRRSASQTTKKHIIYIIQPYHTKRIGRAETTNSTY >CAK8574757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8683798:8686519:-1 gene:gene-LATHSAT_LOCUS27069 transcript:rna-LATHSAT_LOCUS27069 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSFAMMMNLLGAILLVTIVCVANALTKNDFPSDFLFGASTSAYQVEGAANEDGRKPSIWDTFAHAGNGGLYKGDGDIACDQYHKYKDDVKLMAQMGLDAYRFSISWSRLIPDGRGPINPKGLEYYNNLINELTSQGIQAHVTLHHWDLPQTLEDEYGGWVSRRVIKDFTAYADVCFREFGDRVTHWTTVNEGNVDSMEGYDGGFLPPQRCSSSSFSNCSKGNSSTEPYLVTHHMLLAHASAAKLYRTKYKAKQRGFIGFNLLAFGLLPLTNTSRDIIAAKRAQDFFIGWFMNPFIFGEYPDSMKNNVGSRLPYFTARESNLVKGSIDFLGINFYYALYVKNNPKSLQKENSFTSDMAVELKHYTGNGTSTGEIPVIPWILEELLHSLKNDYGNLPIYIHEIGQQTRRNSSLDDWSRVKCMHEYIGSLLDMLRNGLNIRGYFVWSFLDVFELLGGYESSFGLYYIDLKDPTLRRQPKLSSVWYSNFLNNRIMDSRIAMKIEENSSISNTFLMHAST >CAK8574758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8683798:8686495:-1 gene:gene-LATHSAT_LOCUS27069 transcript:rna-LATHSAT_LOCUS27069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLGAILLVTIVCVANALTKNDFPSDFLFGASTSAYQVEGAANEDGRKPSIWDTFAHAGNGGLYKGDGDIACDQYHKYKDDVKLMAQMGLDAYRFSISWSRLIPDGRGPINPKGLEYYNNLINELTSQGIQAHVTLHHWDLPQTLEDEYGGWVSRRVIKDFTAYADVCFREFGDRVTHWTTVNEGNVDSMEGYDGGFLPPQRCSSSSFSNCSKGNSSTEPYLVTHHMLLAHASAAKLYRTKYKAKQRGFIGFNLLAFGLLPLTNTSRDIIAAKRAQDFFIGWFMNPFIFGEYPDSMKNNVGSRLPYFTARESNLVKGSIDFLGINFYYALYVKNNPKSLQKENSFTSDMAVELKHYTGNGTSTGEIPVIPWILEELLHSLKNDYGNLPIYIHEIGQQTRRNSSLDDWSRVKCMHEYIGSLLDMLRNGLNIRGYFVWSFLDVFELLGGYESSFGLYYIDLKDPTLRRQPKLSSVWYSNFLNNRIMDSRIAMKIEENSSISNTFLMHAST >CAK8533236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594766841:594767428:1 gene:gene-LATHSAT_LOCUS2881 transcript:rna-LATHSAT_LOCUS2881 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRRRFYRKILEGYEVGQSDPGKINVLDAINLAIPSWTIDVRKEIIANCFRRCKIRSASDVARNLDESTFDEETQDLETMINQCGYRNKMDIDNLMNYQGENEACSEVQSLEDIVGVIVENNAEDDDEDDTVSLEPVTRNEALMAFNTLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKGKQTTIESYFNRV >CAK8576151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416172540:416172935:-1 gene:gene-LATHSAT_LOCUS28362 transcript:rna-LATHSAT_LOCUS28362 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLFLDFLLFFLPLIFEAFPNGTNAAAPSVQSSHDFALVVRGLPNRTKMDRAVGSEKVSGTGMVFKQRFHRNRIWRRRIRHGIPIWEGI >CAK8539209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506681567:506683900:-1 gene:gene-LATHSAT_LOCUS8326 transcript:rna-LATHSAT_LOCUS8326-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRFNRTERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTALYHNARKRCHISSEFAKTVSRRKTGNDMLSPKHTTKNKVWNRNLPKHTTKKVLNRNMGDEVPQKHTTKKVLNKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKKVLNRNMGDERERTQVPQKTSISICKYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALLNGSDKLYSGSTDGTLRSWDCHTGQCANLMNLGAEATSLISEGPWIFVGLPNTVKAWNTETASQFTLDGPKGRVLSMTVGNDTLLAGAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLIVGVVKILFSGSKDQSIKVWDLDTFECKMTLNAHTDEVTSLLCWDNFLLSGSLDCTVKVWYKTEAETLEVAYSHKLENGVVALSGMTDPKNKPILFCSTRDNSVRLYELPSFAERGRLFAKQEVGLIDIAPDGLFFTGDRTGLLTVWKWLEEPKVEVVASS >CAK8539208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506681567:506683900:-1 gene:gene-LATHSAT_LOCUS8326 transcript:rna-LATHSAT_LOCUS8326 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRFNRTERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTALYHNARKRCHISSENLPKHTTKKVLNRNMGDEVPQKHTTKKVLNKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKKVLNRNMGDERERTQVPQKTSISICKYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALLNGSDKLYSGSTDGTLRSWDCHTGQCANLMNLGAEATSLISEGPWIFVGLPNTVKAWNTETASQFTLDGPKGRVLSMTVGNDTLLAGAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLIVGVVKILFSGSKDQSIKVWDLDTFECKMTLNAHTDEVTSLLCWDNFLLSGSLDCTVKVWYKTEAETLEVAYSHKLENGVVALSGMTDPKNKPILFCSTRDNSVRLYELPSFAERGRLFAKQEVGLIDIAPDGLFFTGDRTGLLTVWKWLEEPKVEVVASS >CAK8535592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865231062:865236666:1 gene:gene-LATHSAT_LOCUS5036 transcript:rna-LATHSAT_LOCUS5036 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIDINGTTLYLALYIDVTNSKELLESMQAGTLEPEVAFLNASLIPDIFPVLAAAHKTLVTKSQDSLITRTLHSELVYNYSGSKHITESLKRCGISESTTYVLAARFDATPDEIKAMEKLVKGKEIGLEELEGRANISQIQKHYKISAPELGVSSIADAITCRIAARDAL >CAK8567843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550252009:550252968:1 gene:gene-LATHSAT_LOCUS20861 transcript:rna-LATHSAT_LOCUS20861 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8563561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616336031:616338818:-1 gene:gene-LATHSAT_LOCUS16957 transcript:rna-LATHSAT_LOCUS16957 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLRAKSCNCFKSVVALALCVGGIHFNLVFILLTLFFLPLSKSLLVLALILLITVVPLNKNGLLAQKLSRFICEHVCSYFPITLHLEDAEAFQRNQSYVFGYEPHSVFPFGIFALLDNVGFMPISKIRFLVSSASFYIPFLGQVWRWLGFTSVAKKNLISLLESGHSCIIVPGGNRETLFMEHGSENVYLKERRGFVRIAMEMGHPLVPVFCYGQTNTYKWWKVPGKLVQNLTRFIKINPIIFWGILGSPIPFKNPLYVVVGRPIHVNKNPKPTTEEVAKVHSEFVEALQNLFEKHKAEAGCTNLELKIV >CAK8573706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631599003:631600898:-1 gene:gene-LATHSAT_LOCUS26115 transcript:rna-LATHSAT_LOCUS26115 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENSDNDGGRINLSNLAPVAPDQSGDGLPYAPENFPNPGDIWRWKAGRRISSNGNFRDRHLYLPRRLAASYRGGFKSKLAVERYVKEFFPDANVVDFFASFSWSIPSGLPGNMNPVPADGLLHQLELKEQPESDSDIGGCKAGNKTCTSLILDQEKENSPLAPCDICCVESKFCRECCCILCYKTVDSAYGGYSYIMCKVNLGGNICGHVCHLECALRSYSAGTVGGTIGLDAEYFCWRCDGRTELIPHANKLLQTCEEATDTDDVDVKEKILKLGICLLRGSEKAAANELLSRITSAILKLKHGTNTEDILNVDAKITANSSGSSGYGKAAMETTDDESPLKHLNVQKGTKSFRYQSELSKLDADFDKAMEDLEKSQKFEYKLAEESLHTHKEYLLNISQQLDNEKSELAGQSSTSGSSVLLQTIERKNEQLRQELKKFEEMKKIADGFGSTSKEILEKHFGL >CAK8576224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:429866340:429866678:-1 gene:gene-LATHSAT_LOCUS28430 transcript:rna-LATHSAT_LOCUS28430 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCYKINGCNNEPNILAIIFDLDGTLLDTERVTRGVLNEFMGKYGKEVNKEREEKKRLGMTHKESAALIVKDYQLPLTPDQFIKEINPLYIQRWREAKALPGANRIIKHFF >CAK8561628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:330385259:330387507:1 gene:gene-LATHSAT_LOCUS15203 transcript:rna-LATHSAT_LOCUS15203 gene_biotype:protein_coding transcript_biotype:protein_coding QIIRQFDSNSSLRKKGVAGTIRNCCFEAENQLQNLLLVSEFLWPALLLPVAGNKIYSEEDRMKMPLELGSVLSIEREPVNDPEIRTQVLEAIYLILLQEAGRRAFWSVNGPRIVQVGYEDEEDPKVMGTFEQLGSLLVNGSGIEEPSTETTQ >CAK8577524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571094609:571095556:-1 gene:gene-LATHSAT_LOCUS29624 transcript:rna-LATHSAT_LOCUS29624 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRKKLVNMGYALTEATFKYYHGEIRRTNIEASNWIDNIHRKKWARAFDGGQRWGHMTSNLAETINSILKAIRNLPITALVQSTYYQMGSLFGKRGHKWTKMLSLGKVFTDGCNKGMADEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLNVFKVYQESFMGLPHEEYWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDGEKEKRRCGICREIGHMRRKCPNVVGPSN >CAK8539819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527274087:527275714:1 gene:gene-LATHSAT_LOCUS8879 transcript:rna-LATHSAT_LOCUS8879 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLFFFVIPFFLLFLFHFLSKYFNPKTNKLPPGPKKFPLIGNLHQLAMSKKLPHHALQELSQKYGPLMHLQLGEISTVIVSSPKLAKEVMKTHDVVFANRPKLLAPEIMVYGSKDIVFSPYGDFWRQMRKICVLELLSAKRVQSFSYIREDESTKLIQSIQSTANSTINLTSRIFAMVSNAISRAAFGEKSKDQQEFVELVKKVVEISSGLDVDDMFPSIKPLHILTGMRSKLDKFHKRVDTIIGNIVRQHQEKRSKGKEDNNNVEVDKEDLVDVLLRVQESGDLDVEITTNNIKAVIWDVFVAGTDTTATTIEWTMSELMKNPSARKKVQAELRAALKGKETIQESDLQELSYLKLIIKETLRLHPPSPLLVPRESSELTIIDGYEIPKNTKVIINAWALARHPDYWTDAEMFIPERFEDSSVDFKGNNFEFIPFGAGRRMCPGMSFGLASVTLPLAKLLYHFDWELPDAMKHEDLDMNEHFGMAVGRESELCLIPSVCVM >CAK8560758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40513273:40517983:-1 gene:gene-LATHSAT_LOCUS14409 transcript:rna-LATHSAT_LOCUS14409 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHPATTFHNSAMTFDEVSMERSKSFVNSLQELKNLRPQLYSAAEYCEKSYLLSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQHTLDVSTMDLKVSTINQKLLTCQVYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHVQIDAKQNSFQTRTRFQSSGTPPAKTLSWHLASETKSTLKGTPHASPNIENPKFSAKASGFFHLLDNEESTGTKSSPAQIHLPNGVPTSTIHVQSFGGTRRDALDGSKPMTGFRSFDNANRRESAQTPPRSRSVLSAFFVKQKTPKLKTGSFS >CAK8560759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40514720:40517983:-1 gene:gene-LATHSAT_LOCUS14409 transcript:rna-LATHSAT_LOCUS14409-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHPATTFHNSAMTFDEVSMERSKSFVNSLQELKNLRPQLYSAAEYCEKSYLLSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQHTLDVSTMDLKVSTINQKLLTCQVYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHVQIDAKQNSFQTRTRFQSSGTPPAKTLSWHLASETKSTLKGTPHASPNIENPKFSAKASGFFHLLDNEESTGTKSSPAQIHLPNGVPTSTIHVQSFGGTRRCSEPVC >CAK8575285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71151841:71152137:1 gene:gene-LATHSAT_LOCUS27559 transcript:rna-LATHSAT_LOCUS27559 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGVGRPRNQVAAHQKGVEQTIIVQAITELEAEETDQQDTYGDSNQHIAITPYTESDDKHDEDQQYRPDEGVINNNVSSPEKHVATLLKPWVGVIK >CAK8567575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526694495:526697803:1 gene:gene-LATHSAT_LOCUS20616 transcript:rna-LATHSAT_LOCUS20616 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRLGLSDPGGSTPSEPIIHPKARSSKKKIIFLSLLAVLLIVASAVSAAMLTGIHSRGGNKQNNPSLRRNPTQAISRTCSKTRFPSLCETSLLDYPGSTIATEKDLIHISLNMTLQHLSKALYSSASISSTVGMNPRIRAAYQDCLELLDDSVDALTRALTSVVPSSSSSKGAVKPLTSSSTDDVLTWLSAALTNQDTCAEGFTDTSGNVKDQMANNIKDLSELVSNCLAIFSNSGAGDDFAGVPIHNKRRLMTMPENDFPIWLRKRERRLLDLPVSALQADVIVSKDGNGTVKTISEALKMIPEYGNRRFIIYIREGRYEEDNLKIGRKKTNVMIIGDGKGKTVITGGKNVMQNLTTFHTASFAASGPGFIARDMTFENYAGPSKHQAVALRVGSDHAVVYRCNVIGYQDTMYAHSNRQFYRECDIYGTVDFIFGNAAVVFQNCSLYARKPMAQQKNTITAQNRKDPNQNTGISIHNCRILATQDLEASKGNFTTYLGRPWKLYSRTVYMLSYMGDHLNPRGWLEWNTTFALDTLYYGEYMNYGPGGAIGKRVSWPGYRVITSTVEANRFTVAQFISGSTWLPSTGVAYVAGLNT >CAK8533597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640129626:640131973:1 gene:gene-LATHSAT_LOCUS3215 transcript:rna-LATHSAT_LOCUS3215 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNQNSRYKRLCNWFTTKQKENSKNLSLQSLEFDSCISTNKLRIFVGTWNVAGKSPIGSLAVDLDEWLNLKNSADIYVLGFQEIVPLKTLTVIGAEDSSVATNWNNLIGRTLSYNENCYQDIGESNKKKYKMVASKKMVGVFISVWLREQVLEKYCVSNVRVCSVACGVMGYLGNKGCVAVSMLIEGTSFCFVVAHLASGEKKGDEGRRNHQVEEIFRRTCFPRTSKHHQHPLTILSHDRIFWFGDLNYRLYLKDHLARHLIRKQDWKGLQEFDQLQKELEGGVFEGWKEGNIKFAPTYKYASSTSNIYCGGDLPTRSGEKQRTPAWCDRILWYGKGVEQLHYIRSESKFSDHRPVSALFSTQIEIKSSTRGLMELEHNPSIILHPNHIVRKGEEDENSTSLSLLMKNVQG >CAK8544023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:657183733:657184149:1 gene:gene-LATHSAT_LOCUS12734 transcript:rna-LATHSAT_LOCUS12734 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTIPMVSETENVVLNQLPQPKRPLNSDDMIFETSSTVDQLNNHVVPLQPTMLKEDDRKKHFVLVDKVGNRVLDKIYEKLPAKLREEINLEGERRDELENTTSRGVEIGMSDKEKLGRFKVRTVVAQEVVKEDEKK >CAK8575096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28928872:28937116:1 gene:gene-LATHSAT_LOCUS27385 transcript:rna-LATHSAT_LOCUS27385 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNKERKGVQRGAKKKKSNTEQKADAETHVKLNSDGKMSRGDVNISSQLKQPILPSSGEPLLDVPEIIKWMFFNGCSSKQGIEQSSTLKQAKNQKTTMTTMDTAGRSLLKHGQRKQQPLTAGSPIHVGRSAQIQHSTLKQAQNQKTTMTTTDTAGRSILQHGKRKQQLFTAGSSIHAAGSAQIHNNKQDHMPEIKKPRDDVYISPQLKRPMPPSSVEPLVDVPDSIKSNAELYRGKLRSFKTTNRSIQDLPKQGMEQSSTLEQAKNQKTMRSTMNTAGRSILKQDQRKQQSLTTRNSFPVVGSAQMQHSTLKQAKTQKTMKTTIETAGRSIPNHGKRKQQSFTAGTSSQVAGSAQIQHNKHVSKPVGRSMFGGSTGSSAQPPKDLVDHGSSTKQKTTRKCPSMSLDDYFKRNEQQLEVDKGINCENNDGDVQDDISYESDDGKKTNDDPIEEDHSHFGGLSNQSSAQFQKDHIDHGPFKKQKTTRMCPSMSLDDYFKIYKPQLEVEGDINYENSDGDVEGDINYGNDGGEKTNNNPMEGEASKKGKRGRTRCLEIHARSLAERQKITLNEEGEPIGPTQKIVSEFSNFLGSVARMSDLCPLTYTNWKAIPNKKENIWAYVNKKYIVPEKGEKVVYAIINDAWRRYKCSIKRNHFTKYENLCDRLKNRPANIPEAHFRKLMNYWSCEIVQKISHQNANITAQQKCRHRAGPISFAIIREKLRAAKDDREPPSQAEVFIETRQSKRGNQLDQVTSNTITNLKDLIINSGQPSDEAFRTVFGKEKPGRVRCHGRVTTPSLLKRNKEISEIEMKHAAEVKHLTEKVQEMRVKHEMLETKHSEEMAAIEGKLEVLLRLMLNQSNSGHDMGDLVALLTLNENNNALYSSASAHTLNNHEVNHNATGEEQSNDDLEEEDDEQLYDDDLEEEDDEQLYDDDLEEDDEQLYDDDLEEEEDEEQLYDDSEEDEDYTD >CAK8535008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802637423:802641372:1 gene:gene-LATHSAT_LOCUS4495 transcript:rna-LATHSAT_LOCUS4495 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMEKMASIDAQLRQLAPAKVSEDDKLIEYDALLLDRFLDILQDLHGEELKDSVQEVYELSAEYERKHDPKKLEELGKLITGLDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRNKLKKGDFRDESNATTESDIEETLKKLVFNMKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARVRNCLSQLYAKDITPDDKQELDESLQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRDRAEELHRNSKKDEVAKHYIEFWKKVPLNEPYRVVLGDIRDKLYRTRERSRYLLAHGYSDIPEEATFTNVDEFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLGIGSYQEWSEEKRQEWLLSELVGKRPLFGPDLPKTDEIRDVLDTLHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECKIKNPLRVVPLFEKLDDLMGAPAALARLFSIDWYINRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELINVAQKFSVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPSSPKPEWRALMDQMAIIATEEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKQVIEKDVKNLHMLQDMYNQWPFFRVTIDLVEMVFAKGDPGIAALNDRLLVSQDLWPFGEQLRNKYEETKKLLLQVATHKEVLEGDPYLKQRLRLRDSYITTLNVFQAYTLKRIRDPNSSANASRSPLSKESPEATKPADELVTLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >CAK8541311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:112154614:112154961:1 gene:gene-LATHSAT_LOCUS10240 transcript:rna-LATHSAT_LOCUS10240 gene_biotype:protein_coding transcript_biotype:protein_coding MINQCGYRNKIDIDNLMNYPSENEACSEVQSLEDIMGTIIENNAEDDGEDDTVSLEPVTRKEAFMASNTLHNFMIQYKNTTPELLDAIRKVRDKLQIDLNFKGKETTIESHFNRV >CAK8539529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515117911:515121177:1 gene:gene-LATHSAT_LOCUS8613 transcript:rna-LATHSAT_LOCUS8613-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMPVCNEQCEEEFGKPKMELGGSTVLARPKFKRRMICAVRDYPEGCGPFGSADGTSVEDRSSEDLECDVVTPEHSDHENNLVVTETIELTSKCSLKKESPVILSDHENNLVVTEAIELTSECSLKKEDPVILSDQAALAGMEILGTESSSLEKDVPVVSSHLVDEPILDNDKHANVALVGMETSGGESAANISSLKKENPTVSSHKVDGSTLANDEPAKLTFVGTETLDMEFAIQDSVKQESLYMSKASSPVGESAMSDDSKSSSSNINIVGSGACMEDAVTRRYPPRRNFAAVRDFPRLCGRNAPRLTKDECLKELSSLNEKRAGQQNLAVDASLLKKVADSDAKEVENNKRKPVNMVQAGSEEENNATQRVKKLDVFEPSSERRLALDNTREKSTKLPEKRNHHQVNINSKAVAKEEIMNTVQVEGTSGLDIYCPEVQNPEPKPLAMSEQEVVLGLMCKSECPWRSEVSSSKFKPTSIGGTEEKKVKKVDFYAQLDRSKTAVKTKDIRSHSGHEPLKKKKLNSTSDDIGQLVRREKNSLDPNENKKDFKSVPKSHDPSVPPLGCSDFSGHENDSATRKEVRRVLRLFQVVSRKLLHDAEAKAKSNDKERKRVDLQAAKMLKENGNFVNSGKHILGPVPGVEVGDEFQYRVELNIIGLHRQIQGGIDYTKHNNKVLATSIVASGGYADDLDNTDVLIYTGQGGNVMSNSKEPEDQKLERGNLALKNSSEEKNPVRVIRGSESPDGKSKIYVYDGLYLVESSWQDMGTHGKLVYRFRLRRIPGQPELALKEVKKSNKFKTREGRCVEDISCGQERIPICAVNTIDDEKPPPFKYIKKMIYPDYCKPVPPEGCGCTNGCSDRAKCSCVRKNGGEIPFNYNGAIVAAKPLVYECGPKCKCPSTCYNRVSQHGINIQLEIFKTNSRGWGVRSLNSIASGSFICEYIGELLEDKEAEQRTGNDEYLFDIGNKNNNTLWDGLSTLLPDSQSHSCEVVMDGGFTIDAAQFGNVGRFINHSCSPNLYAQNVLYDHHDNRVPHVMLFAAENIPPLQELAYDYNYMIDRVLDSNGNVKKKFCYCGSVDCTGRLY >CAK8539528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515117911:515121493:1 gene:gene-LATHSAT_LOCUS8613 transcript:rna-LATHSAT_LOCUS8613 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMPVCNEQCEEEFGKPKMELGGSTVLARPKFKRRMICAVRDYPEGCGPFGSADGTSVEDRSSEDLECDVVTPEHSDHENNLVVTETIELTSKCSLKKESPVILSDHENNLVVTEAIELTSECSLKKEDPVILSDQAALAGMEILGTESSSLEKDVPVVSSHLVDEPILDNDKHANVALVGMETSGGESAANISSLKKENPTVSSHKVDGSTLANDEPAKLTFVGTETLDMEFAIQDSVKQESLYMSKASSPVGESAMSDDSKSSSSNINIVGSGACMEDAVTRRYPPRRNFAAVRDFPRLCGRNAPRLTKDECLKELSSLNEKRAGQQNLAVDASLLKKVADSDAKEVENNKRKPVNMVQAGSEEENNATQRVKKLDVFEPSSERRLALDNTREKSTKLPEKRNHHQVNINSKAVAKEEIMNTVQVEGTSGLDIYCPEVQNPEPKPLAMSEQEVVLGLMCKSECPWRSEVSSSKFKPTSIGGTEEKKVKKVDFYAQLDRSKTAVKTKDIRSHSGHEPLKKKKLNSTSDDIGQLVRREKNSLDPNENKKDFKSVPKSHDPSVPPLGCSDFSGHENDSATRKEVRRVLRLFQVVSRKLLHDAEAKAKSNDKERKRVDLQAAKMLKENGNFVNSGKHILGPVPGVEVGDEFQYRVELNIIGLHRQIQGGIDYTKHNNKVLATSIVASGGYADDLDNTDVLIYTGQGGNVMSNSKEPEDQKLERGNLALKNSSEEKNPVRVIRGSESPDGKSKIYVYDGLYLVESSWQDMGTHGKLVYRFRLRRIPGQPELALKEVKKSNKFKTREGRCVEDISCGQERIPICAVNTIDDEKPPPFKYIKKMIYPDYCKPVPPEGCGCTNGCSDRAKCSCVRKNGGEIPFNYNGAIVAAKPLVYECGPKCKCPSTCYNRVSQHGINIQLEIFKTNSRGWGVRSLNSIASGSFICEYIGELLEDKEAEQRTGNDEYLFDIGNKNNNTLWDGLSTLLPDSQSHSCEVVMDGGFTIDAAQFGNVGRFINHSCSPNLYAQNVLYDHHDNRVPHVMLFAAENIPPLQELAYDYNYMIDRVLDSNGNVKKKFCYCGSVDCTGFLRGR >CAK8544784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705606918:705610541:1 gene:gene-LATHSAT_LOCUS13437 transcript:rna-LATHSAT_LOCUS13437 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLKREPLQACMTCPICLHLLKDATTISLCLHTFCRKCIYDKLSDEEVDCCPVCNIDLGILPVEKLRPDHNLQDIRTKIFPFKRKKVEAEEVVPAIPLPAKRKERSLSSLVVSAPKVSTHIGFTGKRTKTGTRKASALRGCSFIAEGSVKKEETLDEDNLGSFIAETSKKHRPNEDANNNVDLTEGKADLWTPLNCLVEAANRTKSSRSNLQGSPLAKLESPVTPHGGLVISETAKSEPPTSVQSELHMPKTKNKSNGHKTKFGDDKDGNALPTGSVKRKRLRPANQKRTAASEMSASAQLVLDTTGSRCNRKNSPIWFTLVASEDQNGEISLPQISACYLRIKDGTVPVSFIQKYLMKKLNLASEAEVEIICRGQPVLPSLQMHNLVDLWFCTASTSKKLPASVGSSAKDFVMALSYCRKTLPL >CAK8578524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634895687:634898027:-1 gene:gene-LATHSAT_LOCUS30528 transcript:rna-LATHSAT_LOCUS30528 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLSSSVTSLHIPTPLETPTPFKKSIFFNSQLHSTLPLFTKNNSKFKLLTALSPSPLTDEKSNLQVDDEAEGETGSEKFDWYSQWYPLMPICDLDKRAPHGKKVMGIDVVVWWDRNEGAWQVFDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPLDGPPIHTSKKACVAAYPSIVQNDILWFWPNTDPQYKDIITRKKPPFMPEIDDPSFTSLMGNRDIAYGYEVLIENLMDPSHVPYAHYGLMKTPQPKVKADREGGRPLELSIEKLDINGFTANQGWSKSKFMPPSIFYAYNDPDKPASPDESKKSSVQRKFSLIFICVPVSPGNSRLIWCFPRNFGLWIDKIVPRWMFHVGQNLILDSDLYLLHVEEKKIMDVGQANWHKACFVPTKADALVIGFRKWLKKYAGGEVEWRGKYSGALPPTPPREQLMDRYWSHVVNCKSCNLAYKSLNVVEVMLQIISVASIGIVAIMKQGMVSAATRNSMVVLAVLSFALSRLLAHFIYKNFRYHDYNHAFR >CAK8573156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589924870:589925082:1 gene:gene-LATHSAT_LOCUS25635 transcript:rna-LATHSAT_LOCUS25635 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGSLLSRFEKAYVKHVFRESNRCANALAKSGCRINRDTYHYLVAPNFIEELLVEDIGGGFSSREVFL >CAK8535133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816390524:816390824:1 gene:gene-LATHSAT_LOCUS4610 transcript:rna-LATHSAT_LOCUS4610 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSAAALSFLFLVLFVAQEIAVTEANTCETLSAKYEGPCFLSSGCYDTCKNKESLVSGRCRNDFRCWCTKIC >CAK8535563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863602464:863605021:-1 gene:gene-LATHSAT_LOCUS5010 transcript:rna-LATHSAT_LOCUS5010 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMGKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPVSLYNPPTHMQNIDIVDNDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVCHSIRELVNNDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGYKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERICFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8576777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512954979:512955828:-1 gene:gene-LATHSAT_LOCUS28945 transcript:rna-LATHSAT_LOCUS28945 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCVEGSKRQLPAWMMPKVGVSNSGSVVKAICSTENAGKIDYKKETSKRKLNSKAKCEDKGKISLDERNESGDNVNEKKRKKSNISRDSAPRCSTRNRKNLEDLGHGSSDCDNVCQVRASSDDDVELTVEDLMAIAQQYVKDYKDKETREAVGRQCEPKWQFPATTEAATTLDLSRENKKSSSLEREALYDFAPTNGEVIPTSTSQIDHPAQNMLDVFLGPLLRTTFEKEENWRRENLS >CAK8572576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:548050332:548050598:1 gene:gene-LATHSAT_LOCUS25129 transcript:rna-LATHSAT_LOCUS25129 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNNYRKSKSSWSVFNIFKSSSNKQRDGGYYDHAAYANKVWPSDEDRGNWGVADPVIDMRATAFIAQYKKRISDSEIHHCQVHPDQ >CAK8536333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931976402:931978659:1 gene:gene-LATHSAT_LOCUS5710 transcript:rna-LATHSAT_LOCUS5710 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCYKINGCNNEPNILAIIFDLDGTLLDTERVTRGVLNEFMGKYGKEVNKEREEKKRLGMTQKESAALIVKDYQLPLTPDQFIKEINPLYIQRWREAKALPGANRIIRHFLKNGVPMALASNSLREYIYAKIPYHKGWTESFSVILGNDQVKFGKPAPDLFEEAAKRMGVNAMNCLVFEDSLVGVKAAHAAKMKIVAVPSRGESDCCKLANVTLNSLLEFQPELWGLPPFDDWVDNTLPIEPIHVSGLYATGSLLETKENVAFGIPDQVVGIYFGWAKVDTNRNFKTLVSISLDLSSASYKKVNVWFIDANTDIKSEQQMQICLVGYIRAWDNKKLGSVELEKLEEYKSIAKASLDLPSFSSF >CAK8573433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611948700:611950149:1 gene:gene-LATHSAT_LOCUS25880 transcript:rna-LATHSAT_LOCUS25880 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTCVYEGSPDLLPSPYSEIDYILQKVSASKVLDNVNLLHQSNKPYLPPCHSKALFSSSGDSNGSLKTDVSRSSDCTNQEVQAELKKRHNVSPTKFAQSDDLWESCVCLEPPLIHGVQIQQDSELKSSNTSLEDCSSSRYGFTFPDEDSLITYDGPFSTLEVKILPRIDSFVSSPESNLNEDDLDSQDSTFVMIKSLIESVLDGDDDYDDDYYNCDYDNSSMDDIMAELVQQGSRVAKLNLSYQQLSPGRDPFFLSSPSCPCGNIHQGDHELRRFGFEADESMFQQGIMPSLEVPSWKEKTKLMLNCFEHLDPDYDCSVKGVPDSNGDGETGSK >CAK8541745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:380747587:380750348:1 gene:gene-LATHSAT_LOCUS10641 transcript:rna-LATHSAT_LOCUS10641 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPTKQQQQHQHLRKQNQHLKHCTSFFCLRTSTNPNPFSILLFWLSKSLKTFCLKLHISHSSQGCHGMVINSTLMYAMEDLSLSENVGCCKKGNFSDLIEEKGCCESSSCSEILSSENIGNEEEHSQSSSTEEDSSSPPSCNSPHGSEDGKKKHSVEKEFLKQVSAIPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRSEKKAMWRREMEWLLSVSDHIVELTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEIQDSFVDTEFWYVDQGVIAPDAGNSPSSFRQALQRQEEKWWLPVPRVPSCGLHENSRKQLQHKRDCTSQILKAAMAINSITLAEMDIPESYLESLPKNARISLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKPNSKPAGASGRSSSRTSWEMVKDLMVDADKRELFADRAETLLLSLKQRFPGLPQTALDMSKIQYNKDVGKAILESYSRVLESLAFNMVSRIDDVLYVDDLTKNSDQFSSHSKAGVITRKSISLPYSAPVPTTPYKSAFGTPTRSPAHAISPSKVGKSPLLNNGSKTSQRGVGVKKSLTDFLSIDAKGSSSIEKQVSESKTVDEVPAFDSSDVK >CAK8531397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107389769:107390182:1 gene:gene-LATHSAT_LOCUS1193 transcript:rna-LATHSAT_LOCUS1193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpE MTFHLCVLTPNRIVWDSEVKEIILSTNSGQIGVLKNHVPIATALDIGILRIRLKDRWLTMALMGGFARIGNNEITILVPDAERASDINPQEAQQTLKIAEANLNKAEGKRQTIEANLALRRAKTRVEAIVEPIKRIS >CAK8530547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29404422:29406637:1 gene:gene-LATHSAT_LOCUS405 transcript:rna-LATHSAT_LOCUS405 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESEEFSTEILQRGVESNGPISFFVKVRPRMPDFLSSVNLKYVKLGYGYLINHSLYLLFAPPLLAILIQHIGKFTIEDLSVKYNITEGLFISGVLLLMLYIYIDSTPSSTYLLDFSCFRPSNDYKISKEEFIELAKRSGNFNESEEQVLKKSGIGNETYLSKSVFRPGYTSSLKEGREELSMVMFGAIKNLLATTKVKPKDIKILIVNCGILNTTPSISSMVINHFKLRHDICSFNLGGMGCGAGIAAIDLAKDLLDAYPRSYALVVSTEAVSYSWYSGNDFDMLLPNCFFRMGASAVLLSNYRLDRWRAKYQLKQLVRTHKGMDNKSYKSIHQREDNEGKKGLSISKDVIEVGGNALKANITTLGPLVLPVSEQLHFFTNLLFKKKKTKSYIPNFKLAFEHVCALATSKKVLDEIQKNLELTEEYMEASRKTLERFGNTSSSSNWYELAYLEFNKRIKKGDRVCQIAFGSGFMCNSVVWKALRNIGKPKQSPWIEDDS >CAK8535467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850731793:850733288:1 gene:gene-LATHSAT_LOCUS4928 transcript:rna-LATHSAT_LOCUS4928 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPIHLPYEAQLGGPVQYRWMYPFERMMGDFKRSVKNKARVEGSICMSYLHRETTYFCSHYFKTATLFATSKHNEALGLNDDVVPTLSISNPLGRPSGKSQIHWLTDAEWRSAHVHILINCNEVKPYINIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGVTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGVIKHIFELEYHELSHKVALFYCQWFDPKRGRGTKVHPHYDIVDIKMNKKYDRYDPFIIAQKAKQVYYVPYPEMRVDKRGWCAVIKTKPRGRIEVVDIDDDTPYQDEEMAHVEQITEIEDITGLHDETHSDEEVDVTLISSMQINASADNDYREDNNIDDEVTNTILSISSTHSKSELKKIARATCFAIQD >CAK8542085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471536859:471538221:1 gene:gene-LATHSAT_LOCUS10956 transcript:rna-LATHSAT_LOCUS10956 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQIQFSSLNIVFFSLSGSLCSSCTKEIYSIKLPGDPKLGEGKPENQNHAIVFTRGDALQTIDMNQDNYLEEAMKMRNLLEEFHANHGRRSPTILGVREHVFMGSVSSLAWFMSNQETSFVTLAQRVFRKSSQVSCVYC >CAK8571439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:417031165:417032899:1 gene:gene-LATHSAT_LOCUS24105 transcript:rna-LATHSAT_LOCUS24105 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKLLTKFLSYPLILGTILLLSTTTWTIAEEVEDEHEFDYIKGSKKGPSHWGDLKKEWKACKNGRMQSPIDMSNHRVRRVSNLGKLKKNYKAQNATLKNRGHDIQVKWEGDAGSMNINGTNYFLHQAHWHSPSEHTINGRRYDMEVHMVHESSKRKGKSKIAVVGLLYKMGRPDPLLTKLSKYIKGMVDIEAERSIGVIEPFKIKFDGKKYYRYIGSLTIPPCTEGVIWTIDRKIRSVSRAQIKLFREAVHDHAERNVRPIQLLNKREIQLYGPKPKK >CAK8572799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564164542:564164858:1 gene:gene-LATHSAT_LOCUS25321 transcript:rna-LATHSAT_LOCUS25321 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGDTKDYYSSNLVDRYEIHDNTIIEVLSPKSLNFLRLCLDNLKITERSGTERNINSIPLFGYFTTEQN >CAK8541784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393890231:393890988:-1 gene:gene-LATHSAT_LOCUS10679 transcript:rna-LATHSAT_LOCUS10679 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNHEEVKLLGAIGSAFVCRVQIALKLKGIEYKFVQENLANKSDLLLKYNPVYKKIPVFVHNEKPVSESLVILEYIDETWKQNPILPFEPYQRALARFWSKFIDEKIVSASIKAVHIIDDDKEREKNVAETSDSLRFLENELKDKFFGGEEIGFVDIAAVFIAFWIPLIQDVTGLQLFTAEKFPKLYKWSQEFLNHPIVKQCLPPRDPVFAYFKAHYDSLIASK >CAK8567597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527728967:527733787:1 gene:gene-LATHSAT_LOCUS20636 transcript:rna-LATHSAT_LOCUS20636-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEIPRLLLHHHPRASTSPTPTPSRPLSNSRFLPQLVFPSNLKKATKNATLRSISGDTDGGSPASPDGPVSFEDDEIQQRDEDRALLLSREIDDFGSLVGFSLSTTDSGKTTTDTPRGNLRSDKLEVPEDESVGEDKAQTRVCHNIVFVTSEAAPYSKTGGLADVCGSLPIALAGRGHRVMVISPRYQHGTAADKKFSGAVDLDRNINVFCFGAAQEVGFYHEYREGVDWVFVDHPSFHRPGNPYGDKIGTFADNQFRFTLLSHAACEAPLVLPLGGFTYGEKCLFLVNDWHASLVSVLLAAKYRPYGVYKDARSILVIHNIAHQGVEPAITYSNLGLPHEWYGALGWVFPTWARTHALDTGEAVNFLKGAIVTADRIVTVSKGYSWEITTNEGGFGLHEILRDRKSILNGITNGIDVTEWNPSSDEHLASNYTAEDLSGKVKCKVALQKELGLPVRPDCPMIGFVGRLDYQKGIELIRQAIPELMQDDVQFVMLGSGNPIYEDWMRATESIYKDKFRGWVGFNVPVSHRITAGCDILLMPSTFEPCGLNQLYAMRYGTIPVVHETGGLRDTVQNFNPFAVGSNAEGCNAEGTGWTFTPLTKESMLVALRYAIQTFNEHKSSWEGLMQRGMTRDYTWENAATQYEQIIEWAFMDPPYC >CAK8567598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527728967:527733787:1 gene:gene-LATHSAT_LOCUS20636 transcript:rna-LATHSAT_LOCUS20636 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEIPRLLLHHHPRASTSPTPTPSRPLSNSRFLPQLVFPSNLKKATKNATLRSISGDTDGGSPASPDGPVSFEDDEIQQRDEDRALLLSREIDDFGSLVGFSLSTTDSVRAGKTTTDTPRGNLRSDKLEVPEDESVGEDKAQTRVCHNIVFVTSEAAPYSKTGGLADVCGSLPIALAGRGHRVMVISPRYQHGTAADKKFSGAVDLDRNINVFCFGAAQEVGFYHEYREGVDWVFVDHPSFHRPGNPYGDKIGTFADNQFRFTLLSHAACEAPLVLPLGGFTYGEKCLFLVNDWHASLVSVLLAAKYRPYGVYKDARSILVIHNIAHQGVEPAITYSNLGLPHEWYGALGWVFPTWARTHALDTGEAVNFLKGAIVTADRIVTVSKGYSWEITTNEGGFGLHEILRDRKSILNGITNGIDVTEWNPSSDEHLASNYTAEDLSGKVKCKVALQKELGLPVRPDCPMIGFVGRLDYQKGIELIRQAIPELMQDDVQFVMLGSGNPIYEDWMRATESIYKDKFRGWVGFNVPVSHRITAGCDILLMPSTFEPCGLNQLYAMRYGTIPVVHETGGLRDTVQNFNPFAVGSNAEGCNAEGTGWTFTPLTKESMLVALRYAIQTFNEHKSSWEGLMQRGMTRDYTWENAATQYEQIIEWAFMDPPYC >CAK8540105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539897909:539899168:1 gene:gene-LATHSAT_LOCUS9139 transcript:rna-LATHSAT_LOCUS9139 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSPHHHHHYQQTQTSSVSNTVPTAFYLSPSHFTDTPICYGVPENPNFHSSLSVMPLKSDDSLCIMEALGRSQSQEDFQGGATMGTHDEYGSHERDAAMALSLDSIYYNNQQNADPHQQQQSHMTSHPYYAALGFHGMFQTPLEIESKETSTNVDVCSSQMPQNWFSLRDYSFASHASQTLEQQMNTNMGNNNSGGGSVGCGELQFLSLSMSPGSQSSRVTAPTQISPSGTESVTMEAKKRGAAKLGQKQPVHRKSIDTFGERTSQYRGVTRVVLYAIAMVDFDHENGEVCKDLKQKMEQIIASYSSSVER >CAK8540106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539898272:539899168:1 gene:gene-LATHSAT_LOCUS9139 transcript:rna-LATHSAT_LOCUS9139-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPKLEDFQGGATMGTHDEYGSHERDAAMALSLDSIYYNNQQNADPHQQQQSHMTSHPYYAALGFHGMFQTPLEIESKETSTNVDVCSSQMPQNWFSLRDYSFASHASQTLEQQMNTNMGNNNSGGGSVGCGELQFLSLSMSPGSQSSRVTAPTQISPSGTESVTMEAKKRGAAKLGQKQPVHRKSIDTFGERTSQYRGVTRVVLYAIAMVDFDHENGEVCKDLKQKMEQIIASYSSSVER >CAK8569279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690945668:690948829:1 gene:gene-LATHSAT_LOCUS22153 transcript:rna-LATHSAT_LOCUS22153 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQCHLLHSQIPDKSFRLQLQPNKFPSPSSSRLRLRAMASSSPPHQLTHFADVANKAADAAGDVIRKYFRKNFDIIHKQDLSPVTIADQSAEEAMVSILLDNFPSHAVYGEEKGWRCKQDSADYVWVLDPIDGTKSFITGKPLFGTLIALLQNGTPILGIIDQPVLKERWIGITGKKTTLNGQEVSTRTCADLSQAYLYTTSPHLFSGDAEEAFIRVRDKVKIPLYGCDCYAYALLSSGFVDLVVESGLKPYDFLALIPVIEGSGGVITDWKGHQLRWEASPLSIATSFNVVAAGDTQIHQQALDSLKW >CAK8532279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:237778672:237779082:1 gene:gene-LATHSAT_LOCUS2005 transcript:rna-LATHSAT_LOCUS2005 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLKDIVPAAQNNIDTKFIFLEKGKTTLEGQNKVCLALVADETAAVHVQLWGDECDAFDSSDIIYLTNGIFSYQHGNLILRAGKRGKLEKIGEFTMSYVETPNMSEIHWVRDSTNSKYMQERVISPHSRIFPPIL >CAK8537232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:169819707:169820191:1 gene:gene-LATHSAT_LOCUS6537 transcript:rna-LATHSAT_LOCUS6537 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDQDQQWLLGCLSATLDPNQEVRSFAEASLNQASRQSGFGSALSKVLANKELAVGLRQISYS >CAK8532813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520634394:520639503:1 gene:gene-LATHSAT_LOCUS2479 transcript:rna-LATHSAT_LOCUS2479 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEKKSLLQDLIEISGGCAVTDGGFATQLEKHGASINGLLWSAISLIKEPHLIKKVHLEYLEAGADILVTSSYQATIPGFLSRGLSTEEAESLLQRSVKLAVEARDSFWTSAKTNPENKYRRALVAASIGSYGAYLADGSEYRGLYGPDVNLEKLKDFHRRRLQVLVDADPDLLAFETIPNKLEAQAFVELVEELNVQIPSWICFTSVDGENAPSGESFQDCLEVVNKSSKVEAVGINCAPPHFIESLISKFKQLTKKAIIVYPNSGEVWDGIAKKWLPSKCFHDDDFGFNATRWRDLGAKIIGGCCRTTPSTIQIISNALRKNI >CAK8540045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536875205:536877925:-1 gene:gene-LATHSAT_LOCUS9084 transcript:rna-LATHSAT_LOCUS9084 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLALLLLCLISQSQRCYGSSSFGFDIHHRYSEPVKGIFGIDKLPDVGTREYYVAMAHRDRVFHARRLADGDDIVQKLLAFSPDNSTYRIPLFGYLHFANVSVGTPASWFLVALDTGSDLFWLPCNCTKCVHGGIQTSSRQKIEFNIYDNKRSSTSKNVACNSSLCGQPALCSSSSGGTCPYQVQYLSENTSTSGFLVEDVLHLITDHGGQTKQANPTVPFGCGQVQTGAFLTGAAPNGLFGLGMSDVSVPSVLAKQGLTSNSFSMCFGDDGNGRITFGDNNNNSLDQGKTPFNIRPLHSTYNITVTQIIVGGNVADLELNAIFDTGTSFTYLNNPAYKQITETFDSNIKLQRHSADDLPFEYCYELSPNQMTVQVPTVNLTMKGGDNYYVMDPIVMDGSSNVLCLAVLKSNNLNIIGQNFMTGYRIVFDRENMTLRWRESNCYDELSSLPVNRSYAPAVSPAMAVNPAKTSNQSNDPERTPSSHSLKIKPAFAFTVAIFLLLAIF >CAK8576615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497803483:497806458:-1 gene:gene-LATHSAT_LOCUS28789 transcript:rna-LATHSAT_LOCUS28789 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLSLHFHHTPLLNLSSSSSSPLRFHAPNYSLISSNTSNLFLVASSNRRRIEVLTARVIRSQEQNCESEHEDELAEESVWIQMKEIVKFTGPAIGLWLCDPLMSLIDTAVVGQGSSTELAALGPATVVCDYMSLTFMFLSVVTSNIIATSLAKQDREDVQHHLSILLFIGFACGLMMLLSTKLFGAATLAAFTGPKNAHVVPAANTYVQIRALSWPALLVGWVAQSASLGMKDSWGPLKALAVASIISGIGDILLCTYLGYGIAGAAWSTMASQVVASYMMIQEINKRGYNAFAFSIPTTKEFLKILSLAAPIYLTSISKVAFFSLLIYVATSTGTQTMAAHQVMIQIYMACTVWGEPLCQTAQSFMPELLYGVNKSLTKARFLLRSLVIIGAILGLLLGIVGTSLLWLFPNIFTSDQMVIQNMHRTLIPFFIALAVTPSTRSLEGTLLAGQDLKFFSLSTCGCFFLAALVLLISSRYGLVGCWFTLAGFQWARFSVALLRVISPNGMLYSEEAGVSQKLRTA >CAK8537227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:167043418:167043555:-1 gene:gene-LATHSAT_LOCUS6532 transcript:rna-LATHSAT_LOCUS6532 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVVLGLLDFIVAGVSLIFGLCLFGFIASLLCVVAFFNNAKDVS >CAK8571521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434905470:434905613:-1 gene:gene-LATHSAT_LOCUS24177 transcript:rna-LATHSAT_LOCUS24177 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8563563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616569309:616570304:1 gene:gene-LATHSAT_LOCUS16959 transcript:rna-LATHSAT_LOCUS16959 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIFSVVSGAASACKPMVSFQPPLMDNDSLRFQQQRRKEKVVVVMGATGTGKSKLAIDIANHFPPAEIINSDKMQVFKGLDITTNKVTEEECRGVPHHLLGTVDPDSNFTSNEFCEHATLATGSIVGRDGLPIIAGGSNSYIEALVNHHHEFRMKYECCFLWVDVSIPVLHSSLSARVDRMIEAGQVNEVREFFNQKNFDYDYTRGIRRAIGVPEFDEFFRSELQGVTDGRTMKKLLEVAVDALKINNCTLASKQVQKIHRLYGMWKKNMHRLDATEVVLKKDNWEDRVLAKSLRIVHKFLYEDCSRVTSGGGAGGGVPAKIASVAGVTH >CAK8566997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478738765:478740744:1 gene:gene-LATHSAT_LOCUS20090 transcript:rna-LATHSAT_LOCUS20090 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTLRKPFIYWVLFSFIVFAEVGNGFYLPGSYMHTYSNGEYINAKVNSLTSIETELPFSYYSLPYCQPPGGIKKSAENLGELLMGDQIDNSPYRFRMNVNETLYLCTTSPLNEHEVKLLKQRTRNLYQVNMILDNLPVMRYTSQNGVKIQWTGFPVGYTPTDGSVDYIINHLKFTVLVHEYEGRGVEIIGTGEEGLGIISEADKKKASGYEIVGFHVVPCSIKYDPEAMTKHKMYDNISSVSCPNDLDKYQTIKEQERISFTYEVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCSKLLCVMVGDGVQILGMAAVTIVFAALGFMSPASRGMLLTGMIILYLILGIAAGYVSVRLWRTIKGTPEGWRSISWSAACFFPGIAFIILTVLNFILWNSKSTGAIPISLYFELFFLWFCISVPLTLIGGFMGTKGEPIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLIVVCAEVSVVLTYMHLCVEDWRWWWKAFYASGSVALYVFLYSINYLVFDLQSLSGPVSATLYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >CAK8568250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586750414:586751295:-1 gene:gene-LATHSAT_LOCUS21228 transcript:rna-LATHSAT_LOCUS21228 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSPSHKKEDDFDFNRFSYTAPSSPKRFGEAFYLSAPTSPSRFYAQFEDDEDDGFAFPVNREQDSSPRSAGELFDDGIIKPLESDNSPLLSPNRDKQSTKQSPIAKGKKAIVEAFSSKNEKDLSAERRGRDRTPSSEFSTSKSGRRIIRSDSPYRKPQYTWIEQQQFRHQQEQKLSNQVIKGESVDSVSSSHSWRNSKIWRLKDFLLFRSASEGRGTSKDPFRKFPVSYNKKSSEERKGWPKSRRKESVVSAHELHYARKKAETEDLKKKTFLPYKQGILGKLAGLGSSTR >CAK8578513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634357194:634358234:-1 gene:gene-LATHSAT_LOCUS30518 transcript:rna-LATHSAT_LOCUS30518 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQSNSEFIELIPGLPSELGLECLTRLPHSAHRVALRVCNQWRHLFQSDDFYCHRKKTGHTRKVACLVQAREQLLHAEVEKTTGSTPPSYDITVFDPESMSWDRVDPVPEYPLGLPLFCQLISCEGKLVVMGGWNPASYEPLTAVFVYDFRTNLWRRGKDMPEKRSFFAAGSGQGRVFVAGGHDENKNALSTAWTYDPRSNEWTALAPMSQERDECEGVVVGGEFWVVSGYATESQGMFDESAEVLDIESGQWRKVEGIWEAGRCPRSCADIRENGKVVDPGLRIGVCSVGVGSKNLVTGSEYEGAPYGFYMVENDEGQKRKLNKISNVPDCYSGFVQSGCCVEI >CAK8542955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562381305:562381619:1 gene:gene-LATHSAT_LOCUS11750 transcript:rna-LATHSAT_LOCUS11750 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTDEELMQNNEPFILNEEVSEYSEDELHEVHLEDLFGSSVDKHNEDLVTMPSQITYAQPINLYNPPVHMSNICLEASQPISIFVNYTPNHIGDNLEIGMRF >CAK8543108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579540304:579541905:-1 gene:gene-LATHSAT_LOCUS11891 transcript:rna-LATHSAT_LOCUS11891 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAATESQFHVLAVDDSIIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLCEHDQNHHTNPNTPPVFPNNHQEVEVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVRLSDLNRLKPHMKKNKLKDPRNETEEKIENSEILLQQEAPKSQYSRSESESESQLQAHSTIDQQQQSLQQNNNNNKRKSMEQQGLSSETDRTRQRYSGIATVV >CAK8536242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921226605:921227105:1 gene:gene-LATHSAT_LOCUS5630 transcript:rna-LATHSAT_LOCUS5630 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKIMKPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEINDGDVEIPQD >CAK8560122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8130963:8134078:1 gene:gene-LATHSAT_LOCUS13832 transcript:rna-LATHSAT_LOCUS13832 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEGTDLQFTPTWVVALVCTIIVAVSFALVRSLHYLGKFLKRKNQESLFEALQKIKEELMLLGFTSIFLAQAQNVIVEICVPEEIMRHMLPCKLDEREYEKSVAKLKPIAHFQTFFSSNDVLGTARRLLNDNHDHPSKEEDQHGYCAAKGKVPLLSLQGLHRLHIFIFVLAIIHVTISVLTIVFGRLIIRKWKHWERSIIEDEEDNQSQHAGDRSVEVTHVHEHEFIQHHFFGSGKNPAISGWVRSFFKQFYGSVTKLDYVILRRSFIRTHCRGNRKFNFHKYINRALEEDFKKVVGISWYLWIFVVIFLLLNIHGWHAYFWIAFLPILFLLAVGTKLEHVIIQLAHEVAENNSAIEGELVVQPSNDHFWFKRPRVILYLIQLTLFEISFELAFLFWILVTYGFHSCIMEQIQYSIPRLTIGVVIQMLCSCSTLPIYALVTQMGSDFNKAIFVEEVQTRLISWARKAKQEVQNQSSQGSVRNSSDVNIEIGSIGRRGSVDRMITSPEERRRSVDRMATTPEEDDGIFPIDEEEIV >CAK8537820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438924793:438926468:1 gene:gene-LATHSAT_LOCUS7074 transcript:rna-LATHSAT_LOCUS7074 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAVSNSPVFSPSSSLFCKQSSIISNSESLSLSLSHLKPTNSSSTASSPSPSSSPSSPFYLRLPKLPSVFSSSSSSNSTSQNDAVLKRKRPTRLDIPVSSLGFGVPATPSKVARDVVEAEGDGYSVYCKRGRREYMEDRYTAGDKLRGESNLAFFGVFDGHGGAKAAEFAANNLEKNILDEVIMSEEQDVEEAVKRGYLNTDSEFMKQDLHGGSCCVTALIRNGNLVVSNAGDCRAVISRGGVAEALTFDHRPSREDERDRIESSGGYVDLCRGVWRIQGSLAVSRAIGDRHLKQWVTAEPETKVISIEPEHDLLILASDGLWDKVSNQEAVDIARQFCIGNNEQRPLLACKKLAELSVSRGSLDDTSVMLIKLKHYT >CAK8539262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507767413:507772293:1 gene:gene-LATHSAT_LOCUS8374 transcript:rna-LATHSAT_LOCUS8374 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSVSSAGLLTLTPSTPIPFSLSVSNRRTIIVSSSASSTDSSPQQFNNNPNNNDDKTPTVVKFNYSRASPSIRWPNSNLSDLYPSTETHLSQNDVFVKKSRSLETPDETQIRNDEEEGEEERNEIMRDGRSKMKVKRMNKLALRKEMNWRERVKMLTNRILGLKSDEFVGDVLEQHRVMMTPADFCFVVKSVGQTSWQRALELYESLNMQQWYAPNARMVSTILGVLGKANQEEFAVEIFTKAESIIEVTVGMYNAMMGVYARNGNYDKVKEMFDLMRERECEPDIVSFNTLINAKVKSCAIVSGLAIQLLDEVGKFGLRPDIITYNTLISACSREANLKEAIVVFSDMETNCCQPDLWTYNAMISVYGRCGFALKAEHLFEELKSKGFSPDAVTYNSLLYAFSKEGNTEKVRDICHEMVKMGFGKDEMTYNTIIHMYGKQGRHKEALQLYRDMKLSKRNPDAVTYTVLIDLLGKASKIEEAAKVMSEMLDAGVKPTLHTYSALICAYAKVGKRAEAEETFNRMRESNIKADHLAYSVMLDFFLRFNEVKKAMVLYQEMIQEGFTLDNGLYEVMLPALVRENMGDVIERIVQDMVELSGMNPHDISSVLVKAGCYDHGAKMLKIAIRNGYELDREIFLSIMSSYSSSARYSEACELLEFFREHAPSDVQMITEALIIILCKAGKLDAALEEYRNRGGLGSFTSCTMYESLVQECMKTEQFDIASQLFSDMRFNGVEPSECLYQSMVSVYCRIGFPETAHHLLYHAEKNDIILDNLTVHIIDIVETYGKLKMWENAESIVENLRQKYSKLDRKVWNALIHAYAFSGCYERARAIFNTMMKDGPSPTVESVNGLLQALIVDGRLNELYVVIQELQDMDFKISQSSILLMLEAFAHAGNLIEVQKVYKGMKAAGYFPTMHLYRVMIGLLCRFKRVRDVRVMLNEMEEVGFKPDLQIFNSVLKLYSSIEEFKHMGDTYQRLQDAGLTPDEETYNTLITMYCRDRRPEEGLSLMHKMKSLDLEPKRDTYRSMIAAFSKQEQYDQAEELFEELRSNGYKLDRSFYHLMMKMYRTSGDHQKAENLVAMMREEGIEPNTATMHMLMVSYGKSGQPEEADKVLKSLRTMGAVLDTLPYSSVMDAYFKKGDVKGGIEKLADMKEAAIEPDHRIWTCFIRAASLSEETNDAIYLLNALQGVGFDLPIRLLREKSESLVSEVDQCLKRLEHVEDNAAFNFVNALVDLLWAFELRATASWVFQLAIKKNIYRHDIFRVAEKDWGADFRKLSAGSALVGLTLWLDHMQDASLEGYPESPKSVVLITGTAEYNMVSLDSTLKACLWEMGSPFLPCKTRHGVLVAKAHSLRMWLKDSSFCLDLELKDSLNLPELNSMKLINGCFIRRGLVPAFHEITEKLKVVSPKKFSRLALLPDDKRSKVMQADVEGRREKLEKLKKADPRQAMKIKRIRKKKFIREAFEHHGNAIGKQRTFKPIAANKSMERERFDDR >CAK8537218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:153490943:153496826:-1 gene:gene-LATHSAT_LOCUS6523 transcript:rna-LATHSAT_LOCUS6523 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSVSKEHFQPRTCSGKKPLKTGQDKGNLEDIVFIDLDSDQFDDVEIIDCPEVVTQKLRGSSGTSRGRISTPQSVISIDDDDDDESDDVYDPEIFVDGVGELDSDASSNKRFYTASRSMQHSVVVDVDDVVEKDSVSKRQKSDKASVSNGKKREEVFTPKPAERNCYGLFESESDSDCSDCEVIKREQWEKVSAKRKFRVFNEHASSSGLQKNIYNNIDIEVENRSSKHGKDHLYGPSSSKNVKENQSSFTVKDDIRHGEKTTKEKVYPCPKLKNCNFCNGVTGPSGLDNERGDEESEFKDATRHGERTTTEKVSACPNSENSNFSNGVTGPSGLDNERGDEKSEFKDATRHGERTTTEKVHARPNSENSNFSNGVTGPSGFEKELGDKEKFMSSNQDVQDMQVDDNESPLNAHDRQVDDDESPLNAHDRQIDNDESPSRSKNDNISKGNFNGTSFEKIHFNGPEFELRTQDADLNASNEKNIINQKEKLKETDLYKQAMEEEWASRQRQLQIQSEEAQKLRKRKKAESRRLQDIERRQKERVEEVRETDKKEEEYMNMKEQLRGEVLKKLNQLENECSDMTSLLRGLGITVGTSLRPLPNEVHAAYKRAMFKFHPDRASKTDLREHVEAEEKCKLISRMKEKFCNTSWH >CAK8575006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20907090:20911354:1 gene:gene-LATHSAT_LOCUS27299 transcript:rna-LATHSAT_LOCUS27299 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSNCLSINIWERVQCLSRLSKILRNVKKIELTDLRKIKSVFVLSIAPRMLLEVLIIKKCDQLKHIIIDTGDHDSMGANNFGNVFPKLKELAVVGCVQLEYIFGHYTNDHQNHTKKHLCLLSLEYLNLHDLPSLVSICSKQYHTTLPLLKELILSAANIKSIGGFIPHYSRSVNCTTMKELSESMEDLHALKILELTNSNIENIFCVNEVNEQKMNLGLQNIKLESLNVMVSPFAGPKNFVSLLNLTKIRIFGCQKLQIIFSASILRCLPQLDEMTISDCEELKHIFEDDLENQNMSDSSSSTTCFPKLQVLFVEKCNKLKSVFPVAICNELPELMFLSISEAKELEEIFKSSEGVGIEKVKIPNLKLVATLGLPSLFQTQEIQFQAVEHRFIKGCDKLSLTSTLTSTTPWSHLRNIFDVILDFESSDHLENLFEVSSEKFRIYQEKESYERHMKWEEESKDELTFPQIKIKQTQETGQEFVENVVGLVTPSVAILPTNSEASMNEQSVHQQCPLEETNASVTHSQLEVSMSEKAVGAKNESYIQLVAPKQKAPLNEQIVDQKSSLEETYATVRASEINKDIEMSVEGGTLSSNAKKLTPSTSQCEKQYSHEYGNGQTAPQSFSISTKEPFALEFVDRGAKLETNQIKNLGDTSQIVEDLGYPLLVRRELEQLVSKKHLNDEILSLLTDFLVKHPSNFLMDALLTNRFKGYAYTCLADLLKFLQTHSLLDVLGSSHSEFVDLVQVVRNFPFNKDWLDGVEKRVLLPDFQFSLDAMQKLLDSKKKVIKEVEETRLKIYFFNQHAEDIKHQLTSSLISSEAVLANIIQQEQQLLETTTALSVPLGY >CAK8541372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:140389034:140390783:-1 gene:gene-LATHSAT_LOCUS10297 transcript:rna-LATHSAT_LOCUS10297 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQETLLDKPKKSIPKTFWLILSLAAIIGSSAVIVSNFNNQTSFFNLSSAPNLCEHAVDTKSCLTHVSEVTQAPTFSNTKDHKLSILISLLTKSTTHIQEAMNKANVIKCRVNSGREEIALNDCEQLMSLSMERVWDSVMTLTKDNMDSQQDAHTWLSSVLTNHATCLDGLEGTSRVVMESDLQGLISRARSSLALLVSVLPAKGNDGFIDESLNGEFPSWVTSKDRRLLESSVGGVKANVVVAKDGSGKFKTVAEAVASAPNKGKTRYVIYVKKGTYKENVEISSQKTNVMLVGDGMDATIITGSLNYVDGTGTFKSATVAAVGDGFIAQDIGFKNTAGPKKHQAVALRVGSDQSVINRCRIDAFQDTLYAHSNRQFYRDCFITGTIDFIFGNAAAVFQKSKLVARKPMSNQKNMVTAQGRTDPNQNTATSIQQCDIIPSTDLKPVLGSIKTYLGRPWQAYSRTVVMQSLIGKHIDPAGWAEWNDESKSFLKTLYYGEYSNSGPGAGTSKRVNWPGYHILNTAEARKFTVAQLIQGNVWLKNTGVAFIEGL >CAK8537341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:282857361:282857799:1 gene:gene-LATHSAT_LOCUS6638 transcript:rna-LATHSAT_LOCUS6638 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATKGVFISCDIPMAQYIINMNASMPACDKFIIHVLDSTHMFVQPHVELMIRSQIAKFREDNTYVKPS >CAK8573885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644010775:644011539:-1 gene:gene-LATHSAT_LOCUS26284 transcript:rna-LATHSAT_LOCUS26284 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVYRDLKLENVLVREDGHIMLSDFDLSLRCVVSPTLIRTHDSDASKWAAGGAFCVQPACIEPTSVCIQPVCFMPKLFSQKNKKPRKPKADPGLTTSALPELLAEPTSARSMSFVGTHEYLAPEIIKREGRGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLIRGLLVKEPQNRLGVKRGATELEQHPFFEGVNWALIRCSTPPEIPRPTNHSAITCETCTQSKPDKF >CAK8567530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:522500284:522501489:1 gene:gene-LATHSAT_LOCUS20576 transcript:rna-LATHSAT_LOCUS20576 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCVEPQWPADDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTIHTRTVAIVMATAKILTAAVSCATALMHVHIIPDLLSVKTRKLFLKKKAADLDREMGMIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPINLPVINQVFSSNRAVKISSNVPVARLRPHTAKYMPRAVVAIRVPLLNLSNFQIYDWPEVSTRSYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALNMARREAETAIHARNDFLAVMNHEMRTPMHAIIALSSLLQETDMTAEQRLMVETILKSNNLLAFSFKPTDI >CAK8538681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492799112:492819610:-1 gene:gene-LATHSAT_LOCUS7855 transcript:rna-LATHSAT_LOCUS7855 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNFANDTVSHSVMEDKGLGQDANNILRPVGNECTDATSSEKEFDMNLEAQYESDGGPDGACRLQNEGTANDGDALRESNLQAVGSKASTAGRWGSTFWKDCQPMFPQNGLDSGKESKSGSDYRNAGGSEENSLDGETGRVDSEDDDGQKEAGKGRRSHSDVPAEEMLSDEYYEQDGEDQSDSLHYNGIHKPTGRNSQPHLMSNSVKRTVRRKSRISDDDDGDGDGDYEEEDEVDEDDPDDADFEPAENIHTLNKDKNWEGVGSDENDDSDESLDVSDDDEPFYTKKPKGRQQGKVRKSIKSTKDRKSGVASGRQRRLKSSFEDNESTTEDSDSDSDEDFKSKRKRSFNVRKNNSRFSVTSFSTHNSDVRTSRRAVRKISYVESDESEEADEGKKKKSQKEEIEEDDGDSIEKVLWHQRKGTSEVAQRNNRSSEPVLTSHLFDSEFDWNEMEFLIKWKGQSHLHCQWKSFVELQNLSGFKKVLNYTKKIMEDIRYRKTISREEIEVYDVSKEMDLEIIRQNSQVERIIADRINKDNSGNVIPEYLVKWQGLSYAEVTWEKDTDISFAQHSIDEYRVREAAMSIQGKVVDSQRKKSKASLRKLEEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYDDKKPGKPIKFNALLTTYEVILKDKAVLSKIKWSYLMVDEAHRLKNSEAQLYTSLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPNKFKSKDEFVQNYKNLSSFHENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGGSDSNKLERIVFSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAQYLSLRGFQFQRLDGSTKSEVRQQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQDVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGGSYFDKNELSAILRFGAEELFKEERNDEESKKRLLSMNIDEILERAEKVEEKTDEGEQGSELLSAFKVANFCNGEDDASFWSRWIKPDAVYQAEEALAPRSARNIKSYAEADPSERGNKRKKKEFEPPERVQKRRKAEYSAPAVPMVDGASVQVRSWSYGNLPKRDALRFSRAVMKFGNENQIDLISADVGGAVVAAPPEAQIELFNALIDGCSEAAEIGNLDPKGPVLDYFGVPVKANDILTRVQELQLLAKRISRYEDPIAQFRVLSYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEMIRLDERLGLTKKIAPVELQNHETFLPRAPNLRDRANALLEQELVVLGVKNVNSRVARKPSKKEKEQTMNISLLRGQEKKKKPGSVNVQMRKDRFQKPQKAEPIVKEEGEMSDNEEVYEQFKDVKWMEWCQDVMVEETKTLERLKRLQRTSADLPKEKVLSKIRHYLQLLGRRIDQIVLENEVEPYKQDRMTVRLWKYVSTFSHLSGERLHQIYSKLKQEQNDEPGVGHSVSFSRNGNPFHRHMERQRGLKNTANYQMSEPDNNTGKSEAWKRRRRSESDDHFQGQPPPPSQRTTSNGIRITDPKSLGILGAGPSDKRFVSGKPLKTQSGGVPSSQGFS >CAK8564001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645530031:645531077:-1 gene:gene-LATHSAT_LOCUS17351 transcript:rna-LATHSAT_LOCUS17351 gene_biotype:protein_coding transcript_biotype:protein_coding MHSREKLLFPQRRRTPSFSSILLDTIDHSIDEDENLNQKPCLYKNGMEKQRMNLRQAVMIEDWMEKNYTSTSSSECSSGGIFSSSETDSSYNKQRSKTRKHMNLIHHINSEKQQQQQQQQNKKKKKKQQGWEDGFTRTKLRALKIYGELNQKVKQPISPGSKIATFLSSIFNSHNVKKAKMCYVGAAEDVSFDHKSKSPCFSSSVSRRSCMSKTAPSKSNNGVKRTVRFYPVSVILGEDSEQQQQKPSTVRKSTRNSVKEVKKINNVVVGDKEKGGEKNGFDFRRLCDNGEDDDDDDDEDDNDAVSYSSSDLFELDHLIGDGRFREELPVYETTNLETNKAIANGLCL >CAK8568302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591906680:591911204:-1 gene:gene-LATHSAT_LOCUS21273 transcript:rna-LATHSAT_LOCUS21273 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVHENSNNDAAAQVPNHLTTTSLYVGDLDHDVNDSQLYDLFNQIGQVVSVRICRDLTSQQSLGYGYVNFSNPHDAAKAMDVLNFTPLNNKPIRIMYSHRDPSVRKSGAANIFIKNLDKAIDHKGLYDTFSVFGSILSCKIAMDASGLSKGYGFVQFENEESAQSAIDKLNGMLINDKPVYVGHFQRKQDRDNTLSTAKFNNVYVKNLSEAIADDDLKSIFGEYGTITSAVVMRDVDGKSKCFGFVNFENADAAAKAVEALNGKKFDDKEWYVGKALKKYERALELKERHEQSIKETVDKYYGANLYLKNLDDSVSDEKLSELFSEFGTVTSCKILRDPQGISRGSGFVAFSTPEEATRALAEMNGKMAAGKPLYVAPAQKKEERKARLQAQFSQVRPVAMAPIAPRMPFYPGGVPGMGQQIMYGQAPPAFISQAGFGYPQQMVPGMRPGGAPMPNFFVPMGQQGQQGQRPGGRRGAGPMHQLPQSLPMMQQQMLPRGRVYRYPPPGRNLQGIPLPGVTGGTPIQALATALANAPPEQQRTMLGEVLYPLVEKIEHGGAAKVTGMLLEMDQPEVLHLIESPEALKTKVAEAVEVLRNVAQQQSNNPADQLASLSLNDNHES >CAK8568311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592628857:592640635:1 gene:gene-LATHSAT_LOCUS21278 transcript:rna-LATHSAT_LOCUS21278-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGENCCVKVAVHVRPLISDEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSCNMFDDCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFREGFQTGIIPQVMNVLFNKIGTFKHQIEFQLHVSFIEILKEEVRDLLDPSSMGKPETTNGHSGKMTSPGKPPIQIRETSSGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMCKPKNPNDSGVNDTMNDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQKLEYLEAELCARAGGSSGEVQGLKERIVWLEAANEDLCRELHEHRSRCSILEQSEKDAYDGGTCTVKTDGLKRTLPVIAADYPMNETAGDSREIEEVEKEWEHKLLQNSMDRELHELNKRLEQKEFEMKLFGVSDAEILKQRFGRKIMELEDEKRTVQRERDCLLAEVENLAANSDGQTQKLEDTHSQKLKTLEAQILDLKKKQENQVQLMKQKQKSDEAAKRLQDEIQSIKAQKVQLQQRIKQEAEQFRQWKASREKELLQLKKEGRKNEYEKHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEALATNGNGTNGQSNEKSLQRWLDHELEVTVKEHEVRFEYEKQSQVRAALAEELAMLKHVSEFAAKGLSAPRGKNGFARASSMSPNARMARIASLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYIFNSVGDARCQLWEKDMEIREMKDQIKELVGLLRQSEMKRKEAEKEVKVREQAGTTTLATPVSENSPNSLKQYTEDMKGPLSPMSVPIPRQLKYTPGVANNGLTRESTAFVDQGRRMKPIGQLSMKKLAIVGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRARPRTQALPHKM >CAK8568309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592628857:592640635:1 gene:gene-LATHSAT_LOCUS21278 transcript:rna-LATHSAT_LOCUS21278 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGENCCVKVAVHVRPLISDEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSCNMFDDCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFREGFQTGIIPQVMNVLFNKIGTFKHQIEFQLHVSFIEILKEEVRDLLDPSSMGKPETTNGHSGKMTSPGKPPIQIRETSSGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMCKPKNPNDSGVNDTMNDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQKLEYLEAELCARAGGSSGEVQGLKERIVWLEAANEDLCRELHEHRSRCSILEQSEKDAYDGGTCTVKTDGLKRTLPVIAADYPMNETAAGDSREIEEVEKEWEHKLLQNSMDRELHELNKRLEQKEFEMKLFGVSDAEILKQRFGRKIMELEDEKRTVQRERDCLLAEVENLAANSDGQTQKLEDTHSQKLKTLEAQILDLKKKQENQVQLMKQKQKSDEAAKRLQDEIQSIKAQKVQLQQRIKQEAEQFRQWKASREKELLQLKKEGRKNEYEKHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKTSTRDTFIATNGNGTNGQSNEKSLQRWLDHELEVTVKEHEVRFEYEKQSQVRAALAEELAMLKHVSEFAAKGLSAPRGKNGFARASSMSPNARMARIASLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYIFNSVGDARCQLWEKDMEIREMKDQIKELVGLLRQSEMKRKEAEKEVKVREQAGTTTLATPVSENSPNSLKQYTEDMKGPLSPMSVPIPRQLKYTPGVANNGLTRESTAFVDQGRRMKPIGQLSMKKLAIVGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRARPRTQALPHKM >CAK8568310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592628857:592640635:1 gene:gene-LATHSAT_LOCUS21278 transcript:rna-LATHSAT_LOCUS21278-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGENCCVKVAVHVRPLISDEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSCNMFDDCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFREGFQTGIIPQVMNVLFNKIGTFKHQIEFQLHVSFIEILKEEVRDLLDPSSMGKPETTNGHSGKMTSPGKPPIQIRETSSGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMCKPKNPNDSGVNDTMNDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQKLEYLEAELCARAGGSSGEVQGLKERIVWLEAANEDLCRELHEHRSRCSILEQSEKDAYDGGTCTVKTDGLKRTLPVIAADYPMNETAGDSREIEEVEKEWEHKLLQNSMDRELHELNKRLEQKEFEMKLFGVSDAEILKQRFGRKIMELEDEKRTVQRERDCLLAEVENLAANSDGQTQKLEDTHSQKLKTLEAQILDLKKKQENQVQLMKQKQKSDEAAKRLQDEIQSIKAQKVQLQQRIKQEAEQFRQWKASREKELLQLKKEGRKNEYEKHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKTSTRDTFIATNGNGTNGQSNEKSLQRWLDHELEVTVKEHEVRFEYEKQSQVRAALAEELAMLKHVSEFAAKGLSAPRGKNGFARASSMSPNARMARIASLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYIFNSVGDARCQLWEKDMEIREMKDQIKELVGLLRQSEMKRKEAEKEVKVREQAGTTTLATPVSENSPNSLKQYTEDMKGPLSPMSVPIPRQLKYTPGVANNGLTRESTAFVDQGRRMKPIGQLSMKKLAIVGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRARPRTQALPHKM >CAK8534554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741586773:741588583:-1 gene:gene-LATHSAT_LOCUS4094 transcript:rna-LATHSAT_LOCUS4094 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLATTSVLSNHSVPFSTNSKLRATLHRIYRSTTKEKLCLSFSRNNYLVGRNVVIKSSREGSYLKTQQEHGTWEEPDIASDSDSEYGVEEDESLGFESDGEETETPQTAGDQAEYEESIKKEVEQLLEPEERKILQQNVTPNVEKISSEKWSPLHSLALSLQVYSMDQLIQNGYDIDFLNKEGLTALHKSIIGKREAVTSHLLRKGANPHVKDKDGATPLHYAVQVGAKQTVKLLIKYNVDINVADNEGWTPLHVAIQSRNRDIAKILLVYGADRMMKNKDGKTALDLSFCYGKDFMSYDLARLLKVVEAN >CAK8534767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765655620:765662623:1 gene:gene-LATHSAT_LOCUS4282 transcript:rna-LATHSAT_LOCUS4282 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNNQQQAPISYPPPGEAYSTSQYVTAPPPMGYPSKDGSEAYPQQRIQDQTTTRGDGFWKGCCAALCCCCAIDICF >CAK8534768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765662396:765662623:1 gene:gene-LATHSAT_LOCUS4282 transcript:rna-LATHSAT_LOCUS4282-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNNQQQQAPISYPPPGEAYSNSQYVTAPPPMGYPSKDAPQQTIPDQTTTRGDGFWKGCCAALCCCCAIDICF >CAK8531694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140315336:140315623:-1 gene:gene-LATHSAT_LOCUS1467 transcript:rna-LATHSAT_LOCUS1467 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQQYIVNAHYNGSVVVSDEVGLIFENTDVTRFSVNKRSSFQHFKDRVEMKVQAGSVTQITYKNVVHFGDHRFKFVPLKVRDDEDVETMFSNH >CAK8531060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76509685:76509996:-1 gene:gene-LATHSAT_LOCUS876 transcript:rna-LATHSAT_LOCUS876 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQYLRERQREIRAQNARDRRQRMSVEQRKQELARRRSNYRQNEDKGKQVQTYNTSNVRTIMQFQDLTNDNLASRLFPMAHDSEAGPSNAHVSRIPSPG >CAK8537483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:371307759:371310170:1 gene:gene-LATHSAT_LOCUS6773 transcript:rna-LATHSAT_LOCUS6773 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPEPPPPNVVNEFNPNEIVRDPGRRKQVNEYAPDIQDQVRRAYILKGPMQPELPSFPRTPFGNVKRAFSKSWYKNYTWLEYSEIKDAAYCFYCFLFKKPGRAEHFGFEVFTKSGYKDWKHASQGLKDHFGSHNSFHNSCVEHYDDYNNQRQSVASKFVKATKESEELYKIRLTCSVDCSRYLIAQGMSFRGHDESSISLNKGNFREMVDWVKLKNEQVQDAFDRGGKNCTMISGDIQKELAMCCAHEVTKVILGELGDRQFSVLIDESRDISVKEQMAVMLRFVNDKRNVVERFIALHHVKDTTYESLKDALYGILDKYTLSISRIRGQGYDGASNMRGEFNGLQRKILDENLYAFYVHCYAHRLQLVVVSVASSCSSIHDFFEYISLIVTTTSASCKRRDALTEAQHQDILNKLESGEIFRGRGLHQSSSLIRPGDTRWGSHHTTLLRLDQMWSSVLNVLSMVDEDGHGPSQAAGLIEKMESFKFAFILKLMLKLFGITNELSHVLQRKDLNIVIAMELVDVVKAWLTAMRDSGWDDLFADVQEFCVAKGISVPNMDDEIPVRGRSRVEGRTITNLHHYRAEIFYVAIDKICVEMDHRFSEGSNIILDCFSCPDPKNSFSKFDVDKLARLADIYHVDFSDEDRGTIRDQLDTYVLQVKRNASFSTCEDVQSLAIKMVQTEKHLVFPLVYKLIELALILPVSTTSVERAFSAMKIIKSKLRNKINDVWFNDLMVCYTEREIFKSLDDVDIIRTFAAKKSRKGHLPPNFI >CAK8569528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4248909:4252005:-1 gene:gene-LATHSAT_LOCUS22370 transcript:rna-LATHSAT_LOCUS22370 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNENWEEEEEDEEEDLEFSRNYFLAKEVQSSVKKSKRKITDIDVVDEEELREAALHIQPKHENEIAQLLDSYTAMYPEWLLSLSCGFALLMYGFGSKKALIEDFALKTLTEYSVVVINGYLQTINLKQVLITLAELLCDQVKAKRRVSGRQLNSQSIEDLLTILYEVEIEDKDSFVCVVIHNIDGPGLRDSETQQYLARLASCTHIRMVASIDHVNAPLFWDKNMAHTQFNWCWYHVPTFAPYRIEGMFYPLILAHGSASQSVKTASIVLQSLTPNAQSVFKVLAEYQLSHPDEGMPISDLYSVCRERFLVSSQVTLNSHLTEFKDHELVKTKRHSDGQDCLHIPLTTEALQKVLLEIS >CAK8531039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:73051671:73056685:-1 gene:gene-LATHSAT_LOCUS855 transcript:rna-LATHSAT_LOCUS855 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNALIINRLLTRNQLAGHEEIPYGSMWWFIYAGISCFLVLFAGIMSGLTLGLMSLGLVDLEILQRSGSLSEKKQAAVILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNPFLAVILSVTFVLFFGEVIPQAICSRYGLAVGANFVWLVRILMMICYPVAYPIGKVLDWLLGHNEALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNSKNIIGLLLVKSLLTVRPETDTPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKASLKGKLPPQMTDVEKYGENERFGADSQLTMPLLQKLDEMTESIVVDIDKRSTPPSMNKLSALQRNDAATNGFPTESIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAASTGARGSSFRRLAGQKVGQSKPGTPKKSSVEDGLKITRLQ >CAK8531038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:73051671:73056685:-1 gene:gene-LATHSAT_LOCUS855 transcript:rna-LATHSAT_LOCUS855-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNALIINRLLTRNQLAGHEEIPYGSMWWFIYAGISCFLVLFAGIMSGLTLGLMSLGLVDLEILQRSGSLSEKKQAAVILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNPFLAVILSVTFVLFFGEVIPQAICSRYGLAVGANFVWLVRILMMICYPVAYPIGKVLDWLLGHNEALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNSKNIIGLLLVKSLLTVRPETDTPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKASLKGKLPPQMTDVEKYGENERFGADSQLTMPLLQKLDEMTESIVVDIDKRSTPPSMNKLSALQRNDAATNGFPTESIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAASTGARGSSFRRLAGQKVTVGQSKPGTPKKSSVEDGLKITRLQ >CAK8540782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21053651:21055504:1 gene:gene-LATHSAT_LOCUS9752 transcript:rna-LATHSAT_LOCUS9752 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDLDTCLHDEMSAATFKGPPSECSRIKKMERKPSGRRRVFVQTETGCVLSMELERSDNAHTVKRKLQVAFNVPTEESSLICGDTVLKNDLSVVRNDSPLLLTRNFLHRSSSTPCLSPTSRDLQHRDQSGPIEIIGQSNMLSGTKELVKDITMAIKDGVEPIRIQSGLGGAYYFRNIYGENVAIVKPTDEEPYAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDHDHFANVPSTALVKVTHTIFNVNDRVNGNMLLNKKQISKIASLQHYIPHDFDASDHGTSSFPVASVHRIGILDVRILNTDRHAGNLLVKKLDGLGRFDQVELFPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELKYISRLDPFRDSEMLRMELPMIREACLRVLVLCTLFLKEAAAFGLSLAEIGDMMSREFHCHDEEPSELELICIEAKKLLDYEDLSSFETKVGDKDTTLFQLDCEDPDSDLVLNIEENPTLLSPSQFRMKNGNCRIKLSKLEESVMEEEEGDISKLSVLVKNAAINEKTWQFSGVKQGSGSLVASSSGDNSVNELVTHSCFVKLTDMDEEKWNQFLENFQRLLIPAFVNCKERNLSKRQRQKLGTSCKF >CAK8564433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674006312:674007300:-1 gene:gene-LATHSAT_LOCUS17739 transcript:rna-LATHSAT_LOCUS17739 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMGRNIPMRFERLAAAFESDEAARVRLCESSGSEHSAEDSPDLSDLVKSFMEKNSVREDSVVHDNDDGEFDWYDSEKKEILQEIFGDDDDDYNVKEKIRREVEVAIELVAGDKSSPGFKRLVMSSLRERGFDAGLCKTRWERNKKFPSGDYEYIDVNFGGNRYIVEISLMAEFEIARPINQYASLLDEFPFVFVGKVEELKKVVRLMCTAIKDSMKTIKMHTPPWRRNGYMQAKWFNPYKRTTNEVAATRKSIGFEAYNCRDNFGRKSAFKVGNLTAAFNVDGIGMKL >CAK8537420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:348411386:348411607:1 gene:gene-LATHSAT_LOCUS6713 transcript:rna-LATHSAT_LOCUS6713 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGYGYAESYVMQKLYKEKLKKIAQAENLQEEKKIHTLKTGSTDKTSTGCFSLFPKKQHKKSSHVSDSNDS >CAK8573987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652557999:652559969:-1 gene:gene-LATHSAT_LOCUS26380 transcript:rna-LATHSAT_LOCUS26380 gene_biotype:protein_coding transcript_biotype:protein_coding MADENEELEPLFDYTRVQPNIVCLDDDDDDDDVVCVGKKRKKNSQQEVENGKTNVEAVPVSVVDVEDDDWLPSPPKVTRMAEMKIDEQDSTLKNLRLKKKELASFAESAKELLKTVESVEMENFDSLQTSTDDASEKTSKPSERAKILISVQDKDETKQIRMFMDDKFERISKTYAEKMKCDMKQIVLLFDGDKISSSETPASLGMEDSDMIEVHVKSS >CAK8560574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26504900:26507350:-1 gene:gene-LATHSAT_LOCUS14236 transcript:rna-LATHSAT_LOCUS14236 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTATKLPHSLLAVPVNSSTPRSNEKSLSFSSDLFKHNPSSSSFLGSTQKFLRFNSLSKPFLHRRSSPPVAVLLQETSNLLITKEEGLVLYEDMVLGRSFEDKCAEMYYRGKMFGFVHLYNGQEAVSTGFIKYLRKEDCIVSTYRDHVHALSKGVPSRAVMSELFGKATGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFSMKYKREVLNQADSDNVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHIRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRNPAEKEHYAARDPITALKKYLFENKLASEQELKTIDKKIDEILEDAVEFAEKSPQPQRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >CAK8570813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:119034496:119034864:1 gene:gene-LATHSAT_LOCUS23532 transcript:rna-LATHSAT_LOCUS23532 gene_biotype:protein_coding transcript_biotype:protein_coding MFWIEKSRTQWHSDGDMNTSYFHTIAKIKDSKTNITFLMNNDIFIMDHVEMVDHTVSYFTDLFCFAGTSFDNSIIYDMIPSLVDSSMNNFLTSIPSAEEIKVVVFNLNKTSAHGPDDFRGFF >CAK8534840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:775475487:775476327:-1 gene:gene-LATHSAT_LOCUS4349 transcript:rna-LATHSAT_LOCUS4349 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRWNNCIRYLSYVPSEEYWRRAKLPHATIFKPRQSYKWCIVEKFEEFPISSFLLIETLLAIDPAERQTATAALHNEFFTTQPYVCEPSNLLKYPPSKEMDTKLRDEEARRAIGKGNAAGSKKSRPRDRSGRGIAVPEVNAELQENINVCKRNINGCKRASSRDLFLVSSRTSQENRNRKKDIVHQIGSMLLHHSLLRILLLGHMLVAEVKTHLLVL >CAK8531946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:182654983:182655552:1 gene:gene-LATHSAT_LOCUS1699 transcript:rna-LATHSAT_LOCUS1699 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDSVSAYDIIARWIISPSCIFLIVNLVIGTIAITSRFATQRKNQPDSSPQLGPPTSSFFDRVTSFGLGCCKFKPPATSVESRIESVQNQDLNRLDQTKSNDTDLGMDNLIVNPLPRAPSLLERLMSGNFGRLKSVKVEEEKKVGSEMEEEEVDAKADDFIKRFKQQLRMERLDSILRYRDVLHRRS >CAK8563716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626714069:626715859:1 gene:gene-LATHSAT_LOCUS17095 transcript:rna-LATHSAT_LOCUS17095 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAKSVSIVILFFALAHYADAVVRPRTTVGHDVFGDSNHAKDVLLPGEKLVNVVNFGAKGDGVTDCTEAFMTAWQAVCKTAGQNRLYVPAGNFLLSTMIFAGPCLAPNPITIQVVGTILATTDISEYVNEDWLMFQKINGLKIIGGGTFDGQGQKSWEYTENCEKANTGCQRNPSSLHFMDVQNAIIANIKSLNPKGFHIFVTKCSNIRLRKLKLTAPETSPNTDGIHISHSINVIIARNTIETGDDCISMIQGTENVFINRLKCGPGHGISIGSLGKYEDEREVKGIRIKNSALSGTTNGLRIKTWPEKFGGGASEISFSNINMTNVQNPIIIDQEYECHPNCQKKPSLVRIADIHFANVRGTTATPIAVDLRCSQLYPCMGVTFRDIDLKFGAAPSTARCVNVKPIYNGLLNSPACP >CAK8542720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541111087:541113933:-1 gene:gene-LATHSAT_LOCUS11534 transcript:rna-LATHSAT_LOCUS11534 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSETSVRKNMIPSFLYGSSPRTLPVIHQIISSGPAPSAAASPSLTGTGSFMIPSPKESGKIEMYSPAFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGIKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYANKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFVKSEGVLGLYKGLVPLWGRQIPYTMMKFASFETIVEQIYKHAIPRPKSECSKSLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKFGVVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPPAETIKA >CAK8578645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643482125:643489206:1 gene:gene-LATHSAT_LOCUS30638 transcript:rna-LATHSAT_LOCUS30638-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVGGQKGSSLSGIPAASRKMVQSLKEIVNNIPDNEIYATLKECNMDPNEAVSRLLSQDPFHEVKSKREKKKEGKDTTEPSRSRGTNTYNNISSRSGGGGGGGRTATDRYVGRGGGGASSNQFSNSDYGLPQGKPVFKKENGTPAYGGSMSYASSVMDNNLNRQLPSQLPSYSDSVGGSDGLSSSQHSGLQSPWMVSPGQVSMADIVKMGRPQAKTSVPNSSVHSGNHQNVFSTPATSHHNLNVMEANNDQSYVNNSNVQQKDEWPSIEHQSTVPVSSVVDAHQSSEYYTNSSNFAEASRQLKNHENEFVAEDGPVENENHDSVGSASIPAKILSDDNPGSASAFDDSLYEDLNSYQSHRHPFDDNEGENGVSSVAGNLEQLNIHTDDQGTEPEEDNSDVLIPNHLQLHTPECFSLSFGSFGSKQNAAAVSDAGTHASRPLQSNLDEASGANDVSTIGSSDAKNPDYYGEEHITTTSDGNIAHITGVDARTYEHSSISQPEALKPEPSETAQENQYSFPSSSHEFTYENAQQPDLTYPHLQTSSHIQNLSPFSSVMAYTNSLPNALLASTVQTAREDIPYSPFPVTQSMPAKYSNMASSIGGPNMNMSEALRANNISTPQAQPNQQALPGAGIATGPSLPQHLAVHPYSQPTLPLGHFANMISYPFMPQSYTYMPSAFQQAFAGNSTYHQSLAAVLPQYKNSISVSSLPQSAAIPSGYGFGSSTSIPGGNYPLNPSGVPTSTTIGYDDVLSSQYKDNSHMISLQQQNENNPMWVQGPGSRTMSVPPSTYYSFQGQNQQQQQQSGFRQSQQPSQHFAAPHGYPNYYHSQSGISMEHQQQNPRDASLAGSQSQPPKQSQQQLWQNSY >CAK8578646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643482125:643489206:1 gene:gene-LATHSAT_LOCUS30638 transcript:rna-LATHSAT_LOCUS30638 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVGGQKGSSLSGIPAASRKMVQSLKEIVNNIPDNEIYATLKECNMDPNEAVSRLLSQDPFHEVKSKREKKKEGKDTTEPSRSRGTNTYNNISSRSGGGGGGGRTATDRYVGRGGGGASSNQFSNSDYGLPQGKPVFKKENGTPAYGGSMSYASSVMDNNLNRQLPSQLPSYSDSVGGSDGLSSSQHSGLQSPWMVSPGQVSMADIVKMGRPQAKTSVPNSSVHSGNHQNVFSTPATSHHNLNVMEANNDQSYVNNSNVQQKDEWPSIEHQSTVPVSSVVDAHQSSEYYTNSSNFAEASRQLKNHENEFVAEDGPVENENHDSVGSASIPAKILSDDNPGSASAFDDSLYEDLNSYQSHRHPFDDNEGENGVSSVAGNLEQLNIHTDDQGTEPEEDNSDVLIPNHLQLHTPECFSLSFGSFGSKQNAAAVSDAGTHASRPLQSNLDEASGANDVSTIGSSDAKNPDYYGEEHITTTSDGNIAHITGVDARTYEHSSISQPEALKPEPSETAQENQYSFPSSSHEFTYENAQQPDLTYPHLQTSSHIQNLSPFSSVMAYTNSLPNALLASTVQTAREDIPYSPFPVTQSMPAKYSNMASSIGGPNMNMSEMQALRANNISTPQAQPNQQALPGAGIATGPSLPQHLAVHPYSQPTLPLGHFANMISYPFMPQSYTYMPSAFQQAFAGNSTYHQSLAAVLPQYKNSISVSSLPQSAAIPSGYGFGSSTSIPGGNYPLNPSGVPTSTTIGYDDVLSSQYKDNSHMISLQQQNENNPMWVQGPGSRTMSVPPSTYYSFQGQNQQQQQQSGFRQSQQPSQHFAAPHGYPNYYHSQSGISMEHQQQNPRDASLAGSQSQPPKQSQQQLWQNSY >CAK8562316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:471467848:471470147:-1 gene:gene-LATHSAT_LOCUS15823 transcript:rna-LATHSAT_LOCUS15823 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLLTLPSWLTLVTTFAILLLFSHRLRRRKYNLPPGPKPWPIIGNFNLIGSLPHQSLHGLTQKYGPIMQLWFGSKRVIVGSTVEMAKAFLKTHDATLANRPKFSAGKYTTYNYSDITWSEYGPYWRQARRMCLLELFSAKRLESYEYIRKQELHVFLHELFSSKNKTILLKDHLSTLSLNVISRMVLGRKYLDKIENSFISPDEFKKMLDELFLLNGILNIGDFIPWIHFLDLQGYVKRMKVLSKKFDRFMEHVLEEHIERRKDVKDYVAKDMVDVLLQLAEDPNLEVKLERHGVKAFTQDMIAGGTESSAVTVEWAFSELIRKSEIFNKATEELDRVIGRERWVEEKDIANLPYVYAIAKETMRLHPVAPMLVPREAREDCNVNGYDISKGSMVLVNIWTISRDHNVWDNPYEFMPERFLGKDIDVKGHDYELLPFGAGRRMCPGYPLGIKVIQLSLANLLHGFNWKLSDNVKNEDLNMDEIFGLSTPKKIPLEVVVEPRLADHLYSL >CAK8574967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18884545:18885705:-1 gene:gene-LATHSAT_LOCUS27263 transcript:rna-LATHSAT_LOCUS27263 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGIGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKGQIYDIFQLLPGKIQVGIFSATMLPEAFEITRKFMNKPVRILMKRDELTLEGIKQFYVNVEKEEWKQDTLCDLYETLAITQSVIFNTRRKVDWLTDKMRSRAHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDLPTQPENYLRRIGRSGRFGRKEELPSNVADLL >CAK8569256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688096839:688099453:1 gene:gene-LATHSAT_LOCUS22131 transcript:rna-LATHSAT_LOCUS22131 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLNASGNLPTESCSSDITVQERQSETIKWQQYQQQQQGQGYFNSAVFCSSVQQVQNSQDLVPLQIPSAISRTFSSPPVLIDATIEKENCSKKRKSEKAHHNHKLKVVDEIENKEKRIKLGAEDGESKITGYPSTKKNINSNKNNKENYAGEGSSNSKGNSKASEPQKLDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQREVEFLSMKLATVNPRLDFNIDDLFEKEMFPTCDVNATFQAIGISSELNNNNPYLQFNSPHQFVSYGGLDIGMNPIDMGLKRSISAPVSIPETFIDSSSFPQILPSTTWEGDFQNLYNMNFDQARATSFPSQPQFYTGLVEASNLKIEMQ >CAK8568057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567162101:567165693:1 gene:gene-LATHSAT_LOCUS21054 transcript:rna-LATHSAT_LOCUS21054 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSNGWNRARGLAVKALLFIGGALLVKRLCKSTTRWDHARLVAQSLTGEKYSKDQASRDPDNYFNIRMLTCPAAELVDGSNVLYFEQAFWRSPQKPFRQRLLMAKPCPKELKCDVELSTYAIRDMEEYKNFCDRAKDQRPQPEEVIGDIAEHLTTIHLKRCSRGKRCLYEGSSPPGGFPNSWNGATYCTSELAVMKNSEIHTWDRGYDDDGNQVWGQKEGPYEFKPAPTSCFSDMFSPLNLPPPPMERRIEGSFILQE >CAK8577048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533951375:533951716:1 gene:gene-LATHSAT_LOCUS29190 transcript:rna-LATHSAT_LOCUS29190 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDQEFCECRPLGFFLGLPFAFLSLILSLLGAILWIFGSIFSCLCPCCICCTGLVDFAVCLVKLPVQVLRWFVDQIPC >CAK8566880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:469090278:469092495:-1 gene:gene-LATHSAT_LOCUS19979 transcript:rna-LATHSAT_LOCUS19979-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSLYACLLLKLSLLAIVPYTKASQADKLDELILSRSSQNPPKTFSWEAEDALQKQSSSAYVVPSQEGQRLADKIVTLPGQPYGVNFDQYSGYVTVDHDTEKELFYYFVESPSNSSTKPLILWLSGGPGCSSLGYGALEELGPFRINSDGKTLHRNPYAWNEVANILFLESPAGVGFSYSNTTSDYDKSGDKTTAKDAYVFLINWLERFPQYKTRDFYITGESYAGHYAPQLASTILHNNKLYNQTVINLKGISIGNAWINDATDLKGIFDYFWTHALNSDETHELIDKYCDFTSENGSAICTNATIRAANEVGHIDFYNIYAPQCHDSSLKNGSTGYESNEFDPCSDYYGIAYLNRPEVQKALHAIPTNWTYCTRIIRNWKDSPVTVLPTINYLIESGIKLWIYSGDTDARVPVTSSRYSINTLKLPINSPWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFIYGILPPVSPSN >CAK8566879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:469090278:469092498:-1 gene:gene-LATHSAT_LOCUS19979 transcript:rna-LATHSAT_LOCUS19979 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVSLYACLLLKLSLLAIVPYTKASQADKLDELILSRSSQNPPKTFSWEAEDALQKQSSSAYVVPSQEGQRLADKIVTLPGQPYGVNFDQYSGYVTVDHDTEKELFYYFVESPSNSSTKPLILWLSGGPGCSSLGYGALEELGPFRINSDGKTLHRNPYAWNEVANILFLESPAGVGFSYSNTTSDYDKSGDKTTAKDAYVFLINWLERFPQYKTRDFYITGESYAGHYAPQLASTILHNNKLYNQTVINLKGISIGNAWINDATDLKGIFDYFWTHALNSDETHELIDKYCDFTSENGSAICTNATIRAANEVGHIDFYNIYAPQCHDSSLKNGSTGYESNEFDPCSDYYGIAYLNRPEVQKALHAIPTNWTYCTRIIRNWKDSPVTVLPTINYLIESGIKLWIYSGDTDARVPVTSSRYSINTLKLPINSPWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFIYGILPPVSPSN >CAK8532365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249779085:249779828:-1 gene:gene-LATHSAT_LOCUS2080 transcript:rna-LATHSAT_LOCUS2080 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIATLLLVLNFCMYVIVLAIGAWAMNRAIDHGFIIGPEFELPAHFSPVFFPMGNASTGFFVTFSLLAGVVGVVSAISGINHICSWTSNSLPSAASVATMAWTLTLLAMGFAWKEIELQIRNARLRTMEAFLIILSVTQLLYIIAIHGAAAYNIYT >CAK8564890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14951635:14952585:-1 gene:gene-LATHSAT_LOCUS18146 transcript:rna-LATHSAT_LOCUS18146 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRHFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTNVQGMHIFAEDIFESGKIRALLPTFDQSFQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8543625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627556629:627558710:1 gene:gene-LATHSAT_LOCUS12373 transcript:rna-LATHSAT_LOCUS12373 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEEKGQKGYSQDGTVNLQGKPVLRSNTGGWKACSFIIVYEVFERMAYFGIASNLVVYLTNKLHEGTVESSNDVNNWSGSIWMMPLVGAYVADAYLGRYWTFVISSFIYLLGMCLLTLAVSLPSLSPPQCAEGILDQDCPKASPLQKGIFFLALYIISLGTGGTKANISTLGADQFDEFDTKERSYKLSFFNWWFFSIFIGVLFASSFLVYIQDNVGWAIGYSLPTIGLIVSIMIFLVGTPFYRHKFPTGSPITRMLQVFVAATRKWNSRVPEDPKDLHELTTEEYACNARNKIDHTSFLRILDKAAVKTRKTSSWMLCTVTQIEETKKMIKMVPILILTIIPSTMVMQTFTLFIKQGTTLDRRMGPHFEIPPASLIVFIVIFILISLVIYDCAFTPIIRSYTKNPRGITLLQRMGVGLIIHVIVMVISCFVERKRLRVARENNLLGRHDTLPLTIFILFPQFALAGVADSFVETAKMEFFYDQAPEDMKSLGAAFSTTSLGLGGFASSYILSTVADITQRHGQKGWILNNLNVSHLDYYYAFMAVLCLINLICFVVVAKFYVYNDVRQNKPSLEMNPTPSQNNSRISESNPEEIAQS >CAK8563804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631943322:631953455:-1 gene:gene-LATHSAT_LOCUS17179 transcript:rna-LATHSAT_LOCUS17179 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRKPAKLPVEGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYMCGTDEYGTATETKALEENCSPKEICDKYHAIHKEVYDWFNISFDKFGRTSSPEQTEACQSIFKKIFDNKWLSERTVEQLYCDTCERFLADRLVEGTCPTPGCEYDSARGDQCDKCGKLLNPTELKSPRCKVCKSSPRLRDTDHLFLELPLLKDKLEQYINKMSIDGSWSQNAIQITNAWLRNGLERRCITRDLKWGVPVPHEKYSNKVFYVWFDAPIGYISITACYTPDWEKWWKNPENVELFQFMGKDNVPFHTVIFPSTLLGTGENWTLMKTISVTEYLKYESGKFSKSNGIGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTDFTWPDLQAKLNSELLNNLGNFINRVLSFIAKPAGQGYNSIIPTVPDDVSGDSHDLTKKLADKVSAYLDQYIEAMEKVKLKQGLKIAMSISGEGNAYLQETAFWRLYKENQPLCALVMKTAAGIAYLLACLLEPFMPSFTLEVFKQLNLSVETHLSLSDDKDVDRVKRPWDILSAGHKIGTPKPLFRELKDEELEFYKNKFAGSQADRVVRAEAEAENVAEQLKKTKVSDGKGKKKTSGKSSNETKNKAAAEQDITITRLDIRVGLIKKAEKHPDADSLYVEEIDVGEEHTRTVVSGLVKYIPVDEMQNRKVCVLCNLKPAAMRGIKSQAMVLAASNDDHTKVELVEPPSSARVGERITFPGHEGNPDELLNPKKKVWETLQVDLHSNEELVACYKNIPLTTSAGVCKVSSISCGSIR >CAK8560481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21833204:21834978:-1 gene:gene-LATHSAT_LOCUS14149 transcript:rna-LATHSAT_LOCUS14149 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAVVVRCSCFLSPPHSPLPQFYSNTTNLHLSGKFTCLSLKVDKVVPLFKDAFHGTSKTPTLLHCSNCKEDMPQRFPLPLILASNILMFSMPCKALAETCEADNSMFSMPILLAVALIGATVGGLVARQRKGELQRLNEQLLQINAALRKQAKIESYAPTLSYAPIGSGRIPDSEIIVDPKKQELISKLKSGKNFLRNQQPDKAFTEFKSALELAQNIKDPIEEKKAARGLGASLQRQGKYRDAVKYHSMVLSISEREGENSGSTEAFGAIADCYTELGDLEKAGQYYDKYIARLEKD >CAK8534564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742775212:742795338:1 gene:gene-LATHSAT_LOCUS4102 transcript:rna-LATHSAT_LOCUS4102 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSQQTWGRSPTLSPRTNRTTSSLSSTPSQSFSKDAIQSLSSILNNPLSSTTIYPPEFTPISSTKATSEVSRSDFLNYITTVSDPFHRFDDIRKHSNKEISIPTDVDGAGEALVACLREVPSLYFKEDFRLEEGATFRAACPFSTFSENAVLQEKLSQYLDVVELHLVKEISLRSSSFFEAQGQLQDLNGKIVEGCARIRELKDTVRLIDSDLVESARQIQQLNGTRTNLLALQQKLRLIFYVNQALSALKLLVASADCAGALDVTDDLQHLLDGDELTGLHCFRHLRDHVTAFIESINSILSAEFIRASLHDAAESDGIILSKAKARASLPMNGKDDEVKLDEEEPANFKDSLLPTVIGLLRTAKLPSVLRIYRDTLTADMKSAIKTAVAELLPVLAIRGSESEFFSGDRAVEADGGGASLASKLRSLSSDCFVHLLSAIFIIVQAHLVRAAEVKKAIEWILSNCDGHYASDSVAAAIAHGAAAAEISQESEVHGTTFFPYSPQRNVAKGTSFQGKAIDAVSSSNMSKNFRADVLRENAEAVFAACDAAHGRWAKLLGVRAVLHPRLKLQEFLTIYNITHEFITATEKIGGRLGYSIRGTLQSQAKAFIDFQHDSRMSKIKAVLDQETWVEIDVPDEFQSIINMLFSSDALSSENLNGVEEDNSAGYHDMATNNDARPMADTGLSSAEQHVEQADSMEESKKLHRGHSKLVGSINTEKDHKKSASQALFYKGVGYHMVNCGLILLKMLSEYIDMNNLLPTLSSEVVHRVAEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFIHAIIPEMRQILFLKVPETRKLLLLSEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDADPQPSQFARSLTKEVGYLQRVLSRTLNEDDVQAIFRQVVVIFHSQISEAFSRFDISTPQAHNRLYRDIKHILQCIRSLPSGDLSKSDTPNWGQLDEFLVQRFGNDAVQ >CAK8570037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21664896:21674075:-1 gene:gene-LATHSAT_LOCUS22826 transcript:rna-LATHSAT_LOCUS22826-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPTTNFLAATDAAFSTAAMSLRPYHRGHRRGYSGRSYAGGRDKFVTGDDHLQSVRDANSAIRQGERGSSANQTQYYQNPPHDPRPHPPPQYQNQSFNPRPHPPPQYQNQSYNPRPRPYPHRPPHFRQPNDHRRESRPLQNFRPKPQDYREWELALTPPPPHCAERFKVLSYNILADYLAMDHWKKLYYHVPSYMLDWRWRKSKIMSELGLWNADIMCFQEVDKFDELLEDLKFKGYRGIWKMRTGNPVDGCAIFWRTSRCNLLYEESIEFNKLGLRDNVAQICVLEFINQNGSLPPSLTGSRKVVVCNIHVLYNPNRGDIKLGQVRVLLDKAQAVSQLWNNSPIIICGDFNCTPKSPLYNFIAEQKLDLSGIDRNRISGQASAVIRAPWTYSPNSREKIFANGSVLAASAEGDKGVTIGQNSSLSNMQNPTSESSSSENQNSRPALDMSNQTNVQCSRESDACGGKDTQGAVDHNMVIGEVDGMKEVPNPNNIIRIPTDHINDDEILDVTPIMPSALETVQTDPTGMDSTEHISDAISTSSQESLSENSNLHDRVNEKLENFSLDDLDKADVSIGSIGEDAIDFINALHNAEEESNEGKDALSPSLISKSIFAEQTTYKPSSWTPAEIETATGNAESTFLEHPLLLKSTYTEATNSSGTRDPNGEPLVTSYNKCFLGTVDYIWRSEGLQTTRVLAPIPKHVMESSQGYPTKKWGSDHIALVSELAFLEDGTTTTTISKDVV >CAK8570038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21664896:21674075:-1 gene:gene-LATHSAT_LOCUS22826 transcript:rna-LATHSAT_LOCUS22826 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPTTNFLAATDAAFSTAAMSLRPYHRGHRRGYSGRSYAGGRDKFVTGDDHLQSVRDANSAIRQGERGSSANQTQYYQNPPHDPRPHPPPQYQNQSFNPRPHPPPQYQNQSYNPRPRPYPHRPPHFRQPNDHRRESRPLQNFRPKPQDYREWELALTPPPPHCERFKVLSYNILADYLAMDHWKKLYYHVPSYMLDWRWRKSKIMSELGLWNADIMCFQEVDKFDELLEDLKFKGYRGIWKMRTGNPVDGCAIFWRTSRCNLLYEESIEFNKLGLRDNVAQICVLEFINQNGSLPPSLTGSRKVVVCNIHVLYNPNRGDIKLGQVRVLLDKAQAVSQLWNNSPIIICGDFNCTPKSPLYNFIAEQKLDLSGIDRNRISGQASAVIRAPWTYSPNSREKIFANGSVLAASAEGDKGVTIGQNSSLSNMQNPTSESSSSENQNSRPALDMSNQTNVQCSRESDACGGKDTQGAVDHNMVIGEVDGMKEVPNPNNIIRIPTDHINDDEILDVTPIMPSALETVQTDPTGMDSTEHISDAISTSSQESLSENSNLHDRVNEKLENFSLDDLDKADVSIGSIGEDAIDFINALHNAEEESNEGKDALSPSLISKSIFAEQTTYKPSSWTPAEIETATGNAESTFLEHPLLLKSTYTEATNSSGTRDPNGEPLVTSYNKCFLGTVDYIWRSEGLQTTRVLAPIPKHVMESSQGYPTKKWGSDHIALVSELAFLEDGTTTTTISKDVV >CAK8570039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21664896:21674075:-1 gene:gene-LATHSAT_LOCUS22826 transcript:rna-LATHSAT_LOCUS22826-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPTTNFLAATDAAFSTAAMSLRPYHRGHRRGYSGRSYAGGRDKFVTGDDHLQSVRDANSAIRQGERGSSANQTQYYQNPPHDPRFRPPLFYYQNPPYNAHPPPQYQNQSFNPRPHPPPQYQNQSFNPRPHPPPQYQNQSYNPRPRPYPHRPPHFRQPNDHRRESRPLQNFRPKPQDYREWELALTPPPPHCAERFKVLSYNILADYLAMDHWKKLYYHVPSYMLDWRWRKSKIMSELGLWNADIMCFQEVDKFDELLEDLKFKGYRGIWKMRTGNPVDGCAIFWRTSRCNLLYEESIEFNKLGLRDNVAQICVLEFINQNGSLPPSLTGSRKVVVCNIHVLYNPNRGDIKLGQVRVLLDKAQAVSQLWNNSPIIICGDFNCTPKSPLYNFIAEQKLDLSGIDRNRISGQASAVIRAPWTYSPNSREKIFANGSVLAASAEGDKGVTIGQNSSLSNMQNPTSESSSSENQNSRPALDMSNQTNVQCSRESDACGGKDTQGAVDHNMVIGEVDGMKEVPNPNNIIRIPTDHINDDEILDVTPIMPSALETVQTDPTGMDSTEHISDAISTSSQESLSENSNLHDRVNEKLENFSLDDLDKADVSIGSIGEDAIDFINALHNAEEESNEGKDALSPSLISKSIFAEQTTYKPSSWTPAEIETATGNAESTFLEHPLLLKSTYTEATNSSGTRDPNGEPLVTSYNKCFLGTVDYIWRSEGLQTTRVLAPIPKHVMESSQGYPTKKWGSDHIALVSELAFLEDGTTTTTISKDVV >CAK8560902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:55957448:55958983:1 gene:gene-LATHSAT_LOCUS14541 transcript:rna-LATHSAT_LOCUS14541 gene_biotype:protein_coding transcript_biotype:protein_coding MGANFSSCVCDDGGSSSMRPRLGDIPESCVALVLMYLDPPDICKLARLNRAFRDASFADFVWESKLPLNYKFIMGKALEEEDDTSSVAELGKRDIYARLCKHNLFDNGTKEIWLDKRTGGVCLAISSKALRITGIDDRRYWNHISTEESRFHTVAYLHQIWWLEVEGEIDFQFPEGTYSVYFRLHLGRSSKKLGRRVCKTEHIHGWDMKPVKFQLTTSDGQHAVSHTHLDSPGHWTLYHAGNFVSKSSNELMNIKFSLTQIDCTHTKGGLCVDSVLICNRSDVKKEV >CAK8568920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654861184:654861579:-1 gene:gene-LATHSAT_LOCUS21827 transcript:rna-LATHSAT_LOCUS21827 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSKVYDHLSVLNDIIYGLETIRIKIDDEDKDLRLIWYLSSSYEHIKPVLIHGNETLSIEEVSIKIIYEEIRSKGEDNTSSNSLLVARGRPYVKKNNETCVRCWKCGMVGHVKCMASNQILAMSTSLMI >CAK8563384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598940771:598942433:-1 gene:gene-LATHSAT_LOCUS16803 transcript:rna-LATHSAT_LOCUS16803 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEKQHKKGFMYIYKTGTRNQRNKDLEHGMEKEKKPSSRSWLQWIRYPTRNYNVENEGFVEARNSTSSSRGGYVEGRNSVSCIEASSSPAITGTRRRENMVEEGRKSVSYVETKLVESEKVVEKFVEARKSVSQIETLSSVIECLEVKVLVSDMPSFMQVHAFRCARRTYDSLEELSSKHIAHNIKKEFDKAYGPVWHCIVGPSFGSFVTHSTGCFLYFSMENLYILLFKTKVKKTLN >CAK8561088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91676156:91676788:-1 gene:gene-LATHSAT_LOCUS14710 transcript:rna-LATHSAT_LOCUS14710 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQLSESVNAGIKGFMNVNFDIIKFFKRFEDFVEEKRYNELKCEYEARQKIPRLRNSYSKILQQVSELYTPSIFYQFQHEYELFENCSVKNIDMQPPLTDCVIAMESNFSEWRVSLDLDKNSICCSCRKLESFGILCCHCLRVFIHMDVKSVPKHYILKRWTKLARSETSRNIDVSYVEEDVDLSPAQRYKEICPRLIKIAAEACKSP >CAK8561089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91676156:91676737:-1 gene:gene-LATHSAT_LOCUS14710 transcript:rna-LATHSAT_LOCUS14710-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNFDIIKFFKRFEDFVEEKRYNELKCEYEARQKIPRLRNSYSKILQQVSELYTPSIFYQFQHEYELFENCSVKNIDMQPPLTDCVIAMESNFSEWRVSLDLDKNSICCSCRKLESFGILCCHCLRVFIHMDVKSVPKHYILKRWTKLARSETSRNIDVSYVEEDVDLSPAQRYKEICPRLIKIAAEACKSP >CAK8570157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26379140:26392600:1 gene:gene-LATHSAT_LOCUS22934 transcript:rna-LATHSAT_LOCUS22934 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNKSRTMHHQQHPLCTRTHQIGSLLLVAATFFLTRLLDAPCNLSSTVSQQKFLGLHRFPESQELSLKIYVYDANEIDGLKELLQGRDGKITPEACLKGQWGSQVKIHKLLLESRYRTRKKEEADLFFVPSYVKCARMMGGLNDKEINQTYVKVISQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYINHSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVEDGMTKAGSTIVQPLPLSKRKYLANYLGRAQGKAGRLQLIELSKQFPEKLECPDLKFSGGEKLGRKDYFEHLRNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQIELPFQNIIDYSQISIKWPSSRIGPELLQYLESIPDEDIEAIIAHGRQVRCMWVYAPDSEPCSAMQGIMWELQSKIRQFHQSAETFWLHNGSIVNRNLVEFSKWKLPVPLP >CAK8572875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569236893:569241938:-1 gene:gene-LATHSAT_LOCUS25386 transcript:rna-LATHSAT_LOCUS25386 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNNGLLPSSFRIISSCLKTVSTNACTVASTVRSAGASVASSITSPSDDHKDQVTWAGFDKLELDQKICKRVLLLGYLNGFQVLDVEDASGFSEVVSKRDGPVSFLQMQPFPVGVSSDGQEGFRKSHPLLLVVAGDGDEGCVGQTGSGFGGLGREGGKVESQSGNGVKSATAVRFYSLKSHSYVHVLRFRSVVCMIRCCSKIVAVGLATQIYCFDALTLENKFSVLTYPVPQLSGQVTIGVNVGYGPMAVGPRWLAYPSNNPLPSNVGCISPQNLSPSPRVSPSTSPSNGSLVARYAMESSKHMAAGLLKYCQDMLPDGSNSSIPSISGWKVGRVTGSDMDNAGTVIVKDFVSRAIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSCARKGSGVPSCNRSATHVHLYRYHRGITPATIQDICFSRFSQWVAIVSSKGTCHLFVLSPFGGDTGFQIISSKGEDPSLLPVLSLPWWATSSTISHQQSLPPPSPVALSVVSRIKYSSFGWLNTIQSSNANVSGKVFVPSGAISVIFHNSMSHPQPLDKSNMKSLEQLLVYTPSGHIVQHELLPSVGPEPNESGSRIQSASAPHMQEDEFRVKVEPIQWWDVCRRSEWPEKGEPCSNTCDRQDGINRVQDKMYSGDGYGFNFFNISDDVGEKTVKPSTGKPHERSHWYISNAEVQVNFGRIPIWQNSKICFYSLNSGRTVFSAGGESEIEKISANEIEIRRKELLPVFDHFQGIRTSWNESLSEGKHLGSASPVLHVAEDKQTADRTVFCHSKPASLSSTESSDGGSSRRTENLLDLDQVTSCCQMLGEIYPERVEAINAAEPSQQNKFASALFLKHDLDKNNFLEVSSEMQNACPEHSFPDGQCKTPVHDGSNMLTEEVTDDVDSTSSSHEREQPEDDNDEDGETLGGLFGFSD >CAK8572876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569236893:569241938:-1 gene:gene-LATHSAT_LOCUS25386 transcript:rna-LATHSAT_LOCUS25386-4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNNGLLPSSFRIISSCLKTVSTNACTVASTVRSAGASVASSITSPSDDHKDQVTWAGFDKLELDQKICKRVLLLGYLNGFQVLDVEDASGFSEVVSKRDGPVSFLQMQPFPVGVSSDGQEGFRKSHPLLLVVAGDGDEGCVGQTGSGFGGLGREGGKVESQSGNGVKSATAVRFYSLKSHSYVHVLRFRSVVCMIRCCSKIVAVGLATQIYCFDALTLENKFSVLTYPVPQLSGQVTIGVNVGYGPMAVGPRWLAYPSNNPLPSNVGCISPQNLSPSPRVSPSTSPSNGSLVARYAMESSKHMAAGLLKYCQDMLPDGSNSSIPSISGWKVGRVTGSDMDNAGTVIVKDFVSRAIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSCARKGSGVPSCNRSATHVHLYRYHRGITPATIQDICFSRFSQWVAIVSSKGTCHLFVLSPFGGDTGFQIISSKGEDPSLLPVLSLPWWATSSTISHQQSLPPPSPVALSVVSRIKYSSFGWLNTIQSSNANVSGKVFVPSGAISVIFHNSMSHPQPLDKSNMKSLEQLLVYTPSGHIVQHELLPSVGPEPNESGSRIQSASAPHMQEDEFRVKVEPIQWWDVCRRSEWPEKGEPCSNTCDRQDGINRVQDKMYSGDGYGFNFFNISDDVGEKTVKPSTGKPHERSHWYISNAEVQVNFGRIPIWQNSKICFYSLNSGRTVFSAGGESEIEKISANEIEIRRKELLPVFDHFQGIRTSWNERSLSEGKHLGSASPVLHVAEDKQTADRTVFCHSKPASLSSTESSDGGSSRRTENLLDLDQVTSCCQMLGEIYPERVEAINAAEPSQQNKFASALFLKHDLDKNNFLEVSSEMQNACPEHSFPDGQCKTPVHDGSNMLTEEVTDDVDSTSSSHEREQPEDDSSHEREQPEDDSSHEREQPEDDSSHEREQPEDDEDGETLGGLFGFSD >CAK8572874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569236893:569241938:-1 gene:gene-LATHSAT_LOCUS25386 transcript:rna-LATHSAT_LOCUS25386-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNNGLLPSSFRIISSCLKTVSTNACTVASTVRSAGASVASSITSPSDDHKDQVTWAGFDKLELDQKICKRVLLLGYLNGFQVLDVEDASGFSEVVSKRDGPVSFLQMQPFPVGVSSDGQEGFRKSHPLLLVVAGDGDEGCVGQTGSGFGGLGREGGKVESQSGNGVKSATAVRFYSLKSHSYVHVLRFRSVVCMIRCCSKIVAVGLATQIYCFDALTLENKFSVLTYPVPQLSGQVTIGVNVGYGPMAVGPRWLAYPSNNPLPSNVGCISPQNLSPSPRVSPSTSPSNGSLVARYAMESSKHMAAGLLKYCQDMLPDGSNSSIPSISGWKVGRVTGSDMDNAGTVIVKDFVSRAIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSCARKGSGVPSCNRSATHVHLYRYHRGITPATIQDICFSRFSQWVAIVSSKGTCHLFVLSPFGGDTGFQIISSKGEDPSLLPVLSLPWWATSSTISHQQSLPPPSPVALSVVSRIKYSSFGWLNTIQSSNANVSGKVFVPSGAISVIFHNSMSHPQPLDKSNMKSLEQLLVYTPSGHIVQHELLPSVGPEPNESGSRIQSASAPHMQEDEFRVKVEPIQWWDVCRRSEWPEKGEPCSNTCDRQDGINRVQDKMYSGDGYGFNFFNISDDVGEKTVKPSTGKPHERSHWYISNAEVQVNFGRIPIWQNSKICFYSLNSGRTVFSAGGESEIEKISANEIEIRRKELLPVFDHFQGIRTSWNERSLSEGKHLGSASPVLHVAEDKQTADRTVFCHSKPASLSSTESSDGGSSRRTENLLDLDQVTSCCQMLGEIYPERVEAINAAEPSQQNKFASALFLKHDLDKNNFLEVSSEMQNACPEHSFPDGQCKTPVHDGSNMLTEEVTDDVDSTSSSHEREQPEDDNDEDGETLGGLFGFSD >CAK8572877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569236893:569241938:-1 gene:gene-LATHSAT_LOCUS25386 transcript:rna-LATHSAT_LOCUS25386-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNNGLLPSSFRIISSCLKTVSTNACTVASTVRSAGASVASSITSPSDDHKDQVTWAGFDKLELDQKICKRVLLLGYLNGFQVLDVEDASGFSEVVSKRDGPVSFLQMQPFPVGVSSDGQEGFRKSHPLLLVVAGDGDEGCVGQTGSGFGGLGREGGKVESQSGNGVKSATAVRFYSLKSHSYVHVLRFRSVVCMIRCCSKIVAVGLATQIYCFDALTLENKFSVLTYPVPQLSGQVTIGVNVGYGPMAVGPRWLAYPSNNPLPSNVGCISPQNLSPSPRVSPSTSPSNGSLVARYAMESSKHMAAGLLKYCQDMLPDGSNSSIPSISGWKVGRVTGSDMDNAGTVIVKDFVSRAIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSCARKGSGVPSCNRSATHVHLYRYHRGITPATIQDICFSRFSQWVAIVSSKGTCHLFVLSPFGGDTGFQIISSKGEDPSLLPVLSLPWWATSSTISHQQSLPPPSPVALSVVSRIKYSSFGWLNTIQSSNANVSGKVFVPSGAISVIFHNSMSHPQPLDKSNMKSLEQLLVYTPSGHIVQHELLPSVGPEPNESGSRIQSASAPHMQEDEFRVKVEPIQWWDVCRRSEWPEKGEPCSNTCDRQDGINRVQDKMYSGDGYGFNFFNISDDVGEKTVKPSTGKPHERSHWYISNAEVQVNFGRIPIWQNSKICFYSLNSGRTVFSAGGESEIEKISANEIEIRRKELLPVFDHFQGIRTSWNESLSEGKHLGSASPVLHVAEDKQTADRTVFCHSKPASLSSTESSDGGSSRRTENLLDLDQVTSCCQMLGEIYPERVEAINAAEPSQQNKFASALFLKHDLDKNNFLEVSSEMQNACPEHSFPDGQCKTPVHDGSNMLTEEVTDDVDSTSSSHEREQPEDDSSHEREQPEDDSSHEREQPEDDSSHEREQPEDDEDGETLGGLFGFSD >CAK8567728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539409980:539411896:1 gene:gene-LATHSAT_LOCUS20751 transcript:rna-LATHSAT_LOCUS20751 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKAVTDNPKKLANLIDLVNLPSTLRDFVGQSQTSRLTCFMRVWSYIKTNNLQDPNNKNVVNCDEKLKGILLGKTQVELAELPALIKLHFPKEPK >CAK8563218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583620064:583623439:1 gene:gene-LATHSAT_LOCUS16651 transcript:rna-LATHSAT_LOCUS16651 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQETHSSSYLFLLFSFILLSGSVVVGDSFSLDTDKQILLKLKKYLDNKTLADQGKYIYWNNDSSHSNPCEWQGILCSQEKRVISIDLSNSDITGEIFQSFSQLTELTHLDLSQNTLSGNFLDDLRNCRKLLHLNLSHNILEGELNVTGFTNLETLDLSLNRFHGDIGLLDFPSICENIVTLNISGNNLTGEIGDSFDQCLSLKYLDLSTNMLSGGIWNGFARLRQFSVAENLFYGNVLSQAFPLNCELVELDLSQNRFSGKAPKEISNCKNLTMLNLSTNKFTGAIPVEIGSISLLKGLYLGGNNFSRDIPETLLKLSNLVFLDLSRNNFGGDIQKIFGNFKQVRFLLLHSNSYTGGLLSSGIFTLPNITRLDLSFNKFSGPLPVEISHMQSLELLMLSYNQFSGTIPSEFGDMHNLQALDLAFNRLSGPIPPSLGNLRSLLWLMLADNSLNGTIPSELGNCTSLLWLNLANNNLTGKFPRELSKIGKNATETFEFNRRRDGMIAGSGECLAMKRWIPADYPPFSFVYDILTRKNCRGLWNKLLKGYGIFPFCTPGSSLRLPLISGYVQLSGNKLSGEIPSEIGTMVNFSLLHLGFNSFSGKLPPELGNIPLVVLNLTTNNFSGEIPTEIGNFICLQNLDLSRNNFSGNFPSSLNKVAELNRFNISYNPFIQGVVSSSGQFVTFGKDSYFGDPLLILPQFIDNSTARNDKNMTRNKDHKKPTKLTVFLVFLTITLVFIIFSFLTIIVCALVKSPSDQYLLREHAKHCNDSSSSGIESSQWLSDSVKVIRLNKTAFTYADILKATNTFSESRIIGKGGFGTVYKGFFADGREVAVKKLLSEGREGEKEFQAEMEVLSGHGFGWPHPNLVTLYGWCLNNSEKILVYEYIEGGSLEDLVTDKTRLTWKKRLQIAIDVARALVYLHHECDPSIVHRDVKASNVLLDKEGKAKVTDFGLARVVNVGDSHVSTMVAGTVGYVAPEYGQTMKATTKGDVYSYGVLVMELATGRKAVDGGEECLVEWTRRVMMGRKQQQYHHVLSYLGSEEMVELLCIGLKCTNETPNGRPNMKQVLAMLILISKSNVGDSSDHGHIV >CAK8540033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536464439:536465615:1 gene:gene-LATHSAT_LOCUS9072 transcript:rna-LATHSAT_LOCUS9072 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQKLHFQSPLFSLATILISLHYGNALNLPNNETVPALIVFGDSIVDTGNNNYLHTITKCNFLPYGKDFGAGNQPTGRFSNGLILSDIIASKFGVKKLLPASLDPNLQLEDLLTGVSFASGGSGYDPLTSKLASVISLSDQLKMFNQYKNKIKEAVGEERMKMIISKSVYIICMGSNDIANTYAPTPLRQAHYDIPSYTDLLASHASNFLKELYDSGARRIGVVGMPSIGCVPSQRTIEGGIERACSDFENQAARIFNSKLVSQMDAFRDKFQDAKFVYLDIYNSFMDVVQNPAKYGN >CAK8539230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507203146:507204416:1 gene:gene-LATHSAT_LOCUS8343 transcript:rna-LATHSAT_LOCUS8343 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTVLKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFQPLNIDDYNSIFWIAHPGGPAILDQVEEKLGLKPEKMRATREVLSEYGNMSSACVLFILDEMRKKSAQEGLRTTGEGLDWGVLFGFGPGLTIETVVLHSVAI >CAK8540126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540857139:540859022:1 gene:gene-LATHSAT_LOCUS9159 transcript:rna-LATHSAT_LOCUS9159 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSPPKINIKPEYCREALIEWYRLAKLGDHKECFHKKDLVLKAKQHLLFLGWKVQYINKKYRWKMCYTSPTNGKHYFTLRRACKNCIKDKGYSVNQLSTTLQASPTNLISSTILPSKKRPRALEETDESNFNKDYEASISNPVKKPIVITTSTSEENEKHGCQSESKFTDLVGNNGRREKVINMSVMEKNSESHGKRGKVLNMSTRERYTLVSWLINNQVLIPDTKVSCHGRNNIVKRGSLSFDGIVCDCCQVIFTITKFEAHAGCTRHRPSTSIMLDDGRSLLECQRDALSLRDQKKDRFVVEENVKQENDSVCSICGLGGNIILCDRCPSSFHIYCLNLDQVPDGDWFCPSCCCKICHQPKSKQECYNLNDNNILVCVQCEQNYHFGCVNNEGIGLWKMDRNAKNKNWFCSVVCGNIFLNLNKLLGKSIKVADNLTWTLMKNTSIVVDDDEGDNDKEFISKEFSQKESKLNAALGVLYESFDPTIDASSGRELIKDVVFSRGSKQRRLNFRGFCNVILEKKGEVISVATIRIHGQKVAEIVFVATKEQYRGHGMCRMLINELEEQLSRLEVESLILHSSEEAINTWTKSFGFVTITGEDKRRFINHTFLEFQNTIMCLKYLK >CAK8572919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571667460:571668050:-1 gene:gene-LATHSAT_LOCUS25424 transcript:rna-LATHSAT_LOCUS25424 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQETSSLKLLRQHLLEDISDSFSTNLSSGKLEYYTSSSSEIDKDSYFSEQSIFLESLGFEADTKVIDFTSHSKPQNSKAESPFDETQKKTELMMSEKKEQRCYGTKCYRGVRRRPWGKFAAEIRDPTSKGSRVWLGTFDSEIDAAKAYDCEAFRMRGQKAILNFPLEAGEANPKPNISRKRRIHHRDAATSSN >CAK8567790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544763895:544764635:1 gene:gene-LATHSAT_LOCUS20813 transcript:rna-LATHSAT_LOCUS20813 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFWPLNMQGSKSKEVTSNGRWSELRGLVCGSYVQRFNGDSVMDDACIEFVQMKSRRTAMATAFTSWLKVNQTRHGGGNRHSKA >CAK8561945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415997402:415999883:-1 gene:gene-LATHSAT_LOCUS15490 transcript:rna-LATHSAT_LOCUS15490 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTTDSIRSISSDVSSDIVIQVKETPYHLHKFPLLSKCLHLQRLCSESLDSPTNREIVQLPDFPGGVEAFELCAKFCYRITITISPYNIVAARCAAEYLQMTEDADKGNLIYKLEVFFNSCILYGWKDSIVALQTTKALHLWSEELSITNRCIGAVCSKVLRKVCNDDDVSCSGVESSREQSMRKRWWGEDLAELSIDLYWRIMIALKSDVRMPLNLISDALIIYAFRWLPKIGSDSDSGSEIASLKKSLVLESIVNLLPGEKGAVSCSFLINLLKVANVLNSSSSSKTELVKRAGLQLEEATVNDFLLVGDVDLVMTLLEEFMLQLGQSPPTSPVRSRLEFDKRRSRSAEDVGFELQESRRSSSASHSSKLKVAKLVDRYLQEIAKDVNLPLEKFVIIAEAVPEFARFEHDDLYGAIDTYLKAHPELNKTERKRLCRVLDCKKLSMKTCAHAAQNDLLPLRLVVQVLFFEQARASASDGKLTKMPSNIKALLTAYGNDPSTHAAQLSTSTSIKTDGNWNVNSFKAPKSTKNSSTLRTKIAEDDFEESNHVVCDGFGRNSRFKGFCSHPTKPKRMFSKFWSTNGSTTQKN >CAK8540460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:3594618:3596086:-1 gene:gene-LATHSAT_LOCUS9463 transcript:rna-LATHSAT_LOCUS9463 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAFSYTFILLSLLSIFIVTPSYSFNPRKLVNVTSYSSPDSDWSPSVATWYGSPDGDGSEGGACGYGNAVGQSPFSSLISAGSPAIYDSGKGCGSCYEVRCIGNSACSGNPVRVVITDECAGCGSDAEYHFDLSGTSFGSMAISGQDEELRNAGKINMEHRRVECNYAGRSIAFHVDFGSNHEYFATLIEYEEGDGDLNKVEVKEALDSSSWDAMQQSWGAVWKYDKGAPLHAPFSIRLTTLESGKTIVAENVIPAGWKPGQTYRSIVNF >CAK8530720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44455737:44458022:1 gene:gene-LATHSAT_LOCUS564 transcript:rna-LATHSAT_LOCUS564 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRLNINAPLMSVRRNSATSPSLTESKKKILEKRNTLASYKSEMALDQVTEPVAVPFNWEHIPGRCKSTNTGSEVLQIPPKNTKVAPIPRLPPGKSIKSGNQQLEKDSVVAKNFTSSNKSKSFSGNFVKLDCDREREAEKRIESRRSSLKEKENNDDDNDNAYSDAVETLSHLTESFSVNCSVSGVSGLDHDNLDSKRFGTFSTDQQTRDFMMNRFLPAAKAMTLQPSQYSSKKPSVLVEQQPRDVNKLIQNAKKPLVTDIVPYTGIHQEEESEDEDEDEGDVYDNDDSDNVLGKGCGLIPNLQLRNSLSMLNPVTGMKMKNQVSLPSSACEVVKPNKKSHIRSFSPIPAVKKAWEAIHRNKSSAGTTTLPDMQERNKRWSSESNRFAYSGELLPGRLSPFRRSRASAAGISPCRTKPQSPFRGTKLLGDSKEIENNKSGNLKFQSTGLGKFQGAKRGSYSGSLAVEKTLYIDSSSTVKLASSNLSSVDSKRRIDTMIADFDKRREKERNSSIEISQDTKHVQSLDLEEKVTLDYEVLSSLGGNSTTLSGMLHHIAKEYEAEVLKTDQDTKREHVLVRPVRGTFDEDSDANSNKQIVLASSPLPPPLPKSPSESWLWRALPINSLKNSFMHSNQGTKSHAKRNDSNAASSNVKWETIVKTSNLHHDHIRYSQELPSRKSHHSKS >CAK8574211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669934475:669935759:-1 gene:gene-LATHSAT_LOCUS26574 transcript:rna-LATHSAT_LOCUS26574 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLTSSSSTTLPSFSIFPSTTPRTSLSPKKTLHFRLPSSKRDDANDSDEINVNINLPVLSNRRLSISPLSNDAAMGLVLSAATGRGWTTGSGMEGPPVPAVGKDDELGTGNISTFPWSLFTKSPRRRMLIAFTCTICGQRTTRAINPHAYNDGTVFVQCCGCNAYHKLVDHLNLFQETNCYLNSSFNYKGPGWDDLKFRFMDVDSDSDDDIFPVT >CAK8562485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500293187:500295685:-1 gene:gene-LATHSAT_LOCUS15977 transcript:rna-LATHSAT_LOCUS15977 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHSIILLTFLLQFSLLQFPSLGLFNQQDTYFINCGSDTNVTEYNNLYIGESNPTYPTKVFSNSSPETSQSSVPSPLYQTARIFYSESSYQFTTVPNNTYMLRFHFLSFSSPTHLSTAKFNVSVPGFSLLQNFDAKNFTNSPLIKEYFVKIIRKKFNITFTPQINSFAFVNAIELFSLPIHFIPDSIHRFKYGGVILSQALETKHRLNVGGETVTRLTDNLSRNWLPDDSYITTPQNANKSYFGGNIKRSADDESEGPNSNKYIAPDVVYQHARESKDGSNGLNISWSVPVEKNIDHFLRLHFCDLLNLQIGLTTFSLFIYDNFVQSVNNDSNFSFELHDPYYYDFVVRSDGSGILKVTVTPNKTDHQPNAFLNGLELMRMINSSGFIPMDDLALDLNSNSKVSLPVVVGSVVGGIVLVSVVVVVFLWISKIRKQRPVENSNGWPIRGAAEGSSHSRTTAQGSPLPNINLGLKISLLDLQLATENFNTERIIGKGGFGIVYKGVLRNGMNVAVKRSEPGSAQGLPEFQAEIMVLSKIRHRHLVSLIGYCDERFEMILVYEYMEKGTLRDSLYNTNLPTFLSWKQRLEICIGAARGLYYLHKGATGGIIHRDVKSTNILLDENLVAKVADFGLSRTGPLDQHSYVSTGVKGTFGYLDPEYFRLQQLTEKSDIYSFGVVLLEVLCARPALEPSLPREQVNLAEWGVFCKDKGMLEDIIDPSIKGQIDQNSLRKFSETVEKCLQDDGSDRPSMGDVLWDLEYALQLQRGAIHRESHEDSSSSASVSIQLPNVRRFPSLSTLSEMDDVSIGRATDESDSAPDAVFSQLNIGDGR >CAK8568663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629743837:629746169:-1 gene:gene-LATHSAT_LOCUS21601 transcript:rna-LATHSAT_LOCUS21601 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDIALQQRHENEILEAANQPLPDDDDDAFE >CAK8579312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691050566:691052205:-1 gene:gene-LATHSAT_LOCUS31266 transcript:rna-LATHSAT_LOCUS31266 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPNNDNQLPLKQIPGSYGLPFIGPIFDRHDYFYNQGRDKFFSTRIQKYNSTIFRTNMPPGPFISSNPRVIALLDAASFPILFDNTKVEKHNVFDGTFMPSTNFTGGYRVCSQLDTTEPNHALIKGFFLNELLLRKDSFVPLFRSILSEAFNEIEEGLSSKSGKADFNTIFSVASFNFMFRLFCDNKDPSETKLGSQGPKMLDAWIIFQLFPIETLKLPKIFNYLEDILLRTVQFPACLTRSSYKKLYEAFSSSAVTLLNGAENAGLKRAEACHNLIFIAGFNSYGGFKNQFPTLFKWIGLCGETLHKELANEIRSVVKQEGGVTIRSLERMPLVKSVVYETMRIEPIVRYQYAKAREDLIVESHDSAFEVKKGEMLFGYQPFATKDPRIFDDAEVFVPTRFVGEGEKLLKYVLWSNGKETDEPSVDNKQCPGKNLVVLLCRLFLVEFFLRYDTFEFETKEAVVGVSITITSLTKASTT >CAK8534649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752452356:752453042:-1 gene:gene-LATHSAT_LOCUS4177 transcript:rna-LATHSAT_LOCUS4177 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFRYYRSWMYDRTLPGIRGLTPNFEEGVKEFLTWAFAQECCRREGGVRCPCLKCECRPVISDPEEVEHHLKRKCFIKNYWVWTYNGEQLSSNVHAETTNTHASISRSHMEFEKQFNLINDMVGNPFGVNVIYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSTDSKLSMCVRLLAAKSNCNVPNQCLEYFAKMMLDATPMKDNLPTSYYDAKRLVSKLGLEV >CAK8576544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489681953:489684569:1 gene:gene-LATHSAT_LOCUS28723 transcript:rna-LATHSAT_LOCUS28723 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDEKVDAHAMLGYGHGYLLPWMAHWNHTSYMSAINPGWNGSTSVGPEVRSDRSMDVVGVVGEANGATRVGFGSEPSLVSGVSEKLKGRSSFQREEKSVFRKVDGKSGTESCSGDDKVSPSRVRLPLVSAPHKIETSVEKCQLLSQRVPMRSLRDTEPKNLTLLTPVNNSVKSASDVVLTNVYDKGKSVMNEVTGGPREVYPSSYSSAPQEHYTSTKFHSYSSLSVPEKQTSSRLDHQRYSLSRLMRGSFTHFPHDPIADSDDDGHHVVRSQHHKIQNLIANPNISNPTSLLESTNPKNVYSSVEKMPQSVYGVKDASKYTNVDSVEELSRGLPNISQATHYSLMSKIPGVNLSDKGQVFRQSMPLTKFKGNNFNKNFDLSLNPPASDHIKLETLGGYGKSEGKESISDFKCPTSVTNGSTPEPDTLDIGTLHENNNLPGEAPMLSNKCSKDSQNSLSTLGATTSAREKNLEKSGNINEEHHELLTLASPVDMETSTSRTRSLDVDQLFSQEKGHARSKSSNSSLGSDPSSRWVKRLKLCSSEHALGTKSENIGETSHVKLTNIFSKTMKDSKNLEAEMVYNEGHAEGQMVLEPPLVLSNAESSFTKAKEAVEITLSHPWIQRWSHNRAACNKNRHETVENRDSKSSNSAAEELKNKPFPSVAAMALMGKAMNSLNPSELTKKGPVIVWNMKGL >CAK8541541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234882058:234882453:-1 gene:gene-LATHSAT_LOCUS10456 transcript:rna-LATHSAT_LOCUS10456 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQSSWIIPFIPLPIPILIGVGLLLFSTGTQNIRRMWAFPSILSLTIVMIFSIDLSIHQIENHSIYQYVWSWTINNDLSLEFGYLINSLSSIMSILITTVGILVLIYSDSYMSHDQGYLRFFTYMSFF >CAK8530971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67268145:67274366:1 gene:gene-LATHSAT_LOCUS794 transcript:rna-LATHSAT_LOCUS794 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPQPILPPMDPPEDLSSVWDLSYLLDFNLDDDASLPLNLDNEPPHLTPIPNPNPNPPENDKIRKRDPRLTCSNFLAGHVPCACPELDALLEDNGLPGKKRARAARASASARCQVPSCEVDISELKGYHRRHRVCLRCANAVTVLIDGEAKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNTRRRRKPADSAAAVDHEVQTVTQNDDSNCDGETGIDYSNLSSENIEKRVSPDHEEEPVAIGSSTPETQNINGESGLSFVASAETQANIGNGVSNLSKSPSYCDNKNDYSSMCQTGRVSFKLYDWNPAEFPRRLRLQIFQWLASMPVELEGYIRPGCTILTIFIAMPNIMWINLLKDPMYYVRDLVAPKKMLSGRGTALIHLNDMIFRVMKDGSSVTKVEVNTQAPKLHYIHPTCFEAGKPMEFYACGSNLLQPKFRFLVSFYGKYMKYEYCVPSSHNWTEDSISCAFGNQLYKICVPHIEENLMGPAFIEVENESGLSNFIPVLIGDKEICTEMKILQQKQDASLLSKQFRSASGGSICSSCKAFVHIHTSSSDLLVDIAWLLKDPTSEIFDRMVSASQIQRYCHLLDFLISNDSTIILGKILPNLIILTESIKSNTNDVDMVQLLKCMHNARDAICQKGGSIVLHSKREGFKPAQCSSQDDKLSVDEVNSQGLLFNTDPELGVLRSLAFDKKNHKVPLLKRDIIMNMEDLPNRNLTRGVLTSRPTIFVLVSVVVCLAVCVSIFHRGRVTELAVSIRRCLFNH >CAK8575784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:323858098:323860233:-1 gene:gene-LATHSAT_LOCUS28019 transcript:rna-LATHSAT_LOCUS28019 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASVSLPLSPPFTPKNTKCFSPSHFSEFPNKLNFKPSPTILSYCFQERNITHPECRQNENTGTMCTVSITNKPDECRNSPELSQRGLPPLVSALKASAEQNAASFHFPGHNRGHAAPVSFTQLIGIRPYVHDLPELPELDNLFNPQGPILEAQKHAAKLFGSSHTWFLVGGTTCGIQAAIMATCSPGEYLILPRNCHLSAISAMVLSGAVPKYILPDYKNDWDIAGGVTPLQVLNAIQELELEGKKTAAVFITSPTYHGICSNLSDISELCHSRKIPLIVDEAHGAHLGFHSELPRSALQQGADLTVQSTHKVLCSLTQSSMLHMSGNIIDKDRISRCLQTLQTTSPSYLLLASLDAARAQLSESPDIVFNETIELANEAKCSLKRIRGISVLENSSFPNFPAVDPLRLTVGFWELGLSGYKADEILYGDFGIVCELVGSKSITCALNLGTCRDHVQRLLSGIKHLAGTCSSIQQPKDRELTDHAPFDDIIRKMIPRDAFFARKRKVTVKESIGKVSGELICPYPPGIPVLIPGEVITERAVDYLLHVRSKGADISGASDPLLSSIVVCDVQ >CAK8538126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465162699:465163118:-1 gene:gene-LATHSAT_LOCUS7360 transcript:rna-LATHSAT_LOCUS7360 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVQKIFSKFDKNGDGKISSSELKEMLSTLGSKTTSEEVKRMMEEIDQNGDGYIDLKEFADFHLSDAGKDDPDELRDAFDLYDLDKNGVISANELHSVMTKLGEKCSLSDCQRMISNVDVDGDGNCNFEEFKKMMAR >CAK8572353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531543908:531545581:1 gene:gene-LATHSAT_LOCUS24927 transcript:rna-LATHSAT_LOCUS24927 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8559946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2113387:2115220:-1 gene:gene-LATHSAT_LOCUS13676 transcript:rna-LATHSAT_LOCUS13676 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGAALRNILRPLSLSSSTTLTSQISTTLPFHAPFPSYTKPPQFLLPFINHFHALTDTRFPKRRPSDKPRRKRATLRPSGPYAWVEHTTGETILPNKPNEGSVKRRNEKKRMRQRRAFILEEKKKRKAQMQEAKRRKNIKKVERKMAAVEREREWAVRLVELKRLEEEKKKSMA >CAK8533262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597736801:597739472:1 gene:gene-LATHSAT_LOCUS2905 transcript:rna-LATHSAT_LOCUS2905 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLEIHQSSCYNSLKQDDSDFNLTEWGMKGSRMISRENTKSRRYSASIIRSIREDTDSFRSNITISSTASSPGYTFKDEIDPSTYSFTTALKALQARSVYKSWECLSPDGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRLFQNSTTNRITMSAPLVYSSRHIQTRTMASTFSFTKEDVALQFHSPDPEFESNPENTKEENKEGMTRDAYTQRRTFSSLSSRNLSTILTPSIIEISTKLSQDSLNSNDNETKLEEEVEVKDKEIWETREEKERGVHENKKKDEQLCRQSGCFSWMKKNMRREKERQKRNNGIFLIN >CAK8576082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:402053314:402053607:1 gene:gene-LATHSAT_LOCUS28298 transcript:rna-LATHSAT_LOCUS28298 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEYEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8561756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:375061700:375062704:-1 gene:gene-LATHSAT_LOCUS15317 transcript:rna-LATHSAT_LOCUS15317 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAAQLICGHPFDTTKVKLQSQPTPLPGQLPKYAGAFDAVRQTIAAEGAGGLYKGMGAPLATVAALNAVLFTVRGQMESLLRSHPGAPLTVSQQFACGAGAGFAVSFLACPTELIKCRLQAQSALAGKGAAAVAVKYGGPVDVAREVLRSEGGVGGLFKGLIPTMGREIPSNATMFGVYEALKQWFAGGTDTSGLSRGSLIVAGGLAGGFSWFIFYPTDVIKSVLQVDDHKNPKFSGSLDAFRKIKSSEGIKGLYKGFGPAMSRSIPANAACFLAYEVTRSSLG >CAK8544521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690279185:690279634:1 gene:gene-LATHSAT_LOCUS13192 transcript:rna-LATHSAT_LOCUS13192 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEMKSKSEIFHGDKICQQKSKEFLGEIALPNGLLPLKDIIEVGCNKEAGFVWLKQKKSTTHKFEKIGKVTSYATEVTAHVEKGKIKKLTGVKTKELFIWITISDIYVDDPPTGKITFQTPAGLSRTFPVSAFEVDEKSSGVKEI >CAK8543474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610267011:610267595:1 gene:gene-LATHSAT_LOCUS12230 transcript:rna-LATHSAT_LOCUS12230 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRNEWDQGMNTHKFKASVFYKLLIDDGTRVLWRKLIKSNRGRPRAVFCLWQACHGKLATKDRLKQFGMIEDSSCNLCHSGEEMMNHLFFCCQETRHIWKEVLKWFNIYHEPQPWDAELVWITNITKGKGWKVDVLKMLVAETIYNIWRYRNSKTFGNIVDNTTMDTKIIDNVIYRGWQNIKIRKHLISFMM >CAK8540127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540893319:540895202:1 gene:gene-LATHSAT_LOCUS9160 transcript:rna-LATHSAT_LOCUS9160 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSPPKINIKPEYCREALIEWYRLAKLGDHKECFHKKDLVLKAKQHLLFLGWKVQYINKKYRWKMCYTSPTNGKHYFTLRRACKNCIKDEGYSVNQLSTTLQASPTNLISSTTLPSKKRPRALEETDESNFNKDYEASISNPVKKPIVITTSTSEENEKHGCQSESKFTDLAGNNGRREKVINMSVMEKNSESHGKRGKVLNMSTRERYTLVSWLINNQVLIPDTKVSCRGRNNIVKRGSLSFDGIVCDCCQVIFTITKFEAHAGCTRHRPSTSIMLDDGRSLLECQRDALSLRDQKKDRFVVEENVKQENDSVCSICGLGGNIILCDRCPSSFHIYCLNLDQVPDGDWFCPSCCCKICHQPKSKQECYDLNDNNILVCVQSKQNYHFGCVNNEGIGLWKMDRNAKNKNWFCSVVCGNIFLNLNKLLGKSIKVADNLTWTLMKNTSIVVDDDEGDNDKEFISKEFSQKESKLNAALGVLYESFDPTIDASSGRELIKDVVFSRGSKQRRLNFRGFCNVILEKKGEVISVATIRIHGQKVAEIVFVATKEQYRGHGMCRMLMNELEEQLSRLEVESLILHSSEEAINTWTKSFGFVTITGEDKRRFINHTFLEFQNTIMCLKYLK >CAK8535678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874215158:874216063:1 gene:gene-LATHSAT_LOCUS5110 transcript:rna-LATHSAT_LOCUS5110 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLASILFFSFSIIAVFSEPTPITTHSDHPQPNQSFNPNQIQTQNAGGNTCAYTVTIKTSCKSPSYTRDRISLSFGDAYGYQVYVPRLDDPSSRTFERCSTDTFHVDGPCTYQLCYLYLYRTGYDGWKPESVTVSTFNYPPATFYYNTFIPNGIWYGFDNCRSYLPSTTAAAI >CAK8566401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419862613:419872231:1 gene:gene-LATHSAT_LOCUS19534 transcript:rna-LATHSAT_LOCUS19534 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTEHSPLDNTATPQTRSVRRRLVQSTLFPHKPAEPRNKPEENGDSCDEQDEDYRDTKNRRKRKSKGKVTPTNKGSKSVTPKKNAPTNDIMGSTSGNVLSDFDKVVKPKPDLRLEAKLSAEENSRLFAGRQVHPFFSSCKAGKKVQELSESGSDLYKAKSGDEKITCGPIHVFENIKDDTSSLDWKNWTFLRNSTHVNGGLESSNSSVYEGSVECLNLDKLLSTLHPLRASSIHSPCPENLQETSLSNSTLQEEPTKSAQMPKNAKVDLEVDESDTFSVLADYFRKSCTEPSSRFLQESLRSYYVGCEDKPEDSLWAYKYKPTKAVEVCGNDEAVNFLSDWLHQWHERRYKPRNKTSNRDTRVMQDDDDDYICSDSDYDSEDMNEEDSLQNVLLITGPTGSGKSAAVYACAQEQGFDILELNASDCRNGTVVKQYFGDALGSHGFKRLSEHTSSSQQITTNFPPAPALVNDKAADEVNDEVVELITLSDDEARSPCKTSQKLLGKNDVACDKVQTLILVEDVDILFPEDRGCIAAIQQIAETARGPIILTSNSENPGLPDNFDRLHVSFSFPTPKELLCHLYSVCLGEGAPIHPLLLEKFIQSCDGDIRKTIMHLQFWLQSKIFSKDLKAQTDNVSLPFDLEGGHQILPKIMPWDCPSEISELIENEFVKSVNIMEKNCSMQGLVEEEPLHINERQNDSDEQYMETDYIKAKKVEMIKRNGSVTDYGELEIQPKAISEFPNSSGSPEASYLQNGRRKLVVMSSDSEEEDSNNRYPQDTEDEANKRHSIKGNNECTSEIQLNENCPSTSFRKLVCSELEDSDEECDKYSKKADVTRINETSKSFDISCVPESSFVPETAIESETDTMSGAVSSGHCLEVSMNNELKPFTLCARRRLTKLSHNSDMLTDAEIPYSSPKEALQDFLDENMETTIIKVMDECSRVDFKLKSTFVEPSPLLETDIVQNLWKKLRQMDFRQHTISEQLGESEVVKLASGLSNLISEADLFHNYQHKHDILVPQSLVSNEATTSYNDETMMSTVAVHGFCFYVKLIADVGSKLGYANMIDLTSEMLASTTDTMTLGKLSRQDLAKSTVIYTGKKLELNNPISNVKKSENKASLFEVVQSIVPERISLAAQKGDIFYEYLSSLRQISRSEAVRVSQCVEKKRRGRSQGFQHYLSRCTTLSSEAIMLFSDGDLYKKISSQHTTH >CAK8564261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663537820:663540818:-1 gene:gene-LATHSAT_LOCUS17584 transcript:rna-LATHSAT_LOCUS17584 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSPSPILCASFNQDNSCFAIGTKDGFRIFDTNTGKLCYERAVGAFSIVEMLFTSSLLAIVGAGDQPSLSPRRLCLFNTTTGAPLRELNFLTSILAIRMNRKRLIVILQDKAYVYDINSLLILDNFDTVPNSKGLCAFSPCLDACYMALPASTTKGSALLYNAMECNLHCEIDAHRSPLAAMVFSSNGMYIATASEQGTVVRVHLVSDATKSYSFRRGSYSSTIFSLSFGPSKQLPDILAATSSSGSIHLFTLGFVSHPRNKRSSGFLGSIIPDAVNDVLDTAYHHVLHNAVPAGVKSSAVICKVENVTDTSSSELLACRASICVISYNGYFQEYNLSIDAQKMSWSLERETNLLTVTMDKVL >CAK8536894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:34766166:34772668:1 gene:gene-LATHSAT_LOCUS6220 transcript:rna-LATHSAT_LOCUS6220 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTALRLHLTLHTLISVSNRTSNPKTAYSLSSSPSPSRSHFSTVHSFLGPKPKRDFLADWASQNDDVVRPLPIYVGTASLFAVLFNRAISGIAPVADAGSSQSRADLLTLGLAVTNILAGLVWLSIKPKSIAVVNPQGAECKKLCTALPDVALTELLWVWESLSDVTCCRSLVIVYESSCVLQFGFAAEYSPGNGEVVSVDTDKLMQGSVYQGVVKSGTQSYLANLSLYPGKSELPFLPSNTQAVILQPLGDKGIAIIGGDTIRGFTTSDQAWITYIGEKLDSTLAKYVKPHTYLVT >CAK8542801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:548136366:548137317:1 gene:gene-LATHSAT_LOCUS11607 transcript:rna-LATHSAT_LOCUS11607 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRNPLAVGRVIGDVIDPFENSVPLRVTYGNRDVNNGCELKPSHVGNQPRVSVGGNDLRNIYTLVLVDPDSPSPSNPTFREYLHWLVTDIPATTEVSFGNEIVSYERPRPTSGIHRFVFILFRQQCRQRVYAPGWRQNFNTREFAELYNLGSPVAAVFFNCQRESGSGGRTFR >CAK8542800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:548136366:548137317:1 gene:gene-LATHSAT_LOCUS11607 transcript:rna-LATHSAT_LOCUS11607-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRNPLAVGRVIGDVIDPFENSVPLRVTYGNRDVNNGCELKPSHVGNQPRVLVDPDSPSPSNPTFREYLHWLVTDIPATTEVSFGNEIVSYERPRPTSGIHRFVFILFRQQCRQRVYAPGWRQNFNTREFAELYNLGSPVAAVFFNCQRESGSGGRTFR >CAK8560929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58777466:58779330:1 gene:gene-LATHSAT_LOCUS14566 transcript:rna-LATHSAT_LOCUS14566 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKLIENATSRQVTFSKRRNGLMKKAFELSILCDAEVALIVFSPRGRLYEFASSSILETIERYRSHSRINNTPTTSDSVENTQHLKEEAESMMQKIDLLETSKRKLLGEGLGSCSINELQKIEQQLDKSITKIRAKKAQVYREQIDQLKEKEKALIAENTMLSEKYDNYSSQQAKKDDRENVVEVEAYADQSSPISYVETELFIGLPETRTRRISSN >CAK8560930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58777466:58779330:1 gene:gene-LATHSAT_LOCUS14566 transcript:rna-LATHSAT_LOCUS14566-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKLIENATSRQVTFSKRRNGLMKKAFELSILCDAEVALIVFSPRGRLYEFASSSILETIERYRSHSRINNTPTTSDSVENTQHLKEEAESMMQKIDLLETSKRKLLGEGLGSCSINELQKIEQQLDKSITKIRAKKAQVYREQIDQLKEKYDNYSSQQAKKDDRENVVEVEAYADQSSPISYVETELFIGLPETRTRRISSN >CAK8564845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12245510:12245814:1 gene:gene-LATHSAT_LOCUS18103 transcript:rna-LATHSAT_LOCUS18103 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEVYRSSLKPEIAKALICTQNWLRPSFNQFKYLEFNEEYEISEDVLQGFTETSFGSGVSSSSLTQSQPSGCA >CAK8565092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35345908:35347067:-1 gene:gene-LATHSAT_LOCUS18331 transcript:rna-LATHSAT_LOCUS18331 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQTTPPHQSSSSVAAEHAIPQIHHQSNHHDLLYPNLSIYFPNSHSLMINADEKKVQRSSSSSGYW >CAK8536045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902348250:902349416:1 gene:gene-LATHSAT_LOCUS5447 transcript:rna-LATHSAT_LOCUS5447 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSITYAMAFCLIAVSVHINVAADKPYYASQPKYYSSPPPKHAEYPSSQKVPHHAEHSHHYHKLTPSYTYKSPPPPSHSPPPPYIYNSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPPPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPQPPYVYKSPPPSSPSPPPPYVYKSPPPPSPSPLPLYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPLPPSPSPLPSYIYKSPPPPSSSPPPPYVFKSPPPPSPSPPLPYIYKSPPPPSPSAPPPYIYKSPPPPSLSPPPPYLYKSPPPPSSSHVPPYIRKSPTPYVHKHPAYHLPKLYNSPPPPPRVY >CAK8564152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654638368:654638871:1 gene:gene-LATHSAT_LOCUS17481 transcript:rna-LATHSAT_LOCUS17481 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPQLHRRRSGSVSAVIRSSSVSNFGGGSNSNRFMMRNSRSLNYYKLREHSSSFPISKAPEVVRDSSVRSVASSEGVAKKAMKPRWYSLVFGKMKVPPEMELNDIKNRQVRRISSKSMFLSSDSGENLNVNRSSGKVSWKILKALSCKDHNSVSVTMSFSLPQASS >CAK8541382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:146508388:146508996:1 gene:gene-LATHSAT_LOCUS10307 transcript:rna-LATHSAT_LOCUS10307 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLISSIQTTFIKGRKLLNRVLVLNEIVDLAKRSKRIYVLLKVDFEKAYDCMDWTFLKKTIVEMGFGAQWIKWMEETIMNSYLSVLINGSPTQDFKVSEGLRQGDPLSSFLFSMVGEVLKLLVKRVVDAELLKDFKINNRVSYNILQYAVNTIIICDGARHNLWALKLILKGYGIISGLKINIGKTSLFGIGVEDGYLNAT >CAK8568706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633492600:633496524:1 gene:gene-LATHSAT_LOCUS21638 transcript:rna-LATHSAT_LOCUS21638 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLCTTLPFLSLTTTSLNSSKSSLSSILTNPTTRYHFSTIAMNSFTTTSAASSSSMQYVPEQDSNEMERVANLTFRRYTSNSTKRSGKGTAIVWFRNDLRVLDNEALYKAWLSSQILLPVYCIDPRLFATTYHFGFQKTGALRARFLLECLADLRKNLMNRGLNLLIQLGKPEDIIPSLAKAYGAHTVYAQKETCSEELNVERSVSRCLQQVGVSSDESAGDARTSNSHPKLQFVWGTTMYHQDDLPFDVTCLPDVYTQFRKTVEAECAVRSSIKLPSSLGPPPPIEDWGCLPSLEQLGLCSQNATKGMKFVGGETAGLSRIYDYFWKKDLLKVYKETRNGMLGSDYSTKFSPWLASGSLSPRLIHDEVKRYENERQANSSTYWVLFELIWRDYFRFLSVKYGNLLFHIGGPRNVQRNWSQDKKLFESWRDGCTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGSGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLLAIPREKRNFPGSLYIRQVVPLKFGTPGRHNKGETSLGGARRRNDRRWNRN >CAK8532919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549772294:549783801:-1 gene:gene-LATHSAT_LOCUS2579 transcript:rna-LATHSAT_LOCUS2579 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNERKLKSKFKRKASKGDSNDVEEIQDTDVVINPSKNTVVEEIVDISLDAVFSDNESQGSDSDGYLSEDSSCAHVTGTDDENENYIENGNSGSSLSVQNKEIFEELLKKEKRLNRLKEKFPGFSKFLESYDVSTGQDTDEETGSDDERFDTVNDDNASARLGKMLTSTSVDSLSKLVKEQRSLPALTCLVNAYRAACHSDSEITSVGDSVLSNSIQTSETFCTILMFMLHEADTIFRMLLGISSSSSKKEAVLDIKSTAKWLSLRPLIKSYLRSTVFLLNQITDSKILVFSICQLRASIIFLAAFPSLLHKLLKICVDLWATGDRSLSSHSFLIIRDIASVCGSNWLDICFVKTYKAFIGRSPSEHTHFLRNSFVELCCLDVQKSSNKANICIRRLGEILLKGWLVKKKEVVKKICSWQYINCIDLWVAFISENIGDYDLQPLLYMIAQIINGVVLLFPGPRYLPLRLRCIQWLNSLAGSSGVFIPVTSLVLDFLEYNITKDGGKPGKVFEFEPLSTIKLPKHWLKSHEFQEECVTSTIELLAEHFAQWSYHVSFPELATAPLVFLKKIVERTSSESFRRVIKRFIDQVELNVDFVQRKRDDVPFSPKDQQSVESFFQAEKRSGNNSFTQHYKSIIRKAASRKTLSNKKSLGKGKKRKMQRPNGVDVDGNPANSGKKKKMQHPNGVDGNPTDSLKN >CAK8543001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564938845:564944767:-1 gene:gene-LATHSAT_LOCUS11792 transcript:rna-LATHSAT_LOCUS11792-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPGSLTLCELNRYLITVDALSDDRADQTYGKHLGLVFSPVPFQPPPPPLENDVPEQEATAAITVPRKGPLALLQSLLNECLRHVFYPNDVHLLPEVNLQGVSWHLNRHIVAFISGPNQVLVHDYEDPDGKDSIILTNESQRDVRVLEWRPNGGRMLAVGCKSGVCIWSASYPGNTASARSGTISFVGNLSRGSGIRYLLVDFLRSQNGEDVSALTWSPDGRYPYKSSASYESSSFTVWDVAQGVGTPIRRGLGGISMLKWSPTGDYFFASKFDGTFYLWETNTWTSEQWSSTSGFVKCATWDPDGRMILLAFSKSSTLASVHFASKPPSLDAHLLPVELPEILSLTGSQGIEKLEWDNSGERLAVSFKGGDDEYGGLIAIYDTRRTPVISTSLIGFIRGPGENPKPISFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRSHMLT >CAK8543002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564938845:564944767:-1 gene:gene-LATHSAT_LOCUS11792 transcript:rna-LATHSAT_LOCUS11792 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPGSLTLCELNRYLITVDALSDDRADQTYGKHLGLVFSPVPFQPPPPPLENDVPEQEATAAITVPRKGPLALLQSLLNECLRHVFYPNDVHLLPEVNLQGVSWHLNRHIVAFISGPNQVLVHDYEDPDGKDSIILTNESQRDVRVLEWRPNGGRMLAVGCKSGVCIWSASYPGNTASARSGTISFVGNLSRGSGIRYLLVDFLRSQNGEDVSALTWSPDGRYLASASYESSSFTVWDVAQGVGTPIRRGLGGISMLKWSPTGDYFFASKFDGTFYLWETNTWTSEQWSSTSGFVKCATWDPDGRMILLAFSKSSTLASVHFASKPPSLDAHLLPVELPEILSLTGSQGIEKLEWDNSGERLAVSFKGGDDEYGGLIAIYDTRRTPVISTSLIGFIRGPGENPKPISFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRSHMLT >CAK8542867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554357489:554358337:1 gene:gene-LATHSAT_LOCUS11668 transcript:rna-LATHSAT_LOCUS11668 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHAYKRSYEDFDPVFKWRREVDRDTVELHLPGFKREQIRIQVNHLGFLVISGERPHDGTRWKRFKKEFEIPKYCNEDAIRGNFMQNILSIVMPKKVDLIPQEKQEEEMPELEDDDEYQEKNTYKSLKFGGRSGEEESEKRDKERLYEYGDTRENQFGENDVETTRDVAFKFMVVIIVVMVIVNYLVDMSKSLMGEAQSYFQD >CAK8560378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16701141:16701665:-1 gene:gene-LATHSAT_LOCUS14055 transcript:rna-LATHSAT_LOCUS14055 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDQENPHKSIFRRFFERAAECFEYKDKGEWIKHMKSSVGIAVSIIATVTFSLATNPPGGVVEVSLNDGSFCSNTTGVYICAGEAILATLYKEYYIGFLVCNTICFIAALSVLLLLVSGIPIEKTFLIWLLSFGMCLTLTSLALTYLFAVFLVTPDVIWNSPIKNGFGIVIVV >CAK8564586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1520416:1523640:-1 gene:gene-LATHSAT_LOCUS17878 transcript:rna-LATHSAT_LOCUS17878 gene_biotype:protein_coding transcript_biotype:protein_coding MENHNKVLMLMVCTFFFCSMPTFSKQNTFITIAPNQFIQYSDTLVSAAGTFEAGFFNFGDPQRQYFGIWYKSILPRTIVWVANRNIPVKNSTAMLKLNDQGTLVIFDSSNGIIWSSNLSRFAVKPVVVKLLDSGNLVVKDANSSSENKDFLWESFDYPGNTLLAGMKLKSNLVTGPYRYLISWRSPEDPAEGECSYRIDIHGLPQLVNEKGARFLSRGGSWNGFLFTGAPWQRMRRVLNFTVVFTDKEFSYQYETINSSTITRFVIDPYGNSQRLLWLEGSQIWEVIVSRPADQCDNYALCGINSNCNINDFPICKCLEGFMPKYQPKWESSVWSDGCIRKTHLNCLHGDGFLKYSNMKLPDTSSPWFDKSMSLEKCKTLCLKNCSCTAYTNLDIRYGGSGCLLWFDNIVDMRIHPDQGQDIYIRLASSEFDHKKNRRNLKRSVILAGVIAFIIGLTVILLVTSSYKKKLGCIKMFFHKKEKEDGDLATVFDFSTIANATNHFSNINKLGEGGFGPVYKGIMIDGQEIVVKRLSNTSGQGAEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDTTRSKLLDWTKRLQIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFIGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKYDVFSFGVVVLEIISGKMNRGFGDPGHRLNLLGHAWRLWIEERPHELMADILYDAAICSEITRFIHVGLLCVQQQPENRPNMSSVVFMLKSEHLLPKPNEPGFYVGRDNTNNIGSSSKSCSINEASMSLLEGR >CAK8565910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:350631742:350632083:-1 gene:gene-LATHSAT_LOCUS19085 transcript:rna-LATHSAT_LOCUS19085 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIFHQTLLCQTQSVAEYQSKLNSCGIVSGTLFQSQSVDKEKKLLLSTNFRGSRLCVRKRRVAMAKNHSISRAVLTSNAASELSEKFNLEGNIEMQVNLSFSGSGECNITN >CAK8567404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512490935:512496591:-1 gene:gene-LATHSAT_LOCUS20464 transcript:rna-LATHSAT_LOCUS20464 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTLCACHHMAFSATSNNAAIFPFRLEFRPKLTPRTTHLVTAQLSNSFSFHSASDSQNLKSIELHDASRLPWIGPLPGDIAEVEAYCRIFRNSESLHSALMDALCNPFTGECSVSDDVPSHEKPLLEDKIVSVLGCMIALVKGGREDVLSGRFSVMSRFRASEVSSIVGETLPPLANFRGEMKRCCESLHVALENYLVAGDDRSLEVWRKLQRLKNVCYDSGFSRGEDYPCPALFANWCPVYLSSSEEDLEGKESEADFWIGSQVTEEGLKWLLDRGYKTIVDLREETVKDTFYQAAVHDAVSSGSIELVKIPVAVGTAPKMEQVMRFASLVSDISKRPIYLHSKEGVRRTSAMVSRWREYTAHSASQYVSNQTVISNDMLSDYTIEAGKLQDSKPADRSSLEKDTDLLQEGLGAIHSSVDSFDPSMSPNKVNEETQTNGTLGGNFPNGRTSLQAMTADGESYFPTLSSKANPLEAQVPPHDIFSKKEMSRFLESKKISKLTSSINQVKRLECLPDSRSMHNRRLQGQVIINSGHNPEPKTVGPAGSSNGPAQIAAGNKLKLVNMNTSSSVRTTVNAFSEREMYCMPDANDIDDTTNSKTILADEDKAGEGSGFTEGDMCASSTGVVRLQSRKKAEMFLVRTDGFSCVREKVTESSLAFTHPSIQQQMLMWKSTPKTVLLLKKPGKHLMEEAKEVASFLYYQEKMNVFVEPDVHDIFARITGFGFVQTFYIQDTCDLHEKVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHSFEDYKQDLRQIIHGNNKRDGVYITLRMRLRCEIFRKGKAMPGKVFDILNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLELKIPEDARSNAWVSFDGKKRQQLSRGDSVRISMSQHPLPTVNKFDQTGDWFHSLIRCLNWNERLDQKAL >CAK8531838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:162018967:162020122:-1 gene:gene-LATHSAT_LOCUS1603 transcript:rna-LATHSAT_LOCUS1603 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALSTPTTQCLKSTTTITMLYSSHRLSTHFCLFKNLIAGNRRFSSNESCFIRGHQINIKQVFGLISRRAPRNKAGFVVSPRCGLPLTEENVERVLDEVRPGLMADGGNVALHEIDGLVVILKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQILDTETGLELTEENVESILSEIRPYLVGTGGGTLELIEISDYTVKVRLSGPAAGVMTVRVALTQKLRDKIPSIAGVQLIE >CAK8563453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607197738:607203362:-1 gene:gene-LATHSAT_LOCUS16863 transcript:rna-LATHSAT_LOCUS16863 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIFQIQTLISSNDNSNKCSGYSTLLQFQQHSCLNPSSLQSLAQSSNSIVSSTVSDISHPDEEIAAHALKCLGFMIYHPDIVSTLQVDGVNLVLDSLSKLITTTKLKTVCNLGVWCLSVQQLGASFLVTHFRSLLHAIVHALDNPMGSLSTTFEATQTIMKLSGQISEQMRDSLHIWAPPIYRRLLSTDKREKDSSERCLLKISSIVIPPSLELSKVLVKDMKIKLLNGMNDLLDNGMKIQAIQSWGWFIRMLGSHALKNKHLVNDMLKIPQRTFTDPDPQVQIATQVAWEGLIDALVDHPILVSEKKTPVKDTSLQQQHSFGKSDCKDQVYGIYKSIKLIMTPLIGIISSKCDISVHSSCLNTWCYLLHKLDASVNEPSSIKMVLEPILKAVFQNGPDSKTIWLWNLGLELLSDSVSQKCRELNSIEIGLSSSGKSSWKQHPIRWLPWDISRLDFYLSMIFVLIRQASGTTVTCDHRSHVYDAALNLFIYILKGVRLDLESPSTNYDGVIGCLDMLLTFVKKVCEDLYSDGSENYDLYYTSIQFIEAITKELGPSILGSPLYKFSLDIKYMNDIQSVDHNKHLKFLTVNCISYMDKVSALVYLVVLYFQMMVQLTLKSQQSDRISQGMSEYFKLIFSSSDPLDNLVTCTGLFYKHVEPVYLNVWIAVAQGLNYRVSNANCMSLKESLSDSIGYSSINHLLVYPIMAHSEVPRMTSSNASGSLEKRSVSPETKPRFELIIQTWKSLYGSLSACFGCSTTTNFSGDFCKLINGWLDENVGMLESGTDVKLTCSDIDLGILHLSGNFLICILEQIQTLELVSETNRSKSECDSKILNSIKNCLTFASKYMNLLRIKMVTNPLPGFVGTSRLSSALASFINRLHRKQDILLFLEIISCPLLQWLSNTEMQDEKTNDNLKLLWTEILSSLKKSQPPIHFGSALLELHEPLFEKTLDHPYPSISEATIEFWNSTFAHQIIFDFPPRLLHVLDKLSRYGKLKLQNRSLSSFQKRHTREEISDTLQGYKVIAKQNKTSKRVELLSDTQKEAPPLSFKRKRLELTEHQREVRRAQQGRERDNAGHGPGIRTYTNADFSQGFDDSQESQDEIRDSEAILEMLRKII >CAK8576398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469940983:469941957:1 gene:gene-LATHSAT_LOCUS28587 transcript:rna-LATHSAT_LOCUS28587 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKGVKWSWTSALIGAASAVAATSILSAKPKDPTFHLISINFTSLKPSLPAIDAEVLLTVHVTNPNIAPISYSSTTMSIFYEGSLLGSAPVQAGSQPPRSCQLLRLPARLKAKKLAKHAGRVVADVAKREMILDAAVDIAGTARVLWWDHNFKVRVNSHVTVDPVFLDVIDQENTTQLELFASDDELESEDETEAEVEE >CAK8536035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901596307:901601692:1 gene:gene-LATHSAT_LOCUS5437 transcript:rna-LATHSAT_LOCUS5437 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVLVALVLSLVGGLSTSIGALFVIINPAPNLKMLGLLQGFAAGLMLSISFFDLAHNAINSLGFLKGNLWFFAGVIFFAIIANFIPEPTLVPTSDVKTKKKKGDEGGKDILKKHRQQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGVNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVIIVAYLFPSSLNPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQSVKAVFFGMAFMSASLYFLSISLPEEISL >CAK8541798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:398527450:398529422:-1 gene:gene-LATHSAT_LOCUS10693 transcript:rna-LATHSAT_LOCUS10693 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLVDGEFWLPLQFLSDDEDGSELTTLSFLSKEKPSQLLNNGEDIHPFPSEFPYGFASSDFSSSVDSPSGSSETESDEDEKHMAELTHRMGRSTLQGNSKTSDNNLGRFVSGSPQSTLCAFGSGCRCRNVSSSSHGSPNSVCEVSSSKATWDLLHAAAGEVERMRLNQQRYNSQKLSPITLSSKNNTVSTNHEMGYFTQHSLSHQQLQIAQFQMLRQQQMAKQNSMWGGVQNQYGGVFENQQPNHTAHNKGRNIGDTNGVDGMRNTSTGALGLSSSAWPTLQHAKQQQFYNQAKQQQINNQQFGSGMRAVFLGNGSGRRESAGTGVFLPRRVDCPAVSRKKPVCSTALVPARVAQALNLNLDEYVVGQPQQHLHLHRFNSISNPDNVAPPRHRGNYVHSHQKHINNNISRPQPAVSNEISLPKEWTY >CAK8574480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:490781:492113:1 gene:gene-LATHSAT_LOCUS26824 transcript:rna-LATHSAT_LOCUS26824 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPVELLKEVKKVSFTAIVHIFMGSCNHNVVKKIESLFEDLMNGLNSLPINVPGFTFHKALKAQEKIVKILEPAVSERRMKIKNRQHMGEKKDFMDILLDMKDVNGRKMKDGDISDLLIGLLAAGHESTTTGIMWTIIYLTNHPHFLKKVKIASDGLKHRVFEVSLADLRGDEEQAFRKIRLRAEDVQGKNVMTNFWGINLTTDKLR >CAK8543575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620773209:620774555:-1 gene:gene-LATHSAT_LOCUS12323 transcript:rna-LATHSAT_LOCUS12323 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKRTCLKSPLSSANSIIIPEPEFYLPDDCWELVFTFLINPVDVFTFLINPIVIVSKQFLSITNRIIFSTTICYPRLRFLPRFFRRFSNLNSLDLSFGGSRDLDASIALALRDRPTLKSLSIYRIDLRDANYITSYYIDSFLSLKGLDSLKFSYSQISDDLLYSIAREGLPLNKFVLQSCTGYSYNGIYDLLSKCPGIQHLGLQDVDFLSNNHLSGLSLLLPDLISINLSECSKLTESTLFAFIKNCRSLLEIKMESIYCERKNVENVDILKDFDVNPQLKFLHFSENSFVNDKIIVIFASIFPNLHLLDLSYCHRISGKSISQVLTRCCKVRYLYLTSCEKVRRIKMNVLIPQLVVLNLSGTSVDDKTLYEISKSCCGLLHLFLIGCKYITENGVIRVVARCRRLKEICFKCCDKVNVDAIISILSSNPSLEKDNCSCEIRLRF >CAK8567518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520115413:520116774:1 gene:gene-LATHSAT_LOCUS20564 transcript:rna-LATHSAT_LOCUS20564 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLIRDADECEVVDLYVEHSISVPNIVDDAEVGHDITYNDDEVQCTGEKFVDDEVEVDNNAEVVDDVEVGEVDNDAEVGDGDSVEVDIDAEVGDSDGVEVDIDAEVGDGDGVEVDIDAEVGDGVDVDMDAEVGGGVDVDNDAKVGDGVDVHNDAEVGDGVDVHSDAKVGDGHDGNEPKFDSEKELESEPELDRATVIPTKPIKPHVNDNDFHHDNDEDSDQLQTPPESENDEEYERFPTYKVGVGTKFQLGMKFNNNDLVREAIKEYAMMEKKNVYLKKNDAKRMVVRCISECKFYMRIGKRVDNQYWQVAYRAKRRAMDIIQGAGRDQFTHLRTYANELVNSNPHSNIVLKCSDSSDDPISERIYICLEACKTGFAFYCRPLIGLDACFLKGD >CAK8567623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530063107:530064485:-1 gene:gene-LATHSAT_LOCUS20660 transcript:rna-LATHSAT_LOCUS20660 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQWAQGNIGLVKQMEGSKAPMIERRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSPSPSPSISHQPPPSSITKNITIPDLSASHNNSFPQSASQNPKIHQGQDLNLAYPPPPSDDSYNTISKFIELPYTTEQEKTHHQNTTNSSSSPLSAMELLKTGIAAASSSRGLNSFMPISLSESSAMYNSTGFALQDFKPGLNFSLEGFENGYAGLQGINNQESSGGGGGARMLFPVVEELNNNKQQVPNSGEFEQHHHQQNNRSQGDSTGYWNGMLGGGSW >CAK8531134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83281700:83285250:1 gene:gene-LATHSAT_LOCUS946 transcript:rna-LATHSAT_LOCUS946 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTHDPTDNENEADGQEQSESQMQPVSANGISHAGIDTQVVQYVPPPQLGPGHAMVPPAYPYPDPYYRSMFAPYDAQAYPPQPYGGHPMANLQLMGIQHAGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKVTRNRKPYLHESRHLHALKRARGCGGRFLNSKKDENQQDEVGSADNSHTNINLNSDRNDLAPSDKNS >CAK8530388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18436774:18439856:-1 gene:gene-LATHSAT_LOCUS256 transcript:rna-LATHSAT_LOCUS256 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYQCCETEFFIRIVIIVLLVVFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHADKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVVAWGAILISVTLILLFGEIIPQSVCSRYGLTIGATVAPVVRVLVWICFPVAYPISKLLDFLLGHRHEALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSDKTAGDAMSPINEIFSIDINSKLDRNLMNVILEKGHSRVPVYYEEPTNIIGLILIKNLLTIDPEEEVPVKSVTIRKIPRVPEMMPLYDILNEFQKGHSHMAVVVRNFDKTGQQSSNNNCNDSVRDVKVNIDVEKTSQEKILKNKMQLHKRKSLPNASNSNRSTGSSRSKKWSQNIYSDILEIDGNSIPKLPEKEEAVGIITMEDVIEELLQEEIFDETDHHFEES >CAK8566799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463907123:463910841:1 gene:gene-LATHSAT_LOCUS19906 transcript:rna-LATHSAT_LOCUS19906 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAPAPKSDEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHFLVMLGTTVLIPTALVPQMGGGNAEKARVIETLLFIAGINTLVQTLFGSRLPAVIGGSYTYVATTISIILSGRFSGEPDPIEKFKKIMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELILLVFVSQYVPHVLHSGKNIFDRFAVLFTVVIVWIYAHLLTVGGAYNGAAPKTQSSCRTDRAGLIDAAPWIRVPYPFQWGAPTFDAGEAFAMMMASFVALVESTGAFIAVYRYASATPLPPSILSRGIGWQGVGILLSGLFGTITGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPSSIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSIPQYFNEYTAINGFGPVHTDGRWFNDMINVPFQSKAFVAGVVAYFLDNTLHKNDSSIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >CAK8534376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721699268:721700935:1 gene:gene-LATHSAT_LOCUS3926 transcript:rna-LATHSAT_LOCUS3926 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKSNFNSTSQSQKENINNKNNNNNTNVVLNVYDLTPLNNYLYWLGFGIYHSGIQVHGKEYGFGAHDFPSSGVFEVEPRKCPGFMYRTSVPLGQVNMPPSEFRTFIENMASEYHGDTYHLISKNCNHFTDDISGRLTGKRLPGWVNRLARIGSLCSCLLPDSIQVTTVKQLPEYHSEDEVTDSLPIATPGESMELDDEQAKCLLSPVATTEDVAFVKETQVK >CAK8543380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603834382:603834606:-1 gene:gene-LATHSAT_LOCUS12139 transcript:rna-LATHSAT_LOCUS12139 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYACIEGEKVEIERKKIDAKIKKVENEEERLKMNDLQTLSKDTSNMDTRQLKAHEILCDMIREKYGFN >CAK8560398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17390762:17393964:1 gene:gene-LATHSAT_LOCUS14072 transcript:rna-LATHSAT_LOCUS14072 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLNDGSLHQKEEEEAAQTPSTKQAIKTLTAQIKDMAVKASGAYKNCKPCSGSSNGNKNKKYADSDMGSDSARFNWAYRRTGSANSTPRMWGKEMEARLKGISSGEATPTSVSGRTESVVFMEEEDEPKEWVAQVEPGVLITFVSLPQGGNDLKKIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQQAVPLPTPPISEDESSKIESARDSPVTPPLSKERLPRHLHHPTGMGYSSSDSLEHHHMQPQPCYETSGLASKPNLSDIGVPKTERSSMDASVRTSSSEEENDHSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSREKFGETRARLWWEENRARIQEQYL >CAK8543201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587707322:587709922:-1 gene:gene-LATHSAT_LOCUS11974 transcript:rna-LATHSAT_LOCUS11974 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFDMANSLLGKVASYACLETSLAYGVYDDLQRFRDSLTIVSGYLLDAESKKDQSHVFREWLKQIQNICFDAEDIFDTFELQHKRKQIVESSGSTRKKVSHLFSKYNTIVFFPGMGYQIKEIRERLDKKAAEGITYGLTTILEPVVRERELTYPDVNVSRVIGRHIEKEEIIKLLMQPFPQGDGDKRMCVIPIVGMGGLGKTTLAKLVFNDDTMDQLFQLKMWVCVSLNFDIKQIIIEIIKAASTLDSKAASAPPMSLTRQENINNLDIGQLTDRMRQKLSGKFFLLVLDDMWNEDREKWIQLEDLLKVGAPRSKIMVTTRSISIASMMGNVPSYDLKRLSPKECLSLFVKCAFKEGEEKRYPNLMEIGEKIVGKCHGVPLAVKILGSSLFSNLNINKWKIVRNSEMCNLEQKKDGTLPALKASYDRMSSMLRRCFSYFSLYPKDHIFNSYDMCNLWVSLGLVQSRNGSEKLEDSARKYIDELHLRSFVQDVDDRGAFCNFKVHDLIHDLAMYVAGEDFVAVKSQTRNIPQQARHLSFVEEESFGHALFRESKSVRSIQFPISGIGLESQSLLNTWLSKYKYLRYLDLSDSSFETIPNSIANLKHLRSLDLSYNDKIRTVPYSICELLNLQVLWFHGCTKLEKLPKGLGKLINLRSLTVTTKQSMLPHDEFASLNNLQTLRFYFCGNLKFLFKQPLPSIEELYFESCGSLESLPLYIFPKLKTLSIRDCQMLNLSLDNENSIQNLRMKHLYLFDFPKLLTLPRWIVCAVDTLETFAIVNFPNLQMLPEYLSTMTRLKRIFISFCSQLSTLPSHLHRLTALEDLRIFDCPELYRKCQPHSGEYWPMIRNIKTIHIEEGEEEEP >CAK8568327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:595523181:595524458:-1 gene:gene-LATHSAT_LOCUS21293 transcript:rna-LATHSAT_LOCUS21293 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLVDWLVKVADEYKLLPETLHLCISYIDRFLSLKSVSETNLRLVGVSSMLIASKYEEITPPKAVTFCKIADNNYDLSEILNMEIDILKSLNYEMGNPNVTTFLKRFVGLACGNQKNLNLQFEHLCNYLADLSLLDYECIRFLPSVVAASVIFLARFIVQPEVHSWTPSLYECLGYKSSELKECVVILHDLYFLRRATSLKTLRNKYIKKKFKCVANLPSPLEVPTSYFEET >CAK8577403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561094772:561095450:1 gene:gene-LATHSAT_LOCUS29516 transcript:rna-LATHSAT_LOCUS29516 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGLIRIRIKKGTNLIPRDSRTSDPYVLVTMAQQTLKTAVVKDNCHPEWNEELTLYIQDKDLHTNTPIQLTVCDKDTFSVDDKMGEADIDIKPYLHCVKMGLTDVPEGHVVKMVQPDRTNCLAEESRCIWRNGKLVQEMSLRLRNVKSGEIFVEIEWIDVTDSKGLSEVEL >CAK8543288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595981943:595984820:-1 gene:gene-LATHSAT_LOCUS12055 transcript:rna-LATHSAT_LOCUS12055 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYEDIDMGYEEEPAEPEIEEGAEEEDPDNKNDDIDGLGEPIETEEKEDDQPVERPRRTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >CAK8568472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:609811495:609812992:-1 gene:gene-LATHSAT_LOCUS21427 transcript:rna-LATHSAT_LOCUS21427 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVTPAHVSNIKPLLCSTQKVNHVKSELSPPPSWSEGRRMVSISLVLAPFLLNPNRANAEGNFMDKYVKRKKLEPLETYVPAVILTQFQIEDLDKTLEGDEPQFALCRSLLRSGPAASLRKNIRAVAQYASDSGNGKTAFNNVDECLRSIEELDSLLLRASRNDPGASVKSMKTNVKSALIALDSLLQTVPSDVLSKGKVIADSYLDREDVETESLDPGLKQLESIL >CAK8531494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115576759:115577013:-1 gene:gene-LATHSAT_LOCUS1282 transcript:rna-LATHSAT_LOCUS1282 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNNKARSGAIFTIWMACNRRLLTKERMMKFGMTSDGICIFCSMQESLDHPFFEFDYTKVLWEQVLAWLSDNHNSGGWTIEQG >CAK8541474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:195074445:195074762:-1 gene:gene-LATHSAT_LOCUS10393 transcript:rna-LATHSAT_LOCUS10393 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNLMNYPGENEACSEVHSLKDIVGNIIENNAEDDGEDDTVSLEPVTRNEALMASNTLHNFMIQYKNTTPELLDAIRKIRDEFQIDLSFKGKQTTIESYFNRV >CAK8572096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:509496452:509497826:1 gene:gene-LATHSAT_LOCUS24697 transcript:rna-LATHSAT_LOCUS24697 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNLLHLPSTSISSSSSSFCCLFPRNFIPRNKNLCPKGGSNHIKPSLIVKSVAGDPFTDGTIPIPSNPFDAIPSLKLNLLSAVSGLNRGLAANEEDLQKADAAAKELEDAGGLVDLTDNLDKLQGRWKLIYSSAFSSRTLGGSRPGPPIGRLLPITLGQVFQRIDILSKDFDNIVELQFGAPWPLPPLEATATLAHKFELIGSSKIKIIFEKTTVKTTGNFSQFPPLEVPQIPESIRPKSNRGNGDFEVTYLDADTRVTRGDRGELRVFVIS >CAK8530586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32541829:32542992:1 gene:gene-LATHSAT_LOCUS439 transcript:rna-LATHSAT_LOCUS439 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKLRDTFKYQIKANGSKFPNGRRCIWRRLATKTGLEGFWDFEDSSFRNFLFTWLKPRPHISILV >CAK8562024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:427014487:427027005:-1 gene:gene-LATHSAT_LOCUS15560 transcript:rna-LATHSAT_LOCUS15560 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSPPKVLPVEHADDSMRSPPPKSDPADAIGRNVGSSGGSSRRKGRVSEMKSSEENRRRSPRLSVESNGTDSSSRAVKLRRCRSSNGNENMQVVHQKKKTSKVISSSIELSNSSVEQHSPEVDDIDCSALSPEEITTSAWVENGRTNSNCFALSVLQGKPSKKKFKSSASFANGSMDEKNISLFIGDPIPDNEARERWGWRYELKDKQCKDKVFKINEDEEDETIINVKCHYAQAKIGNCTFNIGDCAFIKGEEEQKHIGKIVEFFQTTDRKNYFRVQWFYRIQDTVVKDAGDYHDKRRLFYSSIMNDNLIDSIIEKVNVRYIKPKVGPRLTSVSPSNFYYDMEYCVEYSTFRKMPTDNVVKIKESSQPAVVESLSTEASTISKCLPSPELHRTELTLLDLYSGCGGMSTGLCLGAKLSSVNLAARWAVDSDISATKSLKLNHPDTHVRNESAEDFLQLLKEWEKLCKRYNVGDTERKTPIRSRSSGGKKQVNSQADDNSDDELEVSRLVDICYGDPSKTGIHGLYLKVNWKGYDESEDTWEPIENLRNCKQIIQDFVREGIQSKLLPLPGEVDVVCGGPPCQGISGYNRFRNTESPLDDERNHQIVVFMDIVKYLKPKYVLMENVVDILRFDKGSLGRYALGRLVHMNYQARLGIVAAGCYGLPQFRLRVFMWGAHPDEVLPQFPLPTHDVIVRYWPPPEYERNTVAYDEDHKRELEKALVIQDAISDLPPVTNFETRDEMAYKNPPETEFQRYIRSTKYEMTGSKLNGTTEQNHLLYDHRPHFMSEDDYLRVCQIPKKKGANFRNLPGIVVGADNVVKPHPVEKIPLLPSGKPLVPDYCFTFEQGRSKRPFGRLWWDETVPTALTSPSCHNQVVLHPEQDRILTIREFARLQGFHDYYRFYGSVKARYRQIGNAVAVPVSRALGYALGIAHRKLGSNEPHLILPSKFSLSNYLQLSSNHVGNSIFETENAGKISVVESENAGDNNVVESGNAANTIPDSKNATDAKIATTIIESENAANTNFESENAGDTTIFESENAADTIFKSVNVAETTIFESDNAANTIFESENAGGTTVVESENAADTTLDSNNATNTIFYSENAADTTMFEVDGIFDSKDALDTPTFYSENAAETSIFESDNAANTMFESENAADTAMFESENAADTTMFELENAADANFDSKYVADTTIFDSVNAPDTSIFQSENVGDTTIFESENAPDTTIFVSENAANNSIFQSENDGDTSIFQSENVGDTTIFESKNAPDTTIFVSENAANDSIFQSEDAGDTAESENATDTFFDSENAGDTN >CAK8571661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457355216:457356283:1 gene:gene-LATHSAT_LOCUS24302 transcript:rna-LATHSAT_LOCUS24302 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSLVNAVDDVIISKLGSTFATSLHMLDDQKLEHVSDGDDIGDCHVGEGREFDGFEQQETTLKLMSLKEYPTFPYPDMVLPSSSSDDEEADTPPLTKSPSNQSLQQSYPCSASQPASPKLVSAMKGSRGKHGGSEMKLSVKWAHDVYDPIPTLSSHTVRVKKQPKSRIKKSEKKYVKKGHKGSSYSKGGSGKDKKQHYRYSWPESCGKGFDASKELNNLDVVGHDSYHEISNSKIPSTEKPCHVGEAFSNILDFVSYIKSA >CAK8576147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416118063:416118723:1 gene:gene-LATHSAT_LOCUS28358 transcript:rna-LATHSAT_LOCUS28358 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVHGERNGSSSHFSPQPPKLNRKRVAALPSLEPFPSARRPNEEGREEDKKTRSVLLVSQLVASKGCPLSAGCWSSLLRGSRLSFTGLLSACAKEQENGCAKVDARPKQATAFEPLSEKALVKRASALDLEVRASSCWERG >CAK8542423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512257172:512260195:-1 gene:gene-LATHSAT_LOCUS11263 transcript:rna-LATHSAT_LOCUS11263 gene_biotype:protein_coding transcript_biotype:protein_coding MTENWNCLTLDLIIFNVTLACVDGVLAIVAFAQVVRIHLRSQQNGWTRQKVLHIMIGTSNLGYCIYFTSTVFATCNGWYCWSGVCGFILMAAPKVMFLAAFLLLLSFWVDLCHQENEEELDDEDNVENRTLLEGVMREQHGSAPKKIHRRCCSIQGIHIGSRQKYVILIVLLIFAVMIAFAILICVGDATNPIDPSIVARVYETFLAIMILILAGALGCYGFLLFFKLRKVRSEDASSEMWKVISLAIISIACFSASALVALNTDIPLFYHWHLKFIYGVKAFVFLILYYFIGSSLPSAYLLWIIRELPPLVTDSIQEEPRGTYTFISHADEPSSSSHPSSWTTATSSTNQVRFIIHIALFRTTAF >CAK8575173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43887311:43887610:1 gene:gene-LATHSAT_LOCUS27459 transcript:rna-LATHSAT_LOCUS27459 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLVSIREKIDQFPMKDVFNMDETGLFYRLQVDHSLTTKQLEGRKQDKERLTEVICCNEDGSEKIHLWIIGKYAKPCCFKNVNMNSLNCQYRANKRA >CAK8575176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:46169752:46174467:-1 gene:gene-LATHSAT_LOCUS27462 transcript:rna-LATHSAT_LOCUS27462 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLQKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYAAFENIYPVLTEFRKNQQ >CAK8575177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:46170135:46174467:-1 gene:gene-LATHSAT_LOCUS27462 transcript:rna-LATHSAT_LOCUS27462-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLQKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYAAFENIYPVLTEFRKNQQWYEHEFIYDSYCYNFNESILVVSTTLLISSFSRQDTSPLGVDL >CAK8531448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111193715:111203556:-1 gene:gene-LATHSAT_LOCUS1240 transcript:rna-LATHSAT_LOCUS1240 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRTNLRVLPLISFASNSNAFYSSHIIGDKPVLVRDFIHSALYHPLNGYFSQKSRSVGVLPNTIRFNQLQGRKAYMRYLDNIYKQSDISWFTPVEIFKPWYAHAIAEAIMRTANFSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPKVYNSMTYTSVEISPSLAEVQKETVSEVRSHIPKFRVECRDAADRSGWGDVEQQPCWVIMLEVLDNLPHDAVYSESQISPWMEVWVERQHDQETLSELYKPLQDSLVTRCVEITDLDKTKTPQSSAVRALKSIWSKVHPKPRRCWLPTGCLKLLEVLHEVLPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGSSTDYQDYMEAKGDADIFFPTDFWLLERIDHYCSGWLKLQDDHSSKQGKKRRTITLETSAFMEEFGLPTKTRTKDGYNPLLDDFKNTKFYLSVPTHNTK >CAK8534521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738433768:738434532:-1 gene:gene-LATHSAT_LOCUS4063 transcript:rna-LATHSAT_LOCUS4063-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRHISLLYAGKYHAYPKSWIPSSMDSFELALQFGISVMVIACPCALGLSTPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVIVNTKLLIKMVLRELTCS >CAK8534520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738433768:738434542:-1 gene:gene-LATHSAT_LOCUS4063 transcript:rna-LATHSAT_LOCUS4063 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNAVEAYQLALCFELALQFGISVMVIACPCALGLSTPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVIVNTKLLIKMVLRELTCS >CAK8573100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:584325384:584325651:1 gene:gene-LATHSAT_LOCUS25586 transcript:rna-LATHSAT_LOCUS25586 gene_biotype:protein_coding transcript_biotype:protein_coding MKADKPIGTQLFGQAKKETSDGALKGQPSKSASKKAQQKPQESNKKKGKATKPAAKNK >CAK8571772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471411015:471412645:1 gene:gene-LATHSAT_LOCUS24405 transcript:rna-LATHSAT_LOCUS24405 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFLSLLSLSLLLFASACLATHSEFDRLNQCQLDNINALEPDHRVESEAGLTETWNPNNPELKCAGVSLIRRTIDPNGLHLPSFSPSPQLIFIIQGKGVLGLSVPGCPETYEEPRSTQSRQESRQQQGDSHQKICRFRKGDIIAIPSGIPYWTYNHGDEPLVAVSLLDTSNIANQLDSTPRVFYLGGNPDTEFPETRRSGQHQQEEESEEQNEGNSVLSGFSSEFLAQTFNIEEDTAKRLRSPRDKRSQIVRVEGGLRIINPRGKEEEEKEQSHSQREEEEDEEEEKQKSERRKNGLEETICSAKIRENIADAARADLYNPRAGRISTANSLTLPVLRHLRLSAEYVRLYRNGIYAPHWNINANSLLYVIRGEGRVRIVNCQGDAVFDNKVRKGQLVVVPQNFVVAEQAGEEEGLEYVVFKTNDRASVSHVQQVFKATPAEVLANTFGLRQRQVTELKRSGNRSPLVHPQSQSQSH >CAK8575983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:379828574:379831378:1 gene:gene-LATHSAT_LOCUS28204 transcript:rna-LATHSAT_LOCUS28204 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNSSSRGRIGTRETSPERTKVWTEPKPKTTRKVSVVYYLSRNGQLQHPHFMEVPLSSPHGLYLKDVILRLNLLRGKAMATMYSWSSKRSYKNGFVWHDLSENDFIYPTQGQDYILKGSEILDHVATTTQTPFEEESDSPVVITRRRNQSWSSIDLNEYRVYKSESLGDSVGNIAADASTQTEEKRRRRRVVREKEDEDEKEKNEIETEGERVPHVECNQNQSTELSREEISPPPSDSSPETLGTLMKVDGRLGLRSEVIAKENPTVESCPSGRMKASSVLLQLLSCGAVSFKESLSGASSGKDQGFSLLGHYKSRLPRGAGNHEGKEAGTLMEIPELSRVRLEDKEYFSGSLIETKKVEAPLAFKRSSSYNADSGSRLQIMEHEEDTVRAKCIPRKSKTLATKKEEGTSSSMDRVSRSQHGSKRFEGQQ >CAK8573895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644929355:644930103:1 gene:gene-LATHSAT_LOCUS26290 transcript:rna-LATHSAT_LOCUS26290 gene_biotype:protein_coding transcript_biotype:protein_coding MHVICSFLALLAIIHGIQAVDYTVTNTALSTPGGVRFRDEIGDQYATQTLDSATQFIWKVLQEENPTDQKDVQKVSLFIDDMDGVAFTSNDEIHVSARYINGYTGDVRNEITGVLYHEMTHVWQWNGNGAANGGLIEGIADYVRLKANFAPSHWVKDGQGDKWDHGYDVTARFLDYCDGLRNGFVAELNKLMKSGYSDDFFFQLLGKTVDQLWTEYKAKYGNIA >CAK8532109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:206187244:206188708:-1 gene:gene-LATHSAT_LOCUS1845 transcript:rna-LATHSAT_LOCUS1845 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFDIDLEYLSMTYHGISDELLVDVYNINGADLDAAVDMFSQLEVEKHKILQANAKTVGLENGGHGAIEAGSNCIPFANTNNHAAVVDKKTIESQAKVFTRKSNEAKTVSPNLNLTNMVNATDKVKLLDMGNKKKPSRTSSSFFDRGLIKCLLGICHDFKIHWGWVGVGAGLLYRGCDFLL >CAK8532268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:234916869:234938611:1 gene:gene-LATHSAT_LOCUS1995 transcript:rna-LATHSAT_LOCUS1995 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFDNDEVPILSIARAQQSDEPEISSFRRNVLRTRSASMSIPMVSLDHPYERRLNLVGHTGPLRSPRKTPDGQMSGPLHATSATAGNTFQNSLVVAGNTVGENRKDGNHWDNDDDDRKNEHLLRSGLLGMCNDPYCTTCPTYVKVSQQRKPRGSAIFDPKFHNSLYGDAKGFGRKLLSLCSLCTPGVMNPHTKVVQQWNKFLAIFCLIAIFVDPLFFFTIYVDKNKISIDIDWKMAKILVLLRSITDVVYFLNILLQFRLAYVSPESTVVGAGDLVDRPNEIAINYFKRYFSFDLFIVLPLPQIIILYVLPNDLGYSGANYAKNLLRLTILVQYIPRLFRFLPLLIGQSPTGFIFESAWANFILNLLIFMLSGHVVGSCWYLFGLQRVNQCLRDKRTSDCLDSSSGAFSYGIYANAVPLTKEIRVINKYVYALFWGFQQISTLASNQVPSYFVWEVLFTMSIIGLGLLLFALLIGNIQNFLQALGRRRLEKQLRGRDVEQWMSHRRLSEELKKRVREAERYNWAATRGVSEKMVLENLPEDLVIEIRRHLFKFVKKVRIFSHLDEEEPILDAIRERLIQTPYIKESKILRRGGLVQKMVFIVRGKLESVGEDGIPVSLSEGDACGEELLRCYLEQSSESKEGKKVKLQGRGLTSDRTVKCLTNVEAFSLHAKDIEEVTTLFARFLRSPRVQGVIRYESRYWRSLAANTIQLAWRYRKKRLSSSHTRQNDYQTLNS >CAK8572940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572256425:572256989:1 gene:gene-LATHSAT_LOCUS25442 transcript:rna-LATHSAT_LOCUS25442 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNRCPRHCIIQYCNLLDHLTPANFIRCPYLNTEHLHEVREEDATVWTACVSIIRFTTVEMHNSYRVKLQFGMHQNIPDPSIDISKWHLKRVNDQWEFPDWKDFAKSEHRKWMNRNTHVLLDKVMATPSIPTINYMAWYRSVATGFVSEDRYLYDPHQTTYR >CAK8543174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585370267:585371112:-1 gene:gene-LATHSAT_LOCUS11950 transcript:rna-LATHSAT_LOCUS11950 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRRNQGIRNHQVGHRRSSSYGGNPPLYNRYSTVPLWEEKFYATVGQVPWRRLLESHSNVMKWEDSAVKQAFYDAKFRFCAEINGYRWDDIPLLDPDMYIDEVDWDACVDPELYLDLEREEEARHILMEKRQQESEIVDNPFDHGWEIKPTGWGEEDENVTKPQEASYGAEGWIFNNHANNETNSWEQNDYHFADLQNKYQEKYDEYDRRKNAYRHGNQYKMNRGRRNRGKRGGRRENITYVAKAATPRSQ >CAK8537298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:211895541:211896026:-1 gene:gene-LATHSAT_LOCUS6597 transcript:rna-LATHSAT_LOCUS6597 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLINGNPTTDFDVYKGLRQGDPLSPFLFLIAAKGLAGLMRNVVSHDKFQGFRFNDYLHIKLLQFVDDMILVCDGKLNNLWTIKVVLHGFELASGLMNLNKSKFYGINLQASVLRDTSNFMACVVGLVPINFLGIQVGANPSRKETWVHLVDKVNKRLAK >CAK8568323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594878376:594880790:-1 gene:gene-LATHSAT_LOCUS21289 transcript:rna-LATHSAT_LOCUS21289 gene_biotype:protein_coding transcript_biotype:protein_coding MHLILLPLLLFLILSPSSALNTMNQGSSLSVEEPKDMIVSQNGMFCAGFYAVGENAYSFAIWYSEPNNQTQNLTLVWIANRDQPVNGRGSKLHFLNNGNLVLKDTDESHVWSANTVSLSPVALVLLNTGNLVLRKVNGNTLWQSFDSPTNTLLSQQVFNRHSRIVCSRSETNKSSGFYMLYFDNDNILRLLYDGPEVSSIYWPDPWLTDWDAKRAGYNNSRVAVLNSLGNFSSSDGFNFMTSDYGDELMQRRLRLDPDGNIRTYSRKQSGEKWYVSWQAKLRPCRIHGVCGVNSLCSYYSDSIKCSCLPGYKMKNPQDWAYGCEPEFSLSCNKSQSQFLVISNVELFGYDYGIFVNYTLAQCKDFCLQLCNCKGIQYTYVKAGSGIPDTYTCYPKLQLRNAYRIPYFNTDLYLKLLANRSYTYKESMNDKYKLACPANPKTTTLERLYNEVREGRYIKFLLLFVGGMGGVEILCIFFIWFFFIRTKNNSNSNTRVYDSMFLNDFRKFSYSELKQATKNFSQEIGKGAGGTVYKGVLFDERVVAVKRLMEANQGESQFLAEVSSIGRLNHMNLIELWGYCAEGKHRVLVYEFMENGSLAQHLRSGGLDWTKRFNIALGTARGLAYIHEECLEWVLHCDVKPQNILLDSDYQPKVADFGLSKLRDRSDAKFSSFSKIRGTRGYMAPEWILNHSITSKIDVYSYGMVVLEIITGKSAKNHVDMGDGEEKQGLAIWLREKRYRRSAWVKEIIDPTIEGGYDESEVEALAELALQCVEEDKDKRPTMSHVVEVLLKLSCENDNLESASS >CAK8567031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480873434:480875005:1 gene:gene-LATHSAT_LOCUS20121 transcript:rna-LATHSAT_LOCUS20121 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEEVSLPEDCWQHVFKLFLNHDGDHHFLQSLSIVSKQFLSMTSCYRVSLTISNQTLPFLPRLLRRFTNLTSLNIANFSGDRDRLLYEISCFPLQLKSLNLSNHPAIPIHGLRVFSQKITTLTSLVCSNIVYIYKTDLFFIVDCFPLLEELDLSFPQLIDFYDDFKISDLTLALPKLRKVNLSGIYYLNDSWIFHLCKNGKNLEEVVMIKCEGLTHTGIAFALRERPTLKSFAITISEKVEGFISLELIDSLRSMKSLSSLDLSFSCISDELLLSLADERLPLKRVVLNRCSNYSYPGIFYLVSKSQFLQHLDLQNAICLDDYRVAGLSFFLNNLISINLSYCRKLTEKTLFALVKSCPLLDEIRMEYTSMGNLDVKKYSSLTNFAVEPPLKSLHISSNPSLNDESIKKFASIFPNLQVLDISSCRAISEGIVEVLRRCIKIKHLNLTSCSRVNLREMNFQVPKLEVLKLSMTNIDDETLCVISKSCCGLLQLDLKHCFNITKKGVKEIVENCTQLREIKL >CAK8542978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563621522:563623554:-1 gene:gene-LATHSAT_LOCUS11771 transcript:rna-LATHSAT_LOCUS11771 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGGAIQAPKAGPLAREGSLYNLTLDEVQNQLGNLGKPLGSMNLDELLKSLWTSEAAQASGLDSGTTDAYMQQGQLASGSSMNPLALSGDLSKKTIDEVWRDMQQKKSSSQDRRTATLGEMTLEDFLMKAGVATESFPTEDNAMSGRIDSQQQHNTSQHGHWMQFQIPPVQQQQHQHQHQNHQNNMMPGFASYMASHVVQQPVLDAGYNEAMVSISPASLMATSSDTQTQGRKRVASGVVVEKTVERRQKRMIKNRESAARSRARKQAYTQELEIKVSHLEEENERLKRLHEMERVLPSMPPPDPKHQLRRTSSAPF >CAK8561451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:222997924:222998943:1 gene:gene-LATHSAT_LOCUS15041 transcript:rna-LATHSAT_LOCUS15041 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTETRPIHKNKSKRNLFKCFKSDNVVDQSPRRKEKTSDPLLSYIALAEKQGMVLPTILSSALTAAKCGSSDGTPTRRRKMCKQRSLRIRQALIAALNHTSLGKKIINRTKANNNKNNWGKSKLNKLGEGNNNISNTNPKQVHEGANAYTNPSSSSSYDSSPAFTSSTLSSSTNSTNSQASHFSSSSSDLNPNLSMNGIVVKQEVEESRKKSFGLNKSLCWHFFTSLLFFLLLGKLYTLLSENMV >CAK8563695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625512634:625512961:-1 gene:gene-LATHSAT_LOCUS17077 transcript:rna-LATHSAT_LOCUS17077 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQVTQDLSTQVTHEMPTQASQTSQVNLAPKKRGRPKGSTNNGITKGGTKKTKVKEPKKTKVTEATVKEAKAKEANLKY >CAK8542809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549382409:549382939:-1 gene:gene-LATHSAT_LOCUS11613 transcript:rna-LATHSAT_LOCUS11613 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTLEDIIRHIGVDDFNKTSFVDTLQRDMEDSLYQRCKHFTRLSVVLRLFNLKVRGGWTDKSFNELLELLKQMFPECNTLSNRTYEAKKILCPMGLDYVKIHACRNDCLLYRKDFENMKKSLRCGELRYKKNDNGVDDDDGVTSKGVPAKVMWYLPIITRIKRLFSNVSDAKNTR >CAK8563329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592975727:592991867:-1 gene:gene-LATHSAT_LOCUS16753 transcript:rna-LATHSAT_LOCUS16753 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNSLHKQAAEVFNGRGESSRSRSDASEAQPSAKRVRVQMPKELTFKDIYENKELFEEDDEEDTDWEPCPVEKSVEIIKWFCKNCTMDNLDNDICCEVCGEHKDSKILSLGHFASPFAQAGGLNETQPSIKVMRDFDSQESAANNSTAIGFDERMLLHAEVEKKSHPHPERPDRLQAIVASLTRAGIFPGRCYPIPAREITQEELMMVHSSEHIESVEVTSKLMSSYFTSDTYANKHSACAARLAAGLCADLASAIVSGRVKNGFALVRPPGHHAGVKNVMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEKNKSVLYISLHRHEDGTFYPGTGGAHEVGYMGAEGYCVNIPWSRGGVSDNDYNFAFQQVVLPIASEFAPDFTIISAGFDAARGDPLGGCDITPSGYAQMTHMLNALSGGKMLVILEGGYNLRSISSSATAVIKVLLGENVPELENSFPTKSGLQTVFEVLDIQNNFWPSLKPIFENVMSLWEMRCLGKKVVVKQTKKRRRILVPKWWRWGRRSFLFRMLNGHRHCSVKSK >CAK8572580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549088635:549088889:1 gene:gene-LATHSAT_LOCUS25133 transcript:rna-LATHSAT_LOCUS25133 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRQNKSSSGFFSIFACFTSKNKYGAGYYDYDTGRRTWPSDEDKGNWGVAEPNINRKAEDFIRKYKNRVSESERYEVDPAA >CAK8563317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592083820:592085890:1 gene:gene-LATHSAT_LOCUS16741 transcript:rna-LATHSAT_LOCUS16741 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSIGMGLSKTTTLFRSLLSTPTLHHHHHIPLRFCTTTNSPSDSDDAHSATLSPSPEQTERTFYDRPLENGLDPGIYRAILVGKAGQKPLQKKLKSGTVVTLLSIGTGGIRNNRRPLDHENPREYANRCAVQWHRVTVYPERLGNLLMKNVLPGSTLYIEGNLETKVFSDPITGLVRRIREVAVRRHGRVVFLSPGDDSEQQAQQNDLRAVGYY >CAK8563394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599604139:599607421:1 gene:gene-LATHSAT_LOCUS16811 transcript:rna-LATHSAT_LOCUS16811-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSWTVGDAVDYKGFPADRSKTGGWVPAALILGIEIVERLSTMGIAVNLVTYLMGVMHLPSSTAANTVTDFMGTSFLLCLLGGFLADSFLGRFKTIGIFAAIQTLGTAALAISTKLPRLSPPPCHAGEVCKPANGIQMGILYLALYLIALGTGGLKSSISGFGSDQFDDKDEKENSQMTYFFNRFFFFISLGTLAAVTVLVYIQDKISRSWAYGICSVSMIIAIVVFLSGTKRYRYKKSLGSPIVHIFQVIVAAVKKRKMDLPYNVGSLYEDTPEESRIEHTDQFRVLEKAAIVAEGDFDKDLYGSGPNPWKLCSLTRVEEVKMMVRLLPIWATTIIFWTTYAQMITFSVEQASTMQRNVGGFEIPAGSLTVFFVAAILITLAVNDRIIMPLWKKMNGKPGFTNLQRIAIGLVLSAFGMAAASLGEVKRLSVAKGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFISSFLVSVVKKVTGTRDGQGWLADHINKGRLDLFYALLTILSIINFVAFLVCAFWYKPKKAKPSMQMGTINGSSVEEKC >CAK8563393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599604139:599607421:1 gene:gene-LATHSAT_LOCUS16811 transcript:rna-LATHSAT_LOCUS16811 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSWTVGDAVDYKGFPADRSKTGGWVPAALILGIEIVERLSTMGIAVNLVTYLMGVMHLPSSTAANTVTDFMGTSFLLCLLGGFLADSFLGRFKTIGIFAAIQTLGTAALAISTKLPRLSPPPCHAGEVCKPANGIQMGILYLALYLIALGTGGLKSSISGFGSDQFDDKDEKENSQMTYFFNRFFFFISLGTLAAVTVLVYIQDKISRSWAYGICSVSMIIAIVVFLSGTKRYRYKKSLGSPIVHIFQVIVAAVKKRKMDLPYNVGSLYEDTPEESRIEHTDQFRVLEKAAIVAEGDFDKDLYGSGPNPWKLCSLTRVEEVKMMVRLLPIWATTIIFWTTYAQMITFSVEQASTMQRNVGGFEIPAGSLTVFFVAAILITLAVNDRIIMPLWKKMNGKPGFTNLQRIAIGLVLSAFGMAAASLGEVKRLSVAKGVKGNQTSLPISVFLLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFISSFLVSVVKKVTGTRDGQGWLADHINKGRLDLFYALLTILSIINFVAFLVCAFWYKPKKAKPSMQMGTINGSSVEEKC >CAK8569536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4481840:4484386:1 gene:gene-LATHSAT_LOCUS22378 transcript:rna-LATHSAT_LOCUS22378 gene_biotype:protein_coding transcript_biotype:protein_coding MLITAKPTQLQPWLQFPPSTTLPKRTTFNTTRTIVLNTTRTIALNTTIDDTNNTTIDDTNNTASSYPQKPKRRNPNRKLYPGEITGITEEMRFVAMRLRSDKTNTTVSTSKTTSTEQHDEEQIPDTWQPSMLGFTRFLVDNQHVFSTLERIIDHSDNDSYAYMRKTGLERSEGILKDLEWLKEEGVEIPNPSSPGITYAKYLEELAENTPLFLSHFYNIHFSHITAGQAITKQVSEKLLEGKELEFYNWEGDVQEMLKDVREKLNVLAKHWSRNEKNKCLRETKKSFQFMGEIVRLIVL >CAK8563466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607806728:607808513:-1 gene:gene-LATHSAT_LOCUS16872 transcript:rna-LATHSAT_LOCUS16872 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFRCFTASLLFLPFFFLILLHKGYHLNSFFVFPFFLPTIKDTNNTTQVIVKPHHQLLANSAYRSFEHKTNSSIKKIMTSLDKVEESLAEARASIRKAILSRNYSSTSQKHNIYRNPYAFHQSHMEMVKRLKIWVYKEGEQPIVHGGPVNNIYAIEGQFIDEIDNSKKSPFKAKNPNEAHIFFLPLSVANVVQYVYKPIVSKKDFKRDRLQRLVEDYINVVADKYPYWNRSNGADHFLLSCHDWAPEISDANPDLFKSFTRVLCNANTSEGFKPKRDVSIPEMNLPAGKLGPPNLGQSPINRTILAFFSGGAHGDIRKLLLNHWKDKDTQIQVHEYLPKGQNYTELMGKSKFCLCPSGYEVASPRIVEAINAGCVPVIISKNYSLPFNDVLNWSEFSVEISVEKISEIKTILQSVSKNKYMKLHMNVKKVQKHFVMNRPAKPFDVMHMILHSIWLRRLNFRLIDSP >CAK8568996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662241945:662247110:1 gene:gene-LATHSAT_LOCUS21895 transcript:rna-LATHSAT_LOCUS21895 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETQLQPQSLTGTQIESQSQPQVEVSSDNIISATTVVGVDGIIPVESELSNVPPHTNSPATKIPLRPRKIRKVSPDPTTSESQTEIPKPVNSFPGGKSNGRNNNNNNRAVQQQRAIALPRIVARSLSYEGEVEMAIRYLRTADPLLSPLIDIHQPPTFDSFHTPFLALTRSILYQQLAFKAGTSIYTRFIALCGGEGGVVPETVLALNAQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQILYNLDDLPRPSQMEQLCEKWRPYRSVASWYMWRFVEAKGTPSTAVAVATGAGLQQHQMEHHHQQQQQQHPSQQQIMDPMNSMFNIGAACAWGQ >CAK8533026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567807628:567808002:1 gene:gene-LATHSAT_LOCUS2678 transcript:rna-LATHSAT_LOCUS2678 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNLDTYDQEFWELVEEEFMDDSDEEQQLQNERRLGSSFRPKRRTTVDRGREEGHNRLFNDYFSENPVYTNVQFQRRFRMHRHVFIRIVDALGNHDEYFQMRVDATGKMGLSPLQKALQLSA >CAK8570136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25008505:25009309:-1 gene:gene-LATHSAT_LOCUS22914 transcript:rna-LATHSAT_LOCUS22914 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVILIGAKGLHDTDFFKKMDPYVILTYKTQEHISSVAKNAGSNPRWNESFLFTVADNVAELNLRLMDKDKFTRDDFLGETIIHLGPVLEEYSVPETSYNVVKNQNYCGEVRLALTFHPEGGFY >CAK8577772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587800716:587807018:1 gene:gene-LATHSAT_LOCUS29846 transcript:rna-LATHSAT_LOCUS29846 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVIVTNWGTWEELLLGGAVLRHGTRDWAIIASELRARTLSLSPYAITPEVCKAKYEELQQRYSGCTAWFEELKKRRVAELKKALEQSEHSIGSLESMLESLKADKNEKRDDCRVDNDTVVPELHVPSQKLERVESSTKEVSKDGLSAGSFTHQTETNWSHECQLPAMSSEDMEISPEVSGSVEHEKILNIDKLTEGQGGCCKKRRGKRKRKDCGKNINEVSVRESDFSIDMSRFKESSTSNCGEVVKSSGITEENANLKKDGVKDMTEILDSVLEIKGASAFCRKHDSQKRARYRQLIRRHMDFDAIRSRISNRSINTMMELFRDMLLLANNALIFYSKNTRQYKSALLMRDVVTKKLKENVKFFSRTVINVNVPNSMKLPVHDPSVKVESDLPDNKKIVVVKAGGGSNPASGISRGDKKTSRIIKEESPSSGKSLHIKKGFGGPKKLEPATPLKEMKEKKRRRTK >CAK8536274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924386493:924387344:-1 gene:gene-LATHSAT_LOCUS5658 transcript:rna-LATHSAT_LOCUS5658 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFSFSFFLLMLSSLYSPNIAATAPAASPKHAPAPKAASPTSTKPLVPTLPDSPDTSDSTPDDITKILKKAKTFTILTRLLKTTEIMSSINSQLITGKTGGLTILAPDDSAFSNLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGSKAPASAPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGIEGTTLKAIGAAFVAVAMFGNCI >CAK8544136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666578977:666579666:-1 gene:gene-LATHSAT_LOCUS12839 transcript:rna-LATHSAT_LOCUS12839 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKPPQLNGAYYGPAIPPPAQPRPYHQRSRSCCCCLFSFFWKLLVTLIVLAGLAVLIFYLVVQPRPFKFYVTEAKLSKFDYANNTIHYNMVLNFTARNPNKKLSIYYDKVEALAFYEGARLTNNVDVITHMNSFRQYKKSSDPMSVVFSGQKLLVLDDDQVSEFNDDKSAKVYDMYVKLYFRIRFRLGDLISGDYKPKVKCDLKVPLNSNNETTAMFVPTKCSVDFY >CAK8532510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:274527244:274528410:1 gene:gene-LATHSAT_LOCUS2208 transcript:rna-LATHSAT_LOCUS2208 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSDWIRSSLNQHINLYKQWFNLADSDGDGRVTENDATKFFALSTLSRPQLKQVWAIADTKRQGFLGFEEFVTAMQLISVGQAGYDLNSDILKTEIDSDKIKPPVLEGIDALVANTKSPAMNAQPDVFGTGQLQPFPRAASKSAKKLPLNAVTSIIDGLKKLYVERLKPLEATYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRCEYPGAHIGPEPTTDRFVAVMSGTDERSIPGNTVAVDASMPFSGLTSFGSSFLSKFQCTNTTPHNNLQHHDNKLAANTNPMPDNTCYYCSSPCTTTSLLLLPNKTHPPHQTTLRQYSLPPATLGCTNLQPVVKTKQTSNITQLNLHWWGDMKSHTFIPPLIKTCFSPQRFNQ >CAK8560035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5592282:5594457:-1 gene:gene-LATHSAT_LOCUS13752 transcript:rna-LATHSAT_LOCUS13752 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTFGNTLWFSEEELRELRGTNLYRATELQKKSLLNLYETKVKDLVMKLLNLDGDSEIEVCFEDFLWANSVFWSRALNIPMPRSYVFPEMQDVHQSCTPEADEKGSEATKSDDLTKETTHSTVHGETVWVEGLVPGIDFCNHDLKPIATWEVDGIGLTTGVPVSMYLLSAAQSPLQIDREISISYGNKGMRNFYICMALSLMVTQMTISWFIIHQKQLILFLFPSLKASFLKCRRLKCDVFYPKLYG >CAK8577761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586704272:586709777:1 gene:gene-LATHSAT_LOCUS29835 transcript:rna-LATHSAT_LOCUS29835 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLQRRNLIDRKFQPSFTYVIHGGEGNRDQPDEKPCSTGISSFIQSRSFGNSHGSMGFFSSAQYRNLSPLAGYSFCRNMSTLNQDLDKITAMTDVADVLIDTTMDAVVSQAPVVSEVAIAAADSYLPVQALQYVIDAVHSFSGLNWWASIVLTTLLIRSATVPLLINQLKATSKLTLMRPCLEEIKEKIDRQTMDPEAVAEGQKEMSKLFKEYGVTPFTPMKGLFIQGPVFISFFLAITNMAEKMPSFKHGGAFWFTDLTTPDALYIFPVITALSFLLVVECNMQEGMEGNPMAATMKNFSRVLALLSVPFTMSFPKAIFCYWITSNLFSLSYGMVLRVPGVKKTLGIPDLPPADPISAPKSPFSIFPALKQAASVANGQSSFPVDSSKQANKKISSSAVISQRLRSLEKQVKGRKKSKK >CAK8565953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358556621:358558665:1 gene:gene-LATHSAT_LOCUS19126 transcript:rna-LATHSAT_LOCUS19126 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIHIVLFFSIQILLHVSSSNSGKVPAVIVFGDSSVDSGNNNFIPTIARSNFQPYGRDFPNGNPTGRFSNGRIAPDFISQAFGLKPIIPAYLDPSYDISDFATGVCFASAGTGFDNVTASVADVIPLWKEVEYYKEYQSKLRAKFGEKKANEIINESLYLVSIGTNDFLENYYQRFERRMQFSVQEYEDFLIGLAENFFREIYDLGARKISMTGLIPMGCLPLERAINILGHNGCKDLYNEVALEFNAKLEWLVAKLNKELNGFQLVHTKAYDLIMQIVSQPSQFGFENGQVGCCGTGRFEMGFMCDPKSPFTCTDANKYVFWDAFHPSERTSQIVSNYFMEKYLGKFL >CAK8568896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652215727:652219241:-1 gene:gene-LATHSAT_LOCUS21805 transcript:rna-LATHSAT_LOCUS21805 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTNDEIEDEIQIEQFLYEVEEEFNNPFPHFPSPSPSPSSSSSSQDQPNAIAIASPAEFTFNTSIASLHTYLGDVEDTRHRTAFLDGGAILNIPLFCLQGVVLFPGATLPLRVTVSRFVTAIERALNQVDVPYTIGVIRVHRGTGSFTMKAASIGTTAVIRQYGRLEDGSLNVVTRGQQRFHLRRCWNDVDGVPYGEIQIIEEDLPLRTPRDAFGKSASSCNMLCSCVEMHGLKNGENDSKANSNEGFDSELSPLERKIHLSGSASDMMDVLASNSDQEIRSNLDSSTGICSTSGKLSSKEELHRCYKNKPSHIISKAFLPHWVYRMYDSYWLAQKAADMWKQIVRVPSMDSLIKKPDVLSFHIASKIPVSESTRQELLNIDGIVYRLRREIELLESIDLIRCRICQTTIAKRSDMLVMSNEGPLGAYVNPDGYVHEIMTLYKANGLALIGPAVTEYSWFPGYAWTIANCATCRAQMGWLFTTTNKKLRPDAFWGIRSCQLAEETRQIS >CAK8537171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:121463492:121464146:-1 gene:gene-LATHSAT_LOCUS6481 transcript:rna-LATHSAT_LOCUS6481 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQGRSCLAQRLLLAGCVLSLCDNTTKRVALLGTQLCDNTTEKAALSFNMLWSQRPYEIVMSFTCSNPGPCAVRKIGRNLSGTTHPTLPPIRGRPFYSRPKRNCSDLE >CAK8579363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694207746:694209708:1 gene:gene-LATHSAT_LOCUS31315 transcript:rna-LATHSAT_LOCUS31315 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGETKERIEETVLKILQESNMDEVTESKIRKQASNELDLNLSQPPFKALVKQIIEAFLKQKQQQQEEEEKEEEEKQQKLKQVGVSSRNNNVYDDSGDLVICELGKKRKVTIQDFKGRTFVSIREFYTKDGKELPSSKGISLTGEQWSTFKKNAPAIEKAIQKMESRV >CAK8577854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594344489:594345104:1 gene:gene-LATHSAT_LOCUS29923 transcript:rna-LATHSAT_LOCUS29923 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLLTARSHLSISLLLLVIILPLTATTAQESSLLEILRHHGLPAGLFPQSVKSFELDQMGRLEVHLDRPCLAQYETTVFFDTVVKANLSFGQLKVLQGMSREELFLWLPVKDIIVTDQSSGLILIDIGFAYKRLSFSRFDEPPICRSHQGLSFRMGGRKGFGFGDQR >CAK8570445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46525453:46528209:-1 gene:gene-LATHSAT_LOCUS23192 transcript:rna-LATHSAT_LOCUS23192 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLVELASGEASSFGLMNRRIPRIMTVAGLISESDVIVDDDQVESVSSDISSSSVHRDRIIIVANQLPIKAHKNQDGDRSFWSFDWDETSLLQLKDGIGDDDIEIIYVGCLKEDVHPNEQDEVSMILLENYKCVPTFLPPEMFTKYYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGIEYYGRTVSIKILPVGIHMGQIQSVLRLQETEDKVCELIRQFSDQGRTMLLGVDDMDIFKGITLKLLAMEQLLIQHPEYRGKVVLVQIANPARGRGKDVQEMQDETKATVKRINEMFRKPGYDPVILIDEPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYIVSRQGNETLDKVLKIGSSPKKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALGMADSEKQLRHEKHYRYVSTHDVGYWARSFLQDLERACSDHVQRRWWGIGFGLSFKVVALDPNFRKLSMDHIVSAYKRTANRAILLDYDGTLMPQVSIDKSPTDKTIEILNSLCRDKNNMVFLVSARSRSILSEWFSPCENIGIAAEHGYFLRMKRDDEWETCVPATDCSWKQIAEPVMKLYTETTDGSTIEDKETALVWWYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNYVEVKPQGVSKGIVAKRLLASMEEKGISPDFVLCIGDDRSDEDMFEVITSSMNGPIAPKAEVFACTVCRKPSKAKYYLDDTAEIVRLIQGLACVSDQKVLCYAEET >CAK8577323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555425242:555425733:1 gene:gene-LATHSAT_LOCUS29439 transcript:rna-LATHSAT_LOCUS29439 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVHDHLCSKGFLKGYTEWIYHGEDENLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDVPDDIFEIPLSELHNQKSIESDHSDTSYESDDEIDYDSSTD >CAK8565525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:260111321:260111880:1 gene:gene-LATHSAT_LOCUS18731 transcript:rna-LATHSAT_LOCUS18731 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLQDADGQIVEPHSISAGLDYPGVGPEHSFLKDLGRAEYHSITDDEALEAFKRVSRLEGIIPALETSHALAYLEKVCPTLPNGTKVVVNFSGRGDKDVHTAIKYLKV >CAK8560187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10106609:10107159:-1 gene:gene-LATHSAT_LOCUS13884 transcript:rna-LATHSAT_LOCUS13884 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRDFAVNVLSQWLKKKSMKVKISLGILLALCVLVVLNFTIRDPRYFFRASATIHIVGLIALIYKLFAHKSCSGLSLKSQELTAVFLITRVGCSAYLHANVHTVLDMILLLLTVLVIWLIRFKLKSSYIKEIDNMWLSFLVNIFTSFLSV >CAK8563961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642364843:642369626:-1 gene:gene-LATHSAT_LOCUS17314 transcript:rna-LATHSAT_LOCUS17314 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKLRQNPIMQHSLYHHPALITPPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVSEPLLQELFSSAGALEGCKLIRKEKSSYGFVDYFDRSSAAIAIVTLNGRNIFGQAIKVNWAYARGQREDTSGHFHIFVGDLSPEVTDATLYACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINELTGKWLGSRQIRCNWATKGANTNDENQSSDCKAVVELTSGVSGEITEERQEITGDDGPEKNPQYTTVYVGNLAPEVTSVDLHHHFHALGVGTIEDVRVQRDKGFGFVRYSTHGEAALAIQMGNARFLFGKPIKCSWGSKPTPPGTASTPLPPPASPHVPVPGFSLAGLAAYERQMALSKMNGAHPLLQQQNQHALKHAAMGMGALGAGYGAGFPNVTAAQNLMYYQ >CAK8578331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621249463:621251295:1 gene:gene-LATHSAT_LOCUS30351 transcript:rna-LATHSAT_LOCUS30351 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLALNSNTWTTSTNNKPQFPHSFSISSSSSTSFNDKSTLNLKKKPNRNILLLHCALHSPSVLDFPKQSYKEPLISKENDTETSINKPKESSQWNPIQKAAAIALNMLESALLSRELQYPLPKTSDPRIQIAGNFAPVPEQPVVHSLPVTGKIPNCVNGVYVRNGANPLFEPVSGHHLFDGDGMVHAVTINDGVASYACRFTETERLVQEREIGRAMFPKAIGELHGHTGIARLMLFYARSLCGIVDHRRGSGVANAGLVYFNGKLLAMSEDDLPYELRITSSGDVKTVGRYSFLDQLHSTMIAHPKIDPVSGELFALSYEVARPYLKYFRFSPDGKKSPDVEIRLQVPTMTHDFAITENFVVIPDHQVVFKLEEMIKGGSPVIFDGAKKSRFGVLPKYAKDASSIIWVDSPDTFCFHLWNAWEEPETDEIVVIGSCMTPPDSIFNESDENLKSVLSEIRLNLKTGKSTRRSIVPQMNLEAGMVNRNRLGRKTQFAYLAVAEPWPKVSGFAKVDLVSGEVKKHIYGDGRFGGEPFFLPTTSSGSCENENDEDEGYIMALVHDERRCKSELQIVNAVNLEVEATVKLPSRVPYGFHGTFVEAKDLTLQ >CAK8569457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1552000:1553055:1 gene:gene-LATHSAT_LOCUS22306 transcript:rna-LATHSAT_LOCUS22306 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPILDAFNVRVEGSGDKYLVFAHGFGTDQSAWQRVLPYFTRSYKVILYDLVCAGSVNPDHFDFRRYTTLDAYVDDLLNILDSLHVTHCAYVGHSISAMTGMLASIRRPELFSKLILIGASPRFLNDGENYHGGFEQGEIEHVFSAMEANYEAWVNGFAPLAVGADVPTAVREFSRTLFNMRPDISLFVSRTVFNSDLRGILGLVKVPCCIMQTARDMSVPASVATYMKEHIGGKSTVQWLDTEGHLPHLSAPSYLAHQLEIALSQ >CAK8543304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:598218873:598220083:1 gene:gene-LATHSAT_LOCUS12070 transcript:rna-LATHSAT_LOCUS12070 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQGKTKDNEKAKVEGSICAYYLHRKTSHFCSYYFNHLMLTSRIIRKEFNVNKRSQFTLSIFGLPGRPSRKENVHWLTQKELQSAHVHVLINCIEVKSYLEEFKASYFQSTGEQATTDHIHASFPARFKDQLSCIVAPTQEILHLRNLSRGPVQRAIEWHTYFVNDYKFHTQAWTKGKTTINSGVFVKGVTNGGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDWYDPSARGTKIDKKYNTVDIRMDRRYKEYDPVIMSHIVKQVYYVSYPSIQSRKCGWCVVIKTKPLGHIETEDLVEDAAYQDDEISQINDVVEVEEITNLCDTLAEGHQIDASVLLVDNNVDEEHEEFVSEDIIGSDNENNMDEEHEEFE >CAK8543340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601571296:601571619:-1 gene:gene-LATHSAT_LOCUS12103 transcript:rna-LATHSAT_LOCUS12103 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFHLTDEQLTNSPSRKDGIDESTEAALRIYGCDLIQESGILLRLPQAVMATGQVLFHCFYCKKSFARFNVKKVAARSVWLASKLEKNAQAKHESVGVPSR >CAK8579594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711386139:711386940:-1 gene:gene-LATHSAT_LOCUS31528 transcript:rna-LATHSAT_LOCUS31528 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAAKNHKDVLIVVDTEDYPALLEFLKGNQDDHFRLKLAWKAFQHVASYDSAVSEWLWKQSVGDKFPPSLTVPLSLKSCIKKLHSMLTKDSSKSCILC >CAK8577200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544429095:544430533:1 gene:gene-LATHSAT_LOCUS29329 transcript:rna-LATHSAT_LOCUS29329 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEESAQIENFDLNRSKNLIKMLDFGDIPNLERLNLEGCSKLVQLDPSIGLLRKLVDLNLKYCKSLVSIPSNIFGLSSLKDLNMYGCCFEEFNNTKHLDISETASHSQSTSSICKWAINPSLLHTPTTNTIMFPSLLSICCLCKVDISYCGLSQVPEAIGGLHWLEMLILGGNNFVTLPSLRELSKLVYLNLENCKCLESLPELPFPTTTEQDLQKYKYRRRRAGLFIFNCPKISDKERCSRMTFLWMKQFIQVNKEYHPVFFDIGIIFPGSEIPSWINNQNVGSSIPVSPFMQDKGNNVVGFLCCTVFSLAPHYPKMTRFSEWKPSVHMKLCAPVETTTYLPVIANEDVISVKSIHIWLIYFPWEPSHDDVYDHFCVEIDRNGSLDVEVKKCGYRWVYEKDLQEFNSTTMLALKRKFLAIEDAAQPQSQLHSFR >CAK8539232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507228780:507230090:1 gene:gene-LATHSAT_LOCUS8345 transcript:rna-LATHSAT_LOCUS8345 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKAELKEKFQRMCDKSMIKRRYMYLTEEILKENPSLCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALTEAFQPLNISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQEGLRTTGEGLDWGVLFGFGPGLTIETVVLHSVAI >CAK8565834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342140320:342146621:-1 gene:gene-LATHSAT_LOCUS19015 transcript:rna-LATHSAT_LOCUS19015 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAAQTAAERDSFARIIAAVNSSFNRDDPESVYSTLKYISVLDLFIKAKSVVSLEDVHTLIQTGLELFHMSRNKLYAQVRWGNLLVRLLNKYRKKIALTIEWRPLYDTLVSTHFTRSTGPEGWRVRQRHFETITSLVQSCRRFFPSGSAFEIWSEFKSLLQNPWHNSSFEGSGFARLFLPTNLDNQAFFTHDWITECLDLWESIPNCQFWNSQWADVIARVVKNYHNVDWEGLLPLLFAKYLNMFEVPVANGSGSYPFSLDVPRNTRFLFSNRTSTPAKAISKSIVYLLKPGSSAKQHFEKLVNILEQYYHPSNGGRWTYSLERFLFHLVIQFQKRLQNEQLGINNCRPTEQHLGESERVFFVNTMLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFQMALETMTATHQLKIAVMSVAFVGRSLFYTSVSASSIKKVDLGGGDETFIDLIGVSLSNALLGMDANDPPKTLATMQLIGSIFSNLALLDDKIDDLSFMPMIRFSEWLDEFLCRLFSLLLHLEPSSVLNEGLHSSASSGTFLVDDGPYYFCVLEILLGRLSKSLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEGVSQLVEPILVSVISSLKGTPGTGFGGAGTFDTSASTKVPGFGQL >CAK8542247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492850415:492851476:1 gene:gene-LATHSAT_LOCUS11099 transcript:rna-LATHSAT_LOCUS11099-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDIDFSQLPWNVLDTISQKLSFDDLFDFASVCKEWRVVKKIYWKNFLESQSPLLVETTSYAKKFYSFYSIPDQRAYLSEMSYFWGLFYCGSSSGYIIMAGANKTLQLMNPFTRKQHNIGISTIINYLNYCACRVLLAFAKGSGEFVIVASCKSSFNLHVYQSRNSSWVTNIEKGNPLKVVDFVVLHNIIYVITNKAEIGVLSLNSSSLKFLKLKNTPDITSSFPQLLSCDGKLLVVYFIPGRLLDVYMIDFGTMSYTKLETLGDIALFYSRNKCYALANPRKWGYESNSVYYINCMSTECEVYSGSSNERLKCIVPVGRRRPSRRSSRSRTYWLDWCFRNLHDEVDYSLVD >CAK8542246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492849360:492851476:1 gene:gene-LATHSAT_LOCUS11099 transcript:rna-LATHSAT_LOCUS11099 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKSGMQQGNNEKDFIRSKSSNGLILSLSRGKKMENDIDFSQLPWNVLDTISQKLSFDDLFDFASVCKEWRVVKKIYWKNFLESQSPLLVETTSYAKKFYSFYSIPDQRAYLSEMSYFWGLFYCGSSSGYIIMAGANKTLQLMNPFTRKQHNIGISTIINYLNYCACRVLLAFAKGSGEFVIVASCKSSFNLHVYQSRNSSWVTNIEKGNPLKVVDFVVLHNIIYVITNKAEIGVLSLNSSSLKFLKLKNTPDITSSFPQLLSCDGKLLVVYFIPGRLLDVYMIDFGTMSYTKLETLGDIALFYSRNKCYALANPRKWGYESNSVYYINCMSTECEVYSGSSNERLKCIVPVGRRRPSRRSSRSRTYWLDWCFRNLHDEVDYSLVD >CAK8571824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479690796:479691709:-1 gene:gene-LATHSAT_LOCUS24450 transcript:rna-LATHSAT_LOCUS24450 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVAVETVPEPIVTEPTVTEPQVPEKDEPKAEAEKTKKTKESKPKKASKPRNPASHPTYEEMIKDAIVSLKEKNGSSQYAIAKFIEEKQKQLPANFKKLLLQNLKKKVASGKLIKVKGSFKLSAAAKKPAVAKPKTKTAAKAKSVKAKPAAKPKAKSVKPKVASKAKAVAAKPKKAAAKPKTAVAKTKPTAAKPKAAVKSKSKLKPAKVAKTSAKTTPGKKVAAVKKVVAAKKVPVKSAKAKSVKSPVKKVSVKRGGRK >CAK8542511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521198191:521198934:-1 gene:gene-LATHSAT_LOCUS11348 transcript:rna-LATHSAT_LOCUS11348 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPMASQLKSTFTRPLVTPKGLCGSSSLHQLPYRRQFNFTVKAIQSEKPTYQVIQPINGDPFIGSLETPVTSSPLVAWYLSNLPGYRTAVSPLLRGIEIGLAHGFFLVGPFVKAGPFRNTEFAGFAGSLSAAGLIVILSIWLTSYGISSFKEGEPSTAPSLTLTGRKKQPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK >CAK8537260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:184757061:184787137:-1 gene:gene-LATHSAT_LOCUS6562 transcript:rna-LATHSAT_LOCUS6562 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDSFQQLTSVDWQQQSYPTIHDFFVLPFFALFFPSLRFLLDRFIFQKMAIRLIFGKRHEVLDFQADDRRKKISKFKESAWKCVYFLSAEIFALSVTYDEPWFTDTKYFWIGPGNQIWPDQKIKLKLKGLYMYGAGFYSYSILALVFWETRRSDFGISMTHHVASLTLIVLSYIFRFVRVGSVVLALHDATDVFLEIGKMSKYSSAETMASFAFVLFVWSFTILRVIYFPFWVLRSTSYEVVNTLKLKENWVDGSIYYYVFNTLLLCLLILNIYWWVLMLRMLAGQIRARGKVSEDIRSDSEDENEHED >CAK8564563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681764491:681765617:1 gene:gene-LATHSAT_LOCUS17859 transcript:rna-LATHSAT_LOCUS17859 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTVPCSRSWSISEDSLRRYVQFASESCIQELLAASDTNRGNNGNNDGWKVITLDNGVEISKRMSGSFHTFRSRWVLRSVSPQQFITVANAIDAAKQWDSDLVEAKYIKEIEENLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTVVVAVASLPKEIAAGLHPKQNNAIRGLLLQSGWVVEKLQDNSCAVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLRKIAQACPKEAEK >CAK8572720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558050741:558083670:1 gene:gene-LATHSAT_LOCUS25250 transcript:rna-LATHSAT_LOCUS25250 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKCKPSKGVASLMNVVPLSVPKQEDEMARSLKDNIAVKSNVDIDLREVYFLILNFLSAGPCQRSFVQLRNELLEHRLLPRRYHAWFSRSGEPGEDDADDDDGISLPLDYNSLMDRYPHIAKDHMVKLLKQLMPSTTHPLNGKHGGSGPNAADVPTLLGDGSFSLLDIDRKATGKQVKRPPVYMRWPHLKANQVQGLSLREIGGGFTKHHRAPSVQSACYAIAKPSTMVQKMQNIKKLRGHRVAVYCAILDGSGRYVISGSDDRLVKIWSMETAFCLASCRGHDGDITDLAVSSNNALVASASNDYSIRVWRLPDGMPISVLRGHGGAVNTIAFSPRPTAVYQLLSSSDDGTCRIWDARNSQNPCIYVPRPSDAIIGKGNTPSANLPSSSNAQPELQILCCAYNANGTVFVTGSSDTFARVWSAPKPNTDNSELPIHEMDLLSGHENDVNYVQFSGCAVSSKIWTSDSWKEENTPKFRNFWYSHDNIVTCSRDGSAIIWVPRSRRSHGKVGRWIRAYHLKVPTPPLPPQPPRGGPRQRVLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTESSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGIPIRTYEIGRFRLVDGKFSPDGTSIVLSDDVGQIYFLSTGQGESQKDAKYDQFFLGDYRPLIQDTQGNILDQETQLPPHRRNIQEPLCDSSMIPYPEPYQSQFQRRRLGALGIEWRPSLIKYAIGPDFSVGQDYQVIPLADLEGVLEPQPEFLDALLWEPEYDIVSDDNDSEYNVNEDNSSAAEQGTISAISSSDLEYSESDSNNRDGLRRSRRKSHNIGVEGMTSGRRVRKRNLEECNGNTSGNNKVKKSRGSLKSAKKKSSKAKTSRPRRTAAHNARNMFSHIGETSTEGEDNYSEDESSDSFQDSENLSEPESKVHIKREELKKAPSEKSANASKPPPYSDSQTNLESRPRLVLKFSLPKKNVPLEDAKFARENQADMVCQSSIPQPLESVQKTLPDTHGMSDTTNAGNNRNENVINHLDTSICLEESTGQSRQMRKHTYDLSRSGDALLTDTEINGHSEFIANGKSEHMNSKLKTDSSMVNIELSDFDNTAKFSSLESWGLDNDQPIADGPIASGYDKLNDGYKDQSKSDKCTEDSQENNEVVHSSHSQDLKMKAPLKATKIVIKKKQPLEDIEGPSKLKFGSSKTDSIGTRNDVISGNPSFKGPDRLPEALEGGDGRSTSYPQLLNSYSDQRSYNHVHERNKLNKREPKPNGFGFDLEENASVYSNQHSLGIDLSNAGSDPIRRARSIRMKKTYEEPDALNERIKIRGGQSSRGTSSREGSSIKVSDELHQRTRSARNRSDEYIANDPGILAQSMPNYHVKKLSWLMLSEEHDEGYRYIPQLGDEVVYLRQGHDEYKTSCLTTSEQGPWSLFKGLRAVEICKVDKLEYAEVPGSGDSCCKLRLRFVDPSSFAFNKSFKLTLPELNDFSDFVIEKTFYDAVMKRNWSPEEKCRVWWRNPDGEGGSWWKGQIVEVKAKSDAFPDSPWDRFEVKYDTDPIEYHRHSPWELNDPGIQREHPHIDPEIQRERPHVDPEIRDKLLSYFTKLHRDKYDIQALNRVAAKSDYSNRFPVPFCPELIQLRLKNDYYRSVQSVKHDITVMLSNAQDYFTVTKNDKLQGKVKRISEWLRRKLERI >CAK8535075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811710829:811713474:1 gene:gene-LATHSAT_LOCUS4556 transcript:rna-LATHSAT_LOCUS4556 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDILHKMKEKVGFGLSDPDSGKGKSKMSKHITHGFHLVKGKSYHEMEDYLVARFKQIDNHELGLFAIFDGHAGHNVPNYLQSHLFDNILKEPDFWREPEDAVKRAYSITDSSILEKSGELGRGGSTAVTAILINGQKLIVANIGDSRAVLSKKGVAKQLSVDHEPTTEHEDIKNRGGFVSRFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPYVTVEKINDDAEFIILASDGLWKVMSNQEAVNCIKDIKDARSSAKRLTEEAVNRKSSDDISCIVVKLQ >CAK8576634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499307173:499309948:-1 gene:gene-LATHSAT_LOCUS28808 transcript:rna-LATHSAT_LOCUS28808 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEQWKEQVSHIWSVANDYLSQIPPNQLYAAVAVAVFTTLFLLLLRFLNRTKSNTIVLTGLSGSGKTILFYQLRDGSTHQGTVTSMEPNEDTFILHSETTKKGKIKPVHIVDVPGHSRLRPKLDEYLPQAAGAVFVVDALDFLPNCRAASEYLYDILTKGSVVRKKIPLLILCNKADKVTAHTKEFIRRQLEKEIDKLRSSRSAVSEADVTNEFTLGVPGEPFSFTQCSNKVTTADASGLTGEISQLEEFIREYVKP >CAK8535644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871770403:871774062:-1 gene:gene-LATHSAT_LOCUS5084 transcript:rna-LATHSAT_LOCUS5084 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAANRLNEIRQIFRQPARVFSTALNYHLDSPDNNPNLPWEFNNANKEKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMDAVAKVIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRGIEEALLKHLGVKRNEVTQDGFFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYFEDVTPEKVIEIVEKLRKGEKPPHGTQNPQRIRSGPEGGNTTLLGEPKPPPCRDLDAC >CAK8579438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699089185:699090687:1 gene:gene-LATHSAT_LOCUS31385 transcript:rna-LATHSAT_LOCUS31385 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDPFSATKPSPIPTQDQEEHDSQSSPSLALLSFNTELNPSPSPSSSSHNKTLFISLLIITSISLSAASAFAFLFLSSSSSSSSTSPPQSTSTTHNNTSLSRPLTKLKRPIVLLISSDGFRFGYQFKTPTPSITRLISNGTEAETGLIPVFPSLTFPNHYSIATGLYPAYHGIINNHFIDPISGDQFYMGSHDPKWWLGEPIWETVVNNGLKASTYFWPGSEVNKGTWNCPSKYCLHYNGSVSFEKRVDSILKYFDFPSDEIPDFMTLYFEDPDHQGHKVGSDDPEITKAVSRIDNMIGRLISGLEQRGVFEDVSIIMVGDHGMVGTCDKKLIFLDDLVSWIDIPESWVISHTPVLAIRPSSGFNSSDVVAKMNEGLGSGKVVNGENLRMYLKEDLPSRLHYVSSDRIPPIIGLIEEGFKVEKKKTKHQECGGSHGYDNSFFSMRSIFIGHGPQFARGRKVPSFENVEIYNLVTSILKIKGAPNNGSTSFAESVLLSSA >CAK8573257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598400085:598400612:1 gene:gene-LATHSAT_LOCUS25727 transcript:rna-LATHSAT_LOCUS25727 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFIVLRTHHSGEFVDGDLRVYEGGKIDELKVDLDRWSYFELIGTLKDLGYKDFEKIYYNVPKFGMNSLNDDAGALEIVDFHRVHLGVDIYIQHKLDQPGYYDGPIEAELGNGENVNEGPDVVEDMLSKLYEEAVNENDTYKEAKNVGLDGPIETELDAQNVGLEHPTSTELDA >CAK8542579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527272704:527273723:-1 gene:gene-LATHSAT_LOCUS11407 transcript:rna-LATHSAT_LOCUS11407 gene_biotype:protein_coding transcript_biotype:protein_coding MNELKQVYLKAWAWLIRVPPKCWCKHAFSFYPKCDVLMNNIVESFNATILVARDKHIITMCEWIRKYLMNRRNTSAMELEKCQHKVTLILRKRLDNEVAMSGHWLPTWALDEKFQVTHSYNRQEFIVDIDKMSCKYNFWEKKYTLCYGFAVSPTNGQEMWPKVECEEIPPPRYKKGPGRPRKLRIRECGEEGARRRFSGVSYRCAKCDKFGHNVESCNSKKQDPNALKRKKKIKSDACTNPTAEVNANQPNQTTDVSQHDGSAQPNQPTEASRHNAITPDT >CAK8566634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444555524:444556039:1 gene:gene-LATHSAT_LOCUS19751 transcript:rna-LATHSAT_LOCUS19751 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFQACLTVDVEGRSGGLTVMGKDTTRYSVLNFTRDFVNILIQDKKGIHSHPNVLCVSLHQAVSDYNLADILIEGHQFTWIKIRSTDHVVEGRLDRALATPDWMDLFPNVKLVNLITSHLDHSPILLHCDPGQQKRRNYMFKFESCWLKGECIDDVVQNRWRHGERICAL >CAK8542386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507397499:507401733:-1 gene:gene-LATHSAT_LOCUS11227 transcript:rna-LATHSAT_LOCUS11227 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDMSVIKPEMMKPYIWLQTSDGSIQQVEQEIAMFCPFICQEIIQKGAGSSKNCAICLPEKVTPAMLSLVLDYCRFHQVPGRSNKERKSHDERFIRMDTKRLCDLTSAADSLQLRPLVDLTSRTLARIIEGKSPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKERGRLKNAELEGEHVDERSVDDLLSFINGNDEDSKGSKTSKSKKKNRRKKEQKKSSLLTEASKPKKELSGHSSVSDKIGGTSNMHREDDTFSHKGFDDDDDGDVDDEIDPALQEKIDREVEDFARRLNSDWPERMQEFLSSGQERKSTLFTTNGNGLLRRNI >CAK8576455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480654098:480656131:1 gene:gene-LATHSAT_LOCUS28642 transcript:rna-LATHSAT_LOCUS28642 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQIVRDAVVYRDHVQAIKSGFASNVFTCNQLIHLYYNHGLLEDAHKLFDEMPNRNVYSWNALIMAYIKAHNLTQARAIFDSASHRDLVSYNSMLSAYVGADGHETEALDLFNRMQSARDTIGIDEFTLTTMLNLTSKLHVVCYGKQMHSHMVKTANDLSKFASSSLINMYSKCGLFLDACNVLSGCDGVVDLVSKNAMVAACCREGKMDMALNVFWKNSEVNDTVSWNTLIAGYGQNGYMDKALDLFVEMTESGIRYDEHTLASVLSACSDLKYLKLGKCIHAWVLKNDFSTNQFISSGIVDLYCKCGNVGYAESVYEGIGIKSQFEVASLIVGYSSQGNMTKAQRLFDTLLERNYVVWTALCSGYAKSQQFKEVFKLFREFINTEALIPDAMIIVIVLGACATQAALCFGKQIHTYIFKMRLNMDKKMLSAMVDMYSKCGNIMYAEKSFQLMTDKDRDAILYNVMIAGYAHHGFEDKAIQLFRDMLKKSVRPDAVTFVALLSACRHRGLVKQGEILFISMQEDYNVLPEIYHYACMVDMYGRANQLEKAVEFMRTIPIQIDATIWGAFLNACQINNNTSLVNLAEEKLLKVESHNGSRYVQLANVYATEGKWDEMGRIRKKMRVKEAKKIAGCSWIYVENGIHAFTSGDKSHAKADAIYSTLLCLNRDRTEAIS >CAK8565353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:149215446:149215901:1 gene:gene-LATHSAT_LOCUS18574 transcript:rna-LATHSAT_LOCUS18574 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVWFVREIPIDFSISF >CAK8543096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578131086:578143594:-1 gene:gene-LATHSAT_LOCUS11880 transcript:rna-LATHSAT_LOCUS11880 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPEDFSVVVLASDFAVDARPFLFHDAEQQQEEESWHDCSQYLSPDEDFSDLEQLQFITLQGSDKSGARILRIVGKHYPATVVSAERLKRYVFHKIFSELPDGPFCIVYMHSTVQKEDNSPGMTILRWIYEELPDDFKDRLQTMYFIHPGLRSRLVIATLGRFFLSGGLYWKIKYVNRLQYLWDDIKKGEIEIPEFVQQHDDILENRPLTDYGIEPDPFHLTGMPSTSYSFGKYEEKWAGRSYL >CAK8538554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487140790:487142006:1 gene:gene-LATHSAT_LOCUS7740 transcript:rna-LATHSAT_LOCUS7740 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCYVFFCMVVVSQQWSEQICSTLSLISEKFSSFSPNLVSVKDSLTWVISEIQVLSICTIDRKQGLGFP >CAK8541109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67648017:67656491:1 gene:gene-LATHSAT_LOCUS10060 transcript:rna-LATHSAT_LOCUS10060 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETTSIDYAMEAASGAHFSGLRLDGGRPSSSSPASSLAPNSTLATDSLLNQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLKPDELERVHEYNFDHPDAFDTEQLLECMKQLISGQRVKIPIYDFKKHQRCSDSFRQVNASDVIILEGILVFHDQGVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEMYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHLRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDTEISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGLGEFGDRYFGTDD >CAK8573244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596972687:596975609:-1 gene:gene-LATHSAT_LOCUS25715 transcript:rna-LATHSAT_LOCUS25715 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMVKYEYTTTFLLLFLLCPMVFSLLSQNQTQTMNTLSNLLNIPDWNTNKHPNPCTWKGVTCDLTNSSVIKIVLSGFSLSSQSLPVFCKIETLQHLDVSNNYLNSIPDGFISACGKIESLKLLNFSGNVLSGVLPTFHGFGGLESLDMSFNHLKESIDLQLDGMVSLKSLNLSNNTFTGKIPTNLGKSMVLEQLVLSNNLFQGTIPEQILSYKNLTWIDFKANDLVGFIPSNIDSLSKLKFLSLSSNKLVGKIPMTIMNITSLERFAANQNQFTGSVPFGITKYLSSLDLSYNNLSESIPEGLLSPPQLVLVDLSYNKLQGPVPANISPGLVRLRLGGNSLTGEVPSTCNEAGHELTYIELDNNQLTGLIPPELGSCKKLALLNLAENQLTGALPAELGNLGSLQVLKLQKNKLNGTIPIQISQLQKLSTLNLSQNSLNGPIPSEMTTNLALLYLQGNKLNGSIPPSIGSLGKLLELQLGENQLSGDIPKMPLSLQIALNLSSNRFSGNIPSSLGDLVNLEVLDLSNNKFSGEIPASLTKMAALTQLQLSNNRLSGDLPSFGSYVNVDTRGNDVKNNRDVAVNNSPKGEKSGKSVVSAVLIAIAAAIFVVGAVTLLVVLISRHYCRGNNERVQASEGENFDLRIIQSNLLTSNGIHRSNIDFSKTMEAVAETSNVTLKTKFSTYYKAVMPSGSIYFAKKLNWCDKIFPVSSLDRFGKELEVLAKLNNSNVMIPLGYIVSTNNAYVLYEFLSNGSLFDVLHGSMQNSLDWASRYSIAVGVAQGLSFLHGCASGPILLLDLSSKSIMLKSLKEPLVGDIEHYKVIDPSKSTGSFSAVAGSVGYIPPEYAYTMRVTMAGNVYSFGVILLELLTGKPAVTEGTELVKWVSRNSRNQDHFLDLNVSRTSQAVRNQMLAILEIALVCVSTSTDARPKMKTVLRMLLNAR >CAK8573245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596972687:596975603:-1 gene:gene-LATHSAT_LOCUS25715 transcript:rna-LATHSAT_LOCUS25715-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVKYEYTTTFLLLFLLCPMVFSLLSQNQTQTMNTLSNLLNIPDWNTNKHPNPCTWKGVTCDLTNSSVIKIVLSGFSLSSQSLPVFCKIETLQHLDVSNNYLNSIPDGFISACGKIESLKLLNFSGNVLSGVLPTFHGFGGLESLDMSFNHLKESIDLQLDGMVSLKSLNLSNNTFTGKIPTNLGKSMVLEQLVLSNNLFQGTIPEQILSYKNLTWIDFKANDLVGFIPSNIDSLSKLKFLSLSSNKLVGKIPMTIMNITSLERFAANQNQFTGSVPFGITKYLSSLDLSYNNLSESIPEGLLSPPQLVLVDLSYNKLQGPVPANISPGLVRLRLGGNSLTGEVPSTCNEAGHELTYIELDNNQLTGLIPPELGSCKKLALLNLAENQLTGALPAELGNLGSLQVLKLQKNKLNGTIPIQISQLQKLSTLNLSQNSLNGPIPSEMTTNLALLYLQGNKLNGSIPPSIGSLGKLLELQLGENQLSGDIPKMPLSLQIALNLSSNRFSGNIPSSLGDLVNLEVLDLSNNKFSGEIPASLTKMAALTQLQLSNNRLSGDLPSFGSYVNVDTRGNDVKNNRDVAVNNSPKGEKSGKSVVSAVLIAIAAAIFVVGAVTLLVVLISRHYCRGNNERVQASEGENFDLRIIQSNLLTSNGIHRSNIDFSKTMEAVAETSNVTLKTKFSTYYKAVMPSGSIYFAKKLNWCDKIFPVSSLDRFGKELEVLAKLNNSNVMIPLGYIVSTNNAYVLYEFLSNGSLFDVLHGSMQNSLDWASRYSIAVGVAQGLSFLHGCASGPILLLDLSSKSIMLKSLKEPLVGDIEHYKVIDPSKSTGSFSAVAGSVGYIPPEYAYTMRVTMAGNVYSFGVILLELLTGKPAVTEGTELVKWVSRNSRNQDHFLDLNVSRTSQAVRNQMLAILEIALVCVSTSTDARPKMKTVLRMLLNAR >CAK8534361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720365271:720366721:1 gene:gene-LATHSAT_LOCUS3911 transcript:rna-LATHSAT_LOCUS3911 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNKYVVIKANFDGAPEKDHFEIKTEETFLLSVEEGSDDIIVKNLYTSVDPYQLNRMKTFSPSQNSVTAAAQIIPGQAIVAPVIGKVVASGNAKFQKDDLVMGSFTWAEYSVVKEQSIVKKIDSPEFPLTYYLGVLGLSGLTAYAGLFQVCKLQKGEKVFVSAASGSVGNLVGQYAKLSGCYVVGSAGSHKKVALLKETLGFDDAFNYKEEKDLNSTLKRYFPDGIDMYFDNVGGEMLEAAIANMKAFGRVAVCGVISQYTDAGKRASPNMMDVVYKRITIRGFLCADYMDVFADFLAKTFDYLRDGKLQVIEEISMGVESIPSGFIELFNGDNVGKRIIKLEDE >CAK8564030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646900878:646902888:-1 gene:gene-LATHSAT_LOCUS17375 transcript:rna-LATHSAT_LOCUS17375 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVTDLSNGSNKMSSSSRLSDCRPQQMILERSHSFAKTNQENMDSSKGRQVPVISLPEAVVFFSPRPVAELDTAATKVQKVYKSYRTRRNLADCAVIVEELWWNALDFAALRRSSVSFFDVQKPETATSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHMYYDIWFESQSAQPFFYWLDVGDGKEINLEKCPRNSTLQRQCIKYLGPKEREEYEVIVEKGMLVYRQDGRLVNTDEKSKWIFVLSTTRSLYVGKKQKGKFQHSSFLAGGATTAAGRLVAHQGVLEAIWPYSGHYHPTEENFKEFISFLGEHKVDLTNVKRCAMDDDTYSIMGTDSFNDMNEPQQTKVPPTPKINVSDNNNVTSIHKMEAVAAFNATRRLSCKWSTGAGPRIGCVRDYPEHLQSKALEQVNLSPRPSSIRLNKYGPIPSPRPSPKVRMSPRFVYMGLPSPRTPISSAS >CAK8544964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715060259:715062327:-1 gene:gene-LATHSAT_LOCUS13601 transcript:rna-LATHSAT_LOCUS13601 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEIMKFKYTLFIFLFIIYGVVSTPPADPIKCSSNNTNCTITNANGMFPDRTICKAAEAKYPTSEAELISIVASASKNNQKMKVTTRFSHSIPKLVCPDDNGILISTKNFNRVIRIDRESMTITVESGVTLRQIISEAARYEMALPYTPYWWGLTIGGLLGTGAHGSTLWGKGSAVHEYVTHVRIVSPSGSEDGFVKVRNLDESHEDLNAARVSLGVLGVISQVTLQLQPIFKRSLTYLTKNDSDLGDELITFGRKHEFADVSWYPSQKKVVYRIDDRVPLNTSGNGLYDFTGFRSTLSLALAVVRGTEEIQETGNDANGKCISAQLISTTLAASGYGLTDNGVFLGYPIVGLHNRMQSSGTCLDSLEDLMITACPWDSRIIGEFYHQTTFSISLPVVKNFIEDVQKLVELEPKALCGLESYSGILMRYVTTSNAYLGKTEDAIDFDITYYRSKDPLAPRLFEDIIEEIEQIGLFKYGGLPHWGKNRNLGFVGAIKKYNKADKFLKVKEEYDSKGLFSSEWTNQILGLKEGVTILKDGCALEGLCICSQDSHCAPKKNYFCKPGRVFKEARVCRRDVKTKKNDMKDEL >CAK8537567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:398046063:398047782:-1 gene:gene-LATHSAT_LOCUS6853 transcript:rna-LATHSAT_LOCUS6853 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMNSAYILSTENRPNFSTFVEVDEIPIIDLSETSQENLISKISKACEKWGFFQVINHGVPSELFIKVEKEAKKFFELSMEEKKKLKRDAFNATGYHDAEHTRKTRDWKEVYDFLVKDGIQTPSSDDPLDLGQCTQINRWPKSLPHFREIMEEYSGKLEKLSFKLLELISLSLGLAGDKFFDCFKNQISQTRLNYYPPCPFPELALGVGAHRDPCVLTVVAQDDIGGLQVKQNSVGGWVPVKPIPGALVVNLGDILQVWSNDKYDSAEHRVVLNSQKERFSYPFFLFPGPHIMVKPAEELVTEQDPAKYKAYNFGKYYANRTHADFSKQDVERKEVHHFKILG >CAK8543005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565083599:565087806:1 gene:gene-LATHSAT_LOCUS11795 transcript:rna-LATHSAT_LOCUS11795 gene_biotype:protein_coding transcript_biotype:protein_coding MISGACCALTHIPLLSPPSVTVFPQPTPLQFQPLSYRSPLPFTHLRLRHPRLSALSNDGSGGTGGTSGNGGSGGWSSGGSESEEGGEGKWSFLSWYLALLAKYPVQVKALTSAILTLIGDLICQLVIDKVQTPDWKRTFLFTLLGIVLVGPTLHFWYLYLSKLVTLPGTSGALLRLVLDQFLFSPIFIGVFLATLVTLEGRPSQAVPKLQQEWFSAVIANWQLWIPFQFLNFRFVPQQFQVLVANIVALVWNVILSFKAHKEVLQK >CAK8573744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634538076:634539149:1 gene:gene-LATHSAT_LOCUS26152 transcript:rna-LATHSAT_LOCUS26152 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSTAIPLPVLPEELIGEILLSLPVRSLLQFKCVCKSWKTLISSSQFVKSHLQISTADRTLTNQAWVFSITRGPRNTVSYPLKQLFENPAATVKRGTSFYTEVKSYCIIGSCNGLLCLLHCLPRCVRLCNPSLRMISKTSSVPVSRNWFIKPYGFGYDQVNDNYKALLVVQSNKHYHQILTKIYTFGQDDSWKTIPNFPYNDTMRTGKFVSGTLNWIVSSKNKILSFDIETETYMELLLPQNDGHNIVYKHSALSVLSDHLCLSHSDNCDWVLWMMKEYGVVESWTKFIVVSYDKLLQNNPCMVELMFISQNGILMVLIHNYELIVYNTNTGVAFRTMKRVRYPCFHCESLVSLPC >CAK8578881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660503074:660529086:-1 gene:gene-LATHSAT_LOCUS30862 transcript:rna-LATHSAT_LOCUS30862 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASGSDHPAILQLHKWDTSETQIGLSEFREAFISPTREILLLHSYQKEALLFPLVKGESNSSGCESGNDCDNHILGSSTLSTEVFDRPSGSGLVNDLPCTSGSEIGIDGNVTEIKSSRSNSYPFISDVNSLAWARCGDSYDQHNDASFREFLFVSGRCGVTVHAFPKLSKAREIVQSSLEGSYRQGRWVEWGPIATLAQNIEVGESSRLSHEVSGGQDVNWTGGDDDGIEVLRGSAPTKRYLKSFFTKVETIVTDGSLWTKFPENNEFPCSTEVVSFNIFNGSLSLEYLFNENPVQCKENRQEPADLVEDASDCSSLSTGPTNIKSDCFSNVFGFEINGFYECPKMFSSASYCLVGFFLTLVHNVSVNISGPNQRGRSKHLLLVAKLDNWGIQWVSVVKLDERTDIVQEVEWIDFQFCDNLLVCLDSSGLIVLYSALSGQFVTHLNVSQACGLNPLFDFQGLEKLPFTDDVTGIKHGSDIQDNLNNQHRDSFRRSFKKLVVASHTYFLAAVDACGVIYVISLCEYVTDKNYISEKLLPHSQQFGLGMLVGWGAGGSDIDCQAVFSNFSGYFQSNDLNIINRSVSYPDKAVEGDVIENIDGYTSEERSNLFGPNSSGFSSASKATNDHKFIGSGVKSPVTRKIFLPNFKLCEDDSISFSPLGITILSKMKNVKNQKGSKLVHFNLQVNLDVHDDNILDSAYDVYHFNGKEEVVIGEVVGCTFQGYFYIVREDGLSVYVPSVSLLSSFLPVEYIGYRQPSTDGGISVLLKDSVEVREPTKRFSPWKIEILDRVLVYEGIEEADQLCSKNGWDIKVSRLRQLQIALDYLKFDEIEKSLEMLVDVNLAEEGILRLLFAAVYLMFNKSGNDSETSSASRLLALATCFATTMLRKYGLLQHKKDTRAAEGLNKTGLLYLPPIEPVKLPTEMDFARKLSELAHFLEIIRNLQCRHRTIFQMASQGLVDSEESSFMSIEMLHEEPQLAVLPSDLGSLDTLNQRELSFPLPASGADNNENLALVPVDSESKLVSEELGDLSHLEKKVLPLENPREMMARWKVGNLDLKTVVKDALLSGRLPLAVLQLHLHQSEDFIVDEGPHDTFTEVRDIGRAVAYELFMKGETELAVATLQRLGENIEHCLKQLLFGTVRRSLRVQIAEEMKRYGYLGPYELKILKDIALIESLYPSSGFWKTYHHRLKDTSGPSDCASTLENRLRLLHNHSFDSLVIECGEIDGVVLGSWMDINENSSALEVDDDDDAHVGYWAAAAVWFDAWEQKTIDRMILNQSLRSDISFLWESQFDYHVCRNHWKEVFRLLDSMPAYVLSAGSLQLNLDVMQPVSSSECDVKSSNYGNFLYSLEELDSVCMEVPDVQIYKFSPDIFSGWIRMLTEEKLAKRFIFLREYWEGTTELVSLLARSGSISGKNNIPMEDDLNETSSDRDGTVQALHKIFVHHCAQYNLPNLLDLYLGHHHLVLDLDSLNALQENVVDCEWARWMLLSRVRGCEYKASLANARSIMSHDLAPRGDLGVLELDEIIRTVDDIAEGGGEMAALATLMHASIPIESCLNSGGVNRHSNSSAQCTLENLRPILQRFPTLWRTLVGACLGQDTMCLLVPKAKTALLDYLSWRDDIFLSTGRDTSLLQMLPCWFPKPVRRLIQLYVQGPLGCQSFSAFPMGGTLLHRDIDLFVSADLHDEINAISWEATIQRHIEEELHSPLLEESGFGLEHHLHRGRALAAFNQIIGQRVQNLKSEWEGTSSSLGQTNIQSDVQKLLSPLGQHEDTILSSVLSTAILHFEDSMLVASCAFLLELCGLSAGKMRIDIAVLKRISYFYKSNETNKNLQQLSSKGSVFHAKSHESDVTESLARALADEYLHKDSPVIASEVGAPSKQSSRALILVLHHLEKASLPLLVDGNTYGSWILSGNGDGNELRSHRKISSQHWSLVTNFCRSHQLPLSTKYLSVLARDSDWIEFLSEAQIGGYPYDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKAGTAPFSDTLEKSSETPFPEENICVPVELFQILAVCEKQQCPGEALLMKAKELSWSILAMVASCFLDVSPLSCLTVWLEITAARETSSIKVNDIASQIADNIGAAVEATNALPLSDRVLTFHYNRQSPKRRRLITPVSLNSSASAMSDISSISIKERIFDSQGKTVEDEIAVEHHGSVYAASVSDEGPASLSKMVAVLCEQQLFSPLLRAFDMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPMHIQTNLGREGQIETSWISSTAATAADAVLSTCPSPYEKRCLLQLLAAIDFGDGGYAAANYRRLYWKINLAEPLLRKDDELHLGNEDWDDASLLFALEENRHWEQARNWAKQLEASGAPWKSAMHHVTESQAESMVAEWKEFLWDVPEERVALWNHCHTLFIRYSFPSLQAGLFFLKHAEAVEKDLPAKELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESETQVKSEGDFNLTFCTRESAIKNDSSIIDRTASIIAKMDNHINTMRNRTVEKYESRENNQMPHKNQVVDAGLSTTFGGNTKPKRRAKGYVALRRPALDSVEKSADTDDGSNTVGFKNELQLQEENYKVEMSFSRWEDRVGAAELERAVLSLLEFGQITAAKQLQYKFSPGQIPSEFRLVDAALKLASMSTPPSNVSASMLDEEVHSVMQTYGLLNDKRHIDPLQVLESLVVIFTEGSGRGLCKKIIAVIKVANTLGLSFFEAFDKQPIELLQLLSLKAQESFEEAKFLVQTHLMPAASIAQILAESFLKGVLAAHRGGYIDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVSLAATRVDAYVLEGDFPCLARLITGVENFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDLDAFALVYTHFDMKHETATLLESRAEQSCEQWFRRYNKDQNEDLLDSMRYFIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYLSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLTDLAKFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSLRCLLKRTRDLRLRMQLATVATGFGDVIDACTQEMDKVPDNAAPLVLRKGHGGAYLPLM >CAK8530595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33188098:33188298:1 gene:gene-LATHSAT_LOCUS448 transcript:rna-LATHSAT_LOCUS448 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPDFNIEDILDDEPGPSTRQTIPPTTHHNEDLSSDSSQSSANERLGRGHRQRRAPRCGTGGHLR >CAK8568159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578308480:578320816:1 gene:gene-LATHSAT_LOCUS21149 transcript:rna-LATHSAT_LOCUS21149 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYSHSSYSTLLIPSFPSHSSFSPFHFQNRICKLNNTLNLIPMSCSSLPIKSPFNLPSISRFNRARRTVFKSRASSSSDWTNASNRTVLLNSGITVSLAVANRVLYKLALVPLNNYPFFLAQFTTFGYVVIYFSILYVRYRLGIVTNEMLAIPKWRFFVIGFLEALGLVTGMSSAAILPGPVIPILNQTYLVWQLMFSTLILRRRYSINQIVGCLLVATGVVVAISSGSNAGQMLSKVDFFWPVLMMVSCAFQAGASIIKEFVFLDSSTRLKQKSLDIFVVNSFGSGFQALFVLLFLPLISNLKGIPFAQLPSYLKSGAVCFLNIGADKTGCDGAPLLPLLYVITNLAFNISLLSVLKSSSAVVASLVLMLSVPVSVYTLSLPLPYLPEGGTTLSPFFMLGCAILVCGLYMYNTTRPARNSTEVD >CAK8568160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578308480:578320816:1 gene:gene-LATHSAT_LOCUS21149 transcript:rna-LATHSAT_LOCUS21149-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYSHSSYSTLLIPSFPSHSSFSPFHFQNRICKLNNTLNLIPMSCSSLPIKSPFNLPSISRFNRARRTVFKSRASSSSDWTNASNRTVLLNSGITVSLAVANRVLYKLALVPLNNYPFFLAQFTTFGYVVIYFSILYVRYRLGIVTNEMLAIPKWRFFVIGFLEALGLVTGMSSAAILPGPVIPILNQTYLVWQLMFSTLILRRRYSINQIVGCLLVATGVVVAISSGSNAGQMLSKVDFFWPVLMMVSCAFQAGASIIKEFVFLDSSTRLKKSLDIFVVNSFGSGFQALFVLLFLPLISNLKGIPFAQLPSYLKSGAVCFLNIGADKTGCDGAPLLPLLYVITNLAFNISLLSVLKSSSAVVASLVLMLSVPVSVYTLSLPLPYLPEGGTTLSPFFMLGCAILVCGLYMYNTTRPARNSTEVD >CAK8565097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:36106353:36109316:1 gene:gene-LATHSAT_LOCUS18336 transcript:rna-LATHSAT_LOCUS18336 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQDHPNPQVSRFLEKGFSEITEGIVGKALHALCVKGVFQLNTFYRNTLINMYSKFGDIKYAQHVFDKMLDRNDASWNNMMSGFVRVSWYYEAMKFFCYMFENDVRPSSYVVASLVTACDRSGCMTEGALQIHGYVVKCGFMSNVFVGTSLLHFYGTHGSVSEAIKLFEEIEEPNIVSWTSLMVCYADNGHTTEVLNIYRHLRQTALICNENTMATVIRTCGMFGDTTLGYQILGDVVKSGLDTCSVSVANSLISMFGNYDSVDEASRVFNNMKERDTISWNSIITASAHNGRFDESLGHFFWMRRTHTKTNYITISALLPACGSAQHLKWGKGLHGLIIKSGLESNVCVCNSLLSMYSQAGLPEDAEFVFHTMPERDLISWNSMMASHVEDGKYLHAIRLLIEMLKTRKATNYVTFTTALSACYNLEKLKIAHAFVVHYGLHDNLIIGNTLVTMYGKFGLMDEAQKVCKIMPERDVVTWNALIGGHADNTDPNAALESFNLLRREGLLSNYITIVNLLGACLSPDYLLKHGMPIHAHIVMAGFELNTYVQSSLITMYAECGDLNASNFIFDVLANKNSSTWNAILSANAHYGPGEEALKFIARMRNDGVDLDQFSFSVALATIGNLTVLDEGQQLHSWIIKLGFESNEYVLNATMDMYGKCGEIDDVFRILPIPKSRSQRSWNILISALARHGFFRQATKAFQEMLDIGLKPDHVTFVSLLSACSHGGLVDEGLSYFSSMTTEFGVPTGIEHCVCIIDLLGRSGRLAEAEAFIDKMPVPPNDLVWRSLLAACKIHGNLELGRKAADHLLELDSSDDSAYVLYSNVCASTQRWGDVENVRKQMESHSLKKKPACSWIKLKNKVTTFGMGDQFHTQTAQIYAKLEELRKMTREEGYVPDTSYALQDTDEEQKEHNLWNHSERIALAFGLINCPKGSTIRIYKNLRVCGDCHSVFKLVSKIVGRKITVRDSYRFHHFYGGKCSCSDYW >CAK8565099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:36106995:36109316:1 gene:gene-LATHSAT_LOCUS18336 transcript:rna-LATHSAT_LOCUS18336-3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIRTCGMFGDTTLGYQILGDVVKSGLDTCSVSVANSLISMFGNYDSVDEASRVFNNMKERDTISWNSIITASAHNGRFDESLGHFFWMRRTHTKTNYITISALLPACGSAQHLKWGKGLHGLIIKSGLESNVCVCNSLLSMYSQAGLPEDAEFVFHTMPERDLISWNSMMASHVEDGKYLHAIRLLIEMLKTRKATNYVTFTTALSACYNLEKLKIAHAFVVHYGLHDNLIIGNTLVTMYGKFGLMDEAQKVCKIMPERDVVTWNALIGGHADNTDPNAALESFNLLRREGLLSNYITIVNLLGACLSPDYLLKHGMPIHAHIVMAGFELNTYVQSSLITMYAECGDLNASNFIFDVLANKNSSTWNAILSANAHYGPGEEALKFIARMRNDGVDLDQFSFSVALATIGNLTVLDEGQQLHSWIIKLGFESNEYVLNATMDMYGKCGEIDDVFRILPIPKSRSQRSWNILISALARHGFFRQATKAFQEMLDIGLKPDHVTFVSLLSACSHGGLVDEGLSYFSSMTTEFGVPTGIEHCVCIIDLLGRSGRLAEAEAFIDKMPVPPNDLVWRSLLAACKIHGNLELGRKAADHLLELDSSDDSAYVLYSNVCASTQRWGDVENVRKQMESHSLKKKPACSWIKLKNKVTTFGMGDQFHTQTAQIYAKLEELRKMTREEGYVPDTSYALQDTDEEQKEHNLWNHSERIALAFGLINCPKGSTIRIYKNLRVCGDCHSVFKLVSKIVGRKITVRDSYRFHHFYGGKCSCSDYW >CAK8565098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:36106509:36109316:1 gene:gene-LATHSAT_LOCUS18336 transcript:rna-LATHSAT_LOCUS18336-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKFGDIKYAQHVFDKMLDRNDASWNNMMSGFVRVSWYYEAMKFFCYMFENDVRPSSYVVASLVTACDRSGCMTEGALQIHGYVVKCGFMSNVFVGTSLLHFYGTHGSVSEAIKLFEEIEEPNIVSWTSLMVCYADNGHTTEVLNIYRHLRQTALICNENTMATVIRTCGMFGDTTLGYQILGDVVKSGLDTCSVSVANSLISMFGNYDSVDEASRVFNNMKERDTISWNSIITASAHNGRFDESLGHFFWMRRTHTKTNYITISALLPACGSAQHLKWGKGLHGLIIKSGLESNVCVCNSLLSMYSQAGLPEDAEFVFHTMPERDLISWNSMMASHVEDGKYLHAIRLLIEMLKTRKATNYVTFTTALSACYNLEKLKIAHAFVVHYGLHDNLIIGNTLVTMYGKFGLMDEAQKVCKIMPERDVVTWNALIGGHADNTDPNAALESFNLLRREGLLSNYITIVNLLGACLSPDYLLKHGMPIHAHIVMAGFELNTYVQSSLITMYAECGDLNASNFIFDVLANKNSSTWNAILSANAHYGPGEEALKFIARMRNDGVDLDQFSFSVALATIGNLTVLDEGQQLHSWIIKLGFESNEYVLNATMDMYGKCGEIDDVFRILPIPKSRSQRSWNILISALARHGFFRQATKAFQEMLDIGLKPDHVTFVSLLSACSHGGLVDEGLSYFSSMTTEFGVPTGIEHCVCIIDLLGRSGRLAEAEAFIDKMPVPPNDLVWRSLLAACKIHGNLELGRKAADHLLELDSSDDSAYVLYSNVCASTQRWGDVENVRKQMESHSLKKKPACSWIKLKNKVTTFGMGDQFHTQTAQIYAKLEELRKMTREEGYVPDTSYALQDTDEEQKEHNLWNHSERIALAFGLINCPKGSTIRIYKNLRVCGDCHSVFKLVSKIVGRKITVRDSYRFHHFYGGKCSCSDYW >CAK8532814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520706066:520707163:1 gene:gene-LATHSAT_LOCUS2480 transcript:rna-LATHSAT_LOCUS2480 gene_biotype:protein_coding transcript_biotype:protein_coding MLLETNAPRFRVHSHAPIHASARIMAYLEIAGFANISKMKSLKIDSSLVVALLEKWRPETHTFHLPTGEFTITLEDVCMLLGLRVDGIAINGPTEVTNYIYMENLGVEPTEEDKIKGSVRITWLEGLYETLKNKPAPTQEDVLLEAKIYILLVIATILFPDKSQNLLHSSWIPFVGDLLKCGTYSWSSACLAKLYREMCKAAVKSVRSLSGCALLLTSWAFTRIPLFTPVTTVEPSHPYAQRWAQRGMNYTANPRFHLQGCRNALDHMREHDFIWRPYIRYPPPTLEDSQI >CAK8535729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878082689:878084231:-1 gene:gene-LATHSAT_LOCUS5158 transcript:rna-LATHSAT_LOCUS5158 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSEAYRAHPVHVNHKHPDFNSLQELPESYNWTHLDDHSLIKETTTTVPVIDLNDPNASNLIGLACKTWGVYQVINHGIPLSLLQDIQCLGQTLFSLPSHQKLKATRSPDGVSGYGLARISSFFPKLMWYEGFTIVGSPLDHFRQLWPQDYVKYCDIVVQYDETMKKLAAKLMCLMLDSLGITKEDIKWAGSKAQFEKACAALQLNSYPSCPDPDHAMGLAPHTDSTFLTILSQNDISGLQVQREGSGWVTVPPLQGGLVVNVGDLFHILSNGIYPSVLHRVLVNRTRQRFSVAYLYGPPSNVEICPHAKLVGPTQPPLYRSVTWNEYLGTKAKHFNKALSSVRLCTPINGLFDVNDSNKNSVQVG >CAK8577213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544871792:544873223:-1 gene:gene-LATHSAT_LOCUS29340 transcript:rna-LATHSAT_LOCUS29340 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQQHKKSKVVKIDSLKSWEEYITEATNKGYFVMVHFSAYWCMPSIAMDPFFEELASTYQNVIFLKVDVDEVKEVATKMEINAMPTFLLMSGGTPVDKTVGANPDELRKRMDQLVPQNPSNA >CAK8562489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500459996:500461900:-1 gene:gene-LATHSAT_LOCUS15981 transcript:rna-LATHSAT_LOCUS15981 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLFTTAPPPPPPPPSTTAAPPSIHSNQVDTLALLIDKSKSKNQLLQIHATLIRHGLDDHTILNFKLQRRYSTVGHLHYSVTLFNRTRNPNVFTWTSIIHAHTQSQLNDQALSYYAQMLAHRIQPNAFTFSSLLNGTTLRPTKAIHCHIIKFRLSSDTYVATGLVNGYARWADVISAEKVFDEMPQKSLVTFSAMLTCYAKHGKLREARLLFDEMGENRDVVVWNVMIDGCARNGSPNECLLLFRRMLVEKVRPDVVTLLAVLSSCGQLGALESGRWVHSYIENKRKDIVAVEVRVGTALVDMYCKCGSLEDARNVFDKINGKDVVAFNSMMMGYAVNGCSEEALKLFHEMCDMGVKPGHVTFIALLTACGHSGLVAKGLEIFNLMKNKYEMEPRVEHFGCMVKLLGRAGHLQEAYDLVRRMRIDPDPVLWGTLLWACRLHNNISLGEEIAEFILSSDLASSGTYVLLSNIYAASGNWVGAAKVRSLMKDSGVEKEPGCSIIEVNNRVHEFIAGDLRHPKSKDIYMMLEEMSSWLKGNGYTPKTDVVLHDIEEEQKELSLEVHSEKLALAFGLISTSRGTTIKIVKNLRVCLDCHAVMKMISRITGRQIVMRDRNRFHHFNNGLCSCKDYW >CAK8543320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599901859:599903467:-1 gene:gene-LATHSAT_LOCUS12085 transcript:rna-LATHSAT_LOCUS12085 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSNNLLSLLVPIAAIFASLYLINPNPQFFTSLSPSSIYFGRDHHHHRPHKKKPDSENNDSVCDDFPPGIPPPNTNTTSYLCVDRKGCCNFTTVQQAVNAVPDFSLKRTIIWINSGFYYEKVMVPKTKPNITFQGQSYTSTALAWNDTAKSANGTFYSGSVQVFASNFIAKNISFMNLAPMPAPGAEGAQAVAMRISGDQAEFRGCGFFGAQDTLHDDKGRHYFKDCYIQGSIDFIFGNARSLYENCQLVSIANPVPPGQKNINGAITAHGRVSGDENTGYVFVNSTIGGNGRIWLGRAWRPYSRVIFAFTVMSDIIAPEGWNDFNDPTRDQTIFYGEYNCSGPGANVNSRAPYVQRLNDTQVFPFLNTSFIDGDLWLET >CAK8532237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228002317:228005989:-1 gene:gene-LATHSAT_LOCUS1967 transcript:rna-LATHSAT_LOCUS1967 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELLSLDNGTTCSSWNYSGTRLATGSTDGTLSIFDFRDPASSSNSLRFTFKTKVHEGNIAKIVWVPPEYGDAVACVSADGIVSLLEEIVEDSQPLQWKIRKTFGSSESKVLDVQFGISFTRLKMVAAYSDGHVRVFELLDPLELTNWQLQAEFQNVVESVSSFGKALCISASISLNPQKCGSEEFSFLVSFNSDTPELNSSKVWEFDQAHRRWLPVAELALPEDKGDRVYAVAWAPNVGRLYEVIAVATHKGLAIWHLGLSPDHNGRLAVDKVALLSGHEGMVWQMEWDMSGMTLATTGDDGMVRLWQSNLNGIWHQQAAFEPTS >CAK8578124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608640980:608641549:1 gene:gene-LATHSAT_LOCUS30163 transcript:rna-LATHSAT_LOCUS30163 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPTKQHTHRINPTKENTPSHPFPKKVRIIYTDKDATDSSSDEEEASICNRTKKFVNEIVIEPCQSENNCPGRDSDGGDVVPRKRNRTSTGGKTRPPATRRVTSGQKYIGVRQRPWGKWAAEIRDPSRGVRVWLGTFQTAEEAAIVYDNAAIKLHGPDAPTNFITPPAPSQVVSPEIENPPQLRLPI >CAK8561340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:157610570:157611364:1 gene:gene-LATHSAT_LOCUS14941 transcript:rna-LATHSAT_LOCUS14941 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMLKRVVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDYIEKDQSRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8541904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:428697736:428698581:-1 gene:gene-LATHSAT_LOCUS10793 transcript:rna-LATHSAT_LOCUS10793 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFWECLLKLFNFVLSLTGLAMVGYGIYLVVQFSKASDDDTPAISPVSDDSALIQLGRPMLMAVSLSNNIFDRLPKAWFIYLFIGVGVVLFVVSCFGCIGAMTGNGCCLISYSILVALLILVELGCAAFLFFDKSWKEVIPTDKSGDFDMIYGFLRENWSIVRWVALGIVIFEALLFLLALTVRVVNKPADYDSDDELINPRQQARQPLLNRQAGPAAGVPVTGAIDQRPNRNDAWSSRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEEKSRCAIM >CAK8567094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486472346:486478578:-1 gene:gene-LATHSAT_LOCUS20178 transcript:rna-LATHSAT_LOCUS20178 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCSATPCYSPSSQIPLFGGLQSLCPIRKDLESRCVGEESVHLGLHYGTPSLRNSFATQAIKTVSAWENSPVTVNGEFRYIEERYSLSTVPEEFLNFSKQSAEGSDALVASAQPEAMLSSTDITPEKFESVPSLIEGGNESLAATKATVGDLVAGINESFNASINKGENAFRSSVDTVTNFVDSIVKNATTSADNAFSKAFSAVDQTGGLANKKITGFSSEINGVTGKAPSLVIDILRRSIVAVESSLSTGASYIVYLYGSAKEFLPAEIRETVGVYEDKATQFLRPVGSGTQQIYTAFYSLEKSLGFDPNDPIIPFVVFVGSSATLWAIYWLWKYGGYSGDLSPKSAFELLAGDSNAVLIDVRSEELREKDGVPDIRRAARFRYASVTPIEVDGSIRKLLKGGRDLDDSLVAVVIQNLKIVKDSSKVIVLDADGTRSKGIARSLKKIGIKNPYLVEGGFQSWVKQSLRIKELKPETALTILNEEAEAILADIIPSSPWQLLGYGTALLAGSYALVEWEKTLQLIGVFGLSLTVYLRVSSYEKSEDLRQDVKLLLAPVKLGGQAFSWAAGKLESNGIGLPTSPSSLDVQSRVLQAAAKHESQPSDSEGNQDPNPESTVTLNQNA >CAK8578796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655126097:655126541:1 gene:gene-LATHSAT_LOCUS30780 transcript:rna-LATHSAT_LOCUS30780 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFILRLLKPSDTPLFPSMDEDPPFTNVASRGRQSKPISISRSSTMEKSRRSSRGSASPNRLSPSPRSGTNTSQAGGRPSSLPNYSPTSSSFRFSLCL >CAK8538487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484769550:484772688:1 gene:gene-LATHSAT_LOCUS7678 transcript:rna-LATHSAT_LOCUS7678 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVECPRRWPLRCFLLFIGITTVSTTVTASDSHKNRENLVSRIAFGSCSNQSAPQPIWDAVADFNPQLFIFMGDNIYGDIKRPFKIFGRERTIGPWKNVPRFLPASEQEMEAKYQKAKSNPGYARVKESAKVIGTWDDHDYGLNDAGKEFHKKETNQKLLLDFLDEPQDSPRRKQAGVYASYTYGPMGKDVKVVLLDTRYHRDPVGSDGTILGDSQWSWLERELNGPPTAITIIGSSIQVISNLSATLQPLVAMESWGRFPKERDRLFKLIAESKRGGVLFISGDVHFGEITRYDCASDYPLFDITSSGLTQSVEEVVPRFLLPLVRFFAWFTPSTMRVKGPNCKYKSCVYGQPNFGTIEIDWEAHPVNVKFEIRDKKGVAVTGVNISLLELHPSKSETLDKAKTGDNQRHCTLEASLPWIKRYRIAILLYFTIFALLLAILVGLSCACLSIFRLGGCKRKHE >CAK8536435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941416493:941418924:-1 gene:gene-LATHSAT_LOCUS5803 transcript:rna-LATHSAT_LOCUS5803 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNRLITKILNTSIVSRSLRMYSTTETPIGFSALENRILKSGDPKTSMVPILNQWIEQGRDVPQPVLKRIITRLANYRRFTHALQVSEWMDDKSNNDLYPGDIAKRLNLISKVHGLEQAERFFKDIPEAKIGFKVYAALLGCYAEHKSLEEAEAIMKKIKKLRPMHLTVCYNMMLKLYAQKGKSEKLDRLMQEMKENDICNGATFTIRLNAYAISKDIEGMEKLLMQMEADPIATVDWYTYATAANGYMKAGNVEKASLMLKKSENLVNGQTRRIAYESLQTMHAAIGNKDEVYRLWNRCKNLRNSLNSSYLCMLSSLVKLDDIEGAEKILQEWESGHTSYDIRISNMMITAYCKWGLVDKAEAYIKKLLDNGKVLDGSTWDRLSSAYHNDNDMEKAVQTLKKATLGSRRGWKPSAVTLSACIKYLKEKVDVEQAFEILKLFKEKGHISDTAYDGLVSYVHGEMPDTEAFDLIKQDLRYEKVQLHDEEN >CAK8579296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689975160:689977914:-1 gene:gene-LATHSAT_LOCUS31251 transcript:rna-LATHSAT_LOCUS31251 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEDVSRQIHQMVRFIQQEAQEKATEISASAEEEFNIEKLQLVEAEKKKIRQEYERKERQVEIRKKIEYSMQLNASRIKVLQAQDDIVSSMKEVAAKELLNVSHHHLVEDILHVGHHEYKNLLKDLIVQSLLRLKEPSVLLRCRKHDVHMVEHVLEAAAEEYAEKAGVIPPEIVVDHDVYLPPAPKHHHTHDPYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKQLFGQVAV >CAK8536002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897086024:897088491:-1 gene:gene-LATHSAT_LOCUS5410 transcript:rna-LATHSAT_LOCUS5410 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGPSRQCPNMSVVVCLVRIHVSSITRTLRFSSKTNPHRVSQISVPAINRTPKNATELKPKNHLPQVQDQKKFVQREGYAYTETSELGQFNECPANWEYVLEGIRKMSHSIDTSGDREDDDIHPPKERRFVVLASTILSSQTKEDITRGATQRLRQNGLLTADALNNADEETIRKLIYPVGFYIRKASNLKKLAHICLTKYDGDIPNTIEELLSLPGVGPKIAHLVMIIGWNNVQGICVDTHVHRICNRLGWVSRSGTRQRTSIPEETRKALQRWLPREEWVAINPLLVGFGRTICTALRPRCGECGVSKFCPSAFKETSSSSSRSNKS >CAK8536003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897086024:897088455:-1 gene:gene-LATHSAT_LOCUS5410 transcript:rna-LATHSAT_LOCUS5410-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVCLVRIHVSSITRTLRFSSKTNPHRVSQISVPAINRTPKNATELKPKNHLPQVQDQKKFVQREGYAYTETSELGQFNECPANWEYVLEGIRKMSHSIDTSGDREDDDIHPPKERRFVVLASTILSSQTKEDITRGATQRLRQNGLLTADALNNADEETIRKLIYPVGFYIRKASNLKKLAHICLTKYDGDIPNTIEELLSLPGVGPKIAHLVMIIGWNNVQGICVDTHVHRICNRLGWVSRSGTRQRTSIPEETRKALQRWLPREEWVAINPLLVGFGRTICTALRPRCGECGVSKFCPSAFKETSSSSSRSNKS >CAK8535060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809449378:809451007:-1 gene:gene-LATHSAT_LOCUS4543 transcript:rna-LATHSAT_LOCUS4543 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSNPFALSVPEPAFESWLRDTGYLEIIDQRTTAAAEATTTVDSNSSPLVPVTSISSRLLTLLSFLTLNPFAKLTADDFTGDTPSWSRSFVGSPSSYSFPSNPSQARFRVHENVKRYARNYAYLFVLFFASSLYKMPLALVGLISCLALWDFFKFFSERWGLDQYPVIRQCLLRIAQFATAVILIFSNVQMALFCAISVSYAGVILHAAFRKLTPASRPSLVRGG >CAK8570007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20517745:20518200:1 gene:gene-LATHSAT_LOCUS22798 transcript:rna-LATHSAT_LOCUS22798 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKHSALIILLSLLSYSIFSHACESCNPKPKPTPPPTPSTTPTTSTPPPSTTTPTASPPTPSTSQKCPSDTLKLGVCANLLGLVNVVVGSPASSKCCALIQGLADLDAAICLCTAIKANVLGINLNVPVTLSLLLSACQKSIPSGFQCS >CAK8544169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670337529:670338041:-1 gene:gene-LATHSAT_LOCUS12871 transcript:rna-LATHSAT_LOCUS12871 gene_biotype:protein_coding transcript_biotype:protein_coding MNDETSKSSSHNIIQFDRKRLCFMVAKRINQHDGRPLGTFSVDLRRGWCDYGRFQAFHLPCSHVIASCASIRQDHNMHIPDVFKVLSVFKVYSESFFGLPHHENWPIYEGFTLCHDETMRRNKKWRPNNTRIRTKMDDLEKEKRRCEICREIDHMHRKCLNVADPSNRPV >CAK8571075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:276936621:276938924:1 gene:gene-LATHSAT_LOCUS23769 transcript:rna-LATHSAT_LOCUS23769 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVCNIVSMKLSQLHPHPHPLPRFLNPHHHSTLPFTFSISFFSNSNFKFPTLCTTPSSTTHHPLPPNFSSSQLLHLLRRQNDQPSFIQTFQLASNHPNFNHELSFYNEILLHLTQTSSFDSITTVLKQMKSSGFIPNANTFATLTHGFSHFQEIEHVLHIMENELGFKPDTKFYNLALNALVEDNKLKLVEMLHSKMVSEGVPLDVSTFNVLIKALCKAHQLRPAILMLEDMANHGGLKPDEKTFTTLMQGFIKEGDLNGALRIRNQMLGYGCLLTHVSVNVLVNGFCEQGHVEEALRFIHGVSEEGFFPNQVTFNTLVKGLCRNGNVNDALEIVDFMTENRFDPDVYTYNSLISGMCRLGEFDKAIEILQQMVLRDCSPNTVTYNTLISALCKENEIEAATELARILVSKGMLPDVCTFNTLIHGICLTKNLEIAMELFEDMKKKGCQPNEFTYSILINSLCSERRLKEALMLLKEMELSGCARNVVVYNTLIDGLCKSKRVEEAEEIFDQMELLGVSRSSVTYNTLIDGLCKDRRVEEASQLLDQMIMEGLKPDKFTYNSLLTYFCRVGDMEKAADIVQSMKSNGCDPDIVTYCTLIGGLCKAGRAEVAIKLIRSVQMEGIVMTPQAYNPVIQALFRRKKTKEAMRLFREMIEKSDPPNAVTYNIVFRGLCNGGGPIQETVDFTVEMLEKGILPEFPSFSFLAEGLCSLSMEETLIELINLVMERAKLSERETSMIRAFLKIRKFNDALANLGGILDRKNPRRY >CAK8571076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:276936648:276938924:1 gene:gene-LATHSAT_LOCUS23769 transcript:rna-LATHSAT_LOCUS23769-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQLHPHPHPLPRFLNPHHHSTLPFTFSISFFSNSNFKFPTLCTTPSSTTHHPLPPNFSSSQLLHLLRRQNDQPSFIQTFQLASNHPNFNHELSFYNEILLHLTQTSSFDSITTVLKQMKSSGFIPNANTFATLTHGFSHFQEIEHVLHIMENELGFKPDTKFYNLALNALVEDNKLKLVEMLHSKMVSEGVPLDVSTFNVLIKALCKAHQLRPAILMLEDMANHGGLKPDEKTFTTLMQGFIKEGDLNGALRIRNQMLGYGCLLTHVSVNVLVNGFCEQGHVEEALRFIHGVSEEGFFPNQVTFNTLVKGLCRNGNVNDALEIVDFMTENRFDPDVYTYNSLISGMCRLGEFDKAIEILQQMVLRDCSPNTVTYNTLISALCKENEIEAATELARILVSKGMLPDVCTFNTLIHGICLTKNLEIAMELFEDMKKKGCQPNEFTYSILINSLCSERRLKEALMLLKEMELSGCARNVVVYNTLIDGLCKSKRVEEAEEIFDQMELLGVSRSSVTYNTLIDGLCKDRRVEEASQLLDQMIMEGLKPDKFTYNSLLTYFCRVGDMEKAADIVQSMKSNGCDPDIVTYCTLIGGLCKAGRAEVAIKLIRSVQMEGIVMTPQAYNPVIQALFRRKKTKEAMRLFREMIEKSDPPNAVTYNIVFRGLCNGGGPIQETVDFTVEMLEKGILPEFPSFSFLAEGLCSLSMEETLIELINLVMERAKLSERETSMIRAFLKIRKFNDALANLGGILDRKNPRRY >CAK8572870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569012613:569014278:1 gene:gene-LATHSAT_LOCUS25382 transcript:rna-LATHSAT_LOCUS25382 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIPVDGVFSFDHVDRNTGLFSRVYQPAPENVTTWGIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVSMCKAVVVSVNYRRSPEHRFPCAYEDGWNALNWVKSRTWLQSGKDSKVYVYMAGDSSGGNIAHHVAVRAAEEDVEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPNGKSLEGLKFAKSLVCVAGLDLLQDWQLEYVEGLKNYDQDVKLLYLKEATIGFYFLPNNDHFYCLMNEINTFVHSNC >CAK8537242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174817382:174817825:1 gene:gene-LATHSAT_LOCUS6545 transcript:rna-LATHSAT_LOCUS6545 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNNISITEKLNQQEIDMGTAAQSSSEIYTSDSEKGFAIDHHSSGSPELDAGEKFVLVSHGSWLHCGYHLTTSIVGPLILTLPFTFTLLGCFGGMIWLILVGVITFYSYNLLSLVLEHHT >CAK8537351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:296211982:296212167:1 gene:gene-LATHSAT_LOCUS6647 transcript:rna-LATHSAT_LOCUS6647 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVTWYQQNALETTPPPSRLTKLQKLKIVWQ >CAK8576031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:388043654:388044337:1 gene:gene-LATHSAT_LOCUS28249 transcript:rna-LATHSAT_LOCUS28249 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLSPAYAYTILYVKDVAQSVAFYAKAFGYSVRRLDESHRWGELESGSTTIAFTPIHQHETDDLTAAVHATKSKQERPPVEVCFVYHDVDAAYKRAVENGAVSVSVPEVKEWGQKVGYVRDIDGIVIRMGSHVKPAKLD >CAK8539229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507184088:507187723:1 gene:gene-LATHSAT_LOCUS8342 transcript:rna-LATHSAT_LOCUS8342 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKTYAGLSLIATLAIAYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCVLWQLTKMIFLGSLREAEVDRLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPTVTMLSHIRIVSFMGFLLLLDSVFLYSSLKHLIETWQASVSLFFAFEYMILATTTVSIFVKYIFYVSDMLMEGQWEKKPVFTFYLELIKDLLHLSMYLCFFFVIFVNYGIPLHLIRELYETFRNFKVRIADYIRYRKITSNMNDRFPDATPEELDASDATCIICREEMTAAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPAENGTTVAGGQQGAQSNGHRQGQTELGSGGATDSVSRHQARLQAAAAAASIYEKSYVYPPATYSVRSPGYTTYPPVAESSSIDLNGEQASSEQAQQKFHNPGGPTNVPFPLTGQSLPFQPHAAPSNYGVRIGNDRNTRKVEFEAHKQMFQEQIEILQEHIQKMETTYAEGSVDDGMPSSESRGKLSVSSENQEGEA >CAK8573555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620031642:620034805:-1 gene:gene-LATHSAT_LOCUS25990 transcript:rna-LATHSAT_LOCUS25990 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRLFAAKKSSPPPYDGSSTKSDKDSTTKKRWTFAKQSSRRKSLPPPQPPPSSAFTQFDPSTSLERNKHAIAVAAATAAVAEAALATAHAAAEVVRLTSNGVGSSSKAHSGQPRLPEETSAVRIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQSADMLRRMQTLVRLQTQARATRAHHLSSDNLHSFKSSLSHYPVPEEYEDPHSYRVCSTKFGGSSILKRCSSNANFRKIESERQRFGSNWLDHWMQDNSGNQIKDTSFKHKHSHEDKIDKILEVDTWKPHESPSKHSTKPQNQTPSFKFHKGKDQETASRTADNSPQTFSASSKTGNSVRRGTPFTPTRSECSWSFLGGYSGYPNFMANTESSRAKVRSQSAPRQRHEFEGYISSRRPFQGLWEVGSTNSDQGSDSRSNRVSPSLSRFNRIGSNNLR >CAK8531681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139199252:139199849:-1 gene:gene-LATHSAT_LOCUS1454 transcript:rna-LATHSAT_LOCUS1454-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPQRNAQLGILNDLLGSANIQGTVLCTSNDNVDAISALTPVFSNAQVQLRCGGGNMLLNSTTNGDGKFSMLMENPLLYDLSSLLTDCNLMVPTPLSSCNAKLPSAGGLTSSLKYVGTSRIGTRTLANIAPSGFHFIPLT >CAK8531680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139199252:139199891:-1 gene:gene-LATHSAT_LOCUS1454 transcript:rna-LATHSAT_LOCUS1454 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKYLIAFLLILVMRLPQRNAQLGILNDLLGSANIQGTVLCTSNDNVDAISALTPVFSNAQVQLRCGGGNMLLNSTTNGDGKFSMLMENPLLYDLSSLLTDCNLMVPTPLSSCNAKLPSAGGLTSSLKYVGTSRIGTRTLANIAPSGFHFIPLT >CAK8542093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:472177729:472191128:-1 gene:gene-LATHSAT_LOCUS10964 transcript:rna-LATHSAT_LOCUS10964 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSIAGTYSISTLLRRDGILHLGAARVKRVKLKQSLSTCRAIRSSTVAHASTGELVVVGDGVDEKRRDEGRILKVGLICGGPSAERGISLNSARSVLDHLQGDDLQVSCYYIDCNLNAHAISSAQVYSNTPADFDFKLESLAQSFPTLADLAEHLAAAVDIVFPVIHGRFGEDGGIQELLEKYNVPFVGTGSSECRQAFDKYKASSELRKHGFVTVPNFLVEGYETDRSELSKWFKKHQLDPDVGKVVVKPTRGGSSIGVTVAYGVTDSLVKANEIMSEGIDDKVLVEIFLEGGKEFTAIVLDVGSSSDSCPVALLPTEVELQFLGANDLKENDAIFNYRRKYLPTQQVAYHTPPRFPLDVIESIRKGASVLFQRLCLQDFARIDGWFLPDSGCKLSSSESEFGRSESGTIIFTDINMISGMEQTSFLFQQASKVGFSHTNILRSIVHHACSRFPNIAPANSISGQIPRSSKSSEINKPLPHREGDQKVFVIFGGDTSERQVSLMSGTNVWLNLLGFGDLEVTPCLLSSTSDSASSGSMGAKADDVWNRTVWSLPYSLVLRHTTEEVLEACTEAIEPKRAALTSDLRKKVMNDLTEGLKDRNWFTGFDIADELPKKFSLRQWIKLVKEANGTVFIAVHGGIGEDGTLQSLLEAEGVPHTGPGALASRICMDKVETSVAIKHLASSGVLTINKEVWRKEDLSNNPINDIWHDLTEKLQSETLCIKPAKDGCSTGVARLCCSNDLVIYIKALEECLLRIPPNSLSKAHGMIEMPNPPPEHLIFEPFIETDEIIVSSKTKNEKGHGFMWKGHSRWVEITVGVIGKRGSMHSLSPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIMSEKALQNCKHHIELIANTLQLEGFSRIDAFVNVDNGEVLIIEVNTVPGMTPSTVLVHQALAEQPPLYPHQFFRTLLDLASERSI >CAK8574147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665749953:665751585:-1 gene:gene-LATHSAT_LOCUS26520 transcript:rna-LATHSAT_LOCUS26520 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVKSEKLTAEIVPRDGAKVYPTVRLYGSPKSILAAYIRFALLHKSVSLDFIPSDEAPANGARRSDTDVTLEVGSEVVTGSRETLLRFIDARFPSPVVGGGVEEEKVAVMVTVMRLQHKSMLWHVERVLRWAEDLVARGGKKAVDPSVGTPRMEIRKFATSYSALLEVMMEHARMEETVLFPFFDIADRGLTKAAKEEHARDLPLMNGIKEIIKSVGVLDSGSSDSREALNNLSSRLKLLQGQSKQHFKEEEVELLPLMEALELSKEQEENALDQCFDVMLETHGRLLKFFLEGLQPRDAMKYLDFISMCRDKEKMESMLTMILK >CAK8569317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693605304:693605808:1 gene:gene-LATHSAT_LOCUS22180 transcript:rna-LATHSAT_LOCUS22180 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASTSASEPVGYPGGLYDTSLLVKYERHVAYRIWFGERGSKKELKVAGHGLKLTQRVPLQLPREMDSWISRSGLASLQRTSLTKINTNLVSAFAKRWHLETSSFHMLFGEMTITLDDVSCLLHLLIRGVF >CAK8569037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665623706:665627768:-1 gene:gene-LATHSAT_LOCUS21934 transcript:rna-LATHSAT_LOCUS21934 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTEDAVRRRNAVAEYRKKLLQHKELESRVRSVRENLRASKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >CAK8578734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650586860:650587593:-1 gene:gene-LATHSAT_LOCUS30720 transcript:rna-LATHSAT_LOCUS30720 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSFTSPGAKLDNRFNNGRGPPTIRIQGQACHQIGSLLPPEGHPPKFSQLYIYDTENEVTNRMDGFRNKNNILPETIQKLSNMLYTHNTHAKSFLMARQWLNQSNVHNLKLKLISNRSTDGRLYNQPTVSEVAALIVGDIDTAEERDIIVQAKGGKLQRIDEFHPTYLSYQYPLIFLYREDGYRDAIAHRDLDIFNDSQRNRLTIQEWLAFRIQSR >CAK8561724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:365830013:365835905:1 gene:gene-LATHSAT_LOCUS15285 transcript:rna-LATHSAT_LOCUS15285 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTIKVSNVSLGASEQDLKEFFSFSGDIEYVEMQSHDERSQIAFVTFKDPQGAETAVLLSGATIVDLSVSITLDSDYRLPPAVLASSVTEGKTPGGADSALRKAEDVVTGMLAKGFILGKDAVNKAKGFDEKHQLTSTASAKVTSFDQKLGLSEKLTAGASVVSDRVKEVDQKFQVSEKTKSALAAAEQKVSTAGSAIMKNRYILTGTSWVTGAFSKVAKAAGDVGQKTKEKVENAEVEQNRKVEDQYAQVLSESPKAAATSELHSSKPAPAQGLIL >CAK8577222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545427563:545429902:-1 gene:gene-LATHSAT_LOCUS29348 transcript:rna-LATHSAT_LOCUS29348 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSATSSLVPPSITNNLSRRSANYHPNIWGNHFIQYLSEEPMELDEMMKQIIMLKENVRQMLLPTNLPTNPLNDANLIDSIQRLGLYHHFEHEIGELLQHIHNNYVQNGTITLNLNQDLHSIALVFRLLRQHGYHILPDVFEKFKNQQGNFNETLVGDVEGMLSLYEATHMRIHGEDILDEAFSFTSSHLKMMTSQLSPSLATKINHSLKRPLFKNLPRLVARNYISTYEEDPSHDATLLLLAKLDFNLLQKQHQRELGDISKWWKDLDFATKLPFARNRIVEAYFWILGVYFESQYSVGRKIMTKVISLTSVIDDIYDNYGTIEELQLFTQAIQRWDISCMDFLPEYMKFCYKALLDVYEEIEQEMVKEGRVFCVNYVKNEMIRLVEAYLSEAKWFSENFIPTMEEYMALGRVTSAYYLLTATSFIGMGCIATEEIFKWLTNNPKIVNASSRICRLMDDIVSNELEQKRGHVASSIECYMNEHGVTREDAIDELSRQVTNAWKDINEELLDSNEVSKPLLMRVLNLSRVIHVLYKDEDCYTNSQGSTKKDIISILLDPCPI >CAK8562854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548603239:548604156:1 gene:gene-LATHSAT_LOCUS16318 transcript:rna-LATHSAT_LOCUS16318 gene_biotype:protein_coding transcript_biotype:protein_coding MHIENNFFDNVFNTVMDVQEKTKDNEKARNDLEILCNRKDLELKPQPNGKLLKLNACHTLTPQEAKAICRWLNELRMPDGYSSNLARCADAKIGKLHGMKSHDCHVFMERLLPIAFSSLLKHVLNPLIEISQFFKDICASTLRVDDIFKLDQNIPIILCKLEKIFPPGFFNSIEYLPMHLAYESYLGGPVQYIWMYPFERFMGDSKRSVKNKAKVEGSICAYYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSNFGRPGRPSRKTSVHWLSEKEMQNAHVHVLINYIEVKPYLEQVY >CAK8562855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548603842:548604156:1 gene:gene-LATHSAT_LOCUS16318 transcript:rna-LATHSAT_LOCUS16318-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVKNKAKVEGSICAYYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSNFGRPGRPSRKTSVHWLSEKEMQNAHVHVLINYIEVKPYLEQVY >CAK8536869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:31042571:31043338:-1 gene:gene-LATHSAT_LOCUS6196 transcript:rna-LATHSAT_LOCUS6196 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELKLLGCWFSPFTCRVKFALNIKSLDYNNIEETFHPRSDLVLQSNPIYKKIPVLSHGDKIICESAIIVEYIDEVWKDNGASSILPSNDFDRAMARFWVSYMDDKLFNSMRNGLIAQDEESKKKHFKRVEEVFVTLEDVINKCKNEGMEFFGGDKIGFIDICFGCYMSWVRAAEKIEGIKLLDDTKTPALVKWAEAFDVHPAVKGVVPEMNKLVEFVKGLVKRLVDATPK >CAK8531644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133927970:133928540:1 gene:gene-LATHSAT_LOCUS1420 transcript:rna-LATHSAT_LOCUS1420 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTFTHDYSSPIAPSRMFKALITDSSNLLPKLLPHFIKDVNIIQGNGEAGSIEQVNFADASPFKYLKNRIDKIDSENLVCNYTMIEGDPLGDKLESIAYEVKFEAINDGGCLCKMTSKYNAVGEFEVKEEEIKEGRESSIGICKVVEAYLLENPQVYV >CAK8568614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624366619:624367139:1 gene:gene-LATHSAT_LOCUS21556 transcript:rna-LATHSAT_LOCUS21556 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLGQPLQTHSSIEGFDPHNYNKKYVENKRIIKESYGHFLKPLSLLVWNGQPNEEDDYSKKMNRNVHTSNNEGENHLVGWPPIKSWRKKELHQQHSNQIRIEHRMENETI >CAK8534388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722387539:722387727:1 gene:gene-LATHSAT_LOCUS3938 transcript:rna-LATHSAT_LOCUS3938 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITTKSIKKSYNEDEWFCYTSEACIEEDYNNGDGDSDSELNAYREGDDDDDGDYDYAPAA >CAK8542559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525640988:525643692:1 gene:gene-LATHSAT_LOCUS11388 transcript:rna-LATHSAT_LOCUS11388 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAILRHVRVPLQATPKLQPWNGFFRSMSSHDDHITKEEVVDRVLSVIKDFPKVDPSKVTPEVHFQKDLGLDSLDTVEIVMALEEEFKLEIPDMEADKIDSCPLAIEYISNHPMSS >CAK8532835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:527954772:527956265:-1 gene:gene-LATHSAT_LOCUS2499 transcript:rna-LATHSAT_LOCUS2499 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYAYITLFVAIFFIMLYNIWRGNKTVPPNWPIIGMLPLVFHNKSNIHDFVTSGLKCYGGTLHFKGPWFTNIANFILTSDPVNVHHITSRNFSNYGKGSDFHEIFEILGVSIINLDGNAWKQERALLHSLLRSKSFETSLQQNIHKKLQNCLLPFLDHHASKGAQVLDLQNIIERFTFDITCTFLFGFDPNCLPHKFNDELLDTSFVKAIYMLEEMVLSRHYIPKCFWKLQKWLQIGQEKKNKAAQEILHQFLSKCIAYAKGVDESHSCLLKQLMEEKKVDNEKYIRDTAVSLLGAGNGTISSGLSWFFWLVSTHPIVETKIIQEIKNYCLANDDDLMTSLSVEKLNKLVYLHGAICEALRLYPPIPFEHKCARKSDILPSGDYVSPNTKLIYSLYAMGRMEKIWGNDCLEFKPERWISSRGGVVYVPSYKFIVFNAGPRSCLGKDITFVQMKMVAAALLWKFHIEAVEGHTIAPRNSMILRMEYGFKVKVCKRSI >CAK8544527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690504320:690504877:-1 gene:gene-LATHSAT_LOCUS13198 transcript:rna-LATHSAT_LOCUS13198 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCWSNFGVQHGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFTSEVNKLKADQFKPSEQVTLEPFERDHACVVGGYRIPKKKKDAE >CAK8544528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690504320:690504685:-1 gene:gene-LATHSAT_LOCUS13198 transcript:rna-LATHSAT_LOCUS13198-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFTSEVNKLKADQFKPSEQVTLEPFERDHACVVGGYRIPKKKKDAE >CAK8539268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507880713:507881297:-1 gene:gene-LATHSAT_LOCUS8379 transcript:rna-LATHSAT_LOCUS8379 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNIMQQNNVTASPTPTTTSYIGVRKRKWGKFVSEIREPGKKTRIWLGSFEEPQMAAAAYDVAAFHLKGRSARLNFPELVEKLPMPASSKGEDIRVAAQQAALQFKKRPISEGGVNSNGNGNGSVDVPAVRVGLSPSQIQAINECPMDSPNMWMQRTEAALMFGSLEFDESRNYDVFELSDWEEIQYESLWD >CAK8538789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496374494:496376020:-1 gene:gene-LATHSAT_LOCUS7950 transcript:rna-LATHSAT_LOCUS7950 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKEVNDKENISRYVETEKNRLISNRDLVRLKLEATDHKTDMVSDDVFLWLKETDLLLQEVENLTLQARRRQWKEFSKLLGKITTLNRKCEFEPFSIPIPSLEHFSSGKIVCFESREKTSDQLLVALQDDSCSIIGLYGRQGSGKTTLAKAMGEKVKFLKIFHEVLFATVSQNLNIRTMQEEIADSLNISFDKNSEAGRTRRIFSTIESMNRPVLVIFDDVQVKFDPEDVGIPCNSNRCKILLTARCQQDCDLMYCQRDIQLGPLSKEEAWTLFEKHSRIHDEECSSSFDLLNVAREVAVECEGVPKLIKDVASSLRSKPIDEWKASLDSLKHSMAKWQIFLSFRGTDTRYGFTGSLYQALRQGGFKTFMDDGGLQTGDQISPSLLNAIEASRLSIIVLSENYANSTWCLDELVKILECKKQLVWPIFYKVEPSDIRHMRKCYGKDMAQHENMFGINSERVQKWKSALIEVCNLSGKAYTTGYEYEFIQKIVEDANHFRSRLQIRTI >CAK8538790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496374494:496375564:-1 gene:gene-LATHSAT_LOCUS7950 transcript:rna-LATHSAT_LOCUS7950-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVKFLKIFHEVLFATVSQNLNIRTMQEEIADSLNISFDKNSEAGRTRRIFSTIESMNRPVLVIFDDVQVKFDPEDVGIPCNSNRCKILLTARCQQDCDLMYCQRDIQLGPLSKEEAWTLFEKHSRIHDEECSSSFDLLNVAREVAVECEGVPKLIKDVASSLRSKPIDEWKASLDSLKHSMAKWQIFLSFRGTDTRYGFTGSLYQALRQGGFKTFMDDGGLQTGDQISPSLLNAIEASRLSIIVLSENYANSTWCLDELVKILECKKQLVWPIFYKVEPSDIRHMRKCYGKDMAQHENMFGINSERVQKWKSALIEVCNLSGKAYTTGYEYEFIQKIVEDANHFRSRLQIRTI >CAK8530273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11104665:11111650:1 gene:gene-LATHSAT_LOCUS151 transcript:rna-LATHSAT_LOCUS151 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLTLSFLFWALFVLHFLLKASSNPEGDALSILKINLKDPNNVLQNWDATLPNPCTWFHVSCNEDNSVIAVDLGNQDLSGTLVSSLGHLSNLQYLELYNNSITGKIPKELGNLANLVSLDLYLNNISGTIPDTLGKLQKLRFLRLNNNTLSGHIPVSLTNISTLQVIDLSNNNLEGDVPVNGSFSSFTSVNYQNNPHLQLPIIIPVPPSPPSPASSGSENVGAIVGGVAAGAALLFAAPAIALAYWRKRKPLNHFFDVPGEENPEVHLGLCKRFSLRELLVATDNFNNNNIIGRGGFGKVYKGRLADGTLVAVKRLKQERTRGGEMQFQREVEMISMAVHRNLLRLRGFCMTSSENLLVYPYLANGSVASHLGDRNESDLPLEWPMRKNIALGSAKGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGLMLLELITGQRAFDLARLANGDGDVMLLDWVKGLLKDKKWETLVDAELRGNYDVDEVEQLIHVALLCTEESSMKRPKMFEVVRMLEGDGLAEKWKQWEKEERNRQEFNNIDMHHPNANWIVLPPSRNE >CAK8565141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:45058751:45059113:-1 gene:gene-LATHSAT_LOCUS18377 transcript:rna-LATHSAT_LOCUS18377 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAISEAFLSAFIEVVLDKLTSPQVANFIIGKKLDVNLVEQHFFAVEVVLNDAEHKQIKDSTINKWLDDLKDALYVADDLLDHISTKAAISKKKEVVLLTTYLTFFNFKERDIMPSKW >CAK8579402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695999020:696000898:-1 gene:gene-LATHSAT_LOCUS31351 transcript:rna-LATHSAT_LOCUS31351 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIQGLVAGSELLKHDLDQTVMCICFLGASQLKKILQFLQEMSHACGLGRYADKSSSPKNDLHDISQGPEIREKIVLNGDASCLLLDESLLLAQFTPGTTQESVFEDMVTPSSPDGISNSSGAFLSWLYSSRSIGDQLSTWIRNKEDKICQRQEMVRTLDKEFYQLHGLCQKKCDRLAYEEALQTIEDLCLEEGKKRGDVGEFVQRSYESVLKRRREEIAESESEMAYTGNRFEMECISNVLQEAEAMNVNNQYCCDETYPGVTSQICNLESGENDEWRMKDCLHQMDGCVEIAIQKLKEHASIEISKADAEIIRCVLEVQQLELKLEHVSANDYRAILVPLVKVYLKALLEDLAEKYAREKSDVAGEAFLVELALDSKKIGKGGNESTRHVEKTKDKKKNKDHRKIRDLKATSGSMHPLLQSTTLDSDLVSPDSDFQDHEVISMNDVDLEHHKEEFRCKIELEEEEKKLEETLELQRRKKKPWKKPCSSYPNFHFFSSTTLLHQAEF >CAK8569794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11559681:11562386:-1 gene:gene-LATHSAT_LOCUS22604 transcript:rna-LATHSAT_LOCUS22604 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKWWSKENNNHHHRGAPVVVKMNVELETPSDDDFINLSVRNNKQRGKNAKQLTWVLLLKAHKAAGCLASIAPALFTLASSVKRRVSSGRTDDDDVVVRGRDKTRLYSFIKMFLLVCVVLFCFEAFAYFNKSLHFDAASLEFGVKGLFGWVYSKWVLIRVEFLAPMLQFLANVCVLLFIVQSLDRLVLCLGCFWIRFKKIKPVFNVGDGDIENGEKGFFPMVLVQIPMCNEREVFQQSIGAVCNLDWPKSKLLIQVLDDSDDPTTSSMINEEVQKWQQEGANIVYRHRVIREGYKAGNMKSAMNCSYVKDYEFVAIFDADFQPAPDFLKRTVPHFKDNEEIGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGIFFNFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIHSKISTWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTIFVPEAELPVWIVCYIPVTMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLIEKGEKHLLRMGSVRDLEEMKKEIQLQKEKNDTASKKKKTARKHNRIYTKELSLAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >CAK8543755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636695531:636697498:1 gene:gene-LATHSAT_LOCUS12494 transcript:rna-LATHSAT_LOCUS12494 gene_biotype:protein_coding transcript_biotype:protein_coding MMECCNRKKFDRMKPFIAVVFLQFGFAGMDVLSKAALNKGMSNYVLVVYRHVVAFIVIAPFALIFEKKVRPKMTFSIFLKLVALSTLEPVIDQNLYFLGMKYTTATFAVTMNNVLPAITFVMACILKLEKTKMKSVRSQAKVIGTLATVGGAMVMTLLRGPILNIFGTHGNSVEIQHNSGANLQHAIKGSIMITIGCFSCAGFMILQTITLETYPAELSLTAWICLLGTIEGGIVALIMERNDYSVWSLNWDTKLLAAVYSGIVCSGMAYYIQGAVMKYRGPVFVTAFNPLCMVIVAIMSTIFLAEKIFLGRVIGAVVIIFGLYLVVWGKSKDYETPSSIIKDEALPVKEITESNDKEDNPNHEAMTSSNIVAIDRDEQV >CAK8564668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6927320:6928612:1 gene:gene-LATHSAT_LOCUS17950 transcript:rna-LATHSAT_LOCUS17950 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSLTEEGILEVETLDALTRKPNQEEMGTATQSMDAIQAMIPKQPENGKPIHEGASEEGRKLWVDVLKDNRNPTKGRAMKFTAPQVVNGKLEVVIEDEDIISEVKFWESSLILYTMGVDLNMNAVKNFMTKNWNFVQFPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDEILRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILMETDITKELPQIITIGDNEGEKIQQPIEYEWRPLFYSKCQKVGHSCDKPKVTQQWKPKPAPQHVDNVKTVMDSTTKRIPRTEGNNNIVGDKVNSPAVENNAKGNTLGECPTDLVSKAADPPLENGVNIIEQVEAVMEKWIEVIRSGKDRGKPQDNPNSINKIVCANSFEALEISKDLIESQNTSQ >CAK8530685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40783340:40790464:-1 gene:gene-LATHSAT_LOCUS531 transcript:rna-LATHSAT_LOCUS531 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSLGPTFRYTLPPLPIPFPTSNRIFLSFPMASFPIPSSPNRTHPRCYSNPNLPQPQPALLVFSGGTAFNGVVEDLKNFTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRNLLGHRLSLDALQAKSEWYSIVEGDHVLWEGVSKPYRETIRAFLVYFQNQILCRSEGAFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELLDGTIIRGQNEISHPSSGTMVPIKESFSAPALPSKIKRVFYMSSEGKNLLHEVFPSPNAAVLEQLYNVDCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTHDRETNGFSASCFVTAITDALNRTFGESCNRLQNIPSQYINTLLVPRNSTVSVDVECLAAQGIFDVIVVDSILDPKVGIIYDPKSLIRALADLIERYMKSQVNCLVDTR >CAK8530686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40783340:40790368:-1 gene:gene-LATHSAT_LOCUS531 transcript:rna-LATHSAT_LOCUS531-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPIPSSPNRTHPRCYSNPNLPQPQPALLVFSGGTAFNGVVEDLKNFTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRNLLGHRLSLDALQAKSEWYSIVEGDHVLWEGVSKPYRETIRAFLVYFQNQILCRSEGAFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELLDGTIIRGQNEISHPSSGTMVPIKESFSAPALPSKIKRVFYMSSEGKNLLHEVFPSPNAAVLEQLYNVDCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTHDRETNGFSASCFVTAITDALNRTFGESCNRLQNIPSQYINTLLVPRNSTVSVDVECLAAQGIFDVIVVDSILDPKVGIIYDPKSLIRALADLIERYMKSQVNCLVDTR >CAK8570893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:153212239:153213087:1 gene:gene-LATHSAT_LOCUS23604 transcript:rna-LATHSAT_LOCUS23604 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVMLVAAVPSIDFNFNTNCSSSTYITDPSTPQLFPSNFSFTSLKDYDDKPIITNNDQDFEFNFNTHLQSSSLSADQLFHAGKILPLNLISPSHHQEQQQTEIKLVEQQQNNKLSDTERSSSFSFPTCRDHRKYSKLSHYNKGSSATANSNIKQTETSSFSSFLSTISFSKGYRKWRLKDFLLFRSASEGRGSDRDPLRKYRVLSKRTEYEDVGNSSFRSVENSGSVSKRRKPVSAHELHYTLNRAASEELKRKTMLPYKQGLLGCLGFNHGMNHTNRRF >CAK8534020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681778779:681779090:1 gene:gene-LATHSAT_LOCUS3596 transcript:rna-LATHSAT_LOCUS3596 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIIPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8531811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:155105670:155106113:1 gene:gene-LATHSAT_LOCUS1576 transcript:rna-LATHSAT_LOCUS1576 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPRSKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVRNHLIEFGFQKGYDVWVRHGEKKPKLGDLNDNLMNEEEDQIDTNDGLLHERFRDAVQEESDVNVSLNEDAKKFYNLVEEAKQDLYPGCKNFS >CAK8531443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110684886:110685329:-1 gene:gene-LATHSAT_LOCUS1236 transcript:rna-LATHSAT_LOCUS1236 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDEKTRRFFKHSSVQVLLCPHIGGKRHSWAKQKEVETIYTHHQKIIIVDANAGNNRRKSVAFIGKLDLCDGRYDTPHHPFFRSLQTLHKDDYHNPTFTGSTGGCPREPWHDLHSKIDGPAAYDILTNFEKRWLRVAKPTGIKKV >CAK8568931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655387021:655388286:1 gene:gene-LATHSAT_LOCUS21838 transcript:rna-LATHSAT_LOCUS21838 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSQQRLSSADRKKLKRTIRDKFPRASDSDLDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFHGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSVEALKAGLRGKALRITHYYRDLLWESVEGRYVPNEGFLEDVVFGHPSLLSPPSHDTDLAEASGESSNGQQNITKSDEADGSLNVNELPADSSHTSTRPNSDENTAEEITAGMGDLKLPDSGSPNEPNDQHTLSTSDVDLLLDKCLLQALHTTLKDKDLPIPGSTLWSNHVLPCRPSGMTLDIKKSSYKKLSKWLQTKSCAILLPTDNASYNHYPATNSHQTNHSAIPALTPPTPDNSATIQPAPCYTRLYKPATCSENQTNQQYNRT >CAK8533380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611112035:611117173:-1 gene:gene-LATHSAT_LOCUS3013 transcript:rna-LATHSAT_LOCUS3013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLRSTMPLRPKSGQIRRKALNDLARDKKENKRIEILNELRADKARFLKEDARYPRILRLKASLQSLRETKTFVTSHREENIDGSFNQHLLSVYSELTSSLQENFNTLILIRRKRGALRASLLHHSLRKLEWMISNLVFLNSERIITLLDDCAIGYEDLISELTASLVEDQFDDNREKHEVFLSFRGVDTRASFISHLRASLQNDGISVFIDDDSLQRGDHIATSLLQAIEDSRISVIVFSRNYADSRWCLEELVKIMKCRRTVGQVVLPVFYDVDPSEVRHQTGEFGEAFQTLLNRISKGNKKKSLKWREALRQAAGLAGFVVLDSRNEREAINHIVEKVTLLLDKTQLFVADNPVGVESRVQEIIRQLDIQQSNNVLLLGMWGMGGTGKTTIARAIYNKFGCNFEGRSFIANIRETWEGTTGKVSLQEQLLFDIFKETKIKIQSIESGKTTLKDKLSRKRILLILDDVNSLDQLKALCGSREWFGSGSRIIITTRDKDMLRGLSVDKVHTMKEMDENESIELFSLNAFKQPSPRKQFAEISREIVEYCGGLPLALEVLGSYLFDRGLSDWKSVLEKIKIIPNDQVQQKLKISYDGLNDDTEKEIFLDIACFFIGMDRNDVIQILNPCGLFAEIGISVLVERSLVTVDDKNKLGMHDLLRDMGREIIREKSPKKPEERSRLWFHQDVLDVLSDRNGTNVVEGLALKFPIANSECFSTKAFKKMKKLRLLQLVGVELDGDFDYLSRNLRWLCWNGFPLTCLPSNFYQGKLVSIELENSNMRFVWKEAQRMEMLKILNLSHSHYLTQTPDFSNLPNLEKLILVDCPMLSEVSKSIGDLKKILLINLEDCISLSNLPRSIYKLTSLKTLILSGCLMIDTLEEDIEQMKSLTTLIANNTGITRVPFSIVKSKSIGYICLCGYEGFSRDVFPAIISSWISPTNNLTSQFQTSIMSSLASLDVPHSSSQELSSISKYLPSLRSLWVECSSEDRLSLDTTIILEALYAINSRELEPMATTSQVSKNSLKSLFIQLGMNCQVANILKEKVLQYMTGDESGGCVLLGDNYPDWLSFNCDGSSVTFEVPQVEGHNLKSMICIADSSTPDNITSDGLKNVLVKNYTKATIQLYKKETLASFKDEEGERVVSSIDPGDRVEVVVVFGNGFIAKQTTVYLVYDEPMGEKREQWEAPEENTVACSVDENEIILCEALEENMVACSVDENERIQCEAPEGNMVSCSVDENERILCEAPEGNTISSSADENESIQCEAPEENAVSCSVDENESIQCEAPEENAVSCSVDENESIQCEAPEENTIAGSADENESIQCETPEENTIAGSADEKESIQCEAPEEKTNACSADENESMVRTSSPQVELVKENVVTDNCCGFVENGFRQWITNFMCRLVECWR >CAK8573619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625432295:625436468:1 gene:gene-LATHSAT_LOCUS26048 transcript:rna-LATHSAT_LOCUS26048 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDVKVRCQRIGCNAMFTDDDNLDDSCQYHESVPLFHDGMKEWSCCKKRSHDFSLFMEIPGCKTGKHTTEKQVIAPIKKKPVPSPTAAPLTNASTKDSSCSRCRQGFFCSDHGSQGKPVTKIGDKPLNPSGDASTSSSSVMASKPPQKIVDINEPQVCKNKGCGQTFKERDNHDSACSYHPGPAVFHDRVKGWNCCDIHVNEFDEFMAIPPCTKGWHNADQ >CAK8573618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625432295:625436468:1 gene:gene-LATHSAT_LOCUS26048 transcript:rna-LATHSAT_LOCUS26048-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDVKVRCQRIGCNAMFTDDDNLDDSCQYHESPLFHDGMKEWSCCKKRSHDFSLFMEIPGCKTGKHTTEKQVIAPIKKKPVPSPTAAPLTNASTKDSSCSRCRQGFFCSDHGSQGKPVTKIGDKPLNPSGDASTSSSSVMASKPPQKIVDINEPQVCKNKGCGQTFKERDNHDSACSYHPGPAVFHDRVKGWNCCDIHVNEFDEFMAIPPCTKGWHNADQ >CAK8544229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673234796:673238894:-1 gene:gene-LATHSAT_LOCUS12928 transcript:rna-LATHSAT_LOCUS12928 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSALWQGAVLAGILFWILSSSYLNLTLKLRSFLQPFVTHYVQTGIPILLQIQSYTAGFFDAFFSALSCVVSVPFYTAFLPLLFWSGHGQLARQMTLLMAFCDYIGNCIKDVVSAPRPASPPVRRVTSTKDEEENALEYGLPSSHTLNTVCLSGYLLHYVLTHAQIQGAYVNYFGVSLACLFVALVGLGRLYLGMHSLIDVVAGLFIGLGILGLWLTVDEYIDSFVVSGQNVTTFWAALSFLLLFAYPTPEFPTPSFEFHTAFNGVALGIVSGVQQTYHQFHHDAVPQLFSELAIPAFMGRMLVGIPTILIVKFCSKTLAKWTIPVVANTLGIPIKSTTYIPTLNGAKTGEKSKAFDVDTGIRFLQYAGLAWSVVDLVPSIFSYMNL >CAK8576122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:409454400:409454669:1 gene:gene-LATHSAT_LOCUS28336 transcript:rna-LATHSAT_LOCUS28336 gene_biotype:protein_coding transcript_biotype:protein_coding MMVETKKHIAYPMVYLLLKLALLLHVATATVERSFSAMNFMKNQLRNRMSYEFLNDCLITYMESDIFDSVENEKILQHFQNMKTHREQL >CAK8544897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710934836:710935153:-1 gene:gene-LATHSAT_LOCUS13542 transcript:rna-LATHSAT_LOCUS13542 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDIDETKQDIFHWFSFPSLSIGSENELMMESFSDAFISPETSESNFFGLSEYHFGYNAQTSESDINETVSATTSDTNSTILDLDILLHRGNFDTGFPFNTPE >CAK8560549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24520328:24522252:1 gene:gene-LATHSAT_LOCUS14212 transcript:rna-LATHSAT_LOCUS14212 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGMNFMIFLVLMSCFWLIPTFAAKQQKLRFDENGEFKILQVADMHYANGKTTLCLDVLPSQNASCTDLNTTAFIHRMILAEKPNLIVFTGDNIFGLDLSDSARSMDAAFAPAIASNIPWVAVLGNHDQEGTLSREGVMKYIVGMNNTLSRVKPRKVHSIDGFGNYNLEVGGVRDTDFGNKSVLNLYFLDSGDYSKVADIPGYDWIKPSQQVWFEETSAKLRKKYIKGPVPQKEAAPGLAYFHIPLPEYASFDSSNMTGVKMETDGGDGISSASVNSGFFTTLVEAGDVKAVFVGHDHINDFCGKLMDIQLCYAGGFGYHAYGQAGWSRRARVVVASLEKTGKGSWGDVGSIKTWKRLDDEHLTRIDSEVLWSKSSRGSSG >CAK8563181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581233841:581235327:1 gene:gene-LATHSAT_LOCUS16615 transcript:rna-LATHSAT_LOCUS16615 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVIIVAIIFGIKLEGCDCKIVQFIFGDSLSDVGNNKHLSRSLAQANLPWYGIDMGNGLPNGRFSNGRTVADIIGDKLGLPRPPPVLDASLTEKDILINGLNYASGGGGILNETGTYFIEKLSLDKQIELFQGTQELIRSKIGKRAADNFFREARYVVALGSNDFINNYLMPVYTDSWTYNDETFMDYLIGTLRRQLKVLHSLGARQLMVFGLGPMGCIPLQRVLSTTGNCREKVNKLAVAFNKASSELVDELAKQLPNSNYRFGDAYDVVSDLISNPAKYGFENSDSPCCSFGRIRPALTCVPASILCSDRSKYVFWDEYHPSDSANELIANELIKKFGFSRVDQVAAPSPAPSIAPSPQN >CAK8573755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635181464:635182387:-1 gene:gene-LATHSAT_LOCUS26163 transcript:rna-LATHSAT_LOCUS26163 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVCHPGLQLHLEPQLVESRTLRLRLPSPKQSIDLAFKSCFHDEENINININKTETFQSKPNNGGWNFVDALSNISQNTSMKETTTTPAYVHPQQKRSSLVLSPKSLELCTENLGNESGTDIVENDMLLSLMGKTEEREPCRQVLGGSKKAKTQNFPPPLTTIRGSESLRVRPHREDGRLVIEFTKVPPRTSCFEAERSHGRLRLCFSTNQEEEDDDVVDDVIDENEEALNEEEFSENGVIGGEIKDDEEEETEEEVMESGSGVACEETKDKESDVRMKKLERFGKCKEGGESENNDTIWMAATT >CAK8561791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385299551:385302734:1 gene:gene-LATHSAT_LOCUS15347 transcript:rna-LATHSAT_LOCUS15347 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQTEKIYVAVGYDVLDGFQTLDWALKKWNSHPNISIIILHVKYNPSNHHVYTLLGKLPAKGACEEKLERIRNYEQNIINNLLSKYIAICDKVPAETFEVEKFDKPMQNLTIDLILGLGITKLVIGFSFMRPSMKSKDAMNGLFYVHQHKPDFCELFIICGGKQVSPRVKNDEITMEDDSGVKVAKMRDYKTNLKDCIARIFCDKTIDSNQGCSSRSSTSSESHIDQSEWEFYIREIDSYFQELLSLNMEEGISGQENDDSYFSPIEPFVQQLKNSDNKSGAEKFKILTDKLNEAYDTIRMKRKEEKENLERHAKAEWAIYVSNLREEELEYLKSEEVTRKEELKKELNTEKEQIQKIRMDIEDSEQSLISMEELQLELQNKLRDSTLEVSECETKFENVMAERTKMLMEIEELSKQRYVLSKRIMFFKEKDGKEICNKPIEKSCCLEEFTEEKIIMATNNFSEYLRLKSGRDWSNLYRGNINNSDVTIKMVDSTIPLSQQDFQTKLMSLGTIRHPHLVAVLGFCSDPKCLVFEYMHNGTLEEALLWKTRRVISYQDCIRIAIEVCSGMGFLSTFQPRSIIHCHISASNILLDKNLVAKVAGFELHGCNEECNIESDMKAIGVLLLHLLTGRGNWVTIDTEALSYEIGDEWPFDVARELLDLAIRCISNEEMSITRVMKELSEIKEKGCDSIEVPNIFLCPILQKVMRNPHIAADGYSYELEAIEAWLNSGNEISPKSLRLDNTLLFPNHNLRSLIQYWHSNRSATKNELSIDYKSYV >CAK8572741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559569566:559570198:1 gene:gene-LATHSAT_LOCUS25270 transcript:rna-LATHSAT_LOCUS25270 gene_biotype:protein_coding transcript_biotype:protein_coding METKVDNAIITFNPNTTLNQNAQRSKKRSKNFFKVALLMMRGHSRSHKSSKPILPVHDESKGMWRKLVASMRLMHLQSHQSPPQILDGQNNLKNMKVVDETANDHHGEEDGFVLASEYPYSPSPARSHYVSEASSRYASAVGLNEMVEEEEEKEEEIVNKDFNSYGDDGDDMIDAKADEFIAQFYHEMKLQQMDVVDHRYNELSLRSLGF >CAK8574177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667733296:667735484:-1 gene:gene-LATHSAT_LOCUS26548 transcript:rna-LATHSAT_LOCUS26548 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVHPDCRNASNPFHECTDYCFRVIAEAKLRMQQHESEVAQGSGGSGCEQVYPDDDGMHDDDDGPKAEEKSDSEPDQPAIENTDGSFPKLSARQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8574240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671655477:671656244:1 gene:gene-LATHSAT_LOCUS26600 transcript:rna-LATHSAT_LOCUS26600 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTDGTPTTTNASIIDPHRQQLAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSSLSVSLRGSNNSLPSPSSTSLDHKPLLSPTPFILGKRIRTDDDSSSPSGNVKDDALSVASLSTPAALWALPARPDFGQIWSFAAAAAAPPPEMVVQSLAQQQQQHQANFFAHHHQQQQQQQAMGEASAARVGNYLPGHLNLLASLSGGHGNSGRRDDEHH >CAK8561800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386216041:386219121:-1 gene:gene-LATHSAT_LOCUS15356 transcript:rna-LATHSAT_LOCUS15356 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWMEQNLQDMEEKVQTVLRLLEEEGDSFGKRAEMYYKRRPELIIFVEEAFRAYRSLADRYDHLSTELQNANNTIASVCPESLPYMDEDDDEAPPRPPKKMPEGLKPNVPKVPTPPVKDLKSVITSATKKLGTKKASTPASKVPKSGLSRKKAIEEVDKLQKNILALQTVKEFLKSSYDNSIAKYWETEGQIKELQERVSNLQDELGEGVVNVIDDEEARHLMAEAALKSCQDALSQLEEKQAVSLDEAKIESKRVKEVREKLSSLMNQLDYDQSGSQKPRPKRDVKELTGTKDLNEDAEVMTQQRQELQILKEKIKEHFEAASHSPLTVTEMAEKIDELVTKVISLESAVSSQTALVKNLKDETDELHSLIRHLEMEKESLVNEKVKLNEKLREMDEKVHELHDLSQVVEDQNNNLQTHFTEAHCSLDSLAEKVVQKENPDDEDNMAKILPTETHSLSKDEPKHDVNTQNALNKDKVLLNDDAESKKDTSLVEEDTLNSAMENEVKVADSQTEEKATIVENKSSIELNENKKTHVISNDDIIKSRDDGSHENDCNQISSETKSTLESDSKEEAKTLEENALLSEYRNTLQNYEELKSKLMDVEKKTQDALFESSLQVKELKTSNAVKDEEIRLLRQKLNLLQKNLEGNEETEELPPLQSPSLQSPGNHVIETMFKIEEPEPGSVIEEKFRMGIDELLEENLVFWMKFSASFTEIQKYETTTKDLLAEVSNIEETWKGTEGSSSTKYSLKSDARPLYKHLAEIQNELTLWLENSAMLKEELQQRFASLCEIQEEITGALKASAEGYDFKFTSYNAAKFQGEVLNMKQENNKVADELQAGFDLVTSLQLEAEKALAKLNERFGLSNSKRNQIRPSDPKNRVPLRSFIFGVKPKKQKQSIFSMHRKYRALKS >CAK8563183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581240105:581242629:-1 gene:gene-LATHSAT_LOCUS16617 transcript:rna-LATHSAT_LOCUS16617 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSSAAAASASRERRRRANSTSPLKDRTRTTSSKLSSPSELTSSPSAATTLLDHEPNAAIFGEYSNPNPRSFPHNVKQKCWEKADKVKGRDPDRWRRDPLGNLIFRKLVGCPGCLCHDYDHILPYSKGGQSTLENCQVLQATVNRSKGNRTDISKSDLIQKSSYCRVSDRDMDMLELSAFGNVRRGQDTGGCRIQ >CAK8570922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:172243548:172244277:-1 gene:gene-LATHSAT_LOCUS23631 transcript:rna-LATHSAT_LOCUS23631 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAPLSEEPFNEENERRNNNSKKGFQLWMNWNWIKTHFSFVFHKKSNLKMLLSVLGCPLFPVPVHTKLPLNEVSSSAQYIIQHFTAATGCRKLEGTVKNVFVTGKVTMVVVDER >CAK8579348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693242915:693244225:1 gene:gene-LATHSAT_LOCUS31300 transcript:rna-LATHSAT_LOCUS31300 gene_biotype:protein_coding transcript_biotype:protein_coding MASERESFDLSGPLHLTYVLWDNPYHRMSVAACLVQGVYILERDRQENRQGSDALAPPWWTFFHFQLLRPLVDDVDSSIFGAIYEFKPPSSQSNDTLYRSPRYVIAFRGTLTKAHSVSRDVELDIHFIKQGLHRTTRSNIAVQAVRNTVATVGDSNVWLAGHSLGSAMAMLTGKTMAKDGNFIESFLFNPPFVSAPLEKIKDERVKHGIRFAGSVITAGLTLAMKAKQPTKDFSFDPFTALSAWVPYLFVNPSDHLCSEYIGYFEHRRNMEDIGAGVIERLATQNSLGGLLMGAFGKEYSEPLHLIPSASLTVNAAPASDFKEAHGIHQWWKPDLSLDYKLYNYK >CAK8541500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:207116404:207117450:-1 gene:gene-LATHSAT_LOCUS10417 transcript:rna-LATHSAT_LOCUS10417 gene_biotype:protein_coding transcript_biotype:protein_coding MTINSPPSDTEVSALENKNLGRITQIIGPVLDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVHRLLGNNRARAVAMSATDGLKRGMEVIDTGAALSVPVGGATLGRIFNVLGEPIDNLGPIDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVCKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESEVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQVVYVPADDLTDPAPATTFAHLDP >CAK8534964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:797840299:797843506:-1 gene:gene-LATHSAT_LOCUS4454 transcript:rna-LATHSAT_LOCUS4454 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRQPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWIVEPDGTTYRKGCKPMERMDVVGGSAMGSPCSSYHPSPCASYNPSPGSSSFPSPRSSSHAVNPNGDANSLIPWLKNLSSGSSSASSSKLPQLYVHTGSISAPVTPPLSSPTARSSQTKADIWEDQSTRPGWSGQQYSFLPSSTPPSPGRQVLDPDWFAGIRMPQGGPTSPTFSLVASNPFGFREEVFCGSDSRMWTPGQSGTCSPAIAAGSDHTADIPMTEAVSDEFAFGSSAAGLVKPWEGERIHEDSGSDDLELTLGSSKTR >CAK8562561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509667264:509668574:1 gene:gene-LATHSAT_LOCUS16049 transcript:rna-LATHSAT_LOCUS16049 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGSKTKSSPWSSNGCIFLAGAMSALALILGLSSFITPIPNTNPKFNSIHTNLKSLNITTNSKASPDLLHDPSDKTFYDDPETCYTIDKQMKNWDEKRNQWLLHHPSFVTRADEKILVITGSQPGMCENPIGDHLLLRFFKNKVDYCRLHNYDIVYNNALLHPKMNSYWAKYPVVRAAMLAHPEVEWIWWIDSDAVFTDMEFKLPLNRYKNHNLVIHGWEELVKKEHSWTGLNAGVFLIRNCQWSLDFMDELASMGPNSPEYEKWGERQRAIFKTKEVPDSDDQTALAYIIAMGEEKWTAKIYMENEYYFEAYWLEISKMYDKMGERYEEVEKKVEGLRRRHAEKVSEHYGEMREEYVKVFGEMRRPLITHFTGCQPCNGHHNPMYSADDCWNSMERALNFADNQVLRTFGFFHPNLLDKSVSPLPFGYPAAPA >CAK8539454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513006932:513010294:-1 gene:gene-LATHSAT_LOCUS8546 transcript:rna-LATHSAT_LOCUS8546 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAKGNAAFSSGDFPTAIRHFTEAIGLAPDNHVLYSNRSAAYASLQKYTEALADAKKTVQLKPNWVKGYSRLGAAHLGLSQYDDAIASYKKGLDFDPTNEPLKSGLADAEKAAASAAARSRSAPANPFGDAFSGPEMWAKLTADPTTRVFLQQPDFVKMMQDIQKNPNNLNLYLKDQRVMQAFGVLLNVKLGTPGDDVDMPDTPSSSSSERKRAAEAEPPKQPEPEPEPEPMEEADEEKEAKQRKAEAQKEKEAGNAAYKKKDFDTAIHHYSNALELDDEDISFLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDYKMVARALTRKGTAMGKTAKCSKDYEPVIETFQKALTEHRNPDTLKRLNEAEKAKKELEQQEYFDPNLADEEREKGNEFFKQQKYPEAIKHYTESLRRNPKDPRAYSNRAACYTKLGAMPEGLKDAEKCIELDPTFTKGYTRKGAVQFFMKEYEKALETYKEGLKHDANNQELLDGIGRCVQQINRASRGDLSPEELKDRQAKAMSDPEIQNILQDPVMRQVLVDFQENPKAAQEHTKNPGVMDKIQKLISAGIVQMK >CAK8541405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:154910234:154911070:-1 gene:gene-LATHSAT_LOCUS10326 transcript:rna-LATHSAT_LOCUS10326 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVGTTYATSENTVSTPPPTISNEMPPPHIKKRKNRSEVWNHFIVSSEEEQKASCKYCDMKIKYNNRTSSMHAHLSRCLFYKRKRTSSSMTNAEEHVGSPLIIKFDQEVIRRAMVKMFINMEIPFRKVEHESFHEFMSLASPRFKIFSRTTLARDVLKLWDTEKIILKKNFSLNCRRVCLTTNMWTSCQKLSYMCVTAHFIDNNWHLQKKFLNFCQVTSHTGDAICETMEMCLNNWGLNRVPSLTVDNASSNDVGVERLKRILLSKNSLVMSGNHFI >CAK8544776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705256848:705264691:1 gene:gene-LATHSAT_LOCUS13429 transcript:rna-LATHSAT_LOCUS13429 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLQILDGTNLRHLDLSTAVFDRPVTGAQILHIAHSRASPSLFDLPLPDPLKASALNRLRSIADEPLFSPEAEYEPLQASQILKQYIAAIADELKGSPLVVSILDGSTLRLLFEDEDDFAMLAENLFTDLDVEDKGKISKSEIRNALVQMGVDMGVPPFSEYSQLNDLLSKHGADGEEELGQAQFAQLLQFVLQDLEMELSKKNFVFIQNIQIINGSKIRQLLANEKELNSFIEKALQEKLNAKDGLGSTELIRSFLEKNTKELGLPLYDGGDAADLFYDGVFADVAKEKGAVELEKQEPAKLLKDILEKMAEQLELNPVYQDFA >CAK8577624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578958582:578958872:-1 gene:gene-LATHSAT_LOCUS29714 transcript:rna-LATHSAT_LOCUS29714 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVVVAVFLALGFAFYVFFAPFVGNKMYQYIVVALYTPLIVVVFGLYIWCAAADPGIFKSKKYLKIPGSKKIVRLKSSKLGEE >CAK8534052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689423530:689423856:1 gene:gene-LATHSAT_LOCUS3626 transcript:rna-LATHSAT_LOCUS3626 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVNSGMFKGFAVGPRISMDIIQFADDTLIIGKGGWQNLWSMKSILRGFRILSGLKANFYKIRLMGLNVSDHFLLAASNFLSYIIDCPSFSFLGIPIGCNPRRVKT >CAK8559967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2661649:2663194:1 gene:gene-LATHSAT_LOCUS13692 transcript:rna-LATHSAT_LOCUS13692 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSFDERKVWGWFLVSIGSILSVAYFFLALFSKLLPPSHIPLISSFQNDWYYCFLVPLTLPIIMVAVYFHWLSMKMFKHA >CAK8566789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462827487:462829527:-1 gene:gene-LATHSAT_LOCUS19896 transcript:rna-LATHSAT_LOCUS19896 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKAVTEDVKIDLFEDDDEFEEFEINEEWDDKEEGKEIAQQWEDDWDDDDVSDDFSVQLRRELESTTEKN >CAK8531285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:96876215:96876628:-1 gene:gene-LATHSAT_LOCUS1088 transcript:rna-LATHSAT_LOCUS1088 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENHDPTIVHSSIALLQERFRQLERVKEMREERELKRMLNEPKQQTYDQPTSLFSSNHDLIIPSRSSPPHVSLSLWPTTSSQVKQQEDYYTSLKSPVSMNLCTTSYTQNMQASSWKNGYDCESVSDSGVDTSLHL >CAK8573737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633944351:633949803:-1 gene:gene-LATHSAT_LOCUS26145 transcript:rna-LATHSAT_LOCUS26145 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVQNYQAEDRSFNGGYWPTYDGNNTGNTDRKRSRNHYDQRNYNHHYDNQTNDLRNYNYYYDNQTSGQSNYNYYYDNQTKFGHCGGNFNQCNADNANYADVVPSSSLKKRKFSAPDRVEIQRFNLPATVHDNVPSSWSFQAHPTRSNAYTSASVKPGFSIFDDDTPVFMSRDDIDRNSPSRKDGIDVRHEAHLRYTYCAFLQNLGTRLELPQTTIGTSMVLCHRFFVRQSHACHDRFLIATAALFLSGKSEESPCPLNSILRTSSEILHRQEFAFLSYWFPVDWFEQYRERVLEAEQLILTTLNFELNVRHPYAPLTSVLSKFGLSKTVLVNMALNLVSEGLRSSLWLQFKPDQIAAGAAYLAAKFLNMDLSAYQNIWQEFQATPSILQDVSQQLMELF >CAK8540993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39621819:39623364:1 gene:gene-LATHSAT_LOCUS9947 transcript:rna-LATHSAT_LOCUS9947 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNTTSDFLSNLPKEILGHIVSFLPNESSMETILISTKWRDLWNEAIVRHGTKQDITNILAKFLTSFDDFDPLKHPRKLQLHYDQDHVLLATIANSTKLLLDFNFSPLKKEFIIENIQKHYELQFKLNEEKNITYNNIFSLKTLYLKSISYLTSEVASSIVSRLDHLENLVIVSCNGLKSLSIDSNFELHKLTILDCLELKSLHLKTSKLKSFQYRGSLPWIMPEFHFNLCDAILDFRLGPSCGRIVSKDFDATLLTIKNSQALTLCRWTFEELIWPSISPPYGCFNFYNLRELCWVDSYYKDEYFMDGLFSFLKLCPSLEQLFVTIDDESYSAGRSNSFSMQATKCTKLEHLKVIKFKGFTCRKDEISLAKCLVQLIKGKVPKINTSDGSCLDLEFV >CAK8575371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:99854369:99859696:1 gene:gene-LATHSAT_LOCUS27641 transcript:rna-LATHSAT_LOCUS27641-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKEKGKAIDVSSPVSSEDADDKQFPSVRFSSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMGRRGYGEEYINRYKMMTKFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFNSSEELITEFCRECRVVRKGLGGDLKKAMKDGKPIIIEGIHLDPSIYLVEDENKTPSTANARNREINPLSAELDDNTSTNTESINVSSGDETNSDSKILSSNEGVNDDLVDAASSSTSPSSLTGSITEHKDASASLKELEIEKITISKEKSGTKPIIVPIVLKMAEFDHKALLEEWISSRTFIDKCPDQDHDKLIANLKTIQDYLCSFTSQGLTVVNVSATTFPQTLDWLHGYLLQCIEQGTSLGSHENATVAAK >CAK8575372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:99854369:99859696:1 gene:gene-LATHSAT_LOCUS27641 transcript:rna-LATHSAT_LOCUS27641 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKEKGKAIDVSSPVSSEDADDKQFPSVRFSSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMGRRGYGEEYINRYKMMTKFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFNSSEELITEFCRECRVVRKGLGGDLKKAMKDGKPIIIEGIHLDPSIYLVEDENKTPSTANARNREINPLSAELDDNTSTNTESINVSSGDETNSDSKILSSNEGVNDDLVDAASSSTSPSSLTGSITEHKVCRADASASLKELEIEKITISKEKSGTKPIIVPIVLKMAEFDHKALLEEWISSRTFIDKCPDQDHDKLIANLKTIQDYLCSFTSQGLTVVNVSATTFPQTLDWLHGYLLQCIEQGTSLGSHENATVAAK >CAK8561597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:313599077:313607593:-1 gene:gene-LATHSAT_LOCUS15174 transcript:rna-LATHSAT_LOCUS15174 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGYIASWIVKFLLERRYIVRATVRNPNNPKKVDHLIKLDGAKERLQLFKADLLDEGSFDSIIQGCDGVFHTASPVRFIVDDPQAELIDPAVKGTLNVLKSCAKSPSVKRVVFTSSTSAVAFNTRPKNPGVIVDEAWFSDPDFCRESQLWYTLSKTLAETAAWKFVNENNIDMVVINPATVEGPLLQPEVNESVKPILKLINGIPFPNNVLGLVNVKDVANAHIHAYEIASASGRYCLAERMIHCSELAKMLRDLYPTLQISDKCENEEPYLTTYQISKEKAKSLGIEFTPLEVSLKETVESFREKKIVDF >CAK8575325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:79203492:79205459:1 gene:gene-LATHSAT_LOCUS27597 transcript:rna-LATHSAT_LOCUS27597 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSDILLEGDVVDGAVDYHGQAAIRSKSGYWRSAWFIIGVEMAERMSNYGIQGNLISYLTGPLKQTTATAAVNVNIWAGTATLLPLLGAFVADSFLGRYRTIVVSSLIYILGLGLLTLSAMLSSLTFCSPQSQVILSFISLYLVAIGQGGHKPCVQAFGADQFDEKHPKEHKARSSFFNWWYFTMVGGCTALLSILNYIQDNYSWVLGFGIPCVVMTIGLLVFLLGSMTYRYIIKETDTSPFLRIGRVFVAAIRNWRNTLSTTSFEEECDGMLHKTSSQQFNFLNKALLTPNGSKKEKTCSLSEVEEAKAILRLVPIWTSCLVYGIVFAQVFTFFTKQGKSMERTIFPGFDIPPASLQSINGIAIILFSPIYDRIFVPTARAITGKPSGITMLQRIGTGIFLSIFTMVIAVFVEIKRLKTVKEYGLVDDPNAIVPMSIWWLVPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSGFLISIIGNLSGKDGNESWFCDNINKAHLDYFYWLLAGLSAVGFTFFLYFSKYYTYNHKGIIITQA >CAK8564495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678156662:678157378:-1 gene:gene-LATHSAT_LOCUS17796 transcript:rna-LATHSAT_LOCUS17796 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPDRLGLIPKFEEGVKGFIAWAFAQECCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLEFNNRREESQWMFF >CAK8578842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657950849:657951082:-1 gene:gene-LATHSAT_LOCUS30825 transcript:rna-LATHSAT_LOCUS30825 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAMLVAEEYERRVKSLKTTAAASDGGAVKTWQIDMSCFSLLVSKMKEEKKQLVRCILEPKTQFAVAASNSFFSA >CAK8569014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662885034:662886938:-1 gene:gene-LATHSAT_LOCUS21912 transcript:rna-LATHSAT_LOCUS21912 gene_biotype:protein_coding transcript_biotype:protein_coding MGVITPHCFCFTTVGSHKPYLSPSSHSFRHSPSISLSSSSPSPLRRISCRASSAPAAESPLTAKVGNGLKDFIHIDDFDKETILKILDRAIEVKTLLKSGDRTFRPFEGKTMSMIFAKPSMRTRVSFETGFSLLGGHAIYLGPNDIQMGKREETRDVARVLSRYNDIIMARVFSHQDILDLAKYATVPVVNGLTDYNHPVQIMADALTMIEHIGRFEGTKVVYVGDGNNIVHSWLLLAAVVPFHFVCACPKGFEPDAKTVEKARKAGISKIEISHDPKEAVKGADVVYSDVWASMGQKEEADYRRQVFKGFQVDQNLMDAAGSKTFFMHCLPAERGVEVTDEVVEAPYSIVFPQAENRMHAQNAIMLHVLGK >CAK8573913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646604865:646609328:-1 gene:gene-LATHSAT_LOCUS26308 transcript:rna-LATHSAT_LOCUS26308 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVIKGRSSSSLSSSSSRPNSTLLPYLRRIIKWQQMDVEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATLAYCGAYDHSSGHALFVVFSVLLFHFLLTGIFLATFCWFLTNSYLREEAPNSYVVEQRVEWMYAFDVHCNSFFPMFVLLYVIHYFLSPLLVAHGFIPELLSNLLFMVGASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILSGFNPSRYFMNIYFSRQI >CAK8566821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465307462:465309456:-1 gene:gene-LATHSAT_LOCUS19926 transcript:rna-LATHSAT_LOCUS19926 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSLYACLLLNLSLLVIFPYSKATQADKLDELILSRSSQNPPKTLSWEEEDALKTQSSSSAYVVPPQEGHMLADKIVTLPGQPYGVNFDQYSGYVTVDHEAGKELFYYFVESPYNSSTKPLILWLNGGPGCSSLGYGAFEELGPFRINSDGKTLYRNPYAWNEVANILFLESPAGVGFSYSNTTSDYENSGDKTTAKDAYVFLINWLERFPQYKTRGFYITGESYAGHYVPQLASTILQNNKLYNQIIINLKGISIGNAWIDDVTSLKGIFDYFWTHALNSDQTHELIDKYCDFASENVSAICVNATTRASIEIGNIDFYNIYAPQCHDSSLKNGSTGYVSNDFDPCSDHYGISYFNRPEVQKALHAKPTNWSHCSRVIRTWKDSPVTILPTIKYLIDSGIKLWIYSGDTDSVVPVTSSRYSINTLKLPINSAWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFVYGILPPVSPSN >CAK8578636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643016634:643017482:-1 gene:gene-LATHSAT_LOCUS30629 transcript:rna-LATHSAT_LOCUS30629 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILCLKIHHSGEFVDSEKTNYVGDKCNDLEIDVDMWSYFELVDVLKDLGYTEVDTIYYNYPTFGINVLKDDKGALEVADLCRVHLKVDIYIEHSLSQPEYVENPINMMEEKAIDEEPLNMVNPEVESILQYFYEEVIKDTEVGTNGESVNNNGVKDMNVGEGVNNNGVEGTNVGVNNNSVEGMNVGECVNNNGVEGMNIGESVNNDGEVHDVMDTNAGLLNEIKSSEDSEDESYNYDSALEVTFSDSGMSVDYSEEHLDNLVEYDGSHEETSKKKKKKWE >CAK8537225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:165363081:165363470:-1 gene:gene-LATHSAT_LOCUS6530 transcript:rna-LATHSAT_LOCUS6530 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDAIVSLKEKNGSSQYAFAEFIEEKQKQFPAKLLLQNWKKKIAYGKLIKVKGLFKLSAAAKKLAVAKPKTKPAAKAKAVKARLAAKPKAKAVVKPKGTPQSHFLCITKKAFSSSCTSQYTCFLNNRT >CAK8579650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714746090:714747934:1 gene:gene-LATHSAT_LOCUS31580 transcript:rna-LATHSAT_LOCUS31580 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKEEPNTAPKPDTWYNLTLGPSFKDDSANKYCTLRYEFKPASVDKTKPGLLRKSKENRVSVEFQNNQVGKPKVTFEGNSEEYKENDAVLFFDGRTLRLERLDRAVKQLRHLRMPGESSAVVSGTVTAPSGPALDPRSSPIGKPLKPASFGSARSSNQAVAVEVERIDTGEPENSDIKSGSKRSYDQLNEPPHVSTTSPVAIDEVEEHRDIDIDDLFGSGTPEDDNNVDDNNVEVKDNVGFDMNVSITDDEIADVYDSGDEVDKGPNAAEALRDQVNAEGKGEEAEGKGEETSSSSSSSDSGSSSDSGSGSSSSSDSGGSDDDSVTSI >CAK8531740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144637936:144642118:-1 gene:gene-LATHSAT_LOCUS1507 transcript:rna-LATHSAT_LOCUS1507 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHGHDESYISLNKGNFREMVYWVKVKNEQVQDAFDRGGKNCTMISSGIQKELALCCAHEVTKVILGELGDRQFSVLIDESRDISVKEQMAVMLRFVNDKGNVVERFIALHHVKDTTSESLKDALCGILDKYMLSISRIRGQGYDGASNMRGEFNGLQRKILDENPYAFYVHCYAYRLQLVVVSVASSCSFIHDFFEYISLIMTITSASCKRRDALTEAQHQDILNKLESGEIFRGRGLHQSSSLIRPEDTRWGSHHITLLCLDQIWSFVLNVLSMVDEDGRGPSQAASLIEKMESFKFAFILKLMLKLFGIINELSHVLQRKDLNIVIAMELVDVVKARLATMRDSGWDDLFADVQEFCVAKGIPMLNMDDEIPVRDRSRVEGRPITNLHHYRAD >CAK8577806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589780634:589780909:-1 gene:gene-LATHSAT_LOCUS29877 transcript:rna-LATHSAT_LOCUS29877 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKQLKEKDDLTEILERHAVERQKEQENLFFSSVQSVPSVHEYSDILSTSGAWKKIVDKPVLESAQLKRQKRKHQQGVGLSSKGISLNP >CAK8535331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838842542:838855809:1 gene:gene-LATHSAT_LOCUS4797 transcript:rna-LATHSAT_LOCUS4797 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKALTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNQHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEFGHLLGRRPGCSPKELFNIIHEKLPTVSIATISILLSTYAKILMHCQPPDLELQNQIWAIFKKYESSIEVEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALIKKAEDTEVDTAETSAIKLRAQQQSQTSNALVVTDQSQANGAPPHVGQLSLVKMPITSSNVDDTSADQRLSQDNGTSNNLDSQQPSADLLGDLLGPLAIEGPPSSSGHPQPSSNPGIEGTAVDATALVPAGQDASSVQPIGNIAERFQALCVKDSGVLYEDPYIQIGIKAEWRAHQGHLVLFLGNKNTSPLIAVQALILPPIHLKMELSLVPDTIPPRAQVQCPLEIINLHPSRDVAVIDFSYKFGNDMVNVKLRLPAVLNKFLQPITISPEEFFPQWRSLPGPPLKLQEVVRGVRPLPLLEMANLFNSFHLIVCPGLDPNPNNIVASTTFYSESTRAMLCLVRVETDPADRTQLRMTVASGDPTLTFELKEFIKEQLVSIPLASRVPPTQVAPMSPVAQPASAPPPAASDDPGALLAALL >CAK8578014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601922707:601925302:1 gene:gene-LATHSAT_LOCUS30062 transcript:rna-LATHSAT_LOCUS30062 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQSHTSDFEQDSNSSKMLPTIVEFDSTFEVEATKFVETGKEEGIFLTWEDLWVTVSNGNNGRKPILQGLRGYAKPGQLLAIMGPSGCGKSTLLDTLAGRLGFETKQTGKILINGCKRRLAYGTSAYVTEDDIILNTLTVREAVHYSAHLQLPDTMSKEEKQERADYIIREMGLQDAINTRIGGWGSKGVSGGQKRRVSICIEILTHPRLLFLDEPTSGLDSAASYHVMTRISGLNQKDGIQMTIIASIHQPSNEIFQLFHNVCLLSSGKTVYFGPVSAADKFFSSNGFPCPSLHSPSDHYVKTINKDFEHDPEKGLGGGLCAEEAIHILVKSYDSSEISHQVKKELSQIKQRVSYSMEKKSHADFLTQCLILTRRSFVNMYREAGYYWLRLFIYGALALSLGTMFFDIGSSNQSIQARASLLVFVVTFLTFITVAGFPSFVEDMKVFERERLNGHYGLTAFTIGNTLSAIPFLLLMSLIPGALVYYLVGLHQGHEQFVYFISMLFISVLLVEGLMMIVASMVPNFLMGIIFGSGILGVMMLDGGFYRLPSDIPKPFWKYPLHYISFHKYAYQGLFKNEFQGLTFTTSNQGMISGDEILKHLWQMEIGYSKWVDFAILVGMALAYRIMFLIIIKSFEKVKPIVAAIKCPQEKVRFTKVTRSSEID >CAK8570364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39799939:39801621:-1 gene:gene-LATHSAT_LOCUS23117 transcript:rna-LATHSAT_LOCUS23117 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPQGYRPNVGVCLINSDDQIFVASRLNVPGAWQMPQGGIEDGEEPKSAAIRELREETGIVSAEIITEVGKWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMRLTKDEGEINLATGEADPEFAEWKWANPEEVIEQAVDYKRPTYEEVVRTFKPYFQGNATSAKCKSTKW >CAK8534470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:729591101:729591754:-1 gene:gene-LATHSAT_LOCUS4014 transcript:rna-LATHSAT_LOCUS4014 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIQRIDGTCITDQQGMENEVISFYRKLMGTKLDYLEGIDTAAMRNGSQLNVAQRDMLTGHVSEEEITTSLQGIGNDKEPGIYGFGAYFYKKAWNIIKVDVIAVVQEFFKHNRLYRAVNYSAVTLVPKHKGTKEIKDYRPIACCSTLYKIISKILANCLSKVLGTIIGANQAAFVKGQRIHNHILLTYELIKGYDKRGIPPPLDVLCKWIFKKRMI >CAK8543498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611582251:611584179:1 gene:gene-LATHSAT_LOCUS12253 transcript:rna-LATHSAT_LOCUS12253 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISCQQPEFVPRGGHRTPLPESPRVPMEFLSRSWSASALEVTKAIAPTHSQPPLSSCMPSNGSGSIPEETSIYSISEELSTMSKNQFSFASSATSQLVLERIMSHSAREEVSPLTSGRLSHSSEPLNGNGSLTGTESPPVSHSDEFDDVVKFFRANNSIHPLFNGGRANGAIGNVTSSSRPKTVGRWLKERREKKKEENRTHNAQMHATISVAAVAAAIAAIAAATAASSTPNKDEKMAKTDVAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDISTLTAAAATALRGAVTLKARIQKDIWNIAAVTPLEKGIGGMTISGKGNNINNSNSSTSDSGEIITADNFTGSSIQELLAKGSELLKRTRNGDLHWKIVSVYIHRTGQVKLKMKSKLVAGKITKKNKNIVLDVCTDLPAWPGRDILEDGEKRHYFGLKTDTRGIVEFECRTQKEYDIWTQGVSRLLSIVTQQQNKYNN >CAK8532413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:258687354:258688717:-1 gene:gene-LATHSAT_LOCUS2122 transcript:rna-LATHSAT_LOCUS2122 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSQVGVVFSPKPRFGETKNGFLGTNFNNNCSFGRRVRSVVVVKAEAGGVSSINPDVRKNEEKVVDAVVVNELSKPVTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >CAK8531130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83094608:83096755:-1 gene:gene-LATHSAT_LOCUS942 transcript:rna-LATHSAT_LOCUS942 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLAVSQMALTVPVSNDFSVRRSAFKASNLNVRDKSWAPVFMLGMKAKNCGWRNHNVICMSVQQASVQKVTVSPLELENPTEPPLNLHKPKEPYTATIVSVERLVGPKAPGETCHIVINHDGNVPYWEGQSYGVIPPGENPKKPGTPHNVRLYSIASTRYGDNFDGKTASLCVRRAVYYDPVTGKEDPSKNGVCSNYLCDSKPGDKIKIAGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPTFKFGGLAWLFLGVANADSLLYDDEFTKYLKDYPDNFRYNRALSREEKNKNGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLRGMMPGIQETLKRVAEKRGESWEEKLSQLKKNKQWHVEVY >CAK8535794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882611446:882611743:-1 gene:gene-LATHSAT_LOCUS5217 transcript:rna-LATHSAT_LOCUS5217 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDDNPRFHLQGYRNALDHMQEKDFIWRPYIQYSVPNIRDSQTWSATTSLICFYTVEMHQTDRVKLQFGFEQ >CAK8577304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553935996:553940363:-1 gene:gene-LATHSAT_LOCUS29424 transcript:rna-LATHSAT_LOCUS29424 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTMVVPIFALITVLLEIQIGLSAEISRADFPHGFTFGTASSAFQYEGAVKEDGRGPSVWDTFSHTFGKITDFSNADVAVDHYHRFEEDIQLMKDLGMDAYRFSISWTRIFPNGSGAINQAGIDHYNKFINALLAKGIEPYVTLYHWDLPLALDDKYKGWLSTEIIKDFAAYAETCFQKFGDRVKHWITFNEPHTFTTQGYDVGLQAPGRCSILFHLFCKAGNSSTEPYIVAHNVLLTHATVADIYKKKYKSIQGGSLGVAFDVIWYEPASNTKEDIEAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGNRLPKFSPSETALVKGSLDFVGINHYTTFFARNNSTNIIGTLLNDAIADSGTITLPFNGTKVIAERANSIWLYIVPQSMRTLMNYVKQKYGNPPVFITESGMDDPNSIFISLKDALKDEKRIRYYSGYLSYLHTAIKDDGCNVKGYFAWSLLDNWEWVAGYSSRFGLYFVDYRDNLKRYPKQSVQWFQNFLKPKPAK >CAK8537121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:102699902:102704200:1 gene:gene-LATHSAT_LOCUS6435 transcript:rna-LATHSAT_LOCUS6435 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKQNQPQPSCHTLFSLRGSLLTLAILTLLSFTYLSLKYTTPSSQVTASVGKVVDVGRSEEVEDADDDEFGDVYHSPRVFKLNFAVMEKRFKVYIYPDGDSKTFYQTPRKLTGKYASEGYFFQNIRESHFRTLDPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTSLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYRSKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWRKFAVVLKESDVYQLKQILKKISQAEFVVLHNNLVKIQKHFQWNSPPVRYDAFHMVMYDLWLRHHTIQY >CAK8576918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524360021:524365695:1 gene:gene-LATHSAT_LOCUS29074 transcript:rna-LATHSAT_LOCUS29074 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSLPLNLNFNATAAATSLPELPEKLRNSRVLVLGGTGRIGGSTATALSNLCPDLRIIVAGRNREKGEALVAKLGGNSGFARVDIDDANSLVTALKGVDLVVHAAGPFQQTEKCSVLEAAINTKTAYIDVCDDTTYSRRAKSFMSRALDANVPAITTAGIYPGVSNVMAAELVRLAKSESESEDKPERLRFYYYTAGTGGAGPTILATSFLLLGEEVVAYNKGEKIKLKPYSGMLKIDFGKGIGKKDVYLLNLPEVRSTHEILGVPSVSARFGTAPFFWNWGMEVMTKLLPSEFLRDRSKVQRMVELFDPVVRVIDGFAGERVSMRVDLECSSGRHSVGIFSHRRLSESVGISTAAFALAVLEGSTQPGVWFPEEPQGIPVEARELLLKRASQGTINFAMNRSPWMIETNPKEVGLGIYV >CAK8574900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14370656:14370991:-1 gene:gene-LATHSAT_LOCUS27199 transcript:rna-LATHSAT_LOCUS27199 gene_biotype:protein_coding transcript_biotype:protein_coding MRFESLVLLFLILLASVNMNQAIPGGWSPIKNITDPYVIEIARFAVVEYDKQKGATLEFEKLIKGESQVISGTNYRLTLSAKDGSSSNNYEAVVWDQPWKHLRNLTSFKRA >CAK8530328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14594638:14595846:1 gene:gene-LATHSAT_LOCUS199 transcript:rna-LATHSAT_LOCUS199 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKQIIRPGTGPNPRSGQTVTVHCTGYGKNGDLTQKFWSTKDPGQTPFTFKIGQGSVIKGWDEGVLGMQLGEVARLRCSPDYAYGTGGFPAWGIQPNSVLDFEIEVLSAQ >CAK8578910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661792798:661794879:-1 gene:gene-LATHSAT_LOCUS30889 transcript:rna-LATHSAT_LOCUS30889 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHETELPIKAFGWAATDTSGILSPFHFSRRENGEDDVTVKILFCGVCHSDLHTIKNDWGFTTYPVVPGHEIVGVVTKVGDNVKNFRAGDKVGVGVMVESCQTCENCQQDLENHCPKIVFTYNSPYKGTRTQGGYSDSVVVHQRYILQFPDNLPLDAGAPLLCAGITVYSPMIYYGMTEPGKHLGVAGLGGLGHVAIKFGKAFGLKVTVISTSPNKEREAIDKLGADAFLVSSDPEKLKTAAGTIDYIIDTISAVHPLLPLLGLLKLNGKLVTVGLPNKPLELPVFPLIGGRKLIGGSNFGGIKETQEMLDFCGKHNITADIELIKMDQINTAMERLSKADVKYRFVIDVANSFSSL >CAK8540511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9325310:9326180:-1 gene:gene-LATHSAT_LOCUS9511 transcript:rna-LATHSAT_LOCUS9511 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKKMALRVIAENLSEEEISGLKELFKMIDTDNTGQITFEKLKAGLKMFGANLNEFEIFDLLNAADVDNSGTIDYGEFIAATLHLNKVGREDNLVTAFSYFDKDGSGYITQDELQKVCKEFSMKDVDLEEMIQEADHNNDGQIDYNEFVVMMLRGNTDLGNSGSKCRSTSFNIGLNGGVYN >CAK8544731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702773770:702775446:1 gene:gene-LATHSAT_LOCUS13386 transcript:rna-LATHSAT_LOCUS13386 gene_biotype:protein_coding transcript_biotype:protein_coding MNSITLPLIFFILFSLIPHFHLTLSSPLSSLLPFSNQGKWQLLQPTIGISAMHMQLIHNDKIIIFDRTDFGPSNLPLSNSNCRLDPYDTALQIDCTAHSVLYDISSNTFRPLTIQTDTWCSSGSVLPNGTLVQTGGFNDGERQIRMFTPCFDNSCDWIEFPSYLSERRWYATNQILPDSSIIIIGGRKQFNYEFLPKTTTFSSSLSSIHLPFLQETKDPSENNLYPFVHLLPDGNLFVFANSRSILLDYKNNMIVKEFPEIPGGDPRNYPSSGSSVLLPLDENQATIEATVMICGGAPRGSFEAASKQNTFVKALTTCGFLKVTDSNPSWVMEDMPMERVMADMLILPNGDVIIINGAGLGTAGWENGRQPVLTPVIFRSSETELSKRYSVMSPAYRPRLYHSSAVVLKDGRVLVGGSNPHVNYNFTGVEFPTDLSLEAFSPPYLSPEFYPIRPKIRHITNSILGYRVFYYVTFTVGNFGSANDVSVRLLAPSFTTHSYGMNQRMVILKLIGVTMVNLETYYATVLGPSTQEIAPPGYYLMFLVHEGVPSFGEWVQLM >CAK8570908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:164652898:164657136:-1 gene:gene-LATHSAT_LOCUS23617 transcript:rna-LATHSAT_LOCUS23617-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTIDVSHFHHTPFYCEENVYLLCKKLCNDGISNSEGSDLFVLFISNEKKQIPLWNQKASNRADGAVLWDYHVICIQINQAGDKPLVWDLDSKLPFPSPLASYVSETIRPSFQLFSDYDRLFRIVHAPIFLSCFASDRRHMKGSDGHWIEEPPTHEPIVAQDGAEHNLNEYFNISGSDAIADIKTSSVKDAVFTQKHGIVIKKNQLEELFSHISLQ >CAK8570907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:164652831:164657136:-1 gene:gene-LATHSAT_LOCUS23617 transcript:rna-LATHSAT_LOCUS23617 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTIDVSHFHHTPFYCEENVYLLCKKLCNDGISNSEGSDLFVLFISNEKKQIPLWNQKASNRADGAVLWDYHVICIQINQAGDKPLVWDLDSKLPFPSPLASYVSETIRPSFQLFSDYDRLFRIVHAPIFLSCFASDRRHMKGSDGHWIEEPPTHEPIVAQDGAEHNLNEYFNISGSDAIADIKTSSVKDAVFTQKHAIIF >CAK8532946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553952426:553955763:1 gene:gene-LATHSAT_LOCUS2605 transcript:rna-LATHSAT_LOCUS2605 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSQSIPSSSGNPSQLSWMNLSRNLLLAYQSFGVVYGDLSTSPLYVYTSTFKGKLKDHHDEETIFGVFSLIFWTITLIPLLKYVFIILSANDNGEGGTFALYSLLCRHAKFNLLPNQQAADEELSSYKYGPSSQTGASSPLKMFLEKHKRLRTVLLVVVLFGACMVIGDGVLSPAISVLASVSGLKVTITKFNNGELVLLACVILVGMFALQHCGTHRIAFMFAPIVIIWLLSIFIIGIYNTILWNPKIVFAISPHYIIQFFIKTGTEGWISLGGILLCITGAEAMFADLGHFTATSIRLAFAVVIYPCLVMQYMGQAAFLSKNLDSIQNSFYDSIPEPVFWPVFVIATLAAIVGSQASITATFSIIKQCHALDCFPRVKVVHTSKHMFGQIYIPEINWILMVLTLAVTIGFQDTTLIGNAYGLACMTVMFVTTFLMALVIVFVWQKSFVIAALFLLFFWVIEAVYLSAAFLKVHQGGWVPLVLSFFFLVVMYVWHYGIRRKYKYDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGIPAIFTHFVTNLPAFHKVLVFVCVKSVPVPHVSPEERFLIGRACPRPFRMYRCIVRYGYKDIKRDDGEFENHLIQSIMEFIQMEAVEPQFSSSDSSFDARMAVIGTRSLESTPTLIASEQDNVDIDESIPSSRSITLRRLQSTIDDENPQVRRRRVKFQVPNNPGLDNAVKEEILDLIQAKEAGIAYIMGHSYVKARKSSSFLKKIVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >CAK8570969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:203821643:203823560:1 gene:gene-LATHSAT_LOCUS23673 transcript:rna-LATHSAT_LOCUS23673 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLHMELGFGNGVIDDTLSAGLLLDRDRYIADIGTGVTVINNLAQVGSSQGVEDITILLSVFSFFNFVRLLGGVVSEHFVRSRMILQTVWVTCT >CAK8577033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532738362:532742084:-1 gene:gene-LATHSAT_LOCUS29176 transcript:rna-LATHSAT_LOCUS29176 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGRKGTVTPLESQFPPEEVHKATKQFQDAIAEKNNELHVLQRFVSDNNNLVNLVQKLPEQLSHDVMVPFGKAAFFPGRLIHTNEFMVLLGEGYYADRTSKQTVDILQRRGESLNSQVDSLQATINNLSSFINVTDSEVAEGLVEIREEYVEGDSEEEESESDSAIEDVPSVGNDTSKEVDYASFLALMDELEKKEALAEKNGDDSDQNEETTDDFDVSPYQRPADNNPQNLEGSNQAIPLDQRSNRNATNEFLKKHNHQEDIADQLNFANLAVQSQVKEGKILAQNMKSIDPSVKPPVVPKEKISQATSAPKIEVQHETSQPSFDSRKAFTGSIVEHDENLKKASREQSSTSQVSGSQPLKPVSRFKMQRK >CAK8569232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685593906:685594346:1 gene:gene-LATHSAT_LOCUS22110 transcript:rna-LATHSAT_LOCUS22110 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVDSLNCAYQDFVAAAATVLEAKESATPNKTAATDTALESFKQKWELFRVACDQAEEYVESVKQRIGSECLVDEATGHVAGKAGQATVTSLPPISAVRLEQMSKAVRWLVIELQHGSGAGSSSSALSHSSAPFDARFSEDAAQ >CAK8579669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715346262:715347770:-1 gene:gene-LATHSAT_LOCUS31597 transcript:rna-LATHSAT_LOCUS31597 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDFKAFNLAMLAKQGWKLLAKSHALVSRIFKARYFPKSSFLDAKIGNNPSFVWRSLWKARDVLILGCRWSIGDGSNINIMRDPWLRSKKGDYITGPQISGVYDMCVNDLLLHNSKQWDTQAIHALFDHAVASEIMKVTLLGEVIEDGIIWKEEKNGEYSVRSGYRLWRNSRRHNQVGSGAEVWDNIWNIMAPPRAKHLLWRICRRCLPSRVRLRQHYVQCSVCQNCEVGVEDDWHVFFGCINAHQCWRATGLFHLIESRLHSFSDATTLIFDICSKEESREVGRFVVLLDSLWKNRNNIIWNNEREDYSKVGLQAFFNWKDWFMARDVKEVNIANPSCVKWNPPREGWLKWNVDAGFNNQIKTTNRGWCVRDNVGRFISAGVAWDTGIMSTVEAEALALKEAIQCAIDRNMNFVIFESDSQVVVNVVLSTHVGCSEFSCIISSIKQLLPLIQNFEVKFIKRQANRVAHSLAKADNSWSRCSVINVIPPCIESLLINERS >CAK8569363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696393017:696393298:1 gene:gene-LATHSAT_LOCUS22222 transcript:rna-LATHSAT_LOCUS22222-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPAAIRWSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHSMGGLTIPCREDVFLDITSQFNSL >CAK8569362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696392876:696393298:1 gene:gene-LATHSAT_LOCUS22222 transcript:rna-LATHSAT_LOCUS22222 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIIETLGNYKYSALLHNHHKSLKQSNSYTISLHQEVQLFFFKTFEMGFLLPAAIRWSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHSMGGLTIPCREDVFLDITSQFNSL >CAK8534269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711714559:711715412:1 gene:gene-LATHSAT_LOCUS3827 transcript:rna-LATHSAT_LOCUS3827 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPANDLVPPPSSPSISSISSSDLDTESTGSFFHDRSTTLGTLMGVSFPAIAFRVPSQHRQSYSDAGVCASGSIRNASNKKKKRTAAASVAAERRRKWWQLCRDSDARPASLGDFLEVERRFGDGAFYETAAELEGMVAEADHQQRNGGRVLFADGRVLPPAEDVGSRESPAESLCNRFPVSLAGICSGGGG >CAK8531475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:113071115:113071594:-1 gene:gene-LATHSAT_LOCUS1264 transcript:rna-LATHSAT_LOCUS1264 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSIFVSSSSSATSMDNYQGDLTDIIRATSTATAAAGAYSTITSSSSSEPLLQHHHHDNQWHHMNFSNSILEENRSETTNNMNMNMNMNMNIFGDPLFSTLRDPFLQELDHIPSSSYFNIPTSIIDVAASGVGVSVTTSSSSSVSASASVFALDQST >CAK8530733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:45924721:45927827:1 gene:gene-LATHSAT_LOCUS577 transcript:rna-LATHSAT_LOCUS577 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKEMSTSVINGNDSLTGQIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRVMDHPNVVTLKHCFFSTTSTDELFLNLVMEYVPESMYRVLKHYNNANQRIPIIYVKLYMYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLSHQVKLCDFGSAKMLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSGGCVLAELLLGQPLFPGENAVDQLVHIIKVLGTPTREEVRCMNPNYNDFRFPQIKAHPWHKIFHKKMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDDLREPNARLPNGRPFPPLFNFKQELSGASPELVNKLIPDHIKRQIGLQFVQSTVS >CAK8576688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:506050508:506051865:1 gene:gene-LATHSAT_LOCUS28861 transcript:rna-LATHSAT_LOCUS28861 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKSRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAEAKNKSVPQVVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISQMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8564388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671575457:671576035:1 gene:gene-LATHSAT_LOCUS17699 transcript:rna-LATHSAT_LOCUS17699 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHNNLTTDETTQNSGTTFTRAPPHRRNKYSVWSHFTLDPDFIGIARCNYCDSKLKSNNGTSSMAGHSKICKSNPNSEANKRLKTTPSLTTNVTSPSAIVLGKFDQEKCRQAMVDMIVEIELPYMHADHKAFRCCMSVLKPRFIPISQSTVARDVLALWDFEREKLKTFLSQHYRSVCLTTDGWTSCLTCA >CAK8562953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561069445:561071073:1 gene:gene-LATHSAT_LOCUS16413 transcript:rna-LATHSAT_LOCUS16413 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLISSIALTLFMLIKWYSNSTKIKNSPPSPPRLPIIGNLHQLGLFPHRTFQSLARKYGPLIQIHLGYIKVLVISSAEEARGILKTHDHVFANRPQKKNYKILLYDCKDVSTAAYGEYWRQIRSISVLHLLSAKRVQSLRAVREEEVGFMAEKIKQCASASVPVDISELVSTTINDIVCRVALGRKYSGESGKGFKKLLMEFTELLGSFNVGDYVPWLDWLTHVSGFYARARRVAKQFDDLLEEVLEDHINKQKGESGFSSAEDHGDFVDVLLWIQRTESFGFPIDRIVIKAQLLDMFIAGTDTISTLLEWEMTQLIRHPNIMKKLQEEAKTVANGKTHITEDDLPNMKYLKAVVKETLRLHPPFPLLVPRKSKEAVKLNGYHIEAGTHVIINNWAISRDPTNWEQPEEFKPERFLKSSVDVKGNDFQLMPFGSGRRGCPGITYATAANELVLANLVHQFNWEVPGGVEKIDMSQTRGFIAHRSVPLMAFAVPKEKQVRE >CAK8562835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:545440435:545441706:1 gene:gene-LATHSAT_LOCUS16300 transcript:rna-LATHSAT_LOCUS16300 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDCIISHIFSKLSLKTLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCNPELPKTPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDYTHVIDRLIHKGVLKGVNRIELLFAYPKPKLLPYDEETDFEIEPYNFFLSDSHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLLPVEQNILQDMCLKCIHLDNLTLNECTFRSDLKITSTTLLHLNINCGDIFSGKINIDIIASNLSSVQYSSPVYLSHTLNIKSHKLSNFSYTCAQISNLVHFSGLKNVTTIVLDELEEGDVITCGLMEGDVITRLFSKCLQLQHVTLSKCWLTCECKIISAKLRHLSILHCFNTEVLDIASNGSLIEYRGPRSILSIHALNLSSFEFRGHSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8563228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584220986:584222113:-1 gene:gene-LATHSAT_LOCUS16659 transcript:rna-LATHSAT_LOCUS16659 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLAPPFQLLEINVISAQDLSQVSKSIKAYAVAWLNPDRKLTTQIDPNGHNNPTWNEKFVFRIDEDYLQAEDSTVMIEIYASSWLRDILIGTVGVHLNSLIPRSTNRKSKIRFVALQVRRPSGRPQGILNIGVNLVDATLRSMPMYSELSGSAVEYYDITNPKKSNQMENNNYDAKLITLQRSQSEKNDSTISDYTYNPDGKNGYDESESEIGVPTGRKGVIVNANGSLCSDVGPSPSVVAAAIAKGLYPMPLQMPRKTMNSTSMFEKLPQEKETGGERLNMKMDRWRMLELPPPVYAHLGENNNNFEQNKASKGKGKNRSRGNGPFSCFGTALGCEISITCGGGNRKKRSGGGNKPRAVTESELTYDESSYMG >CAK8564916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15529604:15532429:-1 gene:gene-LATHSAT_LOCUS18167 transcript:rna-LATHSAT_LOCUS18167 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSFVLQQLGQFVLKETFRSVVEERASVARLEKDLDGLKVKLERIHAFLKDADTRTSDDGAGVTTLVKQLRQVSFQIQDVLDYYIMYEAERLNHSGLQSPFQVVYGLIKTRNRRSQIVSEIQDIKLSLDEIQQSTGFEFRSESGSGSFRGAKAPRTGEDPRSHPYFIEEKKVVGFELPRDELVGRLVWGNNQLMLVSVVGMGGLGKTTLVKHVFNSELVIKKFPCRCFITVSQSYTIGELLKEMIRKFCKICNELIPKGLQKMEGETLINQVRQYLVSKRYLVIFDDVWNHKFSDEIARALINNNSGSRILVTTRYMHVAKYSIRYFPIHVHQLQPLPPEKAWELFCNKAFRGQCPTDLEGVSEEIVQKCGGLPLAIVAIGGLLSTKGQDISEWEKVSENLRMEIHGNVLLNDLVKILSYSYDDLPYHLKSCMLYFAIYPEDYIINRKRLTRQWIAEEFVTHEEGRTLEELSEKNLTELIHRSLVNATKVGFDGKVKSCQVHDMLRDVIIKKMKGLSFCNSCCKDGEQVIVEKTRRFSIAAISNNDLTNTSYSGIRAIFVFDKGEFPNDFIDGLIAKFKLLKVLDFENSLLKSIPDDLGNLFHLRYLNLSHTKVMVLPRSIGKLINLETLDLRQTQVQELPEEINKLTKLRLLPVYYRKYEGHYSMLNFTTGVQMQQGIGCLTSLQKLYFLEADHGGIDLIQELKKLRQLRKLGIRRVRGEYGSALCATIQKMKHLESLNVTVIAEEEILDLDFIAEEEILKLKVLNLKGRLKNLPNWIPNLKYLVKLRLGLSHFEHDPLDFLKNLPNLLRLNLWDDAFAGEILHFKVEGFPKLKELDLTRLNKLSSIIIEKGALLSLEHFRFNNNPQLKVVPQDLRYLENLQFLGFADMPSELIESIDPGRGGECHWIIEHIPLVRIRQKVGSRFHDYELYTISNV >CAK8562859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548953406:548955393:-1 gene:gene-LATHSAT_LOCUS16322 transcript:rna-LATHSAT_LOCUS16322 gene_biotype:protein_coding transcript_biotype:protein_coding MASNICKPSRRRDDCLIKTTKCASKPTLLLKDYLRDDLSSCSSSGFKSFPRRQCCTTVGFVKEKDLKLQRKRSTTSTLPRRRPAITALQRASGAVITAIKSLSSQKSGKSKKAAQNLLSRSFSRKLLSRRFWRKAGKGEGSEGVLRCRRSFRELLIQERDYKPTSLDEDTVFTPKSITTVSSGGCSNSWGESEFTFASNATSSDSPTENDLVDGVKDGAPLQHKKEAGTDGDWSNEKEQFSPVSILDCPFEDEEEMKSSFRINSFFQGAENKHIQKTRHFENISSLEPLDLEKRIKCLELEDEPHNYSSKQCSLPTIESVIGDNKNKDELNSLMINTEKLLFDYLEQSIEENNNANHPKNLNLCHVVDDWMRGKPQEPYLSWEVKEGRQVYISEMERCNEWKNYDQEKEQLVLELENDVLTSLVNEIVIDIVIC >CAK8541178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:83424829:83427423:-1 gene:gene-LATHSAT_LOCUS10117 transcript:rna-LATHSAT_LOCUS10117 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLIDIGGFAKKVKRTTLSSADQIKDCGAYRECPNCHCRIDNSDVSSEWPGFPLGIKFDPSDVELLDHLAAKCGLGNAIPHMFIDEFIPTLEGDQGICYTHPENLPGAKKDGSSVHFFHRTMNAYSTGQRKRRKIQHHGSTEDHVRWHKTGKTKAVIEDGVRKGYKKIMVLYIRSEKESKSYKSDWKMHQYHLGNDEDEKNGEYVVSKIFYKHNEKNEEKPMAEESDNITSRTSPKTPKPNPPNPLRAGKCVGNDDNIDETALMSSAEDAKSVPVESHAPQSETQDQDNADNSAWLVDELQTMKNCEYDGLDDILLCKEILDSSALFDDFGLNSITPNDLAYYENKMTGNCNVASETSTSVLDTLELDTAPDYDLSNLTFCSQDSILDWLDWL >CAK8573092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582696171:582696489:-1 gene:gene-LATHSAT_LOCUS25580 transcript:rna-LATHSAT_LOCUS25580 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKPTDKSGISQPSGQVKKEPAAKTSATTPKTRAAKAAAAPKKTEQKPQPKKKASSSKQKVSSEV >CAK8564487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678051787:678069358:1 gene:gene-LATHSAT_LOCUS17788 transcript:rna-LATHSAT_LOCUS17788-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGYLQLKELGSKLDTVPSSKDALIKLLKQATTCLAELDQSPLTTTRDSMNPFFNAIVKPALLKHQDKDVRLLVATCISEITRITAPEAPYNDEILKDTFRLTVSTFSGLSDTSGLSFGRRVVILETLAKYRSCVVMLDLECDDLVYEIFSTFVAVARDDHPESVLSSMQTIMVVLLEESEDVREDLLSILLSTLGRGNKDVTMAARRLAMNVIKQCMGRLEPSIKQLLLSLMSGDRKLVNNHIEYHGIIYDLYCCAPQILFGVLPYVTGELLTNQLETRLKAMNLVGDMISIPGTSIPEAFQPMFSEFLKRLSDRVVEVRMSALEHVKNCLLLNPFRAEASQILSALCERLMDFDENVRKRVVTVICDVACHALNAIPLETVKLVADRLRDKSLLVKKYTMERLAEVYRVYCEKSCDIANLNEYYWIPGKIIRCFYDKDFRSDIIESILCGSLFPVEFSISDIVKHWVGIFSGFDKVEVKALEKMLEQKQRLQQEMLKYLSLRHMHQEKDVPEVQKKIIFCFKVMSHSFADPIKAEESFQILDQLKDANIWKILANLVDPNTSLHQARSYRDDLLTILGVKHRLYEFLNTFSMKCSYLLFNKEHVEETFVQIAAQKSAENAQRTQSCMNLLVIIARFCPLLLNGSEEELVNLLKDNNDIIKVGALNTLAKAGATIRKQLSVKSSSVDLMLETLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKKLVGMLEEKTHLPAVLQSLGCIAQTAMPVFETRENEIKEFIINKILKSDGNDHTRTSWGDKSDLCMLKIFGIKTLVKSYLPFNDAHVRLDIESLLDILRKMLSYGEISKDLQSSPVDKAHLRLASAKAVIRLSRVWDQKIPVDLFYLTLRVSEISYPQAKKFFLNKVHQYVKDRLLDAKYACAFLFNIFGSKPHEFAEAKQNLADIVQMHYQAKTRQIPVQSDASSLTIYPEYILPYLVHTLAHNSCPSVEECKDVGAYDNIYRQLHLMLSILLQRDEGAKSEVTTKKEKEIISTIASIFKSIKLSEDTVDTSKTKTNHAICDLGMAITKRLVQKDVDLQELSHLVSLPPMLYKAFEKKEGDDTMVSEVKSWVVDDTALAHFESLELEMVQSQSAEDEASKDNEEIEIPLGVMLKQIKSKRINGKKVKKIKSVPAETEKVENDFSILNTARQINLDNLGSPTNVEQCNGHEHSLSKKTSEDPENATGQKRKTGETTPAPLSKRSRPSSARGKLRLSTTTASRRVSGENSPPAKLVLNADINTDTDSDMQKITLKDFLVSSLKQKVKGSESYHSDESNKHDEYDMKSHDDLEQSEKTTSNNSKASTRFSKKNKRKSTAGLTKCTMEKGEIDPEDLIGCRIKIWWPADKKFYGGTIKSHDCLKKKHVILYDDGDVEILRLDKERWKLLDKGRKSTKKIKRSSLETFGRKHNGSPSKKKKKIVNDKQSPSKPIKPRKKYASKSDIHQEEAKETSESSNHEETMTSEADEMSGSDEITTKGKKSNKKVRSVSRRKKLKKVKNFRNMGESDEDKQDYSERSSEDQESVPQYGSDERNADESTEASRENVNDKEESESEEDQDNSNVGDSPGETNKSHIEPSSSDDVDIADISDDAPLSKWKGQTGKKSSEKTR >CAK8564486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678051787:678069358:1 gene:gene-LATHSAT_LOCUS17788 transcript:rna-LATHSAT_LOCUS17788 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGYLQLKELGSKLDTVPSSKDALIKLLKQATTCLAELDQSPLTTTRDSMNPFFNAIVKPALLKHQDKDVRLLVATCISEITRITAPEAPYNDEILKDTFRLTVSTFSGLSDTSGLSFGRRVVILETLAKYRSCVVMLDLECDDLVYEIFSTFVAVARDDHPESVLSSMQTIMVVLLEESEDVREDLLSILLSTLGRGNKDVTMAARRLAMNVIKQCMGRLEPSIKQLLLSLMSGDRKLVNNHIEYHGIIYDLYCCAPQILFGVLPYVTGELLTNQLETRLKAMNLVGDMISIPGTSIPEAFQPMFSEFLKRLSDRVVEVRMSALEHVKNCLLLNPFRAEASQILSALCERLMDFDENVRKRVVTVICDVACHALNAIPLETVKLVADRLRDKSLLVKKYTMERLAEVYRVYCEKSCDIANLNEYYWIPGKIIRCFYDKDFRSDIIESILCGSLFPVEFSISDIVKHWVGIFSGFDKVEVKALEKMLEQKQRLQQEMLKYLSLRHMHQEKDVPEVQKKIIFCFKVMSHSFADPIKAEESFQILDQLKDANIWKILANLVDPNTSLHQARSYRDDLLTILGVKHRLYEFLNTFSMKCSYLLFNKEHVEETFVQIAAQKSAENAQRTQSCMNLLVIIARFCPLLLNGSEEELVNLLKDNNDIIKVGALNTLAKAGATIRKQLSVKSSSVDLMLETLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKKLVGMLEEKTHLPAVLQSLGCIAQTAMPVFETRENEIKEFIINKILKSDGNDHTRTSWGDKSDLCMLKIFGIKTLVKSYLPFNDAHVRLDIESLLDILRKMLSYGEISKDLQSSPVDKAHLRLASAKAVIRLSRVWDQKIPVDLFYLTLRVSEISYPQAKKFFLNKVHQYVKDRLLDAKYACAFLFNIFGSKPHEFAEAKQNLADIVQMHYQAKTRQIPVQSDASSLTIYPEYILPYLVHTLAHNSCPSVEECKDVGAYDNIYRQLHLMLSILLQRDEGAKSEVTTKKEKEIISTIASIFKSIKLSEDTVDTSKTKTNHAICDLGMAITKRLVQKDVDLQELSHLVSLPPMLYKAFEKKEGDDTMVSEVKSWVVDDTALAHFESLELEMVQSQSAEDEASKDNEEIEIPLGVMLKQIKSKRINGKKVKKIKSVPAETEKVENDFSILNTARQINLDNLGSPTNVEQCNGHEHSLSKKTSEDPENATGQKRKTGETTPAPLSKRSRPSSARGKLRLSTTTASRRVSGENSPPAKLVLNADINTDTDSDMQKITLKDFLVSSLKQKVKGSESYHSDESNKHDEYDMKSHDDLEQSEKTTSNNSKASTRFSKKNKRKSTAGLTKCTMEKGEIDPEDLIGCRIKIWWPADKKFYGGTIKSHDCLKKKHVILYDDGDVEILRLDKERWKLLDKGRKSTKKIKRSSLETFGRKHNGSPSKKKKKIVNDKQSPSKPIKPRKKYASKSDIHQEEAKETSESSNHEETMTSEADEMSGGSEVELTSGSDEITTKGKKSNKKVRSVSRRKKLKKVKNFRNMGESDEDKQDYSERSSEDQESVPQYGSDERNADESTEASRENVNDKEESESEEDQDNSNVGDSPGETNKSHIEPSSSDDVDIADISDDAPLSKWKGQTGKKSSEKTR >CAK8579617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712894366:712895738:-1 gene:gene-LATHSAT_LOCUS31548 transcript:rna-LATHSAT_LOCUS31548 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLLFLVTILTMTTSSFSDTNSYIFGGCSRLKFSPGSNYETSVNSILSSLVNSATFTTYNNFTVPGATASETVSGLFQCRGDITNDQCSTCVERAVSQLGTLCFETYGGALQLEGCFVKYDNVKFIGVDDKTEVVKKCGPLIGLTSDARDDVLAYLESSDGVYKTFRSTSSGDFRGVAQCTGDLSSSECQDCVSDAIQRLKSECGGSTWGDMYLAKCYVRYSEGGIHSRGHNDDENDNDDEIEKTLAILIGLIAGVALIIVFLSFLSKVCEKQRGGK >CAK8560838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49058464:49060420:1 gene:gene-LATHSAT_LOCUS14483 transcript:rna-LATHSAT_LOCUS14483 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKMGLKKGPWTSEEDEVLVSYIKKNGGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFKPEEEKLVIQLHAILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLISKGIDPQTHEPISSSHYYPISKSNSSIATRHMAQWESARLEAEARLSKDSPLLNNNNNTPLASNKSDSDYFLKIWNSDVGQSFRAVRKSDEDDDDDKTRCISSMSQEGSSCNYNKCGSVSATNTTTATDLASSTHASNVKEDFEWRNYKLFDHVGCDDSSSSNDLEDSSDTALQLLLDFPSNNDMSFLE >CAK8567223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495913277:495915868:-1 gene:gene-LATHSAT_LOCUS20293 transcript:rna-LATHSAT_LOCUS20293 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSTPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARQALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEVREFENFESFESMHLMRNGSDMSEEGNMFALEDMTTKKRKDVDSKHDDREFLDSSSSKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLSRENVASHLQKYRLYLSRLQKDNEQKSSSSGMKHSDLPLKDVGSFGFQNSAEKQQNDTSIDRYNYSEGTLQHQNMETKSHESNPKGIVPHSTTAEKVKAFVPLESEGKHAAFQSTMSTTQYSWTEIPKTQLKKEQKPLVHPEDNFNLLPLHGKQHNIQVDQSQSIASISSTPSMAKQDVTACIETKPRFSDYKKDYTSSVSSMRSSVDTFPFQPGNLMMNYQPSQPTSTTNIGLKTQSYNNLSCISDLESYQRNLLFGGEAASAAPLDEDLYFYWHNMNFGQQNIEMPEYYDPGPLTEIPNHLYESADYSVIDQGLFIA >CAK8538607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488929693:488930049:-1 gene:gene-LATHSAT_LOCUS7788 transcript:rna-LATHSAT_LOCUS7788 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKAVAATIRLRVPAGGARPAPPVGPVLGQYRLNLMAFCKDFNARTQKFKPDTPMAVTITAYKDNTFEFIAKSPSISWYLKKAAGIELGSTRPGHVTSTTLSLNYYHVHSLKKGNV >CAK8567432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513664408:513665493:1 gene:gene-LATHSAT_LOCUS20486 transcript:rna-LATHSAT_LOCUS20486 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEVKFWNNAAFDGDDFTMKTSWSSSSDCTKENISPTALNMNIATSKKNRKKAIDDEIAEVEFEIKRLTSKLEYLRLEKVASEKRVSGIGTGRVIAAKFMEPKKNGVVFKDDNAVKGSGNGVVFKDATPKRSGVRNSGVLKEDTPRPRMNWRRGMSLGPGEIAGKMIAPAVTPATVNRRKSCYGKPQEILEESRRKTICKVSSVAVGSVKREVKKKEEEIVQPRKLFEGEKSVKKGVKQGRVVASRYNSGGVGDAKKRSFSENNKGFGSEIRVKKRWEIPIEEVDVSGFAMLPKISTLRCIDESPRDSGAAKRVAAMNGKKSYFCDDGGDNVMAVEGEGNVCQVLKFAEGDDDDDDGKQG >CAK8542387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507551391:507554563:1 gene:gene-LATHSAT_LOCUS11228 transcript:rna-LATHSAT_LOCUS11228 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDLISNTNFTSFIASGNYQLRKDLSLQRGSIFSVHHGGQIAKNLFARKISHIEQREVYGLGVRKLSKVNRACVHYRSEEYDIDETKVVPVVSDEGTGEALVSPWWRRLPKRWLIVLLCFTAFLLCNMDRVNMSIAILPMSQEFNWNSTTVGLIQSSFFWGYLLTQILGGIWADKLGGKVVLGFGVVWWSMATVLTPIAARLGLPYLLIMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLSLVYSGMYLGSVVGLAFSPFLIQNLGWPSVFYSFGSLGSIWFAFWLQKAYSSPKDDPDLGAEEKRLILEGGVSKAPVSDIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSKGVSITAVRKIMQSIGFLGPAFFLTQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNIFSTGEKILD >CAK8539039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502257128:502257709:1 gene:gene-LATHSAT_LOCUS8176 transcript:rna-LATHSAT_LOCUS8176 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNLFHSRNFKFLLSFSLFCLLFSLLFQSLNPFLMQYYYIFYTIDKCYVFLLSNTLLAFIALCSTIFNTSSSNTHDSDKSNRFEFYISEPQVTESIDSYNILETEAPKENEEEKSWMIVEQENVISEAEEAEEEEEENAVMIIDEDETDELNKKCEDFIKKMKATFCSDSYVEKSHYFNNHHNQNSLVLVN >CAK8544140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666875874:666876638:-1 gene:gene-LATHSAT_LOCUS12843 transcript:rna-LATHSAT_LOCUS12843 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTNDKNNNGSYPPPQNPPPGGYPVDVPYPYAAPPPPSSYPTTTAFYQQPTTTFTYHQPSTRVKSILCGFIFVITVILGITILIGYFNLKPRAPEFRVDSASLTSFNINASGLTAKWDFTLTVSNPNKKIDFSYEAIAAGVFYDGENDLGLLASTRLAPFRQPTQSKTSFQVEFAVVNEFLDNRVANGIAGGRVRGVVNFALAVNAVIKLSGWLHPGNHKFKVACEPLNFAISSLDNNNATGQLLRGVTCD >CAK8541227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92166145:92167005:1 gene:gene-LATHSAT_LOCUS10160 transcript:rna-LATHSAT_LOCUS10160 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQPRKRLIIKLKYPPGSRKHDSDSCGRDENKRRKIEDSVKPIITCYWVDSNYRTKSSALSQPKNNDNVVEDKKMIKNQVSKTTALAQPEDNDNVFEDKKTIKNKVFKTRALSQPKDNDKKVIKNQVSNTIMPNNIVVENKNQVSKTEIAFNGWKESSRGELMECVKRRQCWLILKRMMVDRDGWDLKDPPKIEMIDKSESKSKAIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFEHKWKSLKDTWKLEDRKRSKTHKSTRY >CAK8577703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584050970:584052557:-1 gene:gene-LATHSAT_LOCUS29785 transcript:rna-LATHSAT_LOCUS29785 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKTLTLLLLFLRLTFFTSFAQELESLPPTYPPHTPAPLHPPTKSPVHPPANPPHHHHHHPNHSHSPSPTPVHTPYPPHSPAPLHPPTKSPVHPPANPPHHHHHHPNHSHSPAPSPVHTPYPPHSPPPLHPPTKSPAYPPAKPPTHGHHHHHAPAPAPAHTPVVPTHPPAHPPTPAHSPAHPPPPAHSPPHPTPIPRSFIAVQGVVYVKSCKYPGADTLLGATSLLGAVVKLQCNNTKYKLVLKDETDKNGYFYIEGPKSITSYAAHKCNVVLVSAPNGLKPSNLHGGVSGASLRAEKAFVSKGLPFVLYTVGPLAFEPKC >CAK8577704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584050970:584052551:-1 gene:gene-LATHSAT_LOCUS29785 transcript:rna-LATHSAT_LOCUS29785-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLTLLLLFLRLTFFTSFAQELESLPPTYPPHTPAPLHPPTKSPVHPPANPPHHHHHHPNHSHSPSPTPVHTPYPPHSPAPLHPPTKSPVHPPANPPHHHHHHPNHSHSPAPSPVHTPYPPHSPPPLHPPTKSPAYPPAKPPTHGHHHHHAPAPAPAHTPVVPTHPPAHPPTPAHSPAHPPPPAHSPPHPTPIPRSFIAVQGVVYVKSCKYPGADTLLGATSLLGAVVKLQCNNTKYKLVLKDETDKNGYFYIEGPKSITSYAAHKCNVVLVSAPNGLKPSNLHGGVSGASLRAEKAFVSKGLPFVLYTVGPLAFEPKC >CAK8570277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33597410:33599646:-1 gene:gene-LATHSAT_LOCUS23040 transcript:rna-LATHSAT_LOCUS23040 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKMALLFFYSETFMIGAMFFISIGAEPVADKQALLDFLHNMNHSAHLNWNKSSSVCKKWTRVTCNTEKSRVISLQLQSVGLNGSIPPNTLSRLKALQNLNLASNSITGFFPSDFYKLENLTFLFLQFNRFSGPLPLDFSVWNNLTVVDFSNNGFNGSIPLSVSNLTHLTSLILANNTLSGEIPDINIPSLKDLNLENNNLSGVVPKTLHRFPSLAFSGNNLNFVNVYPPNSHKKRKKTKGLKEQVLLGIIIGGCVVGILIIAVFWIVCCYKKHGEAGQLVKSQKNKENFSDKKEASESLEGNKIVFFEDCNYVFDLEDLLRASAEVLGKGTFGTVYKAALEEATTVAVKRLKEVTVGKREFEQQMEMVGRIRHENVAALRAYYYSKEEKLMVYDFYEQGSVSAMLHGKRGADRIPLDWETRLRIAIGVARGIAHIHALEEGKLVHGNIKASNIFLNSKGYGSICDIGLATMIITPTSPRATGYLAPEVTESRKATPAADVYSFGVLLLELLTGKSPLHVGEEVVHLVRWVNSVVREEWTSEVFDLELLRYPNIEEEMVEMLQIGMACVGRIQDQRPKMDEVLRMVEDIHRGNSGNRLSTESRSDGSTPITPHVIETPISLPH >CAK8536017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898674016:898678373:1 gene:gene-LATHSAT_LOCUS5421 transcript:rna-LATHSAT_LOCUS5421 gene_biotype:protein_coding transcript_biotype:protein_coding MMATNPPIQIAILGAGTFVKSQYLPRLSEISNLFHLKAIWSRTEKSACSAVEIANNQFGEVECKWGDNGLHDIIQDPSIIAVIVVLAAQHQVDISLKMLKAGKHVLQEKPAASCIDELETALSTYKSISADAPGQIIWSVAENYRFEPALVEAKKLIADIGKMMSVQVIVEGSMNSSNPYFSSSWRRSFTGGFILDMGVHFIAGLRMLVGCEVVSVSAMTSHVNLILPPPDNLSSVFHLENGCSGVFVMVVSSRSPKILWRVVGMNGTLQIERGFQGQHGYLVSLYDANGQCKSSFFPFSGVTEELKAFFNDVSENTVKKDSQFVPERRLSFVEGARDVALLEAMLESGSRQGEQVLVKKLG >CAK8536018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898674019:898678373:1 gene:gene-LATHSAT_LOCUS5421 transcript:rna-LATHSAT_LOCUS5421-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPPIQIAILGAGTFVKSQYLPRLSEISNLFHLKAIWSRTEKSACSAVEIANNQFGEVECKWGDNGLHDIIQDPSIIAVIVVLAAQHQVDISLKMLKAGKHVLQEKPAASCIDELETALSTYKSISADAPGQIIWSVAENYRFEPALVEAKKLIADIGKMMSVQVIVEGSMNSSNPYFSSSWRRSFTGGFILDMGVHFIAGLRMLVGCEVVSVSAMTSHVNLILPPPDNLSSVFHLENGCSGVFVMVVSSRSPKILWRVVGMNGTLQIERGFQGQHGYLVSLYDANGQCKSSFFPFSGVTEELKAFFNDVSENTVKKDSQFVPERRLSFVEGARDVALLEAMLESGSRQGEQVLVKKLG >CAK8567763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542387227:542387673:1 gene:gene-LATHSAT_LOCUS20786 transcript:rna-LATHSAT_LOCUS20786 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKIAEKKPAEEKKSTVAEKSPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8573463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614214943:614217245:1 gene:gene-LATHSAT_LOCUS25909 transcript:rna-LATHSAT_LOCUS25909 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELSTATTLKPYNLLQTSTSSPKTPPTIFFTPLTSFRHKTLLQTHRRSRTTPFTVCVLMEDPKHTTQINTEEKTELPNSKPNPQFSVSQKLARKKSQRSTYLVAAVMSSFGVTSMAILAVYYRFSWQMAGSGEVPWSEMFGTFALSVGAAVGMEFWARWAHEVLWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFNKGLVPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIANVPYFTRVAAAHQLHHSDKFKGVPFGLFLGPKEVEEVGGLEELEKEIRRRTRSYTGS >CAK8532483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269447158:269448906:-1 gene:gene-LATHSAT_LOCUS2184 transcript:rna-LATHSAT_LOCUS2184 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFVTRLSLIVFIPLILSLLFLILFQKEPSMASYEIFGVKIEKNPSKSKLNELGVSSWPKWEGGPLKIPWFFENEETMYLLEGKVKVTVEESVGSFGIGGGDLVVFPKGMNITWEVTEPVKKHYSLKKE >CAK8530186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4788322:4789046:-1 gene:gene-LATHSAT_LOCUS74 transcript:rna-LATHSAT_LOCUS74 gene_biotype:protein_coding transcript_biotype:protein_coding MISILSAVVALFLAQPAHPLDYYAYAQQWPNGACMNPAKKCNAQLPTTFTIHGLWPSNIVKPHPDSCAKSFNSSLINSLVPQLSNVWPNIEKGNTNVRFWGYEWNKHGSCSPFSQYNYFNHAISLYNQNNLMSMLAAQNILPNGTSHPPQDFINAIQLDVHVQPLLVCVNRNYLAEIHLCFDAAASIHINCPRPSSPTCSNSVIF >CAK8561377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:178133660:178133971:-1 gene:gene-LATHSAT_LOCUS14977 transcript:rna-LATHSAT_LOCUS14977 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIQAQNARDRRQQMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPG >CAK8531170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86417343:86427779:-1 gene:gene-LATHSAT_LOCUS978 transcript:rna-LATHSAT_LOCUS978 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTVDCCATQLIDGDGVFNVSGLDNFIKTSNMAACGLSYAVVAIMGPQSSGKSTLMNHLFNTSFREMDAFRGRSQTTKGIWMAKCTGIEPCTIAMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPILREDIQKIWDAVPKPQAHVHTPLSEFFNVEVTALSSYEDKEDKFKEEVAQLRQRFYHSIAPGGLAGDRRGVVPASAFSISAQHIWKVIRENKDLDLPAHKVMVATVRCEEIANEKLDLLRSDKGWLELEEAVQVGPVQGFGEALSSIIDTYLSLYDEETIFFEEAVRKAKRKQLESNALDIVHPAYTTMIGLLRSQALDDFKTKLDQSLNNGEGFASSVQTLTHSILHEFDKGSADASVRQASWGASKLRDKLRRDIDSHALSVRDAKLLEITTNFEKQLAKALAEPVESLFEAGGKDTWVSVRRLLKRETEVAVSEFLDRIAGFELDEETVEKMQQGLRDYARKLVENKAREEAGKVLIRMKDRFSTVFNHDNDSLPRVWTGNEDIRAITKDARSASLKLLSDMAAIRLDEKPDQIDRVLQLSLINKTSAAASTQYTDKEASVDPLASSTWEEVSPGDILISPVQCKSLWRQFQGETEYTITQAIAAQEAYKRNNNWLPPAWTIVAMVIFGFNEFMMLLKNPLLILGIFVAYLLGKALWVQMDVAGEFRHGTLPGLLSISSKVFPTVMNLLKRLAEEAQGNPAPEATEQHRSDSQIFKSQVQTPDSVSSSISNSVLSSVGSSNDDSEFSTTNLLQRQRTNVSEAES >CAK8535472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851339236:851341776:1 gene:gene-LATHSAT_LOCUS4933 transcript:rna-LATHSAT_LOCUS4933 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDFTHKLCTSLMLNTFSSAGNPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILIAYRRPRQECLAHQSFVVQHSFSPEIGTHGIPMNNFSRSGSGGVNLSRLSVGMDLKEPVSSVWSSTSSIKFEHVRPFNDDGRSISRDYDGFALTYSGSPHDSMVVLKHESRFAKANDHSFFHFNLQIEQGIPVLSKMIIFNRFKFAASKGIKLGPTLLLTRLTGGSIVGDMAPYQAFSIGGLGSVRGYGEGAVGSGRSCLVANSELTLPLNKVLEGAVFMDCGTDLRSGYLVPGNPALRQGKPGYGAGMGCGVRLKSQFGHFQVDYAVNAFKQGIFYFGLSNLAS >CAK8536972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46214188:46217385:-1 gene:gene-LATHSAT_LOCUS6293 transcript:rna-LATHSAT_LOCUS6293 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKELGLFLGFDQHFNSLSSLLTTIKATLEDAEEKQFTDRAIKDWLLKLKDAAYVLDDILDDCATQLLEMESKGLSHKVQTSFLSSFHPKNVAFRYKMAKKMKNIQKRLDELAEERSKFHLTQIVREERSGVLDWRQTTSIITQPQVYGREEDKDKIINFLVGDVSSFEDLSVYPIVGLGGLGKTTLAQLIFNHEKVVSHFELRIWVCVSEDFSLKRMTKAIIESATGHACAELDLEPLQRKLLDLLKGKKYLLVLDDVWDDGQENWPRLKSVLACGVKGASILVTTRLLKVAAIMGTMPPHDLSILSDTDCWELMKQKVFEPNEEEREELVVIGKEIVKKCGGVPLAAKALGGFLRFKREKIEWLNVKESQLWNLQGEDHVMSALRLSYLNLPVKLRPCFALCALFPKDEIIDKKFLIDLWMANGFISSNGMLEAEDIGNEVWNELYWRSFFQDIEKDDIGKIEKFKMHDLVHDLAQSIAEEVTCCYREPSQSKRILHLSTYDEESYTMVGSTQLHGIKSLRTFLMQQYNCSPPQVLKCYSLRVLDFQRMEKLPSLIFRLKHLRYLNLSRGIFQTLPESLCKLRNLQILKLDHCSWLQSLPYGLVQLKALQHLSLKGCHSLLSFPPHIRKLASLKTLTMYVVGRKKGFHLAELGQMNLKGSLYIKYLERVESVMDAKEANMLRKQVNNLELEWEINEDSQLQENVEEILEVLQPQIQQLQCLVVVGYTGVYFPQWMSSSSLNILTTLQLLDCESCLHLPDLGKLPSLKNLEVRNMSHVKYLNEEDSCNGGGAGGFTKLEKLELEYLPNLVKLSREDRDNMFACLSKLKITECHVLLELPCLPSLSNLLVRGECSQHLLNSIHKCHTLEKLRFSDNEELSFFPDGMLRDLTSLKIFNINDCENLKSLSDEVSHGLHFLKRLSIRRCQKFNLSESFQYLTCLEELIITSCPEIEGLHEALQQMCALQSLTLGDLPNLASLPDWLGNLALLHKLEIGDCPKLTCLPISIQRLTSLKKLEIYRCGELVKRCKENTGEDWHKIAHIPDIYIGV >CAK8531803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153285606:153286170:1 gene:gene-LATHSAT_LOCUS1568 transcript:rna-LATHSAT_LOCUS1568 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQHVLWCYFIFLVGTQLFVDTSSTYTDIAYLRYFLDSTWTHEYNRGEDTLAYTYSRLGEGCLWKTRIVTGSVSLIVAWIFHRFPMNAEWGSVFGYTELMLCARAFLPLRRNQAVDPYRVYLDRLAAEEIHHDVYADHHVTRPFDDISLFSGWLVCN >CAK8578732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650528639:650529189:-1 gene:gene-LATHSAT_LOCUS30718 transcript:rna-LATHSAT_LOCUS30718 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHNSSSLPILTKQWKRKRKLVLLKLNRSNEGSRKGFKNGESGNVLRHVLSSFRFLAIHGQGPCLDRSSSRRKAREVGEGSGDQKGQRRIAAAAS >CAK8574231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671142397:671146910:-1 gene:gene-LATHSAT_LOCUS26591 transcript:rna-LATHSAT_LOCUS26591 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHIPSPFGDPPPNLPSSDLRETAYEILLAACRSSGPKPLTFISQSEKGVRDPADPAPVASLHRSRTSIAASKVKKALGLKSSSLKSKRPVTTGEVVRLQMRISEQSDSRIRRALLRIAAAQLGKRMESVVLPLELIQLFKSSDFPDQQEYEAWLRRNLKVLEAGLLLHPRLPLDKADTSAQKLRRIIGGAIEKPINFTSSGESMQTLRSVVISLSCRSSDGSVPESCHWADGFPLNLWIYQTLLEACFDGHIETCVIDEVDEVLELIKKTWLILGINETLHNICFTWVLFHRYVVTREVENDLLFASCNLLEEVEKDTKAMKDPSYSKTLSSTLNMMLGWAEKRLLAYHDTFHSGNIESMESVVSLAALSAKILAKDISNEYNRKKNPADVACTRVENYIRSSLHAVFIQKVEKVDPRKHPSRKQNKPFPILSVLARDITELAFEEKDIFSPKLKRWHPLAAGVAVATLHVCYGNELKKYVKGITELTPDAIEVLMAADKLEKELVQIAVEDSVDSEDGGKSIIMEIQPYEAEAIIATLVKSWINIRVDRLVELVNRIMHQEAWNPQENKEGFAPSAVQVLRFIDDTVEAFFLLPISMHTALLPELISGLDKSIQQYILKAKSACGNRNTFMPTLPDLTRCSTKGKYHGVFRKKEKPQMTQRRKALVRTTSEHSSFDIPHLCVRINTMQRIRMELGVLEKRIVANLSSSNSVNEDNIANGASFKFSAVAAVEGICQLCECIAYKAIFEDLCHVLWDSLYVGEVSSARIEPFLHELEQYLEIISSTVHDKVRTRVIIEVMRASFDGFLLVLLAGGSSRAFSLQDSIVIEEDFKLLSDLFWSNGDGLPAELIEKHSATIRGVLPLFHTDTQQIIQQFIQLTKEMYGSSTKSRLPLPPKADQWRPREPDTLLRVLCYRNDEAAAKFLKKNYNFPTKV >CAK8574493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:727631:728664:1 gene:gene-LATHSAT_LOCUS26836 transcript:rna-LATHSAT_LOCUS26836 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFLAMFLLIISGAQAISNEDEDFNVNFTSENRSIIQTSEQVQNLLGNLYGNGNIDVSTRLVESNNEYKHELSVTKRKGGRGGGGRAGRGRGRGGGGRAGGRRGGGGGRAGGGSGRGRAVGGGAAAGVLGAGIIGGSNGYHGTHHSNNSATSLSAGPQVCVSIFILCLSFWR >CAK8560083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7029484:7035324:1 gene:gene-LATHSAT_LOCUS13796 transcript:rna-LATHSAT_LOCUS13796 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSNPKWIHDVFINFRGEDTRPGFISHLYAALKNSGVNAYIDRHLPKGTELGRELSRAIEGSHISIAVFSKRYAESSWCLNELKKIIECHRSHGQVVVPVFYDVDPSEVRHQNGDFGNYLRDTAKEKYIDSGEERMEYVLSKWRSALTEAANLSGWDVNNCRNEAELVQQIVEDILTKLDIASLSITEFPVGLESRVQQIIAFIENKSSRVCIIGIWGMGGSGKTTTAKAIYNRIHRKFVDKSFVENVREVCEKENRGIIHLQEQLLSNILNTKEKIYNIALGTTKIEKIFWGKKALLVLDDVTTFEQIKSLCRNPKLFGMGSVLIVTTRDVRLLNLLKVDFLCTMKEMGKNESLELFSWHAFRQPSPIKDFFELSRKLVAYCGGLPVALEVLGSYLWERTKEEWISVLSKLKTIPNDQVQEKLRISYDGLKDDMEKHIFLDICCFFIGKDRAYVSEILNGCGLHADIGIPVLVERSLVKIEKNNKLAMHDLIRDMGREIVRKRSAKEPGKRSRLWSCVTAHDVLTKHTGTETVEGLALKLQRTNRVCFNAHSFNEMKNLRLLQLDCVDLTGDYGYLSKELRWIHWQQSTFSSIPNDFYLGNLVVIDLKRNRIRQAWNETELLGNLKILNLSHSKYLKRTPDFSKSPNLEKLIMKDCPNLSEVHQSIGDLNSLLLINLKDCTSLSDLPKKIYQLKSVKTLILSGCSKIDKLEEDIVQMESLTTLIAKDTSIKEVPCSIIRSKSIGYISLCGYEGLSCNVFPSIIWSWMSPTINSLPRISPFGNISLSLSSTNLHNNNLGLLSPMVISLSKIRTVWVQCRSKIQLTQELQRVFDQYDVNVSELETTQALQISNLFFRSLLIGMGSCHIVIDTPGNSLSQGLTTNDSSDFFIPGGNCPSWLAYTGEGSSAQFQVPEDIDCHVKGIILCVVYSSTSENIGAECLTSVLIINYTKCTIQIHKRDTVMSFNDEDWKNVTTNLGPGDDVEIFVAFGHGLIVKETVVYLFYDKSITMEFEQSIIMEIEPSTNMEVKPSEEVNVQPSPVVGLQPSPVRVEASITKEIEQSITMEVELSTNMETEPLAEMNLQPLPEVNMQPSPNVTVEVSSNMEIKTLEEVNMQPLPVVDMQPSPVMDVQPSPNVKVEASITVEIEQSITMEVELSTNIETEPLAIMDVQPSPEVNMQPSPIVTVEASTNVKTDPSPKAKVHSSATMKTNPSPTPNRSILTRLAKRIGTCLCLN >CAK8539710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522529234:522529671:-1 gene:gene-LATHSAT_LOCUS8783 transcript:rna-LATHSAT_LOCUS8783 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLKTIELYVRLDDEAYPTQSSYSHQYGVSQTTDEEIMQNNEPFIRDEEVGEYSDDELHDVHFEDLFDDGDEEDVFPVHSQVINAQPINLYNPPAHMSNICMESSQPIYIFENDKPNHTGENMEVGLVFENKEAFILFFTTLPY >CAK8542371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506126370:506133489:-1 gene:gene-LATHSAT_LOCUS11215 transcript:rna-LATHSAT_LOCUS11215 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEVFEFLGCVPLLQRLPKSSLQNLSQLVIIKNYEPGDYVVREGEPGEGLYFISEGEAEVIGSGITDNEKDHEFQLKRYDYFGFGLSNAVHHADIIALTKLSCLVLPHEHLALLQPESIWSAEKSLERCSPVEHILHLEPIEVDIFQGITLPDAPKFGNVFGGQLVGQALAAASKSVDCLKVVHSLHAYFLLAGDVNIPIIYKVKRLRDGKSFATRKVDAIQKGNVIFTLLASFQKEELGFQHQEVPMPSVPTPDELISMEELREQRLTDPRLPRTYRNKVATAKFIPWPIEIRFCEPSPSTNQTKSPPSLKFWFRAKGKLSDDEALHRCVAAFASDLVFLHVSMNPHRRRGLKIGVLSLDHSMWFHRPIRADDWVLYDIFSPNAFNARGFVTGQMFNQKGELLVSLVQEGLARNRIPKKSATNSKL >CAK8576594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496418764:496420006:-1 gene:gene-LATHSAT_LOCUS28770 transcript:rna-LATHSAT_LOCUS28770 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLAEELYAESLQFSKLELHSNPADDGHGHKLKDCDGDNLNLDDSLWGDSDDDKANKSSDLDREWQRRRDQFHTIGYREGLMAAKEASAQEGFNIGFKQSVHAGYNWGVVRGVASAFAHLPNQLKEKLVESLEKRNEFQELYESVQSLSTTDALRLFHEDFKAQEASEQNEHADVSGHTVSLHEQISHNSPLTNYRAQLESLICDTPAIDSHLPEPK >CAK8562933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558754649:558756116:1 gene:gene-LATHSAT_LOCUS16393 transcript:rna-LATHSAT_LOCUS16393 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSSSIFFLFSLLIPSLIFSSPLQDSHSVTQEVNRKINGSLARRNLGYLSCGSGNPIDDCWRCDPNWENNRQRLADCAIGFGKNAIGGKNGKIYVVTDSGNDDPVTPKPGTLRYAVIQEEPLWIIFARDMVIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIIHGIHIHDCKQGGNAMVRDSPGHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSFTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDDRFSKEVTKHEDAPDSEWKGWNWRSDGDLMLNGAFFTPSGAGRGASSSYARASSLSARPSSLVGSITTGAGVLSCKKGSRC >CAK8575967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:375227417:375227794:1 gene:gene-LATHSAT_LOCUS28189 transcript:rna-LATHSAT_LOCUS28189 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTFSGEQPELDNNNDEIALANHPPTPVEENFGVEGSHSASGFNSPHHATIASATNSYFFDRISATWPEEKLLLAARNHQSPRISTDISNGVSKKMSAWGMVIVTAGLRGEIRTFQNFGLPLRI >CAK8539961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532377324:532378964:-1 gene:gene-LATHSAT_LOCUS9008 transcript:rna-LATHSAT_LOCUS9008 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRIFKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATASNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8560000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4775958:4783687:1 gene:gene-LATHSAT_LOCUS13723 transcript:rna-LATHSAT_LOCUS13723 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKTEKNDAAYRKSGRSSSFNQQRGPSGAHGRGSGGPAPSNNSANPLSSNRSFNKKSNNAQGGQYRVNPSQVNSTESNSASAARTLHNGTHVQPQLHGASDGPVAKASESTTAQRSPRVVPNPTSQPPPVSSDKTTPTGPAKGDASKAFPFQFGSIVPGFMNGVAIPARTSSAPPNLDEQKRDQAHHDSVRSVPSVPIPPVPKQQQQQPPRNDSGVTEKSNAKETNVGAKAKKDPQVPALIPASQMLKPSVPATGISMSTPFQQSHASLQFGGPNPQIQSQGMSSTPLHLPIPMPIPMGNVPQVQPPVFVPGLQPHPMHPHGIMHSGHNLSFTHQMGHQMPHQMSNMGIGISPQYPQHQAGKYTPRKTTTVKITHPETHEELRLDKRAGGYSDGGSSGARSHPNVPSQTQPVKSVAVSQPTNYHPYSSSPPYYQPSSSLPLTSSQITPNAQPPIFNYPVHNGPQGPQNVAFINSPSLSSLPVNQISPPIPSIAEPPIAERSRQVPNVTASASSGVASVTIKPNGVSAVKDSSLTNSSVCGVQNTEARSSVSCDASSPLPEKVSETFSEVSPQLSKSSEEILPKQSAASVVVTADKVTVLPTLAVPEDSVSVSVVTNNESSTREPISRSNSLKDNQKKPGKKGQSSKDQVSLQSPTVAIMPSRAVDSDISESGVSTPVGSETNHSPSAVAQVADSLSNHKHDLIDESSEDLQSADLPETTAKEINDSADNACSDSMSVSGTKDTPNLEPNKVKTTSKGKKKLKEILQKADAAGSTSDLYNAYKGPEEKKETVSISESTESEATSEGLKQLSADSAQLDATVSEKCGHSKVEPDDWEDAADMSTKIEVEDKSQQVIDGSGSTAKKYSRDFLLKFAEQCISLPDGFEITADIADVLVSANFSNSRDSHPSPGRTGDRSRMERRSNVVAEEDRWNKGSNSFHSGRGMDVTGSNGGPRHGQGGNYGVLRNPRGTAPLQYTGGILGGPIQSVGSQGGMQRSSPDGERWQRSPSFQQRGLIPSPQSPLQMMHRAEKKYEVGKVSDAEEAKQRQLKAILNKLTPQNFDRLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCSHLASELPDLSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVDEGEVKLSNEEREQRRTKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQCQDPDEEDVEALCKLMSTIGEMIDHPKAKEHMDVYFERLKILSNNMNLSSRVRFMLKDVIDLRRNRWQQRRKVDGPKKIEEVHRDAVQERQAQAQAGRMGRGMGNNQSARRNPMDFGPRGSSMLSPPSQTGGPRGMSSPARGYGGLQDARFEERQSYEPRTLTVNLPQRPLGNDSITLGPQGGLARGMSSRGSTTISNFSIPDVHSGPGDSHRMQSGINGYNNSSERMPHGSREDPASRYISDRSSSLAGYDHSNAPEHNINYGNRDLRNDDRNLGRPVATSPHPQLQGPVVSQNASSEKVWSEERLREMSLSAIREYYSARDVSEVAQCIKDLNSPNFHPSMVSIWVIDSFERKNTERDLLAKLLVKLGKSQDGLLTQTQFIEGFEAVLSDLEDAVNDAPKAPEFLGCIFAELITESLVGLNEIGHLIHDGGEVPGSLLKFGLAADVLGSTLEAIKHEKGDAVLNEILTSSNLQLESFRPPNNSSTSRKLEKFI >CAK8533003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564467419:564468408:-1 gene:gene-LATHSAT_LOCUS2656 transcript:rna-LATHSAT_LOCUS2656 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFEEPKKSLRPKRSNTFKGGNKKSERKNKFVGVRQRPSGRYVAEIKDTTQNIRMWLGTFETAEEAAKAYDEAATLLRGSNTRTNFVTHVSYDSPLASRIKNLLNNREKDIEKQVEEDLKVRNNTTNHDNTINSNTNRISISNTTNSANTISGSTNNIGASCVTNTSITVSSTTNTSDIVSGTTDSTGGANSVTSTSTTLSNNNIDGNIGNSIFSVMTMQSTRLFDDAYRPDMSNFNEYESSYNKSNVSWDFGPIFDNFPIGQGLDMVDELGVSEFERMKVERQISASLYAINGVHEYMENFQDCNEALWNLSPFCSFLCSNNTHQI >CAK8578506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633995808:633996326:1 gene:gene-LATHSAT_LOCUS30511 transcript:rna-LATHSAT_LOCUS30511 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNTFSIIFCFFSSFIFHTIASPTPTASPTPSASPDAKEIHDLLPDYGFPKGVLPNNVALYTISPSGYFTVHLDSPCYVHTSDRFIYYNTLITGTLTNGSVYGVSGVQTKILFIWLSVTGMKADSRSGMLEFFIGALSKELPANLFQNVPACSFMAGNVSPTAYFEDSAT >CAK8569842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13337796:13343260:-1 gene:gene-LATHSAT_LOCUS22651 transcript:rna-LATHSAT_LOCUS22651 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRATLKRLLSEAKQNRKNESTTTITTAPLPFSLSGSSSRYVSSVSNSILRGRGSKSDNNVSRRVGGFLGVGYPSQSRSISVEALKPSDTFPRRHNSATPDEQTKMAESVGFDTLDSLVDATVPKSIRLKEMKFNKFDGGLTEGQMIEHMKDLASKNKVFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMSMCNNIQKGKKKTFIIASNCHPQTIDICQTRADGFELKVVVKDLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHANEVKVVMASDLLALTVLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKQALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLAGVFALGLKKLGFEVQDLGFFDTVKVKTSNAKAISDAAIKSEINLRVVDGNTITAAFDETTTLEDVDKLFKVFAGGKPVSFTAASLAPEFQNAIPSGLVRESPYLTHPIFNTYQTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTDLHPFAPTEQAQGYQEMFDNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLSRGDHHRNVCIIPASAHGTNPASAAMVGMKIVTIGTDAKGNINIEELKKAAEKHKDNLSAFMVTYPSTHGVYEEGIDDICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPENPQPLGSISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLESYYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVAGTLMIEPTESESKAELDRFCDALISIRKEIAEIEKGNVDVHNNVLKGAPHPPSLLMADAWTKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLVCTLLPATQAVEEQAAATA >CAK8578213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612690858:612695587:-1 gene:gene-LATHSAT_LOCUS30246 transcript:rna-LATHSAT_LOCUS30246 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIHENLPAWLERLMGETFFGGCGVHQDRKKNEKNVLCLHCCLSVCPHCLPSHRHHPLLQVRRYVYHDVVRLSDIEKLIDCSNIQGYTINGAKVIFIKERVQSRSCKDTANVCCSCHRILQEPFHFCSLSCKVDDMLCKGESLSSILYRFNESDFSYHSQFVEGLRVDSSEVIDEDNAHFAPTTEEATCCSMNNNTISSCEEDHNNNKPNGNFFLSLGSCRRKGAPKRAPLS >CAK8570175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27637583:27640403:1 gene:gene-LATHSAT_LOCUS22950 transcript:rna-LATHSAT_LOCUS22950 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGGSWFSSVKKVFKSSSSSKDTTVPVSAPVQLDKKKENREKLENEVAEEVSFEHFPAESSPDDVTNEESTTSTPARDDRTHAIAVAEATAAASAAAVAAAQAAARVVRLAGYGRHNKEERAATFIQSHYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLSHENFEKEMDEYEEEEFVRQESFNKAMSPMRRSSGINNNNGLDHSKRQSSKKAKESDLKKHEAAMKRERALAYAFNYQQQQQKQHLLHGNKNGEDVDMRSYDPNDNEKAQWGWNWLERWMSSQPYNARNLGQRETSYMTLPSTTSTTTDNMSEKTVEMDMIATPSRNNFNMGLTGQDFRDASPTFNRAHQRPPSPGRPSYMAPTQSAKAKVRVEAPFKQRVSSGPNWNTSTKGGSVIGSGCDSSSSGGGTTTYQAPRSPGPRVNGVRSQSNRIVGSSQEYVEDWALPLGAHGWA >CAK8573378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606855038:606859088:-1 gene:gene-LATHSAT_LOCUS25832 transcript:rna-LATHSAT_LOCUS25832 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMSSSNSINSDSPSSPSSKPHFTTSNFLSVSDTSNFFADQASLADAVATPRTVDDVWREIVAGDHRECKEEIPDEMMTLEDFLVKAGAVDDEDVDDDVKMSIPMTENLSGSGVFSLDSSFQGIESVEGSVVGFGNGVEVVEGGKGKRGRPVMEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDRLIKEKAERKKERFKQLMEKVIPVAEQRRPPRLLRRVRSLQW >CAK8539315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509062840:509066934:-1 gene:gene-LATHSAT_LOCUS8424 transcript:rna-LATHSAT_LOCUS8424 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDFTELGEYRLRKRKEFEDLIRRVRWNLSVWIKYAQWEESQKDFKRARSVWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEVLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPRARNAYERAVEKLADDEEAEQLFVAFAEFEERCKEAERARCIYKFALDHIPKARAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKGRTREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLNQIPHQKFSFAKVWLLAAQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLAETERARAIFELAIAQPALDMPELLWKAYIDVETAECEFEKARVLYERLLDRTKHLKVWMSYAEFEATAIDECLDLSEQEQKEQCIKRARRVFEEALNYFRSSAPDLKEERAMLLEKWLNLEASSGELGDVSLVQSKLPKKLKKRRQISTEDGSSRIEEFIDYLFPEETQTTNLKILEAAYNWKRQKLSSADD >CAK8537212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:141147343:141148443:1 gene:gene-LATHSAT_LOCUS6518 transcript:rna-LATHSAT_LOCUS6518 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRNKGVEAYTNHMDCYYSTSDFLPCKKHPSSSSSGGGGGICAYCLKERLVKLVCSDCGEQRLSSCSCSDEITSNRNSCSVEVGSVGRVSFLIENEKNQTNLIQHLSSKAKMNEKEEEVVVLRRSSSSCVDIKRHGFWRIGKLFRKNKKKDCGTSVVGFDDNKSEMWMVDHHPHGGVSRSRSLCSFRGGAIFGSEDGGDSVLSGARSSISAARSSGVNGGLMLESGRRSGYSEAEPRRSDFFYEYENGRKSGVMEVDGSYINRRVFSLRESDFKGMDESGFIDLKLDYSSESKQHDFNNAKMADNNNTLSGSGFGSINVGDGGSSCRISVNDRGMKSGRRKNIKGWRWIFKYHSRKRDQDLMFKT >CAK8579081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673120522:673121769:1 gene:gene-LATHSAT_LOCUS31053 transcript:rna-LATHSAT_LOCUS31053 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGEKSKDRKDKRLQEISLLRTIPYSDHQRWWSKETIAVVTGGNRGIGFEISRQLADHGVTVILTSRDASVGVESIKVLQEGGLDVSCHQLDVLDSSSITQFSEWLKENYGGIDILVNNAGVNFNFGSDNSVENAQVVIDTNYYGTKRMIEAMIPLMKATAAGGRIVNVSSRLGRLNGKRNRLENDELREQLSDVENLTEELIDGVVTTFLQQVEDGTWKSGGWPRTFTDYSVSKMAVNAYTRFMARKLSDRPEGEKIFINCYCPGWVKTALTGYAGSITVEDGADTGVWLSLIPEQAITGKFFAERRDINF >CAK8570126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24556255:24556725:1 gene:gene-LATHSAT_LOCUS22905 transcript:rna-LATHSAT_LOCUS22905 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGVDGFPQRTSTEDTNGESEYVAAIDWRTGIRVSEKPFMSTVILLGWRFCGSRFFVGPQWLFESDDVDVDAKWNNRTARIKVVVGEVIAVVYGGFDDFEVVEFFIVNNGSLLVFVVIVLHGHMVMNGFGVEARGNRVLKLGFLAVLWKKMDEL >CAK8572117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512134943:512135607:-1 gene:gene-LATHSAT_LOCUS24716 transcript:rna-LATHSAT_LOCUS24716 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVKKGPRSYDELKTIEGFKHNSFREACFAMGFLEDDKEFIEAIKEAYNWGSRVFLRKRFVTMLLSASLNRPEHVWLYTWIYLSDGILYEQRLLSQNPDLTLSDEDIQQLTLMEIEKQLQKNRRSLKEFKPMSYPNNYVLDFLGNRLIYDERQYDIKAQEEIYHNLF >CAK8540430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559916896:559917316:-1 gene:gene-LATHSAT_LOCUS9435 transcript:rna-LATHSAT_LOCUS9435 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFDFVGDIDYSKETWCLVVRVINIWSVVNSKGIEDMEMVVMDVNGDRIQVLIQPDHITKWKLLLKEDMTCVINNGNVYDNDFQWKFCDNIKKFVFLSEINCFE >CAK8533119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580554681:580555635:1 gene:gene-LATHSAT_LOCUS2767 transcript:rna-LATHSAT_LOCUS2767 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERAKLHTALTFLQFCHAGNHIFLIIALNTGVSKLVFPVYRNITAFILLAPLAYFTEKKDRPPITSYCLLHFFLLGLVGITMKEGFYLVGLDNTSPTFASAMQNSVPALTFLMVLILRYERLRLNRINGIAKILGVVASVGGASIVTLYKGPTIYAPESCLAVHQRRFLFLFEKANGKILGLGGIFLFGHCLSWSGWIVMQAFVLKNYSAQLTVSVHNS >CAK8561711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:363407455:363410538:1 gene:gene-LATHSAT_LOCUS15276 transcript:rna-LATHSAT_LOCUS15276 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTDGSQKKRLVASICAVTIFIGFLYVYGGSIFGSQNSSSSALEYGKTLRKLGSSYLGADDDSDGKQDESSSSFGQGDEEDNFVPKSFPVCDDRHSELIPCLDRHLIYQLRMKLDLSLMEHYERHCPPAERRYNCLIPPPSGYKVPVKWPKSRDEVWKANIPHTHLAHEKSDQNWMVEKGEKIVFPGGGTHFHYGADKYIASMANMLNFSNNNLNNEGRLRTVLDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEENLRIWKEMSDLVGRMCWRIASKKDQTVIWQKPLTNQCYKEREPGTRPPLCQSDADPDAVWGVNMEVCITPYSDHDNKAKGSGLAPWPARLTSPPPRLADFGYSNDMFEKDMELWRERVDKYWSLMSQKIKSNTIRNIMDMKANMGSFAAALKDKDVWVMNVVPQDGPNSLKLIYDRGLIGATHDWCEAFSTYPRTYDMLHAWSVLSDVAKKDCSPEDLLIEMDRILRPTGFIIIRDKQPMIDFVKKYRIIGEQLYHKSCELYSKISSLEANIPRYYFDVRPLDANQLQNWHDYLDFIELQGDFDWAVKLYERCLIVCANYPDYWMRYADFVEAKSQQRLSGADRKKLKRTIRDKFPRASDSDRDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSVEALKAGKREKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRDIESVQGAEGTFDLNSLNANQLPVAGSFPDHSTPNKSSQQTSLNISPSEPQMKENEFYAPKVLDTNILCTTSLLHTIANKKQHSPQTIPCCKTAPHQTNRQYSAQTVPVASRHTSWQYLLWLVQTAGKQHARQFLHMKATDMK >CAK8538276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474503769:474504365:1 gene:gene-LATHSAT_LOCUS7491 transcript:rna-LATHSAT_LOCUS7491 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGLILRHSIALVTILVTVCCIFIVIVTFFKLPEVQNKRNQKMGFYPITRSRKVSIQDFNLCKFGEMMIEMLPQDLAFTVFVPSEEAFKRDLHLNVDDSLKQDKFNDTYAIVSRVLGFSAVPRTVWSVDLRFGEVVNHESLSGFSLYVSKDVDGMVVVNRIRSKIVDVRQNGIVVHILDGVIMDADFEQSVLSEED >CAK8570288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34358794:34382548:-1 gene:gene-LATHSAT_LOCUS23050 transcript:rna-LATHSAT_LOCUS23050 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEDLYNVMCAMVPLYFAMLVAYGSVKCCKMFTPEQCSGINRFVAVFAVPVLSFHFISLNNPYQMDTKFILADTVSKVLVLFFLSVWGIFFARGSLDWVITLFSVATLPNTLVMGIPLLQAMYGDFTETLMVQLVVFQCIIWYTVLLFLLEYRAAKLLIKTEFPGNKASSITKFELDGDVISLYGQDAPLQALSETDENGRIKVRIRRSTSSAAAESTSSITTGITPTRLSNLSNADIFSINTPLNFLDNPSASPRFSGYASTDAYSLQPTPRASNVNETEICGTPVVGRSPVGGGRGLRGNSPVVEGGRMVWESPEKWQGEERQRCKDITMSDKEISFRDSLKVSMAGEAVDPKDQISSSQKMPYAFVMLRLILIVVGRKLSRNPNTYSSVLGLLWSLISFKWNIEMPSLIKSSIKIISDAGLGMAMFSLGLFMALQPRIIACGTRKAAMGMAIRFLFGPLVMSLSSMAIGLRGKKLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLISLPITLIYYIFLGL >CAK8534588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746263300:746263926:-1 gene:gene-LATHSAT_LOCUS4122 transcript:rna-LATHSAT_LOCUS4122 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKGGELQRINELHASYLAYQYPLILPYGEDGYRPNIAHQDLDIFQDNKRNRLTIREWLAFRIQNRSFEAKTLLSSRRLFQQFLVDGYTMLESERLQWIRENQPKLKVSKYNSLTEVGEQSETHGSSTGKRVVLPSTYVGRRRFMDQLYYDGMAICSKVGFPDLFVTFTCNPNWPKIQRLLRPLGLKPQDRPDVISRIFKIKFDQLL >CAK8536184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914805923:914807095:1 gene:gene-LATHSAT_LOCUS5577 transcript:rna-LATHSAT_LOCUS5577 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLYMLPLFSLLFSFMICCNNAEGPPSPGYYPSSKVSPTSFDHGFRNLWGPQHQKQDQGTLKIWLDSSSGSGFKSLHSYRSGYFGVAVKLQTGYTAGVITSFYLSNNQDYPGNHDEIDIEFLGTTPDKPYVLQTNVYIRGSGDGKIIGREMRFHLWFNPTQDFHNYAILWKPSEIIFLVDDVPIRKYPRKSDVTFPSRSMYVYGSIWDASSWATENGKYKANYTYQPFIGRYKDFKLQGCRTDSISSSCRPLSASPSGYGGSLSPQQNVAMQWVQKHYLVYDYCRDHARDHTRTPEC >CAK8576813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516308182:516309543:-1 gene:gene-LATHSAT_LOCUS28979 transcript:rna-LATHSAT_LOCUS28979 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSFSPIFNPCHTASKGKTFKFHTYNNSNVSVTITASAKRKRNLQSPLIGKNPSRSRPLITISPCDGKYDTEWTSDHLVSLRDLNLHDLIKGEDDPRKNAQVFINLSFQKHASFGLSVDARITTSFTSRCGNCSSTYCRQLDANFNIWVLRAASKDKQRSKTPLPEIGGDPNVIYTRPGYEVDLDSLVRDAIRINSLVQGTCSELCEKSEGTTLYSARRSEPSFDKRWSKLLELKKTIS >CAK8576513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486519822:486520346:-1 gene:gene-LATHSAT_LOCUS28695 transcript:rna-LATHSAT_LOCUS28695 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKVTHAQSQSLDHKTLTPPPTTIDDSSVQIQNLKNLNTVLLKETTDHRNRTQSLLHSNQAAMEVEAQISEVVEERDETKYELDLQKEKVNDLVLSLKNEKRNMEKIRLEVGHLLEEKLERERRVEELEKGKDLAVKKSVESEKVIEELKKKSVLSFFLFSLFLSFIRLPFF >CAK8578400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626425000:626425542:-1 gene:gene-LATHSAT_LOCUS30413 transcript:rna-LATHSAT_LOCUS30413 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRSMRSQSKLIDFVPTMGYLHQGHLSLITEAHKHANVVAVSIYVNPGDVQKLLSVPGGVEVVFNPKNLYDYGESGGSDGGVGGGEVVSCVEKSGLGHESWVRVEKLEKGLCGKSMPVFFRGVATIVAMLFNIVEPEVVVFGKKDY >CAK8574984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19559177:19560382:1 gene:gene-LATHSAT_LOCUS27278 transcript:rna-LATHSAT_LOCUS27278 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWKHVSLCKEEEEGITMASEEVCDGEIFQRTLAGKLWTDNHFNSRAFMSTAINAWKLKNPVELQELSKNVFLFRFTTKRDLENVLRNGPRSFDRNLLVSERISGGGGGQPSYLNMHYGVFWVRIYELPLMLHSEAMAKKLGGILRKFEEVDHKEVHRNRRFLRIKVTMDLKKLLKGGIIVHFKEKNLRVHFKYKRLLSLCFVCGRIGHQLKDCEGLGKIGEESFEDIDEQELSFGLWLRASPLPKVTEEQKKRESSSGNCSNNLFNNSSSYKKCDNRREGKDGEEVEVEQQAGNTFSEEVNVEASPTKQPGDCLVIEVVAESLGAIDISNMRNEKTSTIKGKTTKRKKWTRQKRTMKGYDNCTKNLEVETGKRQLVEVMVTEGEIEECESIDKKRKNLI >CAK8535430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847702591:847703380:1 gene:gene-LATHSAT_LOCUS4894 transcript:rna-LATHSAT_LOCUS4894 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLEDVPAKVWESIKRLGVEGNEDDEVFQGIIRKIETSDRVVAIVKKKGIADVCFLQETKCKSMKDVMVKSFWGDEDCLWSVSDSSGQSGGILTIWRAVFLTPLFSFRGSGFLGLSVIWRGKKCLFLNVYSSCVLSEKCKMWAEILDLKSKFFFGEWVVGGDFNSTKCKEEKRGKGGHSRLEIEEFKSFIELLGCDDLPIKGNSFTWFNGSGSCCSRLDRLLISPGLISE >CAK8530528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27738142:27738996:1 gene:gene-LATHSAT_LOCUS386 transcript:rna-LATHSAT_LOCUS386 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVSSRGIDWNQTLLQDQNLELPKASPMRKQQQQQQQNHQLEAVNCPRCDSSNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGVRKNKRVKKLTSPKTTISTSSKTTIPTTTTSITTPTPTTSNTKTTPSMYESLIHPPSFFPSQNLMSARDSSEGNNEEFGIGNGIFLSSSMDLPQNQSLIFPFSNSSSLRSSNAYNYGEELKVMEDSTINNTIIPSTGGAAGAITQPWENIPATASSGMEMSNYWSWEDIDSLVSTDLNVPWDDSDIKP >CAK8559939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2046998:2048228:-1 gene:gene-LATHSAT_LOCUS13671 transcript:rna-LATHSAT_LOCUS13671 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLSNQMDSSSSSSCYLARTLKTKRGKNLHYQPATWSMSSMITALPQHPSSPPPSHEDNATHFSTPRNQRLGMTYIENNCFSDIDEWLEHANKFCKTFDHHNEDKHAEQECDLRISAVCNNYLIAQEHELYNIMLPCVREETPLPANITSCINRHGGGGSRYQPYDELLNQERRRKHEGYKDVRNKAKHLQLMQRLRRKEEGINNWELQQTRKAMDHMDKIQNELERKQVMASAKTQKKIRSVREKAEKQKLKLRQSTMKKLKQVQIQETHSSSDTSWDSRLQLY >CAK8570968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:203033887:203035657:-1 gene:gene-LATHSAT_LOCUS23672 transcript:rna-LATHSAT_LOCUS23672 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKIDYLGRIQQNHILNGDCSSQCFDMRNASNIGQPFSMEQSSSSTIISRFESPNSAFYATEICMGFPSYDLEFPLYQCPRENIFLDSSTNQTSNNFDLSNLNPLQTLVRASQLNSDDQCCRSPEKSNGNFQHKFFIDEAASISMSPLIHSNGNQDHKVSCGSYDFPVSQLNFSYQQEKVSRTMSTGNLSTISGNPCGSSSVSSKTRIRWTQDLHEKFVECVNRLGGAEKATPKAILRLMESDGLTIFHVKSHLQKYRIAKYMPEPAQGKCEKRTHLENVQLDVKSGLQIREALQLQLDVQRRLHEQLEIQRKLQLRIEEQGKQLKMMFDQQQKTNISQLNTQNLDNTSNIDTQISPKDIEVSIFEGSENSLFPSKIS >CAK8576273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:441900590:441901720:-1 gene:gene-LATHSAT_LOCUS28475 transcript:rna-LATHSAT_LOCUS28475 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLTEQDAAHWVYRGEGAANIVVSYTGSSPSYIGKVMRIRKSPRKASTLPGLRNTIALSPHERLIWKEVHELISSSDKEIAGQLYIDHVMKPLLGSKYVDAGTHILVTKKFLETVEKNIDSQRPAWRIDVSQVDKQCDFALLMSDHSIFPQGSQGSSCSISVEIKPKCGFLPLSTFIYEGTAIKKKITRFEMHQALKLQRGEISQRSVYNPLDLFSESKERVHKAIKNLFTTPQNNFHVFLNGSLILGGLGGSAESTDACMAKVLEDELHSFIQAGNGKCTENLFTLVTEAVQKSGVFDQLLEVQKLGRFDIEGAIHEYYNITFQQCKVCKELSKEQAKKIFLFAFCVIGRKLENRKGLPDSSYCKRLQFDGMF >CAK8542517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522301595:522302912:-1 gene:gene-LATHSAT_LOCUS11353 transcript:rna-LATHSAT_LOCUS11353 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSPFYSLLTLTSESYHHSQETRDNAPSQIAYTSTVLLKKLGLCFLSAAYVCMILFLLLILASVVGVGLVRFWVEEPLIVHESLHFDYTETHPSAVFSFSGEVSAAGGYIKKKHIRVPLGHTFSVSLSLLMPESDFNRDLGVFQLTAELVSVNGNVIAKSSQPCMLRFRSSPIRLARTVMMGLPLVLGISAETQKINVEILRYKEVNQRSNAVRLTLHPRAGTSSLPQLYEAEIVLNSHLPWTKELIRNWKWTFYVWVSLYVYILLLMLLLCWYRPLIFLVTPEFYSDQRVSNGVTSEELKELQDGELLGDESDVSELLRKLRLSRDKRKTILTHGVGVEEIVGSSASSISMSATREDITSTVVEDVTSVEVEDDVEDDVEDSESVCID >CAK8535200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824135145:824135501:1 gene:gene-LATHSAT_LOCUS4673 transcript:rna-LATHSAT_LOCUS4673 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNTQVQFQDSLPLMANKLGGDGLIDELCNGFNLLMDSSKGVITFESLKRNSSLFGLQDLSDEELRNMIVEGDFDGDGALSQLEFCVLMFRLSPELMDGSKLWLEEMLQQEINDFC >CAK8567099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486743127:486744482:-1 gene:gene-LATHSAT_LOCUS20183 transcript:rna-LATHSAT_LOCUS20183 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTVKLERYNSYIRKVNSTKLLNASSKLLFRATLLIALVLVFFFTFNYPPLSDSTNHHFHTHSHFLTSAFGGGGAWERQVRHSATPRRPNGFTVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNTYYDPSLKRARQALLTQHQIFIVEGDLNDAPLLTKLFDVVPITHILHLAAQAGVRYAMQNPQSYIKSNIAGFVNLLEVSKAANPQPAIVWASSSSVYGLNTENPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILHGKTIDVYQTQEGKEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKKGPAQLRIYNLGNTSPVPVGKLVSILENLLSTKAKKHILKMPRNGDVPYTHANVTLAYRDFGYKPTTDLSTGLRKFVKWYVRYYGIQSGVKKENPLSNELPEDSA >CAK8576703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507709643:507709978:1 gene:gene-LATHSAT_LOCUS28876 transcript:rna-LATHSAT_LOCUS28876 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLLKIIQRAKQNLRLRSKQYSKLHFSGQGSKKIVHVPRGHFAVYVGDKDDECMRRFVVPISYLKQPMFQALLSRAEEEFGFEHPMGNIVIPCPIRYFVALLSCFNAE >CAK8567130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489648411:489649571:1 gene:gene-LATHSAT_LOCUS20208 transcript:rna-LATHSAT_LOCUS20208 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQEDIAYEIFSWLPAKTICKFKLTCSLFSQFLEESHFKRKQSCNLLGKSDTCFFLQHDQISQRYQKRIELHHLSKQHFSGVPNNVMTFLSNSTCVVASSNGLLLCYTIDDPVELFIFNPITKSCFFIPTLESLRNNPRFSNINLMLNCSHGSCDDYLIFYFENTMEWSPTSYVCNIYHGKEGVWKTMANNFFCGGRNMKFDMPVVHNESLHFISDCSNYFARSSPFYKPYIMSYNFEKGTSTMIKLPREAIKGFHVDCNMGIFNWGKVTESNNSICLVKSRKSVFTIWYLKDYKSCSWRKILRVRVKALGLEEKDARVTGFTVMNGNILVFSTEHKIYSCGLDEETFMMVAEIGSHNCGSCPQFISYSNTLRSCGANDKTMSC >CAK8535866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887029721:887032165:1 gene:gene-LATHSAT_LOCUS5286 transcript:rna-LATHSAT_LOCUS5286 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCPILDVRAAELSLNFRPGERNTCQSLNQNHHLHRSKNSPPNMSISEPFQEIQIPEQKLLPNGIRFPAVVSPSTTSSPTLPLNATHSIKTHKPYLESLLLQSGALIIRGLPLQAASHFNDVVEAFDYDELPYIGGAAPRTNVIGRVFTANESPPDQKIPFHHEMAQVPQFPSKLFFFCEVEPATGGETPIVLSHVVYDRMKERYPEFVEKLEKLGLLYVRVLGEDDNLSSPIGRGWKSTFSTKDKTVAQQRASEVGTKLEWLEDGVKTVMGPIPAVKYDEVRKRKVWFNSMVAAYTGWEDERNDPVKAVTFGDGSPLPADVVYDCLKIHEEESVAIPWRKGDVMLLDNLAVLHSRRSFVPPRRVLASLVK >CAK8543948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650885804:650887297:1 gene:gene-LATHSAT_LOCUS12668 transcript:rna-LATHSAT_LOCUS12668 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDQKLHFVLFPLMSQGHMIPMIDIAKILAQHSNVVVTILTTPQNASRFSSTFQRFLQSGLQIHVIHLQFPSKESGLPEGCENLDMLPSLNAAPDFFNSSRFQQPEAEKVFEELTPRPSCIISDMCLPYTIHIARKFNVPRISFHGSCCFYLLSKYNLRVSNVRQTMANNPTEYFALPGFSEKFEISVSQAGLGLMDEAWGEFDKDVVKAEVASYGVLVNSFEELESTFVKDYKKIKKDKVWCIGPVSLSNTDSLDKVQRGNNKKNVSADEWIHLKWVDSQKPGSVIYACLGSLCNLAPLQMIELGLALEATKRPFIWVIREGNQLDELKKWIEASGFEERIKGRGIVIKGWAPQLLILSHPSVGGFLTHCGWNSTIEAICAGVPMVTWPIFADQFFNEILIVQILKVGVKIGVKSPVKWCEKEGASVLVKKEDIERGIERLMNETNEREERRKRIREYGEMAKRAVEKGGSSETNVTLLIQDIMQQQSSTKVEA >CAK8539055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502569316:502575548:1 gene:gene-LATHSAT_LOCUS8189 transcript:rna-LATHSAT_LOCUS8189 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNVATNFNNASSSSSYVSSQCNRFTSFNHRRRASFSSHKFVIGLSNGPHLLPLRNSRFETLRFSKPSLKPCFASSHHSHLNQQQSLLDDESHRDSDTDADSETTPELPVNQIQNQNQNGEGFSISDVKREIISLSLPALAGQAIDPMTQLMETAYIGRLGTVELASAGVSVSIFNIISKLFNIPLLSVATSFVAEDMANAAKNSDCDTDQGGSTKISDYGNPFTAVYERKQLSSVSTALLLAMGIGIFEALAMYFGSQTFLRLIGVSAGNPTLVPAQKFLSLRAFGAPAVVLSLALQGIFRGFKDTKTPVICLGIGNLSAVFLFPLLMYHFKLGVAGAAISTVLSQYIGTLLMIWCLNKRAVLLPPKMGNLQFGGYIKSGGFVIGRTLAVLTTLTLGTSMAARHGPVAMAAHQIFMQVWLAVSLLTDALAVSGQALIASSISRHEYKAVKEITHFVLKIGLLTGICLTAILGASFGSLATLFTQDLEVLQVVRTGVLFVSASQPFNALAYIFDGLHYGVSDFPYAAFSMMIVGAFSSAFLLFASPRFGLRGVWMGLTLFMALRAAAGSVRLLSKNGPWWFLHKDFQITKTVS >CAK8571364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:391595907:391596821:1 gene:gene-LATHSAT_LOCUS24031 transcript:rna-LATHSAT_LOCUS24031 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITSSRCLSVNLKETRFNSHVPLPSHHSLIPIFHNIHPSPVLHTSFHLTAVVPKASAGSYSSTDDDDGVSLGTMKLPVNIDLQRFDSLLFQWANSLSQGANLPLAMPLKVDKIAGGARLGFITIGDGDTEVLVYIDCLVFKPNESSAPIFRASRHGVLKDKVPPGEPRIMRSLMQALQKSVQIATL >CAK8566073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376848426:376858510:-1 gene:gene-LATHSAT_LOCUS19240 transcript:rna-LATHSAT_LOCUS19240 gene_biotype:protein_coding transcript_biotype:protein_coding MNALTTGGSSSILRFKLESKAASQKKHLFRLQKTKPKKKTFVPSVTSKHTHPLLRFPSKQTMGLRDAFVAAAAAAAIAAAPVTLSSSDYIVHKSPNDSRLYRLIHLRNGLQALLVHDPEIYAEGPRKPVSVDEEDEEEEEEEDDDDDDDDDDDDDDDDEDEEEDDEDDEKEDEVQLDEEGKERGKGASNQSKKAAAAMCVGIGSFSDPNEAQGLAHFLEHMLFMGSAEFPDENEYDSYLSKHGGSSNAYTETEYTCYHFEVKREFLKGALKRFSQFFISPLVKADAMERELQAVDSEFNQVLQSDACRLQQLQCHTSTPNHPLNKFFWGNKKSLVDAMEKGIDLRDRILKLYKDYYHGGLMKLVVIGGESLDVLESWVVELFRAVKKGPQANPKFTVEGPIWTPGKIYRLEAVKDVHILDLSWTLPCLSQEYQKKPEDYLAHLLGHEGRGSLLSFLKAKGWATSLSAGVGDDGVFRSSIAYVFVMSIHLTDSGVEKIFDIIGSVYQYLNLLHQNSPQEWIFKELQNIGNMEFRFAEEQPQDDYAAELAENLKFYPAEDVIYGDYVYKTWDEQLIKQVLGFFVPENMRVDVVSKLIHNSEDFKHEPWFGSRYVEEDIPQDLIDIWRNPLEIDASLHLPSKNEFIPSDFSIRASDTRNDDFENLIVPRCIVDEALIKFWYKLDSTFRVPRANTYFRINLKGGYANAKNCVLSELLIFLLKDELNEIIYQASVAKLETSVSCVGDMLELKLYGFNEKLPVLLSKVLSAARSFIPTDDRYQVIKEDMERTLKNSNMKPLSHSSYLRLQVLCESFYDVDEKLHYLNDLSIDDLKAFIPELRSQLYIEGLCHGNLSEEEAISISDIFKTNFPVEPLPIKSRHAERVICLPSSANLVRDINVKNKLEKNSVIELYFQIEEDLGLESTKMKALIDLFEEIVEEPLFNQLRTKEQLGYVVQCSPRVTYRVFGFCFCIQSSEYNPIYLQGRVESFINDLEELLDDLDDESFENYKSGLMAKLLEKDPSLTYETNRLWSQIIDKGYIFDISKKEAEELKNITKLDVIEWYKTYLKQSSPKCRRLLVRVWGCNTDMKEAEAPPESVHVITDPTAFKKQSEFYSSFC >CAK8566074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376848426:376858327:-1 gene:gene-LATHSAT_LOCUS19240 transcript:rna-LATHSAT_LOCUS19240-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDAFVAAAAAAAIAAAPVTLSSSDYIVHKSPNDSRLYRLIHLRNGLQALLVHDPEIYAEGPRKPVSVDEEDEEEEEEEDDDDDDDDDDDDDDDDEDEEEDDEDDEKEDEVQLDEEGKERGKGASNQSKKAAAAMCVGIGSFSDPNEAQGLAHFLEHMLFMGSAEFPDENEYDSYLSKHGGSSNAYTETEYTCYHFEVKREFLKGALKRFSQFFISPLVKADAMERELQAVDSEFNQVLQSDACRLQQLQCHTSTPNHPLNKFFWGNKKSLVDAMEKGIDLRDRILKLYKDYYHGGLMKLVVIGGESLDVLESWVVELFRAVKKGPQANPKFTVEGPIWTPGKIYRLEAVKDVHILDLSWTLPCLSQEYQKKPEDYLAHLLGHEGRGSLLSFLKAKGWATSLSAGVGDDGVFRSSIAYVFVMSIHLTDSGVEKIFDIIGSVYQYLNLLHQNSPQEWIFKELQNIGNMEFRFAEEQPQDDYAAELAENLKFYPAEDVIYGDYVYKTWDEQLIKQVLGFFVPENMRVDVVSKLIHNSEDFKHEPWFGSRYVEEDIPQDLIDIWRNPLEIDASLHLPSKNEFIPSDFSIRASDTRNDDFENLIVPRCIVDEALIKFWYKLDSTFRVPRANTYFRINLKGGYANAKNCVLSELLIFLLKDELNEIIYQASVAKLETSVSCVGDMLELKLYGFNEKLPVLLSKVLSAARSFIPTDDRYQVIKEDMERTLKNSNMKPLSHSSYLRLQVLCESFYDVDEKLHYLNDLSIDDLKAFIPELRSQLYIEGLCHGNLSEEEAISISDIFKTNFPVEPLPIKSRHAERVICLPSSANLVRDINVKNKLEKNSVIELYFQIEEDLGLESTKMKALIDLFEEIVEEPLFNQLRTKEQLGYVVQCSPRVTYRVFGFCFCIQSSEYNPIYLQGRVESFINDLEELLDDLDDESFENYKSGLMAKLLEKDPSLTYETNRLWSQIIDKGYIFDISKKEAEELKNITKLDVIEWYKTYLKQSSPKCRRLLVRVWGCNTDMKEAEAPPESVHVITDPTAFKKQSEFYSSFC >CAK8544021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656989411:656990025:-1 gene:gene-LATHSAT_LOCUS12732 transcript:rna-LATHSAT_LOCUS12732 gene_biotype:protein_coding transcript_biotype:protein_coding MSENFNTHASSSTSGKASTGRHPVYRGVRRRSNGKWVSEIREPKKPNRIWLGTFPTPEMAAIAYDVAALALKGKNAELNFPNSSSSLPVPASSSAHDIQTAAASAAAAIGAAEDALVNINVGNDMNHATMATPQDFFSVGENENSYNVNEFIDEDLIFDMPNVLANMAEGMLLSPPRFDFPSNDDTPESYVCDDQNLWSYPYFP >CAK8536433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941104791:941118201:-1 gene:gene-LATHSAT_LOCUS5801 transcript:rna-LATHSAT_LOCUS5801-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVSLNPWKRAFFLFPINKTQILTITTHRHCNSPLSTTNMNDPVVVSLQEWQGWGTTSPVPAMVTQIAEDLKLLEKDFDSQMKFGRSGGKLQGSFGQQEDKKHRATYKALPDSEAKLKFYSARQIACRVIGSKGYLCQKCWLAMEDCMCSQITSCPLFPGIKFWLYMHPKDFLRQNNTGKLLWQVFGVDAATLCLFGIPEHEQIMWDSFKLAGRCNVWCLYPNKNAILESVENAFSQESVSNNEVTSKKQVKVDATQHFILIDGTWSNSAAMFRRLQDQAKSIWGDEDLSCISLNPGTSAMHKLRPQPSWDRTCTAAAAAGLLSELQLLPRFSSVGLDQQSEAVEHALTVLLEALTKRRLRMGRSITRKVRPNNIC >CAK8536432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941104791:941118201:-1 gene:gene-LATHSAT_LOCUS5801 transcript:rna-LATHSAT_LOCUS5801 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVSLNPWKRAFFLFPINKTQILTITTHRHCNSPLSTTNMNDPVVVSLQEWQGWGTTSPVPAMVTQIAEDLKLLEKDFDSQMKFGRSGGKLQGSFGQQEDKKHRATYKALPDSEAKLKFYSARQIACRVIGSKGYLCQKCWLAMEDCMCSQITSCPLFPGIKFWLYMHPKDFLRQNNTGKLLWQVFGVDAATLCLFGIPEHEQIMWDSFKLAGRCNVWCLYPNKNAILESVENAFSQESVSNNEVTSKKVKVDATQHFILIDGTWSNSAAMFRRLQDQAKSIWGDEDLSCISLNPGTSAMHKLRPQPSWDRTCTAAAAAGLLSELQLLPRFSSVGLDQQSEAVEHALTVLLEALTKRRLRMGRSITRKVRPNNIC >CAK8577264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:550978644:550980695:1 gene:gene-LATHSAT_LOCUS29385 transcript:rna-LATHSAT_LOCUS29385 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIITLNQKPLLHLSLLLLMLFSSSTESLRFELQSGSTKCISEDMKSNSMTVGKYSIVNPNEGYPLPDSHRITVRVTSSQGNNYHYGDRVQSGQFAFVAVEAGDYMTCFWAVDNKPEVKLTIDLEWKTGVAAKDWSKVAKKGQVDVMEIELNKLQETVTSIHDEMFFLREREEEMHELNRTTVKRMFWLSLLSLFVCLSVAGLQLWHLKSFFEKKKII >CAK8577258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549730463:549732499:1 gene:gene-LATHSAT_LOCUS29379 transcript:rna-LATHSAT_LOCUS29379 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFSGGSASPFLFLTNKGTSFTPKSPILHLKRSFSAKSVASVGTEPSLSPAVQTFWKWLQEEGVITAKTPVKASVVTEGLGLVALRDISRNDVILQVPKRLWINPDAVAASEIGRVCSELKPWLSVILFLIRERSREDSVWKHYFGILPQETDSTIYWSEEELQELQGTQLLKTTVSVKEYVKNECLKLEQEIILPNKRLFPDPVTLDDFFWAFGMLRSRAFSRLRNENLVIVPMADLINHSVRVTTEDHAYEVKGAAGLFSWDYLFSLKSPLSVKAGEQVYIQYDLNKSNAELALDYGFIEPNESRHAYTLTLEISESDPFFDDKLDVAEANGFAQTAYFDIFYNRTLPPGLLPYLRLVALGGTDAFLLESLFRNTIWGHLELSVSRDNEELLCKAVRDACKSALAGYHTTIEQDRKLKEGNLDSRLAIAVGIREGEKMVLEQIDGIFKQKELELDQLEYYQERRLKDLGLCGENGDILGDLGKFF >CAK8565354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:149216701:149217315:1 gene:gene-LATHSAT_LOCUS18575 transcript:rna-LATHSAT_LOCUS18575 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYILFYISMNLGTFACIVLFSLRTRTDNIRDYAGLYTKDPFLALSLALCLLSLAGLPPLAGFFGKFSLFWCGWQAGRYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPVTSNNSIEVSMILCVIASTILGISMNPIIKMAQDTLF >CAK8575066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26131481:26142407:-1 gene:gene-LATHSAT_LOCUS27357 transcript:rna-LATHSAT_LOCUS27357 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDSETSYGGGGGSDYKPFRHISRDRLLYEMLRSAKSGDSKAWKVLIMDKVTVKVMSHSCKMADITDQEVSLVEDLFRRRQPLPSLDAVYFIQPSKENVVMFLSDMSGREPLYKKAYIFFSSPIPKELVNHIKCDTSVLPRIGALREMNLEYFPIDSQGFITDQDTALQELYGNVDNVRRLNSYLNNMAIRIATVFASMKELPHVYYRSAKESDESATTVSRELVPTKLADAVWDMVSKYKSTIPNFPQSETCDLLIVDRSIDQIAPVIHEWTYDAMCHDLLDMDGNKYIHEVASKTGGPPEKKEVVLEEHDSVWLELRHSHIADASERLHEKFTNFVSKNKAAQLQQSGRDGSEISTRDLQKMVQALPQYTEQVEKISLHVEIAGKINKIIRDTDLRELGQLEQDLVFGDAAAKDVINFLRTKQNTTPEYKLRLLMIYASVYPEKFEGDKAVKLMQLAKLSPDDMKVISNMQLLAGSSKKKASDAGAFSLKFSNQKTTQAARKDRTNEEEETWSLFRFYPVIEELIESLNKGELPKSEYACKNGPIPVPKGNSARNSKSTQTTPAPTAPPHSMRSRRTANWAKSRTSDDGYSSDSTLKNVAADFKKMGKRIFVFIIGGATRSELRVCHKLTTKLRREVILGTTSMDDPPYYLTKLKLLCDSKLTLAPDGLGI >CAK8538934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499761024:499761662:1 gene:gene-LATHSAT_LOCUS8079 transcript:rna-LATHSAT_LOCUS8079 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVSSLNLSHKPLQSSFLLSSSNPSSLLTLNFLPKKFTKSNKLRTLIVAKSNDSDSADASDRLISALCYLYPFFDGIQYGKFVITQFYPIQAIVEPLIPAIRVFKSFPFNGFLVFLTLYFFVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERGFNPRGGIGLDLLMSLDSTVFLFLLVCLIYGSSSCILGQLPRLPIVADAADRQVL >CAK8560944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61611147:61616908:1 gene:gene-LATHSAT_LOCUS14579 transcript:rna-LATHSAT_LOCUS14579 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGIPFAKNGSKGVRFPVLRFLLLCVLTPLVFFISRGSRVADQNDISAVPDTQQVAKWREWNALQDLKSLFSKEVFDVIVSSTDDMGPLSLDNFRKNMSASWRVVGLETSNDAYELKQPTTHGRQEKRQVKEGRSSEGLAQWTDSPARQVRRKLIGKRREKRASELVKMDNEVIVKLENAAIERSKSVESAILGKYSIWRKEIENENADSSVRFMRDQIIMARVYLSIAKMKNKLELYQELQSRLKESQHALSDAISDSDLHHSTHEQIKTMGQVLSKARGQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPMSDNLENPSLYHYALFSDNVLAASVVVNSTVTNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVQNVDDFKWLNSSYCPVLRQLESAKMKEYYFKAGHATTFTSSASNMKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWAVDLQGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNVFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYSPTVDRSMIENAAVVHYNGNMKPWLEIAMTKYRPYWTKYVKHSHPYLQNCKL >CAK8560943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61611147:61616908:1 gene:gene-LATHSAT_LOCUS14579 transcript:rna-LATHSAT_LOCUS14579-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGIPFAKNGSKGVRFPVLRFLLLCVLTPLVFFISRGSRVADQNDISAVPDTQVAKWREWNALQDLKSLFSKEVFDVIVSSTDDMGPLSLDNFRKNMSASWRVVGLETSNDAYELKQPTTHGRQEKRQVKEGRSSEGLAQWTDSPARQVRRKLIGKRREKRASELVKMDNEVIVKLENAAIERSKSVESAILGKYSIWRKEIENENADSSVRFMRDQIIMARVYLSIAKMKNKLELYQELQSRLKESQHALSDAISDSDLHHSTHEQIKTMGQVLSKARGQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPMSDNLENPSLYHYALFSDNVLAASVVVNSTVTNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVQNVDDFKWLNSSYCPVLRQLESAKMKEYYFKAGHATTFTSSASNMKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWAVDLQGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNVFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYSPTVDRSMIENAAVVHYNGNMKPWLEIAMTKYRPYWTKYVKHSHPYLQNCKL >CAK8534875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779873594:779874811:-1 gene:gene-LATHSAT_LOCUS4380 transcript:rna-LATHSAT_LOCUS4380 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRTRSLLPSLPSLPSHHKTPNPSSSLLSLFSSSSSSQPPNNKDNIILSRFHHKDWLTSKQATTLINSLTNPSTALTLFHLYSSRKDYNPTQPFCISLITKLAQANQFSPIDTILKSLQPHQRHRFTEDFFFHLIKLYAHQAHRIDKALETLFMMPNFGSWPSSKTFNFVLNLLVNNKLHDAVFNLYSSASKLGFQVDACCLNIMIKGLCKQGEMKAAFKVFDEFPKLGIQRNERTFCTLMHGLCEKGDVDEAFELLEIMKREKICVDVMVFNVLICGLRKKGRVGEAKEVLEDVMMRNGCFPNESSYQHVLYGLIDFKRFGEAKEVVEKMALKGFVPSFDSYKGLILGFCKEGLVEEVDWGVKGMVRMGFVPRMGMWRQIVKCLVVHRDVGCSFDIILDVDYD >CAK8543414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606677458:606678642:1 gene:gene-LATHSAT_LOCUS12171 transcript:rna-LATHSAT_LOCUS12171 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTYSLILLCFFQSTRAILNPIDFLALQSIRRSLHDVPGSNFFSSWDFTDDPCNFAGVYCVSDKVVALNLGESRAGSPGLTGKIDTAVGKLSSLVDLTVSPGRVYGPLPQSISKLKNLKFLGISRNFIFGEIPAGLSQLRNLRTIDLSYNQLAGAIPPSIGKLPNLNNLILRNNRLTGSIPSFASAKNLNRLDLKHNSLTGSLAPDSLPSSLQYLSLSWNKLTGTVDRVLYRLNRLNYLDLSFNRFTGSIPAQLFSFPLTNLQLERNQLYGPVEPFNEVTIQTVDLSYNKLSGEISPLLASVQNLYLNNNGFTGEVPGSFVERLLAANIQILYLQHNYLTGIVINPTAEIPLSSSLCLQYNCMVPPIQMTCPSKAGYLKIRPANQCNHYQYH >CAK8540903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31010312:31012198:1 gene:gene-LATHSAT_LOCUS9861 transcript:rna-LATHSAT_LOCUS9861 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSYSDLSKSTFSLVDEDHTFANSVRFTLNQDPRVTFCGYSIPHPSENRVNVRVQTTGDPASEVLKDGCQDLMLICQHVRSTFEKAVNDFKTSKTGDDMDI >CAK8563192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581981268:581982976:-1 gene:gene-LATHSAT_LOCUS16626 transcript:rna-LATHSAT_LOCUS16626 gene_biotype:protein_coding transcript_biotype:protein_coding METARNETPLLVFRFVSKFLLCAISGTLTACFAIAGALTGAIAGALAAKATKSGFLRGVSLGAIAGAILSVEVLEASRAYWCMEQTGSRGTSSMADFIEELVRGRLVEESLTPAILTAYNLQFEQVGIANNVGYDEIHDVHSLVVASRGLSGDSLSKLPHHRILKDTKAENTLCAICLQDMESGEVARRLPHCYHTFHLICVDKWLVKNDSCPICRQNV >CAK8538134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465564504:465564887:1 gene:gene-LATHSAT_LOCUS7367 transcript:rna-LATHSAT_LOCUS7367 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLLVSNLHIFLLHSSSMSYTTILFLVLSVFSIFSIITFLCGTKSMKNLYMEEEATAVSARNENKLICKLKRKINRRRVSMMKKLYWRKIEAEELEEGKQDDEEEALWKKNILMGEKCRPIDEDN >CAK8536981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:48549207:48550339:-1 gene:gene-LATHSAT_LOCUS6302 transcript:rna-LATHSAT_LOCUS6302 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVEAAVLVIQHPCGKIDRLYWPVTVSEVMKTNPGHYVSLIIPLPVLPEEQNQEQKTVKFTRVKLLRPNETLNLGHAYRLITNQEVMKVLKAKKHAKSKKTQEDAVLSGYETKKGEESDQGNTYQGGRTERHKQRGGSTNPAAVQRSKSWRPSLQSITESPKV >CAK8573201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593355848:593356315:-1 gene:gene-LATHSAT_LOCUS25676 transcript:rna-LATHSAT_LOCUS25676 gene_biotype:protein_coding transcript_biotype:protein_coding MISAWKLKNSLEVQDLFFFLFKFLTRMNLEFVLRSGPWSVDRSLLILERVSGEEQPSYLNMHLGIFWVRIYKLPLMLRSDTIAKKIGNILGSFEEMDLKDAHQNGRFLRIMVTIDLRKSINEKRWSSSRRRTSVSISSLKVYPPSTLCAKGLVTN >CAK8573219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593976007:593977770:1 gene:gene-LATHSAT_LOCUS25691 transcript:rna-LATHSAT_LOCUS25691 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDYEEYVPVAKRRAMEAQKILQRKGKASAVIDDDSEKLRVVEMKPSLLVKASQLKKDQPEISVTEQIVQQEKEMIDNLSDKKTLMSVRELAKGITYTEPLPTGWKPPLHIRRMSKKDCEFIQKQWHIIVNGEEIPPPIKNFKDMRFPDPILKMLKTKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMMAMQEEIMMPLVPGEGPFGLIICPSRELARQTYEVIEQFLLPLKEAGYPELRPLLCIGGIDMRSQLEIVKKGVHLVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLGELNDPMEDTEEITGISGVKGCAYCGGLGHRIRDCPKLEHQKSVAIANNRKDYFGSGGYRGEI >CAK8575639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227440969:227442400:-1 gene:gene-LATHSAT_LOCUS27883 transcript:rna-LATHSAT_LOCUS27883 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHSTFLYLIFLHSFNILAYKDQEWNKGTATYAKDTEGSLITEGACGYGDLHKASYGKHSVGLSTILFNRGTTCGACYEIRCVDHILWCVLGSPSVIVTATDFCPPNYGLSVDYGGWCNFPRQHFELSQPAFSEIAKTKADIIPVQYRRVKCERSGGMKFTMSGSSHFYQVLITNVGLEGEVFAVKVKGSRTGWIPMARNWGMNWHCNVNLQHQPLSFEVTSSTGETLTSYNVAPSNWQFGQTFQGKQF >CAK8542782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546485197:546486780:1 gene:gene-LATHSAT_LOCUS11590 transcript:rna-LATHSAT_LOCUS11590 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKIPMLPTLITENIGSLNPGVDRLAFSMLLDLNVDGDVVDRWIGRTVIHSCCKLSYEHAQDIIDSAFNFESSSFHEDAYPKVHGRFDWPDVLTSLKSLYEISKVLKHKRFTRGALRLDNPKIVILIDDNGIPYDITFSEQRESNFLIEEFMLLANTTAAEVICRAYPEVALLRRHPEPNMRKLKELTAFCQKHGLNLETSSSGHIHRSLEQIKEKLKDDPVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVLSARILADIADHCNERKKASRNVKDACDRLYIWFILKQRKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSVASDMNTEINPAFFPLTVHPLSTIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8542783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546485743:546486780:1 gene:gene-LATHSAT_LOCUS11590 transcript:rna-LATHSAT_LOCUS11590-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKELTAFCQKHGLNLETSSSGHIHRSLEQIKEKLKDDPVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVLSARILADIADHCNERKKASRNVKDACDRLYIWFILKQRKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSVASDMNTEINPAFFPLTVHPLSTIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8562639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:519200768:519208985:-1 gene:gene-LATHSAT_LOCUS16121 transcript:rna-LATHSAT_LOCUS16121-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNSSTAKVGTLGLGLGSPMSSMPPKSQRGQNKPKCKQCGNVARSRCPYESCKSCCSRNQNPCPIHVLKASTIPDSTPSTGAAPLDRKSFEPPPSVSAGRVASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAAAINEWRFSKLKEYKEQNVEAENEAFDRYMQNVDLLEEVLSVKPSDDDMPSVSEANPTPMENSEIMIPGLKLQLRSNSTRSDGVRIRIKKIVDEGLKKLKKCAVDSDSNDQINEVNESSNKRKRTEKLSAISDLMDKINKARSEEDLQSCSEVKLQLFNSEEDSSMVQATDNEMHQNQTTEGDVAPAKELDFSLPKLVSATEIDQETLNTIDKHFSSLEYVGQL >CAK8562640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:519200768:519208985:-1 gene:gene-LATHSAT_LOCUS16121 transcript:rna-LATHSAT_LOCUS16121 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNSSTAKVGTLGLGLGSPMSSMPPKSQRGQNKPKCKQCGNVARSRCPYESCKSCCSRNQNPCPIHVLKASTIPDSTPSTGAAPLDRKSFEPPPSVAGRVASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAAAINEWRFSKLKEYKEQNVEAENEAFDRYMQNVDLLEEVLSVKPSDDDMPSVSEANPTPMENSEIMIPGLKLQLRSNSTRSDGVRIRIKKIVDEGLKKLKKCAVDSDSNDQINEVNESSNKRKRTEKLSAISDLMDKINKARSEEDLQSCSEVKLQLFNSEEDSSMVQATDNEMHQNQTTEGDVAPAKELDFSLPKLVSATEIDQETLNTIDKHFSSLEYVGQL >CAK8530360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16501066:16502628:-1 gene:gene-LATHSAT_LOCUS228 transcript:rna-LATHSAT_LOCUS228 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGVGEDCLAWAARDASGVLSPYKFNRRVLGSEDVYVKITHCGVCYADIVWTRNKNGDSKYPVVPGHEIAGVVAKVGPNVQRFKVGDHVGVGTNVNSCRECEYCNDRLEVHCVKGSVFTFNGVDFDGTVTKGGYSTSIVVHERYCFLIPKSYPLASAGPLLCAGITVYSPMMRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLRVTVFSTSASKKEEALNQLGVDNFVVSSNQEEMKALAKSLDFIVDTASGDHPFDPYMSLLKVSGVLVLVGFPSEVKFSPASLNFGSKTVSGSVIGGTKEIEEMIDFCAANGVHPDIELIPIGYSNEAFERIINKDVQYRFVIDIENSLKLN >CAK8560557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25082781:25086980:-1 gene:gene-LATHSAT_LOCUS14219 transcript:rna-LATHSAT_LOCUS14219 gene_biotype:protein_coding transcript_biotype:protein_coding MCFITLLLFCYLSLLLRHFSFADRLQKRCTAVKGHLLSLVLHSSLTHVLVIAIISADTFLDIKSRNFPLAIKKGKSKKSIKMKPYIERFEVLNQGSGHKNL >CAK8560556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25082781:25086980:-1 gene:gene-LATHSAT_LOCUS14219 transcript:rna-LATHSAT_LOCUS14219-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFITLLLFCYLSLLLRHFSFADRLQKRCTAVKGSHLLSLVLHSSLTHVLVIAIISADTFLDIKSRNFPLAIKKGKSKKSIKMKPYIERFEVLNQGSGHKNL >CAK8560678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35531249:35533255:-1 gene:gene-LATHSAT_LOCUS14336 transcript:rna-LATHSAT_LOCUS14336 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTTLSPVSRETLLSPTNGFCNPLHLSMDHHDFIFVYLSYSGSLSPMRVLPSDTIESVKLKIQRNEGVTNKQKLVCNGRELSRSNSLLKDYGVTEGNVLHLVIRLTDLQTISVRTCSGKEFSFQVERCKDVGYVKQQIAKREKDFADPEQQEVVCNGELLEDQKLIDDICCKYNDAVIHLFVRTKYADVSTGLDELSIEAKELNDANESDYGQKYDVSEEDAAREYEAIERIMSRKALDRGLLLEPVIVNPKVELASEVWDMINSTYDGLDSGNSPIRSSEGTGGAYFMLDSTGQKYISVFKPIDEEPMAMNNPRGLPLSLDGEGLKKGTRVGQGAFREVAAYILDHPMSGCRSLFGDGKGFAGVPPTVMAKSLHKGYNHPEGLTVKFGSLQMFMENNGSCEDMGPGAFPVKEVHKITVLDIRLANADRHAGNILIGREKENYQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSPEIIDYIKSLDAEEDTALLKFHGWDLPLECARTLRISTMLLKKGVEKGMTPFAIGSLMCRESLNNESVIEGIVKTALESVLPGTSEATFMDAVSEIIDQHLDEVTSNYKS >CAK8579102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674865336:674869304:1 gene:gene-LATHSAT_LOCUS31070 transcript:rna-LATHSAT_LOCUS31070-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSMKGNSVNGKGESNEGVGDGQNGSSSSSSKDAEVQLYRELWHACAGPLVTVPREGELVFYFPQGHIEQVEASTNQASEQHMPVYDLRPKILCRVINVMLKAEPDTDEVFAQVTLVPQPNQDENAVEKEAPPAPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAVLTGTMFTVYYKPRTSPAEFIVPYDQYMESLKNNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDTKRWPKSKWRCLKVRWDETSNIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRANIVPSSPDSSVLTREASSKVSMDPLPSSSYQRVLQVQESSTLRGNLAETNESYTAEKSVVWPPANDEEKIDAASTSRRYGSENWMSMSRQEPTYSDLLSGFGSVRGDHSSQQLFVDQTGHMINHARKSLLDRDGKHNMLSQWPVMQPGLSLNFLHSNMKGSPQGGDNSTYQLQGNMRYNAFGDYSVLHGHKVENPHGNFLMPPPPPTQYESPRSRELPHKQISATTTESAKQKDGECKLFGFSLLSSPTMQETSISQRNSTSETVSPMQISSQQHHTFENDQKSEHSKSSKAADNPAVVDDQENLLQTPQSHFKDVQLKSQNGSARSCTKVHKKGIALGRSVDLTKFNDYDELVTELDQLFEFGGELVSPQKDWLVVYTDNEGDMMLVGDDPWQEFCSMVRKIYIYPKEEIQKMSPGTLSSKNEENHSATDGGDAQETKCQMNQTTSDA >CAK8579101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674865288:674869304:1 gene:gene-LATHSAT_LOCUS31070 transcript:rna-LATHSAT_LOCUS31070 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMRDLEEERERRDNMASSEVSMKGNSVNGKGESNEGVGDGQNGSSSSSSKDAEVQLYRELWHACAGPLVTVPREGELVFYFPQGHIEQVEASTNQASEQHMPVYDLRPKILCRVINVMLKAEPDTDEVFAQVTLVPQPNQDENAVEKEAPPAPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAVLTGTMFTVYYKPRTSPAEFIVPYDQYMESLKNNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDTKRWPKSKWRCLKVRWDETSNIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRANIVPSSPDSSVLTREASSKVSMDPLPSSSYQRVLQVQESSTLRGNLAETNESYTAEKSVVWPPANDEEKIDAASTSRRYGSENWMSMSRQEPTYSDLLSGFGSVRGDHSSQQLFVDQTGHMINHARKSLLDRDGKHNMLSQWPVMQPGLSLNFLHSNMKGSPQGGDNSTYQLQGNMRYNAFGDYSVLHGHKVENPHGNFLMPPPPPTQYESPRSRELPHKQISATTTESAKQKDGECKLFGFSLLSSPTMQETSISQRNSTSETVSPMQISSQQHHTFENDQKSEHSKSSKAADNPAVVDDQENLLQTPQSHFKDVQLKSQNGSARSCTKVHKKGIALGRSVDLTKFNDYDELVTELDQLFEFGGELVSPQKDWLVVYTDNEGDMMLVGDDPWQEFCSMVRKIYIYPKEEIQKMSPGTLSSKNEENHSATDGGDAQETKCQMNQTTSDA >CAK8575671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:245106812:245107495:1 gene:gene-LATHSAT_LOCUS27914 transcript:rna-LATHSAT_LOCUS27914 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFRLGMRPWIAVAYSTPVAVATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSVMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVVVHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8576220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:428281734:428282724:1 gene:gene-LATHSAT_LOCUS28426 transcript:rna-LATHSAT_LOCUS28426 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLEPWHSLAGKIVLVTGASSGIGRDLCLDLAPAGCRLILAARRIDQLQSVCDEINKQLLSHESKNFRAIAIELDVAADGSVIEKCLQKAWEAFGHIDVLINNAGVRGNVNSPLDLSEEEWNKVFRTNLTGTWLVSKYACKLMRDSNRKGSIINISSISGLERGLLPGATAYASSKAGVNMLTKVMALELGRYKIRVNSISPGIFKSEITENLMKKNWLNNVVIKTVPLKSYGTSGPALTSIVRYLIHDSSEYVTGNIFIVDAGATLPGVPIFSSL >CAK8561624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:326325321:326326436:1 gene:gene-LATHSAT_LOCUS15199 transcript:rna-LATHSAT_LOCUS15199 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLIFTFAISIFLTVALVSARLFPDIPSLIPPVIPPTGAWDTFRNFTGCHHGENYNGLSNLKNYFQRFGYIPHAPPSNFSDEFDDALEAAIKTYQKNFNLNITGELDEKTLHQIVLPRCGVADIINGTTTMNSGWETETTSNSESKPRFHTVSHFTVFPGQPRWPVGKQELTYSFYPGNELTKTVKSVFATAFARWSEVTTLKFTETELYYSADIKIGFFSGDHGDGEPFDGILRTLAHAFSPTNGRFHLDAAEDWVVSGDVSKSALSTAVDLESVAVHEIGHLLGLGHSSVEEAIMFPTISSRLKKVVLTEDDIKGIQYIYGSNPSFNGSTAMSSPERNSGNSGSSVTSRWSPWGLFTLLTFAFSHLIL >CAK8565432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:196796453:196797604:-1 gene:gene-LATHSAT_LOCUS18649 transcript:rna-LATHSAT_LOCUS18649 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPVLLEENETKSEAHLTSAAAFVEGGIQEACDDSCSICLESFSDSDPSTVTSCKHEFHLQCILEWCQRSSQCLMCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHLPVGANDADLEERIIQHLAAAAAMGRARHIAGREGQRNRSSAQGRPQYLVFSAHPNSPPMAPASSSPSQRGDGEPTHATGEDTPQLTLMPPVQTDQVSASGSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRYKESISKSTRGWKERWFSRNSPMSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIQGSNDQHLTDSERDSLLRDNDIKTSCTAGSSSN >CAK8536126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909601033:909604567:1 gene:gene-LATHSAT_LOCUS5525 transcript:rna-LATHSAT_LOCUS5525 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIAPTSSIDDENQHLNHNPTTSTGRELKMITPSRFNLPDDWLVQQRRRISNPDHVDKYYIEPHTGHKFRSLISVQRYLNGESRDCLPTERMISENKITLPDDWLVQQRRRISNPNHIDKYYIEPHTGQKFRSLISVKKYLNEETRNYLPTERMMLENKNTTGIKSRTKQKFRPARDFEGHSNGENACRATPKDFKRTHYRKKIKTGKDDITRPPIKVRWVLSGPGGFWNPFLNGSIVPVSEKTKWSKEFSISINEEVTNGYTRPNS >CAK8538872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498575718:498578051:1 gene:gene-LATHSAT_LOCUS8023 transcript:rna-LATHSAT_LOCUS8023 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVTSLIFLVVGIIACLSTRICFNKGPSANLFHLTLVLTSTICCWMMWAIVYLAQMNPLIVPILSDGE >CAK8534956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795311755:795331485:-1 gene:gene-LATHSAT_LOCUS4448 transcript:rna-LATHSAT_LOCUS4448 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRYAVSVSIPNSRPYSQCLQHFHDHVDVVSMFNRMLHKNPTPSAIEFGKILGSLVKAKHYLTVVSLSHQMELSRVTPDCVIHNILMNSLSQLGHITFAFSVFGKILKRGYLPNVITFTTLIKGFCLKGEIHTALRFHDKVVAQGFRLNHVSYGTLVNGLCKAGQTAAALQLLRRVDGKLVRPNVVMYTTIIDGMCKDKLVNDACDLYSEMVAKRIVPNVFTYNALIYGFCVVGKLKEAIGLFNKMMLENISPGVYTFNILVDAFCKEGNMKEAQNVLDMMIEKDIKLDVVTYNSLMDGYCLVNQVNKAKDMFKTMIQRGATPNVWSYNIIINGLCKIKMVDEAISLFKEMHCRKIIPDTITYSSLIDGLCKLGRFSYALELVDEMCDRGQLPDIITYSSILDALCNHHQVDKAMALLTKFKDEGIQPNMYTYNILIKGLCKSGRLVDARNVFEDLLLKGYDINVYTYTVMIQGFCDKGLFDEAMALLSKMKVNGCLPNAITYEIVISSLFENNENDKAEKLLCEMIARGLV >CAK8534957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795311755:795313248:-1 gene:gene-LATHSAT_LOCUS4448 transcript:rna-LATHSAT_LOCUS4448-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNPTPSAIEFGKILSSLVKAKHYLTVVSLSHQMELSRVTPDFVTLNILMNSLSQLGHITFAFSVLGKILKRGYLPNVITFNTLIKGFCLKGEIHKALRFHDKVVAQGFRLDHVSYGTLVNGLCKAGQTTAALQLLRRVDGKLVRPSVVMYNTIIDGMCKDKLVNDACDLYSEMVAKRIFPDVFTYNALIYGFCVVGKLKEAIGLFNKMMLENISPDVYTFNILVDAFCKEGNMKEAQNVLDMMIEKDIKLDVVTYNSLMDGYCLVNQVNKAKDMFKTMIQRGATPNVWSYNIIINGLCKIKMVDEAISLFKEMHCRKIIPDTITYSSLIDGLCKLGRFSYALELVDEMCDRGQLPDIITYSSILDALCNHHQVDKAMALLTKFKDEGIQPNMYTYNILIKGLCKSGRLVDARNVFEDLLLKGYDINVYTYTVMIQGFCDKGLFDEAMALLSKMKVNGCLPNAITYEIVISSLFENNENDKAEKLLCEMIARGLV >CAK8532997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:562226138:562227056:-1 gene:gene-LATHSAT_LOCUS2650 transcript:rna-LATHSAT_LOCUS2650 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEWESVSDDGYFEMEYLYPSPPRKQNQLVPLQIPLELKIGNTSKDVLLKDMTKNQSFEDNQEENVSQVFFKIKENELVDMKIESPKSCSSKEIVTSPRMIIEKDVFGDEKEDSSWEEENNSGFSIWKWSLSGVGAICTFGFVAASICVVYFGSQQRKKLQQDKKIMFQIYTDDKRIKQVVQHATKLNEAISAARGVPITRAHITVGGNYDVFD >CAK8578437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629367811:629374303:-1 gene:gene-LATHSAT_LOCUS30449 transcript:rna-LATHSAT_LOCUS30449 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSLRNAITRNNPSTAKPKSTQKHKLAPSKDKENNPPFKSPNPLKRKLPPLPEDTLSTSSDSGVKVIVRLKPLCNDKDEGGSVIQKISTNSLSINSHSFSFDSILHAEATQLDVFELVGVPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPPNALAVENSTIDQQGGLAPRVFERLFARLNEEQTKHSDNQLMYQCHCSFLEIYNEHITDLLDPNKRNLQIREDVKSGIYVENLTEEQVCTVKDVNKLLIKGLLNRTVGATSVNSESSRSHTVFTCVVESRCKSIADRLSRFKTSKINLVDLAGSERQKLTGAAGYRLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLTLLCAISPAQSCRNETFSTLRFAQCAKAIKNKAVVNEVMHDDVNQLREVIRELRDELHRVKTNVNNPSDGSRGHSAALIRRSLNLLQPRLQYPLSLPHIDEDSDEEMEIDEEGVEDHARVLCNSNKDSVDNPVSLGVVNCDTGPVLKSPNLSVSPTISSSRKSLKTLSKLSPSQNNLLRESDIGIKNDFGTKTANQKSIPTALSSLMAQNFLTKTENLTASIRHGLETIDNHLCAEAIRRSPLRLSLRPKDSKQNFPVDKVNVGVQAFVDDKAGDEDSVMTCNNCKSRMQLDGSTVDNNSNMQLVAVDCPESADKPKKQVLKAAEKVLAGSIRREMALEELCAKQASEISQLNCLLEQYKHETECNAITGQAREGNIQHIQSLMDGDLPTDEFMNEDLVSHELLKENYEHHPEVLKMKIELKRVQDKLQEYQNFYEFGEREVLMEEICNLRNQLHFYLDYPSTSARKEYPLLQLPHSSEPSLEANLDAFPDSTEASTEANANPESTEDSAEVKLEQERSKWTEAENRWISLSEELRAEVEANRSLAEKRKRELDAERKCSKELKDAMQIAIEGHARVLEQYADLEEKHVQLLERHRKIHDGIDDIKKATSRAAVRSAESRLINTLAAEISALKAEKEKERRILIDENKGLQDQLKDTSEAVKAAGELLVRLKEAEDGVITAQNRAMDAEQEAAKAFKQIDKLKKKHEIEISTLNEFIAKSRLPMEAIQPTCNDNAIPIDEDTKEPSLSVNKFEPVCNEEGEVAKSKEQSWFSGYDKCNI >CAK8572686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555613139:555613630:1 gene:gene-LATHSAT_LOCUS25219 transcript:rna-LATHSAT_LOCUS25219 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAFDVGAVPLNPDGWGPMDSTTAANNNNDLPLNVPFAPFSRSDKLDRIADWTRNFNNQTRSKNPADSAFDFTLDDSFPGNADDDATFRLVDGEPPPRPKFGPKWRYQQQRQLPQRRDEEVEAKKREAEKERARRDRLYHQNRSNPNNPSRDSHVVLPVST >CAK8533400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612785555:612792503:1 gene:gene-LATHSAT_LOCUS3027 transcript:rna-LATHSAT_LOCUS3027 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITPLWFMLLIMPCFFATHAYKGKFPIRTLSSGTHHEAMLQMNSFKATTFTKHDSIASPPFSFSPSPTPSPMQSQEHKKPHEYLVTSYGADPTGNSDSTEALLAAMADAAKGPSKGFLMNDIIDLGGAQINLQGGNYLISKPLQFPMAGVGNLMIHGGTIKASNNFPSNKNLIDLSNIGSTSSSYNYEYITLRDLFLDSNFKGGGISITNSLRINIDNCYITHFTTNGILVKSGHETYIRNSFIGQHITAGGDKNERKFSGIGINLQGNDNAITDVVIFSAAIGIMITGQANMISGVHCYNKASAFGGTGIYLKLPGLTQTRIVNSYMDYTNIIAEDPVQLHISSTFFFGDANIILKSIKGVVNGLNIVDNMFSGTNHGVEIVKLDTSITPFNQIKQIFVERNVVNGMNLKSTSAMISLHGNGTSWIADFNKVLIFPNVIRNVQYSLSASGNMFPNHVIRNVSKNYVFVETSEAVTANVIVKVDQCVTS >CAK8561549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:288600062:288600337:-1 gene:gene-LATHSAT_LOCUS15130 transcript:rna-LATHSAT_LOCUS15130 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGGKVSFSRVNAPIELQQLFLDGSVEGRHFRQCIRSYNHVLSFTSIGVQVDENILASGRGIYTFRAQGAFYHNIGGFYPNEGARPRFL >CAK8566967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476800749:476802518:1 gene:gene-LATHSAT_LOCUS20061 transcript:rna-LATHSAT_LOCUS20061 gene_biotype:protein_coding transcript_biotype:protein_coding MWHFNKRNLSLVAFSIFLVLFSIDSLKGFKWDSRLNYQRLQKKHLGSNISSYKDEGRHKTMSYDRELHKLSTRISIQGDGNLEKVEASLAKARALIKQALLRTNDIVPIEDSHDYVPQGNIYINAFAFHRSYRLMEKLFRIYVYEEGEPPLFHYGPCKNIYSMEGIFINLLETNTMFKTQNPNEAHVYFLPFSVTMIIQHLFHPVIRDKAVLGRTIGDYVDIISHKYAYWNRSYGADHFMLSCHDWGPRATWYVKELYFIAIRVLCNANISEHFNPKKDASFPEINLLGETKGLLGGYPSWNRTVLAFFAGGMHGKIRPMIFQHWENKDKDVLVYQKLPENVSYHETMKKSKYCICPSGYEVASPRIVEAIYAECVPIIISQQYVLPFSDVLNWDSFSVQIEVSEIPKLKEILLGISDEKYRRLYEGVKKVQRHFVVNNPPKRYDVFHMIIHSIWLRRLNVLLK >CAK8531732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144328036:144328482:-1 gene:gene-LATHSAT_LOCUS1500 transcript:rna-LATHSAT_LOCUS1500 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKIVLLLLWFFPAMFCTNVDYDHRTLVIDGKRRVLISGSIHYPGSTPQMWPDLIQKSKEGGLDVIKTYVIWNLHEPLQGQYDFDGRKDLVKFAKTVAEAGLYVHLRIGPYACAEWNYGGFPLWFHFIPGIKFRTDNEPFKTKMYS >CAK8531290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97382798:97384866:-1 gene:gene-LATHSAT_LOCUS1093 transcript:rna-LATHSAT_LOCUS1093 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILNPKFSSLSSNFPKLSSFSLFSRPFTSSFRNNHSRFSHPLRLNQTTTPPLFIVSSIKSISKSQNRTLQATKSTVGDIHVIVGPMFAGKTSSLIRRMQSESANGRSGLVENTQNLDKVLQGLCVSGRLAEAIRLLYCTRLPVHSRTYSLMLQECIFWKDYKRGRRIHAHMIIVGYVPNEYLKTKLLILYAKSGCLETALFLFNNLVEKDLFAWNAIIAGYVQKGLEEDGLETFYGMRQAGLRPDQYTFASVFRACASLALLEPGRQAHGVMMKCQIGDNVVVNSALIDMYFKCSCICDGRLLFDKCLSRNTITWTTLISGYGKHGQVAEVLDSYHRMINESFRPNNVTFLAVLVACSHGGLIDEGHKYFQSMIRDYGIVPQAKHYAAMVDLLGRSGNLKEAYEFVLKSPCKEHSVIWGALLGACKIHGDLDLLKIASKKYFEFERSNAGKYVVLANGYASSDLWDDVEDIRATMRESGMIKEPGYSRIEVQTEVCFFFKADKSHQQTDEIYQVIREITSILKDAGYIPGLSRN >CAK8541915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431915219:431915963:-1 gene:gene-LATHSAT_LOCUS10803 transcript:rna-LATHSAT_LOCUS10803 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAEDKIWNKLIEAKPEAAEWKNKPILFYDKSAKLFGKDQATGEHEGTTAEMRAKKVANVEKNHGTTIEEICHLVETNKVILEGFDNDEHHSNNFPTRPSITNSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKKMVDVFEMNSMELVKQSKNDNGGDIWAELVEIGVEPSSLPLVYMYLIKNVDALKAFNGIPIDKWKEILHLIVPDYPF >CAK8534228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707592173:707592996:1 gene:gene-LATHSAT_LOCUS3788 transcript:rna-LATHSAT_LOCUS3788 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKLIATPQSFPCARIEWALKLKGVEYEYIQEDLLNKSTLLLESNPVHKKVPVLLHDGKSIAESLVILEYIDETWKNNPLLPQDPYERSLARFWAKFIDEKCLVSVWGACVAQGEEKAKAVDAAMELLAFLEKHIEGKRYFGGEKIGYLDIVAGWISYWLNVLEEVGEIELVNVENFPSLYEWGHSFIKTSPIKDCVPSREMVVEYFSFGINYVRSLASKE >CAK8540321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552238434:552239721:1 gene:gene-LATHSAT_LOCUS9335 transcript:rna-LATHSAT_LOCUS9335 gene_biotype:protein_coding transcript_biotype:protein_coding MESWISRSGLVSLQRTSLTKIDTNLISAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPVRGVFWNPQDISEALAVEWAVDYLGVSQRIAQQQVRECKGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLNGLSGYNWAAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPSNNVRLPRAMRWSYKQGALKVDDLRPILDELTPADVIWCPFENHRVWRQFDELCLYRGCLRWGGTIVPYMPDRCLRQFGYRQYVPHLPLDSRMAGDIDVDWISYHQSVQNVICSTTPATIPYETDDGYLEWYYRVSHPRLVPLSVDATTEMPVPVYEAGPSDHIVARMSSLIHHYL >CAK8560744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39315383:39316264:-1 gene:gene-LATHSAT_LOCUS14395 transcript:rna-LATHSAT_LOCUS14395 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHKWWQVIWLVISIIMFLSSLVQTLQTYDHESLEDFFCKQVNKDIENPKTGIFYNTSLPSNYTGMKVRAIRLRTSSFYIRGLNYSIFKFPPHVVPQPNRKRMVILYENFGNWSSHYFNVPTDYTMVAPIFGFVAYTSSENAFIVKEKMSFVIHGKPILIHFHHVRLHDKNDTPICVKFLDDGILEFHNMSKPYVCEAYGTGHYTLVVPSPFPSPLYNKNIFTIWWILGFVGLVLLVLVLVTLTEVAKKRKIKKLEKNSENGEAFDTFWIGETKMPLGSMIRTQPVLIENDS >CAK8532244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228868559:228869422:1 gene:gene-LATHSAT_LOCUS1974 transcript:rna-LATHSAT_LOCUS1974 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNITNWGYYEPVASFKSHLGLQLMPSMPEKPLLGGRNAAVLSGSNGAFHHRDIGLPQTTYPMDYMRDAWFSSQRDNKYMNMNMIPTNPPSYSSMPETSSAHHIQMIRPPELVKEEKPMEEVPVVEKSNGSGKKRQGLKVPKSPKAKKPKRGPRVPKDENTPSVQRTPRAPKKTTEIAINGIDLDISSIPIPICSCTGLPQQCYRWGSGGWQSACCTTAISIYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >CAK8574203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669273860:669275051:-1 gene:gene-LATHSAT_LOCUS26567 transcript:rna-LATHSAT_LOCUS26567-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPSPIPVRSDRVSSGSPFSRPPPVHRLLSPISTKPWRTAPLHRRWRHASTRSVGPVHAGSRADDSAPFEMSVENALKLLGVSEGASFDDILRAKNSIVASCKDDQEAISQVEAAYDMLLMQSLTQRRAGKVVNSSVRYADVKPVQSQVVGSMPQWMQSTMKKPPVSIDSPSTSDFGLQAGVYGALMGLTYLSGSSSPPAGYAGADVPGLILAGSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSVVENWLQVDIVPFLGIHSPAAVVSEVIILSQFLVSLYLR >CAK8574202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669273712:669275051:-1 gene:gene-LATHSAT_LOCUS26567 transcript:rna-LATHSAT_LOCUS26567 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPSPIPVRSDRVSSGSPFSRPPPVHRLLSPISTKPWRTAPLHRRWRHASTRSVGPVHAGSRADDSAPFEMSVENALKLLGVSEGASFDDILRAKNSIVASCKDDQEAISQVEAAYDMLLMQSLTQRRAGKVVNSSVRYADVKPVQSQVVGSMPQWMQSTMKKPPVSIDSPSTSDFGLQAGVYGALMGLTYLSGSSSPPAGYAGADVPGLILAGSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSVVENWLQVDIVPFLGIHSPAAVVSEVIILSQFLVSLYLRVYREGYCYNHIVDPVSAVHNRLR >CAK8571901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:491328983:491329341:-1 gene:gene-LATHSAT_LOCUS24524 transcript:rna-LATHSAT_LOCUS24524 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPREMEIWISRSGLASLQRTS >CAK8570937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:179680759:179681300:-1 gene:gene-LATHSAT_LOCUS23645 transcript:rna-LATHSAT_LOCUS23645 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDLGLEIIHNEGSSLYANLFVDRLAEVRESLMIEAFGFQPPYKWLTLPDIGYLIANRYNVVLVCLGFEYWTFFPIKTLFSPNVSFYYIGFVNINHWVQINMKERFTLPPVTLDWKKFRSPAATSWMLGFAEHLQHWQQLTPILPMHYEL >CAK8570938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:179680759:179681198:-1 gene:gene-LATHSAT_LOCUS23645 transcript:rna-LATHSAT_LOCUS23645-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFGFQPPYKWLTLPDIGYLIANRYNVVLVCLGFEYWTFFPIKTLFSPNVSFYYIGFVNINHWVQINMKERFTLPPVTLDWKKFRSPAATSWMLGFAEHLQHWQQLTPILPMHYEL >CAK8576893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522535140:522536687:1 gene:gene-LATHSAT_LOCUS29050 transcript:rna-LATHSAT_LOCUS29050 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVCPVQKCLPKNYYQASQLVSKLGLKVKKIDCCKNVCMLYYKDGSKLSECKFCNAPRFIPRKTGMGNYKDIPMKRMFYFPIIPRLQRLYASNESATEMRWHQMNKNSSNVLRHPSDGKVWKHFDDVYPDFAREPKNVRLGLCSDGFTPYIQASASPYSCSLIIVTPYNLPPEMCMTKPYLFLACLIPGPHNPKLKIDVYLQPLIDDLSLLWSNGVLTYDISTKQNFIMKACLMWTINDFPAYGMLSGWGTQGKPACPHCMEHMDAFTLKSGLKNSWFDCHRRFLPTNHSFRRSKRSFIKNRVVKDDPPPVSIGQDIWEVISNFPKVTEIGWEEKWEEFEGYGVDHNWKKRSIFWDLPYWKDTLLRHNLDVMHIERNVFDNIFNTVMNVKDKTKDNEKAREDLAKLCFRGDLELQPLTNGKRGKPKASYTLTKPEVKLVYKWLKELKMPDGYASNLSRCANVEKGTCHTLNLSYLCIAPRSVYLPTNYTQKIPKNMSFIFSLVHQAKDEFNFD >CAK8531908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174156696:174157250:-1 gene:gene-LATHSAT_LOCUS1666 transcript:rna-LATHSAT_LOCUS1666 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFPSAHSLFTRFSKQRRKGGQQVPRALCPTHLSRSKCSSSVPSNAPIYRQRSCECAVMLQMLRHRIDRPSSCSFPVHSLYISDTQGRTRWEGSSPSLCPADHSAGILHSRLRLTAARGWSCRYVSLSGSLAPPVISFYDMLLSSPYSICHLVISASLRVSTSERNGGLHSVTPRSPSKRSE >CAK8564468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676340688:676341907:1 gene:gene-LATHSAT_LOCUS17771 transcript:rna-LATHSAT_LOCUS17771 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHYLSFFLFVFILELSTNVYGFSSPKKSVKPLLPAEALLSISHYHSTCPDAEGIISQKVAAWVNKDPTLAPSIIRLHFHDCAVRGCDASILLNHKGSERTAFESKTLRGFGMIDEIKTELEKRCPRTVSCADILTAAARDATILAGGPFWEVPFGRKDGKISLTKEANLVPQGHENITGLLAFFQERGLDMLDLVTLSGSHTIGRSTCYSIMNRIYNFNGTGKPDPSLSVYYLKLLRKRCKKDLDLVHLDVITPRTFDTTYYKNLVRKVGLLSTDQLLFSDARTGPFVEAFATQPFLFDSQFSVSMVKLGNVQVLTRPNEGEIRVNCNYVNHV >CAK8575399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:108369016:108369466:1 gene:gene-LATHSAT_LOCUS27668 transcript:rna-LATHSAT_LOCUS27668 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVAVGCGWQTFVAYVNVGCYYGIGIPLGSVLGFYFKFGAKGIWLGMLAGTVLQTIILLYVTFRTDWNKEVVESNKRLNKWEDKTEPLLKN >CAK8531255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93588780:93606273:-1 gene:gene-LATHSAT_LOCUS1061 transcript:rna-LATHSAT_LOCUS1061 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPHLQLKELGSKLDILPSSKEPLVELLKQAAACLTDMDQSPSASVLESMKPFLNSIVKPELLKHQDGDVKLLVATCVCEITRITAPEAPYSDDVLKDIFQLIVSTFSGLSDISGPSFGQEVTMLEILAKYRSCVVMLDLECDDLVNEMFSTFFAVARDDHPESVLSSMQSIMAVLLEESEDIHENLLSILLSTLGREKRDVTAAARKLSQNVIQQCIGTLEPSIKQFFLKSLSGESKPVNSQIQYHEVLYDICCCAPQILCGILPYVTGELQTDQLETRLKAVNLVGNIISVPGISTAEAFQPILSEFLKRLTDKDFGVRMSVLDHVKSFLLSNPQRPEAPQTISSLCDRILDFDENFRKQVVAVICDVACYTIHTVPLGAVNLVAERLHDKSQLVKKYTMERLAEIYRVFCEKSSDTVIPSGYDWIPGKILRCFYDKDFRSDTIESILCGSLFPSEFSINNMVKHWIDIFSGLEEVEVKALEKILEQKQRLQEELQKYLALRQNSQDKENLEVQKKIAFCFRVMSRSFANPTEAEESFQILDQLNDTTIWKILTNLVDPNTSFHQTRIYKDDLIKILGEKHQLNEFLNTLYVKCSYFLFNKEHATAVLSEIIRYKSAKNDQRMKSCMNMLVIIARFCPHFFNGNEKELVKLLKDNNNNDMIREGILTILAKAGGTIREQLSVTSSSVDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPTVLQSLGCIAQTAMPVFETRESEIEEFIINKILKSDSKDDHTKASWDDKSDICVLKIYGIKTVVKSYLPIKGALVRPGIDGLLDILRNILSYGEVSKDIKSSSVDKAHLRLASAKAVLRLARLWDHKIPADIFHLTLRASEIGFPQAKKVFLCKVHQYIKDRLLEAKYACAFILNIFGTNPNEFTEDKQNLSDIIHMHHQEREGQLSGKSDANSLTTYPEYILPYLVHVLANLSCPNIDECKDAEAYNTIYRQLHLILSMLVQRDEDVNSKVTSDNEKEILSAITSIFQSIKHSEDVVDPSKSKNSHAICDLGLAITKRLVQKDVYMQGLSHLVSLPTILYKAQEKENDLMASKVKTWLGDESVLAYFESVQLKMVPSQSAEDHALKEDEKDRNEMSLGKIVKNIRSQGTKGKKVKKDKTTTSETKKAEDDFDILNMVREINLDNLETSNNFESSNGHESSLSKKVQKDLEFGAMKKRKVGEETLVPVPKRRRSSITHRKSRSGSSSKASQKVSEEVPSGVKLLLDAVINPDTGSKNMQRKLIKGKEPSSEPTIKASQSYHIDESDKSEERDVKSPGKLKTTNKTESEIFKTSVVSTKKRKRSIGGLAKCTTKKDQSNAGDLIGCRIKVWWPLDKTFYEGTVESYDPSKRKHVILYDDGEVEKLYMEKERWELINEGHKSTKKLKPSKTQPLNEVSTGKKQRSSSGSANKKTTKIVNGKQSPIKYAKHGLKKASKTNFHEGVNESSELSNPEETTISEPETYSGGSEGEQDEGSGVIIVKKKKVNKKVKLGSRGKRPKKKKSLSNMKEFNEEKQEPDEEKQDDAERISGNRESYPQGAQNDEESSSNEREAGESRGASRENVNEEESDPEGNLNESDGESSPSREEVQTPPNDQTSPDSARFAELPDDEPLIKWKLPSVKKRLSGKKQ >CAK8544292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676886512:676886715:1 gene:gene-LATHSAT_LOCUS12984 transcript:rna-LATHSAT_LOCUS12984 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGYNYILRCPVTHGGMRIYEKLNCFFCNAVWRNMYPKAHVKTLHRMDISNYHLMLLTLMDIELR >CAK8539875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529916431:529916889:-1 gene:gene-LATHSAT_LOCUS8933 transcript:rna-LATHSAT_LOCUS8933 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKAKRSLRQGDPISPFLFMAMMEYLHKSMQKVSKNSDFDFHAKCEKLKIINISFADDLLLFAREDINSIELLMKKMNEFSRATRYLGVPLTSKKLYIHHYMGLIDRIVSRVKN >CAK8560469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20924750:20925079:1 gene:gene-LATHSAT_LOCUS14138 transcript:rna-LATHSAT_LOCUS14138 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNIRGLNKVGKLREISSRLLELKPAIVILVETRVKKSKENVIRNKLHLTDNFIDNYKDRENGRIWIWWDNNEIDIYFIHSSSQHIHWMTVVYAHNQMNKRRILWK >CAK8539343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509771842:509776375:-1 gene:gene-LATHSAT_LOCUS8447 transcript:rna-LATHSAT_LOCUS8447 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELEIKAKEAFFDDDFSLAVDLYSQAIELDPANANLFADRAQAHIKLNAFTEAVSDANKAIQLNPTLSKAYIRKGTACINLEEYHTAKVALEKGALIAPEDSRFTNLIQQCERYIAEESDTLTGILPPNGPKTSVPSVDDTHMCNKGDGTGASEEPQRDSPASETNEAAPVRPKYRHEYYQKPEEVVVTIFAKGIPADNVVVDFGEQILSVTINVPGQNAYLYQPRLFGKIIPAKSKVLVLSTKIEVRLAKAEAINWTSLEYCKDVVPQKISVPTIQSERPTYPSSKSRTKDWDKLEAEVKKEEKEEKLDGDAALNKLFRDIYQNADEDMRRAMSKSFLESNGTVLSTDWKEVGSKKVEGSPPEGMEVKKWEY >CAK8540273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548383932:548387314:1 gene:gene-LATHSAT_LOCUS9293 transcript:rna-LATHSAT_LOCUS9293 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMVSQLASKFAFFPPSPPTYQLKTRDDGKLTVVSTASPIPHPDDNSLDVLLVDTKRGNKIVAFYLKNPYARLTLLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESSTYADIEAIYECLETKYGVSQEDVILYGQSVGSGPTLHLAAKLPRLRGMVLHSGILSGLRVLCHVKFSFCFDIYKNINKIKKVKCPVLVIHGTEDDVVNWLHGNRLWKMASESYEPLWIKGGGHCNLELYPDYILHLCKYIQEMENMTTEKRLKKIRQNLDSQSKSNACSACACSGIKCCCSFKCKLPKCSDCCSCINFSLSINCPDCCCKPSCFKCCRLPKLTNCFGSSCCTICSRPSCCCPKCSLPCCYPKCGRPSCCMSCFCWQCFMGKHNGRNGKQRG >CAK8561048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82583873:82584507:-1 gene:gene-LATHSAT_LOCUS14673 transcript:rna-LATHSAT_LOCUS14673 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFCIPLLLLVTLFYATSVVARNDPSGNEVNTEGKLANEGVTKPSLQGSNEDEKFIGYFYLKHKFKGYFHKKPIYYKPIPTYKPFHKPTIVDKPTPSVVEPESFHKHKHYFFKKPIIPIVKPVYVPIYKPVPKVIPIYKPIPKVIPIVKPIH >CAK8536302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929248629:929251937:-1 gene:gene-LATHSAT_LOCUS5686 transcript:rna-LATHSAT_LOCUS5686-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNYDLTQNDDDSIMLLEKIPEASSGTSNSSIVNAEGSSNITGDEDSCSTRAGDVFTFKFGILKVEKGNEAVATKELFPVSTGNWPQGEASPMVIPARKSVMDLSLDRHLGGENGVALVPQRPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVEYEEDMNQMKNLSKEEFVHILRRHSNGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYESEIKPINEGGSHNLDLNLGIATPGHEPKENRGYRQFQTAPYNLHSGRNLKMETNANSVIGDPSLKKLVVTEQRPSMWNATYSSFFPNGERAERIGIDPSKGPPYWAWQANSQGTASPVPQFSTAASSGFSISATFPSNSIFQTQPMNSIPLRFTPSNASGSNASQYYYQVKSSQAPP >CAK8536303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929248629:929251937:-1 gene:gene-LATHSAT_LOCUS5686 transcript:rna-LATHSAT_LOCUS5686 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNYDLTQNDDDSIMLLEKIPEASSGTSNSSIVNAEGSSNITGDEDSCSTRAGDVFTFKFGILKVEKGNEAVATKELFPVSTGNWPQGEASPMVIPARKSVMDLSLDRHLGGENGVALVPQRPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVEYEEDMNQMKNLSKEEFVHILRRHSNGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKAYDKAAIKCNGREAVTNFEPSTYESEIKPINEGGSHNLDLNLGIATPGHEPKENRGYRQFQTAPYNLHSGRNLKMETNANSVIGDPSLKKLVVTEQRPSMWNATYSSFFPNGERAERIGIDPSKGPPYWAWQANSQGTASPVPQFSTAASSGFSISATFPSNSIFQTQPMNSIPLRFTPSNASGSNASQYYYQVKSSQAPP >CAK8562461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496126241:496138977:1 gene:gene-LATHSAT_LOCUS15956 transcript:rna-LATHSAT_LOCUS15956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSIELNFLIFRYLNESGFTHSAYTFGNEAAINKCPIDGNLVPPGALVTFVQKGLQYFEMEANLSNCDADLDEDFSFLQPLDLITKNVHELGQMINERRKKLLKERNKELEKEHEAERGRVREKERHQREKEVEKDRERVKVAKEREQHVNKTDREVVRDQEKVTAKHEVNGAAGGPESTDICTTSTSQPRQILSSDVTILEGHTSEVCACAWCPSGSLLASGSGDSTARIWAIPEGRCKPVSQSVPLEALVLKHVRGKTNEKHNDVTTIDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHSGPIFSLKWNKRGDYIVTGSCDKTTIVWDVKAEEWKQQFEFHTGPALDVDWRSNMSFASSSTDNMIYVCKIGETRPVHTFAGHQGEVNCVKWDPTGSLLASCSDDVTAKIWSLKQENYLHDLREHSKEIYTIRWSPTGPGTNNPNKKLVLASASFDSTVKLWDVELGKLIYSLNGHRQPVYSVAFSPNGEYIASGSLDKSLHIWSLKEGKIVRTYAGSGGIFEVCWNKEGDKIAACFANNIVSVLDFRM >CAK8562462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496126241:496138977:1 gene:gene-LATHSAT_LOCUS15956 transcript:rna-LATHSAT_LOCUS15956 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSIELNFLIFRYLNESGFTHSAYTFGNEAAINKCPIDGNLVPPGALVTFVQKGLQYFEMEANLSNVSILCFCILDFIYCDADLDEDFSFLQPLDLITKNVHELGQMINERRKKLLKERNKELEKEHEAERGRVREKERHQREKEVEKDRERVKVAKEREQHVNKTDREVVRDQEKVTAKHEVNGAAGGPESTDICTTSTSQPRQILSSDVTILEGHTSEVCACAWCPSGSLLASGSGDSTARIWAIPEGRCKPVSQSVPLEALVLKHVRGKTNEKHNDVTTIDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHSGPIFSLKWNKRGDYIVTGSCDKTTIVWDVKAEEWKQQFEFHTGPALDVDWRSNMSFASSSTDNMIYVCKIGETRPVHTFAGHQGEVNCVKWDPTGSLLASCSDDVTAKIWSLKQENYLHDLREHSKEIYTIRWSPTGPGTNNPNKKLVLASASFDSTVKLWDVELGKLIYSLNGHRQPVYSVAFSPNGEYIASGSLDKSLHIWSLKEGKIVRTYAGSGGIFEVCWNKEGDKIAACFANNIVSVLDFRM >CAK8562463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496126241:496138977:1 gene:gene-LATHSAT_LOCUS15956 transcript:rna-LATHSAT_LOCUS15956-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSIELNFLIFRYLNESGFTHSAYTFGNEAAINKCPIDGNLVPPGALVTFVQKGLQYFEMEANLTCQCDADLDEDFSFLQPLDLITKNVHELGQMINERRKKLLKERNKELEKEHEAERGRVREKERHQREKEVEKDRERVKVAKEREQHVNKTDREVVRDQEKVTAKHEVNGAAGGPESTDICTTSTSQPRQILSSDVTILEGHTSEVCACAWCPSGSLLASGSGDSTARIWAIPEGRCKPVSQSVPLEALVLKHVRGKTNEKHNDVTTIDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHSGPIFSLKWNKRGDYIVTGSCDKTTIVWDVKAEEWKQQFEFHTGPALDVDWRSNMSFASSSTDNMIYVCKIGETRPVHTFAGHQGEVNCVKWDPTGSLLASCSDDVTAKIWSLKQENYLHDLREHSKEIYTIRWSPTGPGTNNPNKKLVLASASFDSTVKLWDVELGKLIYSLNGHRQPVYSVAFSPNGEYIASGSLDKSLHIWSLKEGKIVRTYAGSGGIFEVCWNKEGDKIAACFANNIVSVLDFRM >CAK8565072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33310155:33310475:1 gene:gene-LATHSAT_LOCUS18312 transcript:rna-LATHSAT_LOCUS18312 gene_biotype:protein_coding transcript_biotype:protein_coding MASITMTASILGFPTATNRSAVATQRRFVINAVRAVEGEKMVRYDKDKEGSNGRRELMFAAAAATVCSVAGVAMADEDEPKRGTPEAKKKYAPVCVTNPTARICRN >CAK8541725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:370929803:370964428:1 gene:gene-LATHSAT_LOCUS10621 transcript:rna-LATHSAT_LOCUS10621 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNEAAVDAKVVDEEDSTEKTVYMWGYLPGASSEKAPILSPTAVNLSDPSFFGDSWKDICGGGCGFAVAISEKGKLVTWGSADDENQSYMMSGKHGENPGAYKLPTEASVVKAAAGWAHCATVTEDGDVYLWGWKECVPSGRVFTDLITAGSPQKDLATKNSSSVAEQESPQSPNTSSGSDSHPDNKEVGEEAGKRRKVSFAKQESDSQASGDDFFIVSPSLVTIGQGVKITSVAVGGRHTLALSDVGQVWGWGYGGEGQLGMGSRVKMVSSPHLIPCIESHSGKDRPSFHQGSSAGVQGPGSYVVEIACGGRHSAVITDAGALLTFGWGLHGQCGQGNNADQLRPTLVPSLLGARVKKIAAGLWHTLCVTVNGQIYAFGGNQFGQLGTGNDQPETSPRLLDTFENNLSRIVSCGARHSALLTDDGHLFTWGWNKYGQLGLGDSVDRNIPCRVSISGCRPRHVACGWWHTLLMVDKPV >CAK8562623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517092531:517096407:-1 gene:gene-LATHSAT_LOCUS16108 transcript:rna-LATHSAT_LOCUS16108-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDIQQWKDFPKGLKVLLLQEDTTCASEIRTKLEAMDYIVSIFCDVNEALSAISNKPEGFHIAIVEVSSHSCEGGFKFLENAKDLPTIMTSNNDCLNTMMKCIALGAVEFLSKPLSEDKLRNIWQHVVHKAFNAGVSVLSESLKPVKESVVSILQLQTDNEQHESRASVELEKESRFSDNDPEHYPAPSTPQLKQVERLLDDGDCQEQTNCSTEKESGEHDGESKFVETTCENLNAESIRQEQTNCSTEKESGERDGESNSVETTVENLNFESTRQEQINCSTEKESVEHDEESKSVENICEKLIAESTPQQKKSEFTLVQKEEEDFMDTSKCESVVSPHPQNVKALTNSESNTTSANKAGVRNNKCEIKANRKKMKVDWTRELHKKFVKAVEQLGIDHAIPSRILDLMKVEGLTRHNVASHLQKYRMHKRHILPKQEDRKWLNQRDPMQRSYCLQRPIMPGPPAYYSNHTLPPAPLYPMWGQTGSQMAGMPSWGPSGYPLWQPTETWHWQPFPGMHSDAWGCPVLPPPPQAQCFSYTQQNIPSLSNDNAMDYTIGTLYSSLDNYPEEEIIDKVVMEAMSKPWLPLPIGLKPPSTDSVLTELSRQGISNIPPNNNSFIPI >CAK8562624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517092531:517096407:-1 gene:gene-LATHSAT_LOCUS16108 transcript:rna-LATHSAT_LOCUS16108 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDIQQWKDFPKGLKVLLLQEDTTCASEIRTKLEAMDYIVSIFCDVNEALSAISNKPEGFHIAIVEVSSHSCEGGFKFLENAKDLPTIMTSNNDCLNTMMKCIALGAVEFLSKPLSEDKLRNIWQHVVHKAFNAGVSVLSESLKPVKESVVSILQLQTDNEQHESRASVELEKESRFSDNDPEHYPAPSTPQLKQVERLLDDGDCQEQTNCSTEKESGEHDGESKFVETTCENLNAESIRQEQTNCSTEKESGERDGESNSVETTVENLNFESTRQEQINCSTEKESVEHDEESKSVENICEKLIAESTPQQKKSEFTLVQKEEEDFMDTSKCESVVSPHPQNVKALTNSESNTTSANKAGVRNNKCEIKANRKKMKVDWTRELHKKFVKAVEQLGIDHAIPSRILDLMKVEGLTRHNVASHLQKYRMHKRHILPKQEDRKWLNQRDPMQRSYCLQRPIMPGPPAYYSNHTLPPAPLYPMWGQTGSQMAGMPSWGPSGYPLWQPTETWHWQPFPGMHSDAWGCPVLPPPPQAQCFSYTQNIPSLSNDNAMDYTIGTLYSSLDNYPEEEIIDKVVMEAMSKPWLPLPIGLKPPSTDSVLTELSRQGISNIPPNNNSFIPI >CAK8566235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393951036:393954988:1 gene:gene-LATHSAT_LOCUS19386 transcript:rna-LATHSAT_LOCUS19386 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSTTKRIPVGASSGEDSRASSSSLHHRRSRSLSRPARPLSSRDSDSDISAPRGKFVNTVRGSGFPEISLDDLAIEFFDSANRGRVSSRSSESETSSLRRGRSVSRRSSGVGEDRRSSIGGGGKTSYDASSRRRRSVSVVRCQISDSESDLDRSQNSKSRANLKNIDIGNKVMHKPVASDQRQVLKKSLSQKDLRSYDGYSSHSSVLTDDEGASAHFKKSGSEKLRAHSHKKGVLVDMANESFTLKSAGKSRASPLSLDDRLLSDNSDAVQAVSSVRRSYESELEQSEKRKQDLLAEIVFEEQRGRELSKIVNELSPPKKSNSIPEPPRARKKSNDRNRMSMRLTEEAERYIEDFISNVEDTDISSLDGERSDTSSSIGGLIKPETFYSPPLPRPLPVLMDGVTLPWLQWETINDATPKTTLNKALLTLTPNTATASSTQDNSKEQSNQSISSRGSWSPDYLKDYIGKDVYRKLGKIYSNSDQSSLAESKGLRYNIDEYLKVKSSEDFLTERMKQQQRINSGCLLLCNIRLC >CAK8540141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541578465:541580623:-1 gene:gene-LATHSAT_LOCUS9174 transcript:rna-LATHSAT_LOCUS9174 gene_biotype:protein_coding transcript_biotype:protein_coding MECWSSVDVVVGEIMRIHRSLPDRPGIDEVEAAKGLVVNVEKDDQIKLESIEKQRKGNDVSDELFMILLEMQRNYVFFQSNEQKREALKLLDLENVHSLFDELIQRASDCVSSPSGSSGSTAALNSRRIAYPNGSASAVSTSLSKNLTSGSGSSEKQVPSIAASSALVHVEREVSAKASELFTRDDSYVSKSKSIFYPNGYGIEPNFASKPQIMDSSLKSTTAAGQDGDKLSLIKLAGIIEVSAKKGTRDLMLQGKLMDQVDWLPDSIGKLSSLVTLDLSENRIVAIPSTIGGLSSLTKLDLHSNRITEIPDSVGNLLSLVYLNLRGNQLTTLPASLSRLIRLEELDLSSNLISVLPDTIGSLVNLRVLNVETNDIDEIPHSIGNCSSLRELHADYNRLKALPEAVGKIESLEILSVRYNNVKQLPTTMSSMINLKELNVSFNELESVPESLCFATSLVKMNIGNNFADMRYLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTKLRILRVEENPLEVPPREIAEKGAQAVVQYMADFVEKREKKDVKPLPIKQKKTWAQICFFSRSNKRKRDGVDYVKA >CAK8571270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:358984075:358985915:1 gene:gene-LATHSAT_LOCUS23948 transcript:rna-LATHSAT_LOCUS23948 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILGFEYGIVQAPLGPDISGPQLVAAVANAGALGLLRAPDWESPDYLRELIKKTRTLTDKPFGVGVVLAFPHEENLKVILDEKVAVLQTYWGDCTPELVAKVHSAGVKIVPQVGSVESAKLAIDAGVDGIIVQGREAGGHVIGQDSLISLVPRVVDLVGDRDIPVIAAGAIVDAHGYVAALALGAQGVCLGTRFLATEESYAHPIYKRKLVVLDETEYTDVFGRARWPDAPHRVLQTPFFKEWKSLPSHESEANQSVIGHSTIHGVEKDIRRFAGTVPNMTTTGDLESMCMYAGEGVGLIKEILHASEVIKRLVEEAQLIIQQNFK >CAK8563719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626795150:626795692:-1 gene:gene-LATHSAT_LOCUS17098 transcript:rna-LATHSAT_LOCUS17098 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIADTVTVIAAKSICILRGYQFSVTDSSPEQISAMIHPCDAVAPPPVKPSTHRTSRRTLTRRRRRTRRKLSGDDSGGEGLFFGGEGDGVFGGGSGGFGGGGGGGGGDWNFNRFGEGDNWDERSSLPDPAFDFVYQVLSWIMLSNCLHFAFKKIVRIITDGSIVDSDREKVPTRLTPIC >CAK8541521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:216629249:216629894:1 gene:gene-LATHSAT_LOCUS10437 transcript:rna-LATHSAT_LOCUS10437 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHIDASASATEPVGYLRGSYNSSLLVKYGHHIARHIWFVDERGSKKELKVAGHRLKLIQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMTFGEMTITLDNVSCLLHLPIRGVFWSPQDIMKDLLLSGLLITYECHREWHNNKSVTAGVLIISWSGYMIDL >CAK8539089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503478498:503479256:-1 gene:gene-LATHSAT_LOCUS8221 transcript:rna-LATHSAT_LOCUS8221 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMATGLFSASTLTLRPQLQHRFLFPTPPSSLRMLPLRTLTHATVSQPPADGKARGIMKPRKVSPEMKDLIGLTEVSRTQALKHIWAYIKENNLQNPENKKRIRCDEKLKKVFAGRDEIHMLEIAGLISPHFLK >CAK8535935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892233518:892235915:-1 gene:gene-LATHSAT_LOCUS5349 transcript:rna-LATHSAT_LOCUS5349 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANCNHFAIHRLPSSFSTAIATHCNSPRFSRHIFNVSASSQPQQLGFETPPRVGFLGLGIMGSPMAQNLIKAGVDLTVWNRTKSKCDALISLGAKYKSSPEQVAASCDLTFAMLADPQSAMDVACGKYGVVNGMGPGKGYVDVSTVDVDTSKLINGHIKSTGALFLEAPVSGSKKPAEDGQLIFLTAGDRNLYETAAPLLDIMGKSKFYLGDVGNGAAMKLVVNMIMGSMMASFSEGVLLSEKVGLDPKVLVEVISQGAINAPMYTTKGPSMIKSHYPTAFPLKHQQKDLRLALGLAESVSQSIPIAAAANELYKVAKSHGYSDEDFSAVIEALKTKFQNSENQ >CAK8534446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727278249:727281312:1 gene:gene-LATHSAT_LOCUS3992 transcript:rna-LATHSAT_LOCUS3992 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNINKRKALVAPGSVWETRMKNDQVKGGIKVFKEEEQNAVPSRVKRTSIVPRKTWTPVQKNSEEQQRSPIQSRKLRSDTHKVGEAGQFRKIKSDPIKKNSTSTDSIQLSKSKSELDQVQENEVDELDNGGGDESEKIEAEKKQENVENCEEKEMSPENLVRVGNAEEIEIETESFDVKEITIPEKDKVVVVNEEEPEPEPEKDKIVVNEPEPEPKPKPETKKVVNANMRFHHKNETRPISVPLVVKQSASIRRNSTIYRNSSSVPKEKEHSSFPQTQSKLQSLVDLIMWKDISRSTFVFGIGTFIIVSSSYAKDLNLSVISAMSYVGLVYLAVIFLYRSLICRGVIDVQDSDYVVGEKDAIWMLQLVLPYLNEFLSKLKALFSGDPGTTMKLAILLFVLARCGSFITIWKMAKFGFFGVFIVPKICSSYSAQLTAYGNFWIRRFRDAWDSCTHKKAVALGIFGLVWNLSSVVARIWAVFVLFVAFRYYQQHYMVRDECVEDEIECEETWEQANKLKKGF >CAK8567117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488901972:488902475:1 gene:gene-LATHSAT_LOCUS20199 transcript:rna-LATHSAT_LOCUS20199 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGKWLWRLKTSNNGLWFKVLSFKYGNSLEFNKDNIDKGSFWWRDLTSLYADGWGGRVNWFKDKFELGGGYLGDPNRFVVKEVYKELIDRNGANTIAFCNFIWNKKFPCNILVFLWRVLQNKLPTRDNLRKLGILRGGSERCPFGYQESESISHLLFDCYCLKSV >CAK8563761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629563379:629571348:1 gene:gene-LATHSAT_LOCUS17138 transcript:rna-LATHSAT_LOCUS17138 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLSSPQQLVGSDIHGFHTLQELDVASIMEEARTRWLRPNEIHAMLCNRKYFTINVKPMNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNSNFVRRCYWLLDKSLEHIVLVHYRETREFQGSPITPVNSNSTSASDPTAPWILSEEIDSGTKTAYAGDINNNITVKSHELRLHELNTLEWDELVVGNDLNASTVPNGEKIPYFDQQNQIFPNDSFSNVASNASTEVHSLNISTQPIPGSYGVPFNFPESVTLQTIDNQGHQNEPRNHPVPSSGVDSLNTLVNDRLPVSSIGVDSLNTLINDRLQSQDSFGMWANQIMSDSPCSLDDSALGSSVSSVDKSYSSLVVDNQQLSLPEQIFNLTDVFPAWVPSTEKSKILVTGLFQIDYLHLSKSNLKCVCGDEVVPAEIVQDGVYRCWVPPHSPGFVNLYLSLNGHTPISQVVNFEYRTPVLHDPVASVEEKNNWDEFQLQMRLAYLLFAKQQNLDVFSGKVSSSRLKEAREFSLKTSFISNTWKYLMESTLDNKIPFSQAKDALFGIALKNRLKEWLSEKIVLGSKTTEYDAQGQSVIHLCAILGYTWAITLFSWSGLSLDFRDKFGWTALHWAAYYGREKMVATLLSVGAKPNLVTDPTHKNPGGYTAADLAHTRGYHGLAAYLSEKSLVEQFNDMSLAGNISGSLETSTDDPVNSENLTEEQLYLKDTLAAYRTAADAAARIQQAYREHSLKLQTEAVEFSSPEAEARKIVAAMKIQHAFRNFETKKVMAAAARIQYRFRTWKIRKDFLNMRRQVIKIQAAFRCFQQRKHYRKIIWSVGVVEKAVLRWRLKRKGFRGLQLNTAEAEEDQNQQSDAEEEFFRTGRKQAEDRVERSVIRVQAMFRSKKVQEDYRRMKLALSQAKMEREYEKVISTEVDMGMKR >CAK8531823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159003822:159004133:1 gene:gene-LATHSAT_LOCUS1588 transcript:rna-LATHSAT_LOCUS1588 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKFPITQLKTPNYYVISCHRKHSTRIISQQKFSFKSLGNKWNLNDLTASSIQERLNVLMSRTQNFLVTSPLAKSGQSKKPGPENDIGFQVMEDIFMAEQIN >CAK8577555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574098388:574098555:1 gene:gene-LATHSAT_LOCUS29653 transcript:rna-LATHSAT_LOCUS29653 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHRIELCISMVRMAIEFVMAVAETVVIVQERNTDPFLPFSRGNSPPPFYGYPR >CAK8569409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699756464:699776569:1 gene:gene-LATHSAT_LOCUS22265 transcript:rna-LATHSAT_LOCUS22265 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLCDFRLLLFVAAAVFIYIQMRLFSTQSQYADRLAAAIEAENHCTSQMQSLIDQISLQQGRIVSLEEERKRRDQECGQMKSLVQDLESKEVRRLIDKVQVPVAAVVIMACNRADYLERTINSVLKYQRAISSRFPLFVSQDGSNSNVKSKALSYDELSYMQHLDFESVQTERPGELIAYYKIARHYKWALGQLFYKHNFSRVIILEDDMEIAPDFFDYFEAMATLLDKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIRLNEVQVDWKSMDLSYLLEDKYNIHFANNIKKAKPVSGADIVQKTHNIDGDVRIKYNDQWDFENIAQQFGVFQEWKDGVPRTAYKGVVVFRYQTTRRVFLIGPESLKLLEIEDLDS >CAK8539558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516252651:516254032:1 gene:gene-LATHSAT_LOCUS8641 transcript:rna-LATHSAT_LOCUS8641 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLLLLILIAILSNIHTMKSSELLVHEYYKEKCPLAEEIVRHNMEVAIFKDPRLAASLLRLHFHDCFVMGCDASVLLDSVEGMTSEKQAGPNLNSLRGFEVIDKIKYLLEEECPLTVSCADILAMAARDAVELRGGLRWEVWLGRKDSLESSFSGAGLFIPAPNSSLQTLINNFKQQGLDIEDLVVLSGSHTIGRARCVSFRQRIYETKQEYHYSYGYDYDRYKRYTTFRRILGSICPVKGRDNKFAPLDFQTPKRFDNHYFINILEGKGLLGSDNVLISQDMDGKIREQVWGYASNEKLFFASFAKSMIKMGNINVLTGNAGEIRRNCRFVNT >CAK8542855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553921833:553924301:1 gene:gene-LATHSAT_LOCUS11658 transcript:rna-LATHSAT_LOCUS11658 gene_biotype:protein_coding transcript_biotype:protein_coding MKETHNYTIIKVVQDENLEKHNICFDLVALDKVKSFKVAKVTSFHRFKKKLATVFGIPVKFQRYWVFAWWENQTIRPSRPLTNIEELGSIGQLTVGIHEEFNLVNVDELTLFLEVERGPDLCPVALPNLGKNDILLFFKLYDFEKETLRYVGRLFVNRKGKPMDILARLNEMAGFDPDQEIELYEEISFKPDVSCETVDKKLTFKESELENGDIICFQKSLAMNSKRYIPFPDVPSYLQFVHIGKVRLKISGEQNKINIAEEAEEANVHINTAVQQSEVDIETTKDEKSSKRSNSEVNIVDSDEVDALIEDDVIDVIDRVLSEEITISLRSQHSFLEQEARKLDPNLPQQLLVELRDIAFKEDLVENLKKGSTPKDNFNFNAVKERIEANADAFSSWQLEHVNLTVNLLNKIVSMFDKLENLNKECNTAKKCTEEDNQELKRKRQKILVSKTSITNHQTQLKSLDVQIADLKGKLEKLQGDRVKMVEMQDQEKDMITSFNKEVKSIIYRLADDKMKLKSVECNILEAQTELECHEKVYKTFRTIPPF >CAK8570078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23273939:23277294:-1 gene:gene-LATHSAT_LOCUS22862 transcript:rna-LATHSAT_LOCUS22862 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQSNKFFVKPRTSKVAENAKGFEADQGTAGVTRKANKEILEHDRKRQIQLKLVILEDKLIDQGYTESEIAEKLEEARINLEAAADENDGSSNLDKVSDTQTHQIAARKEKQMETLKAALGIASSEPGELNADGNDEEIGNGRGVSVPDAKHISEHSFLDRDFSRKKQPEEVLNEENTKKKSVKDTKHHRKGGTLKKKHTNDSSDSDSSTDDEKAGRRKHSRVKKDSNDESAQRKVKANKKQKTSLYHKKGGVEGNDDTDFTFDSDDSNRARKSNKKPAKASKKHDSDSDSDHHEGLPRHRTKEVKGHTKMSKRHDSEEESDSDSEAEKHSRLDMQKMKRYGSTNEDSGRVGVRHASRQDKHVKRRSYSSSDDSSSDSDSGSSDSDRRYERTRKGGFVAKRGGPKEEINDRGIDEEDPNPRSQVMAKGDKNIDEDRDRETIKLAKHDGEGRKSELKSRIYQYESQEKEGYSKSARLRGDDIETSEQRGRNYNKDVESQSVGRIDRNREDYETRRKGRHENDYKRDQDDHGGKKHGRNEDERTERKHLRDEDDSRDGKHKIGKEVHREKESLRDDEDGRGERKHEKDGDFPKGRKHMRDEDDHIETKIRGIEDERTGRKTLRDGDDYEERKKLRDDGHHREAQNKRDESGIGERKNSRVEDSRGEKKSSRDGGDYRETKIRRIEDDRTGRKTIKNEDDYGERNHLRNEGRYREAPNKRDGIGSGEVKNSRDEDDRKERKNSRGEDDYKERKRYRDEDLREERREAPNKRDGVGSGEVKNSRNEEDHKERKNSRDEDDYKERRSSRDEDDYKERRRSRDEDVREERKHRGGEDYHGERKRRRDDDDHVHEERRHYRRNDDEGERKHRRE >CAK8578328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620737883:620740805:-1 gene:gene-LATHSAT_LOCUS30349 transcript:rna-LATHSAT_LOCUS30349 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIFFNMLQLEFLFFNIFSTLSTPFLFESSLVASPSVPFPSSLPLHFRDVSNNDLSGTIPVAVNFGSFPA >CAK8563527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612828780:612834262:1 gene:gene-LATHSAT_LOCUS16927 transcript:rna-LATHSAT_LOCUS16927 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGRGRGRGRSNNSGSGSGNPKIRKRGSVAREALFVEGGFLSDWSPSSSNRNSGRNGGSNNKSGSLRRGEASASENGFAKSLGTTIGFNYSSPDVQEVSRVGIGNNNEDSNLNQMLRPFASVDSQQNQIIANEDQTPPPSKHNSVEYSYSYGDFVLGDSSHRGLGFPAEHDKTPSGIGKSSEQMLQSTPVLDSSSFEKDVGSDEVINCELSNQMEEDLPSKVSSTRNSGFLSIGGLKLYTEDISEDESEENDIEASSDEGDSMSSEPEEGLESSESNYSEDTSDSDSDIDEDVAEDYLEGVGGSQNIIDAKWLLDPVLDESDDDSSSSGSYGEALEKLGGISLQEASREYGMKNAQPWRKRSVKKHVPITLDDLMLEKDPRTISARKKHVPQFPNSWPSHAQKSKASKRIHGEKKKLRKKKIAVKRRERMLHRGVDLEKINSKLEQIVLGKVDMFSFQPMHSRDCSQVQRLAGVYQLRSSCQNSGKRRFVTVMQTHSTSMPSSSGRQRLEKLLGADDEEADFSVMDPTNKKSASESRRLRKKNAKRNDFKLLELQSGQSKTPKNSANKGSSKVKDKKGSGQKSSYASQPLSFVSSGTIHPETVKVIAMDSEETDSANKKCATSSADIGSFEVHTTGFGSKMMAKMGYTEGEGLGKNSQGIAQPIEVVKRPKSLGLGVEFSCIVDEPAPARDKSSSIGTSEKRTKSLGIGGSYEKHIKGSSSIGSSGKHRKRSSTSGIGSIESHTKGSSTSGIGAFEKHTTGFGSKMMAKMGFVEGTGLGRESQGITAPLGAVRLPKSRGLGAKG >CAK8539236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507372079:507372819:1 gene:gene-LATHSAT_LOCUS8349 transcript:rna-LATHSAT_LOCUS8349 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFGRCESSKEISLSKATKILSKFVSADNGASQVINAYLHRASDAFNELNQLHRELKPSQSYRKKSRSHVTDDSGRVGVSSVTSADVKSEIGIIREKVCGENVDEKLIEDDVKLGLETNGSVVDGSEKRSKKDKKKKNEFGNKKGDGKLPKMGQNENESGQGDEEMEDGKKQKKDKKKKDKNLEHESVKGREQQKEIDTKISNNGEVAAMVKNEIELSKGGEGGTEEGKINKGEEEEGGEESRW >CAK8541219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90782934:90783311:1 gene:gene-LATHSAT_LOCUS10153 transcript:rna-LATHSAT_LOCUS10153 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIFVLALIFVAVVGVTMAAEAPASSPKSSSAPSSSKGNATVAPVAATPKVSPAPPTVSDSPAASPADDDQPIDFGAPAPGPDSEFAEDEPAAAEEEPSIPANGASSLKVSAAVAVVAAAFFF >CAK8576983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529448965:529452182:-1 gene:gene-LATHSAT_LOCUS29133 transcript:rna-LATHSAT_LOCUS29133 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPYAAVLLSAIAAASGLSHNHAFSDAASSSPSDDPQSPPPPPKFRNNNPRTTSAGFDPESLEEGARIINNVATKPHGKNVFENIKKKEDAKQAEFAAKTVESNHIKAQHEAERQRITYEEKKKLAQLQDQIKSQLAKYKDELARKRMQAENEQKRARNQELVKMQEESSIRLEQARRSIEEQIQAHRRQSEREMAEIERETIRVRAMAEAEARAHESKLAEDVNRRMLIDRANKEREKWVAAINATFDHIGGGVRAILTDQNKLVVAVGGVTALAAGIYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGVLSRTMSSLSRRTDPESASKVGNGFGDVILHPSLNKRIEQLASATAHTKAHNAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVSDRIDEVLEFPLPGEGERYKLLKLYLDKYIAQAGARKPGLVQKLLKGSPPKIEIKGLTDDIIKEAAAKTEGFSGREIAKLMASVQAAVYGSTNCVLDESLFREVIDYKVSEHQQRRKLAGAADKAGA >CAK8570001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20430538:20431074:1 gene:gene-LATHSAT_LOCUS22792 transcript:rna-LATHSAT_LOCUS22792 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLSALLLLLSFLSYSIYSHACESCNPKPKPTPPPPAPCPPPPSTTPKATPPPTPPTTRPPPTPSTTPTTSTPPPSTTTPTATPPTPSTLKKCPKDTLKLGVCANLLGLVNVVAGSPASSKCCALIQGLADLDAAICLCTAIKANVLGINLNVPVTLSLLLSACQKSIPSGFQCS >CAK8578106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607340316:607344614:-1 gene:gene-LATHSAT_LOCUS30145 transcript:rna-LATHSAT_LOCUS30145 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAVPRPAHKERPQPSSRKKFGVLEKHKDYVERAKAFHTKQDALQKLREKAANRNEDEFYFKMIKSKTVRGVHTPLNEDNKYTQEELILMKTQDMGYVLQKLQSEKKKVESLSATLHSTGEKKTNSHVFFAEDREEAKELKLKHSKREIPSTSGDVPVNIKRKTERSYKELEARKTRVSQLEKVYMDMALTKELQKNGRKRKLRPDEIVNPTNRPVYKWRAERKR >CAK8535955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894017899:894018612:-1 gene:gene-LATHSAT_LOCUS5368 transcript:rna-LATHSAT_LOCUS5368 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQVSAFTQRKRQKVSEPVLTDEERLLFNLISSRENIGIWTGDMKRETKLPTTVVNKSLKTLISKNMIKEVTTIQNKGRKHYMAKEFMPSEEITGAHFYSDGKLDIDYINSLKDVCLKCIFMQKVSTCDGCLEWIKRSGVFNTEVTKKQMEEILQTLVLDDEIMQMISTGQGDFASIPVGKTCYISKSKGGVRGEKKTADLTSFPCFSCQRMSFCTPDGTISPATCVYYQKWLDF >CAK8561819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389531479:389531982:-1 gene:gene-LATHSAT_LOCUS15373 transcript:rna-LATHSAT_LOCUS15373 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERAKLHTALTFLQFCHAGNHIFLRTALNTGVIKLVFPVYRNIIAFILLAPLAYFTEKKDRPPITSYCLLHFFLLGLVGITMNEGFYLVGLDNTSPTFASAM >CAK8572521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543327527:543330857:1 gene:gene-LATHSAT_LOCUS25079 transcript:rna-LATHSAT_LOCUS25079 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKSTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELEASVLEKASKSVWDLILDNNGLGKEISETVERVFCRLSGQEPPLFPLLNGEPQPEKEADSRKEKGKGKQKENENTSLITPPSKKRSFGELNLDGADETAPRSSDPVATSEAYGRSPLSISKT >CAK8560086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7080426:7081824:1 gene:gene-LATHSAT_LOCUS13799 transcript:rna-LATHSAT_LOCUS13799 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSENNPTETVKPLDFKKLKVISAVGRGAKGVVFLARTGYRSSDECLALKVTSKALIHQKKAKNNSKGYGEYKRASFEEEVLRRFDHPLLPRLRGVFETEKIVGFAIDYCHGGNLHSLRKKQTEKMFSDDAIRFYAAELVLALEYLHNLGIVYRDLKPENVMIQENGHIMLVDFDLSTKLKPKSPPQSLSHDSSNRSSLSKEKHVTKRLLSRFYRCNSGISPCDSDFDSQPGVNSVSKNESGSVEKSNSFVGTEDYVAPEIVLGKGHGFEVDWWSLGVVLHEMLYGTTPFNGTNRKETFYRILSKEPELTGEKTALRDLIRKLLEKDPDRRIKLDEIKGHDFFKEVMWDRVVELARPPYIPCIEVENENTKGYSKKYVEVFVHEVFFPCGDDNNEEKKNKMEDKKINGEDKTGWVDKLSSSLNENEDFLIF >CAK8571811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478194015:478197733:1 gene:gene-LATHSAT_LOCUS24440 transcript:rna-LATHSAT_LOCUS24440 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLPSTTKTLNSPSPFYLHHVSSFQSKSKPKFQFHPILSLNLACKGLTLAVSSSSPPDTKLRSVGSKNIDVATMGNLCVDIVLNVPQLPPLSVHEREAFMERLASSPPPKKYWEAGGNCNMAIAAARLGLDCVSIGHVGDEIYGNFLSDVLRDEGIGMVEITPGDDTVSSCGDSCETLICWVLVDPLQKHDFCSRADFCKEPAFNWLSKISREARLAIQNSKVLFCNGYGFDDLSPSLLQSVVDCAVEVGTSIFFDPGPRGKSLSAGTPEEQRALNQFLRMSDVLLLTADEAESLTGIGDPILAGQELLKRGIRTKWVIVKMGLKGSILITTTSIVCAPAYKVNIVDSVGCGDSFVAAIAYGFIHNLPMVNTLAIANAVGAATAMGCGAGRNVASLEKVVDILRSPNLNEDNEFWTEILEKKVLDQEVTCLSNIMNGNRNHLNFVSFDQVASELLPKLEFPQTVENVST >CAK8565957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358992306:359002548:1 gene:gene-LATHSAT_LOCUS19130 transcript:rna-LATHSAT_LOCUS19130 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKDTDGGSEGTKDTLDDDQSGRQLYVSLKMENHKLTAHLVPHVYGSVPLVGSWESSKALSMERESVSMWELSFVVPPNHETLDFKFLLKPKDSDVPCFVEEGPTRQLIGGALREDAKLALFKVDSGEVLEYQVFVKADRVSPFDLAASWRAYQENFRPSAVRGIPDVSISSTPQTGGENGSSAGLELDLEHYVVPAPSTSANSAHVYAANNTENPRSLISESDGSSYSTKGMEVIVPDPSKVFQSSGMVESKSVGTFSPLQKHDSQKGHFVDRGVGSPRLVRSSSSNIFCGHNLGTGTKNSMPAAAGAVAAAAVADQMLGLKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQSADFFRADNPEGMEARDEVAALAFEDMLSWMHEGGQVGIFDATNSNRRRRNMLMKLAEEKCKIIFLETICNDVNIIEKNIRLKIQQSPDYAEEPDFEAGLADFKNRLANYEKVYEPVGEGSYIKMIDKVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESQDNVRGRIGGDAAISEAGELYSKKLANFVEKRLKSERAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNRPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEVPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >CAK8530409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19657626:19659804:1 gene:gene-LATHSAT_LOCUS276 transcript:rna-LATHSAT_LOCUS276 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSIILLIFITIFAFNPSNAKQTQPFSTMLNINHILNKLPQLKTLTQGFQESQLQVSAPLVVAGILCFIASSISSAGGIGGGGIFIPILTIVAGLDLKVASSISAFMVTGGSVANVICYMFTTSPKFGGKSLIDYDIALSSEPCMLLGVSVGVICNLVFPEWLITLMFAVFLAWSTSKTCKSGVMFWTIESEEIRVNGVEEIEKGLLEKETSTKGLLRLLQKENDGSEKVEENLVLVPKENSDKLCIPWLKLGVLLLVWLSFFSIYLLRGNGNGQRIIPMEPCGVGYWIISSVQVPLAVVFTAWMVFRKESLQDPSLVPEVQCQNRNCPSSKLVFPLMALLAGILGGVFGIGGGMLISPLLLQVGIAPEVTAATCSFMVFFSSTMSALQYLLLGMEHVETALILAVMCFVASLIGLLVVQKVIGKYGRPSIIVFSVSIVMSLSVVLMTSFGAIKVWEDYKSGKYMGFKLPC >CAK8533778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659653024:659653404:-1 gene:gene-LATHSAT_LOCUS3376 transcript:rna-LATHSAT_LOCUS3376 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLKLEDEYPTESDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPGQPEMCDLLPVEPTNAYVDRVEIN >CAK8570771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:111270056:111270613:1 gene:gene-LATHSAT_LOCUS23496 transcript:rna-LATHSAT_LOCUS23496 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVREQDQYMPIANVIRIMRRILPSHAKISDDAKETIQECVSEYISFITAEANERCQREQRKTVTVEDLLWAMGKLGFDDYVHPLTFYLQRYRESEGEPASVRRTASLALPHPLPMQQRQIPSLSSMPVLDNNSSNSCYGFGYGFEFDQGFGGGGGDGNTSSSGAAFVPNFDYYPHLKRDNNMG >CAK8543418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606894215:606897433:1 gene:gene-LATHSAT_LOCUS12175 transcript:rna-LATHSAT_LOCUS12175 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEIKEDVEGGKHKIITEPLLGENNNQLHHGTKDHNHPWMVYFTTFIAVCGSYEFGACAGYSSPTQDAIRKDLSLSLAEYSLFGSILTFGAMIGAITSGPIADFVGRKGAMRVSSAFCIAGWLVIYFSKGPIPLDVGRLATGYGMGVFSFVVPVFVAEIAPKELRGALTTLNQFMIVTAVSVSFIIGTVLSWRALAIIGLIPTAVLLLGLFFIPESPRWLAKRGRAKDFVAALQILRGKDADISQEAAEIQDYITSLELLAKPKMLDLFQRRYLRSLTIGIGLMVCQQFGGINGVCFYTSSIFDLAGFPSATGSIIYAILQIIITGAGAALIDKAGRKPLLLASGSGLVAGCLFTAVAFYLKVHNVGLGAVPALAVTGILVYIGSFSIGMGAVPWVVMSEIFPVNIKGQAGSIATLVNWFGAWLCSYTFNFLMSWSSYGTFILYAAINALAILFIVVAVPETKGKSLEQLQAAINA >CAK8541336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:124941847:124950946:1 gene:gene-LATHSAT_LOCUS10265 transcript:rna-LATHSAT_LOCUS10265 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSFGSSSSTQSSSSSPFSFGSSPSFGSSSPFSTTTNPTSAASSSPSPLSFAFASSSSSASTGSAFNFGSSSFTAPSSLFGSSSASSAPASSAPSIFGSATTGSSLFSSSSSSTTPFGGASPAATNLFGGASSVSATASTPLFGGASTASTTLFGAPSSASSTPFGAPSSASSTPFGAPSSASSTPFGAPSSASTTPFGASSSASSISSSLFGAPSSASSTSSSLFGAPSSASSTSSSLFGAPSSASLTSSSLFGAPSSASSTSSTLFGAPSSASSTSSTLFGAPSSASSTSSTQFGAPSLASSASTPFGFTLSSASITPFGGTSSASSTSTLFGGTGSGTTTPFGGSSTAAAQSFGAFAGSSVTSSATTTTTPFSNAFSTNASSASTTTTSFSAFSKPSAPASTTTSASPSGFTLGNTTSSASQPSFGFGNAPSSTASSSASTVSGTQVASKPAGSFSFTTSSAPLFSTVTTTTASTTTTGAAVVSASVPAFGATAASSASASGGFSLTATPVASTGAGSSSASGGSSFAGFGVGSTASTASTVSFGTGFSFANKASTPAVSAATAPAFGVSTSTSTAPAISSSSTSATQLSSAVVVASSSGTTSTVSTSVGGTPKLPSEITGKTVEEIIKEWNSELQERTGKFRKQANAIAEWDRRILQNRDVLLRLEIEVAKVVETQSNLERQLELIETHQHEVDKALQSVEEEAERIYKDERGLLLDDEAASTRDAMYEQSELIERELEQMTEQIKSIIHSLNSNQGGEVEAPDGMTPLDAVVRILNNQLTSLMWIDEKAEEFSSRIQKLANQGSASDCELVGPGSWMF >CAK8533661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646696676:646698218:-1 gene:gene-LATHSAT_LOCUS3269 transcript:rna-LATHSAT_LOCUS3269 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIQGDVDNGLGNTLRKMDREDKAVRLGKKIVGGDFNKVKIGEERKGISANSIRDMKVFSEFIVELKLTDLPVFGNNFTWFNSSGKCRSRLDRFLVDDLSISMLSLINQLVGDRDVSDHRSVWLKSNFVNWGPKPFRSFNCWFSHKDFIPFVKQSWSSYHVSSSYCNILIKKFSALKSDIRSWNRNVFGWLDLKIEECVSNLNMVELDSILDSSSHDKDLNKERLRNQEEVWKNLRLKESMLTQKSRLNWLQDGDQNSKFFHDSLKSRYRSNSLSAVRVADGIEEDPEAIKCKAVKYFKERYKTKSSPKFTIDFDHIVSFEEEDRLWLEADFCPAEVKAVVFSCDGNKCPGADGFKFKFLKSCWEIVGKDFSNCILEFFKTGYLPKTFASSFISLVPKSKNP >CAK8536975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:47202406:47204468:-1 gene:gene-LATHSAT_LOCUS6296 transcript:rna-LATHSAT_LOCUS6296 gene_biotype:protein_coding transcript_biotype:protein_coding MNMITMKRGVARSLTDTSTIRSSSLSFLRSLSSTSTTTVDVDVSASSVAKLVTPTFLQPRVVLYDGVCHLCHQGVKWVIRADKDRKIKFCCVQSNAAEPYLRASGLEREDVLRRFLFIEGLNVFSQGSTAALRVLSYLPLPYSALSWLWVIPTPIRDVVYDYIAKNRYEWFGKAEDCLVLQEKELLERFIDRDEMMKRDSKF >CAK8534464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728961670:728962566:-1 gene:gene-LATHSAT_LOCUS4009 transcript:rna-LATHSAT_LOCUS4009 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHKFAKLLFKKRNQHKCHPQPNEDLSSFHSFQSHVSKLISQLALELKPESNLSLSWFQRCFGLLPFINKAFAKLLVDNDYPITQWDVDSIEEYLNYTMSLLELLNSISSSLSHIGQATLSLAHGLNMTLAETEARMYLKAIQPSGCYRTNFSRHLHTKDKKAKIFSGHKWIVDEGLKEMKSIGFWVCGVLLSCLYGDCKPYMELRKTAGGFENSLVGILDFKISEKLVKKKPSFCEIEEMNNGVALLVDGDEVRHDAAKDLQGKLCELKKLFDDISQEVDHLFNDVMIQRTKLVCN >CAK8540517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9698136:9715168:-1 gene:gene-LATHSAT_LOCUS9517 transcript:rna-LATHSAT_LOCUS9517 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSRFLLLLMLFFTASASDDVMRDDERAPKSESCNNPFRLVKVENWADGEKGLTHSGMTARFGSSLPEKAENSVRTPAILSNPSDCCSPLTSKLLDSVALCIRGGCDFQVKATFAQSGGATGVLVINYEDDLAEMVCSNSTEANVTIPVVMITKSAGEVLNASLASGKRVEVLLYAPPRPLVDFSVAFLWLVSVGTLVCASLWSDLTTPEKSGERYNELFPKESRNAAAARGGYDKQVLKINSKAAVVFVIAASTFLVLLFFFMSAWFMWVLIILFCISGVQGMHNCITSLTLRKWQNCGQKTLNVPLFGEISIFSLLVFLFCVAFAIFWAAIRRESYSWIGQDILGICLMITVLQLAQLPNIKVATVLLSSAFAYDIFWVFISPLIFNESVMIAVARGDKAGGESIPMLLKFPRLFDAWGGYDMIGFGDIIFPGLLVSFAHRFDKDNKKGALNGYFLWMVIGYGIGLIFTYLGLYLMDGNGQPALLYLVPCTLGVFIILGLIRGELKSLWNYGTDSSLSTEPSYSEV >CAK8571013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:262381549:262381893:1 gene:gene-LATHSAT_LOCUS23712 transcript:rna-LATHSAT_LOCUS23712 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPNGNTIEQSRVHVLFRATVSWLMVTDGSVAFTEEQRVECMVHHMWVLWRGKENRRRRGWKSKEEKMCDEGEETENGRKTMMVRKKRKGVMARSFGKDEDKSFEEQRGDGR >CAK8544708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701479055:701482361:-1 gene:gene-LATHSAT_LOCUS13363 transcript:rna-LATHSAT_LOCUS13363 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESTEPIEFSTHLLSSICEEASSVPTRILILSTLVAVSGSYVFGSAVGYSSPAQSGIMDDLNLGVAEYSVFGSVLSIGAMVGAIVSGSIADYAGRRLAMGFSQLFCILGWLAIAFSKVAWWLYIGRLLLGCGMGLLSYVVPVYIAEITPKDLRGGFTATHQLMICFGVSLTYLIGTYLNWRVLALIGTIPCLAQLLSLYFISESPRWLAKVGRMERSESALQHLRGKNADVSEEAIEIREFTEVFQQQTEASIIGLFQLQYLKSLTVGVGLIVLQQFGGVNGISFYASSIFVSAGFSRSIGTIGMVIVQIPMTALGVLLMDKSGRRPLLLISASGTCLGCFLASLSFFLQDLHKWKEFSPILALVGVLVFTGSFSLGMGGIPWVIMSEIFPINVKGSAGSFVTTVHWLCSWIISYAFNFLMSWSSTGTFFMFSSISGLTILFIAKLVPETKGRTLEEVQVSLNRYPTKR >CAK8563372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597989832:597990474:1 gene:gene-LATHSAT_LOCUS16792 transcript:rna-LATHSAT_LOCUS16792 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNVATNSPLERTSLAYLDQRMAMAKRCSHEGVMAGAKAAVVATIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATATLLKIRHLVK >CAK8578130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608881605:608883221:1 gene:gene-LATHSAT_LOCUS30169 transcript:rna-LATHSAT_LOCUS30169 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKLLQFFSVIILLVLHVSNSQAASSSESIYVTFVQCLKSHTTPQDEISNIVYAQTNASYTSIFQAFVRNTRFNTPSTTKPLLIVTPQHENHVQATVLCSKTIGLHLKIRSGGHDFEGISYISDSPFIILDMFNFQNISVDIQNEIAVVQTGASLGQVYYRIWEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMMRKYGLSIDNVIDAKIVDVNGRILDKKTMGEDLFWAIRGGGGASFGVILSYTVKLVSVPEKVTVFTVDKSLEQNVLDIIFQWQQVAPRTDDRLFLRLLLQPVNSKVVKGQKTIRTSVQALFLGGADELVMILGKEFPLLGLKKENCSEMSWIEAVFNWANYNDGSSLNALLDRNHYKVHSSKRKSDYVKSPIPKDGWKWIMNKITELGKVELDFNPYGGKMNEVTSDATAFPHRAGNLYKIQYTVSWGGSEAGLEKSFISQIRMMYSYMTPFVSKNPRSAYLNYRDLDIGINSHGKDEYNEGVVYGKKYFGENFERLVKVKTEVDPENFLWNEQSIPTLPNKA >CAK8578820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656935474:656938057:-1 gene:gene-LATHSAT_LOCUS30804 transcript:rna-LATHSAT_LOCUS30804 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRAEKNEALCMCKERKRFIKVAIDSRYDLAASHVSYIQSLRNVGIALRRYAEAEVLVESSLSISDKTPSQTSYPSPSSPLNVAEVEASDSPLHNESPFSTPAPSLSYMRSSGSGSVTVTIDAFGNKYLDDESNVVSSMPPPPPPPELGASWDFFDPGEDSESFRFVVNGSEFRDCKDQWFQPGSDDHLVVSRGVEGCEQLIDGNVRQLEVVTAPGSADEDINLDVAEKGGVGKSCSKKEKKQMDENNVCTEREDPSEFITHRAKDFLSSIKDIEHRFIRASESGRDVSRLLEANKIKVGFSEAKGKSSTMALITSIQPVCCRRKTSPVFQEPVQKIISWKRTTSFQSSSSRNPLASRSREDIDDSGSDFVEEFCMIAGSHSSTLDRLYAWERKLYDEVKASESIRKVYDRKCHQLRHQFAKDQGTHVIDKTRAVVKDLHSRIRVAIYSVDSISKRIEKMRDEELYPQLLELTEGLVKMWKAMLECHHAQYITISLAYHSRNTTGTLQGDARREIMTRLLEEIELFGLSFANWINSHTSYVEALNGWLQHCILQPRERSRNRRPFSPRRALAPPIFVLCRDWCAGINALPSEELSDAIRNFLSDLHSLMEQQHDDELLKKQDSANASTPESEIKTNEDNGGESADLCCIHASLTKVLDRLTKYSEASLKMYEDIRQKSEVARTAYYNCRTIRGEKC >CAK8570866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:137673293:137674039:1 gene:gene-LATHSAT_LOCUS23578 transcript:rna-LATHSAT_LOCUS23578 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSPHSVEEIFKDFNARRTAVLRALTLDVDEFYGLCDPDKDNLCLYGHGNESWEVTLPAEEVPLELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINELPTVFEVVTDKKPIKDNKPAADSGSKSRGSTKRSSDGQVKSNPKFPADDDYEEEEDEHSETLCGTCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSYSLRNWTFASWCLRM >CAK8561002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70894339:70895684:1 gene:gene-LATHSAT_LOCUS14631 transcript:rna-LATHSAT_LOCUS14631 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQLFAFIIIFIILVFDICESDDCSNKPLKTISVSQSGKADFKTIQSVIDSVPAGNSQWIHIQISSGVYKEQISIPINKPCIYLEGAGSQSTSIEWGSHDIATFVSKADNTAAKGITFTNTLNNPILLEATNITQARAAKIHADKCAFYSCSFLGVQDTLNDDYGRHYYNNCYIQGAVDFIYGQGQSLFEASTIYFSTGKSGIKKNGVIAAQYRDSPNDPSGFVFKNCKISGTGGKFQLGRAMEAYARVIIANSSISDAVKPEGWSQKTYIGHESNLTFVEEGCTGPGADKSKRVKWMKSMSGHELDEFLSLSFIDKEGWISKLPASIFH >CAK8537292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:205062155:205062625:1 gene:gene-LATHSAT_LOCUS6591 transcript:rna-LATHSAT_LOCUS6591 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAVKDVKSMSGCILLLTSWAFTRIPLFAPVNTSQPSYLYAQRWAQRRMNYDANPRFHLQGYRNALYHMQKKKT >CAK8566534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433380543:433384559:-1 gene:gene-LATHSAT_LOCUS19658 transcript:rna-LATHSAT_LOCUS19658 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQITLISPATLIAITGMRKKLGEISAATGRYKLSSLSQQPHVHWNIQRHIPWFGFNNSSNYYYSYGKNNTSSMVNVSDGEAISLPHGWPLEKVSDDEKGSKMKGSSRFFTIGLVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYVAIAWLKVVPMQTIRSRVQFFKISALSLIFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAIFAYIMTFKREAWLTYFTLVPVVTGVVIASGGEPSFHLFGFIICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYALTVFGVILYSEAKKRTK >CAK8540756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19318205:19320865:-1 gene:gene-LATHSAT_LOCUS9728 transcript:rna-LATHSAT_LOCUS9728 gene_biotype:protein_coding transcript_biotype:protein_coding MANSINKKVNTKITLLSFFMLLCLLVANSYNPDYNIAINCGSSTTTIALDNRVWVGDNFHNSSIFSIIEPKSTNLSLTSQPNSISNTEIPYTTARISLSNFTYSFSSITSSPVFIRLHFYPTTYQQFEPSNALFSVKVNNNITLLNNFNASLWLRNDDDEEKITKEYCIQIKPNEKLDITFIPNNINQSNVYYAFINAIEVVSMPSFLYYTDLNDTNYHFNLLGFDDSASDYHIHNDKALEMVYRVNVGGNQVPAYDDTGMFRNWEGDIPYLEKQYPQSVSTDFSHHLNYANNTFPNYTAPEIVYLTARSYGMDATEDYNVTWNFEVDSAFTYMVRLHFCEFDYHIKNKEDRVFQIFINDILTEGSVDVIKWSSARMVPVHRDYAVHMYSREGNSQFESFNLSIKLQRVPETTYTKYWDVQLNGIEILKISDKNNNLAGSNLAGSIILKSTGISKKHKSHKALVIVVVIVLGLVLVIVVVITIFWQRKRFQNFTKDNLSNTKNEGSSSVPPHLCRYFTIADIKAARKNFDDAFIIGVGGFGNVYKGFIDGSTPVAIKRLKQGSQQGANEFINEIELLSQLRHIHLVSLVGYCNDDAEMILVYEFMQHGTLGEYLYGSNKQPLPWKQRLEILLGAAKGLHYLHAEVKNKIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPSGISTTHVSTMVKGSHGYLDPEYYMLHRLTPKSDVYSFGVVLLEVLCARPPLVRDLDRKTASLVCWFQSCFGEGVAIEQIVDPFLRDSITGDCLKCYCELALSCLHEDGTQRPTMNQVVEDLEFALHLAGSEESSKFDKAPKDGTRMKGLQFSQFITDEVSDVHFKKSHSYKESTSSARPNTQEHSFFEIENPRLRSYSCKNLKVYI >CAK8535716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876281479:876285322:-1 gene:gene-LATHSAT_LOCUS5145 transcript:rna-LATHSAT_LOCUS5145 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKVLSVLFLSMCQSALSYTDGLLPNGDFEVGPKASQIKGTVVTTHDGIPNWTISGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEAYIKQKVKLNKGATYSITFSAARTCAQEEKLNVSVVPTNEKSDYGIIPIQTMYGSNGWESFACGFRADYPEGEIVIHNSGVEEDPACGPLIDSVALKVLNPPQRTRANLLKNGNFEEGPYVFPNASWGVLIPPHIEDAHGPLPGWIVESLKAVKYIDSDHFSVPEGKRGIELVAGKESALAQVVMTTIGKVYVLTFAVGDANNACEGSMTVEAFAGRDTVQVPYQSKGKGGFKLGKLRFTATTTRTRIRFLSTFYTMKNDNSGSLCGPVIDDVKLLSVRYPNKHI >CAK8563039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568674039:568674971:-1 gene:gene-LATHSAT_LOCUS16487 transcript:rna-LATHSAT_LOCUS16487 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGYALEPKKVDTFIQPSFLHYAKQHSIDLVQIDLTIPLSQQGPFHCIIHKLHTQNWKKQLHEFSTKNPNTVIIDPPELIDRIHNRITMLESVKQLELSIENGNATVEIPDQVVVKEPKLFDFGSIEEQGLRFPFIAKPLEANGTVDSHNLFLVFDRDGVNSLDNNPMVLQEFVNHGGVIFKIYVAGKHFNCVKRKSLNDISEEKLKTIKGSVPFSQISNLGEGEGGGSSVVDKAEMPPQSLVAELARVLRERLGLNLFNVDVIRDGKNPGRYLVIDINYFPGYAKLPSYEEFFTNFLMDVVQQSESV >CAK8543709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633038653:633039102:1 gene:gene-LATHSAT_LOCUS12450 transcript:rna-LATHSAT_LOCUS12450 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNSNLRITIERNPSQSRLAELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVKAYTKGSSDLVEFGAGDLVTIPKGLSCTWDVSVAVDKYYKFESSSYSSSSSLPSC >CAK8530134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2344452:2349788:1 gene:gene-LATHSAT_LOCUS26 transcript:rna-LATHSAT_LOCUS26 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEDQACKAAEIAIGSIGRGYDISSDIRLKFCKGDSIHSRLIEIDEHDVREVVLPGGVSLPNVSKLIKCDKGERTRFRSDVLSFQQMTEQFNQELSLTGKIPSGLFNSMFEFSGSWQKDAAHTKTLAFDGVLITLYTVALEKSQMVLCDHVKKAVPSSWDPPALARFIDTFGTHIIVGMKMGGKDVIYLKQQHSSTLQPSDVQKRLKEMADKRFLDTNGQYSVASDQVFPNNKFGTRTQRLTFANISPSSSYSHKEDIVSFCKRRGGSDDKSLSHNEWLQTVQLEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFNELPLGPQWKQRNSASLQFSFMGPRLYVNTIPVDVGKRPVTGLRLYLEGKKSNRLAIHMQHLSSLPKIFQLEDDSNENPRRKSYDKRFYEKVQWKNFSHVCTAPVESEEDLSIVTGAQLQVENYGFKNILFLRLKFSTVLGAREVKHPEWDGSPGLGAKSGLISTLISQHFTGAFQKPPPRPADVNINSAVYPGGPPVPVQAPRLLKFVDTTEMTRGPQETPGYWVVTGARLLVEKGKISLRVKYSLLTMILPDDDDDEMLDHQ >CAK8533009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:565719164:565720592:1 gene:gene-LATHSAT_LOCUS2662 transcript:rna-LATHSAT_LOCUS2662 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNILTMMTNNNFELDCKKIHDSLSGLSLSQLIPSLSSLTILERKQLRETYKEVYGEDLINQLQNYDEDDFFSSMKFSTLSLWMLCPHDRDAYIAREALQQDETNFKALVEIFVGRKSSHVGLITQAYHKMFRRQLDQDIVNLDPPHPFQKILMALSASHKAHQVDISHHISKCDARRLYESGERSLGAIDEAVVLEILSKRSIQQLKLTFLSYKHIYGHEYTKSIKRGSYGQFGKALMVVVKCICNQTHYYAKGLYTSIKKGRRDIGTLARTLVSRADIDMDEIRSAFKEKYEKELGDVICESIPCGDYRDFLVALATKSSYIFTN >CAK8566628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443815447:443816123:1 gene:gene-LATHSAT_LOCUS19746 transcript:rna-LATHSAT_LOCUS19746 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPHSIMKKIVFEKTEKTGRNDRFLVTINIMGSSGPLRFVVNEKELVSGVIDTALKSYAREGRLPVLGFNAANFLLYHPNAGFDALNPLECIGSYEARNFVMCKKQEYSSKKEPQSELISAKSNGGWKAWLNKSFGLKILSH >CAK8531511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117907349:117907954:1 gene:gene-LATHSAT_LOCUS1298 transcript:rna-LATHSAT_LOCUS1298 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSKFEIKMMGVITQCNFYIPDDTGDINGMSFRMAYKAAKYSKNNKDLCACIGSMLCSVVPAFRTSIEKALSEICIRPQFISLPSQANEKKIVESELPPLDWPSILVTFGYCIHLLSHYYYTGDFHTHMLNCIRDLKAIVRCDPGSKLEIPFDAIKEDAVTTMLSSPQLKETVRKFLIANMNHTDSLVSRICNYLRNKSS >CAK8578322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620354006:620361039:1 gene:gene-LATHSAT_LOCUS30343 transcript:rna-LATHSAT_LOCUS30343 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHADSPNSGMKKRKQDEQDALVSDHGNSMSVGESSGSGDPCKSLTSISLSSKNSNGSDSDISCHDDDEDVIDDDDDDALEDPDYISDYFDDEDIYEDDYSLMQDQFDNVDIPPGVEASVPWLKDIPSSECKPAVVHAHSESSSKEKVDESTDPVMQKFRQFKPFDTVDSFPDHHYDKEGASVSEAQRPKNWGKKIQEEWKILEENLPETIFVRVCESKMELLRAVIIGPQGTPYHDGLFFFDCLFPSSYPAGPPQVHYHAGGLRLNPNLYQCGKVCLSLLGTWHGKNSENWIPDKSTMLQVLVSIQALILNEKPFFNEPGYADAYSSEEGQRRSKDYNDNTFILSLKTMMYTLRKPPKHFEDFVAGHFRQRGVDILKACRSYAEGGPVGSVLHNAPAPNSRSVNASAKNQKEFQSTVSRMMNTLVAFFSKNGSTNCEEYRTLEIYNLSAAATANLEVFKIESSGSTTLTQV >CAK8563323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592742659:592743980:-1 gene:gene-LATHSAT_LOCUS16747 transcript:rna-LATHSAT_LOCUS16747 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNSSLNGNNLTFSSNSPFCSFESNSSSSKDDHNIHSSFPLTPSFSFFQFPYSDPFEDSQVFIQQQHDVDFQLHHPSIVMNNKTSTTDHQDQASKNSISGANNNIVNSLVPAEGASGKGKTVVVQQIQRKRSSKRDRHSKIKTAKGLRDRRMRLSLEVAKRFFGLQDMLGFEKASKTVDWLLNQSKDGIKQLAREKNIHFPSKSTSSTSECEGVSSLEYNEVGNQEQEKVVMKKRRKGSNKVCRKSAFNSSGREKARERARERTKEKMEARTRTRTSTSLVDESNSKQCNEGRAKTNLTWNPFESVVESAGTQTVNYHPSFDHVKLINNEAEAEAEAERTSHKAKEHHSHSHSHEDNSLFNMNKWSPTMMFNSLHNFQEHQFEQFHQSLEKPWEGYSNNNHF >CAK8579709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717952195:717954374:-1 gene:gene-LATHSAT_LOCUS31635 transcript:rna-LATHSAT_LOCUS31635 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKQLVIAELKRQLWLAVPLTSVGILQYILQTISIMFVGHLGTLPLSGASMATSFAAVTGFTLLMGIASALDTFCGQSNGAGQYHMLGIHMQRSMLIVSIVSVFLSIIWANAESILVAIHQDKAISKEAGSYALFMIPSLFAYGLLQCILKFLQTQNIVLPMVLTSAIAALLHTLLCWLFVFELKLGSRGAAISLSICYWVNVLLISLYVKFSSSCKQTWTGFSKKALHDLSEFLKLAVPSAFMMCLKVWTFELMVLMSGLLPNPVLETSVLSICLNTFGLAWMIPFGCSAAVSIRVSNELGGGNPQSASLAVRVGLSTALIEGLFMVLSMILARNVWGHVYSNDKQVIRYVSAMMPILAISSFLDAIQSTLSGVLAGCGRQKIGAYVNLGSFYAIGVPCAVTLAFLLHMHATGLWLGIISAFTVQTLLYSIFIIRSNWEEQARKAQSRVDRSIMLPNTILKDSISPSQKLEQLP >CAK8561289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:138216042:138216338:-1 gene:gene-LATHSAT_LOCUS14896 transcript:rna-LATHSAT_LOCUS14896 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVCMKGSVKQFGFSVGSHSPAPPHSRLVGRVVNLEGARLLYRRVFENLAVVRSPLLEAGVLGRWGFLFLNQFGCVSPEIGWSNIYKQAGWTKMDGR >CAK8561572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:303235177:303237487:-1 gene:gene-LATHSAT_LOCUS15150 transcript:rna-LATHSAT_LOCUS15150 gene_biotype:protein_coding transcript_biotype:protein_coding MELFQRAKAVRLRSHHDKYLHAEEDEESINQERNGSSKNAKWTVEHIPEFDNIIRLKSCYGKYLTASNQPLLLGVTGRKVVQTLPRRLDSSVEWEPVRDGAQVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRSATQDWILWDVDVLEIHVGNPPPPPIPHSDSLDFGSSALSFKSNRFDRQESTDSVGSPPKMEGRTIYYHVAEENGDVDDENVQGYSLVFNGNDVEQLTRKFVEETGLDGVIVCSRSPLNGKLYPLRLHLPPNNVTMQVVLVLASSKVAKEFEEQGLL >CAK8543765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637329616:637330209:1 gene:gene-LATHSAT_LOCUS12503 transcript:rna-LATHSAT_LOCUS12503 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPAAYIHLVHRLIEECILFNMNQEECMEALSKHANIKPVITSTVWKELEKENKEFFEGYLKNREKRASETETRQRIQNMVLDSSK >CAK8536595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1003861:1004517:-1 gene:gene-LATHSAT_LOCUS5950 transcript:rna-LATHSAT_LOCUS5950 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQQEIGIKVYNVTPPPQEAVGAVTTQSSDPPEPGKKRRAIMAKGVQKTLSKTSLLGNFLPTGTLITFEMVLPSIYRNGQCTHIHTIMIHFLLIMCALSCFFFHFTDSFHGADGNIYYGFVTPKGLSVFKPGLAVSVPKDDKYKVGFQDFVHAVMSVMVFVAIAFSDYRVTNCLFPGHEREMDQIMESFPMMVGIVCSGLFLIFPTSRHGIGCMSA >CAK8566777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461889634:461890476:1 gene:gene-LATHSAT_LOCUS19886 transcript:rna-LATHSAT_LOCUS19886 gene_biotype:protein_coding transcript_biotype:protein_coding MMETMQPQKQRDEGEASFSSSHNHNHVSPSPSFSSYSSETLAEIAARVIDELRWDPHSVSDDDALYQPWENDVTFAATPNEDEDAGSEFEFAVVSTDTANFPVVSADDIFYNGQIKPLYYPIFDQNLLNDEDAVSSVTVSNETTPRRRLPLRTLMFEDNETTVSCSSSTDESVDVEAVAEGSYCVWNPNSVGIERKKSSSAGSGSKRWKLRNLLLRSHSDGKDKQPVMFQIPKTTASKGSPSVEHDGKNQSKRKSFLTYRPELVGLFANVNGLGRNLNPF >CAK8578149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609995358:610001637:1 gene:gene-LATHSAT_LOCUS30187 transcript:rna-LATHSAT_LOCUS30187 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPITPGQVSFLLGVIPVFVSWIYSEYLEYKKTSSPIKVHSDTNLDELEKDTIKEDDRAILLEAGLTRSASAKLHASSVKMNLIRFLTMDDSFLLENRATLRAMAEFGLILFYFYICDRTDLLGASTKNYNRDLFIFLFMLLLIVSAMTSLKRHNDTSSFSVRSMLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNSIRVFIAAYVWMTGFGNFSYYYIRKDFSLPRFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIYNKYNEVRSVMAAKFVACFLVVILIWEIPGFFEIFWSPFAFFLGYTDPAKPDAPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEECEIKRKLTIKTIIVSVSLFVGYLWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQHIRNFSLTLFAWLGKITLETYISQFHIWLRSNMPNGQPKWLLSFIPQYPMLNFMLTTALYVLVSHRLFELTNTLKTVFLPTKDNRKLLHNFITGVVISVSLYCMALILIQIPHSTV >CAK8575480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:133388833:133389234:-1 gene:gene-LATHSAT_LOCUS27739 transcript:rna-LATHSAT_LOCUS27739 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGEKRKLFAKRQESARKDVERAFGVLQARFVIVRGPARAWHVNTMKHIMLACIILHNIIVEDERDTYASNFDYDHVDNNFSTTEVSTGPIPNLTTMFERISHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8544242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673726683:673727965:1 gene:gene-LATHSAT_LOCUS12940 transcript:rna-LATHSAT_LOCUS12940 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRFFLIASMMLLSFIEISEGGSLRKNFYKKSCPQAEEIVKNITQQHVFSRPELPAKLLRLHFHDCFVRGCDASVLIESTASNTAEKDALPNLSLSGFDVVKDIKDAVEAKCPGIVSCADILTLATRDAVSVQFNNKQKWEVLTGRRDGTVSKSLEALINIPAPFHNITTLRQIFASKNLTLHDLVVLSGAHTIGVGHCNLFSNRLFNFTGKGDQDPSLNPTYAEFLKTKCQGLSDTTTTVEMDPNSSTNFNNDYYPILLQNKGLFTSDAALLTTKQSRNIVNELVSQNKFFTEFAQSMKRMGAIGVLSGSDGEIRRKCSIVN >CAK8533679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649133732:649135924:-1 gene:gene-LATHSAT_LOCUS3284 transcript:rna-LATHSAT_LOCUS3284 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSQLFSNGIELASFVTSSNLLRKSWDVIKSRNVDIASNGGVGLSWKLYKEPNTDVSIIAFEANPSDSFILQSKLVSFTKLKENNSLNFEFLSTKNISLNITLVSLFSDNHRMLDQLKSEVITSSKLIITGVALGGSIASLFTLLLLESIDSKKKKPICITFGSPLIGDKELQQAISKSCTWSSCFLHIVSCNDPLPRKFIEDHTSSYVPFGTFLMCYDSYSTCFENLDSVLTILETLVHDQNQVFDSIEYENIVDRIYRIAICKDITNQNQDMSYSDSLHACISLQMLTLGLNPHMQQQQEIDIFTLVKKMEDLEKKFIFEKRDKFDSSKKLSLMKKDMVDLEWYKKKSKNRNLGYYDSYKRMEFTCDQDVVLSHKSLTFYWNEMVEKSLMKPQKEEASLRTRWLYGGTTYRRMVEPLDIAKYYGKGGKDYVAKARSSHHKQLENWLVEEATTQSTTSDSQNVTRDDVESILTLDSCFWAYVEEALISCKQLRDVKSSVNEKEDATRKLIEFEKYVYGLLMEYAVSPEIFLSESSYMKWWNEYKEIKGTSYSSKLTCFMSNAHNYNVQYVRGSYNFE >CAK8539308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508870283:508878466:1 gene:gene-LATHSAT_LOCUS8417 transcript:rna-LATHSAT_LOCUS8417 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNRFVIEVEKAKAASDGRPSRGPAYRSIFAKDGFPAPIQGLDCCWDVFRMSVEKYPNNPMLGNREIVDGKAGGYKWQTYKEVYDMVIKVGNSIRSCGYGEGVKCGIYGANSAEWIMSMEACNAHGLLCVPLYDTLGSGAVEFIILHAEVSIAFAEEKKIPELLKTFPNAAKYLRTIVSFGKVTPEQKQEVEEFGLAIYSWTEFLQLGESQSFDLPVKKRSDISTIMYTSGTTGDPKGVLISNESIISLLAGVKRLLESVDEKLTEKDVYLSYLPLAHIFDRVIEETFIWHGASIGFWRGDVKLLIEDLGELKPTIFCAVPRVLDRVYSGLTQKISSGGFLKKTLFNFAYSYKMNNMKKGHKHASASPLLDKIVFDKVKQGLGGKVRVILSGAAPLSVHVESYLRVVTCAHVLQGYGLTETCAGTFVSLPNELGMLGTVGPPVPNVDACLESVPEMGYDALASTPRGEICVKGDPLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIFSQVPSIESIWVYGNSFEAFLVAVVNPRKQALEQWAEENGISMDFDTLCEDSRAKSYILEELSKLGKEKKLKGFEFIKAVHLDPVPFDMERDLITPTFKKKRPQLLKYYQNVIDNMYKNGNKPRT >CAK8531344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:102663943:102664242:1 gene:gene-LATHSAT_LOCUS1144 transcript:rna-LATHSAT_LOCUS1144 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLHIKEQRDTTHKALLHTTKPFMPPQESITPHPAKSSHTNHERNNYAVSYLCTSLKFHPSHEPSYAASQSHYPEINTMPFPANSQASRISSHHSAT >CAK8531690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139701792:139707861:-1 gene:gene-LATHSAT_LOCUS1463 transcript:rna-LATHSAT_LOCUS1463 gene_biotype:protein_coding transcript_biotype:protein_coding MDETISRIVTEIEDLRDNHRPDNPQPLSEQSLSDLQKLLKNSQALDALYDAVSPSHLIPPIATAMDSSPTPHSLLASHVFLSFLLSPNAPVFTLFTPLSFLSFLRSIRRSLKNNNSSNNSQPRPKRKRNSKNKKTPENDEAEPNSTNSQHKLDVRVLLSLFEKLVSVMSLIHLDRFPESLKSLIQTISEVPLTAIESCGDEFQYSKLVALCSRILKEVLKPEHGEPSETAAEVLKSLSPIAPMPKSLVRTFAVSFVTSLARDSVGVRKALVNFPRYLAIKAPDKAEPRGMAVEFIMEVVKVMELEDQIGFVKYVVKITQGKGNLRLLGVDLILKLLTTLKDPLGVNSMEEEEKEGKEAWGMWCLEALVKRCSDVSAMTRARAISNLAQVVGLLSGSDRATVVLKKFMGFGDGNDVSVGGKGINELLRRRCVDEKAVVRKAALLLVTKLTALLGGAIDEVVLKTMGMACSDSLSSIRKAAIEALSEAFKTFSAETVITEWLHSVPRQIADNETSIQEECENVFEELVLDRISRGTTSSSSYVGSTSGSKEIEKGVDEEMATSFPQGILYLLREICNGEVSPWVKKICTNLGKKKRLKHKIVTALQNIIKVSESIWLKHSMPIEKWTAPPGAWFLLSEVSVFLPKAVDWDFLHHHWQLLDKHKVKGEFQSPFVQKNANGEEESIECNDVAWASDRVFLLQTISNVSIELPYEPAADLAHNLLKRVEKFNMHSTEVDAHVKALKTLCKRKASSDTEAETLVLRWVRQVLSRASQIIETFISDNSEQDAETGFFTPPRSGPSKGRKSVRKRKSLSKAITAIYTIGSLVIVCPSADMSAVTPLLHTIITSGNSGPKLNKLPGPATTLQQEAPSFYIQGWLAMGKLCLADGKLAKNYIPLFVQELEKSDAAALRNNIVVMMADFCVRYTALIDGYITKITRCLLDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKAPLLAYNSFVEAVFVLNDCHGHIGHRESGESRKDSQLFSIRGADEKSRSRRMHIYVSLLKQMAPEHLLATFAKLCAEILAAASDGMLNIEDATGQSVLQDTFQILGCKEIRIQATRGSSESADLEEEGGENGSSTRKAITQAVKKGLIQNTIPIFIELKRLLETKNSPLIGSLMECLRVLLKDYKNEIDDILVADKQLQKELIYDMQKYEAERAKATVAEAVASMPKPGANQSPDDSKNLAKEQGQTHEQNEDKDQFPSGSRIASAMADAAAAATARSVLKEINKGAVTPQLSSLNVPKVKSFTGECIARDDKRLDVIKSLKKKHAFDSDDEN >CAK8538124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464860532:464860798:1 gene:gene-LATHSAT_LOCUS7358 transcript:rna-LATHSAT_LOCUS7358 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSFWWGHKRDWGKGIHWISWDRLSMLKIDGGMSFKILSAFNYAMLSKQAWNFMTKLHNLVTRLYKARYFPKYDFLDSDIGRNPSYV >CAK8567699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537201432:537201776:1 gene:gene-LATHSAT_LOCUS20726 transcript:rna-LATHSAT_LOCUS20726 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSIYVAVPALAAAVGFYFIDTNHIKEIKKEFSVSNNKMKDQEKTIQHPKMAPQLDGLHCFETIVMN >CAK8569267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:689004107:689004994:-1 gene:gene-LATHSAT_LOCUS22142 transcript:rna-LATHSAT_LOCUS22142 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLNTQSNFHGRSISLPSRPHPLILKCNQHLEALLRSSNETSSYSLSHKIDGLRDLIECVENLIQLPLTQDVFLHDPHQENWVNNFLDGSLRLLDVCSAAKDAVIHTKECTRELQSIIRRRGGGTEVTAEAKKFLTSRKVVKKAVSKALTNMKVNTKRCNLLCTNQDHQTVALIKLFHDVEVATLSTFQTILEYMSGTTQSKSNSWGSISKLMQPKRVACSLLTDESEFCQVDVALQSFVFTKTRKVEGINDLQNHLDKTESCIQDLEQGLEFLFRRLIKIRVSLLNILNN >CAK8540941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33660687:33662667:-1 gene:gene-LATHSAT_LOCUS9895 transcript:rna-LATHSAT_LOCUS9895 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLHHTPFNPQNSNKTFPRHRYSHPNFTSSSVTAQSKPAKQHLLSLISDQNRGLKTQNDPTKRGSIITAIEELAALGAGSVTTGDSLSSTWRLLWTTEKEQLFIIEKAHLFGTKAGDVLQVIDVEKLTLNNVITFPPDGVFFVRSNIQVASSQRVNFRFTSAVLRGKNWEIPLPPFGQGWFDTVYLDDDLRVVKDIRGDYLVVDRASYSWKE >CAK8542805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:548904565:548905134:-1 gene:gene-LATHSAT_LOCUS11610 transcript:rna-LATHSAT_LOCUS11610 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPFSDFKYSDGLTVVGISFCTAIVCEAISWILIYRTNSYKNLRSSIDKASKKLETMKTDSNKITIKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVALVLFVVFGLLNSLFEGKVVAKLPFQPFGLVMKMSHRGLQGNDPTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGAGAGLFPMPDPKTS >CAK8567302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502338802:502343200:-1 gene:gene-LATHSAT_LOCUS20366 transcript:rna-LATHSAT_LOCUS20366 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEEVRTERGSDVVIVLVGNKTDLVEKRQVSIEEGDAKSRESGIMFIETSAKAGFNIKPLFRKIASALPGMETLSSTKQEDMVDVNLKSTVNSSRTEQQGGGCSC >CAK8537794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434718722:434719742:-1 gene:gene-LATHSAT_LOCUS7048 transcript:rna-LATHSAT_LOCUS7048 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSLVSADREQIVEDVLEKIEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGNKMETAINVGFACRLLQQEMKQIIISSDTRETKSLEKMENKFNADVAIKKSVVCQLMEGKELLGASIENAEALAIIIDGKSMTYALEDDVKDLFLALAVSCASIICFRSSPKQKTLVTRLVKIKIGCTTIAIGDGANDVGMLQEADIGGGRSSSNCYVE >CAK8566944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474686697:474688644:-1 gene:gene-LATHSAT_LOCUS20039 transcript:rna-LATHSAT_LOCUS20039 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFVIANNNNKRSHENGVTEDKNAKKLQKLSNGNDHHNVDPAAALADARHEFGEHGGVNMSIEPSATYTVMEPDHLRQMFVGERGPHTGSYVYSRHFNPTVLNLGRKLAALEGTEAAYCTASGMSAIACVLLQLLNCGGHLVASSTLYGGTHSLIQHFLGRTCNITATFVDISDLEAVENAIVEGKTKVLYFESIANPSLKVSNIPELARIGHEKGVTVVVDNTFAPMVISPARLGADVVIHSLTKYISGGGDIIAGAVCGSKSFVNYLMDLQQGGVMLLGPTMNANVAFEISERIPHLGIRMKEHSRRALEYAIRLKKLGIKVHYPGLEDHPQHKLLKSIGNKEYGFGGILCIDVGSAAKANQVMNYLQNYSHFGFMAVSLGYYETLISCSGSSTSSEMNEEEQKRAGITPGLVRMSVGYVGTLEQKWSQLERAVLKFNLENEKKEK >CAK8576849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519501526:519501873:-1 gene:gene-LATHSAT_LOCUS29012 transcript:rna-LATHSAT_LOCUS29012 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHYYRSWMYDRTLPDRRGLTPNYEEGVKGFITWAFTQECCRREGGVRCPCLKCECIPIISDLEEVERHLQRKGFIKNYWVWTYNGEQLPSNVHAETTNTHASSSRSHMEFEE >CAK8539071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502865528:502884706:-1 gene:gene-LATHSAT_LOCUS8204 transcript:rna-LATHSAT_LOCUS8204 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSLGGPSRCGRVLGPSLDKIIKNAAWRKHSQLVSSCKSTIDKLESISDSSFDSKSPLLGLSSSDAEYVLQPLYLALDSAYAKVVEPALECTFKLLSLGLVSGEIDTSQPVIFNIFDAICKSNGLGEEAIELGMLRVLLSAVRSPCILIRGDSLVQIVRTCYNVYLGGVNGTNQICAKSVLAQIMTIVFTRVEEDSMDVSIKKVSVTELLEFTDKNLNEGHSIHFCQNLINEVMDSTQGVPLKPSSMEISKPTPPLPPKPTHPPLPKEVDETSADTEAAPDGSKIREDGFLLFKNLCKLSMKFSSQPHPDDRILLRGKILSLELLKVVMDNGGSIWRVNDRFLNGIKQYLCLSLLKNSALSAMAIFQLQCSIFMNLLSKYRSALKKEIGMFFPMLILRVLENVLQPSFLQKMTVLNLLDKLSQDPQIIIDIFVNYDCDVDASNIFERIVNGLLKTALGPPTGSTTSLSPAQDITFRHESVNCLVSIIKSMGAWMDQQIRQGDLDLMKSPESTSVAESPLTLNGEDGNVSDLELHPDVNSEFSDAATLEQRRLYKAELQKGISLFNRKPSKGIEFLISIKKIGSSPEEVALFLKNTGGLDEAKIGDYLGEREDFSLKVMHAYVDSFNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERFCKCSPSSFSSADTAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDDGKDLPEEYLGALYDKIVRNEIKMKADSSAPQSKQENSFNRLLGLDGILNLVNWKQNEEKAVGANGLLIRHIQEQFKSSSRKSESAYHVVTDVAILRFMVEVCWGPMLAAFSVTLDQSDDRVATSQSLQGFRHAVHITAVMGMQTQRDAFVTSVAKFTYLHCAGDMKQKNVDAVKAIISIAIEDGDHLQEAWEHILTCLSRIEHLQLLGEGAPSDATFFTSSNFETEERTPKTSAFSSFKKGTLQNPAMVAIVRGSPYDSTSVGGKPSTLVTPEQINSFISNLNLLDQIGNFELNHVFAHSQGLNGEAIVAFVKALCKVSISELQSPTDPRVFGLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFAIDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTTFTDCVGCLLTFTNSRFNSDVSLNAIAFLRFCAVRLADGGLVCNKESSADGSSVILTNGVSDVQALTDNDDHVSFWIPLLSGLSKLTSDPRSAIKKSSLEVLFNILKDHGHLFSRTFWNSIFCSVVFPVYNSGCVKRDMNIPEGHCSSSVSVHTEGGTWDSETSPVAAECLIDLFVTFFDMVRSQLPGVVSILTGFVRSPVQGPASTGVAGLVRLTGDLGNRLSEEEWKEIFLCLKDAATSTVPGFIKVLRTMSNIEVFKFSQSSDNDLTNYEYDDDNLQTATYVVSRTKNHIAMQLLILQVTSDLYRKHQQFLSADNIKVLIELYSSVALHARQLNRESVLLKKLQKACSILELSAPPVVHFENEAFQNQLNFLQNLHEDHYLVHKEIDLEQELVSVCENVLDIYLSCAGSISTFHKSDTQPVVRRKFPLSSTKKQEIDARTSLVISALQSLASLEKDSFRRYIPRFFQLLVDLVRSEHTSGEVQLALSNMFRSSVGPIIME >CAK8563585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618932763:618933605:1 gene:gene-LATHSAT_LOCUS16980 transcript:rna-LATHSAT_LOCUS16980 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWMSDEHRGTQSNIRASVRFPLLFYVVIFIIVVFTIISFFFQTNARFRVHYHAYIQATAGIIPYLEIAGFANIAKINSLKIESSLIVALLEKWRPEAHTFHFPTGKCTITLEDVNMLLSLRVNGKAINCPTGVTNDVYMENLGVEPTAADKSRGSVRIIWLENLYEVLKNNSATTQEHTILQAKIYILLVIATILFPDNSQNILHSSWIPFVGDLEKCNTFSWGSACLAKLYREMCKAAVRDVRSMSSCVLLLTTWTFTRIPLVAPVSTLQLSFPYA >CAK8576746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510553055:510553423:1 gene:gene-LATHSAT_LOCUS28917 transcript:rna-LATHSAT_LOCUS28917 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTYLNVADNSGARELMCIRIIGASNRRYAYMGDIIVAVIKKAVPNSSFERSQVIRAVIVRTSKELKRHNGIIIKYDDNAAVLIDKQGNPKGTRIFSAIARELRQLNFTKIISLAPEVL >CAK8530510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26621671:26623476:-1 gene:gene-LATHSAT_LOCUS368 transcript:rna-LATHSAT_LOCUS368 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPLSFNVISSNSNISSSSLLPLHKYSQHSKQSKRHVIRCSVNNSNQNNPKEDQELPNIVGHRRNVLIGLGGLYGTFATNPFALASPISPPDLSTCGPPDLPLGATPNNINCCPPNSTKIVDFKIPSSNQPLRTRQAAHLVNDEYLAKYKKAIQLMKALPSNDPRSFTQQANIHCAYCDGAYSQVGFPDLDLQVHNSWLFFPFHRWYLYFHERILGSLINDPTFALPFWNYDTPDGMQFPSIYTDSASPLYDKLRSASHQPPTLVNLDFNDVDGDASDLIANNLTIMYRQVISNGKTSKLFLGNTYRAGDESDPGPGSVENVPHGPVHRWSGDDTQPNSENMGTFYAAARDPIFFSHHSNIDRFWSIWKTLGGKRKDFTDKDWLESAFLFYDENKNLVRVKVKDCLDSKSLGYVYQDVDIPWLNAKPTPKRTQKKVQVAQGNLFGIGEAHAAETNDKLSTSRNFVKFPFVLDNVASAIVKRPKKSRSKKEKEEEEEVLLIDGIEFDKNKAIKFDVFINDEDDKVIGPGNTEFAGSFVNVPHSSHGHKKKKINSCLRLGLTDLLEDLDVEGDDTVVVTLVPRCGKGLVKIKNIKIVLED >CAK8565454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:217813617:217813937:1 gene:gene-LATHSAT_LOCUS18671 transcript:rna-LATHSAT_LOCUS18671 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWIRTETSKLGFDVVIGRSNNDSDRRYAFLTMTCETSGKYKPPLQNFKQDDTGSRKCECSFKLCGYMLENNKWIFNVMCGFYNHDLCEKLADHPIMCHLLSEEK >CAK8537732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425525365:425528096:-1 gene:gene-LATHSAT_LOCUS6993 transcript:rna-LATHSAT_LOCUS6993-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVECLPPQHEEEMAALSLNKLPLGFRFRPTDEELVDYYLRLKINGNGDEVWVIREIDVCKWEPWDLPDLSVVRNKDLEWFFFCPQDRKYPNGNRLNRATEHGYWKATGKDRMIKSGPTLIGMKKTLVFYAGRAPKGQRTHWVMHEYRPTLKELDGTNPGQNPYVLCRLFKKQDESLNNSNCGEVEQTASTPTVSTPMTANYSPEEIQSDPNPVPVTSSQATEDDKHLPDIPENSEEVISNVITPSDCYSDACNAADAQYQIVEVPAAEEDPPLNFDIFDDPPLEPLDDKLFSPVHAHFPPEFYHQANNELFQYGTNKTDISDFFDSAVNWDDLCDSIYLEDENNGLCSVSDVEMANMTHLQASHAYPEGAILQNSNVGLFQNNSQMTFSTDFSMGQTPYVTNNYNQSGNLDAVVHGDNTGIRIRTRQEQNEQPNMNPVMQAQGSAPRRIRLGGFVRQSLGSEVTTHSLGSEETTKDESCTPEYEQSRNLDPVVNGDTGIRIRSRQGRNEHPNMNPVMQAQGSAPRRIRLGGFVTRSLGSEEMTKDESCTPENHNSKTIIASESSISQEREASENLATVASISDTNDVDEPEKTSPTADTSKPSSHRSTWFSVLAVSAGVLVSSVLLMNIWGYLRFETAH >CAK8537731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425525365:425528096:-1 gene:gene-LATHSAT_LOCUS6993 transcript:rna-LATHSAT_LOCUS6993 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVECLPPQHEEEMAALSLNKLPLGFRFRPTDEELVDYYLRLKINGNGDEVWVIREIDVCKWEPWDLPDLSVVRNKDLEWFFFCPQDRKYPNGNRLNRATEHGYWKATGKDRMIKSGPTLIGMKKTLVFYAGRAPKGQRTHWVMHEYRPTLKELDGTNPGQNPYVLCRLFKKQDESLNNSNCGEVEQTASTPTVSTPMTANYSPEEIQSDPNPVPVTSSQATEDDKHLPDIPENSEEVISNVITPSDCYSDACNAADAQYQIVEVPAAEEDPPLNFDIFDDPPLEPLDDKLFSPVHAHFPPEFYHQANNELFQYGTNKTDISDFFDSAVNWDDLCDSIYLEDENNGLCSVSDVEMANMTHLQASHAYPEGAILQNSNVGLFQNNSQMTFSTDFSMGQTPYVTNNYNQSGNLDAVVHGDNTGIRIRTRQEQNEQPNMNPVMQAQGSAPRRIRLGGFVRQSLGSEEMTKDESCTPENHNSKTIIASESSISQEREASENLATVASISDTNDVDEPEKTSPTADTSKPSSHRSTWFSVLAVSAGVLVSSVLLMNIWGYLRFETAH >CAK8536788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20616886:20617251:-1 gene:gene-LATHSAT_LOCUS6121 transcript:rna-LATHSAT_LOCUS6121 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLKIYARDVKDRQEVTLDDFGEPIGPDDQTVSGLGYFLGTIARNANFCPLIYTNFKELLKDETDPKRHNYHIWKYINTKFNISEEEKKQCMLE >CAK8535453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849882044:849883180:-1 gene:gene-LATHSAT_LOCUS4916 transcript:rna-LATHSAT_LOCUS4916 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYRNLMGKKDNNPSHIDIKAMRLGAQLNMEQREYLSKNITEEDITKALKGIGDLKAPGLDGYGARFFKASWATIKKDVIAAVNEYFETGRMYKPFNNAIVFLIPKSNKTCEIKDYRPIAVCTTFYKIISKILIDRLGTVLPNVVSHNQTAFVKGQNIHNHIMLATKLLKGYTRKADTPRIMMQIDLQKAYDMVSWQALESIMKEMGIPRKFIQWTMLGITTVFYRFNIMGEYTEVLPAKRGIRQGDPLSPILFVLIMEYMNRLLIKMQRDPNFNYHAKCEKLKITNLTFADDILLLCRGKNLIGNDFRNLSTVLQLHRTADESQQMQNLLWRSGYGNQTKSEGAVWLPRRDASFQILRNPLIKQETHHQPLYAPGG >CAK8538474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484280591:484282632:-1 gene:gene-LATHSAT_LOCUS7666 transcript:rna-LATHSAT_LOCUS7666 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHRLKEEFVRGVKKFVKKALNQPIWKSEGGIRCSCINCKCCKISTTTNVRPHLYRDGFQLDYWIWTQHGEVELNIDTRGGSNSSEHVRQADQFEAMYQMVYDAFRPHGGFSHANDNMEQEEFLEDEFPNEEAKQFYDKLISFNIVRISLKYLFNIVTGFNIIIGFNIISYDIFGFIQYCRLVPVKEVANAINYAIHKQFYRGFYNWTAVSADVKEKWFALFADKVSWDPQCEMFVRKTFYSKEAIRLNDIMRKVRLKGTRPSWIGEDSWNELETYWKSDSCLKLSSQNKANRSSARG >CAK8579082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673122581:673124670:1 gene:gene-LATHSAT_LOCUS31054 transcript:rna-LATHSAT_LOCUS31054 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFMRRVVRVLNSSAPPSTTLLRPLFRYNTISSFTSQSDNNNGTQSNNSLHIDLSNEETKRSLFNRLLYRSKQRGFLELDLVLGKWVENNIHSLDENHIRSLIHVLDVENPDLWKWLSGQEQPPESLVTNPVFAAVREGVLKNLNSHSSPETRATPGQPWVRGWDDIQKFRGGPITGNQ >CAK8559916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:940092:942834:-1 gene:gene-LATHSAT_LOCUS13650 transcript:rna-LATHSAT_LOCUS13650 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSSSLTKTFVTHRNHLSFFHHTLFSTLPKPSSKRVIVHAQKPKPNHNNNGSLRLKGNNKKNVWSIDNELAKVGSSQKEKKGKGKEKKKMVKRNKGGSVVVTEAMMMEVETVLQTQEPVIKPAWNTFASSLNGIWKGVGAVFSPITAEMEPMDVSVKNENLYDCYILSRIETVPSLSDESTSQIKRTVNWVTLNPYGEMPQNVEGSKVAKNGSGEKITNRVLPTFESFDFEKSDVMEEDVMGWEPGLVYFEDGSYSRGPMDISAGKDDDSKYYITPTFKFEQCLVKGCHKRIRIVHTIEFVNGGSEIQIMRVALYEEEWVSPICVDDLSGDMEFDLKPFSQRKRTKPSELTGSWKVFEISATPVYAEETKIEEEGNAAPYVYLCTETLKKRNPRDSMNYFGEEERLDMQDITVLWLPGGVTCYVDINKDGVLCIGVGWYSDEGMNLVMERDYGLDGKLKDVRWKSEMKRRWSNPPNV >CAK8579672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715414704:715416215:1 gene:gene-LATHSAT_LOCUS31600 transcript:rna-LATHSAT_LOCUS31600 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTTVSYRFNINGKFSDKVVARRGIRQGDPLSPLLFVIIMEYLNRLLFRMQRNPDFNHHARCERLQLTHLTFADDLLLFSRGDIVSIEIMQRTVNSFLDSTGMKVNPTKSKVYFGSVSDSVKQSILNFTAYDEGSLPFRYLGVPVSSKKLSVVHYLPLMDKLLSRITHWSSRLLSYAGRLQLIKSVLYAITSFWMQCVCFPKTVIRRINAICRTFLWTGGNSISRKSLIAWDKICKPAAKGGLNVLDLVVWNSMFMMKLLWNISMKTDDLWVRWIHAYYLKNEDVMYRMVKNSDSIIFKTILMQRENIGTMQRVWNEMVQAGRFIGRRVYANLLPATPKVAWSRLILHNRARLRAIYTLWMNCHGKLATKVRLNRFGMVNNNQCVFCPAAETIDHLFFDCATLRKIWVEILHWIGIPHNPGDWTEELNWMLNCFGGKGWKADLVRLALTETVHEVWRFRNDICFNQRNDSRNCTDRIINNIVYRGWSSPKLRPHIALLMVQ >CAK8573416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611049431:611049988:1 gene:gene-LATHSAT_LOCUS25863 transcript:rna-LATHSAT_LOCUS25863 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVDFNAKLGDFGLAEVYEHSSSTRDATIPAGTMGYLAPEYVYTGIPTVKTDVYSFGVVMIEVATGRKPVGDDGTVVGDYVWTLWEKNRLVEAADPKLMGEFDIVEMERLLLVGLVCVHPDNEKRPRVRDAARMIKREASVPLLPPCKPRVRIRPICPDETQNLGCDCVSNDEALYMTPRSQFY >CAK8562872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551329497:551330823:-1 gene:gene-LATHSAT_LOCUS16335 transcript:rna-LATHSAT_LOCUS16335-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNREESGRFETIYQEMVEDEVKATHKLPAGPMHKLIAENTKSNSLVMKKPNLVIPAHIIAEAISSIRDIDLRWSGPITQKEMEYVEQYVLAKYPEYANLIEGDGSGIDMSSFIINEEPSELPMSDALRKSPRSPSFGSNLPEMDGTQLEPSRLLDVINKKSSFPGSFISIPEIQAQNKVLKHCGLPDDEYLVLFTPSYKDAMMLVGESYPFVKGNYYMTILGEEQEDYIKEFASFKESRVLIAPKTWLDLRIRGSQLSQNFRRKCKISPKGLFAYVADVNGTMHWVSEAHRNYWHVLLDASEMVVGKDRLHVGLHRPDFLVCSLDNTNSNPSKITCLLVRKKSFDTSNTSS >CAK8562873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551329497:551330823:-1 gene:gene-LATHSAT_LOCUS16335 transcript:rna-LATHSAT_LOCUS16335 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNREESGRFEEMVEDEVKATHKLPAGPMHKLIAENTKSNSLVMKKPNLVIPAHIIAEAISSIRDIDLRWSGPITQKEMEYVEQYVLAKYPEYANLIEGDGSGIDMSSFIINEEPSELPMSDALRKSPRSPSFGSNLPEMDGTQLEPSRLLDVINKKSSFPGSFISIPEIQAQNKVLKHCGLPDDEYLVLFTPSYKDAMMLVGESYPFVKGNYYMTILGEEQEDYIKEFASFKESRVLIAPKTWLDLRIRGSQLSQNFRRKCKISPKGLFAYVADVNGTMHWVSEAHRNYWHVLLDASEMVVGKDRLHVGLHRPDFLVCSLDNTNSNPSKITCLLVRKKSFDTSNTSS >CAK8538920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499576003:499576530:1 gene:gene-LATHSAT_LOCUS8067 transcript:rna-LATHSAT_LOCUS8067 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCNGLLVFVGLTKSFSTSSIDDDKPSNNSQYSSSVRYIEVEEEEGSQSHILDVEVNEPMMEREAEKRTSEPDEQSAEEEEEKVEEENIEKIILIDEEKVELFDGDDVEEYEGSEIDYVLIEENNIEEEDYVEEEEEESCMLSTEELNKKFDDFIRKMKQDIRIDARRHLVMV >CAK8535074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811525913:811526700:1 gene:gene-LATHSAT_LOCUS4555 transcript:rna-LATHSAT_LOCUS4555 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKMGEMETLLKSMYMQQNPHLSEEEVNYKMREALHNDNIPTPRSSTSTYALADHQKVRNEDDPQDEQDSVLQDDDDLQDDDDLHYDFDDALQYDQDDNLQYDQVDGSQDDDSHDPQYNEYDEDLH >CAK8535464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850609916:850611136:-1 gene:gene-LATHSAT_LOCUS4926 transcript:rna-LATHSAT_LOCUS4926 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEIEIDVPSHFLCPISLQLMKDPVTVSTGITYDRDNIEKWLFSFQNKTCPVTKQTLLETDLNNLTPNHTLRRLIQSWCTLNASFGVQRIPTPKSPIDRTQILKLLNEAKKFPETHLSCLVKLRSIVVESERNKKCLESAGAVEFLASTMKNNNSSSLREAAIEVLFHINPSEVRIKNLINNESIQFIESLFHVLKLGSCQSRGYATMLLKSAFEVCDPIQLIGVKKAIFEEVTRVLIDKISQQATKAALKLLVELLPWGRNRIKAVEGGAVLVLVELLFDVSERKVCEMILIGLDQLCGCAEGRAEMLNHGAGIAIVSKKILRVSHVASDRGVRILSSICRYSANSRVLHEMLQVGGVSKLCLVLQVDSNFKTKEKAKEILKLHSVVWKNSTCIPVPLLSSYP >CAK8536982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:50003639:50005138:-1 gene:gene-LATHSAT_LOCUS6303 transcript:rna-LATHSAT_LOCUS6303 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLDIFLFLLCLAIMTPTLNANILESDEYWKEQRPEFDSYWQERAQIAKQDNHAAYFSDPFAVSGNFTASISEIIAAKSTRRNLRGEKGGKCLATNPIDRCWRCDPNWANNRQKLADCVQGFGRNTRGGKGGPFYVVTDPSDNELLDPKPGTLRHAVTRKGPLWITFARSMLIILQQELIMESNKTIDGRGVDVYIANGAGITIQFIKNVIIHGIKIYNIQVREGGMIIDSESHFGLRTRSDGDGISIFGSSNIWIDHVSMRNCTDGLIDAIMGSTAITISNSHFTDHNEVMLFGASDSYTEDKIMQITLAFNHFGKRLVQRMPRARFGFVHCVNNDYTHWEMYAIGGSMNPTLISEGNRFIGPENKFIGNNQINAKEVTKREYTEEKIWTNWQWRSINDEFLNGAFFVNSGPELKNRPFSRKDMIKAKPGSYVGRLTRYSGILGCRVGQPC >CAK8539633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518876400:518878485:-1 gene:gene-LATHSAT_LOCUS8711 transcript:rna-LATHSAT_LOCUS8711 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVQTLCSPCGGGLFQNIECGTEKLRFVKFHRGFNAVGLGSFRKSLLKPITAKDGRESSLAGDCDGDGNGSAAPKYKGISVNTRNCVKSEPDSPFTHHSAGKNVCDLSMNGNSTNILWHECPIQKLDRQKILQQKGCVIWITGLSGSGKSTIACALSRSLHSRGKLTYILDGDNIRHGLNRDLSFRAEDRSENIRRIGEVAKLLADAGVICITSLISPYQKDRDACRALLPKGDFIEVFLDVPLAVCEERDPKGLYKLARAGLIKGFTGIDDPYEPPSSCEIVLQQIKGSECMSPNNAAEKVISYLEKNGYLRA >CAK8560832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48312249:48312818:1 gene:gene-LATHSAT_LOCUS14477 transcript:rna-LATHSAT_LOCUS14477 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKICSKTMIFLLMIISTFSLSIEASTCKPSGRIIGKHLNSGQCNRGEESSCCKPGKPYTTFKCSPQVSRHTKATLTLNSFEKGGSGGSASACDNHFHSDNTPVVALSTGWLNNHKRCLKKIVIFGNGKRVKALVVDECDSTKGCDAAHDFQPPCLNNIVDGSAAVWKGLGVPEKNWGQLEVFWSDA >CAK8567557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524769544:524774830:1 gene:gene-LATHSAT_LOCUS20599 transcript:rna-LATHSAT_LOCUS20599 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQSAAKIDSNHQRLYDFAKMALIKIFAHPYATVCDLYCGGGADSDKWLDAQIGHYIGIDVSSSGIDQIRQAWESNRKSYTADFFHLDPSTENFEMNLEEKSNTVDFVCCLQHLQLCFETEEKARRLLQNVSFLLKPGGYFLGITPDSSTIWAKYQKNVESYHNKGSSVKANIVPNCIRTENYTITFEVEEEKFPLFGKKYQLKFANDVSAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRAQLAGLLTNYAPNLLDIRGRLLPRSYDALGLYTTFIFQKPDPEVAPPIATPLLQDVGYNFEEGTIWREDEINGLVLESSIGLGKISEQKGILGPGPAELRYPEAI >CAK8579268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689102738:689103932:1 gene:gene-LATHSAT_LOCUS31226 transcript:rna-LATHSAT_LOCUS31226 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPGPLVWEIVKKNNSFLVKEFGNGNQSVQFSRESNNLYNLNSFKYSGLANKKTVAIQTAGKDQSVLLATTKPRKHNKPSVLSQKSVMKKEFRRMAKAVQNQVGDNYYRPDLKKAALARLSAVHRSLKVAKSGLKKRNRHA >CAK8534894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787764852:787769040:1 gene:gene-LATHSAT_LOCUS4396 transcript:rna-LATHSAT_LOCUS4396 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDQLKKDIKEVKFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGGKVAIKKIHDVFEHNCDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIYVVFELMESDLHQVIKANNDLTREHQQFFLYQMLRALKFMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTTFWTDYVATRWYRAPELCGSFFAKYTPAIDIWSIGCIFAEVLTGKPLFPGKSIVHQLDLITDLLGTPPTEIIAGVRNEKARKYLMDMRKKLPVPFELKFPDADPLSLRLLQRLLAFDPKDRPTAQEALADPFFKGLARIERELSSQPISKMEFEFERRRVSKDDIKELIYREILEYHPQLLKDYKNGTEGTSFMYPSAIDQFRKQFAYLEENNGKCGPVIPPARKHVSLPRSTVLSSTIPPSMQPFKISRAAESNTLSQSKGLRPPPRAPAAKPGRSIGPVHCDNGRSTKDNYDARIFYQNTIPQSISPHPFQRVAHAQRIYKDNSQGKHQLSPQKRSVPPRPAIDLNTNPYHQQAKNDLLNDPVTVIDTKLLKAQSQFGAAGAAHRHSAGVQYGVS >CAK8532618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:331663643:331667380:-1 gene:gene-LATHSAT_LOCUS2305 transcript:rna-LATHSAT_LOCUS2305 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAMQDEHPHHEHEKKSVLKKVKAKAKKIKDTITKPKHGHHDDHEHEHGHHHDQYQYENQHIPDDHDLDQEDDEDDQDPEIHGAPIYDSVGVRNVTPQVQGVNFGEGSIMGEEHHHHELERTLVKEERTMISKVNLEEDPHAPGSRSEACVSANYQTKVTYPTRTGSAEIDIAPIQKSMDRMTKENEPKTVATICETQYPSPCVGIHNQFPPQLSTETKTPYPSSNIAHDQNLPQLSPEIKTQYPKNHDQFTPVFNTSTQTQHPNIIHHDQNLPHFSNVTKTQYPSSVTSHDHFTTQLLPTEHKPQHHSETSHDQNLPQYSNATKTTSNLLPVTGHDQYLPPESRYPSATSHHLAYEPKPQYSSATIHDTHLPAYSGAGESGHFSAGSHVQFKPELPTEHIPQHSFTSHVQSLPQYSSLPHHSSEMKTQYSSSGSHDQISSELPTENHSPPHFSAMRTQNPSAGSHDQLRAELPTEHHSPPHLSAMRTQHLSAGSHDQFRAYLPTEHHSPPHPSAMRTQHPSAGSHDQFRAYLPTEHHSPPHLSAMRTQHPSAGSHDQFRAEFPTEHHSPPHLSAMRTQYPSSYVQFTPQFSTTNRNITAEEQPQLHNELTKTSSNQSNDSYTDKMYSAASTIADKATSVKNVVASKLGYSGENETTQTTTGETPSKQTSYADKITSAAANAVASKLGYGGENETTQTTTGETPSNQTSYADKITSAAAGKAATAKDMVASKLGYGEKGEITSGETPSNQSSLTDKISSATSVAADKAAAAKDMVASKLGYGEKAETTTGETPSTQVSHTEKISSATSATAEKVATAKDMVSSKLGYGEKGETHTGETPSNQVSYTEKISSVTSAAAEKVATAKDMVASKLGYGEKGETHTGETPSNQVSYTEKISSATSAAAEKVATAKDMVASKLGYGEKGETVETPSNQNQSSYTEKTSSATYAIADKAASAKNTVASKLGFGETERDSSSEVSPAEYGNKIAVSLMEKLSPISGKLEIGSGVEQDKGVSVKDYVSEKLRPGEEDRALSKRISESLYKKSVDDTVKDLNDDDDKREGKDVRKVVSDALHKRDADVNEGRRKPVRGKVTESEEVKKRLGGWDEEIREGELGKGMVDMVKDTVESWFGKPGENEATQGSGERRLQESAN >CAK8567454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515284565:515285563:1 gene:gene-LATHSAT_LOCUS20507 transcript:rna-LATHSAT_LOCUS20507 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGLFGIYKESIKIITSCSKIFSQIALTLILPLSFIFLIHIEVSNILFRKIMTNTVEIIDTPQGTPQYKKLSDIISSEWTTFLLFKLVYFTFLLIFSLLSTSAVVYTVASIFTARDVSFKTVMSVVPRVWKRLMVTFLCTFLAFFVYNIMAILVFIIWALLIGYNSGGVVILVIIGTLYFVGFLYLTVVWQLASVVTILEDSYGVEAMMKSNELIKGKMGLSIVIFLMLSVSIFGIQFLFTKVVVGGWGLSFVGRTVSGILCVVLLPHLFLVGLVVQTVLYFVCKSYHHQSIDKSALSEHLEVYHGEYEALKDKDVQLENYHV >CAK8560664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34966576:34967289:1 gene:gene-LATHSAT_LOCUS14322 transcript:rna-LATHSAT_LOCUS14322 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDFKAFNLAMLAKQGWKLLSKSHNLVSRIFKARWSIGDGSNINIMRDPWLRSKEGDYITGPQISGVYDMCVNDLLLHNSKQWDTQAIHALFDHAVTSEIMKVPLLGEVTEDGIIWKEEKNGEYSVRSGYRLWRNSRRHNQVGSGAEVWDNIWNIMAPPRAKHLLWRICRRCLPLRVRLLQHYVQCPSVCQSCEVGVEDD >CAK8564489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678084351:678085186:-1 gene:gene-LATHSAT_LOCUS17790 transcript:rna-LATHSAT_LOCUS17790 gene_biotype:protein_coding transcript_biotype:protein_coding MINLAPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSISFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSTQPHFQPPT >CAK8532999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564198195:564200983:-1 gene:gene-LATHSAT_LOCUS2652 transcript:rna-LATHSAT_LOCUS2652 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLFLSIAALSVLQSASASSSSSSSSACIPTPDSFLYHLQSQCPIYVQSNPPLQVDGNFVEEVLSGKKKTESVSILFYASWCPFSHRMLPKFETLSSMFPQIEHFALEQSSALPSLYSKYGIHSLPSILLVNQTSKLRYRGPNDFLSLLEFYERNTRFEASSNNAIGEPSSMMMTGDENSSLKSLMGLSLKETSIREPYLLFSVMFICLRILLFVFPKILLRLRAFWVSCIPNLNMQIFGETSQVIGRVLQVIDVRRIWTKLGLCKTRIFHERARSARVLASSLASVSLGESSSAR >CAK8544589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694068111:694068785:-1 gene:gene-LATHSAT_LOCUS13250 transcript:rna-LATHSAT_LOCUS13250 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFNFVEFIGNLPANVLFGPYWGQLQIIFTTIFVLSFSSCAIFLLVITFGPSNIKFHVTEASLTKFKLSGNDTLDYKLEANITSRNPNKNVEVHYMRTTAIAWYKDNEFARVDLSSFDQGHKSTTFLNVGFEGKSVIRLKPKQLFEFNEETRVGIYNDLAIDLDFSITYKFGIHKSWPFEPPIVRCRRLSVPLISNGNSSSAPPFQHFKISRCRTGAFFVNR >CAK8578127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608863268:608864872:1 gene:gene-LATHSAT_LOCUS30166 transcript:rna-LATHSAT_LOCUS30166 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILATLVPFFLFNILILVPITVSDSNTLLQCLSLYSQPSHPISELLYFPNTPSYPPVLNSYVRNLRFNSSTTPKPLFILTPSHVSHIQASILCCKKFNLEIRIRSGGHDYDGLSYVSNSPFVVLDMFLLRSVVVDLKNETLWVESGATVGEVYYGIWEKSKVHGFPAGVCPTVGVGGHFSGGGYGNMMRRFGLSVDNILDARIVDVDGRLLDRESMGEDLFWAIRGGGGASFGVIVSWKIRLVSVPEVVTVFRVEKTLEQGGGEIVHRWQYVADKMHVGLFIRVVISPAKRKGKKTVKAKFNALFLGDARQLLDVMSEIFPELGLIGEQCIEMSWIDSVLFWYNYPVGTSPDLLLERHSSQEKFLKRKSDYVQKPISKVDLDGIWKKMMELGKVSLTFNPYGGKMSEISEVETPFPHRAGNIYKIQYSVSWKEESNDVADRYLDRIRKLYDYMTPYVSKLPRSSYLNYRDVDLGVNGDGNVGYEEASIWGKKYFKRNFDRLVEVKTAIDPSNFFRYEQSIPSLASEISVMAE >CAK8571660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457294292:457294711:1 gene:gene-LATHSAT_LOCUS24301 transcript:rna-LATHSAT_LOCUS24301 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKAEKKPAEKKPAAEKAPAEKKPKAEKKISKEGGSDKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8565994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365532231:365534116:-1 gene:gene-LATHSAT_LOCUS19163 transcript:rna-LATHSAT_LOCUS19163 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNTLSLSLFLFLSFSSFFSPSQSHTKVSLELYYESLCPYCANFIVNYLPQIFEQEDLLSIVDLKLVPWGNAKLRDNSTIVCQHGEYECLLDTIEGCAIDTWPQPKKHFPFIYCVENLAHQGRREEWESCYEKLGLDSSTVNDCYRSEHGKELHLKHKDETNALQPPHTYVPWVVVDGEPLYDDYRDFISYICKAYKGNDAPKSCTQTSNIRTAGKVETKAKHLYCVMEKVMPTWNKIRSTIASWMNQMNLV >CAK8534727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759680918:759681148:-1 gene:gene-LATHSAT_LOCUS4246 transcript:rna-LATHSAT_LOCUS4246 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVNGSPTEDFRVYHGLRQRDPMPLFHFSIVTEAFAKMVNRASNMGLLKGFKVNDGASYNLLQFADGYHPFERW >CAK8539775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524835600:524839585:-1 gene:gene-LATHSAT_LOCUS8839 transcript:rna-LATHSAT_LOCUS8839 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELIDRIREFLRSSDLNTTTTSTVRRQLETDFGIDLSNRKAFIREQVDSFLQSVNQQQEDEPENDDVAEDADKPEPSQGSGSKEETKEEKEVEEEDDNEEEAEEKPKRTRSEKKGKKKNKKSKKRSNKSGDEVVKKKGGGGFSKICSLSPQLQKCLGAPEMSRSEVVKQLWAYIREKNLQDPDNRRKINCDEPLRALFGVNSINMFQMNKVLAKHIWPLDSDDVIQVKSAPKEKQKRKREDDDEPKGRKGILAPVQLSDALAKFLGESELSRSEVIKRMWDYIKRNNLQDPSDKRQIISDEKLKELFGVDSFNGFTITKLLVPHFIKS >CAK8543196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587044989:587045573:-1 gene:gene-LATHSAT_LOCUS11969 transcript:rna-LATHSAT_LOCUS11969 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMQIYATAAKLFKSCAHEYEIRQEMATAALAYKCTEVAYMRVVYYGHSSTNRDRCELQSTLQVVSQGESPSSSASDVDNLNNQVAMDKAATLPKVTNAHVAGNHVISVRTRPSLVRLLDFTHDINFAMEAATKCHSTFSAANAKMEKTRNRDCTTSIKRVIDFSFQDVDELVRLVRNATKAISGAGLGGAKD >CAK8562329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474334564:474334956:-1 gene:gene-LATHSAT_LOCUS15835 transcript:rna-LATHSAT_LOCUS15835 gene_biotype:protein_coding transcript_biotype:protein_coding MDKERTKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNNNWFTRNEVRNHLIAFGFQKGYDVWVRHGEKKPKLGDLNDNHMNEEEDQIDDIDGLLHERFRDVVQEDNDVNVSLNEDAKSSII >CAK8573083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582113879:582115614:-1 gene:gene-LATHSAT_LOCUS25573 transcript:rna-LATHSAT_LOCUS25573 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEDQGQCSSQTMNNFETYQQEQFLLHQQQIMRQQNSFSFPGGDHHQVVSPILQQQPWSSMSMQQFHVHDPFVLPQQQTSSSPYASLFNRSSRVPSLQFAYDHHHHGGSEQHLRIISDTLHQHGSFGGLQYQGGDVGKMSAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLISETCQVPTECDELTVDAANDDDEEEYGNGNGNKFILKASLCCDDRSDLLPELIKTLKALRLRTVKADITTLGGRVKNVLFIAGEDHDHEYCISSIQEALKAVMEKSVGDESASGNVKRQRTNIISISN >CAK8534474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:730903968:730911920:1 gene:gene-LATHSAT_LOCUS4018 transcript:rna-LATHSAT_LOCUS4018 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNSSPRDMRPPPPPNVVRSEEPHVLPVSTAAVRDNGGVPVYYPDGGLAGVGYGNVASGATASTTWCIRPGVPHPHPHPALNPTVGFNFPSRVAGGNAVDPSGSFVAAANGYPLNLGNWVTGNALDNNNNLQSNSRVIANGGDRAGGVGLIGSHSNPPASQRVDENSGDDSVSGRKMKFMCSYGGKILPRPSDGMLRYVGGQTRIISVKRDVSFNDLAQKMVNTFGQPVVIKYQLPGEDLDALVSVSCADDLDNMMEEYERLIERSSDGSPKLRVFLFSASEFDSSGVLQFVNLHDGGQKYVEAVNGIADRISAKLTRKGSITSAASTQNSDLGGIETPDGTNAAQVDVNGPPMSSTLSPDANVAASYDVTTSNVMVSEPVESACSDISAVSLGIPVANSGPTHTLPFQNEVEVEKSLPTTLSQQQFGSQQSGMEIQPSAPMQAFVDPRQELLNHADYVQMPAHMGFPSSQLIGRPGTIYSQHHFHDHTAGYVSQQVIPAVQMTVAQPSSHAGIRPCVIQPRPVMQGQANGFEPFYDENTSGLRMHHQLVAEPSYKAYPHQVPFGGNYGWVQVSPSEHVIFHDGLLPQQPVVVPQRMEDCYMCQKKLPHAHSDPVVQDQRSSCAGLIPDSMASYNSFPVEDTLRPQPTNRVLVTAPMKEGNVNVEQAVGTRPKVIIPCSDTSGLSVEAEGDRNFRVDRPDNPRNAVVIPEIVGRTGEKQLPQDGLTGTAPLSYLDDFARQHMVPVENWAKEDVIVNKPVNEIPLVGGTSVETSESMVQESSTQHTNELASTISKADAVENWIAHDLLKHIDGRMDNLKISNPETLVNSDNLDYNSQHAIEKKDLVLDNNTGRSKLIADGNQIKMMDTLPNSNVEISYGNNSRQVEYNEAAHPPVWGIPGVNPQSKNGIHQKDEAVLSSISQSVGFGHVQDSSNSLFSNQDPWNLHGTYFPPPRPDKVASKKETYSFIDQFGENSGKGGEHKFDAQLDDGLYHTFKQNSTLEEARYAKEEQQLQAVAESSAASVLHSSTSNPDLHARDVSHHEDTEHGDVQSNILNIQCKEKIQDDKSKLSEKANFGFPASDLGALQIIKNSDLEELVELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERLRADFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNAFEKNGRNVDKRKRLMIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVNNTLRPLVPESCDPEWRVVMERCWSSEPSERPSFTEIANDLRSMAAKISPKGQNQQQQPASSQNQVQK >CAK8536147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911792697:911793608:1 gene:gene-LATHSAT_LOCUS5543 transcript:rna-LATHSAT_LOCUS5543 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFAMVVTEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8569764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9901653:9903935:-1 gene:gene-LATHSAT_LOCUS22578 transcript:rna-LATHSAT_LOCUS22578 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKSASISNFGAWGMNVVSSVGIIMANKQLMSNNGYAFTFATTLTGFHFAVTALVGLVSNATGYSASKHVPLWELLWFSAIANISITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHGKHYSREVKTAVMIVVIGVGVCTVTDVKVNLKGFVCACLAVVSSSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLIILGPFIDYYLSGKLITNFKLSSGAIIFILLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNIMGMVLAVVGMVIYSWAVELEKPSNAKTLPHSKNSLTEEEIRLLREGIDTSTLKDVELGEAKV >CAK8572552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545997115:545999910:-1 gene:gene-LATHSAT_LOCUS25105 transcript:rna-LATHSAT_LOCUS25105 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKTRSSSSISSIFKPGTLIEVSSDDDGFRGSWFTGKIVRRLVGDKFMVEYDDLVVDEDSTKRLKEPIRIHQLRPIPPKEITWDFKFGDEVDAYHNDGWWEGHVTGILEDGRKTVYFRVSREQLVFTDEKLRLHREWVNGGWNPPLQQQDDSQIKKTVRVKASETVTGENADFKLKEGALVEVCSDEDGFKGAWFCVTLVESKQEGKFVVEYESLLDDESKLLREEISMLQIRPRPPKTDDVDQFKFLDEVDAYYNDGWWVGVVSKVLGDSKYIVYFRNSNEELEFEHSQLRLHQDWVDNKWLMPSKALKF >CAK8565086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:34512495:34512767:-1 gene:gene-LATHSAT_LOCUS18325 transcript:rna-LATHSAT_LOCUS18325 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHCIPGDWQDELNWLMQNTKGKGARAAVIKMAASETIYELWMIRNKNFFDMNEDTTIVGKKVIDALVYRGWNTKKIRKYIAILMLDGG >CAK8566729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456681330:456681929:1 gene:gene-LATHSAT_LOCUS19841 transcript:rna-LATHSAT_LOCUS19841 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQIQRLQKELDSANADLLRFAYNDLSSNSALPPLPPLVVQSSFHHQPQRQFSSRFGIGSNTNVEATGFYSFPYAIPWNDNININNSTEDINGGLGARGGVGGGNNL >CAK8563938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640222028:640222345:-1 gene:gene-LATHSAT_LOCUS17293 transcript:rna-LATHSAT_LOCUS17293 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSLEMVSHSIRELVNRDVLLKVKVIIAHILEKYRYNISYRKTWIAKCKVVESLYGNWETSYNDLPQWILVMKTFIPGTIIDLQTIPAISSDGSQISRK >CAK8571713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464739258:464745584:1 gene:gene-LATHSAT_LOCUS24350 transcript:rna-LATHSAT_LOCUS24350 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPHSLHKTPTLLVTSYSGSSLLGLSVLELAAICVNLTLVLLFLFVVSVRKILVNKRNRIGKDNTTRSGSVVIDRETTSDVSNISVWFKLSVLSCFYVLFVQFLVLGFDLCVSIWGEALQWSVLSVSASQVLSWSVLSFSALNSKFKGLEKFPFLLRVWWLLSFVICLCGLYVDGRGFWVEGFEYFSSHAVANYAVTPALAFLGVVAVRGVTGIQVCKNSDLGEPLLVVQEEEELGCLKVTPYWDAGLFSLATLSWLNPLLSLGAKRPLELKDIPLVAPSERAKTSYKVVNSNWEKLKAENQNQNLSKQPSLAWALLKSFWKEAALNAVFAGITTLVSYVGPYMISYFVDFLGGKETFAHEGYILAGIFFTAKLVETLTTRQWYLGVDILGMHVRSALTALVYKKGLRLSSSAKQSHTSGEIVNYMAVDVQRVGDFAWYLHDMWMLPLQIALALAILYKNVGFAFVATLIATIVSIVVTIPVARIQEEYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDRYRIRLEEMRGVEFKWLRKALYSQAFITFIFWSSPIFVSVVTFATTIFLGTQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRISNFLQDEELREDATTILPCGTSNIAIEIMDGVFCWDTSSSRPTLSGIHVKVERGMSVAVCGSVGSGKSSFLSCILGEIPKLSGEVRLCGSAAYVSQSAWIQSGNIEENILFGNPMDKAKYKNVIHACSLKKDLELFSHGDQTIIGERGINMSGGQKQRIQLARALYQDADIYLLDDPFSALDAHTGSELFREYVLTELVDKTVIFVTHQVEFLPAADMILVLKEGQIIQAGKYDDLLQAGTDFRTLVSAHHEAIEAMDIPTHSSSEDSDEDESLDTSIMTMKKSICSVNDINSLAKEVPEGSSDSKEIKEKKKKAKRSRKKQLVQEEERVRGRINMKMYLSYMSAAYKGLLIPLIIIAQTLFQFLQIASNWWMAWANPQTEGDDPKVTPMNLLLVYMALAFGSSCFIFVRAVLVATFGLAAAQKLFFNMLRSIFHAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASSTIQLIGIVAVMSQVTWQVLLLVVPMAIGCLSMQKYYIASSRELVRIVSIQKSPIIQLFGESIAGAATIRGFGQEKRFLKRNLYLLDSFARPFFCSLAAIEWLCLRMELLSTCVFAFCMFSLVTFPRGSIDPSMAGLAVTYGLNLNGRLSRWILSFCKLENKIISIERIYQYSQIPNEAPPVIEESRPPSSWPENGTIQLIDLKVRYKENLPLVLHGVSCIFPGGKKIGIVGRTGSGKSTLIQALFRLVEPATGSILIDNIDISGIGLHDLRTHLSIIPQDPTLFEGTIRGNLDPLEEHSDKEIWEALDKSQLGEIIRAKGQKLDTPVLENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDSATDNLIQKVIREEFKDCTVCTIAHRIPTVIDSDLVLVLSDGLVAEFDTPSRLLEDKSSMFLKLVTEYSSRSNGMPEL >CAK8573417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611055837:611058218:1 gene:gene-LATHSAT_LOCUS25864 transcript:rna-LATHSAT_LOCUS25864 gene_biotype:protein_coding transcript_biotype:protein_coding MESVCLKCGDVGFIEAIVFCNKCEACALHRYCLDGPVIFTDEVIWFCEDCEPKQISQKTKSRSLANNALRTRRKLKHCVKRLKVRNQQHKKKIIEERKNGNVNSGMHNISHEQETESKIECEPVRSNAPNTNVVPKSVLISQVTATDDLIPLEVHVDAQPIAEPMWRGNLLFCDKSKTIGTVLAHLSSLASPKVLEEADLFPEVLSADLLPRSVVWPNSFKKEGPTDKSIALYFFPVNERSSINAFDMLVDDIIRTDDAIRVATENAVLLIFPSTLLPTQHQRFQSKHYLWGVFKKKKTSLKTNDAVC >CAK8530914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62512337:62515960:-1 gene:gene-LATHSAT_LOCUS742 transcript:rna-LATHSAT_LOCUS742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRVKAFFQRFWLDEMEMGSYTHSSFLSSDLLPSLGAQINQETKLRKYVIYPFNPKYRVWELLLVVLVIYSAWICPFEFAFLTYKQNGLFIIDNIVNGFFAIDIVLTFFVAYHDSHSYLLIDDPKKIAISYLSTWFALDICSTAPLETISLLFTNYNSELGFKLLNMLRLWRLRRVSSLFARLEKDIRFNYFWVRCTKLTAVTLFAVHCAGCVNYLIADRYPDSKRTWIGAMFPNFKQESLWDRYVTSIYWSIVTLTTTGYGDLHAENTIEMLFDIAYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVKAASEFASRNHLPHRVHNQMLAHICLRFKTEGLKQQEALNDLPKAIRSSIAHHLYFPAVQKVYLFQGVSHDFLFQLVSEMEAEYFPPTEDVILQNESPTDLYILVTGAVNFVHYNDGNDQVVLGKATAVDTFGEFGVLYHVLQPFTVRTTELSQILRVNKTCLMNVLQANPGDAQIIMNNLLTRLKGHEGFGVEYPHTDHGLILHELLNGGNTIESSPHERTNNSYGHSLMHEGEHINIRDSQNNLHTVTNDVHLVANNMIPEDGKSDLHHAPVLPLHKGKLDIVEILLERDAKAKNPNNIGWTQKAQTQQFKNKSASEHTMNCESGKKSNEHRIEIIEPQVLKLGRNSSTRNSKQDGIRTNNFPLEKVYTNSNSRNSNCQGEIDMARFAKKRVTIHSPSGWRSSSHEQHGKLIILPDSLEELLKIAGEKFGSFRAIKVVNKENAEIDDIDVIRDGDHLFLLGNDSDNLST >CAK8530913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62512337:62515960:-1 gene:gene-LATHSAT_LOCUS742 transcript:rna-LATHSAT_LOCUS742 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRVKAFFQRFWLDEMEMGSYTHSSFLSSDLLPSLGAQINQETKLRKYVIYPFNPKYRVWELLLVVLVIYSAWICPFEFAFLTYKQNGLFIIDNIVNGFFAIDIVLTFFVAYHDSHSYLLIDDPKKIAISYLSTWFALDICSTAPLETISLLFTNYNSELGFKLLNMLRLWRLRRVSSLFARLEKDIRFNYFWVRCTKLTAVTLFAVHCAGCVNYLIADRYPDSKRTWIGAMFPNFKQESLWDRYVTSIYWSIVTLTTTGYGDLHAENTIEMLFDIAYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVKAASEFASRNHLPHRVHNQMLAHICLRFKTEGLKQQEALNDLPKAIRSSIAHHLYFPAVQKVYLFQGVSHDFLFQLVSEMEAEYFPPTEDVILQNESPTDLYILVTGAVNFVHYNDGNDQVVLGKATAVDTFGEFGVLYHVLQPFTVRTTELSQILRVNKTCLMNVLQANPGDAQIIMNNLLTRLKGHEGFGVEYPHTDHGLILHELLNGVANNMIPEDGKSDLHHAPVLPLHKGKLDIVEILLERDAKAKNPNNIGWTQKAQTQQFKNKSASEHTMNCESGKKSNEHRIEIIEPQVLKLGRNSSTRNSKQDGIRTNNFPLEKVYTNSNSRNSNCQGEIDMARFAKKRVTIHSPSGWRSSSHEQHGKLIILPDSLEELLKIAGEKFGSFRAIKVVNKENAEIDDIDVIRDGDHLFLLGNDSDNLST >CAK8573259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598508813:598510048:-1 gene:gene-LATHSAT_LOCUS25729 transcript:rna-LATHSAT_LOCUS25729 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLKFASSTPSPRSGMFGKQLNSSLLTIDSSAQFFCCKADFATSIQLSNTRHSCRFSPSIAKGKWTSKSNRSTNHWILHSTAQIDNTITSNEDRSMWEACKQALSEFNFSDEEKDKILGKAFGLVHSPYWGEDRKKEVPKSETINGTLEYLRSLNLSDDDLSKLIKKFPEVLGCNLEEDLKGNIKILKEQWSIEGKSLRSLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >CAK8536748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12763914:12766037:-1 gene:gene-LATHSAT_LOCUS6082 transcript:rna-LATHSAT_LOCUS6082 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSHEHSGFDYIEVYLLLFQAQHQVGETTEVDKVDVVDEEEEETKAIVDQMVNLFGTGDYTALTPLQDIDEETLPLRHMYCPPQHMKNLQLSGDDTSSDVFYNPSQQIEGVLKVGNQYRTKEECMKAVRKFYMDNFVDFYINRNDAKCYVVVCRNADCKFWLAASYRKRSDCWVIGSMDPPHSCTTNINQQDHGKLSSQLISQEILHLVGADPFVKVSTIISHIVARFNYTPSYRKAWIGRIKAVEHVYGNWERSYNQLPQYLLALQNYVPGTIVILESLPAYTPEGTCVNGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDKNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWRNPPSVHIYCIRQIAQNFMREMKDRNLRKKVVNAGYALNQPSFMYYRGEIRLSSAEALRWVDNIPVEKWTRAFDGGARWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLESGQIFSESSMKYMKDETSKAVSHRVRPFDRHNYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRLPCSYVIAACSNARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYWPTYHGDTIYHNETMRRNKKGRPKSTRITTEMDTTEKIERLCRICRLPGHTRTNCPNVGSSSR >CAK8564785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9583187:9584359:1 gene:gene-LATHSAT_LOCUS18052 transcript:rna-LATHSAT_LOCUS18052 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAEYYRSLPPVSKTYGVACLMTSAAYYLNLYDARTIALFYGLVFKRFQVWRVITNFFFLGPFSFPFAVRLIMIAKYGVSLERGPFDKRTADYVWMLIFGALSLLVMSVVPYLWSPFMGVSLVFMIVYVWSREFPNARKHLWFGIIEGFLPSMGYASS >CAK8565367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:153234449:153235189:1 gene:gene-LATHSAT_LOCUS18587 transcript:rna-LATHSAT_LOCUS18587 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISRMLPSSSSRNHLFEVLSSRKIEVRDFSTAKNHYSARDRVFAPYSIYKGKAAFSLTPCLPTFTKLDSGTLVVDRHGSIMMSFVPAVGERRYDWEKRQRFALSATEVGSLIAIGPQDSCEFFHDPSMKSSNAGQIRKSLSIKPHSSGYFVSLTVVNSVLNTKDNFSVPVTTAEFSVMKTTCSFALPHIMGWDRLTNQKSSETGSFQPNQQSISTPRNQALLNHQVYHNPEKPCRDMIAMAGRS >CAK8578790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654874416:654877405:1 gene:gene-LATHSAT_LOCUS30774 transcript:rna-LATHSAT_LOCUS30774 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFVLLLLGCFCSFVLSDQQGDALIALKFSLNASGQQLTDWNENQVNPCTWSRINCNQNNNVFEVSLAMMGFTGYLTPRIGALKYLKFLSLQGNGITGDIPKEFGNLTSLVRLDLENNRLTGEIPSSLGNLKKLQFLTLGQNNLTGTIPESLASISSLTEIQLDSNSLSGQIPQKLFEISKYNFSGNNLNCGVNYAQPCTYNNSEQGSSHKPTGLIIGITIALVAILVIGALLLFWCKGRHKGYKREVFVDVAGEVDRRIAFGQLRRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLSDNTKVAVKRLTDYESPGGDVAFQREVEMISVAVHRNLLRLIGYCTTPTERLLVYPFMQNLSLAYRLRELKPGELVLGWPTRKQVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLEVIVDRNLNKNYNIQEVEMMIKVALLCTQATPEDRPLMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYERLQRRFDWGEDSVYNQDAIELSGGR >CAK8565913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:352064992:352065690:-1 gene:gene-LATHSAT_LOCUS19088 transcript:rna-LATHSAT_LOCUS19088 gene_biotype:protein_coding transcript_biotype:protein_coding MCESFNRAIVEYRDKPIISLLEGIKHYITVRISTQKKKLSRYTGVTIPSIPKVLEKTKRAAEGWIATWHADDDFAIFGVSNGVETYVVNLLQQKCGCRKWDLSGIPCCHDIACIWYNKKEPEEYVSSFYRKSIVLATYSHIIMPTNGPQLWSVNVANPISPPVMRRSISRPKKNRNKANDESRIRNTLPRTLQTVKCKKCGSFWP >CAK8572225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:522530291:522531363:-1 gene:gene-LATHSAT_LOCUS24813 transcript:rna-LATHSAT_LOCUS24813 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMEINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLKDAREVPTTEGKALAEAQGLFFMETSALDSSNVVSAFQTVVKEIYNILSRKVMMSQELKKQDTPWTEDGKTVVLQEGDQEAEVEPKKGCCSS >CAK8530675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39335810:39336395:1 gene:gene-LATHSAT_LOCUS521 transcript:rna-LATHSAT_LOCUS521 gene_biotype:protein_coding transcript_biotype:protein_coding MADREDRLRLGRLSQHASVRCEQSQTSVTEVDTAETQSSTSHAQDIPSTLYPTPSSTSSSWRHRDSTVGSSQASSSDRPRRDTPVPPSADDPVPPLEGDNPPLEDAGDDDDDEPEDFPRGPSDMSLLTGYADHTARHVWDGETRQPQKFYNHGGKISSLEHP >CAK8561291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:138256376:138256681:-1 gene:gene-LATHSAT_LOCUS14898 transcript:rna-LATHSAT_LOCUS14898 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILKEALVWNGTLYKGLSQPIYTRCWSRSRLAVPLSMIVDSTLISCLSWRALPPSSQVIRCRKFSRRVSHAMLLVSPNRFRLSVNQDSPSREGRALTFG >CAK8541156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:77554347:77554757:-1 gene:gene-LATHSAT_LOCUS10097 transcript:rna-LATHSAT_LOCUS10097 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIEEALLGLLCERSGSYQTLVRKLKKDGSRKCECLFLLRGCMLANKKWRFNVICSLHNYELCLKLAGYPNVCWLKLEEKEYISDMTLNLVQPKNILATLKRKQTDNISNIKQVYNIRYLTNKTIRGDMTEMQQL >CAK8539799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525655333:525657403:-1 gene:gene-LATHSAT_LOCUS8861 transcript:rna-LATHSAT_LOCUS8861 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNDSDAPEEFTAEQGIQLDEEIRKIKRENTIRVNTEEKERRRKWAQKITPRPSKAAKKSQDVSITESQEEPNNAAEFLPESIGQRNKAVGFLPKNIVEMLAAREKNVPLTETNEENDETKPTTSKKRKSKDSGFEPRILSELGPPPCLQSALDFLKERKMAVPRSSAVLKNSNKNSNQASRLLSSSGIIRQK >CAK8542169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485009412:485011726:-1 gene:gene-LATHSAT_LOCUS11033 transcript:rna-LATHSAT_LOCUS11033 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFIKTTTYEDKPGSSKNAGFKTEIDTSVPFESVKDAVHRFGGVGYWKPFHTSHPSKHSTEGLDTEKLEEQARVLEKELNLKERETFDVLKELDKTRRLVDDLKSKLQKEESEAKLNLEMSACDKKSDVKENQSSPSEVVCPMKECSMPSNVSSPGLILMELKQAKLNLTKTTHDFADVRATVESLNKKLAKERISLEKTRERLTQNRSKISSLENELNQTRLRLQVAKGDAFDNPTIELQKLRFEAENFRKRRESAQSEVLKTMSEIELTKAKIRTAKIRLVAAKKMKKASRAAEAATLVEINALSNSNHRGSPRECTPKREEITLSIDEYITLTRKARDVEEQSKKRVANAMLEVDEAYSSRTDILKRVDEATKEAITGKKALEEALEKVMAADIGKLEVEEALRKWRSDNHKRRSSTNNNSTKFKNPGPSDQRRDFTLLDVNGLNLVKDEVKPVLKPTLSIGQILSKKLFRPEEFEGEKTPSEKVSVKRKVSLGQMLGKHNDVDGALFDEQVEKEKENDQKQFSAKRKKFGFARFSLLLSKQHKKKKPMRNLR >CAK8538948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500118604:500120427:1 gene:gene-LATHSAT_LOCUS8093 transcript:rna-LATHSAT_LOCUS8093 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIEGFKHLFAPLLRCCDLDLYKQTTGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDKKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLRDITTTFPSFVFHSQVDDT >CAK8573110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585637206:585641070:1 gene:gene-LATHSAT_LOCUS25596 transcript:rna-LATHSAT_LOCUS25596 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTFCYTFLIVLFLWSPPPSSASTFNNEIANDLIRNLNLFPPHDINIPDHPNVRSTDNNNKIVEKPLRFPNFSGEDGEVSIDDLAHRAGYYPIQHSHAAKMFYLFFESRNNKKDPVVIWLTGGPGCSSELAVFYENGPFKIADNMSLTWNEYGWDKVSNLLYVDQPTGTGFSYSTDKRDIRHDEDGVSNDLYDFLQAFFAEHPEYATNDFFITGESYAGHYIPAFAARVHKGNKAKEGIHINLKGFAIGNGLTEPGIQYKAYADYALDMGIIEQPDYDRINKVLVPACELAIKLCGTDGKIACTASYFVCNTIFNSIMSHAGNINYYDIRKKCEGSLCYDFSNMEKFLNQGSVRDALGVGDIDFVSCSSTVYQAMLVDWMRNLEVGIPALLEDGINLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFVASPEVPFKVDDAEAGVLKNYGTLSFLKVHDAGHMVPMDQPKASLEMLKKWTQGTLSKSGVIEEVLVAEL >CAK8535163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819650705:819651046:1 gene:gene-LATHSAT_LOCUS4639 transcript:rna-LATHSAT_LOCUS4639 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQPQHQDTNLNQQTKESSQLPPKMSVSGQNKNEGYLSMSQRFRPSCTCSNRPGSVRCARHGYVVPGEKMKKRVGSKEILRRALMPPPKRLALRWLNFKPTPSRLSVMSLAS >CAK8541466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:191084312:191085121:1 gene:gene-LATHSAT_LOCUS10385 transcript:rna-LATHSAT_LOCUS10385 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGFKNVDEFEVIAHQEIHLAVAPRIRSNSTMPSQCQYSCKAVLSSNYFSMNLLIFHHDIAYTSNLANV >CAK8535838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884289861:884290533:1 gene:gene-LATHSAT_LOCUS5258 transcript:rna-LATHSAT_LOCUS5258 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLRIFFFIFFATATSVQSVRKFHVGDHLGWRQPDQDNADFYTKWAQTNRFQIGDSLVFEYENDSVLSVEKEDYFNCDTSKPITTFTNGKSTLNLDRSGPFYFISGTDDHCNNGQKLLVEVMAPHTIPASPPTPTTIAVPPEGSSSPMMAPSNAPYSSDTLDQATSSSSMVVVTSCFMSTLVAFVIVVLLAF >CAK8566262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398350287:398350667:1 gene:gene-LATHSAT_LOCUS19407 transcript:rna-LATHSAT_LOCUS19407 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPSNVVHVVLNNAYVCKAASLIIEAEFPYIYWTSCVMHTLNIDLKNISAVKDTEKHSVVHKECSWITKIVDDAMFIKNFVMGHSMRLSKFNSLSPLKFILVASTRFTSTIVTLKRFKLLKTGL >CAK8542668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536101069:536106654:1 gene:gene-LATHSAT_LOCUS11488 transcript:rna-LATHSAT_LOCUS11488 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSNDPKSADKQLNFKVKVAGTGRKRSKKTTMDPLKPRRPPSAFFVFMSEFRVKFSNSTGVNGVAVVSKAGSEEWKAMSYAEKAPYFAKVGKMREEYEKIKRAYDANAEKKKEEDYEEIIRAYNVRLPVDYGTVFSPASTTKKIKLMADTSTQGRSLKMLEMPPFPSTSSADESSSSAEIQGVQSKPSSSHATSTQSFDSCKPIAMILPENYTSDDDNYASDDEDVQTVIGEKVSPGFDIVRADPEPNIFQSEDVVMKEDTVFSKETTTLKHVFHKVDPHQDPTDDLLHQAEKDCNSDTDDADPANDLLSLLTNPSHVVSMASGMFSPVAEHDSSASKAMELFKELKGLMSKPLDVTSADISACNQMRRLVEELNPLKQLLPLSSQITLEQINNFLSLHASNNALLRFILPVYERAVKTKEDLVKKLLSLKEEKDKIAADRKQQDAVKVKAEEKVDILKKQLALAEAELAEAYTGIDDSIELEKKRVHNINALRTEVNQTATTLKNLRSDYESAMSTKKDLEDLLSVITQSSSP >CAK8574176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667731231:667732646:-1 gene:gene-LATHSAT_LOCUS26547 transcript:rna-LATHSAT_LOCUS26547 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFRGSSFPGFLLPLTLVLSCLLLCHGGTTSTFVRNVQKGITMPLDSDVFSVPSGYNAPQQVHITQGDLVGKAVIVSWITEDEPGSNAVRYWSENSKQKKKIAKGEFVTYRFFNYSSGFIHHTTINNLEYNTKYYYEVGLGNTTRQFWFMTPPEIGLDVPYTFGLIGDLGQSYDSNKTLSHYELNPKNGQTVLFVGDLSYAENFPYDVNLRRDTWGRFVERSVAYQPWIWTVGNHEIDFAPEIGETEPFKPYSNRYYTPYKASQSTSPFWYSIKRASAHIIVLASYSAYGKYTPQNQWFEQELLKVNRTETPWLIVVMHAPWYNSYNYHYMEGETMRVMYEPWFVEYKVDVVFAGHVHAYERTERVSNIAYNVVNGICTPVKDLSAPVYITIGDGGNVGRLATNMTEPQPEYSAFRESSFGHAIFDIKNRTHAHFSWHRNQDGYSVEADSLWLFNRFWHPLDDSTPHVSH >CAK8561258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:130196766:130198091:-1 gene:gene-LATHSAT_LOCUS14867 transcript:rna-LATHSAT_LOCUS14867 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMGSQGGGDGTEKRSQLQPLVRQNSVYSLTLDEVENQLGDLGKPLSSMNLDELLKNVWTVEVNQSMTSDNEGAAQASEASLQRQASLSLKAALSKKTVDEVWRDIQQKKDSDEKKSRERQPTLGEMTLEDFLVKAGIVAEASSNKTNTDTTTGMDSNVAVSQFPAQGQWIQYSQSQPQYQQHLQSSMGVYMASQGIAQPLHMGVAASMEIPFADSQMAVAAPLMETVSDMQISGMKRSTPDGMVERTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENQMLRKRKELENLLPCVPITEPKYQLRRIASSPF >CAK8537000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:52989007:52989663:1 gene:gene-LATHSAT_LOCUS6320 transcript:rna-LATHSAT_LOCUS6320 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFNPFVIRFRQLSQLPNIGECSFILKERPSNHHQYNLPTAEQVATIIVGGDSDSMEYGRDINVIRHDGNLKKVQETKGYYDPLQYPILFPFGTHGWDVNTINCNGRRLSCRAYYSYMLQILQNDQSILLNAGRLLQQYIVENYVKIESGRLRLIREHQRDIHVEVYQGLQDALHIGETNADTK >CAK8561865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399527488:399529965:-1 gene:gene-LATHSAT_LOCUS15418 transcript:rna-LATHSAT_LOCUS15418 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTMDFAKHIATKPPLIFTRPLTWITSTIPRYHRRHFPPPPPPPPPHSNNTSLTSLPSILSHKVLDSSKCKSILPHLTSHEFDRLFFIHHSTFNLKTTLDFFRFASNQFKFRFTVRSYCLLIRLLLASSFLPRARFMLKRLIDGNVYTPLGSINDRLSEIASSFLELNRLAEGSCGELDLLLHILCSQFQHLGFHWAFDIFTLFTSNGVFPSLKTCNFLLSSLVKSNELHKSYRVFDAVCRSGVTLDVYTYTTAINAFSKGGKIDDAVGLFFKMEEQGVLPNVVTYNNLIDGLCKSGRLEEAFMFKDKMVENKVNPSLVTYGILVNGLMKSEKFDEANSVLVEMYSKGFCPNEFVFNALIDGYCRKGNMNEALRIRDDMMLKGLKPNAVTFNTLLQGFCRSDQMEQAEKVLWYLLSNGLSVNEDACSYVIHLLCNSSKFDSALKIVKELLLRNIKVNDSLLTLLVCGLCKCGKHLEAIELWFRLADKGAAVNTATSNGLLHGLCVRGNMEEVFAVLKEMMGKGLVLDGISYNTLIFGCCKSGKIEKAFKLKEEMVKQGFKPDNYTYNFLMKGLADKGKIDDVDRVLHEAVEHGVVPNIYTYALILEGYCNADRIDNAVSFFNKLVYKEVELSSVVYNILIAAHSKAGNFMEAFKLRDTMKSRDILPTIATYSSIIHGMCHSGRVEEAKGFFEEMRNEGLMPNVFCYTALIGGYCKLGQMDEVQNILLEMTSKSIHPNKITYTIMIGGYCKLGNTTEATKLLNEMITNGISPDTVTYNVLQKGYCKEKELEETLQCDPMPNTEITYTTLVDKLHPHTDAAISNQE >CAK8533826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663680893:663684193:1 gene:gene-LATHSAT_LOCUS3420 transcript:rna-LATHSAT_LOCUS3420 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAMACGCCYYYYCPSQHPLFNNSSSSSSSSQLKRPTSTTVLVSLSKSNNNGETDQSDSIKGSGTTARGRRLLKIRQDKQQREHERLHNYPAWAKILEDACKNDTELRSVLGDSIGNPQLMKERVEDRIRKKGKEFRKSKTGSIVAPKVSFRDFNPLDSFIWFELYGSPTDRDINLIGSVIQAWYVMGRIGAFNSSNLQISNLSMEYDPLYDSDKGFKVMPSSFHDISDVEFQDNWGRVWVDLGTADYFAIDVLLNCLNGLSSEYLGIQQIVFGGRSMGDWEEGMTDPEFGYKSFKI >CAK8564321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668203479:668203967:-1 gene:gene-LATHSAT_LOCUS17636 transcript:rna-LATHSAT_LOCUS17636 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAQSIQLGINDVVAGGMENMSSVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCAELCADNREDQDNFAVQSFERGIAAQESGSFAWKISLSVKY >CAK8568963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659866465:659866840:1 gene:gene-LATHSAT_LOCUS21867 transcript:rna-LATHSAT_LOCUS21867 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDENTMKFALKDYGMERANLHGWPNTFVFTKAIGEMLLMHHKDNVTLIIIRPTMVTSTIKDPFPGWIEGLSVLYKLRNIMIL >CAK8570946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:186048378:186050352:-1 gene:gene-LATHSAT_LOCUS23653 transcript:rna-LATHSAT_LOCUS23653 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIIMVYVMLVGITLVIPSSNETLGVLILKSPGFFPQAYWYWIGVGALIGYVFLFNFFFALALHYLSPFRKDQAGLSQEKLQERNASTADFDDKSDTMMDEAVGENKASSIGRKGMVLPFEPLSLTFDDIRYSVDMPQEMKNQGVCEDRLELLKGISGAFRPGVLTALIGVSGAGKTTLMDVLAGRKTSGYIEGSITISGYPKNQMTFARISGYCEQFDIHSPNVTIYESLLYSAWLRLSPEVDHATRKMFIKEVMELVELKSLREALVGYPGENGLSTEQHKRLTIAVELVANPSIILMDEPTSGFDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKSGGEQIYAGPIGAQCFDLIEYFEAIQGVPKIKDGYNPATWMLEVTSAGSEANLKLNFTDVHNNSELHRRNKQLIQQLSAPSQDSEDLYFDAQYSQTFMAQCIACL >CAK8563068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570932748:570933720:-1 gene:gene-LATHSAT_LOCUS16514 transcript:rna-LATHSAT_LOCUS16514 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGTTQKCAVCEKKVYWMEQLSADHRVYHKSCFRCHHCKGNLKLSNYCSFEGDVYCKPHFDQLFKMTGYLDKSFEGIARVYRVERFGDQVQTNNKVSKYFAGTQEKCVGCCKTVYPIEKVTADGKSYHKGCFRCTHGGCLISPSNYVAHENLFYCKHHHTQLFR >CAK8579495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704064161:704065563:1 gene:gene-LATHSAT_LOCUS31439 transcript:rna-LATHSAT_LOCUS31439 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFHGSTAMPPTETSLPSSTVEDYAGPKNLKQEVLIQIPGCKVHLMDEGEAFALAQGHFMVIKTLEETVSLATIIKVGNELQWPLTKDEPVVKLDALHYLFSLPVKDGEPLSYGVTFSEDSYGSLSFLDSFLNEHSCFSGLKLNNKNDLDWKEFAPRVEDYNHFLSKLIAGGTGQIVKGIFICSNAYTNKVQKGGEKILNNHADEKNSIVANESMSNKSAMASKKNKINKNLKRVRKLSKMTEKLSKSLLNGVGIVSGTVMAPLVKSQPGKAFLRMLPGEVLLASFDAVNKVLDAAEAAEKQTLSATSKAASRMVSNRYGENAGEATEHVFATAGHAANTAWNVFKIRKAINPASSATKGALKNAVKNTSFKH >CAK8567056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483659904:483668007:1 gene:gene-LATHSAT_LOCUS20144 transcript:rna-LATHSAT_LOCUS20144 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWQIFSDADHNFRWQINSDTSNVTTPSPPSNPILTPPLPSMYNLLLHASTSHLFQPQDEEHAIDDSFGFSNSLFKTASGRKVTISSNSLVRAKTLLDSGFQEEAVGTDIKTPQNAKRFCVFDKESPSTVLGFASFQSPLVSRLRNGFENKIVEPDSGSGGKKAPIEFHTVSSDIQIPQNGKNDHAFDEEPPHLQLVDSTKRTSSVSFQSPLVSRLNNGFENEIVQPGSGAKQAPTKFQTVVRSIQTPQNAIKLYAFDEESPHLQLVNSCKKTSSASFQSPLVSRLKNGFENKTVQPDSGTGGGVAKPAPIKFQTAGGRSLSISSDALKRARSLLGDPDLGDFFDGGDSLLSFPDKSKTNTTISYVENNESNNTHTPPVHQITPEGNHNHMAKSFTSPLQPSKQMEFSNKLCHEGNGNNLIMKFDDAVKENDCVRKSSNTPGQKPLHIRNEVVGTTIKSSSVNGLSSRMDPRGKPLGRALVDISNTINTVNTNNKQPASGKRRLGLNITVSPFKKPRISNVSASGDQDVQFFPNDLSQLSSGASGCKRKVSTRYPFQYPRMHIKEFFAVPLLEQKVHFPNPVKQVTSGNAGKYIFRDGSVDNVMGAEAFVHLLAQHGASLHFASKEWVLNHYKWIVWKLACYERCYPSRCAGKFLTVSNVLEELKYRYEKEVNHGHRSTIKKIFEGDGLPTSMMILCISSIDSDHMLESGTLVEEQTGNQSREAVKVELTDGWYSINAVLDVPLSKQVAAGRLFVGQKLRIWGAGLCGWNGPISPLEVSSTVSLFLHINGTYRAHWAERLGFCKVAGPPLAFKCIKSDGGLIPQTLAGITRIYPILYKERLSSGQSVVISERMENKIMELHNQRRSDVVDNIVSEYQKEGSSSHIYDNGNSEGAKIYKMLETAAEPEFLMADMTPGQLSSFAAYNAKLNAIKQSQMESAINKALKDTGLGNRAVTPFMRLRVVGLTCKTRQEKPKEGIVTIWNPTQKQRQELVEGEAYAIAGLIPSGCDSDVLHLQTRGSSTKWLPLSSKSKEQFKPFFSSRKSITLSSLSEVPVSTEFDVVAFVVHVGDVYTSSQQKKQWVFVTDESIMYGLQSEELMDTLLAICFCSPLIEHDSFPPINYNLAGSTVGFCNLIKKEKDNSNHIWVADANETSTYYLKFDSSHCSHLRNAASSVRRWASESSLIMDKLKEKVFGIIGECKA >CAK8566515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:431164780:431166627:-1 gene:gene-LATHSAT_LOCUS19639 transcript:rna-LATHSAT_LOCUS19639 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLGITLISILLLCTAAAAAQGRKSLHTTNELSAYYPVTNDGICKTLVETQGYQCEEHTVTTNDGYILSLQRIPTGRSGKKADKPPVLLQHGLLCNAVVWLFNSPIESLGFILADSGFDVWLANGRGSKYSTGHTTLTPNDMAYWDWSWNELASHDLPASVEYVFNLTGQKIHYAGHSQGTLVAFVALSQGKLLNMLRSAALLSPIAHLNVISSELIKLMAELFLANDLHWLGVREIDPNAYDVTKLVDGICFISNLNCGEIVTLFTGPNCCINSSRVDFYLNQPTATKNFIHLSQMIRTGKIAKYDYVYEAQNMLHYGQGVPPTYDMTKIPKEFPLFISFGGKDYLSDVQDVKVLLNDLSNHDADKLVVLYKDEYAHLDFVGAFDVKQVIYDPMIAFYNSN >CAK8569756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9814522:9816958:-1 gene:gene-LATHSAT_LOCUS22570 transcript:rna-LATHSAT_LOCUS22570 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQGENLNSRIDPRSGFCSSNSIFYSKRKPLSLPPNYSLDATTFISSRAHHGNIAFIDASTGRHITYQQLWRAVDSVTSSLSTIGIRKGDVILLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTTREIAKQIADSKPVLAFTTPQLVSKITGASPSLPVILMETDSNSSSSTNTLEKMMEKEPELSRVSERVNQDDTATLLYSSGTTGPSKGVVSSHKNLIAMVQIIIDRFSESKENRGETFICTVPMFHIYGLAVFATGLLALGSTIVVLSKFEMHDLLSSIQRFRASFLPLVPPILVAMLNNADAINSKYDLSSLHTVLSGGAPLSKEVTEGFIEKYPNVKILQGYGLTESSGVGSSTESLEESRRYGTAGLVSSSTEAMIVDTETGKPLPVNRTGELWLRGPTIMKGYFSNEEATSSTINSEGWLRTGDVCYIDTDGFLFVVDRLKELIKYNGYQVPPAELEALLLNHPDILDVAVIPFPDKEVGQYPMAYVVRKPGSNISHTQVMDFVAQQVAPYKKIRKVAFISSVPKNPSGKILRKDLIALATSKL >CAK8544881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710227038:710228799:-1 gene:gene-LATHSAT_LOCUS13526 transcript:rna-LATHSAT_LOCUS13526 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTLMNTMLLLFILTWLVGLSNGECEFKAIFNFGDSNSDTGGFFAAFPAETPPFGMTYFKKPVGRASDGRLIIDFLAQAIGIPFLSPYLQSIGSDFKHGANYATLASTVLLPNNSLLFSGISPFFLAIQLNQMKQFVAKVKEADQKDTKLPSPDIFGKSLYTFYIGQNDFTSKLADIGTGGVEEFLPQVVSQIASTIKELYNLGGRTFMVLNLAPVGCYPSFLVELPHNSTDLDEFGCMVSYNNAVVDYNNMLKESLKQTRENLSDASVVYVDTYTVLLELFQHPSSHGLEYGTKACCGYGGGEYNFNPKVYCGDTKEIDGKKVTATACDDPYKYVSWDGIHTTDAANKLITDAILNGSYFDPPFPFQQHCDLQPIG >CAK8579088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673420590:673424575:1 gene:gene-LATHSAT_LOCUS31060 transcript:rna-LATHSAT_LOCUS31060 gene_biotype:protein_coding transcript_biotype:protein_coding METVQNVNGSGLEHASTRVVGNDVVTETELSKIRLVRNFVETHDPSSKEEDDLMIRRFLRARDLDVEKASAMFLKYLKWRHSFVPNGSISLSQVPNEIADDKVFVQGHDKIGRPIFIVFGGKHFQKKDGLEEFKRFVVYILDKLCASMCDGQEKFVAIAELKGWGYSNSDVRGYITALSILQDYYPERLGKLFILHAPYIFMKVWKMVYPFIDNKTRKKIVFVENKKVTSTLVEEIDENQLPEIYGGKLQLVAIQDI >CAK8538625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489380848:489383578:1 gene:gene-LATHSAT_LOCUS7805 transcript:rna-LATHSAT_LOCUS7805 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIDSKSIKYMADMILDHTIFPFISINTTSSDRDLRFLVLDVCIDMPPKIVSDGIWANRDHGVKPMKSTLPLLELQILTIFAVTQCFHLVLKRLGVPYFVSQIMAGLVLGPSLKISSSWNHFKNRLFPYGSEDVIGVLSIIGYGLFLFLNGVKMDVSMITRTGRKAWMIAFCSFGIPTFLGLVVSYLFIEDWQNYLGEYESKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTAMVMDAFYSIVSGLGTAFISSIKTDSHDVDDGKGLGKALLTVFYYFCFLGVTPLLLRPIMKWFVRNTPEGRPMKKTYTYIVFIMALAVGILGLTAHQSVLAGFLILGLIVPDGPPLGTEMIKQLELFSTWFLCPVFVTSCAMKVDIGVHVDSKLILVWVGIIVLVHLFKMLMTIGICWHCNMPKTDGLCLALMLSCKGVVDFCTNVFLHDAMLLSNEALSVMTLTVLVMGTAARIGVKFLYDPSRKYAGYQKRSILNLKPNSELRIVSCIHKPSHITPIKNILEICTPTTSNPLVVHVLHLMELVGRSSPIFISHRLQERLGSGRHTFSEDVIVTFDLFEHDNAGIATVNTYTAISPLRFMHDDICYLALDKVASIIILPFHLRWAEDGSIESANENIRALNTKVLERAPCSVAILVNRGYSSSISINNNTKEIAMVFLGGPDDREALCLAKRAIKENTFHLVVYHLVSSSKNNEFSSWDVMLDDAILKDVKGSYGSANNVTYDRVNIENTSDTTAFISGIANQYDFIIVGRRNGIKSPQTAALEDWTEYPELGVIGDLLASPDTNTKASILVVQQQVTFKP >CAK8537579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:403745309:403746097:-1 gene:gene-LATHSAT_LOCUS6864 transcript:rna-LATHSAT_LOCUS6864 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEQEEHEEEMEIPETPMPVPACYDSLGNSASRSKISGGVDGRKGSGNGNGGGFSSTVRYRECQKNHAVSFGGHAVDGCCEFISAGEEGTLEAVICAACNCHRNFHRKEIDGETVSSCQRQQPPPPPQPYHQFPPYYHRGPPSTSGYLHHHLATPVAHHRPLALPASASGGGFSREEDDISNPSSSGGGGNGSGGSKKRFRTKFTQEQKEKLLAFAEEHGWRIQKQDEAAIEQFCAENCVKRNVLKVWMHNNKNTLGKKP >CAK8564264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664331894:664333731:-1 gene:gene-LATHSAT_LOCUS17587 transcript:rna-LATHSAT_LOCUS17587 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQKQIQKDNVSNDVTASSTVTTKAKRTRKSVPRTSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDNEETAAHAYDLAALKYWGQDTIINFPLSNYQKELTEMETQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGKVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNNNKSENNDKSNINLCHNNSNNSTNDTNSNEELEFTLDNEIVSLNSTTMDETTLVQPRPTSATSALELLLQSSKFKEMVEIASMTSNVSTTLESDQLSQCAFPDDIQTYFEYEDFSDTMIEDLNSIVPMFHCDGFEGAEIL >CAK8567082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485556687:485559074:-1 gene:gene-LATHSAT_LOCUS20167 transcript:rna-LATHSAT_LOCUS20167 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSMLPSLSFTLSLLLLLSITIADGGVRIPTTLDGPFKPVTVPLDKSFRGNAVDLPDTDPLVQRNVEGFQPEQISLSLSASHNSVWVSWITGEFQIGENIEPLDPATVGSIVQYGRFGKSLNRQAAGDSLVYSQLYPFEGLQNYTSGIIHHVRLTGLKPDTLYQYKCGDPSLSAMSDVHYFRTMPVSGPKSYPSRIAVVGDLGLTYNTTSTVDHMTSNHPQLILLVGDATYANLYLTNGSSSDCYSCSFSDTPIHETYQPRWDYWGRYMQPLISSVPIMVVEGNHEIEQQAENKTFVAYSSRFAFPSEESGSSSTFYYSFNAGGIHFIMLGAYISYDKSGDQYKWLEKDLASVDREVTPWLVATWHAPWYSTYKAHYREAECMRVEMEDLLYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAITHADEPGNCPEPSTTPDEYMGGFCAFNFTSGPAAGKFCWDQQPEYSAFRESSFGHGILEMKNETHALWIWHRNRDFYGSAGDEIYIVRQPDKCPTVKPEEIHKT >CAK8578633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642707680:642718055:-1 gene:gene-LATHSAT_LOCUS30626 transcript:rna-LATHSAT_LOCUS30626 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHGLLPLLKSIMLPIHIKDLHGCSVAIDTYSWLHKGALSCSTDLCKGIPTSRHIEYCMHRVNLLRHYGVKPILVFDGGFLPMKVEQENKRARARKDNFERAVEHDSNGNSTAAYECYQKAVDISPAIARELIQVLKQENVQFIVAPYEADAQMTFLAISKQVDAVITEDSDLIPFGCPRIIFKMDKFGQAVQFQYSMLQKNKELSFEGFNRQMLLEMCILSGCDYLPSLPGMGLKKAHASMKKFKSYDRVLKHLRYSGISVPPNYDDSFRKAILTFQHQRVYDPVSENIVHLFDIHEDIGDELDFLGPPLPKDIAQGIAEGDLDPITKMPFEGDKFVARLEIAGTSQFKTLNSERVKKKIDLPVQKNLLTKYFCFASLEAKREFKAPRTSPATANQSTLISSSVNSLEHQTLEAAASETMNSGASSVDSDNLGSGSSPDCYIENSFSFKTSDLIESPYHVSMAGEKKGSPDHTILRQPRQPIHKPCLGLHKEHELTNVQDKVEGKSKQVKRKVIVRSPYFQQKQVENNVCDEKQEQLPSGFFVDERKNGISGGDLCNSHLKNKDLKRKASPNDNTQNENLQARKMHPTSSTYDNGCSDHNVDAPFKEDSAEEEKFGTNISHLGHYSAIAEKSLERFASVISAFKYTPGSRVSGLRAPLKDVHNTNNNNRPTAADFNKYAYVPKPSKTRRVAPDICKTRNTRPAAADLSQFAYVPKQRLEE >CAK8536314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930658447:930658924:1 gene:gene-LATHSAT_LOCUS5694 transcript:rna-LATHSAT_LOCUS5694 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEYQELLSARDLEIKNLNAKVSELVLSNESFQVSLQAQLKKDGNIDDAVDKMISSLATVVNQEKVSDDFRSGKIVYIEESITLLIEKYNQFLYEVYQLRQSFYQHLLPESRHDNPSSLPNYLP >CAK8561409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203275408:203276037:-1 gene:gene-LATHSAT_LOCUS15007 transcript:rna-LATHSAT_LOCUS15007 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNSDEHSHVRSSIMEWKQMHPLHQIAETPTHKLLLKQWLKEEELINGRIALKETQIDSIRKEITMVYIFFFLFHSTTLMLLFNSSSSTTTPNKPCHKSWVPSLCSLLFSLGFIWALRYKSDVEGHMEKMLCREKEDRGLLRKCSEELKKKGLEFDLLKEVDALRRAKSLRVESKEVRKWSSRDFVSLFFFSMACLSLAVIRVILCS >CAK8564893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14973179:14974332:1 gene:gene-LATHSAT_LOCUS18149 transcript:rna-LATHSAT_LOCUS18149 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSLDLHLFDEEKRKVLDWKLRMNIINGIAKGLLYLHEDSRLRVIHRDLKASNVLLDQEMNPKISDFGLARSFEKDQSQENTRRVMGTYGYMSPEYAMEGLYSVKSDVFSFGVLLLEIVCGKRNSGFYLADHGQSLLIYSWNIWCEGKSLELLDPILKNTYTENEVMKCVHIGLLCVQEDAIDRPTMSNVVVMLASDTMTLPTPNQPAFSVGRKVVEDESTPKASNNEVTISNIFPR >CAK8544044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659749203:659750514:-1 gene:gene-LATHSAT_LOCUS12754 transcript:rna-LATHSAT_LOCUS12754 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSFLLSFIFLILHLSGQRVVRGEADVQHDHRIQKLFVFGDSYADTGNIEKGFYPPWNVPYGVTFPSEPSGRFSDGRVLTDYIAKYLKVKLPVSYTKVEHLAPHHLKNGMSFAFGGSGVFETINSLLPNMTTQINFFEKSIQEKVFTTSNIRKSAALVSIAGNDYLRYVKDGSIQSLPSFISSVVNQTITNLIRIKELGVKKVIITNLPPMGCLPSETASSSFKQCNETSNSLLVHYHNTLLTKAVRKLNQQINDDSSPFIVLDIYDSFMSVLKNPSTHNIKNELEPCCVGESSKYFCGMVVNNVKKYKVCENPKSAFFWDLGHPTDAGWRAVYTMLRKSNALEQIQDH >CAK8576046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:391593733:391594188:1 gene:gene-LATHSAT_LOCUS28264 transcript:rna-LATHSAT_LOCUS28264 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSYCTLYQNSEKDLIELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYIFKKRQPNKYAYVGEVRSMAESQNRPPSTMFVRMLSRASSKGGSLGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFADTQMMKLLRPSLE >CAK8533153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585710200:585719485:1 gene:gene-LATHSAT_LOCUS2800 transcript:rna-LATHSAT_LOCUS2800 gene_biotype:protein_coding transcript_biotype:protein_coding MATLETEALNHHHNSSPDRHKTIEEKSERDKRIEEWLPITSKRNAKWWYSAFHNVTAMVGAGVLGLPHAMSQLGWGPGVTILVLSWIITLYTLWQMVEMHEMVSGKRFDRYHELGQHAFGKKLGLYIVVPQQLVVEVAVNIVYMVTGGTSLKKFHDTVCPSCKNIKLTYFIMIFASVHFVLSHLPDFNSISGVSLAAAVMSFSYSTISWAASIDKGVQKNVQYGYKSHSTAGTIFDFFSALGSVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVALCYFPVAFIGYWIFGNEVDGDILISLEKPAWLIAMANMFVVIHVIGSYQIYAMPVFDMIETLLVKKMKFEPTTTLRFIVRNIYVALTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLKIYKPRKFGLSWWTNWICIVLGLCLMILSPIGGLRTIIVQAKTYKFYS >CAK8576135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:412577491:412583877:-1 gene:gene-LATHSAT_LOCUS28347 transcript:rna-LATHSAT_LOCUS28347-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTDGRCPACRSPYDKEKIVGTASKCERLLNEINMEKKVKNQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQKREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYLKEEEAIRCIQNVHGFVLEGKPLRACFGTTKYCHAWLRNSPCINPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGATTNMQRRSGNVLPPPLDDCTDNSTERPIIKSAPTNSVYTVRSSPPNGINGRHVPLPTSTAWGTQATSCQLPVGGLSHPSVLSKPKPDTVNSTLAFSTAVTGTIQASAAQGDGSRRPPSSDGSHNTLTRVKSELPKPIKQYNSMDSAGEKISASAVSAAPVNLKSELCSRPLSQDNDRGNCTIANTLNSNNITGHSFNSGPEEAVSATNEVIRNLSSEFSSINIGRSTSIENYGITKPSIPSTDHVLTKSPQIQEGSQDDVDRFEDPITTVVGKTSTSDNGILSPKEQRGGILNSQSQLVSDAADIEDDVTSFDNQRLKDPEVCRSYLPKATNFLDVSKLSSPCPMPYGEPCNAGNAGSLSSDDRVRDESILHSSSMLCNGYPEKLINGTSNGLLHDERNRQSIGRLVGDAVNTGCDVAIDKGESSIISNILSIDFDPWDDSLTSPHNIVKLLSDNTDSQPGPLKKSSSWKVQNNNQSRFSFARQEESEIQTFDVHPSYAFSQQQPKSHTHNQNLAERDFYMEKLGIANGFPTSNFEEAENISNAHSIASSNKLSAISRAQVSAPPGFSIPSRLPPPGFSSHERSEQTFDSLSGNSLHDHSPFLRNSHQTFSAGNIGGTGDIEFMDPAILAVVGKGRLQGAPNSQSLDMQSNFNPQLNYFDNEARLQFLMQRSLTQQQNHRFSEIGNTYSQLGDSYGISSRLDQSQVSNLAPFPQLSTQQSTNAVFSNGQWNGWNEVQSGNGLGVAELLRNERLGFNKFYPGYDDSKYRMPNSGDIYNRTFGM >CAK8576134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:412577491:412583877:-1 gene:gene-LATHSAT_LOCUS28347 transcript:rna-LATHSAT_LOCUS28347 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTDGRCPACRSPYDKEKIVGTASKCERLLNEINMEKKVKNQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQKREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYLKEEEAIRCIQNVHGFVLEGKPLRACFGTTKYCHAWLRNSPCINPDCLYLHEVGSQEDSFTKDEIISAYTRVQQITGATTNMQRRSGNVLPPPLDDCTDNSTERPIIKSAPTNSVYTVRSSPPNGINGRHVPLPTSTAWGTQATSCQLPVGGLSHPSVLSKPKPDTVNSTLAFSTAVTGTIQASAAQGDGSRRPPSSDGSHNTLTRVKSELPKPIKQYNSMDSAGEKISASAVSAAPVNLKSELCSRPLSQDNDRGNCTIANTLNSNNITGHSFNSGPEEAVSATNEVIRNLSSEFSSINIGRSTSIENYGITKPSIPSTDHVLTKSPQIQEGSQDDVDRFEDPITTVVGKTSTSDNGILSPKEQRGGILNSQSQLVSDAADIEDDVTSFDNQRLKDPEVCRSYLPKATNFLDVSKLSSPCPMPYGEPCNAGNAGSLSSDDRVRDESILHSSSMLCNGYPEKLINGTSNGLLHDERNRQSIGRLVGDAVNTGCDVAIDKGESSIISNILSIDFDPWDDSLTSPHNIVKLLSDNTDSQPGPLKKSSSWKVQNNNQSRFSFARQEESEIQTFDVHPSYAFSQQQPKSHTHNQNLAERDFYMEKLGIANGFPTSNFEEAENISNAHSIASSNKLSAISRAQVSAPPGFSIPSRLPPPGFSSHERSEQTFDSLSGNSLHDHSPFLRNSHQTFSAGNIGGTGDIEFMDPAILAVVGKGRLQGAPNSQSLDMQSNFNPQLNYFDNEARLQFLMQRSLTQQQNHRFSEIGNTYSQLGDSYGISSRLDQSQVSNLAPFPQLSTQQSTNAVFSNGQWNGWNEVQSGNGLGVAELLRNERLGFNKFYPGYDDSKYRMPNSGDIYNRTFGM >CAK8562808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538831247:538832119:-1 gene:gene-LATHSAT_LOCUS16274 transcript:rna-LATHSAT_LOCUS16274 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIYSESSMKYMRDETSKATSHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTAHQDPFLQLSEVYKVVNLFGIYSSSFPVVANEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRKNCPNVGTNSR >CAK8574041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655041030:655044507:1 gene:gene-LATHSAT_LOCUS26426 transcript:rna-LATHSAT_LOCUS26426 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHLFRFLLFCHLVLILSAGYGSEHQYNCPRSFTCGNGGNFSYPFTKAEQPDCGLILVVGCDASYYSPKWIQLEKNAKPTELTAVIDQNTITLSDPDFYERLQYNVCDTLYHNYTLPSPSPLVSFYINNNVTLFQCNNSHNLKPPSNYFKYNCSSYNIYYDRKQYSNVTKEKARSIFSSCSLLQFPSKDLTDTENILSFVSGQMVVKIVLSADCEECCNHRGGQCRLDANNKFYCHYETGTKKKWLNWRLKLGIASVIIGAAVLTLLAYFIRTKNFTPAFLLFKKENSNHHIVEEYLKEHGPLPAAARYSYSDIKKITNSFKIKLGQGGYGSVYKGKLHDEQPVAVKILSESKGEGEDFINEVASISRTSHVNVVRLLGFCLNGSKKALIYEYMSNGSLEKFIYEEKNPLQNDRQLDCKTLYHIAVGVARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKICPKKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLRCIKNEIDEELVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHLSSEIL >CAK8562136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:445463364:445463852:-1 gene:gene-LATHSAT_LOCUS15660 transcript:rna-LATHSAT_LOCUS15660 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRNDEDWVKLMGKKRRYLNKLSIWNARQGKDNGMGERILSFFFTEFPDDYEAEEMAEIFKDYGLISEVFIPAKRDKRERRYGFVRFWKVSEDKPLAAKLDSIPIEWKKIYTNILRFKRFYANGISKANSDKRKMDGGNFHGNRRNSGIVNIDFMDRLFA >CAK8571947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495619320:495623978:-1 gene:gene-LATHSAT_LOCUS24562 transcript:rna-LATHSAT_LOCUS24562 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSEKSGVGKSRGQTSTREALAEWRSSQQVENGITATSPPYWDSEEDDDGPKPSELYGKYTWKIEKFSRIINRELRSNAFEVGGYKWYILIYPLGFDVNNHLSLFLCVANHDKLLPGWSHFAQFTISVVNKDPKKSKYSDTLHRFRKDQHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRLFRCLDSHYRRELATVYLKDVEQSFVEERSKLGKLIEDKASFFDFLRDIDQTSRSRMSREKTDVILKAVVKHFFIENVVTSSLVMDSLYSGLKALEGQTSKKGLVKLLDSEEIAAPIVRVEKNMFVLADDVLPLLERAAIEPLPPKDENGPQNLTKDGNSGEDFNKDSMERDERRLTELGRRTFEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEESLRQAENDKKAKRGVGKKSKKKQVVTN >CAK8566084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378210918:378211880:-1 gene:gene-LATHSAT_LOCUS19250 transcript:rna-LATHSAT_LOCUS19250 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNVKPAFIENDTARKEAYKKREKGLVKMVDELTTLCGIDACAIIYSPYDPQPEIWPSPSGVEKVLSKLKTMTEMEQSKKMVNQESFLKERISKAEKQFEKLREDNREKETTMVMFQCLKAGNVLQNDMSMEDLNNLDWMIDMNLKEVYRRIESAENEINIPQNQSESQVMAAQSQVHLQMTPPPPPPPPSSAPNNDEIAMMSHDHAAMAMDNDDMNMMFMEMMMMNGGENQSESQVHHQMTPPPALLPPPPPPLPLLPRLLPPPTTPNNEENAMMSHGDVGMAMNNDDVMLMEMMMRAAEYDETIPFGYDANLDNGF >CAK8530927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63931113:63935588:-1 gene:gene-LATHSAT_LOCUS754 transcript:rna-LATHSAT_LOCUS754 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISPWFSPIAITCSNTRNRRYRLPTRTKRKPPPNPKLNKNQTTKHNSPPEPLLLSAAEPTTYTRLPLKEDYSSSFSSSSSEITLSESISIHQFLHKDEDDDEEEEIDGNFKGIRDSESEDEEEIDGNFKGIHDSESEDEEEEEIHEYEDDGNEEVNENEELWSEESEGEVKEKGLPAVMRCFDRAKILVRSGDGGNGSMAFRREKYVPFGGPSGGDGGRGGNVYLEVDKGMSSLLPFRNGIHFRAERGSHGQGRKMIGAKGEDVVVKVPPGTVVREAGSDVVLLEMVYHGQKTLLLPGGRGGRGNAAFKTGNNKAPKIAENGEEGHEMWLELELKLVADVGIVGAPNAGKSTLLSVVSAAKPEVGNYPFTTLLPNLGVVSFDYDSNMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVIDGSSPQPDLEFDAVRLELTLFNPELAEKPFIVVYNKMDLPEAYENWESFKDKLESRGITPFCMSAVKREGTHKVICAAYELWRKNKEDKEEYEDGQDMVDMNHIAHVIQKQRSASISEFEIFHDSNSDVWSVVGSGLQRFVQMTNWRYVDSEKRFQNVLEACGVFKSLVKLGVKEGDKVMIGEMEMIWHDSSNQTSASKMKISTDSIKWPEQK >CAK8561244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128401025:128401351:1 gene:gene-LATHSAT_LOCUS14853 transcript:rna-LATHSAT_LOCUS14853 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEMPITLDDVSCLLHLPIRGVFWSPEDISEALVVEWVVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFVEHIAASRWHYATRAYLLTLVGSTIFADKTFTLVEA >CAK8543929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650006300:650007213:-1 gene:gene-LATHSAT_LOCUS12651 transcript:rna-LATHSAT_LOCUS12651 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGTPQEATHPDTLKAGLAEFISTFIFVFAGSGSGIAYNKLTDNGAATPAGLMSASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGIVYIIAQLLGSIVASALLVFVTASSVPAFGLTAGVGVGPALVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILVGGAFTGASMNPAVAFGPAVVSWSWANHWVYWAGPLIGGGIAGLIYEVLFINSTHEQLPTTDY >CAK8537970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452181364:452182074:1 gene:gene-LATHSAT_LOCUS7216 transcript:rna-LATHSAT_LOCUS7216 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGHGYSRGGRGSNNILPQPESNIPLIGDWTTVYKGRKMQQLPASSSKKEDIDSPFSNKNTSYKEITVNNPSKEQMDYFENPVTEKIMYIDEEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPHLEILLTVTKSVTITHHYQNNNLESFINFSKCHINKILLPREWGLNPNGEKAIRIVEGKYIYFNYWDYVQAFTQAFYYQNPKNKYSWFFYINPEMINKPIPNWFYE >CAK8540459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:2811808:2812890:-1 gene:gene-LATHSAT_LOCUS9462 transcript:rna-LATHSAT_LOCUS9462 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYKCKLCNRSFNNGRALGGHMRSHMMNLLVTKQEDSSRMIQLSFEAESASSSSDDDDDEKGLNYGLRENPKRSIRLVDQEFSFPPVDTSSVILQDIESETESSKNNPTRKRSKRVWKIRHFDQKYYDESSTKKVKFLNKNNSSSVVDHEPGSSVSDTTEEDVAFCLMKLSRDKWDRQNEQYKEEEEEEFDDEYDLEMEEDEDEEDEEIERSLDESDESQELIKVTKSNNKVRKGKYKCETCNKVFKSYQALGGHRASHKKIKTNTTLEESSPEFDVVEKKIHECPVCFRVFNSGQALGGHKRTHVMHGSTTSTTIPIFSTKKVGKSVIDLNLPAPIDDDEVSQIENSAVSDAEFVKTR >CAK8533128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580714669:580715683:1 gene:gene-LATHSAT_LOCUS2776 transcript:rna-LATHSAT_LOCUS2776 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8535689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874566937:874567206:1 gene:gene-LATHSAT_LOCUS5121 transcript:rna-LATHSAT_LOCUS5121 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKNESMAYRKELLWWRNVIEIGDSMEEEGFVSQITCKLGDDSLVSFWNTRWLGSSLLRRLFPDLFEASKKKYGVVMDMGGWVDDVWQ >CAK8569902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14951640:14952305:1 gene:gene-LATHSAT_LOCUS22704 transcript:rna-LATHSAT_LOCUS22704 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKNLGYIKNVVFMLMVLCVTLTLGFEDKVEEEPSSGLLCISECVTCPTICSPPPPKLVSSNSNHPPLSPPTQNPPPPPLLTNAPPFPLITPHPPSSPFLTQTPPPPPLLTSYSPPPSPLLKSYPPPTLSLPPPLLTQAPPSPPTPSTQPSPPPPPLKSSPSSGSSGSAQPQPTVIGGSPHNYPYPYYYYYASSASHFSNNVVPFFIVLFFLHKIFFAC >CAK8563601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619847600:619849864:1 gene:gene-LATHSAT_LOCUS16995 transcript:rna-LATHSAT_LOCUS16995 gene_biotype:protein_coding transcript_biotype:protein_coding MALESYVVVHNVAKRHNVGTLARSATAFGVSELILVGRRDFNSFGNHGSSNHVRFRHFHSLQEARQFLKDKDCDICGVEITHDALPVNQHPFKKSTAFLLGNEGSGLSTKECEICDFFVYIPQYGCGTASLNVTVAASIVLHQFGVWAGFAERSRDGNKFVVAERPAKQGRRNYCTETEDSIIEERKARRENAANGFFEETESCNSSSNLLDALFVDD >CAK8576307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:451965999:451969903:-1 gene:gene-LATHSAT_LOCUS28505 transcript:rna-LATHSAT_LOCUS28505 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLPSSIQEHEKSEKQNTLHIQHQKDVDAGALFVLKSKGSWVHCGYHLTTSIVAAPLLSLPYAFTFLGWTAGIFFLVIAAMVTFYSYNILSLVLEHQAKLGNRQLRFRDMARDILGPKWGRYFVGPIQFAVCYGVVIACILLGGQCMKAIYVLSNPNGSMKLYEFVIIFGCFMLILAQIPSFHSLRHVNLVSLVLCLLYSACAAAGSIYIGNSSKGPEKNYSLKGDTENRVFGVFNALSIIATTYGNGIIPEIQATLAPPVKGKMFKGLSVCYAIVILTFFTVTISGYWAFGNESQGLILSNFVDNGRPLLPKWFVYMSNIFTIAQLSAVGAVYLQPTNEVLEQTFGDPKSPEFSSRNVIPRVISRSLAITIATIIAAMLPFFGDINSLIGAFGFMPLDFVLPVIFYNLTFKPSKRSLIFWLNIIIAVVFSTLGAIAAIAAVRQIVLDAKNYKLFANV >CAK8539858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529505746:529508514:1 gene:gene-LATHSAT_LOCUS8918 transcript:rna-LATHSAT_LOCUS8918 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKEKNGNGNGNSFLNKHACSCVLAATIISAIFGYITGVMAGALLFIKEELGITDMQVQLLAGILNVCALPACMVAGRTSDYIGRRYTIILSAIIFFVGSILMGYGPNFPILMIGRCIAGFGVGFALIITPVYSAEISSPSYRGFLTSLPDVSINAGFLFGYVSNFFLGKLSLRLGWRIMLAIPAIPSLGLIILMLKLVESPRWLVLQGRLDDARKVLLLVSNSKEEAEQRLKEIKVAVGIDENCTQSIVHVPQKTRNGGGALKEMFHKPSPHVYKILVAAIGVHVFQQICGIEGILLYSPRVFERVGITEKSTLLLATVGIGISQTLFTLLSSFLLDRIGRRTLLLISSGGVVVTWLGLSISSAIVESSKLGEEPLWAIVFIIVVMYILVAFVAIGIGPVTWVYSSEIFPLRLRAQGLGVCVAVNRVTNVAVVTSFISIYKTITLGGTFFMLVGINALAWWFYYSFLPETKGRSLEDMESIFGKESKSEVQLKFESNNA >CAK8575165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43110033:43110495:1 gene:gene-LATHSAT_LOCUS27451 transcript:rna-LATHSAT_LOCUS27451 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMKLACVVLVMCMVVIAPMAEGALTCGTVTSDMSPCLGYLQAPNNASPSPSCCAGVKRLLSAATTTPDRQAACNCLKAAAGAMSKLNANNAAALPGKCGVSIPYKISTSTNCNAVKF >CAK8535812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883348085:883348789:1 gene:gene-LATHSAT_LOCUS5234 transcript:rna-LATHSAT_LOCUS5234 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSAFLNGIIEEEVYVHQPPGFESDKFPEHVFKLKKTLYGLKQAPRAWYERLSNFLQAKNFIRGKVDTTVFCKTCKKDLLICQIYVDDIIFGSTNITLGKEFAQCMQVEFEMSMMGELRFFLGIQVSQTPDCTYIHQTKYVKDFLKKFNMTDSKLAKTPMHPISILGKEEISKKVDQKLYRGMIGSLLYLTASRTDILFCVCLCARFQSDPRESHLTAVKRILRGKHCVLSA >CAK8573764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635487067:635487591:1 gene:gene-LATHSAT_LOCUS26172 transcript:rna-LATHSAT_LOCUS26172 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSNFDLALCCEIVNTDIVFFEQKLNEEASQVANDAVGSIRTIASFCSEEKVMELYKQKCEGPIKTGIRRGIVSGFGFGVSFLVLYAVYACSFYAGARLVEDGKSSFSDVFRVFFALSMAAIELSQSGSLVPDLTKAKSAAASIFM >CAK8542101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473374633:473376934:-1 gene:gene-LATHSAT_LOCUS10972 transcript:rna-LATHSAT_LOCUS10972 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVKKSKKKRSDQFAYVKRISHNDHAPSVLPEPQTPTRSLQSAPPSFRTRVKPVQPVNRVTNNRIRALSAPSTLDAADQDALASIEYEEQEESKYRGGGGGGSMKEQHSASPQPLPLPSPQGCSSTLKAIGSFKLGTASGPLHASGPLPLPPTGSLRNFPYEEVAAACHNFSSDRCMSECLSSTIYKAYFGDDPSSSKKFEATVTRLHPSSQGLKEFINEVNTLATLQHPNLCKVLGFHARDSSDHRMLVYERLYHGSLDRLLYGRSDGPSIDWNTRIKIAMCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPEEEISSSSSAVGNLSMETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLADNYRLSLIMDPQLKGRFPSKAARTIADIAQRCLQKEPSDRPTMRTVVEHLKTIQDLKYSCRFPLQEPAPSSGKEMFRSPSLNGIVCPAPRLSFSPSRPSVAPLSVSPPRWSGVPVPPPRACSTLSLEELERQESRKSSSSASRRVSVEGFLVSI >CAK8543004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565026139:565027195:1 gene:gene-LATHSAT_LOCUS11794 transcript:rna-LATHSAT_LOCUS11794 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQMRHMGLESWQMSLLFIRELRKGGAARGGQETDKTEYKNGQTVGSGRVVSISLALSYTSWQKIHV >CAK8563150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578118641:578118898:1 gene:gene-LATHSAT_LOCUS16587 transcript:rna-LATHSAT_LOCUS16587 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDDNTRNGIKNNPSIVGSMPCHNSLRRLAHLARTPTNTRQAEMKTGLLHIIYANPFIGLDHEDPYTHLTKFYELAGMLGVIP >CAK8574095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662082857:662083180:1 gene:gene-LATHSAT_LOCUS26472 transcript:rna-LATHSAT_LOCUS26472 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNLAEAMNFVLKETRNLPITALVQSTYYRMGSFFGKRGHKLTKMLAIRKVFMDGYNKGMTDEVAKANTHNIMQFDRERFCFMVQEKINQNDGRLTGTFSADLRNC >CAK8538451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483042486:483046421:-1 gene:gene-LATHSAT_LOCUS7643 transcript:rna-LATHSAT_LOCUS7643 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLFISLTTFLFIFNLVPSYALYGSSSPVLQLTPANFNKKVLNSNGVVLVEFFAPWCGHCKALTPIWEKAATVLKGVVTVAALDAEAHQALAQQYGIKGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKVLLKERLSGKATGGSNEKKETSASSSVELNSSNFDELVIKSKELWIVEFFAPWCGHCKKLAPEWKRASNNLKGKVKLGHVDCDADKSLMSRFNVQGFPTILVFGADKDTPVPYEGARTASAIESFALEQLETNVAPPEVTELYSADVLEEKCGSAAICFVAFLPDILDSKAEGRNKYLQQLLTVAEKFKRSPYSYVWVAAGKQPDLEQNVGVGGYGYPALVALNIKKAVYAPLKSAFELDQIIEFVKEAGRGGKGNLPLGGTPTIVKTEPWDGKDGEIIEEDEFSLEELMGEDASSKDEL >CAK8539194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506495111:506496196:-1 gene:gene-LATHSAT_LOCUS8314 transcript:rna-LATHSAT_LOCUS8314 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRSLYHLTLILAVATVLAAPAYAQITTPCNISMVTSSMSPCMSFLTNSSGNGTSPTADCCNSIRSLTSGSKDCLCLVVTGNVPFQLPINRTLAISLPRACNLPGVPLQCKTSGSPLPAPGPASFGPSLSPASTPAAPSLSPQGSSVLPSPVTPSLPPQPESTTPSSSPANPDIPSATPGSGRSNLTPSSAGSLSHTLLSSAVVIVFGYAVSKHY >CAK8577522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570969767:570970276:-1 gene:gene-LATHSAT_LOCUS29622 transcript:rna-LATHSAT_LOCUS29622 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSTISFRFNICGEYNRIMKAKKGLRKGDPISHFLFVVVMYLHRSLHKISKIPDFNFHAKCEKLQIINVSFADDLLIFAREDTKSVELLVNKMVEFSHATGLYVSLDKYKAYFRGVGSCVKFGIMQITSFSEGELPFCYLSIPLNSRNLSNNHYMGLIDRIMSRIKH >CAK8561336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:156771194:156771879:-1 gene:gene-LATHSAT_LOCUS14937 transcript:rna-LATHSAT_LOCUS14937 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAVKRFLSSALLSRSLLRPVVSSASRSFNTNAMRQHDQHSEDRNVDVDRRSFPRTRREDLLLSDVFDPFSPPRSLSQVLNMVDLLTDNSVLSAASRRGWDARETKDALLLRLDMPGLGKEDVKISVQQNTLTIKGEKGAKESEEEEESGRRFSSRIDLPEKLYKIDEIKAEMKNGVLKVSVPKMKEEERNNVINVKVD >CAK8565256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:83372573:83373235:-1 gene:gene-LATHSAT_LOCUS18484 transcript:rna-LATHSAT_LOCUS18484 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAILRRASSTLSKRAIPAAEALLSSTASAKLRQLTVFPARSFHSKSQPLLFRASSASRAGYAAEAFPFEEQSKAIDDDGLEIAKLGISQDIVSALEKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIIDKIIQFNVKHGRGRDPLALVLAPTRELNNIDSNNPNTHCQQQQKQYSHCIVFSSATNTTQLPFSATTEPDYSPTYSIWQ >CAK8544867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709630988:709631683:1 gene:gene-LATHSAT_LOCUS13512 transcript:rna-LATHSAT_LOCUS13512 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPDLEEAVVPPVLPPSPPDVLPETETEQIPLPTYYIISRPSVGTTGKHIPLLENLLKVAADDTDATFFQYSVTITSEDKRTVECKGFGRKVIDRLHQTYSSELGGKSFAYDGERTLYTVGPLPDNKFEFKVLLEETFSFPKCTESPGANETPCEENKRTKRSLQSKAFTVEISFAAKIPLQPIALALKGIESYANSQDALRVLDIILRQRATNRGCLLFPSYTRRIVS >CAK8569713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9108617:9111634:-1 gene:gene-LATHSAT_LOCUS22533 transcript:rna-LATHSAT_LOCUS22533 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDFFTLTEIKDGLTAPSRVQELIAIMKKDQDSVVKSTGDAMRQWASVASTIAATENKDCLDLFIRLDGLWFINRWLSDAQKVGADTNDVFIEESISAMLGAVEKLFLDSGKTISSEIWATASNLLVHHSSKVQDKARLLFDKWKGVGNGDAESHDMDTGQRSHMSDKNIKVEGQLTSVNGASNDNDHMSRLEEGMKSILRSSDTQIPDKVANVKKESSDSVHQSSVSLNSEDIKERSNHLVTVQENAPVDEGELTSAGISNLPVLKEGGFREQPDELQLNDLSMKEKQELNDSGPPEKLEAPINPIPEPVSVVSFEAPVKSVPAPVRPESPLEHNAKRNEDGMCDKVIVPCITRTPDSDRMSVVDDARPTNSSNTQPSKASVKEESKSQVSSQGEEISNSTDSFKQRRDPTSPNLIDKSSDMEVDKEYATSVKEDEVQVSDQDDDTSNSSGSLKQNEGSRSPNFVNKTSDLELDYGIVDALQVARQVAQEIEREVNDASSEKISEAGSPDSVGKNDDLASALPEEVSSRQSNSAEACPEERCISVSEDIVVEPECLPDLESSQLTEAAQDPGGNSEKSLCTFDLNEEYGFDDMNVFANTISATPIPVVSASKPAQTSGLPTAPLQFEGTLGWKGSAATSAFRPASPRKNSDNQKNVAAGGNSGISKQRHGFLDFDLNEAGGEEELVKQIGESSGLPSGQSSVEHSPKRFKRLELDLNSIGDDGDAQPSDQRMEGQLFFGRNGYWSPSPASSSSSMQPSVRNIDLNDRPYFQTDLVDQGPSKSSSSIEAYGLSKSDAPAISIMGAKVEVGRREHVPQFWSLPNGKAVEPAIDLTMMPGAAGVSGMGPAVSYNHSSYLGYNGLTSMPPLSFSPAAYGSGGTIPYMVDSRGAPIVPQVGGSSSTVLSSYSQPPYMMSMNGTQLGLNGVGPSRPSFDLNSGFLIDGGNRDTLTARPFFFPGQSRAMEDRTLQQSSSSGVGGKRKEPDGSCWETYPFEYKHQQPPWK >CAK8566903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471403938:471406725:-1 gene:gene-LATHSAT_LOCUS19999 transcript:rna-LATHSAT_LOCUS19999 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLKQKLFSITLLFCIIFSPTETASTFSHYNCTNIETFNPKSTYKTNLNTLLSTLSSKASDTLNHGYYNTSIISTIDEKEDTVYGLFMCIGYTNHCGECVRNSTKTLTSMCDSKEAIIWSDECLVRYSNRSFFDAVEESPSWCVKDLIDYQGPLDGFSRMLSSLMVDLVTQANEDSKGKFNKIVLKRAMFYENRFLYGLAQCVPNLSNDNCMKCLKDAVEYLQTSCARGKIRGSVLYPSCIVRYDPSPFFALTRGQGKEHRGHSNFLIFHVLGPITIFSVTVFFFTYYVLCRRARKNLKYHRENFGEDISSEVHSLQFDFDMIRLATNKFSDDNKIGEGGFGDVYKGMFPNGFEIAVKRLIRNSSQGAVEFKNEVLLIAKLQHRNLVRLLGFCIQRNEKILIYEYMHNKSLDYYLFSPENHRKLTWHARYKIIRGIARGILYLHEDSHLKIIHCDLKPSNILLDDKMNAKISDFGLARIVAIDQMQGNTSIIAGTYGYMSPEYAMLGQFSEKSDVFSFGVIILEIVSGKRNVDYNGVNSIDDLVSHAWKKWWENRQLELLDSALAYSFSETEVNRCVQIGLLCVQESPDQRPTMATIALYFNCDSIDLPLPQQPAFYMRGKMESKVASKISMTGRPRSYSVTRF >CAK8539114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504093998:504130715:-1 gene:gene-LATHSAT_LOCUS8242 transcript:rna-LATHSAT_LOCUS8242 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQSHPHPHLHSHSHPHRYIGPPSVVPGDALNRILADLCTRGNPKEGASLAFKKHLEEEARDLSGEAFSRFMDQLYDKISSLLESSDVAENLGALRAIDELIDVALGENGSKVSRFSSYMRTVFEAKRDPEILVHASRVLGHLARAGGAMTADEVERQVKIALDWLEGPRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVPLRDPALPVRERAVEALRACLNVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILSVLKVPQDRDSGFIALGEMALALDGELSHYLPTICTHLREAIAPRRNKPSLEALACVGNIAKAMGPTTEPHIRGLLDVMFSSGLSTVLVETLEQISMSIPSLMPTIQDRLLDSISMVLSKSPYLGRPVQSIGKGTIINISQQVSELSGSALIQLALQTLARFNFKGHDLLEFARESVVVYLDDEDRSTRKDAALCCCKLIATSFSGIASAHFGSNRLSRSGGKRRRLVEELVEKLLISAVADADVTVRHSIFTSVHGDRGFDEYLAQADNLSAVFAALNDEDFDVREYTISVAGRLSEKNPAYVLPALRRYLIQLLTYLGQSADSKCKEESAKLIGCLIRNCERLILPYIAPIHKALVARLLDVSANIGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVDALLDGAAVSKREIAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHLHKRNQKALPGPHGEVTRPASDSSQQIQSIDESPMDLWPSFASSDDHYSTVAINSLMRILRDPSLASYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCEDSLKDFITWKLGTLVSIVRQHIRKYLQDLLSLVSELWLAFSLPAPTRPTLGYPVLHLVEQLCLALNDEFRTYLPVILPRCIQIISDAERCNDYTYVLDILHTLETFGGTLDEHMHLLLPALIRLFKVDTSVTIRRAAIQTLTRLIPRVQVTGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFKIFIPSINKLLRKYQLQHKEFEEIEGRLQRREPLILGTTATQRLNRRLPVEVISDPLDDAENDPYEAGSDAHKLKGHQVNDSRLRSAGEASQRSTREDWAEWMRHFSIQLLKESPSPALRTCARLAQLQPFIGRELFAAGFVSCWAQLNEATQRHMVRNLEMAFSSPHIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARCTKMDANPVSVVEALIHINNQLHQHEAAVGVLTYAQQHLEFQLKESWYEKLQRWDDALKAYTAKASQVTSAQLVLDTALGRMRCLAALARWEELSDLCKEYWTPADAVTRLEIAPMAANAAWNMGEWDQMAEYVSRLDDGDETKIRTAGNNASSSDVSSNGTFFRAVLSVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPIGDRVAEERRALIRNMWTQRIEGAKSNVEVWQALLAVRTLVLPPGEDIETWLKFASLCRKSGRINQARSTLVKLLQYDPEITPENVRYHGPPQVMLAYLKFQWSLGEDSKRREAFVRLQDLAMECSNVPNIQPVTHPVFTSGLNPSVPLLARVYLNLGSWQWTLSPGLVEESIKDILDAFSKATQYANKWAKAWHKWALFNTAVMSHYTLRGFPDIAAQFVVAAVTGYFHSIACAANSKGVDGSLQDILRLLTLWFNHGATAEVQTALTKGFSLVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQNHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEDGAMKNNATIKERVFIEAYRQELLEAYECSMNYKRTGKDAELTQAWDIYYHVFRKIDKQLQSLATLDLETVSPELLECRNLELAVPGTYCADAPVVTIASFAPQLVIIPSKQRPRKLTIHGSDCEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSPKTAEKDLSIERYAVIPLSPNSGLIEWVPNCDTLHSLIREYRDARKVILNHEHKCMLSFAPDYDHLPLIAKVEVFEYALHNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASINREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMLTSNHAPPTVTSEESGPNRELPHPQRGVRERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTCSSVLNNSLQNAVDHSNLNSGDSREIDHALSVKLQVQKLISHATSHENLCQNYVGWCPFW >CAK8541884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420827749:420829926:1 gene:gene-LATHSAT_LOCUS10774 transcript:rna-LATHSAT_LOCUS10774 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSINCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDQSDPSDTDYPYYIDGSDISDPLEMDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETSDPDYINDPDGSDRSDPLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRMIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLMELFQFHGFVPLTKNLED >CAK8574774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8881146:8884086:1 gene:gene-LATHSAT_LOCUS27084 transcript:rna-LATHSAT_LOCUS27084 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREALRESILSQEQEFHSSSSLISYLYVGHFLARWGSRMWEFSVGLYMISIWPDSLLYAAIYGAVESASIAIFGPIIGKWVDNLTYHKVLKLWLVTQNLSFIIAGATVVSLLVFSSLKFTNFPVFLLLVVIINTCGGIGVLSTLSGTILIEREWLLVISEGQPPELLTKMNSVTRRIDLTCKLLAPVITGFIISFVSLKASAITLALWNSVSVWVEYWLFTSVYNGIPALRQSSQRRTERLSQSDLERTNSTSEGDSLLIDSSESVDVKSRTGFSEWISKISYVDAWRVYLRQEVVFPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIAATVLYPVLQNHISAIRTGLWSIWSQWTCLLPCLAAIWIETGMSSSYILMGSVAISRLGLWMFDLSVLEQMQSLVPESDRLIVGGVQNSLQSFMDLLAYVMGIIISDPKEFWKLSLLSFLAVTLAAFLYCIHVFRVRKHIFHFEKLIWCNSSA >CAK8574677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6168750:6169016:1 gene:gene-LATHSAT_LOCUS27001 transcript:rna-LATHSAT_LOCUS27001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYIQSSSTNQEKKNPSSSSSSSSSSSTSHEVEKKVSHQPRKLERLPSEEDINASADAFIKNFRKQLMLQRLQSIENYEKMLARGR >CAK8532541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:287670369:287671117:1 gene:gene-LATHSAT_LOCUS2236 transcript:rna-LATHSAT_LOCUS2236 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPYTYVSNNFFAAYFLYTLSQFGATAPPRDKVVILGDGNTKVVFNKEEDIAAYTIKVFDDPRTLNKILYVRPKHNKLSFSDLVSLWEKKIGKTLERIYVPEEEVLKQIQESSSLSTMFSLAHCVYIKGDHTNFEIEASFGVEATTLYPDVKYTTVDEFLNQFV >CAK8567581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526797820:526798727:-1 gene:gene-LATHSAT_LOCUS20622 transcript:rna-LATHSAT_LOCUS20622 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSIGDMVKCWEAMNDNFKLKLGKIRASFQKSFYEVEHAHVSPFYNNLRGSVSQAALRRIAKELTRVDYVGTNKEICRCTLRITYGLPCACELTGYRIGGILIPIDVEHVHWRKLNVVRKKALKSRIFELTFPTMTSLCPPPEKIKTKEGVKKKVKKLVGYDVYRDPSYHEYVDQASQSSQRQSQPSQTSKKLKLPQSSQKKSQSLHALKKLKLSQSSQSSKQFILQFPNHNRSYIDDVVNVISDGNCGFRFFASLHGYGEDDWPMVR >CAK8575734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:292778798:292781983:1 gene:gene-LATHSAT_LOCUS27971 transcript:rna-LATHSAT_LOCUS27971 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKANRLGAEYDKGMEAFFQYAREKLPNNNKFYCPCVNCLNREPPLLIDEIRNHLVCEGICQSYTNWIWHGEPSNNTSSVSEREVVDVDMDNRLEDMINAIGPESFQHAHMYDTLCSDNEEPLYSGCTNFTRLYAVLRLFNLKARNGWTDKSFTELLELLCEMLPEGNRLPNRNYEAKKILCPMGMEYKKIHACPNDCILYRNEYEELKECPTCGQSRFKVKDGDLNSDENTKRLPAKVLWYLPIIPRFKRLFANSKDAKNIIWHAIERERDGQLRHPADSLQWKKVDDLYPDFGNEARNLRLALVTDGMNPYGNLSSNHSSWPVLLIIYNLSPSICMKRKYIMLSMMISGPRQPGNDIDVYLSPLIEDLRMLWEEGVDVFDGYSHENFKMRAMLFCTINDFPAYGNLCGYSVKGHKACPICEDNTCFQQLKHGKKTVYLGHQRFLKPNHLYRRLRKAFNGDQENGIAPRALTGKEVYGRVKDVNVLFGKNQKQTTHTNIWKKRLVFFDLPYWCNLDVRHCIDVMHVEKNVCDSVIGTLLNIHGKTKDNVNARLDMVEMGIQQQLAPQSAGKRTYLPPACHTLSKNEKISFCECLRSIKVPHGYSSNIKSLVSMKDLKLVGLKSHDCHVLMQQLLLVAIRGILPKKVRVVLTRLCLFFNAICSKVIDPKKLDELENEAAVILCQLEMYFPPSFFDIMVHLIIHLVREIRLCGPVYLRWMYPVERYMKILKGYVKNQYRPEASIVERYIAEEAIEFCSEYMSEADAIGIPKSRHGGRCGGIRGLKLKSIARAEVLRAQMYILNNTDEVQPYSSAHKNIVKETFPRMNEKWVLNEHNKTFLKWFKKTILADNTCSETLKCLARAPKFDVITWTGYDINNFTFYTKTQDDTSSVQNSGVMVVAEFMHFSSSKDKNPVMASIAYYGVIEEIWDINFITFKVPLFKCKWVDTKNSVKTDEFGFTLVDLEKVAYMDEPFIMASQAKQVFYVRDPSNKKWSVVLQGKSNHNPNDSEHATLYIYETPSFSQRVPTLVEDTIGDEVYATREDHQEGIWENIQTIHN >CAK8538943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500012639:500018768:-1 gene:gene-LATHSAT_LOCUS8088 transcript:rna-LATHSAT_LOCUS8088 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLFREVPFILRLTSDDETFRFAALDIERNRLFFLSSHNFIYTYHLSSFHDKEAWSNASLLSTDYGSVDLEPDDSVTSFDYLMEKEALLLGTSNGLLLLYDVDANTTQVVGNLDGGVNCISLSPDGELLAIITGFGQILVMTHDWDLLYETPLVVDDDVPQGHHVNGENFLEGGFEQHPISWRGDGKYFATMSVCSSTFLRKLKVWDRDSGALLASSDEKTFAGAVLEWMPSGAKIAAVYDRKAENECPSIVFFERNGLERSKFSVGEGVNAKVKFLKWNCSSDLLAGVVECESYDAIKIWYFSNNHWYVKHEIRYLKQDEVRFIWNQEKPLQLICWTLGGQVTVYNFVWITAVMDNSVALVIDGSNIHVTPLSLSLMPPPMYLFSLKFSSHVRGMAVYCKNSKNKLAAFLSDGSLCVVELPSIETWEELEGKEFSVEASHTEMVFGSILHLVWLDSHKLLSVSHYGFSHSNDLFQTSLNKDALPGFFLQEIELECSEDIVPGLLTCSGWHATVSKQNTLKELVIGIAPNPASKSSAFMQFSEGKIKEYLSKVGTGGGSLEQEFQGFSVVCPWMGVALIGSVGQSKPVLFGLDEIGRLHTSGGIVVCNNCSSFSFYSNLADQVITHLILATKQDLLFIVDIVDIFNGELDLKYGNFVRSNSRKKEENENYIHIWERGAKIVGVLHGDEAATIVQTTRGNLECIYPRKLVLVSIINALTQKRFRDAFLMVRRHRIDFNVIVDYCGWQAFSQSAFEFVRQVNNLGYITEFVCSIKNENVIEKLYKNHVSVPCSEVANVMLAGALENCNADNKVSSILMAIRKALEEHFTESPARELCILTTLARSEPPLLEDALKRIKAIREKELSHADDHMRMSYPSAEEALKHLLWLADGDAVYDAALGLYDLKLTAIVALNAQKDPKEFLPFLQELERMPTQLMQYNIDLRLKRFEKALRHIVSAGDSYYDDCMALVNKNPQLFPLALQLFTDPAKRMPFLEAWGDYLSDEKYFEEAATIYLSCFNLDKALKSYRAINNWSGVLTVAGFLNLGKDEVLDLAGELCEQLQALGKPGEAAKIALDYCGDVNSGVNLLISARDWEEALRVVLMHRREDLIKSVKDASIECASTLTNEYEEGLEKVGKYLTRYLAVRQRRLLLSAKLQSEERAASDLDDDAASEASSNFSGMSAYTTGTRKSSAISTISAATTRAREARRQRKRGKIRPGSAGEELALVDHLKGMSLRVESRRELKSLLVSLMMFGEGETARKLQQMGENFQLSQMAAVRLAEETISTETINEYAHTLEQYTRKVRDEMHNSEDLSWRLKVFLAHE >CAK8560505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22585735:22592638:-1 gene:gene-LATHSAT_LOCUS14171 transcript:rna-LATHSAT_LOCUS14171 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKEKFQISDGVVDGVDSRSCYMQKFRLYETRSRFYMIGRDKSRTFWRVLKIDRLEPSELIIVEDPTLYTEAECCDILKRIHDGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITKRKKIGTICGHAVYAITKSEMVPIPHPSVRSKLAYSKDENRYKKLLCSMDLTKDFFYSYSYNIMLSLQKNLSGHNVMGQSLYETLFVWNEFLTRGIRSNLQNTSWTVALVYGFFKQVNLSISGREFNMIIIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFADASDGSPMQISSVVQIRGSIPLFWSQEASPLNMKPDIILSPKDAMFEATRLHFDNLVKRYGNPIIILNLIKTREKKPRETILRTEFANAVKSINQNLSGDERLRFLHWDLHRHSRRKATNVLLQLGKVAAFALKLTGIFYCPVTPNMKLEGLFPYSFSENNNVIDENKDHSGDDENKDYNVKPKTLQSGVLRTNCIDCLDRTNVAQYAYGLVALGSQLQVLGFTESPHIDLDDPLAKEVMTAYESMGDTLAFQYGGSAAHNKIFSERRGQWKAAAQSQELIRTLQRYYNNTYLDGAKQKAINIFLGHFQPQQGKPLLWELDSDQHYNVGNYGPNLADGIDGSFIRRSQSDGDIIDESDATIRNLHAPDCQHSSNNPDKRSLLKSTSDTFTCESSICHCRQIYGGRGKDQYCESDHICYAEHGDACDCSNFLDVDSLSSGNSCEEELLERSISISSDNIVNEQITQVPATESRPILKESQSEGKLNKSGKKHTDSFEKWVTHGEMLYV >CAK8560506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22585735:22592638:-1 gene:gene-LATHSAT_LOCUS14171 transcript:rna-LATHSAT_LOCUS14171-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKEKFQISDGVVDGVDSRSCYMQKFRLYETRSRFYMIGRDKSRTFWRVLKIDRLEPSELIIVEDPTLYTEAECCDILKRIHDGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITKRKKIGTICGHAVYAITKSEMVPIPHPSVRSKLAYSKDENRYKKLLCSMDLTKDFFYSYSYNIMLSLQKNLSGHNVMGQSLYETLFVWNEFLTRGIRSNLQNTSWTVALVYGFFKQVNLSISGREFNMIIIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFADASDGSPMQISSVVQIRGSIPLFWSQEASPLNMKPDIILSPKDAMFEATRLHFDNLVKRYGNPIIILNLIKTREKKPRETILRTEFANAVKSINQNLSGDERLRFLHWDLHRHSRRSKATNVLLQLGKVAAFALKLTGIFYCPVTPNMKLEGLFPYSFSENNNVIDENKDHSGDDENKDYNVKPKTLQSGVLRTNCIDCLDRTNVAQYAYGLVALGSQLQVLGFTESPHIDLDDPLAKEVMTAYESMGDTLAFQYGGSAAHNKIFSERRGQWKAAAQSQELIRTLQRYYNNTYLDGAKQKAINIFLGHFQPQQGKPLLWELDSDQHYNVGNYGPNLADGIDGSFIRRSQSDGDIIDESDATIRNLHAPDCQHSSNNPDKRSLLKSTSDTFTCESSICHCRQIYGGRGKDQYCESDHICYAEHGDACDCSNFLDVDSLSSGNSCEEELLERSISISSDNIVNEQITQVPATESRPILKESQSEGKLNKSGKKHTDSFEKWVTHGEMLYV >CAK8543134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:581975502:581976146:-1 gene:gene-LATHSAT_LOCUS11916 transcript:rna-LATHSAT_LOCUS11916 gene_biotype:protein_coding transcript_biotype:protein_coding MKFINPKNLSPKHLFRSKKEKSSVSRSDPLSFGSSSSSDESTHKAVTGGSQTPTSVLPEASSSSWSDVTVEVQWELAQAFRLIDRDNDGVVSREELEAVLTRLGARPPSSEEIEMMLSEVDSDGRGCISVESIMNRIGSGSDPNPEEELREAFEVFDTDQDGRISAEELLRVFRAIGDERCTLEECRRMIAGVDKNRDGFVCFEEFSCMMEMQR >CAK8535923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891332245:891342211:-1 gene:gene-LATHSAT_LOCUS5338 transcript:rna-LATHSAT_LOCUS5338 gene_biotype:protein_coding transcript_biotype:protein_coding MILRPKKTNAGASTLPAMAKNRSSKATILLIFISFIAFCAFVIVPVFAPLPSIQSRHHAHHSHPKKVHRKFEIADDMFWKDGEPFRIIGGDLHYFRVHPEYWEDRLLKAKALGLNTIQTYVPWNLHEPTPGKLVFEGFANIESFLNLCHKLDLLVMVRPGPYICAEWDWGGFPSWLSSMNPSPILRSSDPAFLKLVERWWGKLLPKLVPLLYDNGGPIIMVQVENEYGSYGDDKAYLQHLITLARGHLGHDTILYTTDGGSRENLEKGTIRGDSVFSAVDFTTGDDPWPIFKLQKEFNAPGKSPPLSTEFYTGWLTHWGEKNAKTDADSTAAALEEILRKNGSAVLYMAHGGSNFGFYNGANTGANEADYKPDLTSYDYDAPIREAGDVDNSKFNAIRRVIARYSSVPLPSIPSDNKKATYGAIHLKRQSSLFDMFDFTNSSKAIKSKNPMSMENVGQFFGFLLYVTEYEARRGERILSIPKVHDRAQVFISCSSEGRGARPTYVGTVERWLNKKLSLPEFQCLSNINLYILVENMGRVNYGPFIFDRKGVLSSVYLDTNRLQGWRMFPIPLHNLNEVPNYNPIMQATYSAFSEISTSRKRLMNKSENTSKEPAFYSGHFFIDKSSQIKDTFLSFNNWGKGIAFVNDFNLGRYWPLKGPQCSLYVPAPVLKHGDNFLVIFELESPNSKLVVHTVGEPDFTCGFNGMNIHRV >CAK8575136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:37133846:37134415:1 gene:gene-LATHSAT_LOCUS27423 transcript:rna-LATHSAT_LOCUS27423 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKVSLLPLFLLATLVLMFSIRKIEADTCNAYCELEGLKCGGDCECVPIRIPYPCIPPHVASKIVDQHPHICESHEDCTRKGSGSFCGIYPVSDMKYGWCFDSKSDAEASFKNLLSSKFSNLLKMPSAVST >CAK8560242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11582466:11583038:1 gene:gene-LATHSAT_LOCUS13932 transcript:rna-LATHSAT_LOCUS13932 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAPLGESLLSNSFSKNNNNSSNKPPKLSNSDHLQRTVSDISFELTKEIDNLKLPSISEVEDAKCECCGMSEECTPEYIDRVRGKFKGKFVCGLCSEAVKEELEKNGGKKLEEALSTHMNACVKFNKYGRAFPVLFQAQAMKEMLKKSNLDGKIRAKSISPRDKKGGGGLTRSSSCIPALTRDIKNIKI >CAK8540942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33901770:33902762:-1 gene:gene-LATHSAT_LOCUS9896 transcript:rna-LATHSAT_LOCUS9896 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVPLIFLQKREEDLKRRNEIWEQKKIGVTPLKKVVDLERLKELVTIGFEKELAAEALKRNENDTQKALDDLKNPETNSDLQDNIESRKRKRQKQAKDSAIERVVQMGFERSRVIAAFEEDDKLDKVFQRLRAQPAVENM >CAK8570133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24925628:24926893:1 gene:gene-LATHSAT_LOCUS22911 transcript:rna-LATHSAT_LOCUS22911 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLPATTDSIALALEAKDPSEGISILYRVLGDPSSSPEALRMKEQAITNLTDLLRQENRAEDLRSLLTQLRPFFSLIPKAKTAKIVRGIIDSVAKIPGTSELQILLCKEMVQWTRDEKRTFLRQRVEARLATLLMETKEYSQALTLLNGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALEDPKAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPIDHVERKMSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLFARSAKIMT >CAK8541669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:341891118:341891630:-1 gene:gene-LATHSAT_LOCUS10572 transcript:rna-LATHSAT_LOCUS10572 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVIPFNRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSSARHDPFLLLSDVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKIERLCGICRLPGHTRNSCPNVGTSSR >CAK8576772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512909162:512911750:1 gene:gene-LATHSAT_LOCUS28940 transcript:rna-LATHSAT_LOCUS28940 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESNRGFCNEDISSSMSRHAISFQHGAMNNSMSEMVPMGNYFGLSSSSGMIYSGNSTFVHNTNPVVSPQAGGSSLLLDSVPGLKHDTGLAVEWSLDEQYRLEEGLANYANEPSIMKYIKIAAALPDKTVRDVALRCRWLTRKRRKPDEHCLGKKINYRKDKPVELASHSNLHSALPPSMSSYSPMSRTIEQSQRILYDGICGPMKQLMEQNAQVFNQITANLSTYKLQDNIDLFCHTRNNINTILNDMSGMPGIMSQMPPLPVVINEDLARSILNNRT >CAK8563492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609995444:609997882:1 gene:gene-LATHSAT_LOCUS16892 transcript:rna-LATHSAT_LOCUS16892 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTFHPPSLKPPSHSTVTRLNPTLPFSFSISPSSKRSSTAKTLVIRAIDAAQSFDYESKAALQFQNAQKLKIAIIGFGNFGQFLANTFVRQGHTVLAHSRSDYSDVAQTLGVNFFTNADDLCEEHPEVILLCTSIISAQNVLLSLPFQRLKRSTLFVDVLSVKEFPKNLLLELLPTDFDILCSHPMFGPESASRSWSGLPFVYEKVRMGNEEHRIARCEKFLDVFGREGCRMVEMSCADHDRYAAGSQFITHTVGRVLDMLLLESTPINTKGYESLLNLVQNTSGDSFDLYYGLFMFNKNSLEMLERLDLAFEDLRKQLIARLHDVVRNQLFDNAGKVQNLQDSNNYAVAKYSQNGSAIVLSSKNQRSADAKRHGYYTTNDSGLSDDNTKLKIAIIGFGNFGQFLAKTFVRQGHKVLAYSRTDYSDVAQELGVSYFDDADDLCEQHPEVILLCTSILSTEKVLKSLPVQRLKRNTLFVDVLSVKEFPRNMFLQHLPPYFDVLCTHPMFGPESGKNGWNGLPFLFDKVRIGRVESRISRCDRFLDIFSKEGCRMVEMSCAEHDWHAAGSQFVTHTTGRFLEKLKLEATPIDTKGYETLLSLVENTAGDSFDLYYGLFLYNINAMEQLQRFDLAFESLKKQLFDRLHGIYRKQVFQNEENSRDFPERSMLPEISEDSRMVSSFTDTTVDAK >CAK8535704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875536815:875537472:-1 gene:gene-LATHSAT_LOCUS5136 transcript:rna-LATHSAT_LOCUS5136 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALILTFSIIFVLSKTTPTFITQPQMNQSSIHLQNETRKSGGSCNYKVNIKTSCDSPPHTTDEISILFGDNNASEVYVQRLDDPDSGTTFEQCATIEFEILGPCIGKICKMYLFRNGTDGWIPESVTAYHYDYPPVIFNYNIDIPQDAGYGYNNCK >CAK8567765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542398508:542399759:-1 gene:gene-LATHSAT_LOCUS20788 transcript:rna-LATHSAT_LOCUS20788 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSASSSSNWVMESGDIPHVLAVDDSLIDRKLVEKLLRNSSCQVTTAENGLRALELLGLTNGEQNTLNGRSKVNLIITDYCMPGMTGYELLKKIKQSSVMREVPVVIMSSENIPTRINKCLEEGAEMFMLKPLKQSDVRELTCQLMNLGR >CAK8531210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89248382:89251416:1 gene:gene-LATHSAT_LOCUS1017 transcript:rna-LATHSAT_LOCUS1017 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEINATDSATDEDRSRNLQELDRAALSRPLDETQQSWLLGPTEQKKKKKYVDLGCIIVSRKIFVWTVGTILCAAALAGLVVLIVKTVPRHHHKHPPPDNYTLALHKALMFFNAQKSGKLPKHNNVSWRGTSCMQDGKGDGVSAAIKDLVGGYYDAGDAIKFNFPAAFSITMLSWSVIEYSGKYEANGELDHVKEIIKWGTDYFLKTFNNTADTITTIAAQVGSGDTSDGSTTPNDHYCWMRPEDIDYDRPVTECHSCSELAAEMAAALAAASIVFKDNKAYSKKLVHGAGTLFKFSRDQRGRYSAGSSEAATFYNSTGYFDEFVWGGAWMYFATGNNSYLKLATNPGIAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDAADTPGWYCGPNFYSTEKLREFARTQIDYILGKNPRKMSYIVGFGNHYPKHVHHRGASIPKNKVKYNCKGGWKWRDTSKPNPNILVGAMVAGPDKHDGFHDVRSNYNYTEPTLAGNAGLVAALVALSGDKSIPIDKNTLFSAVPPMFPTPPPPPAPWKP >CAK8531315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100454390:100462991:1 gene:gene-LATHSAT_LOCUS1117 transcript:rna-LATHSAT_LOCUS1117 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDLQLKSSCSGCGTTEGLYGSNCKHMTLCAACGKTMAENRSKCYDCGATVTRLIREYNVRASSANDKNYFIGRFMTGLPDFSKKKSAENKWSLKKEALKSRQMTDSLREKYKNRPWHLEDETGQSQFQGHLEGSQSATYYLLMKEKKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYQRWMMKAPTAFGEHAKLDEKESNAGGGRGRKKTGDDDDEGPSSDKGEEDEDEEVDRKNRLGLNKKTGYDEDEDEGPRGGDHDEDDYDVEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEVPAPPEIKQDEEDEDEDNEEGGGLSKSGKELKKLLGRSGGLSDSDADDDDDDDDDVDEEGGVPPATATKQKEPKEEPADNSPSKPTATGSARGTPTSKSSKGGKRKANEEAKPSNSVPPKKVKTENETKPSEKDVNGSTAKTNAPPRGTPAPSSNTGSSNAASGPVTAEEITAVLMQRAPLTTQELVGKFKARLRCSEDKKAFADILKRISKIQKSTNGASYVILRKN >CAK8537673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420131908:420132417:-1 gene:gene-LATHSAT_LOCUS6939 transcript:rna-LATHSAT_LOCUS6939 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDRLYPGRRRLKSNFEEGVKGFITWAFSQECCRSEGGVRCPCLKCGCRPIISDPEKVERHLKRRGFIENYWVWTYNGEELPSSIPETSNTHASSSQSPMEHRENFNLISEMVGDAFGVNVTYDEPEDFDGEELPNEEAQKFYQLLNEMNTPLFEGSSD >CAK8562202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456463970:456465412:-1 gene:gene-LATHSAT_LOCUS15720 transcript:rna-LATHSAT_LOCUS15720 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAYLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPKEAIEGTFIDKKCPFTGNVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPAFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAKKAFSGM >CAK8540929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33228042:33229424:-1 gene:gene-LATHSAT_LOCUS9886 transcript:rna-LATHSAT_LOCUS9886 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDIYGPHTNELLLGKALKGVREKVELATKFGVRAREGKYEICGDPAYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASPATIRRAHAVHPITAVQLEWSLWSRDVEEEVIPTCRELGIGIVAYSPLGRGFFSLGPKLLENLSHDDYRKHLPRFQPENLQHNQNIFEKVNELAAKKGCTPSQLALAWLHHQGNDMCPIPGTTKIENLNQNIGALSVKLTPEEMTEIESLADGVRGDRYAEGISTWKNSDTPPLSSWKAA >CAK8543680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630939743:630940516:-1 gene:gene-LATHSAT_LOCUS12424 transcript:rna-LATHSAT_LOCUS12424 gene_biotype:protein_coding transcript_biotype:protein_coding MTNANALKWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNAFFKGTRHFPITALVRATYYRLGSLFAERGSKWSVVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETIDDGEGKPMRHYKVDLLNGWCDCGKFQVFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYKGDQICHNPRMRRNKKGRPVSRRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8541672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:344625774:344626604:-1 gene:gene-LATHSAT_LOCUS10575 transcript:rna-LATHSAT_LOCUS10575 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYVQSSILDQCSLRATNQEQYVDLKIPQYLINHWKTEGYTTLHFGAVRLILSLHGRKNQPIFCKIALLDSSYLHYENVVIGTVLTILHAGSVVLTIFPNYNIIYRLQNHSIDLPLLGCSSDSLLVVTNREEDIPSIVQISRKITREELTQLIPLEWITNYERLHVDKRPIQSQEATFRISVDNTVKTIFKKPAEGSSSISLIFQSMMIQPVLKDDWCPVYAITTEGKHIYTDKIDGHFI >CAK8532120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:208702716:208708535:-1 gene:gene-LATHSAT_LOCUS1855 transcript:rna-LATHSAT_LOCUS1855 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHFQGVSRHCSLLAVLGSKSHDSKQKQQQDTVSEDRFSSYPFPELSSSGRLEVRVLRKPSFDELTRVLEQLQPDFVYLQGQQVDDKGEIGSIVWDDFDLSSPEALCGLFSSKLPNTVYLETPKGERLAEALHSKGVPYTIYWKNEFSNYAASHFQQAFFSVAQSTSSHTWDAFQLAQASFRLYCEQNNIVPHNSRKGSGKLGPQVLGEPPIIEVSPCEVDTKEEEEEDSPGSSSSIKIYDDDVNTRFLVCGFPCTLDACLLGSLEDGLNALLCTEIRGCKLQSRTSAPPPPLQAGTFSRGVVTMRCEISTCSSAHISLLVSGSADACFNDQLLENHIKKELTENSQLVQAIPGHEQKKLSSYEPRRSASVACRSSVFEVSMRVPTWASQVLRQLASNMSYRCLVMLGVASIQGLPVASFTKDDSERLLFFCTRHKKDNNSKDIVFSGHPSWLVPPAPSRKRSEPGSSLETINASGMEVEDICSVRQKLSFAAMRPIPQSHRQKVLPFSGFSEGERYDGDHGKSNQLLVPIKHNGLGPHSATNRKSVSNAFQAHQIISLNPIPMKKHGCDRAPIRACSEEEFLKDVMQFLVLRGHTRLIPQGGFAEFPDAVLNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMSNHTLTHRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGMCGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISNFSKKTQKTTNGY >CAK8576374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467944676:467948541:-1 gene:gene-LATHSAT_LOCUS28566 transcript:rna-LATHSAT_LOCUS28566 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHEARSHVHHAHGEEEKVMTRKQKADSKAQEVEHSPKKAKVENEDGHTNGKSAANVEQEYDEFCKATNEKLSLEQMKEILEANGLDSSGSDLEITRRCQDLLFFGALEKCLVCNGNLEFDGIRYACRGFYSEWSSCTFSTRDPPRKKEPIKLPDSVQKTPISDLLKKYQDPSQRPHRDLGLAIKPFTGMMISLMGRLNRTHQYWKTTIEKHGGKVANSIIGATCLVASPAERERGGTSKLAEALERDIPVVREAWLTDSIEKQEPQPLEAYDLVSDLSVAGKGIPWDKQDPGEEAIESLSAELKLYGKRGVYKDTKLHEQNGKIFEKDGILYNCAFSVCDQGRKLNDYCVMQLIVVPESRLHLYFKKGRVGDDPNAEERLEECENENNAIKEFVRLFEEITGNEFESWEREKKFQKKPLKFYPIDMDDGVEVRHGALGLRQLGIAATHCKLEPMVAKFMKVLCSQEIYKYALMEMGYDSPDLPIGMVTNLHLKRCEEVLLEFIEKVKSLKETGPKADAIWSDFSQRWFTLMHSTRPFIFRDYQEIADHAAAALEGVRDITLASHLIGDMSGGTTDDPLSATYKKLGCSISPLEKNSNDYEMIVKYLEKTYEPVKVGDIEYGVSVENIFVVDPSVCPSYEDIVKLPNKVLLWCGSRSSNLLRHLHKGFLPAICSLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGFLVLAIASLGNEITDLISPPEDTTFLEESKIGVKGLGKKKTDESDHFVWKDDIKVPCGRLIASGHEDSLLEYNEYAFYDPKQVRICYLVGVKFEENGAVIDTAE >CAK8534106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695367421:695367651:1 gene:gene-LATHSAT_LOCUS3676 transcript:rna-LATHSAT_LOCUS3676 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFEMNSMELVKQSKNANGGDIWAELVEIGVEPSSLPLVYMYLVKNVDALKAFNGIPIDKRKEMLHLIVPYYPF >CAK8544610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695505704:695508084:1 gene:gene-LATHSAT_LOCUS13270 transcript:rna-LATHSAT_LOCUS13270 gene_biotype:protein_coding transcript_biotype:protein_coding MMATMEGIMDKAVIDDVIRRLLEGKGGKQVQLSESEIRQLCINARQIFLSQPILLDLHAPIRICGDIHGQYQDLLRLFEHGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKVYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELQRLDQIREVARPTEIPDNGLLCDLLWSDPDSSVDGWHDSDRGLSCTFGADVVADFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPADKEIGTSSSKMNFKKPPTLG >CAK8567210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495273163:495273650:1 gene:gene-LATHSAT_LOCUS20281 transcript:rna-LATHSAT_LOCUS20281 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRWIRPEVYPLFVPVGMAVGLCAMQLVRNITTNPEVRVTKENRAAGILDNESEGEKYSQHFVRKFVRGKSPEIMPSLNGFFSNPN >CAK8544725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702476721:702478959:-1 gene:gene-LATHSAT_LOCUS13380 transcript:rna-LATHSAT_LOCUS13380 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKVTVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRTLAARVLDETGVYKNLLQETAHRAGLNLPVYRTVRAGSGPVTNFYCTVEIAGMHFSGDPARTKKQAQKNAAIAAWSALRKLSENQLSSSTSSSFSSESKNNEEQEHVIIARVLASLHSSGSKNLPKSDHQHRWQNSNRASLVSTQPTSGMYPMQYQHCEISNFSPELVLYQIWQHEQIMQQQNRLLALTIQPIISPAPQIYPLMPSVIPPDHYLYFPANELASVPMRPKFSITTPRPPCYFPNQTVHELNRGRSTVIIREIQEEKTEDPTTACNFSNETRFPSPAPEIERHKHEGSRSNSSSRNDELRGEQGGESEWDSHRSMRFAHKPVNIELQNPSRIAIARPQATSDRCFRTPAASYSTVRTISPTSSTVQHREVPMAAASRLRTGAPRSPGMIRTATPVFNMAPAVRIRSVVPVCSAPPRRFMAETSKGKEKEDSKTKDK >CAK8571063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275087234:275088021:-1 gene:gene-LATHSAT_LOCUS23758 transcript:rna-LATHSAT_LOCUS23758 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKLRDAFRFVQGYVARGLLGDLIRVVRCKQCSRCGIWGAVSANGSKNCTILQGSIVLAHGFVSLMILESV >CAK8567986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561251466:561251936:-1 gene:gene-LATHSAT_LOCUS20989 transcript:rna-LATHSAT_LOCUS20989 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNKSLHKMQKNSNFNHYAKCESLKITNLAFADDFLLFARGDYISVEMMQDTLNRFLASTELIVNSTKSRIYFGGVADSTKMGILNLTSYMEGALPFRYLGVPTTSKKLSVTHYLPLVDKIVGRITHWSAKLLSYAGRVQLIKSVLFAISNYWL >CAK8541687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:353775065:353775451:-1 gene:gene-LATHSAT_LOCUS10586 transcript:rna-LATHSAT_LOCUS10586 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPTQNHSRIISFLQPSPYKTLINAITIRNPPSSMPSPHHHFHLRLRNLRPPLSSTQSLHRRRLFNLNLMQNCTEILMILLLNTQIDGNKIRINVSEFTESSRFIYGRSNKGITFGFISSTATKR >CAK8567074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485091255:485092470:1 gene:gene-LATHSAT_LOCUS20161 transcript:rna-LATHSAT_LOCUS20161 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIQSEVTWEKEEEKEEAEAQVEIWKYIFGFVELSVVKCAIQLGIAEAIEKHKKPISLLELSSTLKCDPSYLNRIMRFLVHRKIFKTISTNHDNYPSYVQTPLSRRLIRNGEQSMSAFLLLESSPVMVAPWLSLRDRVLENGNPSFKKVHGEDIWRYAATDLDHSNLINDAMACDAKVAVPAIVEGCSELFDGVGSLVDVGGGNGTTLSILVKGFPWIRGINFDLPHVIDVAPKCDGVEHVAGDMFTSVPKADAVFFKWVLHDWGDEECIQILKNCREAIPKENGKVIIVEAVIEEEEGGGKHNKYEDVGLMLDMVMMAHTNIGKERTLKEWEHVIKMAGFDSFTVKSINAVQSVIVASG >CAK8530597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33552846:33555993:1 gene:gene-LATHSAT_LOCUS450 transcript:rna-LATHSAT_LOCUS450 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLFLFLFLFLFLQPVFSLNQEGLYLYQFKLSVDDPDSSLSSWNNRDQTPCSWSGITCHHGTNTTTTTTVTQINLSNFNIAGPLPTSILCRLTNLTTLILTNNSINETLPSEISLCTSLTHLDLSQNLLTGTLPETLPLLSNLRYLDLTANNFSGSIPNSFGTFQNLEVISLVYNLLESSFPASLGNITTLKTLNLSYNPFQPSQIPPEIGNLTNLEILWLSTCNLVGVIPETFGNLKKLSVLDLSMNNLEGSIPSSLASMTSLKQIELYNNSLSGELPFGMSNLTSLRLIDVAMNRIGGVIPDELCRLPLESLNLYENRFTGELPASIADSPNLYEFKIFTNLLSGELPENLGKNGPLIWFDVSNNSFSGKIPASLCERGALEELLMIHNSFSGEIPASLGACRTLARVRLGFNKLSGEVPAGLWGLPHVYLLELVHNSFSGSIANTIGGAGNLSLLTISNNNFSGAIPEEIGRLENLQEFSGDNNLFNYSLPESIVNLSQLGILDLHNNKISGELPKGIPSLKKLNELNLANNEIRGEIPEKIGSMSVLNFLDLSDNRLLGNVPMSLQNLKLNQLNLSYNLLSGEIPPLMAKDMYRDSFIGNPGLCGDLKGLCDVGGEGKSANFVWLLRAIFIIAALVFVFGVIWFYFKYKNIKAARSIDKTKWTLMSFHKLGFGEDEVLNCLDEDNVIGSGSSGKVYKVVLRNGEAVAVKKIWGGVQMETESGDTEKKQYQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSNKGGLLDWPTRYKIALDSAEGLSYLHHDCVPPIVHRDVKSNNILLDEEFSAKVADFGLAKAVESNGRGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVILELVTGRKPVDPEFGEKDLVMWACNTLDQKGIDHVLDSRLDSFFKEEICKVLNIGLMCTSPLPINRPAMRRVVKMLQEVGPESQSKSSQKAGKLSPYYYDDGSDHGSVA >CAK8576003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:383410628:383410902:-1 gene:gene-LATHSAT_LOCUS28222 transcript:rna-LATHSAT_LOCUS28222 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDPWPVFFRREWKKNWPFVVGFAITGTIITKFSLGLTEEDAKNSKFVQHHKR >CAK8539698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522369121:522372426:1 gene:gene-LATHSAT_LOCUS8774 transcript:rna-LATHSAT_LOCUS8774 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQWNWGKKSREKSILETDKTNLTSKENHEVLALLDDRENLEKELKRLNDKLVLALSECSTKDEHMKKQTKIVQEAVSGWEKAEAEMLSMKEHLEESIHQELVYEERVLYLDCTLKECMQQLNFVREEQERRIYDALTKASIEFDQSRIVLEEQLSETSKRLAKTVIENSYLNKSINVKDNLIEDMERQLIEAEAGRDALMIRLESVEKDNASLKYEARMLQKELDTRNEVQFSKVMLSQTGSKLLQLESKGEVALEKPRSNLALQELSSVSLSEIGNDDNVSYAESSTSALISEPEYFRSPKQQESLSCNSFGPSEINLMDDFIEMEKLAVVSVEKLEDQFTFDSGKDSCRFDAPNAETLSIKRTKTQSQTDLNKSISKLIELIEGISIPADDTNNHEALTGYMVRLFQWKTSDLGDVLQKFLNACYSLLNGKADHESFGIELTTAFEWIINHCFSVHDVSSMENEVKKQFGWDEIGSLTDAEKLQIDTSRFQELEKTIPSLRLDLQTLKESNKRLEDQIQNERSLNRDHDPQLTETELNEAYHKILELEVELESKNHYCEELDTKFIELQLQLESMKKTHSNDYVNQKNEPLRTEWEITAASEKLAECQETILILEKQLKALAAKKDMSLFDNIIAAHRRPIITNTSSVSLPYKEMKNRPSLLDQMLADDDAKTKVCKASEKGFMQPLEKIVVLKGVRGNDEAVNVNSLAILPAKKSSGVNVNSLAILPAKESGRRSFWKRMLGTRRKPKRKQVYQLNK >CAK8539699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522369121:522372426:1 gene:gene-LATHSAT_LOCUS8774 transcript:rna-LATHSAT_LOCUS8774-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQWNWGKKSREKSILETDKTNLTSKENHEVLALLDDRENLEKELKRLNDKLVLALSECSTKDEHMKKQTKIVQEAVSGWEKAEAEMLSMKEHLEESIHQELVYEERVLYLDCTLKECMQQLNFVREEQERRIYDALTKASIEFDQSRIVLEEQLSETSKRLAKTVIENSYLNKSINVKDNLIEDMERQLIEAEAGRDALMIRLESVEKDNASLKYEARMLQKELDTRNEVQFSKVMLSQTGSKLLQLESKGEVALEKPRSNLALQELSSVSLSEIGNDDNVSYAESSTSALISEPEYFRSPKQQESLSCNSFGPSEINLMDDFIEMEKLAVVSVEKLEDQFTFDSGKDSCRFDAPNAETLSIKRTKTQSQTDLNKSISKLIELIEGISIPADDTNNHEALTGYMVRLFQWKTSDLGDVLQKFLNACYSLLNVHDVSSMENEVKKQFGWDEIGSLTDAEKLQIDTSRFQELEKTIPSLRLDLQTLKESNKRLEDQIQNERSLNRDHDPQLTETELNEAYHKILELEVELESKNHYCEELDTKFIELQLQLESMKKTHSNDYVNQKNEPLRTEWEITAASEKLAECQETILILEKQLKALAAKKDMSLFDNIIAAHRRPIITNTSSVSLPYKEMKNRPSLLDQMLADDDAKTKVCKASEKGFMQPLEKIVVLKGVRGNDEAVNVNSLAILPAKKSSGVNVNSLAILPAKESGRRSFWKRMLGTRRKPKRKQVYQLNK >CAK8565507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249156508:249159283:-1 gene:gene-LATHSAT_LOCUS18716 transcript:rna-LATHSAT_LOCUS18716-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERWRRETNTFHLNVGEMTVTLKDVALLLGLAIDGEPVIGLTYTSCSSVCEKYLGRAPESGYTSGGMVKLSWLKEFFSRCPDDAPIEVIEQHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFVRCGQYAWGSAALSFLYRALGNASLRTQSTISGCLTLLQCWSYFHLNVGRPKLNLDVMTHDRFPFVLRWKGKQSGPTANRDVVFYRKALDSLKPCDVEWLPYRNMDSMVIPDHIKSTLILGRSKTMLICFDKAERHLPNRCLRQYGMLQSIPDDVERWERKSRGVDGGVDLSGKMESELTEWMDRQLHIVDGDEGVDESEYMDWYMRITRKFIGRPISLSSEFQRTNAGLRDIAHIADTFSTKGLDPQQIESISRIRYIAHECLRDQISGPTIVTATPQAEHGKRVRGKERVRRKGGAGKRLRKDGVIQYNVISEEDEQPHFYGTTIEVGQLHLSHMDREMEHHAQLCTVENAVSSVHMLHTDAENMHLCDTHLGVDQSELGYEDDENDDFNHDDLKQEADEEIKEEFNHMTSEQNIEELHAGNEIDQDLRPCDHIVIDDSQFCDVSHEINNATLSDDADEVNHTHFGDPDEVDLQEINPTEDFVNSQLSHVNDEREPPSSAMTAIEVSQHSSIEPHGDISQKGDCSVAV >CAK8565506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249156508:249159283:-1 gene:gene-LATHSAT_LOCUS18716 transcript:rna-LATHSAT_LOCUS18716 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERWRRETNTFHLNVGEMTVTLKDVALLLGLAIDGEPVIGLTYTSCSSVCEKYLGRAPESGYTSGGMVKLSWLKEFFSRCPDDAPIEVIEQHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFVRCGQYAWGSAALSFLYRALGNASLRTQSTISGCLTLLQCWSYFHLNVGRPKLNLDVMTHDRFPFVLRWKGKQSGPTANRDVVFYRKALDSLKPCDVEWLPYRNMDSMVIPDHIKSTLILGRSKTMLICFDKAERHLPNRCLRQYGMLQSIPDDVERWERKSRGVDGGVDLSGKMESELTEWMDRQLHIVDGDEGVDESEYMDWYMRITRKFIGRPISLSSEFQRTNAGLRDIAHIADTFSTKGLDPQQIESISRIRYIAHECLRDQISGPTIVTATPQAEHGKRVRGKERVRRKGGAGKRLRKDGVIQYNVISEEDEQPHFYGTTIEVGQLHLSHMDREMEHHAQLCTVENAVSSVHMLHTDAENMHLCDTHLGVDQSELGYEDDENDDFNHDDLKQEADEEIKEEFNHMTSEQNIEELHAGNEIDQDLRPCDHIVIDDSQFCDVSHEINNATLSDDADEVNHTHFGDPDEVDLQEINPTEDFVNSQLSHVNDEREPPSSAMTAIEVSQHSSIEPHGDISQKGDCSVAV >CAK8565993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365335040:365335423:1 gene:gene-LATHSAT_LOCUS19162 transcript:rna-LATHSAT_LOCUS19162 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALDEKENLTNHWRFLFMLPVDPKLGKMIIIGAIFRCFDLILTIFGGFSVKDRFLLSQDKRDLEGTTKFRFSAKDYNDHMALVRAYEGWKMMKEKDQRMNTAGEIFSLPKLFRQFVLFGSNSASS >CAK8566763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460646105:460648222:-1 gene:gene-LATHSAT_LOCUS19873 transcript:rna-LATHSAT_LOCUS19873 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTGSPKGSWQPIMTVQTNTQSYWINWRVLLCGIWVLLSIIFSSLLIWKYEKRLRKVEARNGSTSDSERERQEENSAVLFEDETWKPCLKGIHPTWLLAFRVFAFFVLLVLLAVNAAVDGGSIFYYYTQWTFASITFYFGLGSILSMHGCYQHHKKASGDNKVDNVDGDAEQGMYNVRILPQSSYASDQEKNLGGQEEVFVRQHAGTWGYVFQIIFQINAGAALLTDCVFWFVFVPFLTIKDYNLNFLVIIMHSINAVFLIGDTALNCLPFPWFRMGYFCLWTITYVIFQWIVHACINLWWPYPFLDLSSSFAPLWYFAVALLHIPCYGIFTLVMKLKHYVLSTCYPDSYQGAR >CAK8532665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:469771929:469772885:1 gene:gene-LATHSAT_LOCUS2348 transcript:rna-LATHSAT_LOCUS2348 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNIWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETRTIKLLTKKDLKPFKNYNFIHIGLVQIALKPFTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDVMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIGEEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8530583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32420198:32420893:-1 gene:gene-LATHSAT_LOCUS436 transcript:rna-LATHSAT_LOCUS436 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNYISFLLFTTLLFPLHTIARESEFFSKVTHFNKEESPTVTNKPEEQQPSFLPQTENSYGLYGHESDHLPTNTAATNSEFENNNYNNKYYNNDAYNTKYYNKDPFGNSQNEQSYNYNSMMEKQNNNERPLYNNNNNNYYNGEKQGMSDTRFLEGGKYFHDINNEKYNPTMYGDSYSTGVNTNNWYNNRGNSYNSNNNGYYQNNHGSYNGYKNQVVDQFEDEQNEFEP >CAK8539367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510237496:510240478:-1 gene:gene-LATHSAT_LOCUS8470 transcript:rna-LATHSAT_LOCUS8470 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLNEGKLSEVQDLSLDFSTADAARKVVKLKAFSKFENTAEALEAASCLIDGKTSKGLRKFLRAHCDNEILAVADSKLGNIIKEKLKIDCVHNNAVMELMRGVRYQLTELISGLAVQDMAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIQYARSVKLMGDRINAAKLDFSEILTEEVEAEVKEAAVISMGTEIGELDLSNIRELCDQVLSLSEYRAQLYDYLKSRMSTIAPNLTAMVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKFKGKISRSLAAKTALAIRYDALGDGEDSTMGLENRAKLEARLRNLEGKELGRFGGSAKGKPKIEAYDKDKKKGAGGLITPAKTYNTAADSVFDQKSNSAMDEDTPEPTTAEKKKEKKGKKEKKNKKEKKKKEEVDATSPADVDGGEEPEHEVVKKEKKKKRKESTENIETQNGDTNLNEGEKKKKRKKHAEQEDSADVPSKKNKKKKKNDD >CAK8539241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507487281:507488596:1 gene:gene-LATHSAT_LOCUS8354 transcript:rna-LATHSAT_LOCUS8354 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVIDSLDRERIGQAKLEFQAIINDPFMLNSVILVFANKQDLRGAMTPMEVCEGLGLLDLKNRKWHIQGTCALKGDGLYEGLDWLASTLKAIKAEGTSSF >CAK8541292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:106039929:106040282:-1 gene:gene-LATHSAT_LOCUS10222 transcript:rna-LATHSAT_LOCUS10222 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNKLQSRFSLVRQSFPAPDREDSGAVDVPESVALNPTVRLMYLANEGDFEAINELLDDGYDVNFRDIDGRTAFHIAACQGRTEVVQLLIQRGAEVDPQDRWCSTPLADALYYKKS >CAK8573130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587960563:587964286:-1 gene:gene-LATHSAT_LOCUS25613 transcript:rna-LATHSAT_LOCUS25613 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGNFTGNLGEVLIRCNNVLYLRGVPEDEEIEDAAED >CAK8564165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654751890:654766859:1 gene:gene-LATHSAT_LOCUS17494 transcript:rna-LATHSAT_LOCUS17494 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKMWLELNQFPKKVPLMVVMKAMGMESDQEVVQLIGRDPRYSLLLLPSIEECTKSGVFTQAQVLEYLDSKAKRSMFQNTQAEKEGRAFSILRDVFLANVPVHEDNFRPKCIYVTVMIRRIMDAILNADAMDDKDYVGNKRLELSGQLISLLFKDLFKSMTFDVKIQADKNLEKSDKAKIFDFSRILVTRDCISPGLERTLSTGNFEVKRFRMKKSGVTQVLQRLSFISALGQMTRVQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTNDEEEAPLISLCYSLGVENMEHLSGEELHTPDSFLVIFNGFILGKHRRPRRFATAMRKLRRACLIGEFVSIYVNEKQCCVYLASDGGRVCRPLVIADKGISRVKAYHMKELKDGVCTFRDFLRKGLIEYLDVNEENNAMIALYEGGATLETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLRRMDTLLYLLVYPQRPLLTTKSIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYVAVRQKYQNGTQDRILRPNRAADSGGNMQILDDDGIAAPGEIIRPNDIMVNKQSPIDKQTHGSIANLPDSAYRSSHSTFSKCHGGEVVDRVVLCNDKDSNMCIKLLVRHTRRPE >CAK8537217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:151377394:151378302:1 gene:gene-LATHSAT_LOCUS6522 transcript:rna-LATHSAT_LOCUS6522 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPLIDRFGDFQAGFNSLQNPSFPSQIATTSFQSVSIAFNFCKWGAVILALVATFGSIINKLTIFIIHLRKKASSLPSIAFDDDFSSDDDDIVSLSSSSDFEDEEPSVSSTSSFNDFFRISGSSINNEFQTQNGGHQRQRSIGDIFSLFELANSESVVKLWDSIGFGLGLDFDEYEDGVISSNDCVSNQNALSTHVDSPAVVVSAGEGARGNLAVEIWDTRLRRRKPSVVAEWGPTVGNTVRVESGGVQKVYVRDDGHQRLTVGDMRKVSIPLGNVTESDADSIWWDADAVIISDEPYGEH >CAK8566186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388917109:388918243:1 gene:gene-LATHSAT_LOCUS19341 transcript:rna-LATHSAT_LOCUS19341 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHKHPMCAEIIEEFQKCHVEHPIAKFFGECTDLKIKLDRCFRAEKAVKRKANFEKSKEFKEQLRVFRKENAASSSQ >CAK8543815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641231734:641232090:1 gene:gene-LATHSAT_LOCUS12547 transcript:rna-LATHSAT_LOCUS12547 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISLLVSLILLGLPFPFASNSSTYPEKLSAYECGFDPFGDARSRFDIRFYLVSILFIIPDPEVTFFFPWAVPPNKIDPFGSWSMMAFLLILTIGSLYEWKRGASDRE >CAK8576483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482878141:482878368:-1 gene:gene-LATHSAT_LOCUS28666 transcript:rna-LATHSAT_LOCUS28666 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTKVFKVTLVGDKNGDKMEALGMCHLDTTDWDPNHMIFRTLRVKPGKNTPVCHFFSINHLLWVPLPNSKVNI >CAK8541339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:125764257:125804591:1 gene:gene-LATHSAT_LOCUS10268 transcript:rna-LATHSAT_LOCUS10268 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSKSSAHRLLYALCSSSSITVSPSPTDFLIGGAFHLRHFSAGNVARAKAASINKEPWWMESMEMIRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGKDGVGAKMDSMALEREKGITIQSAATHCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAILVFCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVINQARSKLRHHNAAVQVPIGLEDDFKGLVDLVKLKAYYFHGSNGEKIVIEDVPSDMEALVAEKRRELIETVSEVDDILAEAFLSDEAISDADLEGAIRRATIAQKFIPVFMGSAFKNKGVQSLLDGVLSYLPCPIEVSNNALDQSKNEEKIELTGSPDGPLVALAFKLEENKFGQLSYLRIYEGVIRKGDFVTNVNSGKKTKISRLGRMHSNELQDIQEAHAGEIIAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRADFDYLHKKQSGGQGQYGRVIGYIEPLPTESGTKFEFENMLVGQAVPSNFIPAIEKGFKEAANSGALIGHPVENLRVVLTDGAAHAVDSSELAFKMASIYAFRQCYAASRPVILEPVMLVELKVPTEFQGAVAGDLNKRKGLIVGNDQDGDDSVLTAHVPLNNMFGYSTALRSMTQGKGEFTMEYKQHSPVSHDVQTQLINAYKGTQAAE >CAK8533462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620489889:620491210:1 gene:gene-LATHSAT_LOCUS3085 transcript:rna-LATHSAT_LOCUS3085 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKVIMMVILGMLSLWPMEVMGSPRLHKVGGSKGWNEKTNYTQWSSQQHVYVGDWLIFVFDKRYYNVLEASKTSYENCVDTNFIKNVTRGGRDVVQLIETKTYYFISSGGYCFHGMKVVVDVQEHQTLAPSPSLSLSTMKSGGNFILSCFGIIVVNVVYVSLVSMGIL >CAK8562581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512071585:512072745:1 gene:gene-LATHSAT_LOCUS16068 transcript:rna-LATHSAT_LOCUS16068 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVSSSKYLPEFGIEDTSLFQEMDSFAFNFDDISYFNSFSETSTPESTNSSHNNNNKRFHSESTQNNSFPIESPDQSVASATPPTKAFSSSKIISFHTMDAKVKKPKTESGYGENLNFGGDFDKRENKVGTTITNRNPIQARDHVIAERKRRQKLNQKFITLSSILPGLKKMDKATILEDAIIHLKQLKERVESLEEQVADTKVESAVFVKRSILFANDDSSSSFNENSDQSLPKIEARVSGKDMLIRIHCHRHSSRILTAILNKLEKHHLTIQSSSFLPFGKKYIDITIVAEVNKEYCLTIKDLIRSINQVLKQLI >CAK8576778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:513000061:513014255:-1 gene:gene-LATHSAT_LOCUS28946 transcript:rna-LATHSAT_LOCUS28946 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFVKLDDSPMFQKQLFFMEDTADELKDRCQNLFKGCKKFMAALGEAHNGENAFADSLEAFGGGYDDPVSVSVGGPVISKFITALRELATFKELLRSQVEHVLIDRLSDFINVDLQNAKESRRRFDKSVQSYDQSREKFVSLKKNTPEDIVSELEEGLQNSKSSFEKSRFNLVHSLMNIEVKKKYEFLESISAIMDAHLRYFKLGYDLLSQMEPYIHQVLTYAQQSKELANIEQDKLAKRIQEYRTQTEVENIPMPGADGTHPVGLNSYKSFEAGMRSATKGEIQTVKQGYLLKRSSRMRGDWKRRFFVLDNHGSLYYYRSKGPKPAGFQSYNYTRSSEQNSGMFGRFRSRHSRATSLNEDILGSCTVDLCTSTIKMDAEDTDLRLCFRIISPSKTYTLQAENEADRMDWVNKITGAITSLFNFQFLQQPHYGKLQLQNKNSATGSSLTSVQEDSNKSLMDDVYSKEVGSVSKILRGIPGNDKCAECSTPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSITLDVKVWEPTILELFNNLGNTFCNSIWEGLLLLGDERVGESNVPLKPCSTDPSQNKEKYIQAKYAEKSLVMREEDIPESPSVSTKIWQAVQSLNVKEVYRLIVTSTSNPINTKFEEAVSHAETEDHQHDPEACLRIKEANETESCFRGWSLLHLACHSGSTLMVELLLQFGADINMCDYHGRTPLHRCISSGKNSLAKFLLRRGAKPSIKDAGGHTALERAMELGAITDEELFILLVECQ >CAK8537860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442562919:442566060:1 gene:gene-LATHSAT_LOCUS7113 transcript:rna-LATHSAT_LOCUS7113 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCMNSSLPLSPSSHPRNLTQTSLSSSSLFVDNNNNNNHHNSLRTTSTLKPIVVSGYPPTFVSAPGRTILAVGDLHGDLKQARSALEMAGVLSSDGQDLWTGGENVLIQLGDILDRGEDEIAILSLLRSLDKQAKENGGAVFQVIGNHETMNVEGDFRYVESGGFDECSDFMEYIINSEHDWEETFTGWVDASEKWKGDRKMSTNHWGSWNLAKRQKGVIARSILFKPGGPLACELARHAVVLKVNDWVFCHGGLLPHHVTYGLERMNKEVSEWMRNPSKNDGTFQFPSIATRGYDSVVWNRLYSSDSPDLMDYEANQVCSVLEETLQAVGAKAMVVGHTPQTIGVNCKYNCSIWRVDVGMSSGVLNSRPEVLEIIDDKARVIRSERDTYSEPEAAAYT >CAK8572247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524287169:524289445:-1 gene:gene-LATHSAT_LOCUS24832 transcript:rna-LATHSAT_LOCUS24832 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHFNLSLPLMLLILTPFLLLSLHVKAETSSTYIVHMDKSLMPQLFTSHHNWYESTLDSTKSSKNLVYTYNHAMHGFSAVLSKQELNNLKKSHGFVTAYPDRTATVDTTHTFEFLSLDPSKGLWNASNLGENVIVGLIDTGIWPESESFQDSGMSKNIPTKWKGKCEIGQDFNTSMCNLKLIGARYFNKGVIASKPNVKISMNSARDTQGHGSHTSSTVAGNYVDDASFFGYAKGVARGIAPKARIAMYKVLWDEGRQASDVLAGMDQAIADNVDVISISLGFDNVSLYEDPVAIASFAAMEKNVVVSSSAGNEGPNLGTLHNGIPWVITVAAGTIDRTFGSLKLGNGKTVVGWTLFPATNAIVENLLLVYNKTLSSCNSISLLSEAATRGIIVCEAFESVSVISQIKAITSAGVVGAVFISEDPILLETGRVFSPSIVINPKDKKALIHYIKSVEFPIASINFQQTFVGVKPAPAAAYYSSRGPSKSYPRILKPDVMAPGSNVLAAYVPNLSSATIGSNVFLPSNYNLLSGTSMSCPHVSGAAALLKAAKPDWSSAAIRSAIVTTANPLDNTQNPISDNGNPSQYASPLAMGAGVIDPNKALDPGLIYDATPQDYVNLLCDSGYTHSQILTITRSKKYNCDKASSDLNYPSFIALYGNKRRTMVQKFLRTVTNVGDGAASYNVKVRKPKGCDVNVLPEKMEFGYKNEKKSYSVVVKCKRKNKKEKKVRFGDIVWVEEGGFGRKVRSPIVVAPTDFV >CAK8565217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:71419473:71431486:1 gene:gene-LATHSAT_LOCUS18449 transcript:rna-LATHSAT_LOCUS18449 gene_biotype:protein_coding transcript_biotype:protein_coding MPITIGSSIVSPVLLSRTCLTKLDTTRAFLLGSKFSTIAITLSPKKSCISCTLVRSKLSPNVGGPVEKNKKGKKIEHHLWKRRDSAQSGQKALTLVRTICELPNEKEAVYGALDKWTAWETEFPLIAAAKALKLLRKRGQWVRVIQLAKWMLSKGQGATMGTYDTLLLAFDMDQRVDEAESLWNMIIHAHMRSVSKKLFSRMISLYDHHDLPDKIVEVFADMEELRVKPDEDTVRKVTSALRKLGQEEKRKLVIKRYGLKWKYIHFNGERVRVTTSVWEED >CAK8540191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543968812:543971064:1 gene:gene-LATHSAT_LOCUS9220 transcript:rna-LATHSAT_LOCUS9220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaA MIIRSPEPKVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSINDQGVITHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >CAK8537719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:423193020:423194771:-1 gene:gene-LATHSAT_LOCUS6982 transcript:rna-LATHSAT_LOCUS6982 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCDFCGDQRSLVYCRSDAASLCLSCDRNVHSANALSKRHSRTLVCENCNLQPAYVRCVEEKVSFCQNCDWSAHGTDPSSSTHKRQSINCYSGCPSASELSSIWPFFSDIPSTGEACEQKLGLMSINENCDNKARVSPESKNVSGSAQVADLPSKDKFTAGKSSLTESRAEPRILDQPPQPSDECMPKLQCPGSMASALCEDDNLYDGFNIDDMDLELENYKEVFSYALNNSEEFFEKGGIDSLFERKDMSASAGDSNCRGAIAASSDSMLSTKTEPILYFTEMQSQSNVSFSGVINSASASDNQECGGVSSMLLTGEPPWCPPCPENSIQSVNRSNAVMRYKEKKKNRKFDKKVRYASRKARADVRKRVKGRFVKAGETFDYDPLSETRSF >CAK8579105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675195563:675196249:-1 gene:gene-LATHSAT_LOCUS31073 transcript:rna-LATHSAT_LOCUS31073 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSATSLAFQPSFHLSTRTNQVSYRTSSFGAVSVGWTRTNFRSLRSSGFRISAVQAQPETVQKVSKIVRKQLALTPETDVTPETKFLDLGADSLDTVEIVMGLEEEFGLNIEDDNSENITTIQEAADLVEKLIQKKDEA >CAK8573232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594837450:594838196:1 gene:gene-LATHSAT_LOCUS25704 transcript:rna-LATHSAT_LOCUS25704 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIFLICICCRAHQGFGWVGEWANTSNEFVEKIAGKEANLIRLHTLHYADNQKIDFHILELLVLLNYLVSFARYRHNATRPMSSTRTSSPNKGLHFQSKMLQFISLTHSSDEERRLLEEVTTRRWIPGISKSENLEGTNKKEAMVWHFSNSVGSSPAKWLFATKLDLIVMDGL >CAK8544929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712913884:712914453:-1 gene:gene-LATHSAT_LOCUS13570 transcript:rna-LATHSAT_LOCUS13570 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRPKSTVPPSPETLTNLKTLEPESSNTTISVQKPGNVSGTIAGEDKATTNALARENSETLTEPSKTQTEERKPWVDVINDNRNPARGMAIEYVAPKLINGMIEIDIEQEDIETEIQFWDNALILYVVGDDLSMNTVKNFMQRMWNFVKMPDSYYHDDRYFLIRFSSQEDKEAVMMKGPYTI >CAK8568260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587752814:587753715:1 gene:gene-LATHSAT_LOCUS21234 transcript:rna-LATHSAT_LOCUS21234 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLSDICISTSAAPTYLPGYNFKNQDSEGNVHEFNLIDGGVCANNPTLVAVNEVTKQIVNQNNDFYAIKPMEYSRFLIISLGTGTPKNEQKFDAKMAAKWGLLNWLTHGGCTALIDIFSQASGDMVDFHIATVTQALNCQDNYLRIQDDTLTGIDSSVDIATKENLENLCKIGERLLNKPVSKVNLENGMCEPAENLETNQEALKRFAKILSQERKLRELTSPHTNKNLK >CAK8569582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6027789:6031388:-1 gene:gene-LATHSAT_LOCUS22419 transcript:rna-LATHSAT_LOCUS22419 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNTNTNNHHTVSISDDETDELGRMRVRARRKRKKLGNRRLIRKLLVKYWMLLIIIPAAFLLFYETTRIGLRPSASNNIATTSGNQDDDRSSPTLADEIQVKTNLNRLDPTTHVVAGVRERCLKLLPPEKLEQLDIPVEEESSLSIREVLYISGNDASFVGGNATLSQLRAEDIRFNLFTGNQTFEERDRSFEVKETTMVHCGFYSMDGGFRISDEDKSFMQGCKVVVSTCAFGGGDDLYQPIGMSKASLKKVCYVAFWDEITLKAQELVGRRVGDNGFIGKWRVVVVQDLPFSDQRLNGKIPKMLTHRLFPQAKYSIWVDSKSQFRRDPLGVLEALLWRTNSILAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLNQYKNDGLPGDKRFNGKKALCEASVIVRKLTPLSNLLMCVWFNEVVRFTSRDQLSFPYVLWRLKAFKNINTFPVCTRKDLVNSMGHIRKAKPLQK >CAK8568692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632688400:632691991:1 gene:gene-LATHSAT_LOCUS21626 transcript:rna-LATHSAT_LOCUS21626 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSSSTLRAKVGELPPRVTRSRARVLESCSKNEGKNVNRAVSSKRGVSSENKTCVVVPDLPRRKRRAGLTDVTNIGVNPRDKRVKQSQLQAKGVYPKKNTKLTSDVSMEVSSAQGGGKAMVESNDTIAAVTLDEEPTGHCMSNNIREHVMTDTAFSMQESVNSGELETSSSPNTDINMICEKPGASGCLTIVDIDKELKDSQVWSDYAPDIYCKARVTELEKRSSTNYMERLQLDISPSMRGILIDWLVEVSEEYKLVPDTLYLTVNLIDRFLSTCLIQKHKLQLLGVTCMFIASKYEEICAPRVEEFCFITDNTYTKAEVVKMEKEVLNLLRFQLSVPTTKTFLRRFIQAAQSSYKDPRVELEFLANYLAELTLVEYSFLQFLPSLVAASAVFLARWTLNHSEHPWTPTLEHYTNCKASELKTVVLAMKDLQLNTKGCSLNAIREKYKQEKFNCVANLSPKPVQSLF >CAK8539851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529090042:529091737:1 gene:gene-LATHSAT_LOCUS8911 transcript:rna-LATHSAT_LOCUS8911 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEYPQLSQSERNTMLLFQMSLAYSSKNVENRSSDSIDSDGFVFDIDPSLLVDSDKLVIDEIIGEGSEAIVYRGWYEDQPVAIKAIQQERTKDAPPESKLKFEREVKLLSKIQHTNVVKFIGAIVEPSMMIITELLEGGSLQKTMKSIYPTTFSLEQCLSYALDISQAMEYLHSNGIIHRDLKPGNLLLTKDKSRVKLADFGVARENICDEMTCEAGTYRYMAPEILSKDPLPKGAKKSYNHKADVYSFAMVLWSMIKNQTPFKDRKNFMAAYATINNVRPSLDDFPQVIIPLVQSCWVEDPKLRPEFKEISGILIRILHDIYTSKINALACLKKFEDVINKSDEEISKAQHAPTSFESTKENENISKNESETVKPNGETDGDSQKKSKKKNKIKSFFSFFRSCISF >CAK8570947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:186143833:186144471:-1 gene:gene-LATHSAT_LOCUS23654 transcript:rna-LATHSAT_LOCUS23654 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYAGYFHGRTDTSAITIEWAMVELINNPDVMQKARQEIDSIAQKSRLIQESDLPKLPYLQAIVKETLRIHPTTPIIVRQTSGSCVAYGYEVPAETILILNLWSMGRDPKLWEKPLEFKPERFMSEDVKFDVRGQNFQFMPFGSGRRACSGTSLALQVVPTNPAAMIQCFEWKVGGDGKVNMEEKPAMTLPRAHPLMCVPIPRYNCFSFGE >CAK8570948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:186143833:186144405:-1 gene:gene-LATHSAT_LOCUS23654 transcript:rna-LATHSAT_LOCUS23654-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELINNPDVMQKARQEIDSIAQKSRLIQESDLPKLPYLQAIVKETLRIHPTTPIIVRQTSGSCVAYGYEVPAETILILNLWSMGRDPKLWEKPLEFKPERFMSEDVKFDVRGQNFQFMPFGSGRRACSGTSLALQVVPTNPAAMIQCFEWKVGGDGKVNMEEKPAMTLPRAHPLMCVPIPRYNCFSFGE >CAK8536610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2117334:2118704:1 gene:gene-LATHSAT_LOCUS5964 transcript:rna-LATHSAT_LOCUS5964 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKFVCRFCSRKFPCGKSLGGHIRTHMMSENEEKRMMNAPSNGGAATVFKFDVARKRKRSELGSSSVGGSGGDDGNYIYGLRENPKKTTRFVHSNAAAAVAATVQVERFCKNCGKSFTSLKAMCGHMASHPKSLLKGVSGVCLSQKHKLVIDSQSDTEDSVPTDTRKLKRMKLKILSNDNRPSSSSPPLGNCSSSISEVDQSPDEVARTLMKMSRDKGRFALVKESSDNTSAVVEAKSKSPTKVAAMDHGKSNVGTEKKVVKVRNLKYYEEEGYDSHNSDSGYFLYGPKKVESDDASSEWCLGNRFQNHDYESSKKIQSNKGYERDSFSDDSVYESDENSTDSDSYAAPKTQTNKNNMNGTKSGNKKSSCKGKKKMKSKKNKEHDCPLCERSFKSGQALGGHKRSHFVGGYDESTFVIRPGGSAAQAPPPAPAPAPANPGVIDFDLNLPAPDDE >CAK8567162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491876022:491877346:1 gene:gene-LATHSAT_LOCUS20237 transcript:rna-LATHSAT_LOCUS20237 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQGTSLLVPSVQELVKKPITNVPEQYLQPNQDSIIVSKTNSSSRVPVIDLTKLLSDDTTELQNLDRACREWGFFQLINHGVNPSIVENMKIGVGEFFNLPMEEKKKFWQTPNDMQGFGQLFVVSDEQKLEWADMFYINTFPLDSRHPRLIPSIPKPFRDHLETYCLELKKTVVKIIASMEKALKIETNELVELFEDAGQGMRMNYYPPCPQPENVIGLNPHSDSGALTILLQVNEVEGLQIRKDGMWIPIKPLPNAFVVNVGDMLEILTNGIYRSIEHRATINAKDERISIATFNRPQMSKVIGPTPSLITAERPAMFNRIGVVDYLNGFMSRKLQGKSYLDVVRIQREIDK >CAK8534506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737069104:737069457:1 gene:gene-LATHSAT_LOCUS4049 transcript:rna-LATHSAT_LOCUS4049 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSHNSLTNKPKSRSCLCSPTSHPGSFRCSMHKNKPQRSVPNNPFKSNSSHHWNESLSSSSSSSSPSMIVKVSSLKTFLLQVIKPSSHDAHRRKNFQPKPSRFCLMNTNRDVVAVS >CAK8544381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680920546:680923045:-1 gene:gene-LATHSAT_LOCUS13067 transcript:rna-LATHSAT_LOCUS13067 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTASTTYQSKLKSQLELLIDFEDDNRDDGVGLKTFYPCPFCEDDFDLLELCCHIDLEHPIDATSGLCPVCGMWVGTDLVEHITAQHGNLFKSNLKSKYHKHDLYPKLSFSRKGGRDGHWQSSSDGLSSPRMSTSKAASDPFLSFVCGSPASGENENVQPDSSSESSIEEIHSDDTLLERDAPPLCEKEKVEKARRSEFVQGLLFSTILDPDF >CAK8561050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82616778:82617367:-1 gene:gene-LATHSAT_LOCUS14675 transcript:rna-LATHSAT_LOCUS14675 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFCIPLLLLVTFLYATSVLARNDPSGNEVKTEGKLANEGVTKPSLQGPNEDEKFIGYFYLKHKLKGYFHKKPIYYKPIPTYKPFHKPTIVDKPTPSVVDPESFLKHKHYFFKKPIIPIVKPVYVPIYKPVPKVIPIYKPIPKVIPIVKPIH >CAK8575641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227463162:227463644:-1 gene:gene-LATHSAT_LOCUS27885 transcript:rna-LATHSAT_LOCUS27885 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKVANVEKIHGTTIEEIDHLVETNEVILEGFDDDEHHSNNSPTRPYITNSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKEMVDVFEMNFMELVKQSKIANGGDIWAELVEIGVEPSSLPFVYMYLVKNADALKVFNEILIDKRKEMLHLIVPNYPF >CAK8578600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640791757:640793097:-1 gene:gene-LATHSAT_LOCUS30596 transcript:rna-LATHSAT_LOCUS30596 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQGSSSLPPFLAKTYEMVDDHSSDPIVSWSMSNKSFVVWNPPEFSRVLLPRFFKHNNFSSFIRQLNTYGFRKVDPEQWEFANDDFVKGQPHLMKNIHRRKPVHSHSLHNLQAQAPLSESERQSLNDEIEKMKHDREQLLMEINRYQHEWQTYEIQIHCSKERLEKLEQKQQKMLSSVSQVLQKPAVAVNLLPLTETMDRKRRLPARSGRVNNEAIVEEDGMETSVALPREIAEGNTLLTLNSEKLDQLESSVAFWETVANEIGDNFVHSHSDIDLDEPTSCADSLSISCRQLEAEIRSKSPEIDMNSEPAAPPPETVALKEQPVGITTAATGVNDVFWEQFLTEDPGAAEAQEVQSERKDNNNSRKNEGKPSGHGRFWWNMGKANTLPEQMGNVGQVEKIQ >CAK8565649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:298626267:298641346:1 gene:gene-LATHSAT_LOCUS18841 transcript:rna-LATHSAT_LOCUS18841 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEERVLENQLELQLHEQRDSLTAIDHALLLDPTNSELLEVHEELVQAIKGAEEGLLHLKRSRLLSEADAVLRSTNIFAEEEKVEPLDPADVEPEPLEGKCFSVGSKCRFRYKDGRWYNGQVLQLDNSAAKISFLTPTSENMLMCKFFLQQRCRFGSNCRLSHGIDVQLSSLKEYVPTIWKPSHAGSSIWAVSNANAGIWREAELESWDEKAGVGQVVFRDNGSSVKLGAQDMVLTEYADVSDMDSDFSSEQSDYSGSEEEEPQGLGFMDSTNLQKGVQTETAIFAKWENHTRGIASKMMANMGYQEGMGLGLTGQGMVDPIPVKVLPPKQSLDHALKSHKVERNTEKQRKKRTRGGRKKREKKFAEAIRAAKEKEESAPDVFALINTQLAMHGEASNDGGSMKKQPSKSSGEGKKVDRRVLVAYENDVKDLKVQVQKFEQMAEANKKEKPVYDVAMKKLVQTRKALAEAEAVHASALNDVVSKEKEKRWLKF >CAK8574883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13507616:13510800:-1 gene:gene-LATHSAT_LOCUS27182 transcript:rna-LATHSAT_LOCUS27182 gene_biotype:protein_coding transcript_biotype:protein_coding MATLINLSSTQLLSFHRLPSFYPRNTPTLSLNLHPNSSSNLRPISLKPYHHSQKPQKWMVAMAVKNLGETELVTVTPENDGPAGELPPEAGVYAVYDKDGELQFIGLSRNIAATVLAHQKSVPELCGSVKVGVVEEPDREALTQAWKSWMEEHIKITGKVPPGNESGNATWIRQQPKKKPDLRLTPGRHVQLTVSLEELVDKLVKENKVVAFIKGSRTAPLCGFSQKVIGILEKEGVDYESVDVLDEDYNYGLRETVKKYSNWPTFPQIFLNGELVGGCDILTSMHEKGEVAGLFKK >CAK8542763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544459927:544463645:-1 gene:gene-LATHSAT_LOCUS11572 transcript:rna-LATHSAT_LOCUS11572 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPKEERCNPVKKSGPVTMDHVLLASQETKEERDKRIQSLFDFFDKEKFGYLEFAHIEAGLSALEIPSEYKYATDLLNACDANKDGRVDFVEFKKYMDDKELELYRIFQAIDVEHNGSILPEELWEALVRAGIKIDDEELAHFVETVDKDHNGVITFEEWRDFLLLYPHEATIENIYHYLERICMVDIGEHAVIPAGVGKHIHASRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTSARIMPAIKDLWKAGGIVGFFRGNGLNVLKVAPESAIRFYTYEMMKSFIVDAKGGEGKADIGGMGRLLAGGIAGGVAQTAIYPMDLIKTRLQTHACTNGKVPSLGALSKDILVQEGPRAFYRGLIPSILGIIPYAGIDLAAYETLKEMSKKYIIQDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQSSYKGMGDVFRKTLKHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLE >CAK8565105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38299302:38308577:1 gene:gene-LATHSAT_LOCUS18342 transcript:rna-LATHSAT_LOCUS18342 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKQKVPGVLWRLFRNRARTLSQTILSLLPPPPPSPDLCHCKGHRCLGCTSDARSYLLRPNDPSDYRKLLANCYVVVSENAPPIRFFIPYSHCTQNQIVKSIIELLMHPEDPASANVLCSGYNRSTCSSPNVDLLSCASWCLLLSRIGDDFMVYLLKNTSIFLPASHGKHYQVGGPPISRLCFDMLNKCTSKFDNQHSSLHKRGAQKRKRSADVDDTTVQNQKRRISCNANNPFGFASNLGLKDESSMQLSRYRGSRSYDVSASEALKSTRAATVIKKSDSEGKSDLNCITTRTGKRSRPCSWKRRKCKKQKQSTAEEDNLNIQCNLIPTYTDGLLANLQHDSTRLSCHEKLQMLQKCSCCVILHSLPTVPKWTDINRKYIFYNLESSLSVLPKKHILHSLKPNLASSKYLIGNIFGFSDVNDGAQPVPCSHSSGSCLIDSACLYHSLVKWFKNIIRRARCCQPAKFLDKHCFVPSLDQHRDEISTSRLEDYASETNGNKKSQEFGTQHCTDNVEVIDSQREAVKSNCSKSQVVSFIWAVSRSLLPSELLGTPSNWRIMRRNISKFIQLRKFEKFPLKLCLHKLKISRFPFLSNKYFLSSQNAGLLKYLEEHDKVLHKEFRNLNCDVQGVKRKLLEKWIFWYYSFLVVPLVQANFYVTESEHTKQDIYYYKKPIWEKLIKTTIAYLKDSSYSHLGDAALHNILRSRPFGFSKLRLQPKENGVRMVANLKGSSRLPLVESTMGVQYCKTKRKENHQKIKFEYFRSVNYVLRDAHTILKGIQFKEPQRLGSSVFDYNDIYRRLCPFLISQKKGLTPMSSLFIVTSDVLKAFDSVDQEKLLDIMKDVLWKNNYFLKQYDQVVCTKKSLWVQKQFTMLDKTSNSGHTQFRSFTSSRHGVFVNQERQRNVKKKLLLSYLTEHVKHNVLQFDGKFYLQGVGISQGGILSSLLCSLYYGHLEKNVIFPFFQKTLESGNCKENNSVQTDSGDSSPCYLLMRFIDDFFFISNSKKQAASFFSRMKRGFRGYNCYMNEKKFGANFDVEQTPDSSLNRVYAGKGGATSFVPWSGLLINCSTMEIQADYTKYLNNHLSSTLTVCWQDKPGTRLKEKMRLFLRPKCHPLFFDSNINSVEVVRLNIYQMFLICAMKFHCYIRDLSFVCKFHKRYCLDIIQKSLRYMHMLIKRRMHSMRMNSSIRPILKLKKGEIEWLGFHAFVQVLKRKESRHKKLLAVLKSKLLSHRISGSVPPELKYAVDAENSSLLWKIKY >CAK8530554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30005504:30008636:-1 gene:gene-LATHSAT_LOCUS412 transcript:rna-LATHSAT_LOCUS412 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNDFVFDFQAKSSTDLKRKMKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLNSMVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKSLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFENVFGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQDMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8544535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690908010:690911675:1 gene:gene-LATHSAT_LOCUS13205 transcript:rna-LATHSAT_LOCUS13205 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLKERFGLLTMHTPIRRCLQALTHESQPTLSLFKDPSSIRSLSVTAFPNHNISSPLPIFTNSKPTSAFGQWYYPRFFSSSKQDDHIKKEDIQEEVEDEDDSDDSDYDDEEEDYEDDDDNVPVSSKKKVYTAEEKEAEATAIGYKVVEPLQKDDHVFKPYEPAFAVVQIGSHQFKVSNGDSIFTERLKFCEVNDKLILNKVLLLGSASQTIVGRPIVPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGVEKPQPELAEKPSKSAKKEQEKVAVSA >CAK8542096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473287047:473289791:1 gene:gene-LATHSAT_LOCUS10967 transcript:rna-LATHSAT_LOCUS10967 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRVKQHLAGVVGNVEICKSVPTEIRFRINQHLNERSKKRKTPDVAESESFSAEGGELQMQMHPRIGASKKNDARIGTYFLPRTTPGAQPTLKSVMQSKEVVEKCDLAIAKWFIDASIPFNAANSPYFQPAVDALCCMGAGYKVPTMHALRGNLLNKWVDDVKIQLEQYRSIWKDTGCTLMADGWTDRCRRTLINFLVYCPKGTVFIKSVDAYGASKTADTLFKLFKEVVLYVGPENVVQIVTDNAANYVAAGKLLEKEFPKLYWSPCAAHCINLMLQDMGKLEEVSGAVSHASKITKYIYNHCFALYLMRQNTGGREILRPAPTRFATNFIALQSILSHKDALRSMVTSKEWTTTTYSKDVKAKKFVEQVLDSSFWSTCVDIVKITEPLVRVLRIVDSEDKPAMGYLYRAMYKAREEIEKRFRRNKLKVEPYLRILDNRWDAQLRKNLHAAGYWLNPSCRFSQEYEKHKSTTQGLLDVIEKYAYDSKELRTKLTVEMSLFKNYEGSFGRTTAVENRDEVLPDQWWETYGTEAPSLQKLAIQILSQTCSASGCERNWSVFEHIHSKKRNRLEHQKLNDLVFVRYNLRLQNRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSDDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENAANAIDFTADGFDIEEGDPNIEIILPPWN >CAK8543573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620595569:620598196:-1 gene:gene-LATHSAT_LOCUS12321 transcript:rna-LATHSAT_LOCUS12321 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVNGFITWAFAQECCRREGGVRCPCLKCECRSIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVYSKTTNTHASNSRSHMESDEQFNLIDKMVGNAFGVNVTYDEPQDFDGEEFSNEEAQRFYQLLKEMNTPLFEGSSDSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLKVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYKVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSESQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASTIAYSCWPVIVTPYNLPPEMCMTKPYMFFTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINGFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDSHRRFLPRDHVFRRNKTDFKKDVRVKDLPPPRLSPEEIWNRVSKLPKFTDYGEACRIEGYGVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPDGYASNLARCVDTKTGKLHGMKSHDCHVFMGRLLPIAFSSLSNHVLFPLTEISQFFRDICVSTLRSDSIIKLDKNIPVILCKLERVFPPGFFDSMEHLPVHLAYEAYLGGPVQYRWMYPFERFIGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLILTPRIVRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAQVHVLINCIEVRPYLE >CAK8531023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70313690:70316678:1 gene:gene-LATHSAT_LOCUS840 transcript:rna-LATHSAT_LOCUS840 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVKFIIRPPRAEYDPKSDLLDSEFMLKGKWFQRKDVEIKNSRGDVLQCSHYMPIVSPDGKPLPCVIYCHGNSGCRVDASETAMVLLPSNITVFALDFSGSGVSGGEHVTLGWNEKDDLRAVVNYLRTDETVSLIGLWGRSMGAVTSLMYAAEDPSIAGMVLDSPFSDLVDLMMELVDTYRFRLPKFTVKYAIQYMRRTIQKKAKFDIMDLNTIKAAKSCYVPALLGHGIDDDFIHPRHSDRILEAYMGDRNTIKFDGDHNSPRPQFFFDSINIFFNNVLQPPEDELGESFFDFTNDFFGKDVWRSVHELDYDIEPSSENKESSTSTIDATKQVHQKRPMSRMEEEKCDDFSSSSSRMLSFELSNGHLYDPRVPTTLDDDQYVEYHLDDLTGIPSNAEEEQRMLMEAVIESLKDGKKQNPQMQQPPLKSSDKDDCSYKISKAIETETTSAASDVCEALKVESNAAPSAPSHSDSSASKKCSSEIDISYKTKATLTVIRNPAGHVMNGLMRRWDFNFLRNGHNRLK >CAK8568012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562958072:562960427:1 gene:gene-LATHSAT_LOCUS21014 transcript:rna-LATHSAT_LOCUS21014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNTATSDKLSETDWTKNIEICELVARDKRQARDVVKAIKKKLGSKHPNTQLYAVTLLEMLMNNTGEHIHEQVIDIGIIPILVKIVKKKSDLPVRERIFLLLDATQSSLGGASGKFPQYYHAYYDLVNAGVQFPQSAQGVESNHASSQPSRTGSVPIREQASPKHEAVVPQAESKTVPESSIIQKAGNALEVLKEVLDAVDAQHPQGASDEFTLDLVEQCSFQKQRVMHLVMASRDEKTVSRAIELNEQLQKVLARHEDLVSGRTTTTANEQIPKDLPRHDSAVSGRATTTSNEQFPKVLPRRGDFVSSRVTTNANEQLPKVLPKRDDVVTGRATTTVATHFDLEESEEEEEAEQLVRRLRKGKACARPDDEETEADISRMRMNGERLNHPLIRPLSSEPSRETYNPAPPPALIPPPRPKQNDELPLPHVAIPPPPAKHIEREKFFQENKDASNLSGHMRGLSFHSRNGSSSRSGSFDFRD >CAK8569278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690914081:690917472:1 gene:gene-LATHSAT_LOCUS22152 transcript:rna-LATHSAT_LOCUS22152 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACFVAAKDKTVQSGSTNENSHRNIRCSPTWSFRWDHRGGRVAGEDTSINWFSDGNSRNDGSENKNESGHVLEDGSPLQNCQTNACRKSPISEGAAANVRDSTSDVSISRSVSIDASPEQANGLGESSIASWPSPAKPSLHSASLSASPLSSQYHMLPPSFTPSRWPGHSPGHQVLWPTSDIRMQAYKSPGSFSLSEERPVFPSWSNGSGMRSRGGSSDGWSIPGFSELMGTHHRQRSSLDSESFGSNYERIARSGSWFSASPVDLRTCGFCSKVLREKSPWSTQKIYTNNDPSVVAVLICGHVYHAECLENMTADISKYDPACPVCSFGEKQTMELSKKVLKAEKDLKARNQTLENQVEYSDIENDDSVVFDSIKVKEPIGKDKGPRMGSSSRGRSSFGKPFLRRHFTFGSKGSRSTVDSHPTKKKGFFWSKSSKE >CAK8544607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695270661:695274847:1 gene:gene-LATHSAT_LOCUS13267 transcript:rna-LATHSAT_LOCUS13267 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHVAEKGKEGPVVLFLHGFPELWYSWRHQIAAIGSLGYRAVAPDLRGYGDTDSPSSISSYTIFHLVGDIVALIDSLGVEQVFLVAHDWGAIIGWNLCLFRPEKVKAYVCLSVPYLPRNPKIKPVDAMRALFGDDYYVCRFQEPSKTEAEFAKSPELVLKAMLTGRSGKPLIIPKEGFLAFPEAIKIRPLPSWLSQEDLNYYASKFEKTGFTGGLNYYRNFNLNWELTAAWTGTQIKVPVKFITGDLDLVYLSLGSKQYIESGNFKKDVPNLEEVVIQEGVAHFNNQEAAEEINNHIYEFIKKY >CAK8531436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110455518:110457065:-1 gene:gene-LATHSAT_LOCUS1229 transcript:rna-LATHSAT_LOCUS1229 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALSLISIIILISIFPQTSISHDLQTTFLQCFSSYSNSSTKVMLTQNTSSYESLLQSSIRNHRFSDASVPKPNLIVTPNSLFQIQITIVCSKKHGLQIRVRSGGHDYEGLSYISNLPFLIIDLINLRSITIDMKEESAWIQSGATLGELYYAIANQSNVHGFPAGSCPTVGVGGHFSGGGFGTLFRKYGLAADNVIDAQIIDVNGKILDRKMMGENLFWAIRGGGGSSFGVITAWKINLVRVPSIVTIFSIPKSLDQNATTLFMKWQTIADKLPDELFLHSVIGVGEKVLVSFAGLYLGKAEKLLPLMENSFSELDLNHDNCTEMSWIQSVLYFGGYSINDSLEVLLRRNQTSPSFKAKSDFVTKPINISGLEGLWNMLVEEKAPTLIMTPYGGRMSEISESETPFPHRNGNIYDIQYLISWDSNEETSKHIDRMRRLYAYMTPYVSKGPRAAYLNYRDLDIGMNIGNASYEEAKSWGVKYFKSNFERLAQVKDEVDPSNFFRNEQSIPPFSY >CAK8569452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1340203:1341027:1 gene:gene-LATHSAT_LOCUS22301 transcript:rna-LATHSAT_LOCUS22301 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFVCGTFNPIDDDELCANPDSSPRRYKRKNSKNNPYSTRGLDKFSALLSDLNDKRQKIYSQMNPHDISYIRFVHSNKDDFVPIIVKVKNKSQEIKVLKARNLKNSSTDQPSSVVSMEKRNQPKKRISMNVKKIEMDEAMLYLAFVVIMILLMLTVFGRTVSTIFSCVLWYANPTMKDGSLSSRSSMKKDFGRGLSNEGMKRKDYDRWFSERKMAISEGVNEKKKDYVRRWSEKKMVSGTNDGLVSPRSGGGDSDEGNSKNKIHGKHSHKKR >CAK8578074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605211953:605212300:-1 gene:gene-LATHSAT_LOCUS30116 transcript:rna-LATHSAT_LOCUS30116 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANASVSPAIHIARALGVLSIEASLATSLACSLRWSTSCLTSAEELAGSPICVASASCCNSGMLGGLCARQSHHIAHPRYSAGKIKLRTSVTCCPDPACECSVAPGVPVALWS >CAK8530807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51142427:51143428:-1 gene:gene-LATHSAT_LOCUS647 transcript:rna-LATHSAT_LOCUS647 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRPPKVVSTLSSAISNNDDDIPSETNANASKTQHGGEEPTKEIVEEQESSKSGTLISPKGATEPRRLWVDVISGNRNPKNGLTLEFIAPMIVNGIAEVRIEEADTVTEVKFWETALIMYVMGGDLSMNMVKQFMLKQWNFIKFPDMYYNNKGYFVLRFHSHKERDDVLMKGPYTIRNMSMLLAEWKPNFNLKNDMLRTIPVWIQPPQLLLHLWEAKSLGKIASVLGTPLMTDECTANKYCISYARVLVEVDVTQELIAEITITDEKGEKMQQRIEYEWRPPYCTKCQRIGHKCEEKQLRNPTKQWIPKEKKHQDDRVADKEVETLKTPT >CAK8543695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631873050:631873439:-1 gene:gene-LATHSAT_LOCUS12437 transcript:rna-LATHSAT_LOCUS12437 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRGRGRGRPRLVPPSTSNPTVAISDQQDTEKETIVDDAVRNDSEENGSLAEENIENVPDTENLGHQSTKVRMEGETSQTKKLWVDIINENCNPAKGLTMEFVAPKIIDGEMEIQIEEEDIEKEVKF >CAK8540681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15860761:15864367:-1 gene:gene-LATHSAT_LOCUS9663 transcript:rna-LATHSAT_LOCUS9663 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNVTKFDLIILGASGFTGKYVLKEALEFLNNSSQSQSSLTSVAIAGRNPTKLAQTLKWASNPHPPPIIPILPADTSDPSSLRSLCSQTRLILNCVGPFRLHGETVVSACVDSGCDYLDICGEPEFMERMESDYHDRAGETGSLVVSACGFDSVPAELGLFFNSLQWVGLSVPNRVEAYVSLESRKRIVGNFATYESAVLGVANAKDLQAFRRSRPRRPRPQIPGPSPSKGRTIEHQKKIGLWAVVLPSADASVVRRTLSTLTENPSGLPSSNESSETVQKREAFWSSVKPAHFGVKIGSKSLFGILRIIMVGICIGLFGSTGFGRWLILKFPSLFSLGWFRKNGPSEEEVESASFKMWFVGRGFSNESLASQENTKPDMEIVTRVTGPEIGYVATPIILVQCALILLSQRKNLPKGGVYSPGIVFGPTDLQQKLQQNGISFDVISKSTISS >CAK8532898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546275931:546276833:1 gene:gene-LATHSAT_LOCUS2560 transcript:rna-LATHSAT_LOCUS2560 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASTSTSHNTNPLNTEPRKRLIVKLSYPPGSRKRDSDSCGTNENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKIIENQVSKTTPLSQPKDNNVVENKKMIKNPTQPKDNNVVENKKMIKNPTPSSQPKDNKMIKNRTPLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKITMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRFVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRSKTHKSTRY >CAK8564852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13091717:13092844:1 gene:gene-LATHSAT_LOCUS18110 transcript:rna-LATHSAT_LOCUS18110 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEEIKYDSLPSLPIDLVGEIFCRLPVKLLLQLRCMCKSWNSLISDPLFTRKHLSLSTTSRLHYAYYKYEPHELIHDSFSLESVLTNIPTKFNRCDRSGRRGRCGRDRCGRFGCGRNLYNSIVGSCDGILCVCDKSKGVVILWNPCFKKFKESPPFENSEILTQVSSGFGFGYDHVSENYKVVVLYYSKYNLFKTKVKVHTLGTNCWKTIESFPFGAHEQRSSIYIRGTLNWIVYTEWPRKDPRFIVSFDLGKESYQTLLPPDHAEIGLHYWSLCVLRDCLGLIFDNHVWVMKEYGIYDSWTKLLSVSYMQDPSKDYFLFNALYIFDDDRVLLQIQEDSKRKLVLYNPKNDTFKVASFKNYLYVCLESLISPC >CAK8567630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532050831:532051295:1 gene:gene-LATHSAT_LOCUS20666 transcript:rna-LATHSAT_LOCUS20666 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARWIAKLATHCLLDALGQYPNYEAKSELELEECEALKKELTEKLKAVCEKETLSIENLARAKDESAATSRIIGFAIAKVGRFLNCSMVDALI >CAK8563773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630299787:630300059:-1 gene:gene-LATHSAT_LOCUS17149 transcript:rna-LATHSAT_LOCUS17149 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQQKLEALKGLVGVLNSMEDEGETEPQNASPSTPHNHNPASQPGRNHRRSSGKYNNSGKQNIKGLSNQTGFTEGNANGAINFGDLHM >CAK8573104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:584872387:584875310:1 gene:gene-LATHSAT_LOCUS25590 transcript:rna-LATHSAT_LOCUS25590 gene_biotype:protein_coding transcript_biotype:protein_coding MSEECVGNTIATTSTTPLNWWYLQATNSLSSNWNDVNKLAWSNQMNNPNSSSSCDDQDISVSSNSFTNASNHSSLTVDSSRRVLESHAPSSNDFMAEHASDNQLWSHVLSGVGSNGELQNNQEIGENFLDGLSSKTMFDEPACDYLKKLDTTSWEYSGSTSFNTSFEKHLNGYSEALIENNERLTKLSNLVSTWSIAPPDPEVSSHFDPQTNNLSNNLNSNSSNMDNHHYESDPNCHLKQLPFGDSIGGVGNKMFSNVNDIQDMNKVKQEHHHQHHYHGNHEVFGKSFMNPNGYLDGFNSSLNSVGENGKFYQGLPNISPCTKSFSDVISFNSRFGRPVIGIHAQRPSMKYSNLSESRKQGFHTSSHMRTNSGREGTTREVKKKRSEESLEANLKKPKQDTSTTNSSSSKVQAPKVKLGEKITALQQIVSPFGKTDTASVLFEAIGYIKYLEEQVQLLSNPYLKANSHKDPRGMYFDRKDKDDAKMDLRSRGLCLVPTSCTPVVYRENTGPDYWTPAYRGCLYR >CAK8534121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696813403:696814422:1 gene:gene-LATHSAT_LOCUS3689 transcript:rna-LATHSAT_LOCUS3689 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLPKMFTSTTWLQHLELDFIPSLSSFPDDGLPTSLQSLSITNCENLAFLPLETWSKYTSLVTLKLEGSCNALTSFPLNGFPVLQRLSIRGCRNLQCFFISEMYTCYPSTLQSFEVCNCDALRSLPQQMETFTALESLRLNLRLLPCYEGACLPPNLRLVSIDSLRTKTFATGWGLQNLNAVSDLYIGSDGIVNTLLKEQLLPISLLSLTINILKRKSLPENGLQHLSSLTNLTFHSCLRLESLPEDMFPSSLKSLVFVFCPKLKSLPDRLPSSLETLELDVCQRIESLPKELPTYLKQLRISQCRLLTAKYENQKGEHWSNIAHIPNIKINDELTI >CAK8563361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:596040419:596042299:1 gene:gene-LATHSAT_LOCUS16782 transcript:rna-LATHSAT_LOCUS16782 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMINESATIDFKVSKGLRQVDPLSPFLFILVMEVLTQLLKKSKSSDLYKGFKVSSDISYNLVQFGDDTLLIEEEN >CAK8531871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168196073:168196708:-1 gene:gene-LATHSAT_LOCUS1633 transcript:rna-LATHSAT_LOCUS1633 gene_biotype:protein_coding transcript_biotype:protein_coding MENSISLENVGQYLQQTYGGRVLPILYIWGIKLLAVGQSSTITGTYAEQFIMGDFLNLRLKKWPRALITRSFAIVPTMIVALWFDTAEDSLIILNEWLNVLQSVQIPFALIPLFCLVSKEHIMGTFKIGTVFKVISWCVAALMTVINDSQLLEFFSSKVNGIIVGAIVCVVTATYAVFIIYLILRATTFSVVLDLAKANSVTANDILSLDS >CAK8540707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16769591:16769974:1 gene:gene-LATHSAT_LOCUS9685 transcript:rna-LATHSAT_LOCUS9685 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYKKYDPLKMAHNVRKVYYIPYPSIQPSKRGWYVIIKSSPMGYIESDGVMEDDVAYQDDEISPINGVIEIEKITSLGDTVVVGQQVDVTILLSANHVEEEQEDSGDSEDNNIISNEDNDDYDDE >CAK8572047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504892570:504894087:-1 gene:gene-LATHSAT_LOCUS24655 transcript:rna-LATHSAT_LOCUS24655 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYSLAIILLGVVMLTTPVLASYYKPLAYESPPFENPPTYEAPPTHKPPAYKPPIFPRPIYHPPHEKPPPVYEPPYVEPPPEYEPPGENQPPEYEPPHHEKPPYEHPSPEYEPPYGKPPPEYQPPHHQKPPYEHPPPEYLPPPEYPPPHEKPPPEYQPPHAKQPHENPPPEYHPPHEKPPHEHSPPEYQPPHEKPPHEHQPPEYQPPHQKPPHESPPAEYQPPQEKPPHEHSPPEYKPPHEKPPHEHQPPEYQPPQKPPHESPPPEYQPPYEKPPHEHSPPKYQPPHEKPPPEYKPPHENPPHENTPPEYQPPHEKPPHEHPPPEYQPPHEKPPHEHPPPEYQPPHEKPPNENSPSYYPPPHEKPPHEHPPPEYQPPHEKPPHENSPSYYPPPHDKPPHEHTPPKYHKPHEKPLHENPPPEYQPPHEYSPPHVKPPHENPPPVYKPPYENSPPPHVYHRPLFHAPPHVKPPISAPPPLVKPSQMDKPPHYNPRPFGHFPASKN >CAK8563471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608315907:608316732:-1 gene:gene-LATHSAT_LOCUS16876 transcript:rna-LATHSAT_LOCUS16876 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEVACVDHSEFEKQNQLCCMARRMKKKFNKYWNSYSVVLSFAVILDPRYKLQFVEWCYVRLLGGEGVQVAKVIFDKLKVFFQEYLKSSNEESTSSSQRSIRGSPNIPSNDLQDFGSYESKLCGPSKDESDLEIYLNEKKIDHEQYADLDVLQYWKANEGKYPKLSILARDLLSIPITTVASESAFSIGGRILDKYRNALLPENVEALLCTHDWLCGTPAAFDFDGPDFVEDLSTFFSTT >CAK8572551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545916712:545917728:1 gene:gene-LATHSAT_LOCUS25104 transcript:rna-LATHSAT_LOCUS25104 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSATSTIFKPGTLVEISINEVGFLGSWFTGKIVRCLADDKFVVEYEKIMADEEGRKGLQETVERFQLRPIPPQEIIQDIQYGDEVEAYHNDGWWEGIFSGSLEDGRKVVCFRNSTQVYPDKEVRRHHEWVNGIWIPPFPQQAESEIKKRVRVKASELVSGDNVDFMFKPGTLVEVCSDEDGLKGVWFSATLVEAKAGWKFVVEYESLLDDDYSKLLREEISLFQIRPRPPKTDDVEQFKFFDEVDAYYRDGWWVGVVSKVLEDSKYIVYFRNSNEEMEFQHSQLRLHQDWVDHKWVMASKV >CAK8571858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:484520797:484521619:1 gene:gene-LATHSAT_LOCUS24481 transcript:rna-LATHSAT_LOCUS24481 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLARNHEIELNLKATELRLGLPGSDDDHEVEKLPSNFSIIRNNKRSSPESTEVESINKTKTNNTSNDDSDITNDDQDNAPPPSKAQVIGWPPIRSYRKNSLQQKKGEEVGMYMKVSMAGAPYLRKIDLKLYESYSELLEVLENMFKCTFGEYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFMSSCKRLRIMKGSEAKGLGCF >CAK8570648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:81542371:81542687:1 gene:gene-LATHSAT_LOCUS23384 transcript:rna-LATHSAT_LOCUS23384 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFSQERGSKKELKVAGHGLKLAQRVPLQLPREMER >CAK8578801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655184333:655184644:1 gene:gene-LATHSAT_LOCUS30785 transcript:rna-LATHSAT_LOCUS30785 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTDSYTNHARRKVVTAMDVVYTLKRHERTLYGFGG >CAK8544429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683785707:683786537:1 gene:gene-LATHSAT_LOCUS13109 transcript:rna-LATHSAT_LOCUS13109 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPICSLTTKPSFSNPQKQHNHHSNNLNFTNLNHNSRNSNKVIRLASAANQNQSSPGLYSAKIFDLTASNVDLVLEDVRPYLISDGGNVDVVSVENGVVSLKLQGACESCPSSTTTMKMGIERVLKEKFGDSIKDIVQVFDDDQSRETTVEAVNNHLEILRPAIKNYGGSVEVLSVEGGDCVVEYVGPESIGSGVKAAIKEKFPDILNVTFST >CAK8538090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462169706:462170452:1 gene:gene-LATHSAT_LOCUS7326 transcript:rna-LATHSAT_LOCUS7326 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDSVVVLDFWASPFCARVKIALEEKGVNHVSNEEDIFGKKSELLLKSNPIHQKVPVFLHNDKPVLESAIIVSYIDEVWPSNSLLPANAYDLAQARFLADFIDKKVFETGRSIWASNGEDREVGTRDFLEVIKHLEEALGEKDYFGGDAFGFVDVIAIPHSAWFLAYEKLGGFKIEDHSPKFSAWIKRSLERESVKKVLPDPEKVYQFVLHFRKISGLE >CAK8565528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:261494758:261496514:1 gene:gene-LATHSAT_LOCUS18734 transcript:rna-LATHSAT_LOCUS18734 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNFDLMNLLPNSLLFIIISLIPFKEAARTSILSKRWMNLWKHSTNIEFNEHYFSRSCETGYSQRIIQRMDFVKFILLWIENNKDNFFIEKFALKLLDFDREFDRKIIETCVAFATQKDVKDLVLDFSNPNWVVEDFEKIEALYELPTKVYDHKSLRSLKLISCSFVETELIKLSALKQVYFAWMELKSDAIELLLSNCKMIESLTMKKCWISTKFECCGSYMSLKRIIVDRCKFVYAGLAINAPNLNYFEYYGKVIYFQMKNSLHMEEADLNLGHEYEFPENDGLIYNMVADFKHVKVLTICSYTLQVLCNELGPMLKEDEMNTRHLKLKTNLHNDECQGVTFLLNSCPFLEHLTIDLGFGRFFDSASKKYYSSKVNGDKPRSWIEYLNIFPSLTSTLKMVEINNFRGTENEVLLLHFVINNGSLLQRININLQKDEVEMGENYGNVKEFVMNIPRASRDLEISFSY >CAK8576768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512585852:512587986:-1 gene:gene-LATHSAT_LOCUS28936 transcript:rna-LATHSAT_LOCUS28936 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSAWSKPGAWALDSEEHEAELLQSHNNVETKPLAEFPSLAVAAATKPKKKKAQTLSLAEFTAKPVSSFTHQDPVVLPTGPRQRTAEEIESDRTRLGGGFRSYGDRPNRGSGGDESSVSRWGSSRVSSELRRNDSFGSRDSSRETAPSRADEIDNWAAAKKPAVGNGFERRDRERGERGGFFDSQSRADESDSWVSSKSFTPSSEGRRFGGGGVGGGFDRERKVGFGTSGGADSDNWNRKKGEFSVGSERTETVAVGRPRLVLQPRSPSASNENQDVPAAGAVSGIVAKPKGANPFGEARPREQVLAEKGQDWKKIDEQLESMKIKETAVEGFGKRGFGSGNGRAEDRSERSWRKSSPSDDGRSESAEKVEEEHVEEN >CAK8565579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283285324:283288752:1 gene:gene-LATHSAT_LOCUS18778 transcript:rna-LATHSAT_LOCUS18778 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLSKDKDSEQEHNNGYRYAESSGQHNHRNNHEQVNHSRTPHQPEKQSASSHMIPQIPLKPSSVSAPSPKPLIKQETNTILGKGFEDVREFYTLGKELGRGQFGVTYLCTENSTRLLYACKSISKRKLVSKSDREDIKREIQIMQHLSGQPNIVEFKGAYEDKNSVHVVMELCAGGELFDRIIAKGHYSEKAAAIICRQIVNVVHICHFMGVMHRDLKPENFLLSSKDESALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRCGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGHIDFESEPWPKISNSAKDLVRKMLIQDPKKRITSAQVLEHPWIKDGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENMSEDEIRGLKSMFTNMDTDNSGTITYEELKAGLQRLGSKLSEAEVKQLMEAADVDGNGTIDCIEFITATMHRHKLERDDHLSKAFQYFDKDSSGFITRDELETAMKEYGMGDDATIKEIISEVDTIISEVDTDHDGRINYDEFCAMMRSGNQQQVKIF >CAK8562373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479536257:479537252:-1 gene:gene-LATHSAT_LOCUS15875 transcript:rna-LATHSAT_LOCUS15875 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQESFERIINKAEVQEKKRTILEVAKAERDEAKKELHRAKEKAVQSWLDSEPLIDELEKQKVSLANAQQNSKTPKTDILELESQLEITQMSIKSKRDDHLKTEKMIYEIDHALDQARNEMERLKLNIKKEKQTRAKLKQTLHLRRRTVQTLQLTLQAVLLESDAVEVSKAEAFRQISHSENHTSVVQLNHKDYYDLTRRAEERTSQANWRVSISAEQKLAAEATHEIALSKLNHFYSSRTLSTKRSNLTGQLHKEKDTNIKDAIVQGEITTNINSALPKSHAKSLVKSEGGESQHSRRSPSNIKTTKKSSIMHNMRKCLQKMIRKMGE >CAK8539202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506553499:506553948:-1 gene:gene-LATHSAT_LOCUS8321 transcript:rna-LATHSAT_LOCUS8321-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDLLAVGRKFTWFNTSGTSMSRLDRFLLSESLIRIWNLNAQYVGDKTFSDHCPIFLKTIDLNWGPKPFKFFSAWLKYEDFFPFVEKAWKSYRVSGRNMFIFKEKLKLLKTDLKVWNKQIFGILDLKVSDAVKEINDQDMVIEADDY >CAK8539201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506553499:506553978:-1 gene:gene-LATHSAT_LOCUS8321 transcript:rna-LATHSAT_LOCUS8321 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFRDFIEGMELLDLLAVGRKFTWFNTSGTSMSRLDRFLLSESLIRIWNLNAQYVGDKTFSDHCPIFLKTIDLNWGPKPFKFFSAWLKYEDFFPFVEKAWKSYRVSGRNMFIFKEKLKLLKTDLKVWNKQIFGILDLKVSDAVKEINDQDMVIEADDY >CAK8542322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501577513:501578818:-1 gene:gene-LATHSAT_LOCUS11169 transcript:rna-LATHSAT_LOCUS11169 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCAACDKTVYVVDLLTLENIPYHKSCFKCSHCKGHLTMSTYSSMDGVLYCKTHFEQLFKESGNFSKNFQNAKSTERQNESVNRAPTRMSSMFSGTLDKCSVCTKTVYPLEKMSLEGECYHKNCFRCAHAGCHLTHSSYAALDGVLYCRHHFQQLFMEKGNYSHVLQAAANRKNATPPPEPAEPADGDDDDVEPSKTADDDEPSKPEEEEESKEKTEEESETHET >CAK8578960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664196610:664196840:1 gene:gene-LATHSAT_LOCUS30937 transcript:rna-LATHSAT_LOCUS30937-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEHIWERFGHEDDGI >CAK8578959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664196370:664196840:1 gene:gene-LATHSAT_LOCUS30937 transcript:rna-LATHSAT_LOCUS30937 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYLADGIYPEWATFVNTISMPQGEKKKLFAQHQESARKDVERAFGVLQSRFAIIRGPARAWHMDTLKHTIYACIILHNMIVEDERHTYGGNFDYSYDNVDINNSTTETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEHIWERFGHEDDGI >CAK8560966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64884959:64908030:-1 gene:gene-LATHSAT_LOCUS14600 transcript:rna-LATHSAT_LOCUS14600 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTQYCCISHEFFRTASINPNKIAVIHASGVANLSREKSTSPNFNGDFTTLLEQRVESTSPPLYHGDRCFTYSHVLNAVHSLSSRIRSILHGADDPHLITAKSQGNNAVHRGERIVQTSESLKNMEPIAEAMVNSDEEYRPKIVGIYMPPSVEYIIAVLSVLRCGEAFLPLDPFWPNERILSVASTSNADLIIGSQSSCGQSNFDRLDESHWLVKSISCSVLSYSIEENLQECSYSTDWAWPCANEKKRSFCYLMYTSGSSGKPKGVCGTEQGLSNRFLWMQGVYPLTEEELLLFKSSVSFVDHLQEFLSSILTACVLVIPPFRELKENVYSIIDFLQAYSVNRLTAVPSLIRTILPVLQTHADMRIESSLKLLVLSGETFPLTLWETLSTILPKTSILNLYGSTEVAGDCTYFDCKRLPLILKEEMLTSVPIGLPITNCNVVLIGGDNNVSNEGELYVGGSCNFRGYYNESGIILDEFVKLPQSYGCEDSVDACQSELYFRTGDLVKQLPSGDFIFLGRKDRIVKVHGQRIALEEVEILLREHPYINDAAVVCHNLQAELVFIEAFIILKDKQQLGELLVPAIRSWMINKLPSVVLPNRFIFTESFPVSSSGKINYNLLVSSALLTKNVKDKVGNASCINLLQLIKKAFHDALMVEKLCNGDDFFMMGGNSLSAAHVAHNLGIDLRFLYYYPTPFKLCMALLNKRGLCSLHNSLDKCLQLDADMQNNLFSSNHTESLIPLESKMIPKDDVDILFPSKRLKRGSTDVTSGGDEPFPWHFPSIFLSSSFSRCNKVLYKEKASLINTRKTWSGKVPRGNRGHMKSIWKVNMESCVDASPMVVLKGSDIYLFIGSHSHKFLCINARSGSIQWEIKLEGRVECTAAVVSDFSQVVVGCYMGKIYFLDFWNGHICWVFQTSGEVKSQPVADTHRQLIWCGSYDHNLYALDYKNHRCVYKLPCGGSIYGSPAIDEVRGVLYVASTGGRITAVSISASPFSILWLLELDVPVFGSLAVTQNGNVICCMVDGHVLALNPNGSMVWKKTTSGPIFAGPCIPSTLPHEVLVCCRNGSVYSFKLEKGDLTWEYNVGDPITASAYVDEHLQLESESDASHTSDRFICICSSSGGIHLLRVNTDLSEDINQLKSEVQEFARFTLPGDIFSSPLMIGGRIFVGCRDDYLHCVGLEIPEQRGS >CAK8560967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64884959:64908030:-1 gene:gene-LATHSAT_LOCUS14600 transcript:rna-LATHSAT_LOCUS14600-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTQYCCISHEFFRTASINPNKIAVIHASGVANLSREKSTSPNFNGDFTTLLEQRVESTSPPLYHGDRCFTYSHVLNAVHSLSSRIRSILHGADDPHLITAKSQAVGNNAVHRGERIVQTSESLKNMEPIAEAMVNSDEEYRPKIVGIYMPPSVEYIIAVLSVLRCGEAFLPLDPFWPNERILSVASTSNADLIIGSQSSCGQSNFDRLDESHWLVKSISCSVLSYSIEENLQECSYSTDWAWPCANEKKRSFCYLMYTSGSSGKPKGVCGTEQGLSNRFLWMQGVYPLTEEELLLFKSSVSFVDHLQEFLSSILTACVLVIPPFRELKENVYSIIDFLQAYSVNRLTAVPSLIRTILPVLQTHADMRIESSLKLLVLSGETFPLTLWETLSTILPKTSILNLYGSTEVAGDCTYFDCKRLPLILKEEMLTSVPIGLPITNCNVVLIGGDNNVSNEGELYVGGSCNFRGYYNESGIILDEFVKLPQSYGCEDSVDACQSELYFRTGDLVKQLPSGDFIFLGRKDRIVKVHGQRIALEEVEILLREHPYINDAAVVCHNLQAELVFIEAFIILKDKQQLGELLVPAIRSWMINKLPSVVLPNRFIFTESFPVSSSGKINYNLLVSSALLTKNVKDKVGNASCINLLQLIKKAFHDALMVEKLCNGDDFFMMGGNSLSAAHVAHNLGIDLRFLYYYPTPFKLCMALLNKRGLCSLHNSLDKCLQLDADMQNNLFSSNHTESLIPLESKMIPKDDVDILFPSKRLKRGSTDVTSGGDEPFPWHFPSIFLSSSFSRCNKVLYKEKASLINTRKTWSGKVPRGNRGHMKSIWKVNMESCVDASPMVVLKGSDIYLFIGSHSHKFLCINARSGSIQWEIKLEGRVECTAAVVSDFSQVVVGCYMGKIYFLDFWNGHICWVFQTSGEVKSQPVADTHRQLIWCGSYDHNLYALDYKNHRCVYKLPCGGSIYGSPAIDEVRGVLYVASTGGRITAVSISASPFSILWLLELDVPVFGSLAVTQNGNVICCMVDGHVLALNPNGSMVWKKTTSGPIFAGPCIPSTLPHEVLVCCRNGSVYSFKLEKGDLTWEYNVGDPITASAYVDEHLQLESESDASHTSDRFICICSSSGGIHLLRVNTDLSEDINQLKSEVQEFARFTLPGDIFSSPLMIGGRIFVGCRDDYLHCVGLEIPEQRGS >CAK8533504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627384053:627386018:-1 gene:gene-LATHSAT_LOCUS3127 transcript:rna-LATHSAT_LOCUS3127 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVNVPLFLKHVYDSKFLHVYDPKFLVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSKEDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKIRCPRQINSIDCGYFVMRFMKEVIMENEIMIPINYFPDHKCRTYSKDKLTEVKDDWATYMVDDIFGKQEAMILPS >CAK8535167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819955644:819956525:-1 gene:gene-LATHSAT_LOCUS4643 transcript:rna-LATHSAT_LOCUS4643 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTNHSKEWTQMNSIYGMEQWQTLIFLLCQAILLSVLSVLYLVYFNSICGFFERVISGTAVARFAAGFTGSVTALSAVCLFFAAANFFYSAIPLHYDMAQRIVSAVNDWSSVKLALDLGCCGRGILLNAVATQLKKEGSSGRVVGLDRSKRTTLSTLRSAKMEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGKEYGQKTAEAAAERMRAVAEMVRVMKPGGVCVVWDLVHVPEYVLRLQELKMEDVRVSERVTAFMVSSHIVSFRKPSQHVHGPAEVRLDWRLC >CAK8536917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39734507:39735067:-1 gene:gene-LATHSAT_LOCUS6242 transcript:rna-LATHSAT_LOCUS6242 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWIGRGGVNLHAKLAKEIRSVVKSNGGKVTMAALEQMSLMKSVVYESFRIEPPVPLQYGKAKYDFVIENHENVFQVKEGEMLFGFQPFATKDPKIFERADEFVAERFIGEEGEKLLKHVLWSNGPETEQPTVGNKQCAGKDFVVLFSRLLVVELFLRYDTFGVHVEKALIGSAITFTSLKRSTY >CAK8577633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579827312:579828974:1 gene:gene-LATHSAT_LOCUS29723 transcript:rna-LATHSAT_LOCUS29723 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELALALLVIALFIHLRPTPTAKSKALRHLPNPPSPKPRLPFIGHLHLLDNPLLHHSLIRLGERYGPLYSLYFGSMPTVVASTPDLFKLFLQTHEASSFNTRFQTSAIRRLTYDNSVAMVPFGPYWKFIRKLIMNDLLNATTINKLRPLRSKEIRKVLMVMAQSAKTQEPLNVTEELLKWTNSTISTMMLGEAEEVRDIARDVLKIFGEYSVTDFIWPLKMFKFGNYEKRIDDIFNKYDPIIEKVIKKRQEIVNKRKEKNAEIEEDEQSVVFLDTLLEFAQDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWTLAELINNPRVLKKAREEVDSVVGKDRLVDESDVQNLPYIRAMVKEAFRMHPPLPVVKRKCTEECEINGYVIPEGALVLFNVWAVGRDPKYWKRPLEFRPERFLENAVGEGEAGSVDLRGQHFTLLPFGSGRRMCPGVNLATAGMATLLASIIQCFDLQVPGPDGEILKAGDAKVSMKERAGLTVPRAHNLVCVPLARDGVGAKLLSS >CAK8532061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197340978:197342608:-1 gene:gene-LATHSAT_LOCUS1798 transcript:rna-LATHSAT_LOCUS1798 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISSTSLSSFPNREICQKVLQQGTARTSWLSRRRTLTIRNAKKGVSDVCVPLPPDRPLWFPGSSAPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELVHGRWAMLGVSGILIPEILEGMGYVKNFSWYNAGSVHYFADPITLFIVQLALMGWVEGRRWADIINPGSVDIEPKFPNRPNPKPDVGYPGGLWFDFMQWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAVYVGDSPIQNLMAHLADPGHCNIFSAFTSR >CAK8566363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413771789:413774021:1 gene:gene-LATHSAT_LOCUS19497 transcript:rna-LATHSAT_LOCUS19497 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKVETVVAGNYLEMEREEEGSKSTTSKLSKLFWHGGSVYDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGILFQIFYGLMGSWTAYIISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNLGLFFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYMTIASLVHGQAVDVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVMTLTLPSAAAVYWAFGDDLLTHSNALSLLPRTGFRDSAVVLMLIHQFITFGFACTPLYFVWEKFVGVHETKSLFKRALVRLPVVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASASARENAVERPPSILGGWVGLYSVNVFVAVWVLVVGFGLGGWASMLNFVHQVKTFGLFAKCYQCPPHKA >CAK8563959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642183743:642184150:-1 gene:gene-LATHSAT_LOCUS17312 transcript:rna-LATHSAT_LOCUS17312 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANGIVKHIVIGKFKDDITQERIDELIKGFANLVNLTPTMKSFHWGRDISTDNLHQGFTHVFESTFDSLEAVAEYVAHPAHVEYANLLLPCLDKVIIMDYKPTIVNL >CAK8533413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615267708:615270245:1 gene:gene-LATHSAT_LOCUS3038 transcript:rna-LATHSAT_LOCUS3038 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDEEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKFDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHNIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFKPCIRGFSYCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPKANWSRAFDGGQRWGHMTTNLVESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8530501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25396175:25398709:-1 gene:gene-LATHSAT_LOCUS359 transcript:rna-LATHSAT_LOCUS359 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFFMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLNDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8537203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:132734129:132736154:-1 gene:gene-LATHSAT_LOCUS6509 transcript:rna-LATHSAT_LOCUS6509 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKNLASPLGEANIPLLLPNEEEEQQQTLTRKVMIESKKLWNIAGPAIFSRITSFSMLVITQIFGGHLGELELAAISIAVNVIVGFNFGVLLGMASALETLCGQAFGAKQYHMLGVYLQRSWIVLFICCILLSPMYIFTTPLLRVLGQPENVAVLTGEVTMWMIPLQLSLAFQFPLSRFLQCQLKTAAIAWVSLIAFLIHILVSWLFVFKLQLGVIGAAATLNFSWWALTVGLYVYTVCGGCPLTWKGFSMEAFSGLWEFLKLSTASGVMLCLESWYYKILIVMTGNLPDADIAVGALSICMNINSLEFMIPLAFFAATG >CAK8536330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931834683:931835119:-1 gene:gene-LATHSAT_LOCUS5708 transcript:rna-LATHSAT_LOCUS5708 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIGMGNSRRLWCCMIVPLLCRREMLFAGVIVRPALMELGRLSEAVMDCEEAVKLDPAYARAHKRLASLYLRFGQVENSRRHLHIVGVHDDRSGRE >CAK8536331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931834683:931835104:-1 gene:gene-LATHSAT_LOCUS5708 transcript:rna-LATHSAT_LOCUS5708-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRRLWCCMIVPLLCRREMLFAGVIVRPALMELGRLSEAVMDCEEAVKLDPAYARAHKRLASLYLRFGQVENSRRHLHIVGVHDDRSGRE >CAK8568480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610333530:610335427:1 gene:gene-LATHSAT_LOCUS21434 transcript:rna-LATHSAT_LOCUS21434 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRLHWTIIVSWLTLFQGNMLLVKGQFNYKEALTKSLIFLEAQRSGKFPPNNRVPWRGDSALDDGKLANVDLAGGYYDAGDNVKYGLPMAFTVTTLSWAAIFYKKELEATNEMENIQDAIKWGTDYFLKCSSRRNKLYVEVGDPVDDHNCWAPPEKMKTKRSVKTIDYGTPGSEIAAETSAAMAASSIVFRSIDHKYARHLLNRAKLLFEFAKSHKGSYDGECPFYCSYSGYNDELMWAATWLYMATKKPIYMKYIQEEAISASVSEFSWDLKYAGVQVLLTQLHFEGQEGLETFKLHGESYICSVHPESPYHQITLSPGGFIHMRDGANTQYATSTSFLFTVYSDLLAKYRQKVKCGSKEFDSSHLFTFARKQMDYILGENPEGRSYMVGFGKNPPTQAHHRGASVPNLAPDEEIICPISFGKWLQRDAPNPHELTGAIVGGPDINDKFNDKRTDSPQTEPCTYVNSLAVGTLAKLASLG >CAK8564269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664717045:664720823:1 gene:gene-LATHSAT_LOCUS17591 transcript:rna-LATHSAT_LOCUS17591 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFMGLRDHGSESKVSFVIEDSLEEEHAPLNKRCKQWSSSNGSCFSFPSQDHSILDEPSPLGLSLRKSPSLLDLIQAKLSQGDKVVSNTKDDNISSGVKKESRGPVEKLKASNFPATVLRIGSWEYISKHEGDLVAKCYFAKQKLVWEVLEGELKSKIEIQWADITALKANCPDDGPSTLSIVVARQPLFFRETNPQPRKHTLWQTATDFTGGEAIKSRKHFLQCEQGLLIKHFEKLIQCDERLKYLSQQPEIKLDSPHHDFAFDNHDNLKKYDLDQVSGKGSVTSRFQNTGSPHSSLSPSFTLDSIPCEAASSSSEGINSSEADSKGPKNWDQIKLPGLRPSMSMSDFIGHIEHHLSEEMASGNLSSPAKRMDYNVMLEDIAHHLLNDNHVTTDSDEISLMSRVNSLCCLLQKDPVAVPNSHDNSHDNKSSLEGPDDEISIQLVHDLESMQSNKIKIDTKAAEEDSRNVYGGHQAPGMSRKDSFGDLLQNLPRIASLPKFLFNISED >CAK8564268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664717045:664720823:1 gene:gene-LATHSAT_LOCUS17591 transcript:rna-LATHSAT_LOCUS17591-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFMGLRDHGSESKVSFVIEDSLEEEHAPLNKRCKQWSSSNGSCFSFPSQDHSILDEPSPLGLSLRKSPSLLDLIQAKLSQGDKVVSNTKDDNISSGVKKESRGPVEKLKASNFPATVLRIGSWEYISKHEGDLVAKCYFAKQKLVWEVLEGELKSKIEIQWADITALKANCPDDGPSTLSIVVARQPLFFRETNPQPRKHTLWQTATDFTGGEAIKSRKHFLQCEQGLLIKHFEKLIQCDERLKYLSQQPEIKLDSPHHDFAFDNHDNLKKYDLDQVSGKGSVTSRFQNTGSPHSSLSPSFTLDSIPCEAASSSSEGINSSEADSKGPKNWDQIKLPGLRPSMSMSDFIGHIEHHLSEEMASGNLSSPAKRMDYNVMLEDIAHHLLNDNHVTTDSDEISLMSRVNSLCCLLQKDPVAVPNSHDNSHDNKSSLEGPDDEINTKAAEEDSRNVYGGHQAPGMSRKDSFGDLLQNLPRIASLPKFLFNISED >CAK8533736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655390220:655390756:-1 gene:gene-LATHSAT_LOCUS3337 transcript:rna-LATHSAT_LOCUS3337 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIDPSVFGNRFTWFSGDGKSMSRIDRFLVDSAFINRWGMIGQSIGKRDISEHCPIWMKIEERNWGPKLFKTKNSWFDHPHFIKFFEENWRSYDVVGRSDFMLKEKFKMLRGDLKIWSKEVFGWYDLKVKEEVDIINKVEMELIEAAVKDVEGLVARRSEACKVVWHNLHVKDNLII >CAK8571685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461801348:461804997:-1 gene:gene-LATHSAT_LOCUS24324 transcript:rna-LATHSAT_LOCUS24324 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIGVSRINPNDPSYIRQKKAKFIANEIQNPRTTSRSEPDHSRNQLEKENVTTCDPKTSEYAFFKKLKKDASLKFSSGPVKKDDLSLSSKKPESGECSKERIDDVSVGTMGCNSSKIEKKLSTFKMDSFISPSVGAWNKSDMYYMSSKLKTSQGFDDTNKPQRFSHEGNQNEDGDIFSRKRQKLRQCVADTLFPDTEKLCSKGLDIVSMLLSRLFPMSTGCTVKNKYEEANQGKIESATGYDLLDSRESDSDVQFKEHYLVPKRKFLELESGSYFNDQSLSPMFLESGERITPHTEFLTYHSENFQPRYNITAPKCNWSESPSFSASVSDDNTLGPLFNEVENATKYSLLDSREFDFQCTDTYQIPKRKLLELESSLCISDHLLSPMFLRSVESITPLAEFPIYPRKFRRMFRTEAESEFGGTPSYLDKNELITPHTEFPICPHKFRPMFRTEAESEFCGTSSYLDKNDVTRGFLYYEQKHETFSLDHFEELGKLEREPIPLLMGKDFNCEKDEIKLPISWKYAKPYMPPALSIIDHGENQITNNALGDFHFSPPSLLLNRPPDFNSVLDSGLLSYQKSQFEKHVYEDDDGEMDTKFNHAALSLSHSKHYFKLSEKYKNDISNVQDSINLPPFHHFPDTEAWLSSSPRCLSLTSSQSNYQSSTTRNLQLPERENISSLFHIDDCYKPKINRGGGDHGEVLYHFSEAFVEIYNSSFLHMSLQRDNGCAFSLDDRDNISDNINDGDQMHNMLL >CAK8544416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683154595:683160623:-1 gene:gene-LATHSAT_LOCUS13099 transcript:rna-LATHSAT_LOCUS13099 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDILKKVQGLLLKWMLILPYMLFMIKSGAHGKTLEPPLLSAAGSSLKHWNSHGSKYVLSHGAAAIGALSPANPPSNGPFINPSHPPKSSHFSIQFKKRSEWKPPISGFKNIAPIHSTEAAVPSVLAQPPLTPHVSNCCKQDMVLKRGGKGCHCVYPIKLDILLLNVSQNPDWDKFLEELATQLGLQNNTQIDLINFYVINYSTLNISMDITPHKGIGFSANEASKINSSLSMHKVRLDPGLVGGYKLLNIIWFEPPPPTQAPTLTASPEKAPLYHSPTATSLSSSNKGGHSNLFLVLGIAIGMLFIAIVSILIFCLCTLLSKEKTPPIETEKPRTETAVSAGGSIPHPTSTRFIAFEELKEATNNFEPASVLGEGGFGKVFKGILSDGTSVAIKRLTNGGQQGDKEFLAEVEMLSRLHHRNLVKLVGYYSNRESSQNLLCYELVPNGSLEAWLHGPMGINCPLDWDTRMKIALDAARGLSYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGGANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLDEIADPKLGGKYPNEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRITEYHDSALASSNTQTNPRQSSSTFEFDGASSVFSSGPYSGLSAAFDNDNISRTVVFSEDLHEGR >CAK8562668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524289908:524292604:1 gene:gene-LATHSAT_LOCUS16146 transcript:rna-LATHSAT_LOCUS16146 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFQRAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSVSELERLTRVFTQKIHDLIGAQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVIFATEAWLADNGRSISDHTFVIQGFGNVGTWAAKSIFDRGGKVVAVSDITGAIKNPNGIDITALLKHKEGNGNLTEFQGADVMDADEVLTHECDILIPCALGGVISKENAADIKAKLVVEAANHPTDPEAHEILSKRGVTIIPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNRELKRYMSRAFLDIKAMCKIHECDLRMGAFTLAVNRVARATLLRGWEA >CAK8572479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539645092:539649917:-1 gene:gene-LATHSAT_LOCUS25042 transcript:rna-LATHSAT_LOCUS25042 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTLYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPKPPGYAFVEFEDARDAQDAIYYRDGYDFDGYRLRVELAHGGRSSSSSVDRYSRHSGRSGSHGVSRRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGLIGIVDYTNYDDVKYAIRKLDDSEFRNAFSRAYIRVREYDRSYSRSPSRDSRRSYSRSRSPYASRSQSRSLSHSYSGRSRSLSPKAKHSRHSLSLSRSHSRSRSPSLSPRHYRSRSPSQSSRSV >CAK8572480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539645925:539649917:-1 gene:gene-LATHSAT_LOCUS25042 transcript:rna-LATHSAT_LOCUS25042-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTLYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPKPPGYAFVEFEDARDAQDAIYYRDGYDFDGYRLRVELAHGGRSSSSSVDRYSRHSGRSGSHGVSRRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGLIGIVDYTNYDDVKYAIRKLDDSEFRNAFSRAYIRVREYDRSYSRSPSRDSRRSYSRSRSPYASRSQSRSLSHSYSGRSRSLSPKAKHSRHSLSLSSCSLLWRPGNCMLGS >CAK8530351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15968320:15979530:1 gene:gene-LATHSAT_LOCUS220 transcript:rna-LATHSAT_LOCUS220 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDTVTSPPPPSSSSAPVPIGSGVISLVNRLQDIFSRVGTQSAINLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNEICTRRPLVLQLVRSSEPSDEYGEFLHLPGKRFYDFSDIRREIQAETDREAGENKGVSDRQIRLKIVSPNVLDMTLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKEPSCLILAVTPANSDLANSDALQMAGVADPEGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYNGLADSCGIPQLAKKLNQILAQHIKAVLPGLRAHISTNLVTVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMIEGKNEEMSTSELSGGARVHYIFQSIFVRSLEEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKMSHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHIMEMEMDYINTSHPNFVGGSKALEIAVQQTKSSRAALSVSRPKDALESDKGSASERSVKSRAILARQANGVADSAVRAVSDTEKIAASGNTSGSSWGISSIFGGGGDKSLKESAASKQEAEPFHSMEQSFTMIHLTEPPPILRPSDSNSETETVEITVTKLLLKSYYDIVRKNVEDLIPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPDEVALKRKRCRELLRAYQQAFKDLEELPMEAETLERGYSLPETTGLPKIHGLPTSSMYSSSNIGDYYGASPKHPKSKRSSHSGELQSPFYANADSNGNGKQSASGFYPTLDT >CAK8563984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643937555:643940038:1 gene:gene-LATHSAT_LOCUS17336 transcript:rna-LATHSAT_LOCUS17336 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNQNTQEQEQSSSSSLRKRLLEQEDDTDAYYGALFPPEAYDTSKRFKTYDLLANFDKPKHETIVISARNDEEVQDDSESADGDRSNATGTLTFFSRNQQEEHHKNIDDDRSNAVQTLTSSSSALRKRLLEQEDDSDSYDTSKRIKTYDFLATFNEPKHETMVISDSEIDDDGDDNRSNSVWTLTLFPRNQQEEHHKNIARTYAARIHNASENKNNDVVSNAADKIDDDDDVEDEVDDDSPTSFSKAIKVVQEWAKRNQKRGIVEKDSVRRRSYVSSLQELSLSILARHSDAIASLDCVNDEFRQRLSNLLCDSRKMNCHFLELLTGVPTQIRLTDCSWLTEEEFTNYFQTLVTSELEVLQLDKCGRIMNEYTLRATLAKSPNSLSKLTILSLAGACRLTDEGLRLLVSSATELKSINLSQCSLLTCASLEILADSLESILKELYIDDCILIDVARILPALKRFKQLQVLSLAGVPTVSDKFIKSYFISCGHNIKDLVLKDCVNLTDASMRVIAEYCSSLRVLDIMNLEILTDLSIGYLANSCCGLRTLKLCRNPFSDEAISVFLELAGKTLEELSLNSIKKVGLLTATSLAKNGQNLHTLDLSWCQNLSDNELGLIVDNCLSLRSLKLFGCSQLTNMFFKGHSNSGTQIIGLKLNPLFQRFEEPNRNKGSLR >CAK8579362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694184833:694185905:1 gene:gene-LATHSAT_LOCUS31314 transcript:rna-LATHSAT_LOCUS31314 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDWDVDLMSYMQIEDLIKSEGYRNLKCLWYWNPNFSFSRGLRPLNNDNDVLRLMEDVRGFKVVDLYVEHKVEEINIQDVIFEKDNGEKVNGEGANVNVEGAKDEEVSVGGVDEEVSVDGVDEEVIVDEVDEDVSVNVDDVDDESETNPNYNMGSEDDEEEDEDLEDEIDMMNLGVDVSINWKTVLPNATAEKASKLDGNFDNGSESDVLQTPPGSDAEGDMEKFPIFKEPTKLEVGMMFKDKQQIKDVIKEYAMENKKNLVFNKNIDKTGSRYKQIICYDKTQTPFTCLGFLYSEVSYETYLNTCARFAISETTGSELRLI >CAK8571887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:489657437:489659013:-1 gene:gene-LATHSAT_LOCUS24510 transcript:rna-LATHSAT_LOCUS24510 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLPWSDDDEGDDSSNDSEGDNETGGKESIGKSTKKNAPIDFEALKRYGYKGGPSVLKVPPPKEGDTKQDWSWSSGKEKRVEKEVEETYEERKKTREALSIGEQLPTVLTRNEKKNLSFSQKEKRKRELGQASRGKNYVEEEKRQLRENGVYSGFDA >CAK8569668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7530938:7534305:1 gene:gene-LATHSAT_LOCUS22494 transcript:rna-LATHSAT_LOCUS22494 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSPPPSSFLTFHSRQTLFFSTHHFLQLPLHLPPRTIKLQHKRKTVECRVTNPNPPPPTALSKEPHKYFDHVIITVRGGDGGHGSILNQKPKKEVEKGKGKGKGKSIDNNKRSALKRDFDGSLILPMGGHGGDVVIYADERKDSLLEFHTKNRFNAKRGGNVDAVGVFTSYLRNGIAAPTVRIPVPLGTVVKSKRGKLLADLARHGDEVLVARGGQGGISLLEMPKSNRKKTTSLTTNMMRDDSDKVLVHGQPGEEVKLELTLRVVADVGLVGLPNAGKSTLLGAITLAKPDIADYPFTTLMPNLGRLDGDPNLGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDASTENPVNDYRTVREELRMYNPEYLERPYIVVLNKIDLPEVEEKLPILTQEILRIGSDGTASEQKPSSEIPAQLLSNESDTKEKKLEDYPRPHSVIGVSVLKRIRIKEMLKEIRAALRHCSDSNGALASFVAR >CAK8543469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610147919:610149647:1 gene:gene-LATHSAT_LOCUS12225 transcript:rna-LATHSAT_LOCUS12225 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLLVLPISLLHRRPSSPSLPTLSHIHTFSTPIFTNSSTNKPSPLHGINPSDSRRDNPLFLDENNAVVDDMDGYLNNLSLEYESVWDTKPAWCQPWTIVVTGVSIVAISWLIFQSVVVTSAISLLIFAWWYIFLYSYPKAYSAMIAERRERITDGVEDTYGRRK >CAK8570979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:215539955:215540272:1 gene:gene-LATHSAT_LOCUS23683 transcript:rna-LATHSAT_LOCUS23683 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIFPLNQSSEVFSGKIQNLGLIRILEYTLNDIPNKSDKYLFVIKCESVSPALEAEIKSEQPSITLKPKEGSGIDLKPKQGVVSKSAAQIVQEQHGNSAPAA >CAK8533466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620545046:620550536:1 gene:gene-LATHSAT_LOCUS3089 transcript:rna-LATHSAT_LOCUS3089 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAGPGGGNVNAFLRNYKMGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHHHIIRLYEVVETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWSVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRLLVVDPMKRITIPEIRQHQWFQLRLPRYLAVPPPDTLQQAKKIDEEILQEVVNRGFDRDQLVESLSNRVQNEGTVTYYLLLDNRYRVSTGYLGAEFQETMDPGLNRINSGEVVSPAGGHHFLGYQGVGMRQQFPAERKWALGLQSRAQPREIMVEVLKALQGLNVCWKKIGHYNMKCRWVVGIPGHQGGMVNNSVLNNNFLGNAGIIESEAVPKSSVVKFELQLYKTQEKYLLDLQRVEGPQFLFLDLCAAFLAQLRVL >CAK8535055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808904454:808906613:1 gene:gene-LATHSAT_LOCUS4538 transcript:rna-LATHSAT_LOCUS4538 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKQHRTTSNNSSTSELFVCFTSRLSSSSMKLSSKSILSPSRSRDQPQISLSSSLSRRLKSNGSMKGGQASPMFPTGGKKRGCGFENPEPSSPKVTCIGQVRVKTKKQGKKMRSRSKRRGEASFRRGENNPDLTRQNSQSLYQNHQNMQQECLKHRNQRWVHLPLTICEALREFSCFFPCRSSCMSNNEREKEKGEGRFEGREGGSGGGHGREGSCGAAFTRWLVAYQDGDGKGRGIEVMMEEHHEEMEMMDDEKSERSYSQRRHIFEDLDIDVVDDKIQEEFGGGEEEEEEVKGRVSICVPPKNALLLMRCRSDPVKMAALANRFWESPLHKEQQDNVEEHQHHKEEQEQEEEDSGDEDEDVEHEEEQVQEDGFNDQNIEMEEVEESQQLVEVERVSLEEEDSICERENHEIESKTILEVDEESKEEEVKSEDGNGNAIDDDDDKVETFTCVLLDSHHADLEKPETEETHQGEDEEKQENEESLELSSSSPEMLLASSVQENDEAEEESESATEEKVSAEEEEMEQPPTQQGSDPETDPIGSMEPENGSKKEERERETLPECLLLMMCEPKLSMEVSKETWVCSTDFIRWLPERPAGKVAGGEKRVVVESSTSCSKPKVKVKPVQPVMQPPRSSCSLPVNGSGAVMSMATMIEQKLAGCSKSRNGYEPFVLTRCKSEPMRSATKLTPEACFWNNRKLEPHPPQTSLGVGAPAGVGF >CAK8540957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35434727:35438952:1 gene:gene-LATHSAT_LOCUS9911 transcript:rna-LATHSAT_LOCUS9911 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYSTHCRSFPSSSSTSFPPSNRFLPATLSLKSQPHFLQHLSVHTQQHDGAAVVSPVENNHSGKLLRPEILPVISSKESGVEVDFEKDGNEVTVSITVVGASGDLAKKKIFPALFALYYEGFLPKHFTVCGYARSKMTDVELRNMVSKTLTCRIDQRENCNEKMEQFLKRCFYHSGQYDSQENFAALDKKLKEHEGGRASNRLFYLSIPPNIFVDAVKCASLSASSGNGGWTRVIVEKPFGRDSESSAALTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIKLEDVVLGQYKNHTRGGVAYPAYVDDKTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGADLDRATNELVIRVQPDEAIYLKINNKVPGLGMKLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLNEIEDKKITPEYYPYGSRGPVCAHYLAARYNVRWGDLGLDVEP >CAK8541621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:303223069:303231538:1 gene:gene-LATHSAT_LOCUS10526 transcript:rna-LATHSAT_LOCUS10526 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLALTAIVSSWVVPITIMVNHIVTEPYMDEIFHIPQAQQYCKGNFGSWDPMITTPPGLYYLSLAYITSLFPGLYSVEAASSFLDVCSASFLRSINGVLAITCSIILYDIIIHLKPTLGDRRAMLHAVVLSLYPLHWFFTFLYYTDVASVTAVLAMYLASLKKDYWLSALVGAFAVVVRQTNIIWVLFVACIGIIDISLMRGKGNAITAKSDVSVEHDFTYATGTSATGLNLKRRKSVKTVNTSEYSLPRIIASSPSFSSDLFDEIWAALLIIWHMKLELLISFSPYLMVLIAFLLFVYWNGSIVLGAKEAHPVSPHFAQILYFGLVSILAQAPMHFTFTHAVDMFQSFWRSRPLSYIHMFLALIAGILSVHFFSVAHPYLLADNRHYPFYLWRKIIMAHWSIRYLLVPVYMYSWLSIIHMLGKVRSKLWILAFFLATAAVLVPAPLIEFRYYTIPFYFLVLHCNIRDDPHWLLTGMIYVGVNIFTMLMFLFRPFHWDHEPGIQRFIW >CAK8568389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601312062:601312439:1 gene:gene-LATHSAT_LOCUS21349 transcript:rna-LATHSAT_LOCUS21349 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTSSTTCGGFFNFRSSNSSDQSYRVRDSSSQTTSAGCGGKLDGVAMWFVNGVTMAFFASLNRCSCIRIATKEDSEDANDLPLMFDDGNLRHDGVAAAAATTSRRRTGKGEKSGAVLIDQH >CAK8569672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7766036:7766584:-1 gene:gene-LATHSAT_LOCUS22498 transcript:rna-LATHSAT_LOCUS22498 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDISEGNSQNILENSGNQNKRIGKRRKDKVEEGEEDEDGEEEGEGEGKGTGDGDDLSSQKKLRVVWSVNLHRKFICVVNKLDLEKVVPKKILDLMNVEGLARENVVSHLQVYILAFVACITVLFIHKLKVYTDELTMT >CAK8534386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722100612:722101408:-1 gene:gene-LATHSAT_LOCUS3936 transcript:rna-LATHSAT_LOCUS3936 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITSAKTSAANKDKDKKKPTSRSSRAGLQFPVGRIHRLLKTRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >CAK8570565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61008262:61008873:1 gene:gene-LATHSAT_LOCUS23303 transcript:rna-LATHSAT_LOCUS23303 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDAMIKHVPLLCLQIGYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQYEILAVETFGNLGIMYYVFLSGLEMNAYTILRSRKKGTSIEIAGIVTPMLLVLDF >CAK8578005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601665702:601671611:-1 gene:gene-LATHSAT_LOCUS30056 transcript:rna-LATHSAT_LOCUS30056 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSTPSLSRPRVCKNFKLFNQLSIINSSKLHVCGSDLAFVRLSSKFPSRLNAVSRLKAALTSGGGDLKNEDMVGVESAQPDPVALGILGVDTALTGSGFADDNDEFDSDSPTKGFASIPEAIEDIRSGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCISMKEEDLERLELPLMVNSRDNDEKLRTAFTVTVDAKHGTSTGVSAQDRATTVLALASKDSKPSDFNRPGHIFPLKYKEGGILKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLVERAGAALIPTMWGPFTANCYRSLLDGMEHIAMVKGDIGDGCDVLVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDEGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYVGLKGYGLSISGRIPLLSLITKENRRYLETKRLKMGHAYGLEFYSKLNHSDNGNGNAGSVDDSNAAPGS >CAK8562233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459565726:459566970:-1 gene:gene-LATHSAT_LOCUS15748 transcript:rna-LATHSAT_LOCUS15748 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNNLTTDEATQNSGTTFTRAPTHRRNKSSVWNHFTPDPDLIGITRCNYCDSKLKSNNGMTSMIGHSKICKSNPNSEANKRLKTTPSSTTNVTSPSAIGLGKFDQEKCRQAVVDMIVEMELPYMHVDHKAFRRCMSVLQPRFIPISRSTVARDVLALWDFEREKLKTFLSQHCRSVCLTTDGWTSCQNMTYMCITAHFIDNNWKLHKKILSFVRVLSHSGEVIANTVAKCLDNWGLNNVLTVTVDNAASNDRGIENLKKRLRLRNDLVLNGDHFHTRCCAHVMNLVVKKGLKEIDISVSRIKGAVKYVKSSPGREHKFLACVRSRHIEYKGSVQFDCETRWNSTYDMLKAAVQLEMAFVELGIIDTKYCQELESGSKIPTPLDWEKARGVAQFLEVFKASTLRILGSTYVIR >CAK8537666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:418589440:418592990:1 gene:gene-LATHSAT_LOCUS6932 transcript:rna-LATHSAT_LOCUS6932 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTIIILAIFSLLLMLFKISFRPKQKTNFHKKPPGPATLPIIGNLHILGTLPHRALHSLSKKYGPIMSVQLGQVPAVVISSSKAAELVLKTHDLVFASRPRIQGAELVIYGSKGLLFSEYGPYWRNVRKLCTLKLLSASKVEMFAPIRKDKLCVLVKSLKKAALVGEVVNVSEAVQNLVEDIVCKMIVGRSKYEQYDMKKLAKEGMALIGAFNLADYIPWLGVFDLQGLTRSFKKISKSIDEILEMIIEEHEQINNVDKTRGEDFVDILLSITHQTIDHESEQNHEFDRTNIKAILVEMIAASIDTSATSIEWTLSELLRHPRVMQILQNEIQDKVGNTKMVEEKDLKMLNYLDIVVDEILRLHPVVPLLAHRESRENISIDGYFIEKKTRVMVNAWAIGRDPNIWSENAEEFYPERFIDKKMNYQGNEFESIPFGSGRRRCPGIHLGLTTIKLVVAQLVHCFNWKLPYNISPSNLNMEEKFGLTAPRAQSLHAIPHYRLVDAEYE >CAK8568815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643646153:643655522:1 gene:gene-LATHSAT_LOCUS21738 transcript:rna-LATHSAT_LOCUS21738 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILILWILFLLYGCFVGVYGERRFVKIQVTSFNVTSSMTQNQQHSFLTKAVHFFWKTDGLGYTHVWPEMEFGWQIVLGSFVGFCGAAFGSAGGIGGGGIFVSMLTLIIGFDPKSSTAISKCMVMGVSLASAYYNLKLRHPTLNMPIIDYDLALLIQPMLMLGISIGVIFNVVFPDWLVTILLIVIFLGTSTKAFFKGAETWKKETIVKKEEAARKQESTGFEGEAEYKPLSTGPNGAIENETKVTISENVDWKMFGLLTLVWVSFIALQIAKQNTSTCSTTYWVLNFLQIPISIGVSSYKATELFTRKREIASTGDQGKEFTVIKLIIYCVFGLLSGVIGGLLGLGGGFIISPLFLELGIPPQVSSATTTFIMIFSSSMCVVEYFMLKRFPVPYALYFNLVAIIAALVGQHFVRKIINLFGRASVIIFILAGIVFISAISLGGVGISNMVHKIAMHEYMGFENICKYGS >CAK8568817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643646424:643655522:1 gene:gene-LATHSAT_LOCUS21738 transcript:rna-LATHSAT_LOCUS21738-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGWQIVLGSFVGFCGAAFGSAGGIGGGGIFVSMLTLIIGFDPKSSTAISKCMVMGVSLASAYYNLKLRHPTLNMPIIDYDLALLIQPMLMLGISIGVIFNVVFPDWLVTILLIVIFLGTSTKAFFKGAETWKKETIVKKEEAARKQESTGFEGEAEYKPLSTGPNGAIENETKVTISENVDWKMFGLLTLVWVSFIALQIAKQNTSTCSTTYWVLNFLQIPISIGVSSYKATELFTRKREIASTGDQGKEFTVIKLIIYCVFGLLSGVIGGLLGLGGGFIISPLFLELGIPPQVSSATTTFIMIFSSSMCVVEYFMLKRFPVPYALYFNLVAIIAALVGQHFVRKIINLFGRASVIIFILAGIVFISAISLGGVGISNMVHKIAMHEYMGFENICKYGS >CAK8568816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643646153:643655522:1 gene:gene-LATHSAT_LOCUS21738 transcript:rna-LATHSAT_LOCUS21738-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILILWILFLLYGCFVGVYGERRFVKIQVTSFNVTSSMTQNQQHSFLTKAVHFFWKTDGLGYTHVWPEMEFGWQIVLGSFVGFCGAAFGSAGGIGGGGIFVSMLTLIIGFDPKSSTAISKCMVMGVSLASAYYNLKLRHPTLNMPIIDYDLALLIQPMLMLGISIGVIFNVVFPDWLVTILLIVIFLGTSTKAFFKGAETWKKETIVKKEAARKQESTGFEGEAEYKPLSTGPNGAIENETKVTISENVDWKMFGLLTLVWVSFIALQIAKQNTSTCSTTYWVLNFLQIPISIGVSSYKATELFTRKREIASTGDQGKEFTVIKLIIYCVFGLLSGVIGGLLGLGGGFIISPLFLELGIPPQVSSATTTFIMIFSSSMCVVEYFMLKRFPVPYALYFNLVAIIAALVGQHFVRKIINLFGRASVIIFILAGIVFISAISLGGVGISNMVHKIAMHEYMGFENICKYGS >CAK8560547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24496804:24501078:1 gene:gene-LATHSAT_LOCUS14210 transcript:rna-LATHSAT_LOCUS14210 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLVKRILNEQQHHFPHQLIEEEEEEENEEEEGSTAPSTVNPFDLLNDNDSEPEQQGDESAEETSVRDDDKVGASSSKNSTQVSTSNPKSKKKKNKKKKSKDNAVSGKRESEKELDLILEDLALNVNSSNEQHVSTEGKAVNAKDKSKSVKQDAVSILQVDPKHLSAENELIRIFGSKVVKSFENTNNQPSSSRQMRGVRRVRHNLKKTVLVTPANTWLPCDDSLSMQFLEIQNGYNYFRYVHSPSYSQYQKSFEAAKAINDINGIASILQHRPYHIDSLLTMAEYLKVVGEQQMSADTIARCLYALECAWHPMFTPLQGNCQLKYKHDANKPMFTALFTHMKNLDRRGCHRSALEVCKLLLSLDSDDPMGAIFCIDYFALRSEEYAWLEKFSEAYKSDNSIWLLPNFSFSLAICRFYLEREASEDTCVDSKKSSSSDLMTQALMLHPPVIKKLVAKVPLKDRAWTEILKHAFFKSEQTGIPSQDHLINIYVERNYLIWRLPDLQKLLIGAAKQVIETLESNKSEVNDWSCVRKEAFSSEKNEYGHLLVSDFSDSVAAIPQENLQQFMGIPRAGEAMLDENQFAIQQGNGHAPRGVANRNALAVLFESMLPWVTYEEGEPDVNHPGDGEQDNQ >CAK8530843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54994521:54997391:1 gene:gene-LATHSAT_LOCUS679 transcript:rna-LATHSAT_LOCUS679 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACTGAALMGSLQQPLWSKLQNHGFLPQLKLNSTFKPCKVSHVGGALVTAPQIGGDGSSFLDYGLSEADPEVHRIIGNEKDRQFNSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELEILCQERALAAFHLDGNKWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLSHGGHLSHGFMTPKRRVSATSVYFESMAYRLDESTGLIDYDMLEKTASIFRPKLIIAGASAYPRDIDYPRFRKIADSVGAFLMMDMAHISGLVAASVLADPFEFCDIVTTTTHKSLRGPRGGMIFFKKDPVHDVDLETAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSQDFKNYQNQVVANCRALANRLVEHGYKLVSGGSDNHLVLVDLRPSGIDGARVEKILDMAFITLNKNSVAGDRSALVPGGIRIGSPAMTTRGLGEKEFELIADLIHEGVQISLQAKSLASGTKVQDFLNFVISHEFPFKDKISDLRRKVSALATKYPIPGV >CAK8542299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499477050:499479113:1 gene:gene-LATHSAT_LOCUS11146 transcript:rna-LATHSAT_LOCUS11146 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSLPTNIPSHLGLRLLRAALNARDFKRAHQLFDNILQPDPTTCSTLISALTAHGLPNEAIKIYASLRVRGINPHKPVFLAVAKACAASGDALRVKEVHDDAARCGVMSDVFVANALIHAYGKCKCVEGARLVFDDLVVRDVVTWTSLSSCYVNCGFPRKGLNVFREMGWNGVKPNPVTVSSILPACSELKDLKSGKAIHGFAVRHGMIENVFVCSALVSLYAKCLSVREARAVFDLMPRRDVVSWNGVLTAYFTNKEYEKGFSLFSQMSRGGVKADEATWNAVIGGCMENGRTEEAVEMLRKMQKMGFKPNEITVSSILPACFFSESLRMGKEIHCYVFRHWKVGDLTSTTALLYMYAKCGDLNLSRNVFNMMHRKDVVAWNTMIIANAMHGNGKEALFLFDKMLLSRIKPNSVTFTGVLSGCSHSRLVEEGIQIFNSMGRDHHVEPDANHYSCVVDIYSRAGRLNEAYKFIQRMPMEPTASAWGALLGACRVYKNVELAKISAKKLFEIEPNNPGNYVSLFNILVTAKLWSEASKIRILMKERGIAKTPGCSWLQVGNRIHTFVVGDKSNMESDKLYKFLYELVKKMKMAGYKPDTDYVLQDIDQEEKAESLCNHSEKLAVAFGILNLNGQSTIRVFKNLRICGDCHNAIKYMSKVVGIVIVVRDSLRFHHFKNGNCSCKDLW >CAK8543256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593254425:593255102:-1 gene:gene-LATHSAT_LOCUS12026 transcript:rna-LATHSAT_LOCUS12026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDPISYQRRCFTTNHKFDVGHSTHTGNSNKGLFYQPSSISEITSDTFWKYKKMKYPPTNEKVN >CAK8535346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:841682963:841683385:-1 gene:gene-LATHSAT_LOCUS4812 transcript:rna-LATHSAT_LOCUS4812 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMEEFKHFVIVKFKEGVVVEDLTKGMEKLVSEIDLVKSFEWGQDVESLDVLRQGFTHAFLMTFNKKEDFAAFQSHPNHVEFSEKFSAAIENIVLLDFPSTLVKPVKSAEE >CAK8563659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623106828:623107881:1 gene:gene-LATHSAT_LOCUS17044 transcript:rna-LATHSAT_LOCUS17044 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKRPRKLKSLETCNWATTMDEVLLDAYLHQQTLGNKNGNSMTTSVMDSILKELKTDFPDKPISKEKIKDHMKHIKTKFNSCYDLFQNGLSGFGWDSTTNMWIAEDEAKPEAAEWKNKPILFYDKLAKLFGKDRATGEHEGTTAEMRAKKAANVEKSHGTTIEEIDHLVETNDVILEGFDDDEHHSNNSPTRPSITNSQDVSSSRTKKRVKKVIEDDASMIEISKTFKKMLDVFEMNSMELVKQGKNANGGDIWAELVEIGVEPNSLPLLYMYLVKNADALKAFTGIPIDKRKEMLHLIVPDYPF >CAK8575583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:185705972:185713612:-1 gene:gene-LATHSAT_LOCUS27836 transcript:rna-LATHSAT_LOCUS27836 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRGNPDSKGFDFASDDILCSYEDFSNRDSNSNGNHNDSVIDPTSTTDFHKSRVARTSVFPVTAYNPLEDSLSQDVIATVEKSMKKYADNIIRFLEGISSRLSQLELYCYNLDKSIGEMRSDLNRDHREQDSKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSNEDRSPSADPKKKTDNASDANNHQLALALPHQITPQQQPAGPPAQAPPPNVNQPTQQPAYYMPPAPAASQLPQNQYMPSDQQYRTPQLQDMSRVAPQSTASQINPPTSVQQFSQYQQLPQQQWPQQGQQPSMQPQMRPPSSTVYPPYQPSQASNPLPTETQPTSMPMQMPYSGVLQPGSSRADSMQYGYGGTGRTVPQQPPPQQIKSSFPSQPGDVYGAGGTHQALPPPGNAYMMYDGGEGGRTHHPPPQPSHFAQSGYPPTSASLQNPNLMVRNPSQSQFVRNHPYNELIEKLVNMGFRGDHVASVIQRMEESGQTIDFNSVLDRLNVHGSVGPQRGWSG >CAK8575584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:185705972:185706505:-1 gene:gene-LATHSAT_LOCUS27836 transcript:rna-LATHSAT_LOCUS27836-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQMPYSGVLQPGSSRADSMQYGYGGTGRTVPQQPPPQQIKSSFPSQPGDVYGAGGTHQALPPPGNAYMMYDGGEGGRTHHPPPQPSHFAQSGYPPTSASLQNPNLMVRNPSQSQFVRNHPYNELIEKLVNMGFRGDHVASVIQRMEESGQTIDFNSVLDRLNVHGSVGPQRGWSG >CAK8544162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:669633678:669636015:-1 gene:gene-LATHSAT_LOCUS12864 transcript:rna-LATHSAT_LOCUS12864 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSLYLKEHEGIAHNFVGQLSSGNSGSATATWWSGFGSQSVYGETGGGQIKSFSLEPSFAVGDQLAGNKQSGRGAEHVMGKGHGNQFTIFPDDFKMSGDAQKPHTAISLQSSLPDTPTRFELGFSQPMICTKYPCADQFYGLISTYGPQIPGRIMLPLNMTSDDGPIYVNAKQYHGIIRRRQSRAKAVLGQKLIKRRKPYMHESRHLHAMRRPRGCGGRFLNTKKSSKGDGKSVSKVHKFCGQQLQCSGSPSSELFESDVGTLNSSKETNGSSPNISGSEVTSLYSRGNLGSFSVNHLGPSVHSLADMIDGGRGVIMPTKWVTAAGNCCNLEA >CAK8535593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865253392:865267610:1 gene:gene-LATHSAT_LOCUS5037 transcript:rna-LATHSAT_LOCUS5037 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFSLICPNSPSVWDGKGFSECFDDIYPSCCFAVNVVTVVMVVVLGFSQKIGGHGRGAQRLDSQMTRPAKFVLDFVPAIGACFSVLEIIFLLKKEYDGSHVEYYKWLRSCSELLVWANIILFTKYARSHYLIFNRILCFWWILKPILGIFHLITKFSSLEVSVCIMESLVILLNISFCIAINVIRINRLSSKNSLLEDPLLSNGGDLEEGGNHDPGNNGNFWDLMTFKFISPVMNQGVLKQLDSDDLLPLLPDMSPSFCHDIILSCWRDQLSNNVSNPSLLRALCNAYGWQYLCLGLLKVINDGIGFAGPLLLNKLIKFLQQDSATSSSSWNGYLLALSLGLTSIIKSFLDTQYTFRLSKLKLKLRSSIMTLIYEKCLFVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDIWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWISTLIARATEQMMKEKDERIRRTGELLTYIRTLKMYGWEFLFSSWLMETRSLEVKHLATRKYLDAWCVFFWATTPSLFSLCTFGLFALMGHQLDAATVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLSCSEHRRKVGENISCSSSFLNVQPDSLQDLAISIQDACCSWSSSDEKALNMVLNHVTLSLSKGSFVAVIGEVGSGKSSMLYSVLGEMRLDHGSIYSNGSIAYVSQVPWILSGTVRDNILFGKSYQPERYTDTVKACALDVDISLMAGGDMAYIGEKGVNLSGGQRARLALARVLYHDSDVIMLDDVLSAVDVQVAQWILHNAILGPLMKGKTRLLCTHNIQAISSAYMIVVLDKGHIKWMGSSADFPTSSYTAFSPLNEMDSTSHNHQQSCSTNSAITKEPSLPDRIVTQVLEEAEDVIEVELRKEGKVELGVYKSYAAFTGWFIAVIICLSAILMQASRNGNDLWLSYWVDTTTEDSQTSYSMSFYLAILCLFCIMNSFFTLVRAFSFAFGGLRAATKVHNRLLNKLINAPVLFFDQTPGGRILNRLSSDLYTIDDSLPFIMNILLANFVGLLGIAIILSYVQVFFLVLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDFFFTKFSDHVTLYQKTSYTETVASLWLSLRLQLLAAFIISFIAVMAVVGSQGSLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSIERALQYMDIPQEEQAGSLYLNPDWPNQGVIEFQNVTLKYIPSLPPALCNLSFKIEGGTQVGIIGRTGAGKSSVLNSLFRLTPICAGSITVDGMDIQNIPVRELRTHLAIVPQSPFLFEGLLRDNLDPFKMNDDSKIWDSLEKCHVKEEVEVAGGLDILVKEGGMSFSVGQRQLLCLARALLRSSKVLCLDECTASVDIQTASLLQKTISSECKGMTVVTIAHRISTVINMDNILILDHGNLAEQGNPQILLKDGISIFSSFVKASSL >CAK8535594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865253392:865267610:1 gene:gene-LATHSAT_LOCUS5037 transcript:rna-LATHSAT_LOCUS5037-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFSLICPNSPSVWDGKGFSECFDDIVLGFAVNVVTVVMVVVLGFSQKIGGHGRGAQRLDSQMTRPAKFVLDFVPAIGACFSVLEIIFLLKKEYDGSHVEYYKWLRSCSELLVWANIILFTKYARSHYLIFNRILCFWWILKPILGIFHLITKFSSLEVSVCIMESLVILLNISFCIAINVIRINRLSSKNSLLEDPLLSNGGDLEEGGNHDPGNNGNFWDLMTFKFISPVMNQGVLKQLDSDDLLPLLPDMSPSFCHDIILSCWRDQLSNNVSNPSLLRALCNAYGWQYLCLGLLKVINDGIGFAGPLLLNKLIKFLQQDSATSSSSWNGYLLALSLGLTSIIKSFLDTQYTFRLSKLKLKLRSSIMTLIYEKCLFVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDIWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWISTLIARATEQMMKEKDERIRRTGELLTYIRTLKMYGWEFLFSSWLMETRSLEVKHLATRKYLDAWCVFFWATTPSLFSLCTFGLFALMGHQLDAATVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLSCSEHRRKVGENISCSSSFLNVQPDSLQDLAISIQDACCSWSSSDEKALNMVLNHVTLSLSKGSFVAVIGEVGSGKSSMLYSVLGEMRLDHGSIYSNGSIAYVSQVPWILSGTVRDNILFGKSYQPERYTDTVKACALDVDISLMAGGDMAYIGEKGVNLSGGQRARLALARVLYHDSDVIMLDDVLSAVDVQVAQWILHNAILGPLMKGKTRLLCTHNIQAISSAYMIVVLDKGHIKWMGSSADFPTSSYTAFSPLNEMDSTSHNHQQSCSTNSAITKEPSLPDRIVTQVLEEAEDVIEVELRKEGKVELGVYKSYAAFTGWFIAVIICLSAILMQASRNGNDLWLSYWVDTTTEDSQTSYSMSFYLAILCLFCIMNSFFTLVRAFSFAFGGLRAATKVHNRLLNKLINAPVLFFDQTPGGRILNRLSSDLYTIDDSLPFIMNILLANFVGLLGIAIILSYVQVFFLVLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDFFFTKFSDHVTLYQKTSYTETVASLWLSLRLQLLAAFIISFIAVMAVVGSQGSLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSIERALQYMDIPQEEQAGSLYLNPDWPNQGVIEFQNVTLKYIPSLPPALCNLSFKIEGGTQVGIIGRTGAGKSSVLNSLFRLTPICAGSITVDGMDIQNIPVRELRTHLAIVPQSPFLFEGLLRDNLDPFKMNDDSKIWDSLEKCHVKEEVEVAGGLDILVKEGGMSFSVGQRQLLCLARALLRSSKVLCLDECTASVDIQTASLLQKTISSECKGMTVVTIAHRISTVINMDNILILDHGNLAEQGNPQILLKDGISIFSSFVKASSL >CAK8560620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29631124:29633762:-1 gene:gene-LATHSAT_LOCUS14281 transcript:rna-LATHSAT_LOCUS14281 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNKVHPIPPRTRVGKYQLGKTIGEGSFAKVKLAKNVENGDFVAIKILDRNHVLRHNMMDQLKREISAMKMINHPNVVKIFEVMASKTKIYIVLELVDGGELFDKIATHGRLKEDEARSYFQQLINAVDYCHSRGVYHRDLKPENLMLDTNGVLKVSDFGLSTYSQQEGELLRTACGTPNYVAPEVINDRGYVGSTSDIWSCGVILFVLMAGYLPFDEPNQIALYRKIAKAEFAFPPWFSPEAKKLLKSILNPNPLTRIKIPELLEDEWFKKGYKPASFTEEDVNVDDVAAAFNDSKENLVTETKEKPVSMNAFELISRSQSFNLDNLFEKQKGVVKRETHFTSQRPANEIMSKIEEAAKPLGFNVHKRNYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFNKFYKIFSSGLQDIVWHNDKK >CAK8532064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197457352:197460229:1 gene:gene-LATHSAT_LOCUS1801 transcript:rna-LATHSAT_LOCUS1801 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTSHKSTSFFFVFLCVAALGSVFLVWQKNVINGFLVLGGERVWESPKLRPVVFNLTDFGGVGDGVTLNTQAFERAVSVVSKFGDKGGAQLNVPPGFWLTAPFNLTSHMTLFLAQGAVILGIQDEKYWPLMPALPSYGYGREHPGPRYSSLIHGQHLKDVVITGHNGTIDGQGQTWWTKHLHKLLNHTRGPLVQIMWSSDIVISNITLRDSPFWTLHPYDCKNVTIKNVTILAPVYHAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGIAYGKPSKNIIIRNLVVRSNVSAGISIGSEMSGGVSNVTIENILVWESRRAIRIKTAPGRGGYVRQITYRNLTFHNVRVGIVIKTNYNEHPDSGYDSSALPILRDISFTSVRGEGVRVPVQIQGSKEIPVRNVTFQDMNVGITYKKKHIFQCAFVEGQVIGTIFPKPCRNFDQFNEQGELVKPAVSMNVTDIDYEI >CAK8535731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878216575:878217829:1 gene:gene-LATHSAT_LOCUS5160 transcript:rna-LATHSAT_LOCUS5160 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARDSASEMSQRKSPATPRTARQLKTPNSGSNSASSSSNPIRKMPKDMSPKVNERMLSHSPIFEKKRPSKVQELESQIAKLQEDLKSAKDQLSTSESWKRKAEEEIEEAKKQILSLSKELEESHQQFSELYKL >CAK8543856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644660923:644661165:1 gene:gene-LATHSAT_LOCUS12581 transcript:rna-LATHSAT_LOCUS12581 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKRKWVRKKGVKKSGGILANQQVLENSKRQLVEVMVLKVPLKTVCVCGKSKHDVCGVSVRLSLNQPEVVLDDQHRLSQ >CAK8573535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618466033:618474992:1 gene:gene-LATHSAT_LOCUS25971 transcript:rna-LATHSAT_LOCUS25971 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKPPSSTTDSPPPSYSPSRPTPPTPKISTTVSFSPSKRRLISQLTSSPNKQPKLSPNPVPSPSNPSLHQRFLQKLLEPSPPSDPQLPSSSQPVKFTPLEEQVVELKAKYPDVLLMIEVGYKYRFFGQDAETAARVLGIYAHMNHNFLTASIPTVRLHVHVRRLVSAGYKVGVVKQTETASIKAHGENRAGPFCRGLSALYTKATLEAAQDLGGGEDECGSVSNYLLCVVEKSILGERKSNFGVEVGFDVRIGIVGVEISTGDVVYREFNDNFLRSELEAVVVSLSPAELLLGDPLSKQTEKLLLAFAGPSSNARVERASRDCFTDGGALAEVMTLYENMRVDSPSDLMQGNELTEHKSQQMEVKEAMNLPDLVVEALALTIRHLKGFGFERILCSGALRPFVNNTEMTLSANALLQLEVLQNNSDGSDSGSLLQTMNHTLTIFGSRLLRHWVTHPLCDQTLISARLNAVSEIADSMGTCNGMKNLGCFEEDSDVAIVQPQLAHMLSLVLKALGRAPDIQRGITRIFHCTATPSEFIAIIQAILSAGKQLQQLNIGEGDNNNNSNKLYSGLLKKLISTASSANVIGKAAKMLSSLNKDSAYKGDMANMIVATEGQFPEVFTARKAFQMATEQLDPLIGLYRKRLGMRNLEYMSVSGVTHLIELSSDVKVPSNWIRVNSTKKTIRYHPPEVVTALDELSLAKEKLTVACRAAWDSFLRDFSKHYAEFQASVQALAALDCLHSLATLSRNKGYVCPGFVDDDEPVQIQFCSGRHPVLETTLQNNFVPNDTKLHADREYCQIVTGPNMGGKSCYVRQVALITLMAQVGSYVPASSAKLHVLDGIYTRMGASDSIQLGRSTFLEELSETSHILHRCTERSLVILDELGRGTSTHDGMAIAYATLHYLLKQKKSLVLFVTHYPKIASLETEFPGSVAAYHMSHMMSHMTSRDDSSKNSNDHEDVTYLYKLVPGVSERSFGFKVAQLAQLPPHCISRGIVMASKLESLVNSRLHGRSGKLLLLEAPAIDQEPEPHDCPIQDFDSAFKEFYSNLKSSILEDDRAKSFQLLENARSIAKTLISQ >CAK8534099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694799525:694800007:-1 gene:gene-LATHSAT_LOCUS3669 transcript:rna-LATHSAT_LOCUS3669 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISFNVRGCCSSIKRRRLTQIIQRGNADIFLIQETKVIKMEDGIVFSMWRNFDLDWSAQNSVGNSGGILTMWNTVRISACFSFNGKGFLGLNFVWNNHRLMVINVYALCGSVDKRKLWRDLIKIKNNYPDIGWIVGWDFNAVKNREERKGLSVITTGI >CAK8572078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507715729:507721744:1 gene:gene-LATHSAT_LOCUS24682 transcript:rna-LATHSAT_LOCUS24682 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVASSFTRLSTHFTMRIFSTTTSAVGALSKPSSLTSRRFILPVSQAILQSLTPTAHFGVSRAGYSSYSPLNSGSSSSSSFSDRPPTEMAPLFPGCDYNHWLIVMDKPGGEGATKQQMIDAYVQTLAKILGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELYVNGEIVQRPPERQRRVEPQPQRHHDRPRYNDKTKYVRRRENQR >CAK8570705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92121388:92123311:-1 gene:gene-LATHSAT_LOCUS23438 transcript:rna-LATHSAT_LOCUS23438 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAISLRNTFVLASMRTVSPTNSLKLSSLSPQLGFLNSQLTGLRISSQTSLKTPTPVVASPFQPIVAKRVCPFTGKKSNKANKVSFSNHKTKRLQFVNLQYKRIWWEAGKRFLKLRLSTKALKTIEKNGLDAVAKKAGVDLSKK >CAK8574441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681915943:681916967:-1 gene:gene-LATHSAT_LOCUS26788 transcript:rna-LATHSAT_LOCUS26788 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVNPGTEGKCSGNTWRVRRIVMYYRLSSFAPQNLLRFNECFTRRTRRCTDDVAEQILSSEAAGFVPLLRKV >CAK8574442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681916658:681917060:-1 gene:gene-LATHSAT_LOCUS26788 transcript:rna-LATHSAT_LOCUS26788-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELKVSLIARVATALQPLLILEFMLSIKVFLDKASAAETLGGFVELSCTTAFRRSHHKTFSDLMNALHAEPGDAQVIMNNLLAVIRILEALNLNYGG >CAK8543424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607170296:607170877:-1 gene:gene-LATHSAT_LOCUS12181 transcript:rna-LATHSAT_LOCUS12181 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNKTSSNLSICRKIRQVLATNLAFKTVLQMKQHNQEPKPQQMKTVNIEGGSGGGTIPITFDYSMVSEHTSKVASPHVGVSERKGESETVIRDDQRNTNAKKKMIGENYNGKPLKVGLVNLEKQGNKTLDINDAFSEFIERTNNRIGTVSNVGKGQNIHVQDEANSYNKMENLNDRFSDFIMEAKKRISKT >CAK8533437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617331086:617332632:1 gene:gene-LATHSAT_LOCUS3060 transcript:rna-LATHSAT_LOCUS3060 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFAEKKPHAVLIPYPAQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPTAFDGLIDFTFETIPDGLTPMEGDGDVTQDVPSLSQSIRKNFLKPYCELLSRLNESANVGLIPHVTCLVSDCLMSFTIQAAEEFALPNALFFPASACSLLCILHFRSFVEKGFTPLKDVSYLTNGHLETKVDWIPGLKNFRLKDIVDFIRTTDPNDIMLEFLIDMADKIHRDSTIILNTFNELESDVINALFSMVPSLYPIGLLPSLLNQTPSNHQLASLGTSLWKEDIKCLEWLESKQSGSVVYVNFGSVTVMTLEQLLEFAWGLANSKKPFLWIIRPDLVVGGSVVLSSEFMNEISDRGLIANWCPQEQVLNHRSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPTNRRLICNEWKIGAEIDTNVKRDEVEKLVNELMVGEKGKKMRQNTMELKKKALENTSPGGCSYKNLDKVIKEVLLKQS >CAK8539130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504323846:504327360:1 gene:gene-LATHSAT_LOCUS8255 transcript:rna-LATHSAT_LOCUS8255-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPHPPSPYHNHIRNIYDNQLPSTRNEDYEIILEEEQPSSDDQQSNQRPKKRTYRRHTQEQIQEMEAAFRENPHPDDKQRKEMGARLGLEPLQIKFWFQNRRTQVKVQNEREENSALKAEIEKLRSEMNRYKEAVGNTACNVCGSTAIIGEMSREEQQLKLENALLRKELEKFAGGGETSTNPTDTHTIISSSNNNSNQAPSRSINVRVVGGTSNYNGAQNGMVGEAYTGGNGGELISSSVLAREYVDDKRSIIEVAVLGMDELMRLAKTCGPPLWLPTNYYTESLNGDEYMKNFLRVTGPNPFGLRPEGSKESGVIIMNPINLVDILMDVNRWSTMFCGIVARAATNKVLSTGVAGNYNGALQVMIAEFQVPSPFVPIRENYFVRYCKLHEDGVWAVVDVSLDNLLPASSSSSTPRPQRRPSGCLIQELPNGYSKVTWIEHALVNDNAVQNFYTPLINSGLAFGAKRWVATLQRQCERLACSMSNNIPIAGDLGVMMSPEGKKSMLKLAERMVTSFSTSIGAASNHAWTNVHSDGTEHVVKVMTKKYMDESGNGRRSPGVVLSTATSFWLPIPPRRTFDFLRDENTRCQWDILSNGGIVTEMAHIANGRDSGSAVSLLRVNSSNTMQSNMVILQESCADITGFAIFPDGILQNREPITSVGSGGSLITVGFQILVDSVPHARLALGSVSTVNHLIKSTVERIKVAVMPNGTLNVT >CAK8539129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504323846:504327360:1 gene:gene-LATHSAT_LOCUS8255 transcript:rna-LATHSAT_LOCUS8255 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPHPPSPYHNHIRNIYDNQLPSTRNEDYEIILEEEQPSSDDQQSNQRPKKRTYRRHTQEQIQEMEAAFRENPHPDDKQRKEMGARLGLEPLQIKFWFQNRRTQVKVQNEREENSALKAEIEKLRSEMNRYKEAVGNTACNVCGSTAIIGEMSREEQQLKLENALLRKELEKFAGGGETSTNPTDTHTIISSSNNNSNQAPSRSINVRVVGGTSNYNGAQNGMVGEAYTGGNGGELISSSVLAREYVDDKRSIIEVAVLGMDELMRLAKTCGPPLWLPTNYYTESLNGDEYMKNFLRVTGPNPFGLRPEGSKESGVIIMNPINLVDILMDVNRWSTMFCGIVARAATNKVLSTGVAGNYNGALQVMIAEFQVPSPFVPIRENYFVRYCKLHEDGVWAVVDVSLDNLLPASSSSSTPRPQRRPSGCLIQELPNGYSKVTWIEHALVNDNAVQNFYTPLINSGLAFGAKRWVATLQRQCERLACSMSNNIPIAGDLGVMMSPEGKKSMLKLAERMVTSFSTSIGAASNHAWTNVHSDGTEHVVKVMTKKYMDESGNGRRSPGVVLSTATSFWLPIPPRRTFDFLRDENTRCQWDILSNGGIVTEMAHIANGRDSGSAVSLLRVNSSNTMQSNMVILQESCADITGSYVVYAPVDISSMNVVLNGEDSNTVSLLPSGFAIFPDGILQNREPITSVGSGGSLITVGFQILVDSVPHARLALGSVSTVNHLIKSTVERIKVAVMPNGTLNVT >CAK8538194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468403553:468405212:1 gene:gene-LATHSAT_LOCUS7421 transcript:rna-LATHSAT_LOCUS7421 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEALVNSSWELFKQNPGYSVLFYTIILKKAPAAKGMFSFLKDSTTVVDSPKLQAHAEKVFGMVHDSAVQLRTSGEVILRDATLGVIHIQKGVVDPHFVVVKEALLETIKEASGEKWSEELSTAWEVAYEGLASAIKKAMS >CAK8577993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601075965:601076204:1 gene:gene-LATHSAT_LOCUS30044 transcript:rna-LATHSAT_LOCUS30044 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRFFFIAILIALSLSSIDKVQCGANRKLLTLKFLNVGKFPGFKFPTFPPGTEWPEYRLPPPLFTFPPVTTAAATKP >CAK8564834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11579138:11585291:1 gene:gene-LATHSAT_LOCUS18093 transcript:rna-LATHSAT_LOCUS18093 gene_biotype:protein_coding transcript_biotype:protein_coding MLENVEIGEQKRRDDAYKAAKKKTGLYDDNMVINFRFNDDPSAEKKILPKYDDPVAQEGLTLDERGRFSGEAEKKLEELRRRLTGVSTNNFEDLTSSGKVSSDYYSHEEMLQFKKPKKKKSLQKKDKLDINALEAEAISSGLGVGDLGSRKDAKRQAIKDEQERLAAEMRNNAYQSAYAKADEASKLLRLEQSLYNKTGEDETPTFADDDEDLRKSLEKARRLALKKQEEKGASGPLAIALLAASNPSNETVDDPNSTAGESRENKVVFTEMEEFVWGLHIDEEGEDVFMHDLEEANVPVEEKKDEAGGWTEVKETETDEQPNSEDKEVIVPDESIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVEDEGKEVQNKNEIHIERTDEFGRILTPKEAFREFSHKFHGKERGKMKQEKRMKQFQEELKLKQMKSSDTPSLSVERMREAQARMKTPYLVLSGHVKPGQTSDPKSGFATFEKDLPGGLTPMLGDRKVEHFLGIKRKLNNRSSNTPKKTKS >CAK8564833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11579138:11585291:1 gene:gene-LATHSAT_LOCUS18093 transcript:rna-LATHSAT_LOCUS18093-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENVEIGEQKRRDDAYKAAKKKTGLYDDKFNDDPSAEKKILPKYDDPVAQEGLTLDERGRFSGEAEKKLEELRRRLTGVSTNNFEDLTSSGKVSSDYYSHEEMLQFKKPKKKKSLQKKDKLDINALEAEAISSGLGVGDLGSRKDAKRQAIKDEQERLAAEMRNNAYQSAYAKADEASKLLRLEQSLYNKTGEDETPTFADDDEDLRKSLEKARRLALKKQEEKGASGPLAIALLAASNPSNETVDDPNSTAGESRENKVVFTEMEEFVWGLHIDEEGEDVFMHDLEEANVPVEEKKDEAGGWTEVKETETDEQPNSEDKEVIVPDESIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVEDEGKEVQNKNEIHIERTDEFGRILTPKEAFREFSHKFHGKERGKMKQEKRMKQFQEELKLKQMKSSDTPSLSVERMREAQARMKTPYLVLSGHVKPGQTSDPKSGFATFEKDLPGGLTPMLGDRKVEHFLGIKRKLNNRSSNTPKKTKS >CAK8579031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668247252:668255516:1 gene:gene-LATHSAT_LOCUS31006 transcript:rna-LATHSAT_LOCUS31006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLTRRHSGTHKKAPSMSKKIENGTASEPSSIPSSPPPLESDGGERTVKKLRLSKALTISEGTSVSDACRRMAARRVDAVLLTDSNALLSGIMTDKDIATRVIAEGLRPDQTMVSKVMTRNPLFVTSDTRAIEALQKMVQGKFRHLPVVENGEVIAILDITKCLYDAISRMEKAAEQGSAIAAAVEGAERQRSSNASAPNALLETLRERIFKPSLSTLISENTKVAIASAADPVYVATKKMQELRVNSAVIVSMSGSKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPDCATVDTTILDALHMMHDGKFLHLPVLDRNGYVAACIDVLQITHAAISLVESSSGAVNDMANTIMQKFWDSALALEPPEDFDTHSDASGLVTSDGADTSKCSTGFVNSFPFKFEDLNGRMHRFNCGTEHLDELVTAVMQRVVIRDTELPVIVYEDDEGDRIFLGTDDDLVSAVSYARSAGVKALKLHLDFGNSVKPTPPPNTATRQKSSVLSLKSSIFAGAIVITSIGVLVYLKRSK >CAK8544074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661220669:661221064:-1 gene:gene-LATHSAT_LOCUS12782 transcript:rna-LATHSAT_LOCUS12782 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQQINMMKIMKTDRKVLEYATAIKVEEVLPLPPPASPKGVKKVRFANPEVQDVHKSSVVRIKVVVSKQKLQDMLDNGGISVDKMLSLAHGEKGMDGEDLCKENDDDDDDSLWERKPVLKSIPEVI >CAK8570839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126521331:126523985:-1 gene:gene-LATHSAT_LOCUS23554 transcript:rna-LATHSAT_LOCUS23554 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKNLEWNFAVDELYEHLNAPKFVDFLSLNHNINNNDDEAWFCKPDCNHPKTAEDFLRSPSPFKASRSPFYFSENLPSSDQSKRDMKLKRRVPLISSSSPQDDKFRFNIDSENQNPNLATPQLKSTKAMIKSSDEKKKPVDDALQDNTAGTSLKSTLSAKNLFSRRPILNQITEFCNELKRLAIRARERENTENLKPIESKEEVVVHEKTPPVKALTERKPQHEMGKVERLDGTGVKGKLNRKKRPDEAENMPITLDLENVGHKRENNILQQIRTNPPSPQCFSAGLPKPNPSKGSRSRLMERGILEEVEQNKEAAMDSLAHNNSKSITVNDGRETKALDMFWFFKPCTAMSS >CAK8530888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59605477:59605866:-1 gene:gene-LATHSAT_LOCUS719 transcript:rna-LATHSAT_LOCUS719 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIEEAIAEDQNNKVKEQSSKQMSMENLKNFFQRKYPNETEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPTAEDFWDAMISSRKDMKKEKN >CAK8533751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656872063:656878671:-1 gene:gene-LATHSAT_LOCUS3351 transcript:rna-LATHSAT_LOCUS3351 gene_biotype:protein_coding transcript_biotype:protein_coding MELKASSPKPESAVPSDCASDPEEKEVSDDDDDDRNHKHRRKDDHSQSLERDVLDPVINRSFRKRNKNFGNRHPFRENESMAFETLKTYSDATTDKDFYSKFERRRHGMTSVPRTPFDMNQRLRPSQSFAGDPGAGRGRGRDSGYWNQRESRFSSIDVASQMVQQGSFPPALYTGRGLPNISNAQNASWNAFGLIPAVPNGGLDMLHPMGLQGALRPPINSSLNANIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVSLSSAHLIGAHAGSGSLHSVNGSTASMNSKCKPGIISKSVVSDVGLPMDGEYPGPGCTSGADLYDPDQPLWNDRGLESSSALLNMQSSKIDDAEPMSSDAPNSVCPVEATRTSVSLQGASSSVWGRIGSSKSRFDTKEKSNPTMSSFNFPDNQLKEDNDELIGSHSASSQVKQIIAADDAIPRALEASLKAQADMRNIRKPSQKALRTLFVNGIPHKINRRESLLAHFQKFGEVTDIHIPINSERAFIQFSKREEAEAALKAPDAVMGNRFIKLWWANRDCIRSDNSTACGNGATVTPRGHPPTFVLSHPVTTDRRKDIHQPDASKTMFEGSSPSDLPKPFIADAPKVAPPLQKKLEGLEHLKEQLRMKQEILDQKRNEFKRRLNKFEKHATGPKGDVVTEKSAKRLKTSIASDVVKLASPQSSDADIGMPSPHAETTVDKNKQLVKTASQSPKANTPMRPQEPTGLRQSIQPLGPVNRYKLDNRPTAFRIIPPLPIGLANVAALKEHFLPYGELSAVELEDVQVNDSSEQEARLNFTTRGAAEQAFIKGKCWKDHNLKFVWLAPTNSGNGNATVSRERSLSAPPREPLDTEGQSEEKLGNSVNHESTVSDGEHKCSETKSPLGLTKKEPLDTEGQSEEKLGNSVNHESTVSDGEHKYSETKSPLGLTKKEQDEDLQCTTSRVSSAKQSPKDNVC >CAK8579286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689841146:689843097:-1 gene:gene-LATHSAT_LOCUS31241 transcript:rna-LATHSAT_LOCUS31241 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDMETEKLEMLEVGACKDFYEMGFLIGQRFSQRIKNRVAADTILQNQLRPFAQTPPSESLLKQLFDNNQTKFPMYWDELLGTSAGSGVPLLDILLINFKKEILPFVPKEEVKSLEDDSSNECSDVLVVNESMALAAHNEDANVSLVGHTYIIKGILPDGMFFVGYTYAGELPSSAFAFNSNGLVFTLNAVPPAEDEIVAGGIGRNFVSRHILEAQGIDDAINRIRSSEVSVGHSYNLIELSTRRIVNVETASKKRISIHEVGETPFFHANMYRHLHINQAQDESSISRLKRANVMTKTTREDFLSILGDADNEKYPIYMTGPLLHTLCTAVIDLDEQTLSIIEGNPKNKDASLVFSLSSTNLINDNFYNHL >CAK8570009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20537207:20537443:1 gene:gene-LATHSAT_LOCUS22800 transcript:rna-LATHSAT_LOCUS22800 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVNNQQQTPVSYPPPGEAYSTSQYVTAPPPMGYPSKDGSEGYPQQRIPDQTTSRGDGFWKGCCAAICCCCAIDICF >CAK8543177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585489918:585491442:-1 gene:gene-LATHSAT_LOCUS11953 transcript:rna-LATHSAT_LOCUS11953 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFNKMANQNDRISELPSNVIDAILGNLKVRDQVRTSILSTKWRYMWTSAPHLCFDDDFCQRFLDLDDPYPVMYKTITDVLMLHNGPIHKFSVSTYADSDFEINADNINMWIPFMSRDIKHLELVTHCIFKDQIPDILFSCKELTYFKFSSFNLSIPPNFCDLKKLRELHLFCVEFESSALESLILGCPSLGKLSIVQCTGCDYLVISSPSLKVLVLRFIYTKSICLEKANNLIDFSLTTYEGRCFIKSLPKIKRFSLTNCEKYEDIIPPMLRASSFSSLEYLKLDGLNLKERGQLLHIVSILKSAPKLIELDMEIYDDVNTTQMTDHSKELECCSFCPKLQTVKINGGSSQHAMSLIKFILANSPSLKSLTFSCIFINLDAPMLLKISQDLLLMERASPRARVSFRY >CAK8570613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:72598177:72599151:-1 gene:gene-LATHSAT_LOCUS23350 transcript:rna-LATHSAT_LOCUS23350 gene_biotype:protein_coding transcript_biotype:protein_coding MINSQHSSYLIESNMSIHHVSNASLFLPKAFPPFQHNNPNSVTNFPLVAIVIIGMMATSLLFLAYYTLVIKCCLDWNSFDLVRVRSFSFSRQNDQNQSSSYSMTSEARGLEQGVINSIPIIRYKEEKEYGDDGRVSCECAFCLNEFEHDEKLRAIPNCNHLFHIDCVDVWLQNNANCPLCRRRVSLTREIHVENVENIIGDCEDFVVIDLDHDNEHDDEEGQNLHERRHERGKELVVPSRDSISSNSRKKANKLQKVSSLKDGGIVGIKGKDDGFLVQPIRRSFSLDLSIEVCGDSSDRAKRSLFSFGHGSRSRGVVLPIHLDP >CAK8570614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:72598177:72599109:-1 gene:gene-LATHSAT_LOCUS23350 transcript:rna-LATHSAT_LOCUS23350-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHVSNASLFLPKAFPPFQHNNPNSVTNFPLVAIVIIGMMATSLLFLAYYTLVIKCCLDWNSFDLVRVRSFSFSRQNDQNQSSSYSMTSEARGLEQGVINSIPIIRYKEEKEYGDDGRVSCECAFCLNEFEHDEKLRAIPNCNHLFHIDCVDVWLQNNANCPLCRRRVSLTREIHVENVENIIGDCEDFVVIDLDHDNEHDDEEGQNLHERRHERGKELVVPSRDSISSNSRKKANKLQKVSSLKDGGIVGIKGKDDGFLVQPIRRSFSLDLSIEVCGDSSDRAKRSLFSFGHGSRSRGVVLPIHLDP >CAK8579568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708207828:708210380:-1 gene:gene-LATHSAT_LOCUS31503 transcript:rna-LATHSAT_LOCUS31503 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSDKKERLLPVKGSDIVGVQQEQEQSRMMSFKKKVKRVANMAYEMGRSDPRKIIFAAKMALALTLISLLIFLKEPFKDMTRHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLAVGMGELSALAGDWEEVIVIVNTFVVGFCATYAKLYPTLKPYEYGFRVFLITYCYITVSGYHTGEFLDTSISRFLLIALGAAVSLGINVCIYPIWAGEDLHNLVTQNFMGVATSLEGVVNHYLNCVEYKKIPSKILTYQAAAEDPIYSGYRSAVESTSNEDSLLGFAVWEPPHGRYKSFNYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFRNELKRVGSEGAKVLRELGNKVKKMEKLGRGDLLYEVHEAAEELQQKIDKKSYLLVNSELWEIGSRPKEETDNSNSKGLFDMEQDRKFREYKSLSEAVLDLRSIEVQNSWDGKTTDNNNFSAVPASIANENTSMKPPQTWPAHVYYKPDAKAKVEESKTLESASSLSLATFTSLLIEFVARLQNLVDSFEELGEKANFKDPLEPVVVTSAGFWTRLFNCFRS >CAK8532708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495670559:495671868:-1 gene:gene-LATHSAT_LOCUS2387 transcript:rna-LATHSAT_LOCUS2387 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVGQILGCNNEAHINMEWCNQSTSIKYLFKYINKGSDRISAVIVPNNTGQDGNTDEIKQYLDCRYVSPSEACWRIFSYSIHGRKPVVERLFFHMEGENYVYYKYFEQIGTLLLKPSVTESMFTSWFLANSQYEEARSLTYGQFVSKFVYDKRKRCWKPRKRGYTIGRLIWVPPTTGELYYMRMLLSVKKEPRSYDELKTIEGFKHNSFREACFALGFLEDDKEFIEAIKEAYNWGSGVFLRKLFVTMLLSASLNRPEHVWLYTWIYLSDGILYEQRLLSQNPDLTLSDEDIQQLTLMEIEKQLQKNRRSLKEFKPMPYPNNYVLDFLGNRLIYDERQYDIKAQEEIYHNLFQKLTGIDFTIISIILLYALLSLL >CAK8532709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495670559:495671580:-1 gene:gene-LATHSAT_LOCUS2387 transcript:rna-LATHSAT_LOCUS2387-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENYVYYKYFEQIGTLLLKPSVTESMFTSWFLANSQYEEARSLTYGQFVSKFVYDKRKRCWKPRKRGYTIGRLIWVPPTTGELYYMRMLLSVKKEPRSYDELKTIEGFKHNSFREACFALGFLEDDKEFIEAIKEAYNWGSGVFLRKLFVTMLLSASLNRPEHVWLYTWIYLSDGILYEQRLLSQNPDLTLSDEDIQQLTLMEIEKQLQKNRRSLKEFKPMPYPNNYVLDFLGNRLIYDERQYDIKAQEEIYHNLFQKLTGIDFTIISIILLYALLSLL >CAK8536317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931111650:931115756:1 gene:gene-LATHSAT_LOCUS5697 transcript:rna-LATHSAT_LOCUS5697 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEASDKLKICDADGNLNVKWLASCAKSPLCSEVLEVKETVSSEDFELEDVDDDDVALLPRYTPISSLGTCSGKFDGSVKKRLFDDSDSLHISAKKSKVLLYDVRDEYEDEDGDPLSDGTKKLGKSVDKSFSSLKKELEFVEKSFEECKRKRKEEEKRLESVKRDIEECSRELVNKKTQFSCVRRINEVHSKLQGKIEECVDDFVLKEIQLYLMELLIQEHKQELKMKVTDQAKEIISKEIELRQVIDEDRGREEEELKALSQKIAECIVERETKEKELDAMKISIDEQGERLESERKKLLKIISVRNTSQAQMKEFESMKKQFEDEVKELESTEKQCKRRVEVLRSKETQLEVRVKELESREKKLEGHVKQFESKVEELEGRMKELESEKKHFENWGKELASKEKQVEGRAMKLESKKMQVEGWMMEVVSKEEKFEGQVKELESKQKHFEIRTEELDSKEKQLESRLKEHESKERELESQVKELESKKKHFERQVNELESKENQFVGQLKEFESKKKEFEVNLNQLVKELVSKQKHYGNRTKVLESKDKQLEERVKEHESKERDFEDQMKEFESKKKHFEIQVGELKLKGQLQDGFEGRVEDLESENKQFKSRVKELECKEKQFEKQMKALQIKEAEFERQVKEFQSKEKFEEQVNDLESKLNKFGGKLKESELTKKQHEPLKKHFDKGKEPVASYMDDQLSPTMDGTSLQLDTNEKTDGVESLCNDILVHLQESSDPSRIVLNILQNPSVPRCREGDNDVIIDCSCICLLEQLMRISPNIKPRVREEALKLALDLKAKMKGKTENSLVILGFLLILSIYGLLPSFNEHDVLDLFAFVAHHKIAMKLFESLGFANKVYEFVENLIRRKQFVVAAKFSCAFNVSDKKQLVDMLLEHVQNAKLICEIHCEETNSIEIKDKARDEEIASLETVLQCISDNESLESEDLLDKIQNRILELKARKGK >CAK8574889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13715080:13721249:1 gene:gene-LATHSAT_LOCUS27188 transcript:rna-LATHSAT_LOCUS27188 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKQMEEIQKKLGTLNYPRSNASAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLHGDALDRDEETARIQYLAEIAKFLGITTTVDTEAIQGHGSYEDRTEMLRLIVDLVEATIYADNPEWSVDEQVAKDIHLIDSIAEKQAHIFSEECKLFPADVQIQSIYPLPDVSELESKLTEQSKILLNLQQKVDDLASKHAYNPDEEYTEVESQLRAHLESFLETARTFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLQNLRDSHAALAFGSSETSGGPSSVSAIISECESEMTVINRDLGILSASIAREQGKKDEHLMTI >CAK8544596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694703757:694705615:1 gene:gene-LATHSAT_LOCUS13256 transcript:rna-LATHSAT_LOCUS13256 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGKTKKIAIIGVSTFLLVAMVAVAAVSVSFNKKEAMGETKDSHITSSMKAVKSLCAPTHYKEECEESLTSQAGNTTDPRELIKIAFNITITKISKELENTQLLKDLEKDPRTSDAYDTCKELMHHSIEEFKRSLERFSQFDLNNIDRIFASLKVWLSGAITYQETCLDAFENTTGDAGQKMQEILKASMHMSSNGLAIINELSRVLTNMKPPSRRLLDESDVDPDVIGHGDFELPEWVEDRVGVRKLLKMTGRKLNAQLVVAKDGSGNCTTINEALTFVPKKNKRPFVIYIKEGVYSEYVEVPRNLTHVVFLGDGAKKSRITGNKNFIDGIGTFRTATVAVLGDFFVALGIGFENTAGAAKHQAVAIRVQSDRSIFYKCRMDGYQDTLYAHTMRQFYRDCTISGTIDFVFGDAVAVLQNCTFVVRRPMENQQCIVTAQGRKEKTQPSGLVIQGGSIVSDPAYYPVRFDNKAYLARPWKNFSRTIFMDTYIGDLITPDGYMPWQTLTGITGTETCFYAEYNNRGPGSDVSKRVKWTGVKTITSEGVTGFLPSRFFLGDDWIKVTSIPYFPGGATGSSRVPTH >CAK8576504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:485491809:485492189:1 gene:gene-LATHSAT_LOCUS28686 transcript:rna-LATHSAT_LOCUS28686 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKVLFYSKGYFVKDPNIRYDGRKVYAFTGQDPDYWSFFEACDLVKVIDSEFDLSCVKMWWKHDEGSFQEDLKQFRDDGDAFELAMYVIINNCEVEILCEPKSLIGEATFMDTVKDKGKGKPCD >CAK8544825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707472557:707475043:-1 gene:gene-LATHSAT_LOCUS13473 transcript:rna-LATHSAT_LOCUS13473 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMPLFRSCSTLRQLTHLHSHLVTTGLHNDPLASTKLIESYSQMGSLHSSRLVFYTYPSPDSFMFGVLIKCYLWNHLFDQVLSLYNYHIHMGSSRLTQNCSFLYPSLIRAASGVADLVVGRKLHGKIVKSGFSVDRVIGTSLLGMYGELCCLSDAKKVFDEMCQRDLVSWSSVVSCYVENGIHREGLEMFRSMVSEGIRPDSVTLLSVAEACAKIGCLRLAKSVHGYVIREGMIGDGSLSNSLIVMYSQCGYLCKAKRLFESLVDRSTSCWTSMISSYNQNDCFEEAIDLFVKMQDSEVESNEVTMISVLNSCARLGWLKEGKSVHCFVLRNAMDAADLDLGPALIDFYAVCWKISSCEKLLRLIGNSNVVSWNTLISFYAREGLNDKAMVLFAHMVAKGLMPDSYSLASSITASASAGSIQFGQQVHGHVMKRGFVDEFVQNSLMDMYSKCGFVDLAYTIFNKIRKKSIVTWNCMICGFSQNGISLEALNLFDEMYENCLEINEVTFLSAIQACSNLGYLDKGKWVHHKIIVTGNQNDVYINTSLVDMYAKCGDLQTARRVFDSILEKSVVSWSTMIAAHGIHGQINAAISLFTKMVNSHIKPNEVTFMNILSACRHAGSVEEGKLYFNSMRDYGVVPNQEHFASIVDLLSRAGDINGAYKIIKSIQMPVDASIWGALLNGCRIHGRMDVIENIGEELRRISTDDTGYYTLLSNIYAEGGKLYESRKVRSKMEGMGLKKVPGYSTIEIDRKIYRFGAGDTSEWQMKEICMFLENFQSLTQEQGCDVECYMYNNNSTKAAMLFDDFSFYNLQREASNCIENKSVLL >CAK8531747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:146391818:146392102:1 gene:gene-LATHSAT_LOCUS1513 transcript:rna-LATHSAT_LOCUS1513 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLRTCGVLVFWREDYLESLQGLSQVGEDIPVTGLSCLAALRDLLAAAAELPTSEHSTVAPAS >CAK8535918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891274006:891275571:1 gene:gene-LATHSAT_LOCUS5334 transcript:rna-LATHSAT_LOCUS5334-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIAERVQYPLDSSSYKIIDEIGAGNSAVVYKAICIPINSTPVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAGGSLQSIISHSFQNGLTEQSIAVILKDTLNALSYLHGQGHLHRDIKSGNILVDSNGLVKLADFGVSASIYESNNSVGVCASYSSSSSNSSSSLMFTDFAGTPYWMAPEVIHSHNGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLNITKRFRFSDFDKYIYKGHSGGSNKFSKAFKDMVASCLNQDPTKRPSAEKLLKHSFFKNCKGPEFLVKNVLNGLPSVEKRYKEIKVTMGADSKGSDDGDDEDSVVNVKQRRISGWNFNEDGLKLEPVFPKDQCREDHDVVKQVRFEEENAIQEEEDVASSGTVTETTNLNASDEADIDDVSGVVKNREATLATLSVLKGSLEQELGQVKFLMSLIGGNGEENHVADSEEKTVQEISKLRMELETERKKNLQLELQLENIKLHLISSTVNSPTS >CAK8535917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891273994:891275571:1 gene:gene-LATHSAT_LOCUS5334 transcript:rna-LATHSAT_LOCUS5334 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMASNIAERVQYPLDSSSYKIIDEIGAGNSAVVYKAICIPINSTPVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAGGSLQSIISHSFQNGLTEQSIAVILKDTLNALSYLHGQGHLHRDIKSGNILVDSNGLVKLADFGVSASIYESNNSVGVCASYSSSSSNSSSSLMFTDFAGTPYWMAPEVIHSHNGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLNITKRFRFSDFDKYIYKGHSGGSNKFSKAFKDMVASCLNQDPTKRPSAEKLLKHSFFKNCKGPEFLVKNVLNGLPSVEKRYKEIKVTMGADSKGSDDGDDEDSVVNVKQRRISGWNFNEDGLKLEPVFPKDQCREDHDVVKQVRFEEENAIQEEEDVASSGTVTETTNLNASDEADIDDVSGVVKNREATLATLSVLKGSLEQELGQVKFLMSLIGGNGEENHVADSEEKTVQEISKLRMELETERKKNLQLELQLENIKLHLISSTVNSPTS >CAK8533852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665311128:665313568:1 gene:gene-LATHSAT_LOCUS3443 transcript:rna-LATHSAT_LOCUS3443 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDSETPTWEEIEASESYLVCSMYEQAASSASSILKRLPRDSDHRDTAIQDMLQSTAMVLIQAFDQLGKTPEILDQLRMHFISLKTIPAQVLLTGACFQIAQGSAFGVREFLEEFLNGWTLGDGQYDAVIEEENVGHGSSFERHFVLGIDEYLEVVEVYAITLLATVLEDVDLAISWVENAPLPEEDRQGLLRRLHSMHSIKNTILSQVSSLQSPTTATNNNETYLLKELHKSRGLPEALKGKYADNKMYRSKDGGSKLSERIETCFWCFRSINLKFGNVEFVVPSGKIILGCLILFVCYVIKRKQATLKRTVRRQVVVVKRALVDLWRLAFSYQVNPLAAVEPLAVATRQAQ >CAK8533029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567895339:567898077:1 gene:gene-LATHSAT_LOCUS2681 transcript:rna-LATHSAT_LOCUS2681 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIGGVSVKMEDGLAEKNEKVGYVKRVIIKKKKQYHGSKVKKPISNKALQKLFVSCMETFKGPDNVPSTRHVQKLCHILDNMKPEDVGLSKEIQFFKAENIVKENPRVTYSTIYKCDNFSLCIFFLPTNGVIPLHNHPGMTVLSKLLLGQMHIKSYDWVDPDVSHNLLNQPSQLRLAKLKANKVFTSPCDTSVLYPNSGGNIHEFTAITPCAVLDVIGPPYSKDDDRDCSYYKDHLYTAFPNGEIAELKEEDDSYAWLEEIEMPENSQMDGIQYLGPQIIET >CAK8544337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679026887:679029219:1 gene:gene-LATHSAT_LOCUS13026 transcript:rna-LATHSAT_LOCUS13026 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGREDGAGTGAGGRQTKGIGRGFDDGGARGAGGRGRGGPSGKPGGSRGAGRGRG >CAK8536879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:32668363:32669772:1 gene:gene-LATHSAT_LOCUS6205 transcript:rna-LATHSAT_LOCUS6205 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTIDINALQKCPSTVRSSVSDCVQTFTDNEFANRLNSKRSTSVRCTPFSLGELQIGTANFASGRLLGEGNLGPVYRAKYADGKVLAVKKINPSFFDGGHPEEFSQILSSISKLRHPNIAELVGYCSEQEHILVYDYFRNGSLHDFLHLSDDFSKPLTWNTRIRIALGTARAVEYLHESCSPSLVHKNIKSANILLDTDLNPRLSDYGLAFLLCNIIFQSMFDV >CAK8560257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12065774:12067418:-1 gene:gene-LATHSAT_LOCUS13946 transcript:rna-LATHSAT_LOCUS13946 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRSMKLELKLGPPGEEECVNEKSMKNVKKERGEVEEEESSLTLGYFAKKDSTCDFSNAKGSSFLQLSSMQVMEKDASQSQPCSTKLQDEEKNAFSPTKKSDFPNRSQKRNSTSTAVGWPPIRSFRKNIASGSSSKPPTEQRHVVQDTVAASNKPIRNSSKGLFVKINMDGVPIGRKVDIKAYDSYEKLSSAVDDLFKSLIEEINLSHIVFSHCCSAQRDSSCDDGINKKQEEENKGMMKGSLIGSGEYSLVYEDNEGDKMLVGDVPWDMFVSTVKRLRVCKSSDLPPFNKLVVRKT >CAK8535850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885702767:885703360:1 gene:gene-LATHSAT_LOCUS5270 transcript:rna-LATHSAT_LOCUS5270 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLHVISKKVWNMVRVAFFMLKKGILKRKLMMDLNMMLKRRGKLAGKAIVNLMFHHHNHHNPGSSTSSRRSRVSNHQFITSTEYEFSCSNTPNHFFSIGKRHHSHKHNNQAPPTRDDEVMTINSMKAVLEMLNNEQAIVEASPALPGFGRSPMVRQLRVTDSPFPLRDDDEKDNQVDKAAEDFIKRFYSQLRNQD >CAK8537774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:430219478:430222368:-1 gene:gene-LATHSAT_LOCUS7028 transcript:rna-LATHSAT_LOCUS7028 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSHLALAFGILGNVISFMVYLAPMPTFYRIWKVKSTEGFQSLPYLVALFSSMLWLYYGLLKTNATFLITINSFGCVVEAIYIILYTIYATKNARILTLKIFMVMNVVSSVLIVVTIQFALRGFLRVTVLGWVCTTFAICVFAAPLTIVAKVIKTKSVEFMPFNLSLFLTLSAIVWFSYGLFLKDICIALPNVLGFVLGLFQMILYLIYKNKATAPKEEFELEQGMTNVVILSPMGILMSSPSPLRERVSKQNTEGVEENNKNVEVVVVNSCEVISSPLLDKVKKQGKEGVEEKKKKSVGTSA >CAK8571024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:270545769:270546341:-1 gene:gene-LATHSAT_LOCUS23721 transcript:rna-LATHSAT_LOCUS23721 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQVLGAICGAGVVKSFEEKVFYGKVNGGANFVAPGYTKGDGLSAEIIDTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLAHLATIPITGTGINPAKSLGAAIIFNEDLGWDDHWIFWVGPFIGATLAALYHTVVIRAIPFKSS >CAK8564479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677709623:677713453:1 gene:gene-LATHSAT_LOCUS17781 transcript:rna-LATHSAT_LOCUS17781 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNPTPDTEKASNGVPPPDDRCPIEEVALVVPETDDPSLPVMTFRSWFLGITSCVILIFLNTFFTFRTQPLTISAILMQIAVLPIGKFMAATLPTKEYSFVGWRFTLNPGPFNMKEHVIITIFANCGVSSGGGDAYSIGAITIMKAYYKQSLSFLLALFIVISTQLIGYGWAGILRRYLVDPIEMWWPANLAQVSLFRALHEKDEKSKGLTRMQFFLIAMGVSFVYYALPGYLFTVLTFLSWVCYVWPNNITAQQVGSGYHGLGVGAFTFDWAGISAYHGSPLVAPWSSIVNVGVGFIMFIYIILPLCYWKFNTFDARKFPIFSNQLFTHSGQKYDTTKILTKEYDLNIDAYNKYSKLYLSPLFALSIGSGFARFTATLTHVALFNGRDILRQSRTAMRNVKLDVHGRLMKAYKTVPEWWFLILLFGSMALSIIMSLIWKVDVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYILPGKPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDSIKDICMDDKAHHDSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGLYRNLVWLFLIGAVLPVPVWVFSKIYPDKKWIPLINIPVISYGFAGMPPATPTNIASWLLTGMIFNFFVFRFHKRWWQKYNYVLSAALDAGTAFMGVLIFFALQNAGHSLKWWGTELDHCPLATCPTAPGIIVDGCPVF >CAK8530788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49900724:49903783:-1 gene:gene-LATHSAT_LOCUS629 transcript:rna-LATHSAT_LOCUS629 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNFDSKNQVQVVEDLSARVSRLSPSDHISNSYSPMEDEVDGDLRNHNHVTKENCDSLSSVSTEENSMNLSRLYPELPEESLERNEEDMEALAGIQNQRKYFYYDAPLNEDTGVWIPVSVPPMMEDDHKEWTKGFHSSGGFFPEDDMGWNQYVGGDKELTMWEVLVEILLVARGKVSSLASGDIHTYSFSWLSSHVLEQAWREMAQTLTETSFGNVKELIEAEPPKWLADSAAASCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLMPSKFRVSDPQRVCDVCFVRVESIQPYLMDHVSNASQLPTHDLTDLSTLRSWVNFPWGQTMEYEIYKATNTIKSYNQIGLLKPDKTIPDVILRQAKGLAIITVAKVGVMVTYNIGTGIVVARREDGSWSPPSAVSTFGMGWGAQAGGELTDLIIVLRTNDAVKMFSGNAHLSLGAGLSAAVGVVGRAVEADVRAGDGGYAACYTYSCSKGAFVGCSLEGSIVTTRTQENCRFYGSQSLSATDILLGSLPRPPAAAILYRALADLYLKIDGY >CAK8535768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880521916:880522872:1 gene:gene-LATHSAT_LOCUS5193 transcript:rna-LATHSAT_LOCUS5193 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFVVKTLEQTIQISKETQTIKLSTKKDLKPFKNYNVIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTLHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIHRSLSARHSVSEFYTTPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFQL >CAK8532305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:244143265:244144296:1 gene:gene-LATHSAT_LOCUS2029 transcript:rna-LATHSAT_LOCUS2029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGNHNPHPGGNSDELRQYFCHQCQRTAFISTPTADLICPNCNSTFLEELEAPIPNPNPNPNPIPPFFSHTTDFPAAGFSFPLVFSGGAAPQPFNDLSALLSSSPSQPAPFGDAFNPVAFIQNYLQNMRAGGGNVQFFINDGDDAARQFRFPGNANPGDYFFGPGLEDLIQQLAENDPSRRGTPPAAKSAVEKLPVIEVTGELLESDSSQCAVCKDTFVLGEKAKQMPCKHIYHADCILPWLEMHNSCPVCRHELPTDDPDYEQRARGGGDSGATGGNDSVRRFRVSLPFMPFRAPSAAGTSNAGNVDNNSNSNSNSEQSNAENRGNQNFGSETRQEDLD >CAK8573666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627961521:627962870:-1 gene:gene-LATHSAT_LOCUS26081 transcript:rna-LATHSAT_LOCUS26081 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPELNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQLDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKILSDDLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8560401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17550069:17550605:1 gene:gene-LATHSAT_LOCUS14075 transcript:rna-LATHSAT_LOCUS14075 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIAETFIFLYVGMDALDMEKWRMTHLRFGNLLGIYNCLIFLILLGRGAFIFPLSTLVNYMNRRVEEIPSITLQHQIIIWWAGLMRGAVSIALAL >CAK8532091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201032813:201033382:-1 gene:gene-LATHSAT_LOCUS1828 transcript:rna-LATHSAT_LOCUS1828 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFSSKKASCLPPPPTPTTTTAKSSSNRRQIPRSPLQDLYRISSSNNGSDASSSVSTKVPKGCLRFLASSSFKTPMNRPKNITKTPNSASHGLVLKQPKSNSSKENHPNGDNIRLQTKTVVPNKARKNPLCLYQWQSGKKTGSRTGQKSKLSLALNENGKKLSTPKESKKKEDINVVESSRLKSSYS >CAK8564396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672281451:672284788:1 gene:gene-LATHSAT_LOCUS17706 transcript:rna-LATHSAT_LOCUS17706 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTNVVSSYLILFLATFFFFFTSVTSEDPYKYFTWKVTYGDIYPLGLKQQGILINGQFPGPQIDTVTNENLIISVFNYLSEPFLISWNGIQHRRNSWQDGVSGTNCPIPPGRNFTYRLQAKDQIGSYFYFPSLGMHKAAGAFGAIRIWSRPGIPVPFPSPSGDITILAGDWFKLGHRRLRRVVESGHNLPFPDGLLINGRGWNGNTFTVDQGRTYRFRISNVGLATSLNFRIQGHKLKLVEVEGSHTLQNTYSSLDVHLGQSYSVLVTADQPVKDYYIVVSTRFTRRVLTTTSILHYSNSRIGVSGPPPPGPTLDIASSVFQARTIRWNLTASGPRPNPQGSYHYGLIKPSRTIMLANSAPYINGRQRYAVNSVSYIAPDTPLKLADYFNIPGVFYVGSIPTSPTGGNNGYLQTAVMGANFHENVEIVFQNWESSVQSWHIDGYSFFVVGFGSGQWTPDSRGRYNLRDTVARCTTQVYPRSWTAIYIALDNVGMWNIRSENWERQYLGQQFYLRVYTPSKSLRDEYPVPKNALLCGRASGRVTRPF >CAK8573150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589584154:589584675:-1 gene:gene-LATHSAT_LOCUS25630 transcript:rna-LATHSAT_LOCUS25630 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGQFSTKGLDGASSGDIEWYFRTPEPESYNNVRCKLCYVVIKGGIMRLKQHITHMKGQVPACGKVTTMVRENIMKLLLDSKAKRNDSKKKKEEFEEHLRGDDEDADEDVNTLIDDRLRYATQEILRSHREWENMEQFRRETRASKNVYEHSGSSRVNVSGAERQKISLFL >CAK8576584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:495047938:495050733:-1 gene:gene-LATHSAT_LOCUS28760 transcript:rna-LATHSAT_LOCUS28760 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSLVIANGNDIDNSQPNPQRTYQAVVIATRDMGISKDGILPWTLPTDQKFFEDITTITSDPKKKNAVVMGRKSWEAIPPESRPLSGRLNVVLTRSGSFDIATAENVLICGSVSSAMELLAASPYCLSIEKVFLTGGGEIFREALHGPGCEAIHITEIEASIECDTFMPRIDFSVFHPWYSSFPLVENGIRYSFNTYVRVRCSTEESQGLNTDPILYDSSNSLKFEVKDFSFLPKIIFERHEEYKYLRLVEEIISEGTTKDDRTGTGTLSIFGSKMRFNLRKSFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSIGLKEREQGDLGPVYGFQWRHFGAKYTNMHEDYSNQGFDQLLDVINKIKHNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVIGDTHVYRNHVRPLQEQLQNLPKPFPILKINPKKKDIDSFVGADFKLIGYDPHQKIEMKMAV >CAK8560293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13250178:13255990:-1 gene:gene-LATHSAT_LOCUS13980 transcript:rna-LATHSAT_LOCUS13980-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRTTGVGMNSAMDDMNLIQQAQRHHLVVREIGEEIDLEIGPGEDDPSFGNTTLIGAPLRESSAEDHGESKQMMVVSQLSNDVQDMSQTQQVKRKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCREFGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKDKIMADKPVYVKAVMSKTAGSILEATLKRDPHEVEFIQAVQEVVQALERVIGKNSHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVQFNQSMGPCRGGIRFHPSVNLSITKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSENEIMRFCQSFMCEMYHYLGPDKDLPSEEMGVGTREMGYLFGQYRRLVGHFQGTFTGPRIFWSGSSLRPEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIALHVLEKLIAYGALPISVSDSRGYLVDEDGFDYMKVQFLRDIKAQHRSLRDYSKTYARSKYYDEAKPWVERYDLAFACATQNEIDQADAINLVNSGCRILIEGSNMPCTPDAVQVLRKANVLVAPAMAAGAGGVVAGELELNHECSLMNWSPEDFESKLQEAMKQTYQRAIKAATDFGYQKESPEALVHGAVISAFLTIAQAMTDQGCV >CAK8560294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13250178:13255990:-1 gene:gene-LATHSAT_LOCUS13980 transcript:rna-LATHSAT_LOCUS13980 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRTTGVGMNSAMDDMNLIQQAQRHHLVVREIGEEIDLEIGPGEDDPSFGNTTLIGAPLRESSAEDHGESKQMMVVSQLSNDVQDMSQTQQVKRKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCREFGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKDKIMADKPVYVKAGSILEATLKRDPHEVEFIQAVQEVVQALERVIGKNSHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVQFNQSMGPCRGGIRFHPSVNLSITKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSENEIMRFCQSFMCEMYHYLGPDKDLPSEEMGVGTREMGYLFGQYRRLVGHFQGTFTGPRIFWSGSSLRPEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIALHVLEKLIAYGALPISVSDSRGYLVDEDGFDYMKVQFLRDIKAQHRSLRDYSKTYARSKYYDEAKPWVERYDLAFACATQNEIDQADAINLVNSGCRILIEGSNMPCTPDAVQVLRKANVLVAPAMAAGAGGVVAGELELNHECSLMNWSPEDFESKLQEAMKQTYQRAIKAATDFGYQKESPEALVHGAVISAFLTIAQAMTDQGCV >CAK8561681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:354613600:354617538:1 gene:gene-LATHSAT_LOCUS15249 transcript:rna-LATHSAT_LOCUS15249 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFHIQENSDPNPSSTDVYHLALDIGGSLCKLVYFTKDDDHFVDGEAEISSRSASEKSKGNRNHPVLKGRLNFKKFETSKINDCIDFIKTMKLHLGGVQQQENPGNQPISIKATGGGPYKYADLFKERLGITLDKEDEMDCLVAGANFLLEVVDREAFTYMGDQRQFMQIDQNDLYPYLLVNIGSGVGMIKVEGDGKFERVSGTSIGGGTFWGLGKLLTKCKSFDELLELSYQGNNRAVDMLVGDIYGGMDYSKIGLSSTAIASSFGKAMSDNKDREDYKPEDIARSLLRMISNNIGQISYLNALRFGLKRIFFGGFFIRRHPFTMDTLSVAVNFWSKGEAKAMFLRHEGFLGAVGAFMSSDKHGLKELLVKQNTQQSPTKLSFAVNKLQGPLDGELNGDESIECSVYAA >CAK8532089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201004966:201005446:-1 gene:gene-LATHSAT_LOCUS1826 transcript:rna-LATHSAT_LOCUS1826 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8570340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37750908:37751360:-1 gene:gene-LATHSAT_LOCUS23094 transcript:rna-LATHSAT_LOCUS23094 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLTRNELELIFEKLDSNSDGFVTLEELNQLLKRTGFKFSIEELESLVGVKSLDMSEFMLFYDSINGERKDGDEIEEVESDLLKAFKVFDLDGDGFITSIELECVLKRLDMWGEEKDCRLMIHSYDTNLDGKLDFKEFKNMMLLTLKRI >CAK8567596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527697052:527699490:1 gene:gene-LATHSAT_LOCUS20635 transcript:rna-LATHSAT_LOCUS20635 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSNWFSSVKKVFKSSSKDSPVPENKKEKEENWQNEAAEEVSMEHFPAYSSPDITNEGSTTSTPVTEERNHAIAYAVATAAAAEAAVAAAEAAARVVRLAGYGRNSKVERAAILIQSHYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQGRVRARRLQLTHEKHQRTIEEEQQHHPMTNGWDYRRQSSQKIKEKALPHTFNRQQQQKQYLHIEPSVDDIESYVNERERAQLDWNWLEQWMLSQSHNVKHLGLGPLETPPYTTTTDDMSEEKTVEMDMMGLMNQEYHELSPMSKYHQRQHSVPSYMAPTQSAKAKIRTQGPSKHRASFGSYWSSSTRSPTIGLGYDSSGSSGATAAHAITRSPSPKMNGVRLQSKRILGAEDWPIPPGGHGWTRFD >CAK8565462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:225724152:225725003:-1 gene:gene-LATHSAT_LOCUS18678 transcript:rna-LATHSAT_LOCUS18678 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLLQPLLPHFNHSSHEINQHTNNNTNNNIIRRILFFLFVALISIWANYEASKTFDIYIVNDTKDSLAGHRFTLFYISNDKAARIVLNTSSVVEKILYPNSNHDNVKNKKNIKTVTLRLVRRNLNTTTRITTAVGENNNKNYVIEISSMLLEDESFNEMVIVGEIQRAMARIWLWDGRSRAPPRLLNGMAEYVAELAGFRREWLFRGDGELPECEVGRGLWWEDKDPTHVARLLNYCENYEKGFIQRLNEALIDTWHDRVVDDLLGLNDNKLCGLYNASYL >CAK8567265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499028001:499028417:1 gene:gene-LATHSAT_LOCUS20331 transcript:rna-LATHSAT_LOCUS20331 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNFPYAAEGVMPVIVMNTVLSVVLLKNMFRSILQVVRCTSSTITNPTNLEEEEEVYYQDSSSRERRVSITQYKSLCYNRSNIGRSPMVECCVCLSGFEENQEVSELPCKHFFHRGCLEKWFDNKHSSCPLCRSME >CAK8564679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6997280:6998732:1 gene:gene-LATHSAT_LOCUS17958 transcript:rna-LATHSAT_LOCUS17958 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSNSVPNLRDHSQFEQAFLLPPNNKMSSSNRCDEIGESCLDGLLSELGGMRLNYRAYSDSLLSEQQQQDVPYWLKDLLDDDDEEPEEEEKLASNVCRTHRRSSSDSIAYLHNKNILQEPDRKERNNNGTNPQLSFLHQQHPRVDPSKRVRRYIYMSYLACSLLLMILKHLFYLDTIQCRHSAQQYRARKVQYIGELERSVQSLQAEGYEVSAELEFLDQQNLILGMENRALKQRLDSLSQEHFIKCLEQEVLEKEISRLRNLYQQQQQHRQQQQQQKHNGRNRSKRQDIDSSIAKLSLKNKGTESSQKAI >CAK8564678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6997280:6998732:1 gene:gene-LATHSAT_LOCUS17958 transcript:rna-LATHSAT_LOCUS17958-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSNSVPNLRDHSQFEQAFLLPPNNKMSSSNRCDEIGESCLDGLLSELGGMRLNYRAYSDSLLSEQQQQDVPYWLKDLLDDDDEEPEEEEKLASNVCRTHRRSSSDSIAYLHNKNILQEPDRKERNNNGTNPQLSFLHQQHPRVDPSKRVRRHSAQQYRARKVQYIGELERSVQSLQAEGYEVSAELEFLDQQNLILGMENRALKQRLDSLSQEHFIKCLEQEVLEKEISRLRNLYQQQQQHRQQQQQQKHNGRNRSKRQDIDSSIAKLSLKNKGTESSQKAI >CAK8533611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641485880:641491774:1 gene:gene-LATHSAT_LOCUS3226 transcript:rna-LATHSAT_LOCUS3226 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSRRGGNWAQSILPSSNPKSKVPRKGRRRTLLKDFIFSNFFFIGLLVSLLLFLIILLRYGVPKPITSPFRTRSSRFRKSFTRKPLFGENGNRSTTLFGGSATVDLTTKDLYNKIEFLDVDGGAWKQGWSVTYRGNEWDNEKLKIFVVPHSHNDPGWKLTVEEYYDRQSRHILDTIVETLNKDSRRKFIWEEMSYLERWWRDTSTTDVMKETFINLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGFVPKNNWAIDPFGYSSTMAYLLRRMGFDNMLIQRTHYEVKKELAWHKNLEYVWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMEGFTYEKCPWGPSPEEITQENVQEWALKLLDQYRKKSTLYRTNTLLVPLGDDFRYINVQEAEAQFRNYQMLFDYINSNPSLNAEAKFGTLEDYFVMLREEAERINYTSPGEVGSGLVEGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRATEMMVALTLGFCRRAHCEKFAMAFSYKLTAARRNLALFQHHDGVTGTAKDHVVKDYGTRMHTSLQDLQIFMSKGIEPLLGIRYDKLDQSPSEFEPAIVRSKYDAQPLHKVINLHDGTYHSVVFYNPLEQTREEVVMVVVDRPDVTVVDTNMSCVHSQISPELQYDNSKIFTGKHRVYWKVLVPAMGLETYYIGNGFVGCEKAEPAKLKLFSKASSVTCPSPYSCGKIEGDVVEIENQHQKLTFNVRYGLLQKVTLMNSSPNIVNEEIGMYDSSGGAYLFKPSGEAQPIIEGDGLMLISKGSLLHEVFSYPKTAWEKSPISHSTRIYTGESAVQGLVIEKEYHVELTDNDFNDREMIVRYKTDIDSKKVFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQGSNGRRFSVHSRQALGVASLQNGWLEIMLDRRLVKDDGRGLGQGVMDNRVMNVVFHLTVESNISFISNSVSSSFAHNPSLLSHRVGSHLNYPLHAFISKKSQELSDMPPLPRSFSPLATSLPCDLHIVNFKVPKPLKFLQQPPESPRFVLILNRRHYDSSYCRKGRSPECTRLADDPVNLFSMFKDLTVLKAKATSLNLLHEDPEIIGFTEQFADLAQEGHVSISPMEIQAYRLEVQPQQ >CAK8535050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808059597:808059956:1 gene:gene-LATHSAT_LOCUS4533 transcript:rna-LATHSAT_LOCUS4533 gene_biotype:protein_coding transcript_biotype:protein_coding METIETQLKTKVYTPSHVDSCIICLNKFINQEEIAIFQCEHEFHVDCITKCLILRNSCPNCKSEAITSQDKDA >CAK8568218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582821919:582832893:-1 gene:gene-LATHSAT_LOCUS21198 transcript:rna-LATHSAT_LOCUS21198 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPYLDGNIHKILDSRYLHPRLDVNQSLQTHSSLIRRLSQEKELEGHLGCVNTVAWNSKGSLLISGSDDTRINIWSYYSQKLVHSIDTGHTANIFCTKFIPETSDELVVSGAGDAEVRLFNRSRLNGNGLDDVAIVPSALYQCHTRRVKKLAVENGNPNVVWSASEDGTLRQHDFREGTSCPPAGASHQECHNVLLDLRNGAKRSLGDPPKQVLSLKSFDISSTRPHLLLVGGSDAFARLYDRRMLPPLSSSGKRMSPPPCVNYFCPMHLSDRGHPNLHLTHVTFSPDGSEVLLSYSGEHVYLMNVNHAGVNEVQYTSGDVSKLVTYSPTVNGVELQPFVPNVFPNGFHAKKNIAAKLDKCRKLIKYAKKSLEEGVFYYGIEACNEVLSGYNHIIGPAIKHECLCTRAALLLKRKWKNDAHMAIRDCHAARKIDKSSYKPLYYMSEALSQLGKHKEALDFAVASHSLAPSKSEVAERVEKVKKDIVLAETEKNSKKNDGASRIDGRGGRMLSLSDILYRSEANSDASQDGPGSDRDDSDYDEDLELDFETSISDDEGHDSDSNLLHGSLNLRIHQRGDSEEHVGASGSCESPSSSSSSSQNGRASYQPEAAIDMKQRFIGHCNVGTDIKQANFLGQRGEYVASGSDDGRWFIWEKRTGRLMKMLSGDESVVNCVQSHPFDFAVATSGIDSTIKIWTPRAPVPSSVAGGSAGPETGDVLTAMENNQQKLSRNRDSILPFELLEPFRMHEFPEGSLRMRPFECAQS >CAK8577192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544094250:544094639:1 gene:gene-LATHSAT_LOCUS29321 transcript:rna-LATHSAT_LOCUS29321 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEETVHKLASLLLVFLGGMYIVLFLMGKGGHSHSHNQPMKKMAVAGLILVPALSPCTTTLLVFLAVGNSSSMMVVAIIVLLFSTISVMTSLVALSFYGASQLKFHWVERWRACIEGCFPYERV >CAK8536208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917453106:917453420:1 gene:gene-LATHSAT_LOCUS5599 transcript:rna-LATHSAT_LOCUS5599 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKCFSFLTIYLLLSLNSLETVIGGRIIPPSAPSTVTRPLVSSEVENFVMPQQERKQKAFQIGREVKGCLPKGSRHNSAPSRFVNFKPEGSGGCSKIHSGKP >CAK8572554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546093996:546097351:-1 gene:gene-LATHSAT_LOCUS25107 transcript:rna-LATHSAT_LOCUS25107 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQSVVENYANPRTCFFHVLFKGAALAFYILSALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEIDDLGESVWKFECLDQESLARMNKKDSWLFWWTLYLSAVAWIMLAIFSLIRLQADYLLVVGVCLTLSIANIVGFTKCKKDAKKQIQQYASQTIASRFSSTLQSAFSIV >CAK8570580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63514763:63515917:-1 gene:gene-LATHSAT_LOCUS23318 transcript:rna-LATHSAT_LOCUS23318 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSIFFSLILALFTNLNPPHFAESSSDYTTLIYKGCSKETFTDPNGLYSQTLSTLFGSLVSQSTKTKFYKTTSGSGQNSITGLFQCRGDLTNSDCYSCVNKLPILSNKLCGRTIAARVQLLGCYLLYEVVGYVQISGMQMLYKTCGATNVAGSGFGERRDTAFSVMENGVASGHGFYTTSYMAFYVLGQCEGDVGDSDCGQCMKNAVQKAQVECGSSISGQVFLHKCFISFSYYPNGVPSRSSQSSYSSLSSGQNPGKTAAIILGGIAGVAFLVIFLLFARSLRKKHSDY >CAK8540469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8447735:8448568:-1 gene:gene-LATHSAT_LOCUS9472 transcript:rna-LATHSAT_LOCUS9472 gene_biotype:protein_coding transcript_biotype:protein_coding MTRADDGSSGRSRMMRKSHVRFPEKGVATYWSFDQPPPVNSALGPPLGVWGSRQRKIKAAYQFFLYTLLGSIFMLLAILLILFQTGTADLQISLTTEFSERRQIFLWIASFAAFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPSKLGAHGFLRFSIPMFPEATLCSTPFIYTPSAIAIIYTSLTTSRQIDLKKIIAYSSVAHMNLVTIGMFSRAAVVRSPIFSYGHKRQGQNMCAGRATHQPTSNGGENIACRNKSLIQGVSKTLPSVPKTKAP >CAK8563722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626875495:626876919:1 gene:gene-LATHSAT_LOCUS17101 transcript:rna-LATHSAT_LOCUS17101 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFPSFVNGLARTMSIKKDKSFPKDDARKVVEELAKEARKNELLLTSSGVVKSNKDNTLVSVFTHRGQKGVNQDRLVVWEEFGCQQDMMLCGVFDGHGPWGHFVAKRVRKLVPSLLLCNWQQNLAATSIDLDFKVQENKNIHGLNLWEQSYFKTFAAVDQDLKQHTGIDSFRSGATALTMIKQGENLIIANLGDSRLVLATTSEDGTLLALQLTTDFKPNLPKEAERIEESKGVVFCMKDEPGVYRVWMPNGKTPGLAISRAFGDYCMKEYGLISVPEVTHRKVTIRDQFIILATDGVWDVVSNEEAVKIVCATPDKEKAGERVVKYAIREWKRKRSGIAMDDMSVICLFFNHSYPSKLVD >CAK8567201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494197359:494201485:-1 gene:gene-LATHSAT_LOCUS20272 transcript:rna-LATHSAT_LOCUS20272 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIKSEFEKAGFNLDEEEEILNKCLTFCINYSLTAMDLVSSWEIYYLNRQLDEPIVPNAEMEGFLLHLQNSVKEDIIKEETGLHLYSIGDVEMISNNDDDTKEDTPSTPTNNRQDVYSPIHDTPSLYGNVLASGKPADLVTPFSKRTDRFAVKFSINTLSDTDNGKQELNRENAENDEYSIVKKVITRKRCSLVIRGSGPKPGCRFMYDRTEDKINAIENRIRKHARALVASGLYEEPTDPTIASPRSFFAVGMICCDGEGRLNEKSVMLQSSIEHSGGECIRLDLQHLSHYSVFPGQVVGIGGHNPSGHCLVASKLVDSIPISVAMEDLNPSKKQAIDKENQPTELLSNQRELSMIIAAGPFTTTDNLLFEPLVELLAYAKRRPPQLLVLLGPFIDSEHPDIKKGTVDMDFDDIFRSQVLRKLEDYVESMGSSVRVLFVPSIRDANHDFVFPQPPFDISVSQIASLPNPGIFEANEVKVGCCTLDVLKQISGEEISRTAADAKPIDRLSRLTNHILNQQSFYPLYPPAESVPLDFSLAPEALQLSSVPDVLILPSDIKYFVKVLNNESEETKGGKCIAVNPGRLAKGEGGGTFVELDYSGGPDKINASIVAI >CAK8563385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599041950:599042650:-1 gene:gene-LATHSAT_LOCUS16804 transcript:rna-LATHSAT_LOCUS16804 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLQLKSLNHISLVCKSLEKSVDFYVNVLGFVPIKRPTSLNFNGAWLFNYGIGIHLLQSDDPEGMTKNPHINPKDNHISFQCESMGAVEKKLQEMKIEYVKNRVEENGIYVDQLFFHDPDGSMIEICNCDNIPVVPLSENKVRSCSRFNCNIPNLQQQQQIQQMIPM >CAK8578515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634588640:634589077:1 gene:gene-LATHSAT_LOCUS30520 transcript:rna-LATHSAT_LOCUS30520 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHSNGKGISSSALPYRRTTPSWLKISSQDVDETICMFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFKLILVASRIHHLARYYKKTKKLPPVWKYESTT >CAK8577483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568163858:568165450:-1 gene:gene-LATHSAT_LOCUS29587 transcript:rna-LATHSAT_LOCUS29587 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSRSTPTMSTDSLEQKGQNITESNASIIQCPLSQQHRSSLDGPVSILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALCNAGKFVWDWPVVARGEGFVPPSKLLAQPRGSSVELAGYLMGCHINDSLDGQNEEEAIVYRGMSQSYYNSREFSLVSQSLSEYNPPNMSYLPTSMRSYSLPTGLNDVAGGPMPSSDNTDCQTWVQPGDLNGLKGQLIRLLELSGGCLPLVRAPAEYQKLYGKPLFISEYGAFKLIDLFKKMDDAISVEGKGARRFVYLRNRKGSTSTPPLSLAKKDKKGKGTLEENANAINGGCSSDELSDEERVVVEEHDARNFTGKRNKGRTARYQIDGRVLEQFKCELQEILVSYSCRILLSCFEAVYKQRYKKQLEYQQFGVDKLEDLLEKVSDVVVMHEEPVSKRKFLSAVGG >CAK8530893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60008466:60008804:-1 gene:gene-LATHSAT_LOCUS724 transcript:rna-LATHSAT_LOCUS724 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLICSNNICGSYDRAGIKNMCGKCFMESHKEDFQRFFKHENEKYPKSEEFHETHTLEGHKQNSPKSYKHEDKECPKSEEIESQVSDEFNVCATLATITLNDSTNMKMDSK >CAK8575877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:347081281:347086231:1 gene:gene-LATHSAT_LOCUS28103 transcript:rna-LATHSAT_LOCUS28103 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVYVFVAFMLCGCAAGRECTNSPTQSHTLQYELGASKNETWKKEVMSHYHVTPTDDSAWADLLPRKLLTEEHQRDWTVMYRKVKNLGVFKTPVGFLKEVPLEDVRLLEGSIHAETQQTNLEYLLMLDVDSMIWNFRKTAGLPTPGTPYGGWEAPGVELRGHFVGHYLSASALMWASTMNDSLKKKMSALVTGLSACQEKIGTGYLSAFPAEFFDRFEASRPVWAPYYTIHKILAGLLDQHTLVGNPQALKMLTWMVDYFYNRVMNVVTKYTVHRHYDSLNDETGGMNDVLYKLYSLTGDSKHLLLAHLFDKPCFLGLLSVEADDIADFHANTHIPIVVGAQMRYEVTGDPLYKDIGIFFMRIVNSSHSYATGGTSVGEFWRNPKRMADTMSTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLGVQRGTDPGVMIYMLPLANGVSKAKTFHGWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGNNPSLYIIQYISSSFNWKSGNVLLTQRVVPAASSDPYLRVTFTLSPNEKNGVSSTLNFRLPSWTHTDGAKAILNTETLSLPAPGNFLSIARQWGASDKLDLQLPLILRTEAIKDDRPEFASLQAILYGPYLLAGHTSRNWDIKAGGNTSVADWITPIPSSYNNQLVSLSQDFENSTFVITNTNQSLSMQNLPAAGTEFALYATFRLIQKDPTSKSVILEPIDLPGMTVSHQGPDQPLIVVDSSHDGPFSNFLVVPGLDRRNQTVSLESESNKDCYVHSDMRPGSGLKLKCKSDSEASFNQATSFVFGKGLKQYHPISFVAKGAYQDFLLEPLFSFRDEHYTVYFNIQG >CAK8575878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:347085746:347086231:1 gene:gene-LATHSAT_LOCUS28103 transcript:rna-LATHSAT_LOCUS28103-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLPAAGTEFALYATFRLIQKDPTSKSVILEPIDLPGMTVSHQGPDQPLIVVDSSHDGPFSNFLVVPGLDRRNQTVSLESESNKDCYVHSDMRPGSGLKLKCKSDSEASFNQATSFVFGKGLKQYHPISFVAKGAYQDFLLEPLFSFRDEHYTVYFNIQG >CAK8560934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:60176459:60194130:1 gene:gene-LATHSAT_LOCUS14570 transcript:rna-LATHSAT_LOCUS14570 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQLKRIENATSRQVTFSKRRNGLMKKAFELSVLCDAEVALIVFSPRGRLYEFANSSILETIERYRSHTRINNTPTTTESAENIQRLKEEAENMMKKIDLLETSKRKFLGEGSGSCSIDELQRIEQQLERSITVIRVKKAEVFGEQIDQLKEKEKALVAENSRLSEKYDSFSSQQAKKDDRENVVEVESYADQSSPISDVETELFIGFPETRTRRISPNLRTN >CAK8541247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:96548733:96559260:-1 gene:gene-LATHSAT_LOCUS10179 transcript:rna-LATHSAT_LOCUS10179 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTCFTVTPTSRLLTFTSSTFHKPFPKPFPLQPNRRINLRTSTFVPKATVDVSQAIRPGGVVETDQIPTDVRKRTMDAVDASGGRVTIGDVASLAGLKVNEAQKALQALAADTDGFLEVSEEGDILYVFPKDYRLKLGAKSFKIKAEPFFEKAKGAGEYLIRVSFGTALIASIVIVYTAIIALLTSSRSEEDNRGRRGGRSYDSGFTSFFSPTDLFWYWDPYYYRRRRVQVDVDDNKTNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDIDSTERIKDDESYILPVLLRFDGQPVVDEEGNILYRFPSLQRTASQKSKRKEYVGKRWAGWVGGVEKFFKEKKWQFSKTSSSERAMVIGLGGLNLFGVIVLGTMLKEVAVRPDSFIKFVADIFPILQVYAGSFFAIPLVRWFFVRKRNSNIEKRNKARQQCAQVLELPDISLTQKIFNARDMAQKTVIGQDQIVYSTDKDFLEQEFEANEWDKKFRELERSD >CAK8566980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477561487:477563730:1 gene:gene-LATHSAT_LOCUS20074 transcript:rna-LATHSAT_LOCUS20074 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDLRPRIRHDFILSNRYAVDEYWRTLEYCYAAANKKAALYAFPGSVVHEVFCFRSWASNRVMTVEQRAELLKHVSKHDLSEKIPYKDCEKIEKDLNLTLEQVLSMYYSKRRQGLNQLNDEESENNSLQRKGNSSCRRKKDSPEFRPSKYARIDADVTDKHIDEQHNVDIHSEELVTHVQEFEEGNYEIEGSQDCSPSISQSILTSMTAIRPLCQTRFTWSDKTDKQLVIQYVRHRVVLGANYHRTDWTSLTDLPAPPHACRRRMAILNGNLRLRKAVNRFCSMLSERYAKQLEKAQNLSSNIDDCRLFVQSQSSKGVHNSFSPDVEIQKGSLNGEAWDDFENKSIKTALEEILRCKMMAKLDASSQNVQLQYENCNRYESQENKKTTSAVHSEIIQSHHGKPQTFSSQRSCLDMKISKFLNNRPSVYGQVYESLAVSNVVELFKLVFLSTATSPLAPNLLADILRRYSEHDLLAAFNYLREKKIMVGGNNSRFELSQRFLHSVSQSPFPFEIGKQAVKFSAWLKERDKDLNVMGTDLAENLQRGDTFHLFSLISSGELSISPSLPTNGVGEVDDLRSGKRKPDAKGEIISRREKGFPGIIVSVHRTTVSRADILDLFKENDNNNNDQHCEGNFQVNSAQSSNCSLTDHMLETVNSCDQVPENKNHIESPWEAMTEYVRHLMTVPSNQEQKCAVCAEVFMVVYDTIKKADNLKVSEAKKATARCTCQG >CAK8567030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480809803:480818653:-1 gene:gene-LATHSAT_LOCUS20120 transcript:rna-LATHSAT_LOCUS20120 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRTAARSADDDPNQRSKRKKTALNAENLETSSAGMGITDGKVSLYHCNYCNKDISGKIRIKCVVCQDFDLCIECFSVGAELTPHKSNHPYRVMDNLSFPLVCPDWSADEEMLLLEAIDMYGFGNWNEVADNVGTKSKSQCIDHYNAEYLNSPCFPLPDLSHVMGKNKDELLAMAKGHQVKKEFSPSAELTLKEEPLFSDGINTEESKQAATTDQTTSRLTSASGKALSSSIKKASNMNQNNDGVKVEVKVEESQAGRSIGEKKPKLSGEYRPSMKALSGYNSKRGEFDVEYDNDAEQILAEMEFRDTDTEAERETKLQVLRIYSKRLDERKRRKIFILERNLLYPDPFEKSLSPEELKICQKYKVFMRFHSKEEHEELLKTIIEEHRLVKRIQDLQEARISGCVTASDAYQFIEQKRTKEAEQGGQIGTSGKPLQKPNFPKVELGSSPCDTKDTPTAIQAITTTIEEWDISDFEGAELLSESEIKLCNEIRLLPPHFLRIKQTMQLGISKGNITKKSDAYPLFKFSPSKIDRIYDKLLEKETGQA >CAK8559942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2065394:2068301:-1 gene:gene-LATHSAT_LOCUS13673 transcript:rna-LATHSAT_LOCUS13673-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNRVYFIYALFLTILVNANASIHHYTNQPFTHRSNAFFFHAGTEAIFSSSSSFIRFETVSFTRPRESALTHGQMQTHTGLVEAIILEVKDRNRIGGFYFNPKSDFICCTPDLAKQYNCNLGEVIIHNNPDKPDFPKRIKTFFRGIDEVARMDPQTVEINATGMYYLYFMFCDPNLKGTTVTGKTVWRNPNGYLPGKMAPLMTLYGFMSLAYLLLGLLWFLRFLQFWKEKDIVHVHLHYHITAVIALGMCEMALWYFEYANFNVTGTRPMGITIWAVTFTSVKKTLSRLLLLVVSMGYGVVRPTLGAQGVTYRVVLLGVMYFVACEAFELVEHLGNINDFSGKSKLLLVLPVVCLDSCFILWIFSSLSKTLEKLQTRRNLAKLEIYRKFTNTLAVSVLLSIAWIGFELYFNATDPLSELWQIAWIIPAFWSLLSYTLLLVICVLWAPSRNPTRYAYLEGTDDIDEEGISLTSSIAKMSGDVTANLERKERKGSSATNLAIAEDIEEDKRE >CAK8559941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2065394:2068304:-1 gene:gene-LATHSAT_LOCUS13673 transcript:rna-LATHSAT_LOCUS13673 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFNRVYFIYALFLTILVNANASIHHYTNQPFTHRSNAFFFHAGTEAIFSSSSSFIRFETVSFTRPRESALTHGQMQTHTGLVEAIILEVKDRNRIGGFYFNPKSDFICCTPDLAKQYNCNLGEVIIHNNPDKPDFPKRIKTFFRGIDEVARMDPQTVEINATGMYYLYFMFCDPNLKGTTVTGKTVWRNPNGYLPGKMAPLMTLYGFMSLAYLLLGLLWFLRFLQFWKEKDIVHVHLHYHITAVIALGMCEMALWYFEYANFNVTGTRPMGITIWAVTFTSVKKTLSRLLLLVVSMGYGVVRPTLGAQGVTYRVVLLGVMYFVACEAFELVEHLGNINDFSGKSKLLLVLPVVCLDSCFILWIFSSLSKTLEKLQTRRNLAKLEIYRKFTNTLAVSVLLSIAWIGFELYFNATDPLSELWQIAWIIPAFWSLLSYTLLLVICVLWAPSRNPTRYAYLEGTDDIDEEGISLTSSIAKMSGDVTANLERKERKGSSATNLAIAEDIEEDKRE >CAK8565681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:304370257:304373478:-1 gene:gene-LATHSAT_LOCUS18871 transcript:rna-LATHSAT_LOCUS18871 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFAVSFGSDIVLRRSTHQTRLINCTTTYHNNNTLAFNFSKHNKPIFASKQESIQFDERKSPNEVKEEIKQCYELINRLGRGIVYLGSSRMDSTHSHYVQTQDLAKEIASLLECTTWSGAGPGLMDAVSQGALLAGKPVGGFKIGREAGEWTTSNFHPYLPAENYLTCRFFSARKHGMVDAVVRNNSFDKTAVVALPGGIGTLDEMFEMLALIQLERIGSKHPVPFLLMNYDSFYSKLLDFLDVCENWGTVSKGEVASLWKVCNSNSEALAYLADFYRISLDDTSQKNETKLHSTHDLIS >CAK8537348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:296178353:296179313:-1 gene:gene-LATHSAT_LOCUS6644 transcript:rna-LATHSAT_LOCUS6644 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLREKIINMGYALNQPTFHYYRSEIGMANTDALRWIDNIPAEKWTREFDGGRRWGHMTTNLVESMNVVFKGTRNFPVIALVRATYYRLGSLFAGQGSKWSAVLNSGQTFTDNCLKVMKEETTKSSTHQVKIFDYANNVFSVKKIIDHGEGKPIGHYKVDLLNGWCDCGKFQAFCVPCSHVIAACSNVRHEAYALLSDVYNVTNLFGVYSTSFPVLSYDEYWPVYEGDQICHNPRMRRNKKGHPVSTCITMEIDNFDKLERKCSMCRQTGHNRIRCPNVGTSNR >CAK8569430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579036:580092:1 gene:gene-LATHSAT_LOCUS22281 transcript:rna-LATHSAT_LOCUS22281-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITVLVLFCLAFVRTGAIPFGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQHDLYPGKKIVLGNTQSVGKTARPLTEPIQGVTDSIWLENKERQSLDDFCNSPTAKGERKHCVSSLESMIDHVISHFRTSKIKAISSTFDINQDQYVVEEVNKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLSAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDYSVDRPCAI >CAK8569429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578422:580092:1 gene:gene-LATHSAT_LOCUS22281 transcript:rna-LATHSAT_LOCUS22281 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLTLFCLAFVRTGAIPFGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQHDLYPGKKIVLGNTQSVGKTARPLTEPIQGVTDSIWLENKERQSLDDFCNSPTAKGERKHCVSSLESMIDHVISHFRTSKIKAISSTFDINQDQYVVEEVNKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLSAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDYSVDRPCAI >CAK8530781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49763599:49764919:-1 gene:gene-LATHSAT_LOCUS623 transcript:rna-LATHSAT_LOCUS623 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRARYTPLATDEDDYSGDQNRPFDPRFDYTPRSLDRVPWKSIALALFLLFLGTGLLFLSYFIFTGHMGGERSQAYGLLALGFLSFLPGFYETRLAYYAWRGAKGFRFSAIPDY >CAK8562415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486773622:486774287:-1 gene:gene-LATHSAT_LOCUS15912 transcript:rna-LATHSAT_LOCUS15912 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISMMMQMEKFPDNFSEPSTYQEIINGTSTTTNTVPLFNLSPQTLTDPFPHSNVNFNNAIQQQQSSLYNPNSSDKKNSMAAMREMIFRIAVMQPVHIDPESIRPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAGASNRSSHIGGAGGVMNNFNVMNYSSALMMKGCQPFQMVGSTSKQLLS >CAK8562416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486773622:486774272:-1 gene:gene-LATHSAT_LOCUS15912 transcript:rna-LATHSAT_LOCUS15912-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQMEKFPDNFSEPSTYQEIINGTSTTTNTVPLFNLSPQTLTDPFPHSNVNFNNAIQQQQSSLYNPNSSDKKNSMAAMREMIFRIAVMQPVHIDPESIRPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAGASNRSSHIGGAGGVMNNFNVMNYSSALMMKGCQPFQMVGSTSKQLLS >CAK8537052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:67535875:67536915:-1 gene:gene-LATHSAT_LOCUS6369 transcript:rna-LATHSAT_LOCUS6369 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVRWLFSTNHKDIGTLYFIFGAIAGVMDTCFSVLIRMELARPDDQILGGNHQLYNVLITTHAFLMIFFMVMSVMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGRGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSIHFITTISNMHGPGMTMNRSPLFVWYIPVTTFPLLLSLLVLAGAITMLFTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPLLPGFDIISHIVSTFSGKSVFGYLGIVYAMISIGVLGFLVWANHMFIVGLDIDTRAYFTAATMIIAVPTGIKIFSWTATMQEGGGVRYNTKHPCYLL >CAK8531200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88722737:88726287:-1 gene:gene-LATHSAT_LOCUS1007 transcript:rna-LATHSAT_LOCUS1007 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNVVCSSNDKGLLLTTNLDITIDEGSLHSEQLLEVEGKELENDCNQLFEIDGCEHENGRDENETAVVDSNSRESRGKDFQPPVVGMEFETYDDAYNYYNSYAKEIGFAIRVKSSWAKRNSKEKRGAVLCCNCEGFKTIKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDSGAKRKFEPTLDVEVRTIKLYRMPNVDASGYGSSNSNEGGTSNNVIFSRRLKLKKGDAELISNYFCQGQLVSPNFFYVMDLNDDGQLRNIFWIDSRSRSAYSYFGDVVAFDTTYLSNNYEIPLVAFVGINHHGQSILLGCGLLADETFDTYIWLFRAWITCMSGRPPQTIVTNQCKTLRSAIAEVFPRAHHRICLLQVMQSICGCMVQFQEYEAFQMALTKVIYDPKTVDEFERDWDALTQHVRTRNHEKLQSLHEERELWAPVYSKDTIFAGIYDYESGESVVPFFKGHVHQQTSLKEFFEIYELVQQKKQNTEALDDLESHNSSPLLKTRCFYELQLSKLYTNAIFRKFQDEVVMMSSCFCISQIQTNESIVTYMVKEHQVEESVRDDRHFEVMYDKAVAEVRCICSCFNFKGYLCRHALYILNYNGVEEIPCQYILSRWRKDCKRLYVSHLRSDDVDITNPVQCFDHLYRRAMQVVEEGMISQNHYMASWQAFKESLNKIRCVADKIE >CAK8535799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882652176:882653483:-1 gene:gene-LATHSAT_LOCUS5222 transcript:rna-LATHSAT_LOCUS5222 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASFASVQPASLNVKGLAGSSLTGTKLAFKPSRQSFKSKNLRSGSVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYLRATTTGDVLPIKKGPQLPPKLGPRGKI >CAK8569322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694373276:694374917:-1 gene:gene-LATHSAT_LOCUS22185 transcript:rna-LATHSAT_LOCUS22185 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIPIGDVTDDPRVSLLSAVVQSAFEDNSENQKSRIQQDNQRLEEETFKQEIMKVSSLSSSQKLGWPLLRHRTHTETSRDMSVVQWVMNLPDRSSSQFEDKSSKNVDSFNNCKRFKFEILNSCTCRFSEQNVIGIGGSNRVYRGTLPNGKQVAVKVMQSSMQAFKDFVLEVEIMSALNHIDIAPLLGICIENGSLISVYDYFPQGTLDQNLRGKNKDGSTLPWEMRFKVAVGIAEALNYLHNQISKPVIHRDVKSSNILLSCGFEPKLCDFGLSTWGPTTSLFAIQNDVVGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGREAIHSKACKGRQSLVSWAKPILESGDVKRLMDTKLGGKFDVEQMNRMVLAASLCITRSARLRPTMNQILKILKGCDEKDKNLFKSQEIDRCHSEENVDDEVYPNSNAELHLNLALLDIEV >CAK8569323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694373276:694374911:-1 gene:gene-LATHSAT_LOCUS22185 transcript:rna-LATHSAT_LOCUS22185-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIGDVTDDPRVSLLSAVVQSAFEDNSENQKSRIQQDNQRLEEETFKQEIMKVSSLSSSQKLGWPLLRHRTHTETSRDMSVVQWVMNLPDRSSSQFEDKSSKNVDSFNNCKRFKFEILNSCTCRFSEQNVIGIGGSNRVYRGTLPNGKQVAVKVMQSSMQAFKDFVLEVEIMSALNHIDIAPLLGICIENGSLISVYDYFPQGTLDQNLRGKNKDGSTLPWEMRFKVAVGIAEALNYLHNQISKPVIHRDVKSSNILLSCGFEPKLCDFGLSTWGPTTSLFAIQNDVVGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGREAIHSKACKGRQSLVSWAKPILESGDVKRLMDTKLGGKFDVEQMNRMVLAASLCITRSARLRPTMNQILKILKGCDEKDKNLFKSQEIDRCHSEENVDDEVYPNSNAELHLNLALLDIEV >CAK8566192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:389399076:389403753:1 gene:gene-LATHSAT_LOCUS19346 transcript:rna-LATHSAT_LOCUS19346 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLFFPNNFIDGTITSLIDGQQRPVPSVIVIGAGISGIAAARILHDASFKVTLLESRDRLGGRIHTDYSFGCPVDMGASWLHGVCNENPLAPLIRCLGLTLYRTSGDDSVLYDHDLESCMLFDIDGNKVQQQTVIEVGETFKRILEETGKVRDENPEDISVSEAISIVLDRHPELRKQGLAHEVLQWFICRMEAWFAADADMISLKSWDQEHVLSGGHGLMVQGYNPVINALAKDIDIRLNHRVAKISNGYNKVMVTLEDGRNFVADAAIITVPIGVLKANLIEFEPRLPDWKVSAISDLGVGNENKIALRFDKVFWPDVELLGVVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESAANFVMLQLKKMFPDACEPVQYLVSHWGTDPNSLGCYSYDLVGISMDVYDKLRAPLGNLFFGGEAVSLDNQGSVHGAYSAGVMAAENCQKYLWEKQGNLESLSQVSVRPETLGTNFPLQISRI >CAK8541724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:369787044:369787833:-1 gene:gene-LATHSAT_LOCUS10620 transcript:rna-LATHSAT_LOCUS10620 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYEAYLGGPVQYRWMYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNQLMLTPRIIRNEFDVNKRSKFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTGEQATTGQIHASFPAWFKDQLSCIVTPTQEILHLRNLSRGPVQRAIEWHTYFVNGYKFHTQTWTEGKKTINSGVFVKGVTDGGEDDFYGIVTHIY >CAK8560958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:63614024:63615052:-1 gene:gene-LATHSAT_LOCUS14593 transcript:rna-LATHSAT_LOCUS14593 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSSNIQQSTVDPYEFLNIKLNSDGSLTRYDIVPTSVPSTDPSKSDLAHSKDITINNAAKTSIRLFIPHTPPPPGKLPLILYFHSGGFVLYHHSSLIFHESCSLYSSEIPAVVASVDYRLSPEHRLPAAYDDAVDSLLWLKSQAQNPNESDPWIKNHVDFNNCFVMGVGAGGNIAYFAGLRSLDLDLSPVKIQGLILNSPLFGGVQRTESELCLINAHVLPLPVADLMWNLSLPEGADRDHVYCNPTVADGVYGEKIGRLPRCFVNGYDWVIPGPGDYMDRCGDPVVEKQKELVKILKARGVHVVSYFRERGFHAMENVNPFISIVRHLVEDIKTFVDS >CAK8532574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:300613431:300623354:1 gene:gene-LATHSAT_LOCUS2266 transcript:rna-LATHSAT_LOCUS2266 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSIKNKHREPRQKKNPSMATLPTPSRRRKPRTLATVSAILAVLLIISVICLLLVSSNTWRAFQQVVSYETISVVNDFPHDPQAFTQGLIYAGNDTLFESTGLYKKSSVRKVALRTGKVEKLQKLDDSLFGEGLTLLSNRLIQVTWMQTNGFIYDLKNLSKLGTFNHDMKDGWGLATDGKVLFGSDGSSTLYQIDPQTFKAISKQVVFYKGHQVHNLNELEYINGEVWANVFTTDCIAKISPKDGGVVGWILLPNLRKELIEAGNNAIDVLNGIAWDAEQERIFVTGKLWPKLYEIKVSPIKSKIEEGMVEQLCLRKPFQFL >CAK8562475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498509657:498510898:-1 gene:gene-LATHSAT_LOCUS15967 transcript:rna-LATHSAT_LOCUS15967 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVSTVSYRFNVNGHQTKIMAVKRGLRQGDPISPMLFVIVMECLNIYLYKMQEDCDFNYHPKCEKLKITNLCFAEDLLMFSKGDKVSVEMMMKTCVNFSKATGLAVNPQKCRIYCAGMGELTKQDVIEASGFQEGRLPFKYLGVPVTGKKLSVRHYSPLIDKIVGKIKHWTTRLLTYAGRLQLINCVTFALTNYWLTCFPFPKTVIQKIESICRIFPWTGGFEGSRKAPVAWKQVCRQRSHGGLNVIDIDDWNKTTLMKLLWNLNEKEDSLWVKWIQTYYLKTNKLMEVQCKKSDSWIIKSVFNLREEFRNLGNLEDIMVGGKIKMSKMYMKLRERGQPVEWKTLVYGNNAKPRVNFILWLSFHGRLATKDRLLKYGMLDNSTYCFCTREETLNHLFFECESLKNIWEEILR >CAK8531045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:74583437:74587743:1 gene:gene-LATHSAT_LOCUS861 transcript:rna-LATHSAT_LOCUS861 gene_biotype:protein_coding transcript_biotype:protein_coding MGISWSSSRRRNNFIHNPPQQPPSLPPPPPPPPHYYYSTESHPPPPPPPHQQGYYYPSTTTGYATPHPPPPPPSLQPQTHSFYFSNPNSNINYANPRVMFHPSYYVNQHAWGPPPPLPLPSSSTPPPYVDHQTAKKIRNHVNVHKDTLRLEVDEQNPDHHLVSFVFDAVYDGSITVSYFAKEEDKCRFVPLFPDAFVPVKVPFEKGVGQKFSQPSGTGIDLGFFELDDLSKPSPGEDVFPLVICAETCLETPSENETPKTPDDTVLNASPHMQITQAVLEKRNGAFQIKVVKQILWIDGVRYELRELYGIGNSDAAGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSDCAKALRLQSNKCPICRQPIEELMEIKVKSGDP >CAK8533584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639222089:639223820:1 gene:gene-LATHSAT_LOCUS3203 transcript:rna-LATHSAT_LOCUS3203 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTNVKRGLWTPEEDAKILAHVAIHGIGNWTLVPKIAGLNRCGKSCRLRWTNYLRPDLKHDSFTPQEEELILTLHAAIGSRWSLIAKRLPGRTDNDVKNYWNTKLRKKLMKMGIDPVTHKPVSQVLSDLGSISSLTTITTQNQMSFVNNNSILEHPKEEQVQYHHQFTNQENFQQQYVLNEVASSTSSSCSSSLTRLSSPVSYSCKTSQAQVNPNFDWSEFLHNDEPFMWSEIQQIQQCDIQRVMSSLSLSGLMQNEGEISNISNNYNGNGNDKQGGSSEGFDAVACIASKEYEVNKQCEGNSFSGNEFVDGILDKDSEIRATFPEFLDASFDY >CAK8535410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846232561:846233174:1 gene:gene-LATHSAT_LOCUS4875 transcript:rna-LATHSAT_LOCUS4875 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFHVITSLFFALTLARIDPSACQVVKGKVTCIDCTQDYDFSGIQVSMKCEDMKNMAMATTEHDGSFMVDLSTYHTKPASDNCHVKLLGGPSNLYASRKNQFSQIVKDKEENSYTTSTPLSFFTSCPKNTECKDENNQFGSSKTYNFPMPSQWGLAPTSYYLPFFPIIGIP >CAK8531678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:138637543:138639465:1 gene:gene-LATHSAT_LOCUS1452 transcript:rna-LATHSAT_LOCUS1452 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGVIGNIISILMFLSPVPTFWRIIKHRSTEDFSSFPYICTLLNSSLWTYYGTIKAGEYLVATVNGFGIFVETIYILLFLIYAPQKMRVKTAILVGILDVGILAAAVVATQLALEGEARSGAVGIMGAALNILMYASPLAVMKIVVTTKSVEYLPFLLSFFFFLNGGVWLLYAVLVGDIILGIPNGTGFALGAMQLVLYGVYRNGKQLKHVSNRLEEGCQQHEQHLISSSTRDESNDRQNGSI >CAK8542859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554021069:554022469:-1 gene:gene-LATHSAT_LOCUS11661 transcript:rna-LATHSAT_LOCUS11661 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIISQSIVIPSKPTPNPKLFSLCEQIKLRTHAPPFYVYHPHNNISTFLETLRNSLSQVLVIYYPLAGRLSWIKGGRWELNCNAKGAILLEAKCETNLKDLGDFVPTNLVSQLIPNINYDVPIEDVPLLVVQLTRFRCGGFTLSVSLCRAVTDGAGTMGFISSWAKLVRGENLDPNDFPCHDRTLLNSHKLANSSILYRHPEFEAPPDWMSDLGDNRETSADIMKLSQEQVLKLKKNVNSRVSFQSVSKDVHKIKPFTTFEVIAGHLWRCVSKAHYTRGSSDHQSTRLSTLVNCRNRLKPPLPSSYVGNAAFPTVTPTRSFNDLICKPLGNAVEDVRNALERVTLEYVMSALDYIDNEKDMELLRYNFHYPAKSVCEKGQFKGSPNLFVVSWMNFSYKEADFGLGEPYNFGPGYMDSEGKAFVMNNNGDGDGIVVAISLEASCMDIFKKFFYDDVEEVFCTSKF >CAK8577630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579215183:579216455:-1 gene:gene-LATHSAT_LOCUS29720 transcript:rna-LATHSAT_LOCUS29720 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRNGSEDSELYHAQVHLYKHVYNFVSSMALKSAMELGIADAIHNHGKPMTLSELASSLKLHPSKVNILYRFLRLLTHNGFFAKTTLKSNEGEEEETAYVLTPSSKLLVTGKSTCLSSLVKGALHPSSLDMWSDSKKWFHEDKEQTLFKCATGEDYWGFLNKDSDTLDMFQDAMAADSRLFKLAIQENKHVFEDLESLVDVAGGTGGVSKLIHEAFPHIKCTVLDQPQVVGNLTGNENLNFVGGDMFKSVPSADAVLLKWVLHDWNDELSLKILKNSKEAISHKGKDGKVIIIDISIDENSDDHGLTELQLEYDLVMLTMFLGKERTKKEWEKLIYDAGFSSYKVTPICGFKSLIEVYP >CAK8537065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:74313389:74317044:1 gene:gene-LATHSAT_LOCUS6381 transcript:rna-LATHSAT_LOCUS6381 gene_biotype:protein_coding transcript_biotype:protein_coding MECTKRITISEHFLSYRTKVLSGFTLGLLASLVFLTLLFFNSSFKIPKLQVFIQGSQLNRNSSSSFSRWHFPFSTFSSNSNLSVTTPLHTIHEEEQQHSVTREVNVSNKGFENGKTNLGNLSESLLDRGRVDKVGNLSVGETRLGNFSGNLLDHDRVDKVGNLSVEETRLGNFSGNVLDRDRVAKAGNLSVGETRLGNFSGNLLDRDRVDKVGNLSVEETRLGNFSGNLLDRDRVDKGGNLSVGKSEIDGKGNSSVSVAMEKTIERIDEKCDIFDGNWVRDESKPYYPLGSCPYVDRDFDCHLNGRPDSDYVKWKWKPNKCEIPSLNATDFLERLRGQRLVFVGDSLNRNMWESMVCILRQSINDKKRVHEISGKRRFKKKGVYSFRFEDYNCSVDFVSSTFIVRESTFNGINGSFETLRLDLMDEKTIRYHDAEIIVFNTGHWWTHEKTSKGEDYYQEGNHVYPRLEVLDAYKRALTTWARWIDSNIDPNRTHVFFRGYSVTHFSGGQWNSGGKCHKETEPIYKGDHLRKYPSKSMVLDHIIPKMKTPVIYMNISRMTDYRKDAHPSIYRMEYKTKEEWATAEQHQDCSHWCLPGVPDTWNELIYASLLKYGKGNWKT >CAK8560099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7555260:7556619:1 gene:gene-LATHSAT_LOCUS13811 transcript:rna-LATHSAT_LOCUS13811 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEYHFKRNHVPAFGSWDWNDNLPFTQCFESARQGGFLHYSYNSENEDQDLYVAGDLYDNHVVTPAMIVVPRRREKLRSQNEKDEKNQNWMNNVMNEAAMSRPTPKPVDEDLYKISPDLLYVKTTKKRGLCFFPSCLFPTCIG >CAK8564259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663484525:663484896:-1 gene:gene-LATHSAT_LOCUS17582 transcript:rna-LATHSAT_LOCUS17582 gene_biotype:protein_coding transcript_biotype:protein_coding METQSAIKKDATELIGNTPWVHLNNITEGCVALIAAKLEYLQSCCNVKDRISSSMIEDVENKGLVTPGRIALIDPTSGNTGIGLASVAALRGYKLLVTIPSYASLEKNYPASFRSGCISDRSC >CAK8569269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:689774700:689778461:1 gene:gene-LATHSAT_LOCUS22144 transcript:rna-LATHSAT_LOCUS22144 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSVRFNGNGNGSINPMPNLQRTYQVVVAATKDMGIGKDGKLPWRLPTDLKFFKEITMTTSESGKKNAVVMGRKTWESIPLQFRPLPGRLNVVLTRSGSFDVNAVENVVICGSMSSALELLASSPYCTSIEKIFVIGGGEIFRDALNAPECEAIHITEIHTSIDCDTFMPPIDFTVFRPWYSSFPKVENNIRYSFTTYVRVRSSVAESLNQSTVSPLDNKPASKKFVVQNFSFLPKMVFERHEEYMYLNLVQEIISQGTSKGDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDDNASREFLDSIGLSEREEGDLGPVYGFQWRHFGARYTNMHNDYAGQGVDQLLDVINKIKHNPDDRRIILSAWNPADLKLMALPPCHMSAQFYVANGELSCQMNQRSADMGLGVPFNIASYALLTCMIAHICELVPGDFIHVLGDAHVYQTHVRPLQEQLHNLPKPFPTLKINSEKKDIDSFVATDFKLIDYDPHQKIEMKMAV >CAK8537771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:429776527:429778395:-1 gene:gene-LATHSAT_LOCUS7025 transcript:rna-LATHSAT_LOCUS7025-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMSFMMHAFELAKNLELELPNMANNPEILYLSIHEVEKAYSDAKERVMMMLSSSSSQHNTAKGSGSFGQILSHDVFMQKKQHDQVMHADPMDQFLLMQHPFDVSVLLENKMISGGADVQGIEAPPSSSRPKKSRKNDLGKRTQMFPAPQIGNTEMPPEDGFTWRKYGQKEILGRKYPRSYYRCTHQKLYLCPAKKQVQRLDDNPNIVEVTYRGEHICHKSLTAPSSYPPPPNLLANPNATTQTQTTIFSERWRTSLNIDLGEGGGIGGASNPRFGVEYAVAEMADAMFNSGSSSGNSMELLFQPSEDKYEHDEKED >CAK8537770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:429776527:429778395:-1 gene:gene-LATHSAT_LOCUS7025 transcript:rna-LATHSAT_LOCUS7025 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMSFMMHAFELAKNLELELPNMANNPEILYLSIHEVEKAYSDAKERVMMMLSSSSSQHNTAKGSGSFGQILSHDVFMQKKQHDQVMHADPMDQFLLMQHPFDVSVLLENKMISGGADVQGIEAPPSSSRPKKRKNDLGKRTQMFPAPQIGNTEMPPEDGFTWRKYGQKEILGRKYPRSYYRCTHQKLYLCPAKKQVQRLDDNPNIVEVTYRGEHICHKSLTAPSSYPPPPNLLANPNATTQTQTTIFSERWRTSLNIDLGEGGGIGGASNPRFGVEYAVAEMADAMFNSGSSSGNSMELLFQPSEDKYEHDEKED >CAK8542130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475771103:475771456:1 gene:gene-LATHSAT_LOCUS10998 transcript:rna-LATHSAT_LOCUS10998 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLIKITCLAMICLVLGIPLANAGQSCGQIKSSLLPCLGYLKHPGPTVPVVCCNGVRTVNDQAKSLPERKDACECIKSGLISIPGLDPNAVQGLPNKCGVKLSFPIGANMDCSK >CAK8530991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68301647:68302318:-1 gene:gene-LATHSAT_LOCUS813 transcript:rna-LATHSAT_LOCUS813 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFGTSSNNISKIEVYKSPSGNDQTIVYSTSLSRTCTLRAAFGVQTAYFSLMDSLTTLGFRVDFMVRHEGCIRPIDFRIGGRVLDIRNEPNNLCIQYGIPNYNLGILVANKNPTSTGGETLLVFHMLLSASELCLGGWHVWHDVWKGRFVCESIGPFRRSSFSEIMIDMENARNPFFSNAASSTCRDQTSITGLINNLGGPVLGHLNGSIINNIINNYFSK >CAK8533336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:604217416:604220011:-1 gene:gene-LATHSAT_LOCUS2974 transcript:rna-LATHSAT_LOCUS2974 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIKLQLLSHHNINNNNHAKLIKNNTLCYNTKFLPKPISHNNPFIVTKCKKVKRRMQESFKIKSSLIEPDGGVLVDLVVPENERDSKVLEAKSLPNVKLTKVDFEWVHVIGEGWASPLKGFMRENEYLQSLHFNSFRLNDGSFVNMSLPIVLSIDDETKGRIGSSSNVGLVGPDGDCVAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIASSGNWLIGGDLEVLKPIKYNDGLDNYRLSPKQLREEFDRRKADAVFAFQLRNPVHNGHALLMNDTRQRLLDMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTKVNKMAFFDPSRSNDFLFISGTKMRSYAKSGENPPEGFMCPSGWKVLVNYYESLQTEESSQQPVLSA >CAK8561158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:107514271:107515462:-1 gene:gene-LATHSAT_LOCUS14771 transcript:rna-LATHSAT_LOCUS14771 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTNLEVIKEDHEHGYDRHKDLKALDGSKTGVKGLVDAGISKVPKIFIHDKSHEETTSNNLSIPLIDFGPLFTNPTSSRFEIVEKVKYACENWGFFQMVNHGIPTTVLDDMLDGVLRFHEQDTEIKKEFYSRDVTKRVYYNTNFDLYVTPALKWRDTLSCVMAPHSLDPQKLPTVCRDITIEYCEYVKKLAIILFELISEALGLNSSYLKDIDCAEGLFLLSHYSPPCPEPELTLGASAHYDSSFITVLLQDQLGGLQVFHQNQWVDVSPVPGALVVNLGNMMQLITNDKFVSVKHRVLAQKIGPRVSAACFFRQHLPPENSRIYGPIRELLTPDNPPVYKETPVKDLVSHHNGKGLDGTSALEPFRL >CAK8577204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544656554:544659804:1 gene:gene-LATHSAT_LOCUS29333 transcript:rna-LATHSAT_LOCUS29333 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSITQTSESSPPKKYDVFVSFNGDDTRDGFTDHLFGALRRKSIIAFRDNIDLKRCESIEPELFRAIEASQIFIVVFSENYASSTWCLRELEHILLHCGQLSEKRVFPVFCDVDPSHVRYQTGSYKKAFDEHEQTLSHESDKEKLRGWRKSLTEAANISGRDLRKKPQYAGIEMVAQDIIDTFGYKFSYLPNDLVGMLSPIEELKKYLLLDSLDDVRAVGICGMGGIGKTTLTSVLYGKIKVSHPFDVCCFVDDVSNIFRKYGPIGVHKQILHQIPGEEHRRIYNPYDATNLIQGRLCRLKALLVFDNVDHREQLENLAVNRKLLGAGSRIIIVCRDAHILEEYGVDELYKVPLLNRANSLQLFCRKAFKCDTLSDAYEELAGDILDYADGLPLVIKVLGSFLHGRSFSEWKSALARLRESPNEVIMDALQFSFYGLEKMELQIFLDIACFFNGREEKFVKHVLNCCGFHPDIGLRVLVDKSLISISDESKIEMHAMLGELGRKITEEARKWSRLWVHKDCYDVMLENMEKNVDAIVLNGNESDTKELMAKSLSNMSRLRLLILKDVKLLGSLNNLSNQLRYVAWNDYPFMCLPSSFQPNQLVELILVNSSVEQLWKDKKDLPNLRTLDLSYSKNLIRMLDFGHVTNLERLNLERCVNLVELDPSIGLAKKLVFLNLKNCKTLKRIPSCIYDLNSLEYLNLCSCSEAFNNPIHLELPSLASLHALCELDISFCGLSQLPADTIGGLHCLQRLNLGGNNFETLPSLTHLSKLVYLNLEHCKLLKFFPKLPSPAAIKHGEYLRAGMYIFNCPELVERQSCSSMTFSWMMQFVLANQESSASFQWIDIVIPGSDVPSLFNYQKVGKSVKINPSHIMEDNNVIGIVCCVVFSVVRHEPTTTANGQKTVLNLSFCRDDKKVHFSILLDTTLVMDQSSHMWLTRFTKESFFTILKDIDNKDGNSMRIKADIDDKGLGVEVESCRYFWYNKTHCG >CAK8564242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661509653:661510189:-1 gene:gene-LATHSAT_LOCUS17565 transcript:rna-LATHSAT_LOCUS17565 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSSLKQEFLKKWIKGLKKYNSQKKNMNLLERKKAIKLSADLAMASTKDKTTLWRKSFIANTSTSIDEQDISTSPQKKKTTKKNLTKSCRLYRKTIIGSRKISRRNRRKERVDASFIATKLVKKRTRTLKSLLPGGKFMDDACLVDETLDYIQSLKAQVEVMRCLVTASELFINPP >CAK8531709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142175027:142176178:1 gene:gene-LATHSAT_LOCUS1481 transcript:rna-LATHSAT_LOCUS1481 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQITELYIPRKCSATNRLITAKDHASVQINVGHLDESGVYNGHFSTFALCGFTRAQGDADSGIDRLWQKKKTELKQ >CAK8530458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22665397:22671137:-1 gene:gene-LATHSAT_LOCUS321 transcript:rna-LATHSAT_LOCUS321 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMERSNSMAREKRCLDSTSTAEDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGSANLSERSSPKRIESPDGGNLQLQFRTRLSLPLFTGGKVEGEKGTAIHIVLIDANTGRVVTSGPASCVRLDVIVLEGDFNNEDDDGWSQEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTLADLTFTDNSSWIRSRKFRLGLKVSSGCCEGMRIREAKTEAFTVKDHRGELYKKHYPPALTDEVWRLEKIGKDGSFHKRLNKAGICSVEDVLQLVVRDPQRLRNILGSGMSNKMWEVLVEHAKTCVLSGKLYVYYPDDARNVGVVFNNIYELSGLITNDQYYSADSLSDTQKVYVDTLVKKAYENWIHVIEYDGKSLLNYNQNRTLGTAQHQVPLSSHDYSISNSLNQQISIPSLPVHVPTGQPSMDASITDGGYHHGTTTRFSMQPQNADLNSALQFGNTAFPLQNLLMSAPHQPQLLRNENELSLGPPQSANPVFQTAGLSDPTYRGFEDFFPEEDIRVRSHEMLENEDMQHLLRIFDMGGQPHTSFNAPEEGHPYSSAYVPATSMNYNIDHERNRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDS >CAK8573301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601585794:601590323:-1 gene:gene-LATHSAT_LOCUS25768 transcript:rna-LATHSAT_LOCUS25768 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLMRRIPPKHTETALSALLSLMPNNSSDLLSQVDQPLQVLCDVDCGKEFILCEYNRDADSYRSPWSNKYHPPLEDGSLPSLELRKLEIEANDIFAIYRDQYYEGGISSVYMWEDENEGFVACFLIKKDGSKTGQGRRGYLEEGAWDAIHVIEVGPEEEENTNYRLTSTVMLTLTTNNESSGTFSLSGSIRRQMSMRLSVADGHLCNMGRMIEEMESKLRNSLDQVYFGKTREMVCTLRPPSEVVQLRIPES >CAK8544195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671440685:671442444:-1 gene:gene-LATHSAT_LOCUS12895 transcript:rna-LATHSAT_LOCUS12895 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPHVVEDCMGFLKLYSDGSVHRSNNFKFHVSAVQDNTVTFKDCVFDKKFNLSLRLYKPNTDNNNNNKSKLPVIVFLHGGGFCFGSRTWPHMHNCCVRLATGLQALVVAPDYRLAPEHRLPAAVDDAVEAVRWIQRQGLSLKEEENNCGEAWLRDDVDFDRVFIVGDSSGGNIAHQLAVRFGSDRTAIEPVRIGGYVLLAPFFGGEVRTKSEEGPPEQTLNLDLLDRFWRLSMPIGETSRDNPLANPFGPNSPNLEKLKLDPILVIVGGNELLKDRAANYATRLKGMGKDIKYIEFEGCEHGFFTHDSYSEVAKQVIHILKRFMLESSN >CAK8533311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601948604:601950955:-1 gene:gene-LATHSAT_LOCUS2951 transcript:rna-LATHSAT_LOCUS2951 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLPQKIVKNLRFQKTTKPLNSPRPATAAVAATLLEKQPQPATTTPQPPSQSFFSLDLNNAEKLFSTVPTSTLIRSSAVLHATAVGPMVDVGIWALQSRLLQKGIMKDAVMAVTKKTFFEHFCAGEDAAAAGRSIRSVNDAGLRGMLVYGVEDAHDNDGCDRNLNAFLHTVDVSKSLPPSSVSFVIVKITAICPMALLERMSDLLRWQQKDPSFVLPWKQDSLPIFSESSPLYHTPNKPEPLTQQEEHDLQLANQRLQQLCHKCVESNKPLLVDAEHTTVQPAIDYFTYSSAIVHNKDDNPIVFGTIQTYLKDSRARLFLATQAADKIGIPMGFKLVRGAYMSMESKIAESFGYGSPIHETIQDTHDNFNDCSAFLLEKVGNGRGSVVLATHNIESGKLAAAKAYEIGIEKVNHKLEFAQLCGMSDALSFGLSNAGFRVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLIRKELGRRIKAAMF >CAK8570832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:124278470:124278742:1 gene:gene-LATHSAT_LOCUS23548 transcript:rna-LATHSAT_LOCUS23548 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVMNNRTVHHDDKPFPGCLGRTVNLFDLTTTTTVNGNKLLTDKPHRDHATSLSRSQPDVSRIASPSFANQIEDRSIVSNLTRASSNKK >CAK8534808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770711910:770712433:-1 gene:gene-LATHSAT_LOCUS4320 transcript:rna-LATHSAT_LOCUS4320 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWKQDLGDDTRFIEFYNLVFMQYNKKDDGSLEPLKQMNIDTGLGLERMARILQKVPNNYETDLILPIIEKASELANELERLTRVFTQNS >CAK8578784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654410263:654417253:-1 gene:gene-LATHSAT_LOCUS30768 transcript:rna-LATHSAT_LOCUS30768 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSFKDFEDDETPASTRNSQLLRIVPEVEHEAHVQHAPSKKLASEIPTPQFVYVDTYERDYSCTFVQPTSYLRARGARAEIGEFVEYDLDNEDEDWLSEFNEEREILTPEMFESLLFKLEVLDHKARERAGLLTATLGSPIPVLLRFETAMETLQSLNVKYTILESVYDYWKEKRIQWLKPILRRLQPAPAVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKSLLEALIKREEKKRDVIESEVTLQRMQMKYKHETEFLEDNLALSGFTPFSSKIVSSEEEFFDSDDVMTSLLPRSRSTAVQNLHPYDTNLPMVPSVSAKQELKRIHVPHGWPHKLDPLEPVLLFTKPLLPGKLAVAGILPPDSVTKNGVSAQPPYTFRGRIGRGGRIIFDRSNLLMQTPIDCGNSYYMPPKPRPSTCN >CAK8534532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739277309:739279700:-1 gene:gene-LATHSAT_LOCUS4073 transcript:rna-LATHSAT_LOCUS4073-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRTPMGLTSALPRRRSMEHRSSLRTRRSIFSNTGVSETETLDAADFADVFGGPPRSLLTHKFSRSGSFYEEIFKQPVFMPPAPAKEGRSLPVFRIPAQDDAFYGDIFGSDDDRRSRERSGSQSNAKSKSNSSSALSSEELSPLRQEISDDVALSAFASKLRPIKVPYKWNSSSVTHEEHPIKQKRPLFPCNGLSFEFQCQDNGCSRRVTSPETISAESNSYQSIKLSTYDWELSPPFSAVSGVCQEPESKFSVYDHVLPELVIDHDEEDDDDDEVMSSYVIEINSSFREENCGTEAIDDAIAWAKEKFHSRTNEETGLRDDGNEKNTGMEGRPCAGEYQDGIVMVESPEVICKSSKQFVLPQKEQTETEKLDRDIRMWSSDKEADIRELLSTLHHILWPESGWSPATYMSLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEEFSF >CAK8534531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739277309:739279700:-1 gene:gene-LATHSAT_LOCUS4073 transcript:rna-LATHSAT_LOCUS4073 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRTPMGLTSALPRRRSMEHRSSLRTRRSIFSNTGVSETETLDAADFADVFGGPPRSLLTHKFSRSGSFYEEIFKQPVFMPPAPAKEGRSLPVFRIPAQDDAFYGDIFGSDDDRRSRERSGSQSNAKSKSNSSSALSSEELSPLRQEISDDVALSAFASKLRPIKVPYKWNSSSVTHEEHPIKQKRPLFPCNGLSFEFQCQDNGCSRRVTSPETISAESNSYQSIKLSTYDWELSPPFSAVSGVCQEPESKFSVYDHVLPELVIDHDEEDDDDDEVMSSYVIEINSSFREENCGTEAIDDAIAWAKEKFHSRTNEETGLRDDGNEKNTGMEGRPCAGEYQDGIVMVESPEEQTETEKLDRDIRMWSSDKEADIRELLSTLHHILWPESGWSPATYMSLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEEFSF >CAK8572755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:560356560:560356832:-1 gene:gene-LATHSAT_LOCUS25283 transcript:rna-LATHSAT_LOCUS25283 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAILRRASSTLSKRAIPAAEALLSSTAFAELRQLTVFPARSFHSKSQPLLFRASSASHASYAAEALPFEEQSKVTGDDGLEIAKLGIS >CAK8539540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515337219:515339326:1 gene:gene-LATHSAT_LOCUS8623 transcript:rna-LATHSAT_LOCUS8623 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFFFNKKSKLDPNLNPKKKKNQRKNSTSAQSSPSPRSIKEIYKENEHNFRVFTVQELVNATNGFNKMLKIGEGGFGKVYRGTISPENGIGDQIVVAIKKLNTRGLQGHKEWLAEVQFLGIVNHPNLVKLLGYCSVDGEKGIQRLLVYEFMSNRSLEDHLFSRSLPTLPWKIRLQIMLGAAQGLQYLHEGLEIQVIYRDFKSSNVLLDKKFHPKLSDFGLAREGPTGDQTHVSTAVVGTRGYAAPEYIETGHLKSKSDIWSFGVVLYEILTGRRTIERNLPTAQQKLIEWVKNYPADTGRFTLIIDPRLAKQYSVKAARKIAKLADSCLKKNPEDRPSMSQVVESLKQALQCS >CAK8578134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609218788:609220383:1 gene:gene-LATHSAT_LOCUS30173 transcript:rna-LATHSAT_LOCUS30173 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIIFFLATFVVLLHVALSTSIPIEETFNNCLSLHSKIPNQFPSAIYTSTNNSYTSILESTAQNLRYLLPSVPKPVFIFTPFHDTQVQAAVICAKQNGVHMRVRSGGHDYEGLSFVSLIEKPFMILDLVKLREINVDIPHNTAWIQAGATIGEVYYRISEKSAVHGFPAGLCTSLGIGGHITGGAYGSMMRKYGLGVDNVVDARIVDVNGKILDRKQMGEELFWAISGGGGGSFGVILWWKIKLVPVPQTVTVFTVTKSLEQGGNKLLHRWQQVAPNIDENLFIRVIIQSGNSTVPGQRTVTTSYNALFLGEANKLLRVMKHSFPELGLTRKDCLETSWIKSVLYIAGYPNGTPPEILLQGKPISKDYFKAKSDFVRQVIPETSLNSLWKIFLQEDGPFMIWNPYGGMMSRVAESATPFPHRKGTLYKIQYLTGWIDGEKNMAKHMRWMRKFYTFMTPYASKYPRENYVNYRDLDIGINKKNSTSFSLASSWGYRYFKGNFNRLVKVKTEVDPSNFFRHEQSIPPMPIGK >CAK8578780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654160470:654160886:1 gene:gene-LATHSAT_LOCUS30765 transcript:rna-LATHSAT_LOCUS30765 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSHTFFIILLLHHFLHPASSAIHQPRELLYEEKNKLGSIPPSCHSKCNECHPCMAVQVPSLPNPSHLTKTSSMKKWLTPSLQGTNNRYSNYKPLGWKCHCGDHFFNP >CAK8540403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558151817:558163009:1 gene:gene-LATHSAT_LOCUS9408 transcript:rna-LATHSAT_LOCUS9408 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNPKKMEPKKVKILNTSSLPVNQENAVRLVGSIVEKGIDDGDSQSKTKNFYSFPKPTVVPFPVARHRSHGPHWHPLDSKGGYDHGNDDSDNDIEDEEDAALMGFEKIAAFANPVQRRKTKGLDFGKWKEIAQDDKSSLGRDLENGVRASSQTTRKKNNANGGKITDKKISTYSDGSVFESMEVDLKPQLDKSDEILINSATSMELDTSYKVDNHKKVDHAMTYDNKKEKELAAERNQVFPNRMTDHSSTSEKNYFVHDQEPTSLESEIDSENQARIQKMSAEEIAEAKADIMEKISPSLLKVLQKRGKEKLKKSNSFKSEVGTVTESVNQQVQNTQGKCLQTENGISHTVVAPPSKKQLDDKNVSGKTSTTTGSSLWNAWSDRVEAVRELRFSLAGDVVDTEQEPVYDSISERDYLRTEGDPGAGGYTIKEALSLTRSVVPGQRALALHLLSSVLDKALCYICKERTGNMTKKGNEADKSVDWEAVWTYALGPQPELALSLRICLDDNHDSVVLACAKAVQSALSCDPNENYFDISEKMATCDKDICTAPVFRSRPDISLGFLQGGYWKYSAKPSNILPFSEDSMDNEGDEKHTIQDDVFVAGQDFTAGLVRMGILPRLRYLLETDPSASLEEYIVSILIAIARHSPSCANAVLRCERLVHTIVQRFTVGNFEIRSSMIKSVKLLKVLARLDRKTCLEFIKNGYFNAMTWNLYQLPLSIDDWLKLGKEKSKLKSALTIEQLRFWMVCIRYGYCVSYFSQIFPALCFWLDVPSFEKLIKNNVLYESTCISREAYLVLESLAGRLPNLFTQQCLTNQHPESTDDAEVWSWSYVAPMVDLGIKWIATRSDPEVSKLFQGQEKGRTDLTLGGDLSATPLLWVYAAVAQMLFRVLERVALGDAISLEKSNGHVPWLPEFVPKIGLELITYWHLGFSVAFGTKCERDSGHDESFMKELMHLRQTSDIEMSLASTCCLNGMINIITKIDDLIRSAKMGICSPPSEEQSRSKEEKVLKEGIVSRCLIELRPMLDVFMVCASSGWQHMQAIEMFGRGGPAPGVGVGWGARGGGFWSKTVLSSQTDARFLVYLLEIFVNASKDVFETEETTLTMQRVSTALGLCLTAGSRDMVVVEKALDLLFHVSILKYLDLCIQNFLLKKRGKAFTWQYEEDDYMHFSRILSSHFRSRWLSIRVKSKAVDGSSSSSNRATPKEDARLDTIYEDSDMPSLTSPCCSSLMIEWARQNLPLPVHFYLSPFSTIPLTKRAGPQKVGSVHNTHDPANLLEVAKCGLFFVLGIETMSTFQGTHIPSPIQHVSLTWKLHSLSVNFLVGMEIIEQDQGRETFEALQDLYGEVLDKERLNRNKEVISDDKKHIEFLRFKSEIHESYSIFIEDLVEQFSSISYGDLIFGRQVSLYLHRCVETSIRLATWNTLSNARVLELLPSLEKCFSGAEGYLEPAEDNEEILEAYAKSWVSDALDRAAIRGSITFTIAVHHLSSFIFNACPVDKILLRNKLARSLLRDYSGKQQHEGMVMNLIHYNKLSTSDMDEKRWLESRMTILIEACEGNSSLLRQVEKLKDAAEKSSL >CAK8576733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510093489:510093854:-1 gene:gene-LATHSAT_LOCUS28905 transcript:rna-LATHSAT_LOCUS28905 gene_biotype:protein_coding transcript_biotype:protein_coding MYILHERFQFNQSRRVKETIESGITRELLMQNFPLKDFSWGVAPPLQPKSPDGDPPSAESESLTCSQHTPVMRPWEDKTAFAFTCEVQPLLKEGLQSCQHKPFLYNCRSLNGCFVARETAF >CAK8565356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:149671697:149672332:1 gene:gene-LATHSAT_LOCUS18577 transcript:rna-LATHSAT_LOCUS18577 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVVTPCFHPQNKKLSLSSSSAKLIFWQGATRFLNGNHTAREIFFEFPDTMICHVDSFFIGHPIPSLDSEDKLVPGEAYFVVPIDLFSCKTLSVSSLLSLRSHDKSNNNKSRIKFGECPFEYLKDCDGKVLIKVMPEFMMRLVDGDRSGNDGCMSSKSSFLCSTPELKKHYEMLVKSKDQVWSPKLETILENKVKLRKERKKTQTVSAR >CAK8567906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555877278:555900934:-1 gene:gene-LATHSAT_LOCUS20918 transcript:rna-LATHSAT_LOCUS20918 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQIANSIDSLQLPKPFFFTQFPHSSLPQRIFSTRFPRNNPRNCNLRITASNSLSVPETSSSNEEDAESAQLFEKLKESERKRVSELEEFERKADVQLDRQLVLASSWNRALLKFRGKLKGTEWDPEDSHRIDFSDFLKLLDTNNVQFIEYSDYGQNLSVILPHYKNGKTSGTEGNPKDIVFRRHMVDRMPIDCWNDVWGKLHQQVVNVDVINVDALPTEVYSTMATAVVWSMRLALAVGFYVWLDSKMRPIYSKLIPCDLGTPPTPLTKLPALRRHALGSIGKSRAKFISAEERTGVTFDDFAGQEYIKRELQEIVRILRNDEEFQNRGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAASGTDFVEMFVGVAASRVRDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTAQVLVIGATNRLDIMDPALLRKGRFDKIIRVGLPLRDGRFSILKVHARNKSFRSEEEKDTLLNEIAELTEDFTGAELQNILNEAGILTARKDLDYIGRDELLEALKRQKGTFETGQEDTTEIPEELRLRLAYREAAVAVLACYFPEPLRPFIETDITSIGSQSNMCYNEVSGKVFSRKSDYINSIVRSCAPRVIEEEMFGIDNLCWMSANATSKASKLAEILILQTGMTAFGKAYYRNKGDLVSNLVTKLEALRDEYMRYGTEKCSSVLREYHSAVETITEILLEKGKIKAEEIWHIYKSAPRVAQPSVSPLDEYGALIYAGRWGIHGISLPGRVTFAPGNAGFSTFGAPRPAETQIVNDETWKLVDDVWDKKVQDIRDEALREIEEKKEKPQLLMASHFL >CAK8536721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:10706694:10708688:1 gene:gene-LATHSAT_LOCUS6055 transcript:rna-LATHSAT_LOCUS6055 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLKNRPLKVPIAQFKSLCDYWSKEAIQAISETNTRNRAQLKWMHRMGPKNFALTREKMREKEKREPTQSEMFVETRKGSKGKELDVETGKVISQLQEMVEKEESDTEAFKSVFGKERPGRVRCYGRNITKTSLKRKEEINALKQAHNEEVSTLRHEFQDQIDRLQNAFKIVIQQCNPQINLESIEDLLGLSHRDANSSPKEMRSQIHSSTSTHASCHGKQGINEDVEKDDINDEIFQEDDVDDGFQEDVAGDEFQEDDIDVDDEFQEDDIDSEFQEDEVDEEFLKDDICDEFQEDDMDDEFQEDKLE >CAK8577092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536548346:536548693:1 gene:gene-LATHSAT_LOCUS29230 transcript:rna-LATHSAT_LOCUS29230 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSIKSTSSIPKSNQSNKENVPPVCKNKISISQIAPSSSSFKSKNKKKVIQRKLKRVPLADITNLCNISSASSSTSTNFTLSHQQPLIGVSISWKGTPKPFNLASKSLRMEFR >CAK8533053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:572423929:572424543:1 gene:gene-LATHSAT_LOCUS2705 transcript:rna-LATHSAT_LOCUS2705 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASKLAVANNFSAGDQAQVQSVIFVHNFFVCEECRQHFYKMCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEVYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8566065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:375841194:375841947:1 gene:gene-LATHSAT_LOCUS19232 transcript:rna-LATHSAT_LOCUS19232 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAILINCSRRPVIDEAAFVENLRQNPLFRVGLDVFEEEPYMKPGLAELKNAIVVPHIAQLGLGHSMKHLGTLS >CAK8568874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650036459:650036680:1 gene:gene-LATHSAT_LOCUS21783 transcript:rna-LATHSAT_LOCUS21783 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKTDNPQYDDGASPGKIFIGGLAKDTTLETFVKYFERYGEITDSVIMKDRHTGRPRGFGFITYADPSVVD >CAK8544419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683188275:683188782:-1 gene:gene-LATHSAT_LOCUS13101 transcript:rna-LATHSAT_LOCUS13101 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTAHYIDGSWNLQSCILRFIYIPAPHSGDRLCAALVKCLMDCNTKLSTITLDNCSTNDDVMDKIKEKLHLSTLLRDGSLLHMRCCAHILNLIVKDGLEVVKDGVEDIRDSVVYWTTTQEKENLRRQLSKCEFLALKL >CAK8536505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947854200:947867284:-1 gene:gene-LATHSAT_LOCUS5867 transcript:rna-LATHSAT_LOCUS5867 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEPSNRLKGKYVAIVVCWLLGNGCLFSWNSMLTIQDYYINLFPKYHPSRVFTLVYQPFAVGTIFILAYNEAKVNTRLRNLFGYTLFFIATLLVLILNLATSGKGGIGTFIGICAISGAFGIADAHVQGGMVGDLSYMQPEFIQSFLAGLAASGVLTSGLRLITKATFNNSKGGLRKGAILFFAISTFFELLCVLLYAFVFPKIPIVKYYRTKAASEGCKTVLPDLAASDIQTIPKEDEDHTKTQERKGNKQLLIENIDYLLDMFLIYALTLSIFPGFLFEDTGAHSLGSWYALVLVAMYNVGDLIGRYIPLLEFLKLESRKLITVAILCRFLLVPAFYFTAKYGEQGWMIMLTSFLGLSNGYLTVCIMTSAPKGYKGPEQNALGNLLVLFLLCGIFAGVTLDWLWLIGKGW >CAK8567607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528517285:528519258:1 gene:gene-LATHSAT_LOCUS20644 transcript:rna-LATHSAT_LOCUS20644 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTTSSTPPPLSQETSNFDEVYMQQSLLFDDSLRDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVVEVSGAELRVSCIEQRIRTYQGCMDHKGITQQSLVISTPKYHKRYVLPVGETMIGANLTKSKYVGCSLEDEEEWPQFRNAVRATIRETPTSTTASKGRSPSPSVQPQRPGPFSFTSTMPKKDLEKRSVSPYRFPLLRTGSRSSRPTTPKTSRSSTPNPSRPITPNPSNATRQRYPSEPRKSASMRLSAERDNGKEVEQYPTKSKGLLKALLSRRKSKKDDTLYTYLDEY >CAK8562844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547125714:547126247:-1 gene:gene-LATHSAT_LOCUS16309 transcript:rna-LATHSAT_LOCUS16309 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRGPGRQKKLRRREPDESNATKRKRTNITHSCKTCLDYGHNTSTSKKNKHIFLHKNQNIIINEIPTQASQTRNVQKETSKKRRPKGLLNKKGKGAPSEAPSHVLMQDAQAAPPLVTDQINETPNQAPMQDAQAVLPLVTDQINEALSQA >CAK8567047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482301669:482302082:-1 gene:gene-LATHSAT_LOCUS20135 transcript:rna-LATHSAT_LOCUS20135 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLVQPKNILVILKRKRPDNIENNKQVYNIRYLTNKTIKEDITEMQQLLKLLNDNNYVSRYKICNNGVTLRDIIWTHPDSIKLFNTFMKALILDTTYKINKYRLPLFEIIGVTSTNKTYSVGFALLECEKDDNFT >CAK8571683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461791137:461793640:1 gene:gene-LATHSAT_LOCUS24322 transcript:rna-LATHSAT_LOCUS24322 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNCCHFSLAFVLKFLNFLQAFVGVSILLYSIWMLNQWDHYSPQPPFPQFSISLPRSLSPHFVSDINLPAPWFMYAFMGVGILVCCISFFGCVAAEIINGCCLCFYTLLITVLVLLEAALVGFIAIDHQWQEDLPFEPTGQLESLRSFIEDNQEICRWVGIAVLVVQALSLLIALILRASVSTRREDFDDEDEYDVRGRSREPLLNPQSGQTSGGNHSDIWSSRMREKYGLNNGDKNSYEA >CAK8578164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610571137:610579186:-1 gene:gene-LATHSAT_LOCUS30202 transcript:rna-LATHSAT_LOCUS30202 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGGHCFPYPLSAVIPLLAFVHVFLAFIATLQLLRIHLRSSEHGWTRQKVFHLLIGSSNLGYFIYLALILLASCKGWTYWSQSFGFIFMALPKVLFFAAFLLLLSFWVDLCHQPNDDDDYEGSFSEEPLLGKSPYEANLTNRDRHRKCFPIRFSRLGHRQKIVFLVMLLVLITIMAFAVIIWIGLGKNRIDSEVAARVYLDVSAIGMLLLGGALACYGILLCLKMRKVRAEKPSSEMGKVAGLTMVSVLCFTSSSCVELLTDIPMMFHWHQQRMDDVYSSLLLILYFFVGSSIPSAVVMWVMRELPPAEDTGSLEESSIIAFVANSSVDVHHPQRWTTATSTQNQTSRASPI >CAK8574879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12992380:12993973:-1 gene:gene-LATHSAT_LOCUS27178 transcript:rna-LATHSAT_LOCUS27178 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIRPQIVLFGSSIVQLSYSDEGWGAILTNLYSRKADIILRGYSGWNSRRALQVLDTIFPKNAAEQPSLVIVYFGGNDSIHPHTSGLGPHVPLEEYIENMRKIITHLKSLSKKTRIILLGSPPVNEAQIQEAFSNVFGPLKRTNETCRVYSEACLKLCHEMNVKAIDLWSALQQRDDWSDVCFTDGIHLSGEGSKIVVKEILKVLEESDWEPNLHWKSMPNEFAEDSPYDPVAVDEKTTVNISNWSFQKNFEWERALCIPKPSNGHQFEETAVTKHHQ >CAK8530964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66557412:66557825:-1 gene:gene-LATHSAT_LOCUS788 transcript:rna-LATHSAT_LOCUS788 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTDVSCSSLLLIEDSADSEGDSIGFFTLYPAANIGHTEEDAESCTCDCDCDIDGICSLVKEDEDVKGSGEFCWVDCSCFNSSMLMLEATMEIGCSSSPLLLVDEDEEVETRMVEVNVNDVDDKVFWEMCMAVGYP >CAK8576996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530191396:530192062:1 gene:gene-LATHSAT_LOCUS29146 transcript:rna-LATHSAT_LOCUS29146 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLEHELELHVPANEAWELFGTLGIGKLVGEEMPELFQKVEIVEGDGGVGTILKLTFTPGIPGPASYSEKFTKIDNEKRIKEVEVVEGGYLEFGFTLYRVRFEVKEKGEDSSIIKSTIEYEVEDAANASLVSIDPLANIAQIAKNYLGRNKAAKDAK >CAK8536916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39428227:39428514:1 gene:gene-LATHSAT_LOCUS6241 transcript:rna-LATHSAT_LOCUS6241 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVIKTRPLGHIETDDLVEGAAYQDDGISQINDVVEVEEITNLCDTLAEGHQIDASVLLVDNNVDEEHEEFESEEIIGSDDANNMDEEHEEFE >CAK8569431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607529:608032:1 gene:gene-LATHSAT_LOCUS22282 transcript:rna-LATHSAT_LOCUS22282 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGHSKNVFMRIMCCPIRALGKARDAYVRSITNCGQSMNYGSYPMDAASRFSSLSRSQSAATTSSRRSSSSSSLSRLDNNEDFAELVRAASARTLGNRMDIDLVLKQQEQQKMNKKLPKSSSVGMAKIDEDMPFDFGVGNGVGFVVDSYPRSKSYAVGNRTRNGVF >CAK8541213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89633736:89635772:-1 gene:gene-LATHSAT_LOCUS10148 transcript:rna-LATHSAT_LOCUS10148 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKNSITVSPTKTKSVAMKRKRKPTYKNSSSSLSSSSSSSSLKPHKRRNRTKTRKPKYLSLRLQLSQTQTNQTTTQQQPELNLFAHHHSDSDMQEENNVALLFTSDGGATLNGLLEDESTTLTATTAEEEESLSVMRCPAIDGLVKKAMRRKRNDEEERWVSYSEVVEEKKEMMEEVNSCVGSETTSFFGSLSLKLDHDGILNAWSDKGSLYVDAADEAPQTVPDLFNASTLLSNVMWDGYGCDVVGNTWKVPEGCGANNNNVNVKEELGWKVGQREASLLRYKEKRQSRLFAKRIRYEVRKLNAEKRPRMKGRFVKRE >CAK8574136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664752560:664760884:1 gene:gene-LATHSAT_LOCUS26510 transcript:rna-LATHSAT_LOCUS26510-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEKLFVKIFERKKQFIEQVRQESLLWEDNLRHLLILNGIPPPPWLNNSAVHSSFPSDPKDLFKDDTLYQGQPSQAQFGVPSVGDHCSQYNSLDAVSGDLRNEVDAIKKDHDTVGRLSNLPDCSVSNAGCASSAPLELDSVAVSPQNQIEQRVSESFLDPAVSLSKLHRSRSRQKALEQRNSAKASKLLPGDGDNAGDCTAAATRSAPPSLQEDHIKELSLVNEFHPNNRSCLTEEMRRGGCLTRKDHSSNYTGRITRSKSSSQKVNTLNIGGSSVEKEDDPPLNDLNEEMELINRPSFINGSCGVQEPNLIDFQNKNIGSSVYDKRLSKPRSSSQAEHSSELLNLDSTSGRYKVVEVSDMNQPCSHVELTDLSKTSDCIKGSRWNIVKDGDFCQTEQESNIQSRSRLHRSSCPSPGDDCFTTNGSGRSIDKSVQLPQPLIAKNLQDPSVAAVGSLCSQEEPHISAVKANVGSLCSQEEPHISAVKAKEYLSRSGSENVYLTRNSKLSKSPNSKSCGQRATHSESAGKKSQNVHPTKLDPRRLSSSPKYSKLDLQISANSAEKENIAEVDASRNTRAETSCPEKSLLRLASSSNLDGGSILAESLYIKTVVAEKDLDVLENIASDANSTDNAKHKSTTTTAKVQLDFDGLVDKDPSCLGSKLAAVNPKVREEVSASRFSPDFVMSVMPKELVFDDAEETIRDGISSPDLKGSKRMSPDKELLALSEPLKLLDDDTQEVLADSFSEAVTENGLPRHTDKSVTKFNVRFPVSAPTDEVNVDLAQQSPNTISWDQNGDLFRQALPSNGKVIRFSTDVHNFNSSTESFTNDMEHSCSQHKKRKIEIESEEFLPDSTHLLEKLVDSTNQRPASGTSSIKEDNPEAVIEVQHLAFGQADDIGHELDCKSPTDVMEDSGESQKMEGSSCTVRKDEKLMLDGRCRGSDTLMLPEVDPFSFSIESTRLSFDGKAGSWHLQGNSGENNAEDLTCVERTTSSRRIYPEGDTKFLDGLSVSPRFRDLDLVDTGALPEFEGFLMQTDNEQPCTAQDEMQFETMNLPSSSADNSSLDGSRFTCSPSSYSSTPYKLHSIANIYQSLPNGLLEGYGLRTSRHLNDGSPRAFSDCRPKCNGQFTSSVQTLWDRFNSNFGSSGKRKSSKPELPCISEENENVDEIAGTFQHGIGPEGMNESITRGQPAEIVENANPSTSVLQDALTSEQEDFVISESNFDGTFQQGIGPEGMNVSITREQPAEIVENANPATSVLQDVLASEQEDFVISESNFDGTHNKVKRKLDKQDGNRTRLTSKGKDNMSISLGANGAKRNSESVHKRSSRPKLSGKDSMKLQRPTFSGRKSTRKNIVSNITSFIPLVQQKQAAAVLPGKKVIKIKALKAAEAAKRNDEMKENERKKKKEVLMELQKKKEERKKEEAKMSAKKRPRENEEKKEKEGKRKRANDMKQPEHEKILAKKEELKGKEVRESRNIVDGRDDRNKLLPQENKQGNAEKISKTEPLTKESRHEKYESGIECANKGKATKEDNDLIIKNSPLEQSYDMSPYKSDDDDEDEDDIPSNKFIPSWASKHSLLLNVSSQTMVDPETIFPRQSFCEIAEVLRPRKFVL >CAK8574137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664752560:664760884:1 gene:gene-LATHSAT_LOCUS26510 transcript:rna-LATHSAT_LOCUS26510 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEKLFVKIFERKKQFIEQVRQESLLWEDNLRHLLILNGIPPPPWLNNSAVHSSFPSDPKDLFKDDTLYQGQPSQAQFGVPSVGDHCSQYNSLDAVSGDLRNEVDAIKKDHDTVGRLSNLPDCSVSNAGCASSAPLELDSVAVSPQNQIEQRVSESFLDPAVSLSKLHRSRSRQKALEQRNSAKASKLLPGDGDNAGDCTAAATRSAPPSLQEDHIKELSLVNEFHPNNRSCLTEEMRRGGCLTRKDHSSNYTGRITRSKSSSQKVNTLNIGGSSVEKEDDPPLNDLNEEMELINRPSFINGSCGVQEPNLIDFQNKNIGSSVYDKRLSKPRSSSQAEHSSELLNLDSTSGRYKVVEVSDMNQPCSHVELTDLSKTSDCIKGSRWNIVKDGDFCQTEQESNIQSRSRLHRSSCPSPGDDCFTTNGSGRSIDKSVQLPQPLIAKNLQDPSVAAVGSLCSQEEPHISAVKANVGSLCSQEEPHISAVKAKEYLSRSGSENVYLTRNSKLSKSPNSKSCGQRATHSESAGKKSQNVHPTKLDPRRLSSSPKYSKLDLQISANSAEKENIAEVDASRNTRAETSCPEKSLLRLASSSNLDGGSILAESLYIKTVVAEKDLDVLENIASDANSTDNAKHKSTTTTAKVQLDFDGLVDKDPSCLGSKLAAVNPKVREEVSASRFSPDFVMSVMPKELVFDDAEETIRDGISSPDLKGSKRMSPDKELLALSEPLKLLDDDTQEVLADSFSEAVTENGLPRHTDKSVTKFNVRFPVSAPTDEVNVDLAQQSPNTISWDQNGDLFRQALPSNGKVIRFSTDVHNFNSSTESFTNDMEHSCSQHKKRKIEIESEEFLPDSTHLLEKLVDSTNQRPASGTSSIKEDNPEAVIEVQHLAFGQADDIGHELDCKSPTDVMEDSGESQKMEGSSCTVRKDEKLMLDGRCRGSDTLMLPEVDPFSFSIESTRLSFDGKAGSWHLQGNSGENNAEDLTCVERTTSSRRIYPEGDTKFLDGLSVSPRFRDLDLVDTGALPEFEGFLMQTDNEQPCTAQDEMQFETMNLPSSSADNSSLDGSRFTCSPSSYSSTPYKLHSIANIYQSLPNGLLEGYGLRTSRHLNDGSPRAFSDCRPKCNGQFTSSVQTLWDRFNSNFGSSGKRKSSKPELPCISEENENVDEIAGTFQHGIGPEGMNESITRGQPAEIVENANPSTSVLQDALTSEQEDFVISESNFDGTFQQGIGPEGMNVSITREQPAEIVENANPATSVLQDVLASEQEDFVISESNFDGTHNKVKRKLDKQDGNRTRLTSKGKDNMSISLGANGAKRNSESVHKRSSRPKLSGKDSMKLQRPTFSGRKSTRKNIVSNITSFIPLVQQKQAAAVLPGKKVIKIKALKAAEAAKRNDEMKENERKKKKEVLMELQKKKEERKKEEAKMSAKKRPRENEEKKEKEGKRKRANDMKQPEHEKILAKKEELKVHRLTSGKEVRESRNIVDGRDDRNKLLPQENKQGNAEKISKTEPLTKESRHEKYESGIECANKGKATKEDNDLIIKNSPLEQSYDMSPYKSDDDDEDEDDIPSNKFIPSWASKHSLLLNVSSQTMVDPETIFPRQSFCEIAEVLRPRKFVL >CAK8572844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567070115:567070495:-1 gene:gene-LATHSAT_LOCUS25362 transcript:rna-LATHSAT_LOCUS25362 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLGQDGEGWTKVSHHKQNGKKVRWEVEDRVKGKKAREPTYIFITKFLDRMRAKDLYLLMKDFGDVDGVFIPHKRDRRGKKYDFMRFFDVDDARSLVLKLDKFFIERRKLFDNLPRFSRNSRG >CAK8561117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97688465:97693386:-1 gene:gene-LATHSAT_LOCUS14736 transcript:rna-LATHSAT_LOCUS14736 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIRNAKVPPNSVNLEEARQRVFEFFRSACRSLPTVMEVYNLYDVATISQLRSTIAAEIRKNDHITNPKVIDLLLFKGLEELKNVVNHSKQRHHIVGQYVVGRRGLEQQELAAKEQGISNFLKNFYNSNYS >CAK8530502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25576803:25586971:-1 gene:gene-LATHSAT_LOCUS360 transcript:rna-LATHSAT_LOCUS360 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSCIERRVQKIKKNIFSSNFDVYSFVSFSAYDTAWLAMIPDSKYPSQPMFKNYLEWLQSNQKAEGFWGESDNIECLPATIVSMVALTKWNTSTLMVEKGRLFIDANIDKLLNEVKEDCPRWIAIILPAMIELADTVGLDSLFPHSSRDTMSYIINRRKAILNKERDVGDFHCYTPLLAYLEALPSSYVDEKDICKNLSQDGSLFQSPSATAKAYMDSGNKKCLSYLLSLSQRCPKAVPQAYPMDEDHIKLCTVNQLHRFGLGHYFEGEIEVLLSQVYRNYNKEANSPERALNMNNLQFQKDIIAFELLRTYGFKVSPLRFCWFLNYKEIKDEIENNFEHFSSAMLRVFIASNLMFCGEYELEDARTFSRKILQKIVSTGKGGLLRQIEHELSFSWFARLDHLEQRVWIEETEANVLWKGKTSYNRVSCIYNDEFLQLATLNFEFKQLIYKNELKELKRWTEKYGMSDMGFGREKSTYSYFAVAASLTSLPYDSYVRILVAKTAIIITVADDFFDSVGSLNQLEILTEAVQRWDSRGLSSHSKVIFDALDDLVSEASRKYLQQEGTCDDISGSLKDLWYEVFLSWLIEAKWSRNGHKPSIDCYLKTGMTSIGAHILVLSSSCFLKPTKKLRLTPYEPLTKLLMIISRLLNDVESYQKEKEEGKLNYVLVNMMENPEFEIEDSIGFVREIIEKKKKVFLELVLIDGLSDFPKSTKQLHLSCLKVFQMFFNSKNRFDSNTDLVEDINKAIYLPLSRTSKCVSPQTFPKKNTIISKLHMNFPFKPYSKMNFRGMRLMTPKIGFGFI >CAK8571873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:487733127:487751061:1 gene:gene-LATHSAT_LOCUS24496 transcript:rna-LATHSAT_LOCUS24496 gene_biotype:protein_coding transcript_biotype:protein_coding MEECIQVRRYEQLHTAKEFESLFETQNVPAVWCGCIKNWRAFSLWNPRNGGLDYLQERVGSATVEAMVSSSAPVFYGDLGSHERVPLPFSMFLDLCKKRLHMQTGQQHQHLDDDHSVALQTDHTQHDYLSLEDVPEQIYLAQVPIMNRDRQEKVQLGTLREDIQLPPILGAKELASINLWMNNAQSRSSTHYDPLHNLLCIVSGRKQVVLWPPSASPSLYPMPIYGEASNHSLVALENPDYSIYPRAECSMELAQKVVLEAGDALFIPEGWFHQVDSDDLTIAINLWWRSNTMSCMLEHMDAYYLRRILRRLIDKEMDQQLLKLGMRVTRMCAYKLPNNGEASHTDESCSQMPKGMDLKEKLKEGNTLLELKPAAVQVLHELVSLVHSSVTASQDQQSLSTSINDNENIGDEKCEKIVSADLKDDPAAKLLWDVEPQILQNVFLAMASSFPRTLEALVLHVLSPVGIEVLTRKFDEMDEQVLEGDRSKFYEAFYGAFDDQTAAMNSILKGKELFTQQAFKNVLDKFVGVNLESSKPQGSYEMLRELYDSGI >CAK8531876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:169717253:169717507:-1 gene:gene-LATHSAT_LOCUS1638 transcript:rna-LATHSAT_LOCUS1638 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWYKLMRLQAPLSRLSKQFSNLQQTIVQARNDLLQTQESLIMDIMNTEITEKVKTCTDELNHLQELQDQMLRQRIKINWLR >CAK8541976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445401651:445402715:-1 gene:gene-LATHSAT_LOCUS10853 transcript:rna-LATHSAT_LOCUS10853 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQTFLARKGRLSTVWIAAHLQHRLKKSQYTSTDIPSSVQHIMDPGVPIALRMSAHLLLGVVRIYSKKFDYLLNDCNIVRTVLYKVFAAVSNHTLPEDGMQAPLHTITMPVIFDLDALNLSYRMDVNGYEDHHMKSLEDITLADENPTVLENYVTIRFDEDTTFSSPNTQLLPDSDARPIEEDIIPQSPLTIGADFQDVGPSSHTESHATDHTTDDNVPSFMDPITEQAIPVENNLRYATNDYATNYYDAIENLQNSWHNDIEHTLITITLLISIVVPEDQLPQPESLPNCDPLACSEQLEDIRIRDVKPMHHDHLARYDTVPNNISGVCLRSARHSLNLISLIDHPSTKGK >CAK8532520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:278334369:278338256:1 gene:gene-LATHSAT_LOCUS2218 transcript:rna-LATHSAT_LOCUS2218 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVEIEVQDNCLCLEDVVDVKYEFDAPMFFDFTKAESFLDDCEAEQWFEFATSYPPSPFVSKFKWGNDGEMENANGLVDLQAMDDNNSSGVKNCNKKSPDALDDKVKTLSRSSSSKSKVYTFMQPTASHLAKLKNPPEVQTSQHFRRNQEKSSSSIDGQLLTKRQKLEAGFLRKIAHLKHQTLLTHKKNNEVDRADVNLASKPNTTIPREPNLQTALRAQRHKSRTNTESGEHAKPGFQVPKTRSLTKKGGGALNTSSISNMETRTNSKQEKCGMISKLRASTDDKKLTNKEERGVFRNIKVFPLEQNDKRFHDEPPTELLSKLTLTSEAKQTAKSQPKEKSISKSLKENRRESSRQEHEMMNLVNEEIQRLCGKQYQCAHEVGSVVTMQTCKLELVH >CAK8533790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660362550:660366224:1 gene:gene-LATHSAT_LOCUS3387 transcript:rna-LATHSAT_LOCUS3387 gene_biotype:protein_coding transcript_biotype:protein_coding MIKATITLLAISTSYLLLLATPTKNSTYYHSLFISNSLSDNVSISNNLEILTHRPHIAGSEANNEAAAYVVSVLTSCNILSRVTSYDVALTYPVSRSLVLTKSSSESSSVSFNLSQQVYEGDPYADVADEVAPTFHAYAKSGTAVGSVVYANYGRVEDYLKLKEIGINVSNTVVLAKYGKIFRGDIVKNAYDEGAIGVVIYSDKKDYGGGGGGTKWFPDEKWLPPSGVQVGSVYGGTGDPTTPGWASSGTCERISKDEVEKSGDLPLIPSLPVSGEDGEKIIRSIGGPVAEDDWQGSKDAPTYRVGPGPGILNLSYTGKDVIARIENVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTATLLEVAQRLGKLQERGWKPRRTIILCNWDAEEYGLIGSTEWVEENREILTSRAVAYLNVDCAVAGPGFHVSATPQLDELIKKAIQKVKDPNNSSQSIYDSLTSSGSSPLFGRLGGGGSDYKAFLQHVGIPATDMSFGEWYPVYHSLYDDFVWMKKFGDPMFQRHVAAASVWGLVALWLADEEFLPFNYLSYARELKLNMEELENEISNKDINLSPMYKSIMELKKAATRINNQIEKLEASENWRTWKMEHLKVRELNDRLMMAERAFTDRDGLSGMQWHKHLIYGPSKHNGYGSQTFPGIGDAVQMAKKLRTAESWRQVQHEVWRVARVIRHASLVLSGQLT >CAK8569566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5186966:5187559:-1 gene:gene-LATHSAT_LOCUS22405 transcript:rna-LATHSAT_LOCUS22405 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVRYSTIRDDGMQWDGWGVNTSLARGWDSFTCIPASTTKSSGLHAPTTAVQPFLGFVESGFPFTHNGGPHQTRQAATGHNALFAQQIHFEVDLSARPIVGMCTIYHKGPISQ >CAK8565796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:335847472:335849772:-1 gene:gene-LATHSAT_LOCUS18978 transcript:rna-LATHSAT_LOCUS18978 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKEQWITAEYYQQEKEEDERKWITHYCSDHQILLVGDGDFSFSLSLAKVFASASNIVASSLDTYAEVTKKYKNAKSNLEELQKLGAYLLHGVDATQMKFHPDLKMWRFDRIIFNFPHAGFHGKEDNLMMIKMHMDLVFGFFKNASHMLRINGEVHVNHKTPPPFDTWNIEKLAEQSFLMMVECADFKQEAYPGYNNKRGHRSRCDDPFPLGKCSTFKFIYNPRSMKDHLRRTHVEVSRQQEIHNMEQIPAPVVRNYHPQTVLVQQPNQLGYYPQTSIFPKTDQPYHYSQTNLFPKTNEPMRSEFDMRNGYHTITNNVTEMHGRVASSADHYHYAQRFLQPPMESSYNQFSQQWPIPTNCRLCFMEHHRRTMDVAPSMPLGARNDGYYQYQQVYERSSTYLQENKLLL >CAK8570380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41562922:41563530:-1 gene:gene-LATHSAT_LOCUS23131 transcript:rna-LATHSAT_LOCUS23131 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTEHSNDPTATALSQPNVQDKEDTKPQLEVKLSHVASGTDSSTSSQTAETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPARLREDLESAFEDDLENVFDITNLQLSLGQQKGDMEIELKRINRLKDKFRMIHEQLIQRQVV >CAK8574873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12882085:12896405:-1 gene:gene-LATHSAT_LOCUS27172 transcript:rna-LATHSAT_LOCUS27172 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKAMAATSRENLASLIHSAKLAIDIPSKLDSLRKLKNELPQEDPVILTEFLPPLFDFLSDRFSPVRKFVTEMIGEIGLMNTEFLPDIVPVLIDVLDDDTPAVVRQAILCGIDLFRYTLEKIAVQGLYSSDLDSAVESAWEWMIKFKDKVYSIAFQNGRGGAKLLALKFVEAVIHLYTLDPNGSTEPTSHQGKQPVFNISWLRRDHPVLNIGDLSMEASHNLGLLLDQLRFPTVKSLSNSVIIVLIKSLSAIAIDRPAFYGRILPVLLSLEPSSSVVNGVCVSAAHLALKKAFLTCTKCTHPSAAPWRERLAGALKEMQSEGKADQVFQPISASNGSTLPREDYQPVIKEEDAAVSLFDSGHINLGRKRPGSPNGGDLAEDADVSGKRVRTTNDGLQNKPEMELDEGTANTQDDTPSTAPASSKGDADNGPVQQLVAMFGALVAQGEKAVASLEILISSISADLLAEVVMANMRYLPPNCPNAEGNDELLHDISIFGSHDKAKYPQSFVAGVMSLSSTFPPVASLLDAHQSVSNVHQSGSNVHQSVSNVHQSGSNVHQSVSNVHQSGSNVHQSVSNVHQSVSNVHQSGSNVHQSGSIVHQSVSNDLVKSHGEEEISSTGVDSSVIHSGMILSSQNAPSPTEFPSSDTCIPGVENVSTTLPCDIDDVGNLESGIPGLDSFGRNDALSEPLAASSLVSTDMQIEDASQEQVTSLDNSSPLSLVPSISADKSEELSPKAVATDVNSLVSSTATSVVLPSRLVLPKMIAPVVDLADEQKDHLQISCFMRIIDAYKQISVAGGSKVRFSILAYLGVEFPLELDPWKLLQKHILIDYSSHEGHELTLRVLYRLFGEAEVEPDFFSSTTAASVYESFLLTVAEALRDSFPPSDKSLSKLLGESPYLPKSVLKILENMCSPGNGDKDEKESHTLYADRVTQGLSAVWSLILLRPPIRDTCLKIALQSAGHHLEEVRMKAIRLVANKLYPLSSISKQIEDFAKEMMFSVMSSDASEATDAEGSIADSQKLATEPPSLSGSTKDLSDNRQPNISEATSLLSVSEAQRGMSLYFALCTKKHSLFREIFVIYRNTSKAAKQAIHLQIPILVRTLGSSSVLLDIISDPPNGSEKLLMQVLHTLTDGTIPSKDLIFTVKRLYDSKLKDAEVLIPILPFLPKNEVMPVFANIVKMPFEKFQEALNRVLQGSSQSGPVLTPAEILIAIHGIDPERDGIPLKKVTDACNACFEQRQTFTQEVLAKVLNQLVEQIPLPLLFMRTVLQAINAFPTLVDFIMGILARLVKKQIWKQPKLWVGFVKCLQLTKPQSFGVLLQLPPPQLEAALNKVPALKAPLISHASQPDIQSSLPRSVLVVLGIVSDSQCSSQPGIVSDSQASSQPGIVSDSQISSQPQTSQTQTAETSNSDKDTMTEKSKDSPTAS >CAK8541438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:169387443:169387682:1 gene:gene-LATHSAT_LOCUS10358 transcript:rna-LATHSAT_LOCUS10358 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGVSAVNHSPLQQNSGLFLGALEFSLITCFGNQTAGELKDLPIYA >CAK8541656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:337552809:337553090:1 gene:gene-LATHSAT_LOCUS10560 transcript:rna-LATHSAT_LOCUS10560 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKRMVVKCVDGCLFHIRFSMRTTNQYWQLVSLTDRHGCHRTAKNRQAKTDWLGRQFVYTIRYTPEIKTKGLIAEAIKKWG >CAK8537981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452890811:452891296:1 gene:gene-LATHSAT_LOCUS7226 transcript:rna-LATHSAT_LOCUS7226 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGLSDSMEMPSYLYLYPIQEDEVDVAPQIWDAFILINNASANEYKAFSPKKRIHHWNKQQHRLFLEGLERYGKGNWKKISKHVVSKSWIQVARHAQRYFKAVQQKNYFIKQEEGKKKQI >CAK8544072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661183746:661183979:-1 gene:gene-LATHSAT_LOCUS12780 transcript:rna-LATHSAT_LOCUS12780 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVNGETTKDFKETRGLRQGDPLSPFLFAIVAESLAFLVRRVAIQGLFHRFRLNEVEDISLVQFVDNTVLICEAD >CAK8535533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:859365069:859368946:1 gene:gene-LATHSAT_LOCUS4985 transcript:rna-LATHSAT_LOCUS4985 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDVPMVPAGESSCSHGPSSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >CAK8541226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92131512:92132321:1 gene:gene-LATHSAT_LOCUS10159 transcript:rna-LATHSAT_LOCUS10159 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQPRKRLIIKLKYPPGSRKHDSDSCGRDENKRRNIEYSVKPIVTCYWVDSNYRTKSSALSQPKNNDNVVEDKKMIKNQVPKTTTLSQPEDNNNVVEDKKTIKNKVFKARALSQPKDNDKKVIKNQVSNTIMPNNIVVENKNQVSKTEIAFNGRKESSRGEPIECVKRRQCWLILKRMMVDRDGWNLKDPPKIAMIDKSESKSKAIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFEHKWKPFKDT >CAK8575380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103951643:103953872:1 gene:gene-LATHSAT_LOCUS27649 transcript:rna-LATHSAT_LOCUS27649 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRGFSSSTRTCKTKSSIPFSSHHDAVTRRFCGGFIDSPPSQAEVHDALASLQRVLGLASHVELHRDMYCNKSEKALSHVGRISEGDSDTDWIEPFLFPLNPKTLQDSGSDKFYHAIHLLQTDPSVQRLVKSLSTDEAVWEAVLNNEVVQELRETISADQEHKLWNLDEIANDDPDKSTNVLILLLKTARTKFLEVIEKITKIVTSLFQQSSNKTAAHTEDSQPFIEKLRAAFMLSIMILLIVVVRRVQNNYA >CAK8534214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:706666494:706669761:1 gene:gene-LATHSAT_LOCUS3774 transcript:rna-LATHSAT_LOCUS3774 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSPLIVEETKRNSKEEDRRELIEEVKKQLWLSGPLISVTLLNFGINLISVMFVGHLGELSLSGASMATSFASVTGFSLLVGMASALDTLCGQSYGAKQYHMLGVHMQRAMLILMIVAIPLAVIWANTKSILILLGQDPEISAEAGNYAKLMVPCLFAYGLLQCLNRFLQTQNIVFPMMFSSAVTTLLHLPLCWFMVYKSGLGSSGAAIANSISYWINVTILALYVKFSPSCKETWNGISKEALALNNIPIFLKLAIPSALMVCLEMWSFELMVLLSGLLPNPKLETSVLSICLNTSAATWMIPFGLSGAISIRVSNELGAGNPRAARLAVCVVVVIAIIESILVGTAILLIRNIWGYAYSNEEEVVKYVATMLPILAVSNFLDGLQCVLSGTARGCGWQKIGAYVNLGAYYLVGIPAAILLAFVLHVGGKGLWLGITCALIAQVIALMIITIRTDWEKEANKATDRVNDSITTESLVS >CAK8538368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479233046:479235105:1 gene:gene-LATHSAT_LOCUS7579 transcript:rna-LATHSAT_LOCUS7579 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTSDDPQSLGPHGVSSSTVGLSALAHDLFHFENTSQVPEGLSKHVVSSKKAQANWFRKLVDAWKDAKPPPRTPEEAARLVILTLKGHKKADVEGLLTFYGLPLPHTLVEVTAQPPTSLPHGVKFEMHTLPVDAKAVADGDTVTVYVSTADPRESSVLPRNVHEAALHRSEARSRRNYEEADAFHKQIIDAGYRMIPFENDEILAKKYRIRLRGIDAPESAMPYGKEAKIELTKILEGKSLRVLVYGEDRYQRCVGDIYCGNIFVQEFMLKKGLAWHYTAYDKRPELETWEKEARSKRVGLWASRNPEKPWEWRKNKRGGN >CAK8543748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636036731:636037876:1 gene:gene-LATHSAT_LOCUS12487 transcript:rna-LATHSAT_LOCUS12487 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSGFQRLLRHSHSNFTSIRFNSTLTSPKLFVSGLSRLTTDEKLTEAFSPFGQLMEAKVIIDRASGRSKGFAFVSYATVEEAEKAREGMNAKFLDGWVIFVDPAKPREPRPPPQSESQSSQTGFTVNKTVGWCG >CAK8563590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618943532:618945443:1 gene:gene-LATHSAT_LOCUS16984 transcript:rna-LATHSAT_LOCUS16984 gene_biotype:protein_coding transcript_biotype:protein_coding MLERWRPETHTFHLPTGECTITLEDVSMLLGLRVNGKAANGPTQVGGDVYMEHLGVEPTTSDKHKGYVKIVWLQTTLARLRQKARPTDKENLQHAKIYILLLIAKVLMPDKSHNYMHSSWIPLVGDLDKCRRYSWGSACLATLYRHMCKACMVGVKSIGGCVLLLSVWTYWRIPLIAPESPATAHHPYATRFVRRGMAYQNNPRHYVRGFRFALDRLRANDFIWRPYPSYPECVLQDSQIWSATTSIISFHIVEMHQADRVKLQFGFQQDIPPQPRCLRQQHETDMPNTWGDHWRNINKEENNEWRNRTNLTLRGNMVNGNCVHSAEYMQWFLSIPFMHASQGQFLEDPRQYATSSSQQRSSSPMPQEMPQVNPSQFETQTSSFNQPTFFAASSQQPTQPQPQPTYQRTHTTFFATSSQQPTPYTPTPQPNYYYRQQYQEQATFQPSFQFTPIPQPNFDFSYPQPQHQTFNPSMSHPSSSGRTDNVYYPPIQQNPPTTFTQPFQSAPNFTLTDDQLMEWPGFSVTDVDMLDTSRQPENEELTSDSTPSPPTSPPIRQTQELGRGKRVKKSTLCGTGGHLRR >CAK8542433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:513063200:513063845:1 gene:gene-LATHSAT_LOCUS11273 transcript:rna-LATHSAT_LOCUS11273 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFIVHLGIKQSYRWVYEGVIDDPYGEFFIAEDKSLQKESLTKDYDAKYWRQRYSPKDGIPSFLANIAETILTTGKYLNVLRECGHNVQVPPSENSKLMSFGSNRHYLECIKAAYNFASGELVNLIKEKVICNMIRLVNSQLTL >CAK8569205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682206063:682209795:1 gene:gene-LATHSAT_LOCUS22085 transcript:rna-LATHSAT_LOCUS22085 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLFPFLLTFTILSLSSDVLSTSDLILTKVDRRIDLSSQIVRTITSLKVENAGSDVVSEVWLSFPDSLANYLAYLKAIVNEGKGKTKSSSVAGLPYEIVQPKDAPASLKIYSVTLPKGLAKGESLTLDVLAVFTHILQPFPEKITQADIQLLLFQETAQYLSPYLVKAQSLTVRLPEARVESYTKLESTKLQGSELRYGPYEDIPPYSYLPIVIHFENNHPFAVAKELVREIEISHWGNVQITEHYNLVHGGAQSKGEFSRFDYQTRPYVRGASAFRRLVAKLPPRAHSVYYRDEIGNISTSRLWGDSKKTELEIEPRYPIFGGWKTAFTIGYGLPLQDFLFGIDGKRFLNISFGSPFIELVIDTLVVKVVLPEGSKDTSASVPFSVKQRHETKFSHLDIAGRPVVVLEKNNAVPEHNVHFQVYYKFNRLSMLREPLMLISGFFFLFVASIVYMHADLSISKTSASYLAKLQWEEVQATVHQIHNIISLCLTTHDKLEASLRDLSRSGDIQACKATRKSVDSSLKEITKELKSPVAFLQSSPQATPILSKVEEVIAKERDLQEKLMAKHSTVVDCYEKKLGGREIENRIASHQQKITALRQEIDDLLDLIDEI >CAK8533627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642727699:642731955:1 gene:gene-LATHSAT_LOCUS3239 transcript:rna-LATHSAT_LOCUS3239 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNDAVSFPPRYDVVSSRDKHVHDNVHGNIFIDSLSLKFIDTEQFQRLRELKQLGFTHLVYPGAVHSRFEHSLGVYWIAGQSVEKLNSYQGMELGIDKFDMQTVKLAGLLHDVGHGPFSHLFEREFLPQVNSGSHWSHEQMSVNMVDYIVEEHNIDIESQMLKRVKEMILASSEFSLPRSSSEKSFLYDIVANGRNGIDVDKFDYIARDCRACGLGCNFEFQRLMETMRVLDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAIELMVVDALVQANAYLQISDTIQDPAEYWKLDDSIIKTIETSPLEELKEARELILRIRRRNLYQFCNEYAVPRDIMDNVKKVTPQDIVCSQKNGGVMLKEEDVAVSNVKIDLTRGKHNPLESIHFFKDYESDEKFTIPDERISHLLPTSYQDMIVRVYSKKPELVETISEAFENYQLKTYGIKAQVHSTPKKKRRYDSCV >CAK8567883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553936140:553937040:1 gene:gene-LATHSAT_LOCUS20898 transcript:rna-LATHSAT_LOCUS20898 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKGKTKAGSKETTPSEKPNNFPSCIRCMPPSSVAITIHAKPGSKSASITDVSDEAVGVQIDAPARDGEANAALLDYISSVLSVKRRQVSLGTGSKSRDKTVIVEDVTQQYVFDALDKVSKQ >CAK8539158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505752031:505754109:1 gene:gene-LATHSAT_LOCUS8278 transcript:rna-LATHSAT_LOCUS8278 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAYSNGINGGNDKSHSPPNGYRKSCWYEEEIEENLRWCFALNSILHTGASQYQDIALLDTKPFGKALVLDGKLQSAETDEFIYHECLVHPALLHHPMPKNVFIMGGGEGSTARELLRHKTIDKVVMCDIDEEVVEFCKSYLVVNKEAFHDSRLEVVINDARAELEGKEERYDIIVGDLADPIEGGPCYKLYTKDFYELSLKPKLKKGGIFVTQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHIPSYADIWGWVMASDSPLDLSAEELDIRMRQRINGENRYLDGKTFLSSSTLSKAVRNSLINETHVYTEGSARFIYGHGKNA >CAK8574521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1515651:1516486:1 gene:gene-LATHSAT_LOCUS26861 transcript:rna-LATHSAT_LOCUS26861 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSTVFYSFGSLGSILFAFWLQKAYSSPKDDPDLGTEEKRIILEGGVSKAPVSDIPWKLILSKASVWALISHFCHNWWAFIQLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIRGHIS >CAK8540135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541184638:541187139:-1 gene:gene-LATHSAT_LOCUS9168 transcript:rna-LATHSAT_LOCUS9168 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSSIAMPMNQQTHFTSGSLLKPVDKCFLKISSTQHFPSSSFRAKASRNLRFVVRASGDDGRPSSGSIFVGGFVLGSLIVGALGCVYAPKISEALAGSDGKELMRKLPKFIYDEEKALEKTRQMLTEKIAQLNSAIDVASAQLRPYQVQHESAKISEEIGVST >CAK8564162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654739641:654743387:1 gene:gene-LATHSAT_LOCUS17491 transcript:rna-LATHSAT_LOCUS17491 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEESGHADKVETISETLVKHGFNYSGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRTLLTRQPTEGRAKNGGLELEKWNVIA >CAK8536008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897506162:897506572:-1 gene:gene-LATHSAT_LOCUS5415 transcript:rna-LATHSAT_LOCUS5415 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLSVTNQDDIISGEDEKVPVQKKRGRPQKPIKDDFDEEIEELEDINGENVKNGVSNKEVKSPTATELARKKKRNSQVKEKLDSVEEENGVGSRSSTEESTKTNGFRHNGSRRKSTPCRAAEAGVQCK >CAK8540703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16515939:16516974:1 gene:gene-LATHSAT_LOCUS9682 transcript:rna-LATHSAT_LOCUS9682 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSHSLLPLFFFMMLLVQAMSRGIDLTWHDARATFYGDASGADTMQGACGYGDLFKQGYGLATTALSIALFNNGLTCGACFQIKCVNDHQWCIKRAKPIKVTATNFCPPDYTKTVDIWCNPPQKHFDLSYKMFTSIAYYKAGVIPIKYRRVPCVKSGGVRFELKGNPYFLMVLVYNVAGAGDVTRVSIRGSKTDWITMSHNWGQNWDVNMNLVGQRLSFRITTSNEESLVFPGIVPSNWNFGQTFKSKHNF >CAK8541340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:127451669:127453907:1 gene:gene-LATHSAT_LOCUS10269 transcript:rna-LATHSAT_LOCUS10269 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGNMFCLNNRDQNNNTNGNSNSSNSNGFTWGSINSTTTSNNSAWNNNTFNVAVNPGLANAVMLHQHANEGGVRQDRGNYAEAFGGGLARAHVMPDPHLTCLKLGKRHYFEDVSGGGGGGGFVDKRGKGYCGGGGGKAATGLAVGSSVARCQVDGCHVGLMNAKEYHRRHKVCEMHSKAPKVVVMGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKSSHDSVSRSSSQSGCALSLLSSRTDSWLSPDDLSVRCSAALSELIAENRAAIMARQYVSERDWHLQHHAVEDYKEIQPESNYFPQHMFPQTH >CAK8568289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590362102:590366665:-1 gene:gene-LATHSAT_LOCUS21261 transcript:rna-LATHSAT_LOCUS21261 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIEEGSIAVEEEHQQAFAHSSEDPIPEESPYEILHNSKSSIETIISDILSIKKDAKPKQLLRDLVTQMFLHFITLRQANRSILIEEDRVKMETERAKAPVDFTTLQLHNLVYEKSHYLKAIKACKDFKSKYPDIELVPEEEFLRDAPKDIKDSVLSKDSAHNLMLKRLNFELYQRKELCKHHAKLEQQKKILLDTIANRKKFLTSLPSHLKSLKKASLPVQNQLGIMHTKKLKQHHSAELLPPALYVIYSQLLAHKEAFVEPIDLEIVGSLKDAQAFARKQAHKETGISTVTESSKLEDDVPDDEEDGQRRRKRPRRVQVKESSDQGGIFQSHPLKIIIHVYEDDTSDPKPAKLITLSFEYMIKLNIVCVGIEGSNDGPDSDILCNLFPNDTGLELPHQSAKLFVQDAITFNAQRTSRPYKWAQHLAGIDFLPEVSPLLPTDNSEAARSEDVISGLSLYRQQNRVQTVLQKIRSRRKAQLALLEQLESLTKLEWPLLSCKSVPWALHTPLCKLDGWSIKALPVPSETSPLATIDKEEHVQESMDVDVIENSGATKEELDGMTEDGELPTLLPKMTKSDHSKQASLISKSVVPSLSKVRSKSFKNVDDSSDFLLDTDSDFDEPAQIGSEHEDTVSDYCARKSFAWIDSSVKEFLLVLSRKTNADDRNVNLEAKIKISMEYPLRPPLFALNLCRIPVGENHFKNDGLEWYNELRAMEAEVNLHMLKTLPVIEHNHVLAHQVSCLAMLFDYYLDEGSSSERKNCTTLVDVGLCKPVSGGFLGRSFRGRDHRKMISWKDMTFTSS >CAK8567393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510590700:510593140:1 gene:gene-LATHSAT_LOCUS20453 transcript:rna-LATHSAT_LOCUS20453 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNNNNRRSSFSSSTTSSMAKRHPPVTASENNAGKVLASAKKRPPLTNLTNHNASRNSSTLVTKVAKTKKEPSISGNKKPTFSSVKSATVVFPKATTTTISSTSASSSFSERNEAVFPLVSSSLNVPVSSCMDLSSPGKSDGMSVSMDETMSSCDSFKSPDIEYVDNSDVPEVDSIERKTYCSLNISDSDYPTGNVCSRDILVELEKGEKIVDIDDDHVDPQLCASFACDIYKHLRASEAKKRPSTNFMEKIQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNAMNRQQLQLLGVASMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESTVLNFLKFEMTAPTIKCFLRRFVRAAQGIDEVPSLQLECLTNYIAELSLLEYSMLCYAPSLVAASSIFLAKFMLFPSAKPWNSTLQHYTQYQTSDLCACVKDLHRLCCNSPNSNLPAIKEKYNQHKYKYVAKKYCPSSIPQEFFQN >CAK8535131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816274789:816275391:-1 gene:gene-LATHSAT_LOCUS4608 transcript:rna-LATHSAT_LOCUS4608 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPWNISSTLGFKCLSKEGRIFISKDVKFNEHYFPFPALFPSQKLPQEPVSAGGLNRLSVPTAININPPTCVSPIVGNNPTPNNIKAPNSLSSVSSPGPHSSIHSVNQDHNMDSLQPYLKHPSTSSQAVHNIRNVSPVHISNDTVPSDLAPNQSNAPPSSHESPSTHVLRTMPNSVLLKLHLTQQSCTQYLVILRVTKT >CAK8538385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480403692:480405372:1 gene:gene-LATHSAT_LOCUS7594 transcript:rna-LATHSAT_LOCUS7594 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMMMEDSLESDEMDGTGSGNGIRRISSRAEVDTSMPFESVKEAVTRFGGSGPWLPLYKLGEAYNSIEDFDIKKIEEQAAKLEKDLIMKELETLDVLEELGSAKTILEKLKQQLQSEAIKFSATRDVSSCESIETLVKESASILNHQEQMLQCPRPFHTPSPDMLLTELKQAKMNLGKTINDLGVIQSSVESLNKKMKKERLFLERTRENLQSKFAAISAQNVAEKEVRSKPQVDPVETDFTFHTPQNVTRDLKVDAEQNIGMVETRPLEVYKQGVEDGENEFSIKTAEMRWFAAKKMEEAAMAAEAVALAEMKALSRADNISSQFSTREHHEFQIPEESILKKVIHSTFQIDAASASKLTILKKLEEATAEVIHSKEILTEAINGIETASRKQQAAEEALRRWIHENGPKGGDMHSSIKRNKFNRVENCQALKPTLRSSVSMRDLLNRKQVPDEYTTTKEMEKHAETKVALSQMLQALRENQTLPTELENDEGDQKQSVVHRKKFGFLKLSFPLGKRSSKKT >CAK8576099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405925384:405927436:1 gene:gene-LATHSAT_LOCUS28314 transcript:rna-LATHSAT_LOCUS28314 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLTSNSSLIPTTTTPHSRSTFRSPSRFSVYAKKSGGSFPSFGLGKGKPKDEEKDQSNGSANSSNNPFGFNFGKLPDVTSLIPVGNNNSSAPGFSFGSPRRKDSSTVFVAGATGQAGIRIAQTLLREGFSVRAGVPELGSAQELARLAAQYKIISNEEAKRLNAVQSSFDDADSIAKAIGNASKVIVTIGPAENGPTTEVSTADALQVIQAAQLAGVGHVAVIYDESVGASTNNVLDGISSFFNNLFSRSQPLSIQEFLQKVVETDVKYTLIKTSLTDDFAPESSYNLVFSGEESTSSNDYKVSKSKIASLVADVFSNTQVAENKVVQVFSDPNAPLRPVDELFSTIPEDGRRKAYAEVLEKAKAEEGARAAAEKEREAAETAKNLSKQESQEKAEVAGNGAPVEDILKKAKDFGAGFSWQKFSSQVATSIQKPDEDEKPKVQVATVRGQAKARSLIPKLAVTKQTTPRNSASKPKEEKRKAEAPKEVRKVFGGLFKQETIYIDDD >CAK8535798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882649408:882651763:1 gene:gene-LATHSAT_LOCUS5221 transcript:rna-LATHSAT_LOCUS5221 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGEQDQESYRSKLFSFRGMFEGNAGRHTKSLSVDTATTLDFQQPIEDGSASSRSQGSKPLDSDKIPKARVVSKEEVAAKEARDKLLQEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIFGEQKRLEPMPVERKAKWRKEIDLLLSVTDYVVEMVPSQQKNKDGTQMEIMTTRQRTDLHMNIPALRKLDTMLFECLDNFKDQTEFYYVSKDADEDNKDKAKTANDDKWWLPTPKVPADGLSDAARKFLQYQKDCVNQVLKAAMAINAQILSEMEIPENYIESLPKNGRASLGDAAYRSITVEFFDPDQFLSTVDLTSEHKVLDLKNRIEASIVIWKRKMHQKDTKSTWGSAVSLEKRELFEERAETILLLLKHRFPGIAQSSLDISKIQFNRDVGHAVLESYSRILESLAFTVLSRIDDVLQADYNTQNPPGKKRISVSKPSPIPKEETEKGNVDMSGSMTLLDFMGWDDQPDSDAKKDSFEISDETCQEVETKQEKKLPAVVTNKKVSYLETLGVMRSPESRH >CAK8569622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6887985:6893534:-1 gene:gene-LATHSAT_LOCUS22453 transcript:rna-LATHSAT_LOCUS22453 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSVHAHLTLLALLSATTFYCFYKSRRLRNLKLTTLSLNPNPNPNPKILFLSETGTSKSLANRLHRFLALNGVVVDLIDGRNYEPEDLPKETLILIVASTWEDGKPSPAYRFFADWLAESSEDFRVGSLLLSKCRFAVFGVGSGVYGENFNAVGKDLGKRMKALGAAELIPLWEGDVDGGDLDGVFDQWCGKVVGLLKGDGDVVGDLENGDAEYGVLSSDEEESDEEEAESEIVDLEDIAGKAPSRRSVATVKETNGKLNGKKEMVTPVIRANLVKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVAKSWQWEMDDPLEIVNSAIEQHTNMIKQMKGVPGVTLERLNEGFTPRHCALSLVGEPIMYPEINALVDELHKRRISTFLVTNAQFPEKIKSLRPITQLYVSVDAGTKDSLKAIDRPLFGDFWERFIDSLTSLKEKHQRTVYRLTLVKGWNAEEVDAYYNLFSLGEPDFIEIKGVTYCGSTATSKLTMENVPWHADVRAFSEALALKSQGEYEVACEHVHSCCVLLAKTKKFKIDGQWYTWIDYEKFHDLVASGSTFDSKDYMAATPSWAVYGAEEGGFDPAQLRYRKERHHKSTRKESG >CAK8560771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41322084:41323451:-1 gene:gene-LATHSAT_LOCUS14421 transcript:rna-LATHSAT_LOCUS14421 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAIASITSINTTYNHHTCLTFQPKQHHQQNNIRIHRFRCNGTNQNQESQPQNNAFLKVAWYSSELLGIAASVFRSSPDEEEASTQRLLETVDRVSVVDTIKQDFQRSYFVTGDLTLNAYEEDCEFADPAGSFKGLQRFKRNCTNFGSLLEKSNMNLMKWEDFEDKGIGHWRFSCVLSFPWRPILSATGYTEYYFDAESGKVCRHVEHWNVPKMALFKQILRPSKGFGLKDYVTKWLKIVQVDR >CAK8544874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709743285:709744339:-1 gene:gene-LATHSAT_LOCUS13519 transcript:rna-LATHSAT_LOCUS13519 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGSMLMIELPNMGHATRFYCTTCPYVCPIERGVKIKRKQILFRKGIEPVISSDDMKNAPTTEVPCPNCRHDKAAYKEVQTRSADEPATLFFKCLNVKCGHNWREG >CAK8569141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675220027:675222507:-1 gene:gene-LATHSAT_LOCUS22025 transcript:rna-LATHSAT_LOCUS22025 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVSQMTYKNIVRFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVINPSIIPYEDVEDGDGEEENEAQVNDLYTTLFEEGIEVNIDDQCVPLENVFIPPAHMTTLPLSVEGTSFDWPRNPRFPAEGDIEVGYQFKNKVDCVAAIKQYHMKHCVDYKVIDSDKKIYIICCRNDSCNFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTVLEIEILPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYSKYKGTLLIAVAQDGNNNIFPVAFALVEGETAEAWSFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRAMYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNSRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8531877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:169718317:169719381:-1 gene:gene-LATHSAT_LOCUS1639 transcript:rna-LATHSAT_LOCUS1639 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIAPQVVNGKLEVVIEEDDIISEVKFWEFSLILYAMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDESYFILRFKSFKDRDEVLLRGPYMIRNIPLLIHEWRPGFKVKDELLRTLPIWVKLPQLPIILWGDTSLNKIRSALGNPIMTDECTANRLRVSYARILVEMDITKELPQTITIGDNEGEKIQQAIEYEWRPLFCSNCQKVGHSCDKPKVTQQWKPKPAPQHTGNVKTVMDNTVERIPRSVGNNNTAGNKVITDIASSPVVINTVKGNTLAEISTDLVSKAADPPPKNEVNLIEQVEAVMEKWIEVIISGKDKGKQKVNPNSVNKIVCDNGFKALEILKDLIESQNTGQ >CAK8531878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:169718317:169719111:-1 gene:gene-LATHSAT_LOCUS1639 transcript:rna-LATHSAT_LOCUS1639-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNIPLLIHEWRPGFKVKDELLRTLPIWVKLPQLPIILWGDTSLNKIRSALGNPIMTDECTANRLRVSYARILVEMDITKELPQTITIGDNEGEKIQQAIEYEWRPLFCSNCQKVGHSCDKPKVTQQWKPKPAPQHTGNVKTVMDNTVERIPRSVGNNNTAGNKVITDIASSPVVINTVKGNTLAEISTDLVSKAADPPPKNEVNLIEQVEAVMEKWIEVIISGKDKGKQKVNPNSVNKIVCDNGFKALEILKDLIESQNTGQ >CAK8578997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666476899:666478351:-1 gene:gene-LATHSAT_LOCUS30974 transcript:rna-LATHSAT_LOCUS30974 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTREQHIRRHKATNNICTAQALLANMATMYVVYHGSEGLKAIAKRVHGLAGVFALGLKKLGFKVQDLGFFDNVKVKTSNAKAILDAAIKSEINLRVVDGNIITAAFDETTTLEDVDKLFKVFTGGKPVSFTVTSLAPEFQNAIPSGLFRESPYLTHPIFHTYQTEHELLRYIHRLQSKDLSFCHSMIPLGSCTIKLNATTEMMHVTWPSFTDLHPFTPIEQAQGYQEMFDNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLVW >CAK8531749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:146561317:146561727:1 gene:gene-LATHSAT_LOCUS1515 transcript:rna-LATHSAT_LOCUS1515 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTLESGSPEHLRSTIEMVEKQTDVLEAVGGSEIGLNPDIDTPEETSLGNPPRIRKRGGVSVSISQERQKTENSKQRTTRRCGNCGTPGHNWASYKVVQDSQTFDEESHGYADVYCSDVNEEFNIVMVCIVPHF >CAK8537548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:392373781:392374083:1 gene:gene-LATHSAT_LOCUS6837 transcript:rna-LATHSAT_LOCUS6837 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTIHNGFSSVFTVAFIGGALFVLQHFAGINGVLYFSSLTFHDVGIQSSALASLFVGLTNFAGSLCALY >CAK8577330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555699012:555699251:1 gene:gene-LATHSAT_LOCUS29445 transcript:rna-LATHSAT_LOCUS29445 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYKGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTIVYKGRKMQQLPASSAKKEDIASSFSNKTTSYKEVAVNNPPQ >CAK8560159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9197886:9201060:1 gene:gene-LATHSAT_LOCUS13862 transcript:rna-LATHSAT_LOCUS13862 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSENQESPNERLNNKLLRHSSPSSSNDGWKNRILIPTLLAGVAGAGTGLISKHRKSLGLANVSASYATNFAIITGCYCGAREFVTATRKTGPEDLWSSGIAGFGSGALLGRFYGGQFGAIRYSVIFAVVGTAADYSILKLKDVWRDYSKTIYQNIENAKKNENWLRLPEWFPIKILDEEALAAKRAQEEQFLAQRERIRSLRENEGS >CAK8543126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580706706:580707248:1 gene:gene-LATHSAT_LOCUS11908 transcript:rna-LATHSAT_LOCUS11908 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLVQPKNILATLKQKEPDNISNIRQVDKIRYRTNKVIMGDRSEMQQLLKLFDDNSYVFRYKTCDDGVMVRDIFWTHPDSIKLFNTFSTMLILDSTYKTNSYRLPLFEMVSVTSTEKTYAIGFAFLECEKKDNFTWALEMCRSLLKDQVEIPKVIVTDRDTALMNVVARGICVEIGTP >CAK8569466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2014792:2017131:-1 gene:gene-LATHSAT_LOCUS22315 transcript:rna-LATHSAT_LOCUS22315 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQGFFSSLKHEVVRGLSPARSRAKSPARSVSPMSGLLRRRRKQQGPPPELFMTRSGSLRPVEALSPLKEGPDGTDGEDVNRGEGKWGHWMKGQLARAPSVSSSSGSGSSSSSGLTCKKSDLRLLLGVLGAPLAPVHVCTTDPFPHLSIKDIPIETSSAQYILQQYIAASGGLKLQNSINNAYAMGKVRMIASEFETAKKVTRSRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCIGEKKINEEDCFILKLCADPSTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTKMEEAWTIEEVAFNVPGLSIDCFIPPSELRFASVSEASELPQGQRMKSKTAAAAYHAKVAQFQKSHESDANNINWTVDV >CAK8540998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40566230:40572612:-1 gene:gene-LATHSAT_LOCUS9951 transcript:rna-LATHSAT_LOCUS9951 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRVGSSIAKQAIKRTLSQGGSSYLVSRARVLPSLHGRKFHTTVFKEQAAAPAPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLMVRAYQVNGHMKAKLDPLNLEARQIPDDLDPALYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHISDRDKCNWLRDKIETTTPVQFNRERREAIFDRLAWSSLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFCEFSGGLSPEDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYASDVDRTKNMGVLLHGDGSFAGQGVVYETLHLSGLPNYTTGGTIHIVLNNQVAFTTDPTSGRSSQYCTDVAKALECPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSTLEIYQKQLLATGEVTKDDIDKIHKKVTSILNEEFEASKDYIPKRRDWLSAYWLGFKSPEQLSRVRNTGVKPEILKTVGKAITTIPENFTPHKGVKRIYDQRAQMIETGEDIDWGFGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQATGEKYCPLDNVIMNQDEEMFTVSNSSLSEFAVLGFELGYSMENPNSLIIWEAQFGDFANGAHVIFDNFLASGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMADDNPYIIPEMDPTLRKQIQECNMQIVNVTTPANFFHVLRRQIHREFRKPLIVMSPKNLLRSKACRSNLSEFDDVQGHPGFDKQGTRFKRLIKDRNDHSTVEEGIRRLVLCSGKVYYELDDQRSKVDASDVAICRVEQLCPFPYDLVQRELKRYPNAEVVWCQEEPMNMGGYTYILPRLVSSMKAVGRGGFDDVKYVGRAPSAATATGFLKVHQKEQAEIAEKALQREPVNFPF >CAK8542186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487069139:487070065:-1 gene:gene-LATHSAT_LOCUS11047 transcript:rna-LATHSAT_LOCUS11047 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPFPSIVMLVLLLCFVSLPHVRAHSSSNSEAKALDVALQQYAYKSLVNPKTGTIYNATQLPSNLSGVKVSALRLRSGSLRRKGFHMYNEFDIPNGLIVKPYVERLVFVYQNLGNLSNKYYPLPNYTYLTPVLGLLAYDALNLSATNLPELEVNPSGDPISVNFRDVKSAPRDSVVKCVWFDLKGDSNFSNVKGGNTCSTSQQGHFSIVVVKSSVPLGPKDRGEGEKKSNKKVWIIVGSVVGGLVLLVLFSFLALCINKYKQKKKMQGMERAADSGETLQMASVGDSKAPAASVTRTQPTLEHEYAP >CAK8533596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640015969:640016538:1 gene:gene-LATHSAT_LOCUS3214 transcript:rna-LATHSAT_LOCUS3214 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKSECERKTKEQEFNEDSIQSIIFTLGTFLLMLCLKGFLVEKWRSYMFIILNVILLAILYMSMKPNYWSSRNLENESNVEEVKNDDKEKKGACEFSQEIEEEKECYKKQCWNSTSSFHHVDVENEIDDEEEEDEHVEVLSKEELNERVEAFIAMFRKHLISDDKQSDNFRHQKTSNLATKIQVSCC >CAK8563442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604207075:604208105:-1 gene:gene-LATHSAT_LOCUS16854 transcript:rna-LATHSAT_LOCUS16854 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLSLALSNSHAHNVASKTHFPNNKPSFPQLLEHAEETSSNTNGSDVMFPTLSLLPLTPGHSDHHDHELSCQSSTSTITKRGEDDEEAHVGWPPVYHRRKKLRYNEDHMMSRNYVKVKMEGVGIARKVNLSTHHSFHTLNQTLLDMFGKSDNDQQYELVYQDKEGDWLLAQDVSWRSFIDCAQRLKLLKSRG >CAK8578187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611641717:611649197:1 gene:gene-LATHSAT_LOCUS30222 transcript:rna-LATHSAT_LOCUS30222 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEPMPTRWSFQEFKTYYDVKLGRKKPVENGETADKAVSNGNSSGITSNGNFHVKITPDKAIYEQFQSQGQNPVHTNGFAPNIVNEKPPKSLLPPLESAEMRTLAESLSRDIIRGSPNVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPATIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTRTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRSMFEELLPRQPDEELIPYDLLVDRTEGYSGSDIRLLCKETAMQPLRRLMSQLEQEQDVVPEEELPKVGPVRPEDVEIALRNTRPSAHLLAHKYDKFNADYGSQILQ >CAK8577492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568489802:568490427:1 gene:gene-LATHSAT_LOCUS29596 transcript:rna-LATHSAT_LOCUS29596 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSNSRPPSRLYKHATWSPDMLREEAWRRRKHDHVSRSSTRRLTKSVSEDDLQELKACFELGFGFDSPETDPKLCDTIPALKLYHAVNKQYNDHSLSRSSSSSSIVSDSGIANTTAIFNPAEDLPAKKNRLKQWAKMVACVVRQSSSSTGSGSSQEID >CAK8543087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575214693:575217601:-1 gene:gene-LATHSAT_LOCUS11871 transcript:rna-LATHSAT_LOCUS11871-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEKSKEKENDFFLDATEETDIEAVNYESESSHENDGGSYDELDRNEGQPESFSSQQWPQTFNEAIDPLTINAPNLGSILRGPSVLYPSFVGSKSYLELQDGKASFLSGSQIQEGFPRQSTWWEKASIQMHVPEELPHGYGCTFTQTIFNGINVMAGVGLLSTPDTVKQAGWASLLVMVIFAVVCCYTAELMRHCFQSREGILSYPDIGEAAFGKYGRVIISIVLYIELYSYCVEYIIMEGDNLTGLFPGTSLHWGGLDLDAKHLFAILTALVILPTVWLKDLRIVSYLSAGGVIGTTLIAICVVVVGTRSDVGFHQTAPFVKWNGVPFAFGIYGFCFAGHSVFPNIYQSMANKREFTKAIITCFVLPMFLYGGVGIAGYRMFGEKTLSQITLNLPPNALATKVALWTIAIIPLTKYPFLSLKWNYA >CAK8543085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575212020:575217601:-1 gene:gene-LATHSAT_LOCUS11871 transcript:rna-LATHSAT_LOCUS11871 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEKSKEKENDFFLDATEETDIEAVNYESESSHENDGGSYDELDRNEGQPESFSSQQWPQTFNEAIDPLTINAPNLGSILRGPSVLYPSFVGSKSYLELQDGKASFLSGSQIQEGFPRQSTWWEKASIQMHVPEELPHGYGCTFTQTIFNGINVMAGVGLLSTPDTVKQAGWASLLVMVIFAVVCCYTAELMRHCFQSREGILSYPDIGEAAFGKYGRVIISIVLYIELYSYCVEYIIMEGDNLTGLFPGTSLHWGGLDLDAKHLFAILTALVILPTVWLKDLRIVSYLSAGGVIGTTLIAICVVVVGTRSDVGFHQTAPFVKWNGVPFAFGIYGFCFAGHSVFPNIYQSMANKREFTKAIITCFVLPMFLYGGVGIAGYRMFGEKTLSQITLNLPPNALATKVALWTIAIIPLTKYALMMNPLARSVEELLPASISNTNWCFLLLRTALVISTVCAAFVIPFFGLVMALIGSLLSVLVAVILPALCFLRIVGKKATNTQVALSVVITVWGIVCAAFGSYSSLLKIVQQH >CAK8543086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575212020:575214356:-1 gene:gene-LATHSAT_LOCUS11871 transcript:rna-LATHSAT_LOCUS11871-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPLARSVEELLPASISNTNWCFLLLRTALVISTVCAAFVIPFFGLVMALIGSLLSVLVAVILPALCFLRIVGKKATNTQVALSVVITVWGIVCAAFGSYSSLLKIVQQH >CAK8542919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559711681:559714888:1 gene:gene-LATHSAT_LOCUS11716 transcript:rna-LATHSAT_LOCUS11716 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAPRFIFILRHNLRLLPPSFSSHFRHHHRAIFSSISSPFSSLTHSHSPSPSLTPKLKLKPKASQHFHSQPSSSLGETHPWPEFSRFLAHISSAGYTSTIPAADSFLPPAGLSRDEVSSCLAFARDRPNLLRLLSMRDVAIVVEHGNPFLFPDSEDSVSKMKSFVSNGDSTALDTHKANMVDLMKFLLSYASNCSVPSESNSLYNRNLVEPSVRNLFGELFKLSYSAPGPNSFDSVQNQIPAGRLGRTMPHGQNIEMKKGDWICTRCNFMNFARNIKCLECEEARPKRQLTGGEWECPQCDFHNYRRNVTCLRCDCKRPGQIPLGSTNTTSHPGYGNGNNSNPSNIDARLAANEEKAQRWFNKVSQLDNNSDINSVVDDEDFPEIMPLRKGVNRFVVSTRKTPLERRLTNSQYKRNLGNNDTPGIEDFRSGESVKSNNTLDDILDRSTGLHQSDYKNTGAEQHFSRERSPSIASNTSHFNDVKGSNTTPPPPFPSYASSGDTDSTQLSNNSSSENTIKDKEKEQAEKSDRWFRKIAELNDVPDITSAISDDDFPEIMPMRKGENRFVVSKKKDRSLTTPAYKRRLAMEQSGNTNFVPFVPFPPDYFAKKDKPQADGTDSTDRSNVESSSIPEPSEISSDARARPEQRPEPSFDQSSNNNNVSSSYGATSSGNSNQGSSQDSVPNLTEKSSAGSASENQSVGTEWTGKTLEGSAVREPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPMERRLTSQQYRRNLPVVSSDPVKRENEGS >CAK8578009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601694539:601694979:1 gene:gene-LATHSAT_LOCUS30059 transcript:rna-LATHSAT_LOCUS30059 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLLMQDFKELSSSTGLNVNPNKCKVYFGNVEDNTKQSIKFLTGFSEGLLPFIYLGISLTSKRLSKGHYLILVEKITSRIKHWSAKLLSFAGRMQLVNSVLFATVNYWLKCFPTPKIVIKKVEVGCRSFLWSNNDKITKKSPIS >CAK8570906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:163851005:163851494:1 gene:gene-LATHSAT_LOCUS23616 transcript:rna-LATHSAT_LOCUS23616 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMGSDYDNHFIKKVKLEESQQQDDDVRAIPNKRPRFDFNLDSSPKCDLSDDSVQISPGLYNPLNEPSPLGLRLKKSPSLLDLIQMRFSEQHDSNKKNQKAVAAADSKLKASNFPTTILKIGTWDVKY >CAK8571782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:472855281:472856237:1 gene:gene-LATHSAT_LOCUS24414 transcript:rna-LATHSAT_LOCUS24414 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFVVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMTYVRDGRCKDFKQSLAAMVETSLCHGPVCFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKGKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTTPSQLPRPSTSQIREEIEVVENIRISENRVPQGIYQRPHTPRVESPTQSDMDFQL >CAK8576674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505032724:505032999:-1 gene:gene-LATHSAT_LOCUS28847 transcript:rna-LATHSAT_LOCUS28847 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRITSIIKRASFSTTQGSTKEFEVPKGNLAVYVGDKMRRFVIPVSYLNQPLFQELLNKAEEEFGYDHPTGGLTIPCGEDEFLNLTSRLN >CAK8531566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125397695:125399454:-1 gene:gene-LATHSAT_LOCUS1349 transcript:rna-LATHSAT_LOCUS1349 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGNLSKNIKELRLLMCQSSPASASAREFVEKNYKELKTLNPKLPILIRECSGVEPQLWARYDLGVEKGIKLQGMTEPQILKALEDLVKAGQSVKA >CAK8571665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458011411:458014395:1 gene:gene-LATHSAT_LOCUS24306 transcript:rna-LATHSAT_LOCUS24306 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRELQSQPGNKICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDAWSEIQIKKMEAGGNDSLNSFLARYSIPKETDIVTKYNTNAASVYRDRIQAIAEGRTWRDPPVVKENIGSGSKGRPPISQTRRSNDSGWDDWGREGNSVDVRSKSTGDFRSLSGGGAPARSRSTEDIYTRSQLEASAANKEGFFAKRMAENESRPEGLPPSQGGKYVGFGSSPAPPSQRSNPQNDYFSVVSQGIGKLSLVAASAANVVQAGTKEISSKVKEGGYDQKVNETVTVVTQKTSEIGQRTWGLMKGVMALASQKVEEYTKENSDWKADNWQRNESGRNGYYQDFNQENKGSNSSTGREQSSSGQFKTHSSNSWDDWDQKNSLKVEPTKGSTPYSSSGQSGSHNPSSWDDWDHKDSRKEEPAKGSAPHNNNDAGWAGWDNAKEDDGFDNFYEGASNKKTAGRNGNQMTHGLEVAFSKV >CAK8561346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:162223382:162225349:1 gene:gene-LATHSAT_LOCUS14947 transcript:rna-LATHSAT_LOCUS14947 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISSLLLKQPSPSSHIHFPFPPHLQTHSPHNHNATPPPPSHSFLHSFKTLSLPPPELVEPFCDLSDVVSSKENLQPSPWFTQILNLLDNSPSMESNLNSFCRQFLITLSPSFVAHTLRSLNNHHTALRFFTWASNQPNYSHSLDCYVSLIDLPLSPSNTTTSTAAAALNVFAELQRNRLPLTSLSANSLIKSFGNAGLVNGLLLVWRGMNKQNIQPSLFTYNSLLNGLVGSSFIESVERVFDAMKEGRIKPDVVTYNTLIKGYCKIGKTRKAGEMVCEMEVINLEPNVVSYMTIMQACYAEGDVDCCLSLYHEMEDKGFDVPSHCYSLVICGLCKTGKVLEAYALFENMMRNGCKGNKAVYTALIDCYGKSGNSDGALRLFERMKMDGIEPDEVTYGAIVNGLCKSGRVEEALGYFWFCNENGVVVNAVFYSSLIDGLGKAGRVDEAEKVFDEMAEKGCLPNSYCYNALIDGLCKCGRIDDALALFKRMECDGCEHTVYIFTILISELFRVHRNEEAVKMRDLMIDKGITPNVACFRALSIGLCLSGKVARACKVLDELAPMGVVLKTAYEDMIGALCKAGRVKEACKLADGIVDRGREIPGKIRTLMIHSLRKAGNADLAIKLMHSRIGIGIGYERMRSVKKRVKFQTLIDN >CAK8530706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42711767:42712774:-1 gene:gene-LATHSAT_LOCUS550 transcript:rna-LATHSAT_LOCUS550 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWELVEEEFMDDSDEEQQLQNERRSGSSSRPKRRITVDRGHEEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFTRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTFVIRMLVYGSPADLVDEYVRIGESTSINCLERFVKGVNVIFGAEYLRKPNNTDVEHLLQMGESRGFPGMLGSIYSMHWVWKNCPVAWKGQFCRGDHGKPTIMLEVVASQDLWIWHAFFGIAGSNNDINVLNQSNVFKDILEGRAPNVQYTINGTPYNMGYYLADGIYPEWATFVKTISMTQGEKKKLFAQHQESARKDVERAFGVCRGEIWIPSY >CAK8541830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:410163248:410164687:-1 gene:gene-LATHSAT_LOCUS10724 transcript:rna-LATHSAT_LOCUS10724 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRKLNLCTSTKVRILVDEVRNKVLFLQAGKDFVDVLLSFLTLPLGTIARLVSQESNMENVNVGSINFLYESVANLDKGNFLSALEKELLVRPINSMEQYCRFLKLNVDDTEKSRSFKCRHRHCEGVPGSRNCSCFIDRRDELCSVISTPKKGFVPKTATFIVSDDLSVKPDNSQGPISIAKYLGCEDVDTIKILTINVTRKEIIDLVKCSLVSATPLTDVFVSKKLFCENPRPVNVLDLDVCRNGALLVRRKIKVKAMVRKSNSKILYALGEEDFADLLMLFLTFPLGGVENMLNGNSGFDNIDNLFKSMLDLDPARYMKSRQDMVSKQLKTNFDGKKFMKSPSMYMVTDDLVVKPGSSTSAISFLTNMKIPLSDLEERLIRIGNKEAHSLLKASLISPTALTHGLGPFLATK >CAK8572754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:560344254:560344916:-1 gene:gene-LATHSAT_LOCUS25282 transcript:rna-LATHSAT_LOCUS25282 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAILRRASSTLSKRDIPAAEALLSSTAFAELRQLTVFPARSFHSKSQPLLFRASSASRASYAAEALPFEEQSKATSDDGLEIAKLGISQDIVSALEKKGITKLFPIQRAVLEPAIQGRDMIGRARTGTGKTLAFGIPIIDKIIQFNAKHGRGRDPLALVLAPTRELNNIDSNNPNTRCQQQQKQYSHCIVFSGATNTTQLPFSATTEPDCSPTYSTWR >CAK8534908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789229890:789230225:-1 gene:gene-LATHSAT_LOCUS4408 transcript:rna-LATHSAT_LOCUS4408 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGWVEVRRKKGHDRIGKCSVWTASRGKGVGDSKAISSFFFTEFLKDFGAKEMTEVFKDYGIMREVFIPVRRDKRGKHYGFDGFRMIVDYRIMAMLVFNTKIPVETLGM >CAK8567617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529172806:529175705:-1 gene:gene-LATHSAT_LOCUS20654 transcript:rna-LATHSAT_LOCUS20654 gene_biotype:protein_coding transcript_biotype:protein_coding MANISIPLPRTGWLSTRNCSTKRPSFANSAPPFRITCSVVGEGELDGSENKARLFSFNTIKGVACGILAAYAVTCASFPVIAATQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRKCDFTNEKSNLKGKTLSAALMSDAKFDGADMTEVVMSKAYAVGGSFKGVDFSNAVLDRVNFGKADLQGAVFRNTVLSGSTFDEAKLEGAIFEDTIIGYIDLQKICRNTSIGEEGRAELGCR >CAK8532488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270183664:270184317:-1 gene:gene-LATHSAT_LOCUS2187 transcript:rna-LATHSAT_LOCUS2187 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSPLTLSFLLFVFITNLSLAFSNEDVEQVLDVNGNPIFPGGQYYIRPAIRGPPGGGVRLGRTGDLSCPVTVLQDRREVKNGLRVKFVIPGISPGIIFTGTPIEIEYTRKPSCAKSSKWLVFVDNVIQKACVGIGGPENYPGVQTLSGLFKIEKHESGFGYKLGFCIKGSPTCLDIGRFDNDEAGRRLNLTEHEAFQVEFVEAEANDAEFIKSVV >CAK8542756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543397083:543398603:1 gene:gene-LATHSAT_LOCUS11565 transcript:rna-LATHSAT_LOCUS11565 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEHVLHMKGGVGETSYANNSSLQRKVIMEVKTILDENMTLMMSNKNVKGCWKIADLGCSSGPNTLLSISNIINIIHKINMKLNNGKPVFQIYLNDVFENDFNTIFKLLPGFYQQEKEKNNGECFISATPGSFYGRLFPNDYIDFFHSSYCLHWLSQAPKNLVKNGEALNKGNIYLSKTSPPAVYEAYFKQFEKDFQYFLKLRFKELAMDGMMALTFIGRESHDKTISVQGIVGMVLKEMVQEGLVEENKLDMFNFPIYHPTEEEVRQVIETEGSFTIQIIKTFKMGWDANLEKDNVDYVVDGKMRGEFISKYHRAVFEPLLIAKFGENIMDALFSRFAKLLTQLIELETLEFTNIVLFLTKDS >CAK8537062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:73013101:73014576:-1 gene:gene-LATHSAT_LOCUS6378 transcript:rna-LATHSAT_LOCUS6378 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPCSSSHASGDIVGTRIPIVPEGDGFDHHKLVVSEMAHIIRTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVIVRRNFEKRSAAKMSQLMQDVRRDLEYRPEWMGEEVWKKLTVHWNSSKFKKVSTTNKRNRCSMDGASLHIGGSIPHRLHWKRMKKEKGADPSLTEFYFRTHRKKDQSWVGVHAEFAYDEFERKKLMISSQNSTVRGEDEADSQPTVEMLSDLDIWVESFGKKKGRVFGLGTIAKTLVPSSTQPSLSSNSQEVDDLRSQVHALNASLQRQEQEKLEMKQQLQRQEK >CAK8575456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:123263242:123264838:1 gene:gene-LATHSAT_LOCUS27717 transcript:rna-LATHSAT_LOCUS27717 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSVDHDDGVHAGFMWENQSWSDLLNFENIGESGKQKLGMKSLNHKEGLNEGEVHVNKTQSRDGVVIRSENDINGGGKDEIYRDLDHEMHLLAERERRKKMRNMFSSLHALLPELPSKANNSTIVDAAVKQIKNLKQIVEHLEKKKQEKLKYISLFKSDHGSSSYDNNFATNEVATSYHNSKALAQSAPPPQQIALQTWSYQNVVLNICGGEAQFSICATKMKGLFSKITFVLEKYRIDVVSANITCNGNGNFYMILAQARQFLHDSNSVEETYKQAATEIMMLIS >CAK8567336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506331001:506331804:-1 gene:gene-LATHSAT_LOCUS20400 transcript:rna-LATHSAT_LOCUS20400 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEHAYSTRSLSAASEMGSSFMLESGFYITLFSATIFIAGFAALGLLLITLLVSMSMMLQSCQNNSAGILELRNVNNDYSYCKVHSLHAKFNHLEEHNVPETCKDLAVQYIKGGQYARDLDLTKSVIEEYFNGVKPSQDGFDVVLIDIDGIFLLSPLTDNLESRYLGHFMLLRLISSRI >CAK8540394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557664995:557667532:1 gene:gene-LATHSAT_LOCUS9400 transcript:rna-LATHSAT_LOCUS9400 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLTKGLDTVIDIKHKPVSFTGGLEFESLTYTVVKKKKIDGKFSKEDVDLLHDITGYAPKGCITAVMGPSGAGKSTLLDGLAGRIASGSLKGKVSLDGNSVNASLIKRTSAYIMQEDRLFPMLTVYETLMFAADFRLGPLSVADKRQRVEKLIEQLGLSSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSALSVIEKVHDIARNGSTVILTIHQPSSRIQSLLDHLIILARGQLMFQGSFKDVTLHLNRMGRKVPKGENPIENLIDVIQEYDQCDVVGVEVLAEFTRTGMKPPLLSDMEEIISITNSNSVAPSPSVHRGSRNDEKSQDFSYSSQISRKSLNDEFDHSLRSPYNNTPMSWSASNSAAFFKFTPSRLKNENKVQKPSSHASPSPGYYTYSSEILPATPTPHSSDYTVNENDYLTPTNGSPEHLGPKFANSYLGETWILMRRNFINIRRTPELFLSRLMVLTFMGVMMATMFHNPKETLQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFIRETSHNAYRASSYTIASLITHMPFLALQALAYAGIVWFALQLRGPFIYFFLVLFVSLLSTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFLSSEDIPQYWRWMNKISTMTYPYEGLLMNQYQTNATFGTNDGVPVTGFDILNSLHIGTEEFKKRNNVLIMLGWAVLYRILFYIILRFASKNQRS >CAK8533464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620525514:620528333:1 gene:gene-LATHSAT_LOCUS3087 transcript:rna-LATHSAT_LOCUS3087 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCTFCCMSLAIIVLMTNTINMTVSKKHIPTTLDGPFEPATRRFDSSLRRGSDDLPMTHPRLRMNVSLNFPEQIALAVSSPTSMWISWVTGNAQIGLNVTPLDPASVRSEVWYGKKSGKYTSVGKGDSLVYSQLYPFEGLLNYTSGIIHHVKLEGLEPGTRYYYKCGDSSIQAMSQESSFATFQTPSPKNYPTRIALIGDLGLTSNSSTTIDHLTYNDPSMILMIGDLTYANQYRTTGGKGASCFSCAFPDAPIRESYQPRWDGWGRFMEPLTSKIPMMVIEGNHEIEPQADGITFKSYLSRFAVPSEESGSKSNFYYSFDAEGIHFIMLGAYVDYNSTGAQFSWLKQDLQSVNRSVTPWLVATMHPPWYNSYASHYQEFECMRLEMEALFYQYKVDIVFNGHVHAYERMNRVYNYTLDPCGPIYITVGDGGNIEKVDVDHADEPGKCPSAGDNTPEFGGVCHSNFSFGPAKGNFCWNKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDSYKENAVGDQIYIVRQPELCLKDSKVLELQNSQQSLHTIHLLSLLLPFISLM >CAK8568707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633561574:633564960:1 gene:gene-LATHSAT_LOCUS21639 transcript:rna-LATHSAT_LOCUS21639 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKAVEEVEKKETTPTAAGNEKKKRKGFFSGFLNGFFRFFRLHRDDFEKRLQHISKEEASIIARVARRSRSRRRVSRDLILFSVILEVIAVGYAIMTTRSVGIDWKMRAIRVLPMFLLPALSTAAYSAFISFTRMCDQRDQNILEKLRAERQAKIDELKEKTNYYSTQQLIQRYDPDPAAKAAAATVLASKLGADSGFQWYMGDDSNLSGPSTGKSNDVELVQSSGLRNRKQAQTRSTGTGTASPNFADQQLVGSGGFDQTQGSEYNQPTVVEHQPQSSNPQDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPFITYYCPHCHALNKPKQLDGVSSLPSPNSRSVQMDERILALPSSNAAGSVQLDEHISGLPSPNAGSVQLDEHTSGLPSPKAGSPKTGDSEAVLNASASAAESTITSDNPVNDSLEIEEVSERTSLEERTD >CAK8568732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636890782:636891465:1 gene:gene-LATHSAT_LOCUS21664 transcript:rna-LATHSAT_LOCUS21664 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNSYVLCLALILSFSSINCIDITQILGQNPEFSTFSKYLTETKLADQINAAKAVTILALDNKDIASLSGKSPDAVKTVIGTHIITDFYDEKKLFEAIGSKVALDTLSPASGLAAKIYVALINEGEVAFSSAVAGSTFDTKLVRPVKIEAGVVSVLQVNQPIVKVDGSVSVAAGTVTSPTTNTASVMGEAPGVAHATAPAPSASSRTTFGFIGAVMAFASIFVSL >CAK8568192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580758911:580759585:-1 gene:gene-LATHSAT_LOCUS21178 transcript:rna-LATHSAT_LOCUS21178 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNKAEAERLLEIGEELLQKRDLKGSRELAILVQETEPLLEGSDQILAIVDVLEAAEKPLNLNNHHLDWYAILQIDRNSRDSQDLNLIRKQYRTLALLLHPDKNPFSFAELAFKLVSDAWAVLSDPAQKTQYDRGFEVEENGLGSFWTACPYCYCLYEYAKVYEGCCLMCQKCDKSFCGVSIPNLPPLVPGEEAYYVSWGMFPMGFVFESLENGGGGGGAKRK >CAK8572909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570852499:570853188:-1 gene:gene-LATHSAT_LOCUS25415 transcript:rna-LATHSAT_LOCUS25415 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKQNMVFGRDIERGQELQRFTTPQIQIQTPNTNNTSENNNHASSSIVSRRPRGRPLGSKNKSKMPVTIASGNPDGHVFEITAGEDVSKSIFDYVRRRRRRINIFNGIGEVAQATLRQPTGKIVTIRGRFQIISMSGTFFPSQRTTMECGLEVLLCGTEGQVVRGSVIPPLIALGSVYLVGSPFRKIVFENVPLEVNNQNRQEEMNHDADGRVAEGSVGLLDGEGSTT >CAK8576098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405813320:405818797:1 gene:gene-LATHSAT_LOCUS28313 transcript:rna-LATHSAT_LOCUS28313 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELENLVDMTKVNMEVMRPWITRKVTELLGFEDEVLINFIHGLLDAKKVNGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELLKKKAENDRISTEIQRKKDKESKEIMEERLKKLDGGLDAKENDTASDPTLKLRDLENYVQDGKETDKRNGVRVRNRSSHSPVISNSPYRGSPSRANSKSFSNSRSYSRSISRSPKARGGSVSSERIQRFSRRQSVSPRRRSPQRSPHRRLSYSRRRSRSRSDSKSPSPIRRGMHSPVRRGRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPPSPVRRRRSPYPARRRRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPSPVRQRRSPSPMRRRRRSPSPMHLRRSPLPIRRRSPLPMQQRSPNMRRRSPSPMRQMPPSRSQRSSSPMHSPEMQRPDSRIPRHRSPSPLRYRTPLSGKKRDTSASPKRSSQDDWSSQSPVRVSPSPVKRTLPRHQRSPVQSSMGRVRVQKKLSPEVYEPSSPLQSIQTDKNGKASGYRSQDSMSTPDKSPIQSISPQAISKTSSKNRSPHEIRLMQREKLVNEGSLSPPKKSTNHKPSHDIPETSEGTEEAYYSRELRDPKSNSSEKKSRHSPVSKRIGSSAKFNDEDEFNLERAASHLASEYNHYDSNERSKKGQDIKCDKSSGKGSESPGQQKSPMSKEIFSSKKPRDSYDAEIRKTDDKDQSNSKFAKSSDQHHKPEATQDLVGKVDHINRSASYDSEESSKHRRDGKDRRKHKRSEKKAVSSNENDSYDSELEDRKEAKRRKKEEKKKLRKEEKHRKREERRRKREERHAGKLKMKSKTDTISEDEEAERKDGHQNDDEEAPYDPQKLEIELRNKALETLKAKRGMNN >CAK8564295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666573601:666578375:1 gene:gene-LATHSAT_LOCUS17616 transcript:rna-LATHSAT_LOCUS17616 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRGDFKSQYMGGQRESSFRWDVLDSRLSSSSDVRVTKSAFGIDKLSHANHGNGTTSRSFKKGMRKGSEGLKSIGRSLGLGVSRAVFPEDLKVSEKKIFDPQDKFLLSWNKLFVISCILSVSVDPLFFYLPVINDQLHCLGIDRKLATTVTTLRTIIDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDSAQIAKRYLRRYFIIDFLSVLPIPQIVVWRFLQRSKSSDVLATKQALLFIILLQYIPRFLRMVPLTSELKRTAGVFAETAWAGAAYYLMLYMLASHIVGSFWYLLAIERNDSCWQKACSDNGCNKNYLYCENQHTKGYSDWQNKSKAIFKSRCSVDDNPPPFDYGIFTQALSSGIISSKKFISKYCYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIAGLILFALLIGNMQTYLQSLTLRLEEMRVKRRDSEQWMHHRLLPKELRERVRRYDQYKWLATRGVDEDVLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLVTENTYIVREGDPVDEMLFIIRGRLESITTDGGRSGFFNRSLLKEADFCGEELLTWALDPKSGSNLPTSTRTVKALTEVETFALTADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYCKKKIMKLRQKEEEEADESEGTHGNSGGSSSSLGAALLASKFAARTLRGVHRNRLAKTARELVKLQKPPEPDFTADDAD >CAK8568201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581269412:581270064:-1 gene:gene-LATHSAT_LOCUS21185 transcript:rna-LATHSAT_LOCUS21185 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRATNRVRFPQLSDGDVLPNIKKKVEKTSTYTNYWVVRMSFEFIFEVRHIENQADMFFFNLKGSECSCKKWQLIALPRVHAISALKSRSLCIDDYIPESYKKSRYQVVYEPIIYPVNGTKLWVITEHPYVQSPKFKKMPGRSKKRRNREQGEIDGTD >CAK8569034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665316027:665317432:1 gene:gene-LATHSAT_LOCUS21931 transcript:rna-LATHSAT_LOCUS21931 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVEPPNGIRAEGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIQNAFENRVDALRTLRELKLLRHLHHENVIALKDIMMPIHRSSFKDVYLVYELMDTDLHQIIKSSQTLSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTNCSKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGSECLNQLKLIINILGSQREEDIEFIDNPKAKRYIKSLPYSPGTPFSRLYPNAHPLAIDLLAKMLVFDPTKRISVTEALQHPFMASLYDPNCDPPAIIPIDLDIDEDLGEEMIRELMWREMVHYHPGSAMGNAELSS >CAK8561473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241100051:241100848:-1 gene:gene-LATHSAT_LOCUS15061 transcript:rna-LATHSAT_LOCUS15061 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMAEGTNLVFHNNVIGGTAIKRLISKLIDHFGMAYASHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSSVLEKHNHYGNVHVVEKLRQSIEIWYDTSEYLRQQMTPNFRMTGPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGHMIDLPIQSNFREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVNIRNGMMPERILIQTLNGRVVADNIYRFTVHCR >CAK8568395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602165620:602166845:-1 gene:gene-LATHSAT_LOCUS21355 transcript:rna-LATHSAT_LOCUS21355 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPKPEKKLSEFLNEQQEPFILELYLLERSNSSKTLSSTSPKNFEKPTSSCFSNKKRKPLFPFCKILTCVHKKKLEAAIKNSYTTNKHPNIGVTHEANNGDQTQTAMETDRFSTARSSTMFYSCSDIDDEEEDRTSFSSRKYHNTLFSSDTVCNIGIQSQQNPDNRKCHQRCVKVCVTHETLNKDVCVKKITEESLLSAAIFSSLIQTAKRDQKNYTKQLRQILEHKRVLYKTKKLLFDCVKEFTKNMKKKDCKQLMGGEKLGKIIWKRIRKEGGGNYETNISNLLNLDYLESMNEWSEFKTEMKDISIEIADAILERVMKDEIDILPPTTQQT >CAK8563042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568997246:568997872:1 gene:gene-LATHSAT_LOCUS16490 transcript:rna-LATHSAT_LOCUS16490 gene_biotype:protein_coding transcript_biotype:protein_coding MTMETDPLHHQFSSPSFSSYSSDTLAQIATRVIHTDDTLLDSSQPNDTVNDNFEFDFTFLSTDSDTVSADDIFHNGQITPTYPLFDQSLLNGVVLRSHVLPETVPRRRRLPLKKLMEDDGKGKELDGVAAGSYCVWTPPCKKNSSTESESKRWKFRDLLLRSHSDGKKDSLLFFTSGGKHNSAVHDVAGAAKDGFRSKRKLGISWIIK >CAK8577765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586899734:586900516:1 gene:gene-LATHSAT_LOCUS29839 transcript:rna-LATHSAT_LOCUS29839 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPEPPPPNVVNEFNPNEIVRDPGRRKQINEYAPDIQDQVRRAYILKGPMQPELPSFPRTPFGSVKRAFIKSWYKNYTWLEYNEIKDATHCFYCFLFKKPGRAEHFDFEVFSKSGYKDWKHASQGLKDHVGSHNSFHNSCVKHYDDYNNQRQSVASKFVKATKELEELHKIRLTCYVDCSRYLIAQGMSFRGHDESSISLNKGYFREMVDWVKVKNEQVQDAFDRGGKNCTMISGEIQKELAMCCAHEVTKVI >CAK8564817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11221800:11224248:1 gene:gene-LATHSAT_LOCUS18082 transcript:rna-LATHSAT_LOCUS18082 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSRTPIPRTKPSIDPVYETFQPMSELKENQQAYFLHIYLPGFIKERISIKFVALSRTLRIIGQRQIIGSNKWSQFDQSFPVPENCEVEKLEGKFENGTLIVAMPKKFPSLKSSQVETSKEKFVASPRTPKGTNIPSKNAANRVIEESTRDKKVPSSPSNLVKGLNDLSKLKEQKGTQQENFTTQSPKGKLKALEPYNVESSKPKVFDEENNVLLKVKTKAIGAKGQKGQEEIDQKSKFKMDPITQIDDEKIQDEIRKRAILEKIKKQLHDGDRKDEKKSAANKEIKDGDDKKTYESSKVDQKYVDHNMFLEGKEIRARKESSKGEEHFDAKDAKKESVTNTFDKAKREKKDYEVYAKENGIFKEVRDSTSQVVKKIGEGKLNEQEKPLVVNMGAAILVIVALGSYVTYKFGSSSKN >CAK8534784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767531978:767535585:1 gene:gene-LATHSAT_LOCUS4298 transcript:rna-LATHSAT_LOCUS4298 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISMVEAKLPPGFRFHPRDEELVCDYLMKKVTHSDSLLMIDVDLNKCEPWDIPEAACVGGKEWYFYTQRDRKYATGLRTNRATASGYWKATGKDRSILRKGTLVGMRKTLVFYQGRAPKGRKTEWVMHEFRIEGPHGPPKFSSSKEDWVLCRVFYKNREVATKASMGSCYDDTGSSSLPALMDSYISFDHQAQFHTDEYEQVPCFSIFSQNQTNPLFNHTTTNMEPKLPTINNPTTTFGGAPYSLDPMSCDRKVLKAVLSQLSKMERNTLDDNNQNLKGSSPSLGEGSSESYLSEVAMPHMWNSY >CAK8564813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11191760:11193076:-1 gene:gene-LATHSAT_LOCUS18078 transcript:rna-LATHSAT_LOCUS18078 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPYNKHLLAKQALVAVIKDSPFDTLSSSSSSNLTWTPDAVIQLLRSISRFSFQSHRSLGRQNNLFRHSSLPLSNLKPYSNPYLTNLGLRKAQEFLHWIHSHFNFLHTQSTSLEMAILITKSNNTKTLWTFLKQLSSSSLNTASNNLVTTASITCLIKLLGEQGLAKEALLTFYRMRQFGCKPDVQAYNALINALCSVGDFTKARHLLQQMELPGFHSPPDVFTYTVMISSYCRYGVKISGCRKAVRRRLYEANRLFSVMVFKGIVPDVVAYNALIDGCCKTYRVGRALELFEDMKNRGCVPNRVTYDSFIRYFSAVNEIDKAVEFLRDMQRLSHDGGNGIVGSCSSYTPIIHALCEAGRVVDAWSFLVELVDRGSVPREFTYKLVCDALRLKGEDGLLSGEVHQRIKDGVLERYRRTMKVKPVMTRKGYPELELFS >CAK8575385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:104599593:104601245:1 gene:gene-LATHSAT_LOCUS27654 transcript:rna-LATHSAT_LOCUS27654 gene_biotype:protein_coding transcript_biotype:protein_coding MRFICQRVISLSLVLVFLSTLSCAINTGDEFENKNIKSATFISKMFEIGPGKVAVKTFTDVEFPKGHVGIKSFDAELVDEEGNSVPLYEAYLHHWFANKYHVKDWNMLKIIPKDPLEGAKYIRNQGTCSDYILPAYWGLGGESRGTKSNIPDPYAVEQGNPSYVPSRYDEEKWLLNLMVIDTRGTKHKKHCTECRCNSFNLPKNFYNVTRGIDRKPLSSNYKGGTFCCQDDLQCKMKKGFQAPTRKLALRYKITWVDWNEQQIPLRFYILDSTDRVRTNGSQIIHDCRAEFTIPPNNGKKYSPPHIQKANIPIERGGYLIYGTSHMHTGVINSTLYGQDGRTLYTSKPTYGNGKEPGNEKGYLVGMSGSYPKPGSIKIKDGEIVTVEVIYKSGFLTGAMGHMYIYLADRLP >CAK8540019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536118943:536124364:1 gene:gene-LATHSAT_LOCUS9060 transcript:rna-LATHSAT_LOCUS9060 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLIMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVNHEKKMLEMIGRKLKKNSVALDIVNFGEVDEAKTEKLDSLLAAVNNNDSSHIVHVPAGPSALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGASGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKVAEDAAKQEKVGEQQAGAQDATMTERASASTSEAENKTADLMDDENALLQQALAMSMDEPAVSHDVKDTDMSEASVDDPDLALALQLSVADSTKDQASQSDMTKLLADQSFVSSVLASLPGVDPNDPSVKDLLASMQNQSEQQQKRDDKPSNEEKK >CAK8540020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536118943:536124364:1 gene:gene-LATHSAT_LOCUS9060 transcript:rna-LATHSAT_LOCUS9060-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLIMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVNHEKKMLEMIGRKLKKNSVALDIVNFGEVDEAKTEKLDSLLAAVNNNDSSHIVHVPAGPSALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGASGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKVAEDAAKQEKVGEQQAGAQDATMTERASASTSEAENKTADLMDDENALLQQALAMSMDEPAVSHDVKDTDMSEASVDDPDLALALQLSVADSTKDQASQSDMTKLLADQSFVSSVLASLPGVDPNDPSVKDLLASMQNQSEQQKRDDKPSNEEKK >CAK8567814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546887736:546889657:1 gene:gene-LATHSAT_LOCUS20836 transcript:rna-LATHSAT_LOCUS20836 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVALSSQILFVLISIILAICLCLLVTLIYFWWILPNHKLVKLKKCGFDGPTPSFPFGNIKEMKRNSSFSSSLDITHNIHSIVSPYYYSWQKSYGKVFIYWLGTEPFLYIADPEFLKIMSSKVVAKKWGKPSVFRKDREPMFGNGLVMAEGTTWVHHRHVIGPTFTPLNLKTMASMMVDATKKMIKRWNSQINSGHLEIDIEREIVATAGEIIAKASFGMGDENGKLVFNQLRTLQMTLFKTNGYVGVPFEKFLNFKKTLEAKNIGKKVDELMLSIIEDRMNSNDKKQHEQDLLGHLLKETHEIDGKLNKALTKKELVDECKTFFFGGYETTSLSITWTLLLLALHQDWQNQLRDEIKQVIGNIEKLDINLLADLKKMKWAMSEALRLYPPSPNVQRQTMEDIQVDNLKVPRGTNIWIDVVAMHHDVTIWGNDANKFKPERFMNDANGESKHKMGYLPFGFGGRSCVGRNLTFMEYKIVLTLLLSKFRFKVSPSYHHSPTIMLSLRPNHGLPLIVQPL >CAK8570130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24601356:24601967:1 gene:gene-LATHSAT_LOCUS22908 transcript:rna-LATHSAT_LOCUS22908 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKREKQSCFIFKVDYKKAYVNVSWNNLRYIFRKMGFGAKWISWMEACVFTSSMLVLVNGGATDDFKVERWLRQRDPLSPFLFVLEMEGLMLLTETTMELGEYNSFKINEELSIDILQFADDTIIIRDSGSNNLWSIKAILRGFELISGLCLNFCKRSIFGINVSSWCMEAASSFFSCRVDMLPFKYLGIWVISSPRKMLI >CAK8535356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842791377:842794661:-1 gene:gene-LATHSAT_LOCUS4822 transcript:rna-LATHSAT_LOCUS4822 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSHHLFSTFTLFNSFRTTKSNSISRSSSASLIRCADLSTSTVESQRVTVSNGNDSLEICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADSGLSTFDMADHYGPAEDLYGIFINRVRRERPPEYLEKVRGLTKWVPPPVKMTGSFVRDNINVSRKRMDVDSLDMLQFHWWDYSNSGYLDALKHLTDLKGEGKIKTLALTNFDTERLQIILENNIPIVSNQVQHSLVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDANVNIPFAGPAINTPSLQKYKRMVDAWGGWGLFQGLLRTLKQVATKHGVSIATVAVKYILDQPAVAGSMVGVRLGLSEHIQDCNAIFSLVLDEDDLNSIREASGKGKNLLKVIGDCGDEYRGA >CAK8536372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935640452:935641274:1 gene:gene-LATHSAT_LOCUS5748 transcript:rna-LATHSAT_LOCUS5748 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLPLVLILLTLIPLLTQAQKAPAPAPSGPINITEILDQGSQFTFLIRLLNETQQLNQIQSQLNSTSQGFTIFAPTDNAFQNLPSGAINNLSDEQKVQLILYHVTPKYYTLSDFLTVSNPVRTQASDKDGPWGLHFTSQGNQVNVSSGVVSEPINNSLRQKFPLAVYQLDKVLLPTELFGPKPPASSPAPKSSKTPSKTPSSAAVEGDAPAPASSKKDDSAAGRNGGFGFVVGFGLICMAVLT >CAK8577979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599807174:599807557:1 gene:gene-LATHSAT_LOCUS30033 transcript:rna-LATHSAT_LOCUS30033 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSFITALLSVVTMSSMILEARQLLQTTTQPNLPGIPSFPKPTTLPPLPSIPTFPQASLPPLPTTDSSLPKLTMPPLPSFPTTIPSLNIPPLPAITSLPNIPTSIPTTFSSIPFLSPLPSTSSP >CAK8564383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671324116:671324328:-1 gene:gene-LATHSAT_LOCUS17695 transcript:rna-LATHSAT_LOCUS17695 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAPSWADQWGAGGFGAMEDDNTKSQNDANTKNSGGKGGLSKARATASNCVKWFKSLCKRKPPTKQLG >CAK8570373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41045682:41046413:-1 gene:gene-LATHSAT_LOCUS23126 transcript:rna-LATHSAT_LOCUS23126 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGLLKLRIKRGVNIAIRDANSSDPYVVVNMGDQKLRTRVVKNNCNPEWNEELTLSVRDVQTPIHLTVFDKDTFSKDDKVGDAEIDLKPYAQAVQMKLDTLPNGCAIKRVQANRTNCLAEESSCVWKSRKIIQEMILRLRNVESGELVVEIEWVDIPGSRGLLGIQI >CAK8570837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126340084:126340559:1 gene:gene-LATHSAT_LOCUS23553 transcript:rna-LATHSAT_LOCUS23553 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDKWMRWMEGRVMNSHMLVLVNGSPTQMFKISRGLRQGDPLSPLLFSIVGEDNTMLLGEANWHNLWAIKAILKGFKITSGLKINLSKSTLMGIGVDELYLKAAGNFMFCKIDKTPFKPKVASL >CAK8570838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126340105:126340559:1 gene:gene-LATHSAT_LOCUS23553 transcript:rna-LATHSAT_LOCUS23553-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMEGRVMNSHMLVLVNGSPTQMFKISRGLRQGDPLSPLLFSIVGEDNTMLLGEANWHNLWAIKAILKGFKITSGLKINLSKSTLMGIGVDELYLKAAGNFMFCKIDKTPFKPKVASL >CAK8536663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6278580:6279503:1 gene:gene-LATHSAT_LOCUS6003 transcript:rna-LATHSAT_LOCUS6003 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFVLNKQHSYSLFLLLVSIAVFSYSVQAMRFDLPHDKAGRCFSEEITKINSMVLGNYSIVNSNQDQPLPPNHIMTVQVYPPQGVVPYHVAERVQAGQFAFTAYQVGQYAICFMDTSDDRQVTFSVDFEWKTGVAAAKGHHKNIAKKSDVDWLAFEVQKMQETALAIKEEMSYLLERNTEMTELNWITDNRIFLLNFYSLFVCLSVAGLQLWHLKTFFEKKKII >CAK8577523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571090786:571091724:1 gene:gene-LATHSAT_LOCUS29623 transcript:rna-LATHSAT_LOCUS29623 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVLSPVAPPEFNFDSNCSSPYITAPSSPQRFANNFFFSAPTSPTRISPLFPQSPLPFHTDTNPNHSNNYSFGNDDDFQFDFTPNLPRASLSAADELFDGGKIRPLKPPPPTSPKTRKNKTAHEEKPFVKETPRNEEDKRGRERLFSSGRKGSRSLSPLRVSDIVYNSEEKDVSDSASVSSSTSNMKSFLSFTKGYRKWKIRDFLLFRSASEGRANEKDPLRKYTALSKKPAAEEDVRNFSFRSTESSGSVSKRRGPVSAHELHYTMNRAATEEMKKKTFLPYKRGLLGCLGSNHRMDQISRGIGSFTRS >CAK8543136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582162611:582167052:1 gene:gene-LATHSAT_LOCUS11918 transcript:rna-LATHSAT_LOCUS11918 gene_biotype:protein_coding transcript_biotype:protein_coding MINQKAEIESQPLIENRGKLESKKKLTKVRSMKKLHRLSSRGRGRKPLYDHHSLSVISSLASESSQHQTPIEVTDSSPNYMKATSSSHAKDIFQIVQRLVRNKTLTRMSTLKLKRSLTRKLSGKTEQKRKLKSSRSIKLATVKGSKSTTRVNSESIHGIDGENGESTSDAGNKLRRVLTRRLSLKPVRISAKKTNCKLENSSVDSSLLKATCSSIIKDSHFPDHIEHPHEGSGSQGVSAVRVCPYTYCSLHGHRHSDLPPLKRFVSMRRRQLKTQKSVKKDGRSKQIGNARKTTQKTKTLHNEDGNSHFQNEKNVARESSPFKPRDTPPSTVNDGDNSAKGKNMEPDYEVLQKSFEQDVATTGVSYGVLERDQKYIKKWHLMYKHAVLSNTGKCENKLPFVGNETEGREEDDEGNNSFHNCSETDSDMDDEKKNVIELVQKAFDEILLPEVEDLTSDNHLKSRGNETDEQLSEKSESKIEERNTTTFDESPKEEQKMENKTKSWSRLKRVILLKRFVKALEKVRNINSRRPGTLPSDANFEAEKVFLNHQTADERKKSEWMLDYALQKVISKLAPAQKQRVTLLVEAFETIRPTQDAENVPQSSAMVESQTNPIQSLDASSNHSKEEINDRKGFEVTERARIDKNGAAFRKNDESATVESTSTDVVKFPDFDIGILEEEVTTKGKNKEPDYNVLQKSFVQEEPKHGSNITGLPYGVQERDQKYIKKWHLMYKHAVLSNTSKCENKLPFVGNEKEGREEDNEGNNSCHNCSETDSDMDDEKKNVIELVQKAFDEILLPEAEHISSDDHSNSRSYGSGEVLLEKSEGKVEEMNATTFAESPKEAQEMENKPKRWSHLRKLILLKRFVKALEKVRNINPRRPKQSSSDVNFEAENVVLNPQTAEERRKSEEWMLDYALQKVISKLAPAQRQRVRLLVEAFETIRPFQDAENGLQSSTTVESRENPIESLDASKNHSKEEVNEGRNSVYSAKTLLGKLSCSNDSTMEFSDKARENSMNELCNSFEPVENICNCQEEAPTNRIVDEVPNDLVSDLNTENTSIRSESPERYCETKNVIGDNSEQFSLTKSLILNGLVRSLKSNLVGPETPSNLLDEPTRDRKDMIEKDQLGTSEAPTSAVVESETQVEKQGNTGLWFMVYKHMVSDITENNPKTLTDGPDEKVSEYEGSITRENSVSYESTPVNIPDMHFKDPVVADAEVELRQIEAIKMVEEAIDSILPDRQPLSDNNTLDRTERMYSQGLNQKEEKMESGNGIAKQQKEESLSKEGDKPKQKLSRNWSNLKKVIMLRRFIKALEKVRKFNPRGPRYLPLEPDSEAEKVELRRQDMEERKGTEEWMLDYALRQVVSKLTPVRKRKVELLVEAFETVVPTVKN >CAK8579189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682684332:682685729:1 gene:gene-LATHSAT_LOCUS31155 transcript:rna-LATHSAT_LOCUS31155 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETSKDPKSVYDFTLKDGKGNDVDLATYKGKVLLIVNVASKCGMTNSNYVELNQLYDKYKHKGFEILAFPSNQFGEEEPGTNDQILDFVCTRFKSEFPIFDKIEVNGENSAPLYKFLKSGKWGIFGDDIQWNFAKFLVDKDGQVVDRYYPTTSPLSLERDISKLLGVE >CAK8538372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479425752:479427610:1 gene:gene-LATHSAT_LOCUS7583 transcript:rna-LATHSAT_LOCUS7583 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVIGENAPLLSGSKPSSKPATVSGAVFNVATSVIGAGIMSLPATLKVLGVIPAFFLILVIALLAEISVEFLMRFTRSGETTTYSGVMREAFGPLGAAATQVCVVITNMGCLIMYLIIMADVFSGNKPEGVVHLGVLQQWFGIHWWNSREFALIFTIIFILFPLVLYRHVESLKFSSAASTLLAVAFVTICSVLAIIALAEGKTQTPRLVPRLDKQTSFFDLFTAVPVIVTAYTFHFNVHPIGFELGKPSEMAKAVRIALILCALVYFSIGLFGYLLFGDSTQSDILVNFDRNADSSFGRLLNTLVRLSYALHIMLTYPVLNFSLRTTVDEFLFPKRPLLVTDNKRFMILTLALLVLSYIAAVAIPDIWYIFQFMGSTSAVCLSFVFPGSIVLRDIHGISTRKDKIIALVMVILAVVTSVIAMSNNIYKLF >CAK8572936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572170111:572174118:-1 gene:gene-LATHSAT_LOCUS25438 transcript:rna-LATHSAT_LOCUS25438 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGAKKNKEPPPSIEDANERITKRGDNVDEKIKKLDVELSRYKEQIKKTRPGPTLEGIKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVQFAAEGIKDAQQTMSALKSANKDLKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEDELLGELDALEADMENESEGVPSYLQPDKESDLDSELNLPSAPTGQTAVPHGRSNVQTEDELGLPAVPRASLRG >CAK8540591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11601310:11601938:-1 gene:gene-LATHSAT_LOCUS9583 transcript:rna-LATHSAT_LOCUS9583 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPGISDHGLLCIKGDEELKRQRYGFKFINKVIDMEGYNIEVTNSWNKAIVGNPDNVMWRKLMRLQHLISKMSKPLIGIQCQIKEARCNLQEAQERLQTKRMNNQVIMQEKLWNENLIELIEIDEQVMSQRAKVDWIRLGDGNNAYFHATLRSKQKIMRIIQLYKEDNGVATNHDDIAMEILKFLWKSYKD >CAK8566755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459863223:459871250:-1 gene:gene-LATHSAT_LOCUS19865 transcript:rna-LATHSAT_LOCUS19865 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWASSTRRGGMTVLGKVAVPKPINLPSQRLENHGLDPDVEIVPKGSLSWGSKSPSSALNAWSSSLSPNTSCGTSSPSQLSARPSSGGSGTRPSTSGSDRASELTTRAWRSNSRPSSSSGVPTSSQTSQTSLRPRSAETRPGSSELSRFAEHTTENSVAWNVARTTEKLGIKQCKNENFSLSSGDFPTLGSEKDNSVPDFESQDHSSHIRPDSSAGLGKEKNETSTVDDVPVHETTKGETENSWRRADQAFNEDGIRPVIEKWNGNLHPYPNAGILPQHFDVWRGAPVNNHQRDVWFRGPPNGPPFATPVAPGGFPIEPFPFYHPQFPLTGFANPSQVPPPGSGPRGQPNNGDVYRPHMPDAYIPPGMPLRPGFFPGPMTYEAYYGPPMGYCNSNERDVPFMGMATGASVYNRNASHNLPEPGISHGRSGGHGPVVKLLASEQVESSHTPDTRPYRVLLKQHNELDGKNEPTNWEDSLTTNASHANERDQSRLPVQENDHRGNMEMDLRRTSAHSKEASSQASGNQGSISVKNTKSVESAGSFDNISKRKMDVVSSNKLGIASRLSAPKDSTLIRKIEGLNAKARDISSTKSKDDRMNKFHTGSQVDNETSAGVVSRETTLATEVKNSTVRGVGAFGGEKNLKSSSFSETPTSRQISHGMQGRCNDRKGKLDTQDVDGWEKKFGVIDSSPSSGTHLEASSILVGEHQISVDAYERSGLYSQVRREGVPVQTLSDSANSCEQRAITKELSKQQTKQLQVEEEDRTKKQRAKSLVKLEEVNRRTEAVEGSMQKACAANSPLQNMQKEFQLSESPTVLGKSGNVNSSVIPNDNGNQKKKNNRNKKNKQKVKDISFLPALSTAILKDAGFSTSSVENKPREDIEVDQGSLQSSSLYKDPNQYSEQKYSEFEESHGKINNLLKSQHSRRMPRNMQANNRLTEKSHGSDALMWAPVKPPNKGILDESSEKSKIEAIVPAKSDQQVHNLRNKRAEMERYVPKPVTKEMAQQGSSQQMVSSISQVPKDECVEGVDYGSHGPQITQNTISGAGVVGSVPESKFGDSRPTRGWKGKSHGSWRQRNSTESKDVHDMLDGVNHGSSSYQNIQIPMERKKVQMSETRGQWKHVSDTSKPDASNKPENHDLVVSVSVPIIEDHKGTVRERQVRFRRQKGTGLSHDVDQKKRAGETGKTETMIPSAVHNQPDMNAVLKEYQSIEERGSSHWQPKFQASNNQRGDRPKKKEFTHGGSFPGGIDKDFAAHPPSQSVFEKSQGREAPNFRNMEVKRESRNAPPERHPHSLNQVAVSSSEQAPTSMAPRNQHQSSSGVRRNGSQSHFGKGREFKEDWKSHAQDNRYHQNRETQGPPNFHHEYHSLGPQGDSKSDNSGRPKDGYCHARGRFRERGQTHSRRGGGN >CAK8540371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555307107:555307427:1 gene:gene-LATHSAT_LOCUS9379 transcript:rna-LATHSAT_LOCUS9379 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLSCSQILQRTDSFQEVFQEEEYRERNKKVARTWSGNISQDKEMTKGGPLAKIKANHRRNHSTGNIPFSSNGPKLVRSSGMRRDWSFENLAEIQQDQSVTCH >CAK8532966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:556582886:556584972:-1 gene:gene-LATHSAT_LOCUS2621 transcript:rna-LATHSAT_LOCUS2621 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQLHHHLPKILSYPKPYITLHTNIHIQPKNFLTISPSPSFLKKSSNLNFLHATKITPCNALTSFPSPSSAEEDNTDTDTDTNSNTDTDTDENTDINVNVVENKIQCLPEKKSFWGAVSLIIGTSVGPGMLGLPSLTIKSGPIPSTIIIFISWIYVISSIILVAELCFDAMEKDDLEEVSFTNLATRTLGQKFGSFVALVYTSLCFSMLVACVAGIGSIISPIFTNFNNLVVHSLFPLFVGILIVFFPFNTIDFANRVLCFLMLVSITGLVGIGVVVARANIISSFGSISWKLSSILPIIPVAVLTVGFHVITPFICKIAGDSIDDARKAILIGGTVPLVMVLSWNLIVLGLVGAKKGADFVGDPISLLLSVNPSALSAVQGFAFSAMATSLIGYVVSLPKQILDTFELVFGKGENGRVGLVSYSGDGGGGDGVGYSGNVGFESSKDLSEMRLNEKRFEGFKVFVTILVLCFSVFIASYFRSTFSRALDFAGVYANCFLFGIIPPVMSYIHQQTNKEKIRSSFIPGGNVTLLLLFIISVMLAIWH >CAK8576231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:432272853:432277057:-1 gene:gene-LATHSAT_LOCUS28437 transcript:rna-LATHSAT_LOCUS28437 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDELAPEAREIDITTSIEPGSDVTWVRTGSEQEINLPSSPSSSGYAGEGGGSTSATTGSLIEIEHDEEIEEEHMRIQNQIDEISISDSNTSWIPGKRHQDEDDGSISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIVSFVENGGDSVKLVRAGKHQVVFLVKGPIYLVCISSTEEPYESLRGQLELIYGQMLVILTKSINKCFEKNSKFDMTPLLGGTDTVFSSLIHSFSWNPATFLHAYTCLPLSYATRQAAGAVLQDTADSGVLFATLMCRHKVISLVGAQKASLHPDDMLLLANFVMSSESFRTSESFSPVCLPRYNPSAFLYAYIHYFDADTYLMLLTTNSDAFYHLKDCRLRIEMVLLKSNVLSEVQRSLLDGGMHVEDLPPIPQSRTSHLGQHTIPSDSPESFKEANHDIGGPAGLWHFIYRSIYLDQYVSSEFSSSISTRQQQKRLYRAYQKLFTSMHDKGIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWIKDVENEIFLLGASPFSW >CAK8573686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630111432:630112667:-1 gene:gene-LATHSAT_LOCUS26097 transcript:rna-LATHSAT_LOCUS26097 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFPNNFPSSSSSSYPNNNNNTLSFLIDSNPQNNDFPSLLDDPLSSLPFTTSTTHHDVVVPLFHDHETTTIASNLAVSDYHNNNTSMFEPPDSSNLGGFPNFLVTQKQPSVIVGKKDRHSKIHTSQGLRDRRVRLSSEIARKFFDLQDMLEFDKPSNTLEWLFNKSDTAIKELARTKNACYNNNDNSNNNGFFDSNSNKSISGGGADGGCSKGRKLKWTQKEETKKESRERARARARERTCYKMCSSGRMQEEIRYSSAADSTANAQMLQQLISSTSSPADNSTETEAYARWRHLLQLQTYNNPTYSQNHHTLMDSEIPRDHGGFNLIEESIMIKRNLMSSSSSSSSHYQHHQQNLIPNVPKELPSFSNNINDYSLFPYSTSNWENNNGGMSNFCGIATMNLSTCFMNQW >CAK8544286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676459603:676462332:-1 gene:gene-LATHSAT_LOCUS12979 transcript:rna-LATHSAT_LOCUS12979 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETIFIFLFLLYFGYADPAPKCTRSSSFDFESDFIMVQHQLRGHIKIIDDCSFRVSQFDMLSGVDVHWWGALAPDFDNLTTGFIVSDHKLNHTYANSTFVVQLMTNITWDMIPVLTVWDVPSASDFGHILIQNLTSTAESPPGSDGDLTSTAESPPGSDGEKKVNVSVHTEPTMFDNCKVLSKDFRVRWSLKPKEDIVEIGLEGATGVMNYMAFGWANPNATDAELMVGADVAVTGFKEDGLPFVDDFFITKYSECVKNSEDGTVEGVCPDSIYEGPNRVGLVNDTRLIYGHRSDGVSLVRYRRPLSPVDAKYDHRVDRFANMTVIWALGKIRAPDTVLPYYLPQNHGGLPFESFGHLVLNVSQHVNECNGPLDAADKEDQDIIIADAKVPLVVTTGPALHYPDPPNPAKVIYINKKEAPVLRVERGVPVTFSIQSGHDVALYVTSDPIGGNATLRNLTETIYAGGPEAHGVQASPTELIWAPDRNTPDQVYYHSVYEKKMGWRVEVVDGGLSDMYNNSVVLDDQQVTFFWTLSKDSISIAARGEKKSGYLAIGFGSGMVNSYTYVGWVDDNGVGRVNTYWIDAQDASGIHLTNENLTHVRCKTENEIITLEFTRPLVPSCSRGKRPECNDIIDPTTPLKVIWAMGSTWSNGHLSERNMHTLTSTRPILVQLMRGSAEAEQDLLPVLAVHGFMMFLAWGILLPGGILAARYLKHLKGGGWYKIHVYMQYSGLSIVFLALLFAVAELRGFHVSSTHVKFGIAAIFLACIQPVNAFLRPPRPTNGEQASYKRIIWEYLHIIVGRSAIAVGIAALFTGMKHLGDRYALENVHGLSWAMIIWFLVGALSIAYFEYREKQRVRDQIFGRGNWVLGNEEDDSMDLLSPPNTHATNKESQASARLEIQLEPLNR >CAK8576622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498365638:498366889:1 gene:gene-LATHSAT_LOCUS28796 transcript:rna-LATHSAT_LOCUS28796 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLNAEASEFHPNNQLQKLHPPYLTFAPLTHQPSYPFFYYYPAATKHHFHSSTYFSFRIHPNLTTVTPTFPPSSGVKKDIAVEAASTEGNDGEMKGRRSHGLRIPKLEWRKKGLNVAEKDPKLMNQSLRKNHHSKHELHSRASTNLKNKGIVFPVVPVRPDGDETTVMIKNIPSKYTRDMIVKFLENLCMVENAKDQENGDENTFSFDFVYLPIDFRTGLNKGYAFVNFTKASAAWRFVQTSSNRKWELFLSHKIRDVVAARLQGKEKLEKHFVSVNFPCESEEVLPLCFSPPRDGVIKGKQRTLGRLLYNPQ >CAK8561961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418581837:418582283:1 gene:gene-LATHSAT_LOCUS15504 transcript:rna-LATHSAT_LOCUS15504 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPTVNIDTLWSLLPQEVKDKAAKSKDTAPVIDVSQFGYFKVLGKGVLPVNQPVVVKAKLISKIAEKKIKEAGGAVLLTA >CAK8562712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530880469:530885102:-1 gene:gene-LATHSAT_LOCUS16186 transcript:rna-LATHSAT_LOCUS16186 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQDAIIPFQILGGEAQVVQIMLKPQEKIIAKPGSMCFMSGSVEMENAYLPENDVGIWQWLFGKTISNIVVRNSGPSDGFVGIAAPYFARILPIDLAMFNGEILCQPDAFLCSVNDVKVSNIVDQRGRNVVAGAEAFLRQKLSGQGLAFILGGGSVVQKNLEVSEVLAVDVSCIVAVTSTVDIQIKYNGPARRTMFGGDNAVTAFLTGPGIVFIQSLPFPRFSQRIARAVTSPNMRENPKFFVQIALFFFLAYVVIVSSLILTDV >CAK8535722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877011846:877013795:1 gene:gene-LATHSAT_LOCUS5151 transcript:rna-LATHSAT_LOCUS5151 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQCCKDSETNQNQQLSLEYCCWFEDACILDMDYFVKTLSNIKQKGVRADLIGSIITHYASKWLPDLVTSNANANSNANANANASSPESATTSWMKKKFFVETLISVLPPDKDSIPCNFLLRLLRTANMVSVEATYRAELENRVSWQLDQASLKELMIPSFSHTCGTLLDVELVIRLVKRFLNLDHDGSKTGAASLVKVAKLVDCYLAEVGLDSNLSLSQFVSLAAALPSHARATDDGLYRAIDTYLKAHPSVSKQERKGLCRLIDSRKLTPEASLHAAQNERLPVRAVIQVLFTEQTKLNRHIDWSASFSSLRSPSGYHGVLETPERCLSKREMNAQQMEIKKLKEDVYRLQSQCNAMQAQMDKMAEKKKGFFKWKKLGFSKSNGETGNVEEHETQTEFGFGRQTPMDMKTSIVVKGRTPQNWRKSLS >CAK8536749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12819583:12820224:1 gene:gene-LATHSAT_LOCUS6083 transcript:rna-LATHSAT_LOCUS6083 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATDSPRFPFLNSHPTPSTRTQLKPIFLSPFSPKPSKTTYANNIVSATLSSSFNGRPGPPSKGHSFYKELQFDNTTENDFELELELEKNPLDEGSSKETDGSIPLDENDDKGSGKSENEMREDDLREDDLIRVRDDGEGDEGVDLRKDDKVEKFGGNFRLRKGKQVIRQSNLLAKQVISIQSALSLGFVSQFWVDTTFVSK >CAK8532760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510804609:510805112:1 gene:gene-LATHSAT_LOCUS2433 transcript:rna-LATHSAT_LOCUS2433 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDYIEKDRCRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLARKGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8538244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472912467:472915685:-1 gene:gene-LATHSAT_LOCUS7464 transcript:rna-LATHSAT_LOCUS7464 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNLDHEYGLETVLEVPIPEEIFTNKSGNTWKNMKQWIKPNNESRSSRFEDPNTNIQLLLGVVGAPLIPFPITNDYKPIITHNIKGHNIEVSMAKYIVKQYVAAVGGESVLSKVESMYAMGEVRIGTSEFVGGEGGVNSKKMVKKSKKVEVKEEMGGFVLWQKRPELWCLELVVSGYKISAGSDGKVGWRQTPWHHSHASRGPPRPLRRLLQGLDPNSIANLFNNSTCIGEKTANKQECFILKLETDSTSLQTRSSNNIEIIGHTVLGYFSQRTGLLVQLEDTHLIKLKSSETESIFWETNTESTIQDYRIVDGIQIAHCGKTWVTLSRFGEGPESHSRTRIKEVWKIEEVDFNVKGLCLDCFLAPSDLKRDEEKEVVSVSNGKLPYRIQSGSFKISGSKVADFNLVDSCVSENIQDM >CAK8566476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:427423968:427426877:1 gene:gene-LATHSAT_LOCUS19604 transcript:rna-LATHSAT_LOCUS19604 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQTEWPEPIIRVQSLSEGCIDSIPDRYIKPPTDRPFVNSSSSYHNDDDINIPIIDIGGLYGDDFDVRASIMKQISDACCDWGFFQIINHGVSHDLMDKARETWREFFHMPMEVKQQYANSPTTYEGYGSRLGVEKGAILDWSDYYFMHYLPFALKDYNKWPSSPPSCREVFDEYGEELVKLSGRLMKILSINLGLEEKVVENAFGGEEVGACMRVNFYPKCPRPELTLGLSSHSDPGGMTMLLPDDQVAGLQVRKFDNWITVNPAKHAFIVNIGDQIQVLSNAMYKSVEHRVIVNSDQERVSLAFFYNPKSDIPIEPLKQLLTPERPALYPPMTFDEYRLFIRMRGPRGKSQVESMKSPR >CAK8543780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639434637:639435020:1 gene:gene-LATHSAT_LOCUS12516 transcript:rna-LATHSAT_LOCUS12516 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGCIPNCINDARDPRVPVRGSYVNLYKWPESDAAFVRSVSSGREHPRVVDSISCRQLYLRSYTFCREDEKKKKVKVKAKKRVVVKKKSLGWKKDKKSSCSVLFGIFRRFLSCCASVDVVDENYQF >CAK8561283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135775967:135777140:1 gene:gene-LATHSAT_LOCUS14891 transcript:rna-LATHSAT_LOCUS14891 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLMIYSCNFVWYLLLVLCVVLRIHVVQANGWVNAHATFYGANQSPTSLGGACGYDDTFHAGFGVNTAAVSTMLFRDGEVCGACYQVICDYKIDPKWCLRSRSVTITVTNFCPPNNHGGWCDPPNHHFDMSMPSFFGIARQGSEGIVPVLYRRVACKRRGGVRFTLKGQSNFNMVMITNVGGSGDVKGVWMRGGSGGWLAMHRNWGANWQSSGDVRNQRLSFKISLVDGKTLVFLNVVPSNWRFGQTFSSHTQFF >CAK8541933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435542425:435542634:1 gene:gene-LATHSAT_LOCUS10821 transcript:rna-LATHSAT_LOCUS10821 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGVPAAEALLASGRNSEKLNLPALQSKMKCDPEGYESEPHPQPIQLLTRTLPATSRNELHFHIQNQ >CAK8542153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:480472512:480474685:-1 gene:gene-LATHSAT_LOCUS11018 transcript:rna-LATHSAT_LOCUS11018 gene_biotype:protein_coding transcript_biotype:protein_coding MPITPCDNQIPLPSPIPTGRGSRSAANEIFSQFLEKKLHLPELTLPELHPSTSPAEIDLWSLPLASAPLLLRSAKEFGVFRIRSHGISSYDLETIATEAELVFKDYKKVYVERNGRCGGMIPFVRSSNGELKFTAHNQTHRKFWVHMGYVASILDTIVDQVTLALKQNASQDFKERIQETESAICLCRYPHDSTPKRNDSVSDKTKGVLCEHALRFYLPMEHCIFYVQTERGPLSFDAGPEHVVVIVGKQLEEWSNGVFKCVPGEMIFMPSFHSNNASFSVELTCLASSNLNLIFNNFDKIISLNDQILIVLCLVFLYKFLYFIFS >CAK8561751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:374253502:374254585:1 gene:gene-LATHSAT_LOCUS15312 transcript:rna-LATHSAT_LOCUS15312 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEVVDDGSEVDMSSAIDKLCKRLKSLDVVGKRELKSRVFELVFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQRKSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSKSSQSSKQFILEFPNHIRSYIDGVVNVVLDGNCGFRVIASLHEYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDELALVIESSMIEEFGPQPTHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPMTVDWKKFHSPAATSWMLGFSRRLHWQQLTPILRTHYEL >CAK8563751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629298800:629299147:1 gene:gene-LATHSAT_LOCUS17128 transcript:rna-LATHSAT_LOCUS17128 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQKASSTPNLVQHNVRGKTDIAWAHCTKSPNGKYLVCIYCHKAFSGGGIHRVKKHLAGVVGNVEICKSVPTEIRFRMNQYLNERSKKRKTPDVAESESFSAEG >CAK8539296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508577960:508578529:1 gene:gene-LATHSAT_LOCUS8405 transcript:rna-LATHSAT_LOCUS8405 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPERPPSSRTNLASCVVATIFLIFIFIIIFTLYFTLFKPQDPKISVTAVQLPSFSLTNNGTTANLTFSQYASVRNPNRGTFSHYDSSFQLLCYGRQIGFMFVPAGKINARRTEYMAATFTVQSLPLGLEGNSSDGVNRVGPTMEIESTIEMAGRVRVLNLFSHHVEAKAECRVAIAVADGTVIGFHC >CAK8562368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479089434:479092226:1 gene:gene-LATHSAT_LOCUS15870 transcript:rna-LATHSAT_LOCUS15870 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLVEGAVLGAVFQEFTKPITNQISKAWNFRKTRKNLASDVHRITTAAEQMKLLDEKLDRPTEETERLIQEIEQGKESVKKLSNVPWWKCCCLPCYQGELHDKEEKIARYTSLVIPITTARDVKETLSIVTDMKGRQFNRSCDPPLKPYFTVGLDFPFNQLKNWLLSNDGSVRVLTGLAGSGKTTLASLLCWDDKVRGKFGKNILFFTVSKTPNLKNIMRNLFQHCGHDEPCFVDEDDAVKNLRSLLTKIGESRPMMLVLDNVCPGSESFVEAFQVQVPDCKILITSRVEFPRFETSFLRPLSLVNAITLFRRFALPNDGKRGTYVPDEEYVQEIAKGCWGSPLALKLIGGSLSGQPIAVWKKMVNLLSKGHSIVFSNNDLLNRLQKVLEDALEDNHKIKECFMDLGLFFEDKKIPVAALIDMWTELNNLDHDNIEGMNIVHDLDNLHLVNLVVAREVTSHVDNYYNHHFLTQHDLLKEIAIHQARQEPYEHRKRLIFHMKENSWDQQNTQNTVAHTLSISTDKMFTSDWSNIVKVDQVEVLIMNLHTDKYILPDCIRKMTKLKVLIITNYNGFSFAELDNFEILGRLPNLRIIRLQQVSVPSLCALKSLRKLSLYNCKTRHDFQSDAVSVSEVLPHLEELCIDYCKDLVTLPVGLCYITSLKKLSITRCINFLAPPQEIGNLENLKVLRLSSCAELREIPASIGNLHELHFLDISGCASLHTLPEEFGYLHNLKELHMTGFTTLPMSVTKLESLKYLICDPETAECWEHFKSSLPNLKIEEAEVNLFIIV >CAK8540818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23476997:23478390:-1 gene:gene-LATHSAT_LOCUS9785 transcript:rna-LATHSAT_LOCUS9785 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSSKASLVWTLSSKSSSRNDRNSWHYQRFPWKRATYLRSFIHNSASSFNSGSLSAASKKLLLLRKRDNVYTRSTRGFSLWKSKVLGVGGSSLKWSKSIEKHSKKANEEATLVVAVVERKKREKKKSARSGSQTKRERIFRVGSVRYRMDPSRRTIQRISDDESLSSASTSSGSGLVSKRGYIPRRLVIGNDE >CAK8567748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541182549:541183136:1 gene:gene-LATHSAT_LOCUS20771 transcript:rna-LATHSAT_LOCUS20771 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDVPPTHTSQHSYQNQTPNNPLHQQNYTYQYNQQYQPQPYLRPPIQFTPVQPPLFDHTDPHFQYQPSNTTYSQPASTINTTYTQPTPNFTPDDVYYPTFQHPRSETYPPPPQPPHSFQQFLLTDEQLMQMPDFNIDDLLDEKPGPSSRQTNPSTTHHNEDMSSDSSESTRNECLGRGYRQRRIPSCGTGGHIR >CAK8573176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591405175:591407918:1 gene:gene-LATHSAT_LOCUS25653 transcript:rna-LATHSAT_LOCUS25653 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVAERGSFSNKDYHDPPPAPLIDAEELTKWSFYRALIAEFIATLLFLYVTVLTVIGYSIQTDVKAGGDECGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQSLGAICGVGLVKAFQRSYFVRYGGGANFLHDGYSTGVGLGAEIVGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGSAVILNQDKIWDDHWIFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSSNAN >CAK8541467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:192427808:192430994:1 gene:gene-LATHSAT_LOCUS10386 transcript:rna-LATHSAT_LOCUS10386 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNPLDKEKGLTLEDFKLIKMHMSNYILKLAQQVKVRQRVVATAVTYMRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADDKYRYEIKDILEMEMKILEALNYYLVVFHPYRSLSGFLQDAGLNDLSMTQLTWGLVNDTYKMDLMLVHPPHLIALACIYIASVLREKDTTVWYEELRVDMNVIKNISMEILDFYESNRMFTDERINAALHKL >CAK8562172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450758759:450759711:-1 gene:gene-LATHSAT_LOCUS15691 transcript:rna-LATHSAT_LOCUS15691 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGTAINGPTEVTNYVYMENLGVEPTEDEKIKCSIKITWLEGLYGNLKQKSAPTQEDVLLEAKIYILLAIATIMFPDKSQNLLHSSWIPFVGDLIKCGTYSWGSACLAKLYREMCKAAVKDVRSLSGCALLLTSWAFTRIPLFAPVTTVEPSYPYAQRWAQRGMNYRANPRFHLQGYRNALDHMQENDFIWRPYIRYPLPRLEDSQIWSATTFLICFYTVEMHQTDRVTLQFGLDQQIPPPPPQGV >CAK8564962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19215025:19216637:-1 gene:gene-LATHSAT_LOCUS18211 transcript:rna-LATHSAT_LOCUS18211 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMIAIIELFVAVQVFERGNVGSFRTTFQEEAWKRYNKRLQEEYEEEMERVERIRRMQDVFNRERNKYRRNPWKENGSGTHHQNFQRDDWYWKAEQTFRDQWKNYPRENGSINCSLLSHHYSVLGLDRLCNDDENIIKMRELGVMKSREHWY >CAK8565094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35534547:35535032:1 gene:gene-LATHSAT_LOCUS18333 transcript:rna-LATHSAT_LOCUS18333 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIIPGKEAPGNNINVYLQPLVKELKELWTNGVDTYDSFKKEMFNLHENLMWMISDFPGMGALSGWNTYTGPACPSCNFQTTPLCLKASHKWCFMGHRRFLDRRHRFRLNRIRFNGEQEIRNPPRTLSGHEVFEHVKDIEVIFACSIYSFSCAGKVTSCN >CAK8576814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516327057:516329619:-1 gene:gene-LATHSAT_LOCUS28980 transcript:rna-LATHSAT_LOCUS28980 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEIAPTKIGVVAKPTNFTASSTQEQHVTVAPENYETTCWGCGLHLLLPSHAPVFKCGWCGAITNQSKQNCDKQGHRWRLLRDRCILTMVFMFMLFLIFGGVWAIYPFVYSFSLLGILHSIITVTLAVSTISSFSLSAFRCAGTPPNLVWGSYPTVGNGDLENYTFCHYCSKPKSPRTHHCRSCGKCILDMDHHCPFIGNCVGASNHRSFIAFLISGLFSTIYISLVSAHAGLHMWPPLTYSIGRINGTTNEILAWRIVKETFFAFLRSVLLLSTRGFILVYLFIASISMMLGLSVLLWQQLRFIYEGETYLSHLSSQAYNGDGKKDCQNLVRFFGFPYSVQRFLPRFLVTHKRHIKGNTHDL >CAK8573745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634545333:634546485:1 gene:gene-LATHSAT_LOCUS26153 transcript:rna-LATHSAT_LOCUS26153 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTCSSFSLLLFIAAIIASAYYDSAEAAMTKGSFEDNFSIMWSEDHFTTSDDGQIWNLSLDNNTGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPTRDEIDFEFLGNRTGQPYLIQTNVYKNGTGNREMRHMLWFDPTEDYHTYSILWNNHQLVFFVDRVPIRVFKNNEKPNDFFPNEKPMYLFSSVWNADEWATRGGLEKTNWKLAPFVSSYKDFSVDACQWEDPYPKCVATTTKNWWDQYDSWHLSGDQKMDYAWVQRNLVIYDYCNDSERFPTLPEECSLSPWD >CAK8560167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9334789:9336554:-1 gene:gene-LATHSAT_LOCUS13867 transcript:rna-LATHSAT_LOCUS13867 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKVNEKLLEDLQVMGFPHARATRALYYSGNTSLEDAITWMVDHENDTDIDEFPLVDVDIDIDTSPSFPITEEMRMKAYSLREQERKRKRNEETIMEREREKERIQDGKKLLEAKRIAEENERKRYSLRKAEKEEEQRARGNILKKLEQDKLNRRSKHELPLESQENVRSTAILIKHDKIPMPVYTSTKVEHLRECLRSLKREHKGENARVRRAFETLLVYIGNVVKNPNEEKFRKIRLSNSLFQDRVGSLNGGMEFLELCGFERTNGFLYLPSEKIDMGLLSSAGFVLNSAITNPFFGLLST >CAK8560948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61993683:62019707:-1 gene:gene-LATHSAT_LOCUS14583 transcript:rna-LATHSAT_LOCUS14583 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLPKVLVLGPPTCFSTLEPLYSHKFNFLNPKPSGLPLQQFMLANHHNPSTISAILCSGLYVVSVDVLSLLPSLRLIVSTSAGTDRIDLHECRRRGIQVAGAGGALSEDVADMAVALLIDVMRKITAADRYLRTRRNHSDAWDFPLGYKLSGKRVGIVGLGSIGMEVAKRLESFNCTIMYHSKHQKPSISYPFYSNVIDLATSSDALVVCCALNNQTRHMINRDVLLALGKEGFVVNIGRGGLIDEKQLIKCLKEGEIRGAGLDVFENEPHVDRDLFAMDNVVLSPHAAAYTGEAILKLCDLAAENLEAFFLNKPLITPVMLG >CAK8542156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:480819242:480819706:-1 gene:gene-LATHSAT_LOCUS11021 transcript:rna-LATHSAT_LOCUS11021 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKIGIKFFPDCIVWTKVIGTNLPNKDIVVGMDVYSAAIKLQILPTGIKFKREFKPYSRILQLYSLSKVPAGYEEIKSNLLRLCVDSHEKFRHPKPLWKNKDFFVQLPFKLNEDVNPTKATHPGMSPSDYALAREECNQLLKQGLIEPTKSE >CAK8560276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12886667:12888106:-1 gene:gene-LATHSAT_LOCUS13964 transcript:rna-LATHSAT_LOCUS13964 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLNWDGSEVLDERARILWNTQQQQNLEGCYNITNSLTHEIQEMHKVEIDHGAYSNSERVMMIPNKNLLQHATSMTVKSKAGSSWEEPLIQQSDQSLLVNRHYNMPDFNLAHHHHQQQQLKFNNSFDCLLSDTNSNTDTSVENDHDGGISKLFSDCRNLWSFNYVSPTSSSGETDSNVSKFSNYETLTQTRVSDKPSTRSVGLNGTSYEALGQTRAPDTRSIRSVSSNGISYKTQIQTPDKSLIRRVGKKDVRCPFNVELDKTVSQSSSDQYITQRKAINSSTIHNSSSLEGGFSLITDKPPKSKKPRSDKRPCSTNINFQQPNSSSSSICCSSSTEEPDREAIAQMKEMMYRAAAFRPVSFGIDDIDVIEKKPKRKNVRISNDPQTVAARQRREKISDKIRVLQKIVPGGNKMDTASMLEEAANYLKFLRSQIKALESLGNKVNAMDCNNPNSIAFSFDPSFSMQMVPSSYNHHSQG >CAK8579355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693556527:693567017:-1 gene:gene-LATHSAT_LOCUS31307 transcript:rna-LATHSAT_LOCUS31307 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPKKSLHSPSKSKLRSQFSPVAAPVTPNSLPARRSTRLNSLLTDSPQTPNLVDAFNESQNQDETPKRGRRNGYCTEPAAKSRLDFDFSRKGKVLTRNSTSKLENGKDTIQFLNKRDKKSESDAIPFALQSPDKPKSVKRKKEKEDEKTVELSKKRNGKDKSVKVLFAPTSPEQSETKKRKRNNEVEKTVVTKGKAAASKGGKIAKVQYYKKVVYDGGEFEVGDDVYVKRIDDATSDEEDPEVEECKLCFCIGNEIMIECDSCLGGFHLKCLKPPLKDVPEGDWICGICEGRKLGKNVDFPKPPAGKKLARTMRQKLHSSDLWAARIESIWKDVDGSYWCRVRWYMIPEETSVGRQPHNLSRELYRTNDSSNIEMESVLRHCYVMTPKEYAKASNEGDDVFLCEYEYDIHWHSFKRLADIDDERENSDESDSDEDWNIGKESDSDTDEDVEYEEENLKFAQSQLPTSHQLAANLHKGRFFGLQKIGTKRIPEHIRSHKQTNLERAKASLLLASLPKSLPCRNKEMDEITTFIKGAISDNQCLGRCLYIHGVPGTGKTMSVLSVMRSLRSEVDAGNIKPYCFVEINGLKLASPENIYKVIYEALNGHRVGWKEALRLLNERFVEGKKIEEEADRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFAPYNYQQLQEIISSRLNGIDIFEKQAMEFASRKFTISGDARRALEICRRAAEIADYRIKKLASNPDNVAAGKGLVSMADVEAAIQEMFQAPHIQVMKNCSRLSKIFLTAMVHELYKTGMGETTFEKLAMTVSCLCTSNGEVFPGYDILLQVGCKLGECRVILCEAGARHRLQKLQLNFPSDDVAFSLRDCKDLPWLSKYLM >CAK8538169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466530108:466531145:-1 gene:gene-LATHSAT_LOCUS7398 transcript:rna-LATHSAT_LOCUS7398 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFKIIAIATLLPLLLTSTQATLPGIYPAGAWQTAHATFYGGNDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPSWCHAGSPSILVTATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVSYRRVSCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKTSVKGSKTGWLSMSRNWGQNWQSNVVLVGQTLSFKVTTSDKRTSTSWNVVPSNWQFGQTFNGNNFQV >CAK8561733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:369150016:369150945:1 gene:gene-LATHSAT_LOCUS15294 transcript:rna-LATHSAT_LOCUS15294 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEADYKIPPAPTYRTPPALVAFTLSLLILTFVAFSIVYLCKFCFQGLFHTWALQRTASGSLSRLSPERSPPRGLDNTLLDKFPTFAYSSVKDLREEKSYCLECAICLLEFEDDSMLRHLSICCHVFHQECIDLWLESHKTCPVCRTDLDLNPTLKHGDNDNNNDNNINNNNDTVDADEGMVQLPCDAIRIDVGQEERDTVGGITGAQINATGQHDCKNTNMPRGEESKFSKSHSTGHSIVMIRGEEKDNAKYTLRLPEHVIRGGHSSSKSCTTYNEMTSKEPTPCSNCGFVKPVAGSSSLAHAKDH >CAK8575780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322690881:322691591:-1 gene:gene-LATHSAT_LOCUS28015 transcript:rna-LATHSAT_LOCUS28015 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPNLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDATLASGTTALATLVIGRLLVVVNAGDCRTVLCRSGKAIEMSRDHKPVCIKEQRRIEASGGVCL >CAK8562605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514953665:514954276:1 gene:gene-LATHSAT_LOCUS16090 transcript:rna-LATHSAT_LOCUS16090 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTLAATNEELEVNLNGVELARQREEVTKKVWRSFHNSESILRQKSRSLWIKEGDRNTKFFHNAMKSRLRRNNIAGLNTDRGRIEEVYDVKEEFKNHFKRRFSEINHNRLTLDGVSFNQLSVEDNTILEQPFSLEEIKTVVWNGDKDKSPGPGGFSMGFFKVCWEFLKDHLFRFAKEFHECGRVPKAITTSFLVLVLKDENP >CAK8569579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5971075:5972637:-1 gene:gene-LATHSAT_LOCUS22417 transcript:rna-LATHSAT_LOCUS22417 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSSPSLFLQHQSKPSTSSHRSSTFLPPIRSSISEKLPSLSVTPPQPPTKLPIRKIPGDYGIPFITPYKDRLDYFYNQGRDEYFKSRIQKYNSTIFRTNVPPGPLIAQNPNVVVLLDAKSFPILFDTSKIDKTDVFTGTYTPSTELTGGYRVLSYLDPSEPKHNKLKQLMFFLLKSRSRHVIPEFRSCYKELFTSLENELAKTGKASFADANDQAAFNFLARSLYGSNPVDTELGSDGPKMVQKWVLFQLGPVLKLGLPKLLEDSIIHNFRLPSRLVKKDYQRLYDFFYSSSGFALDEAERLGVSRDEACHNLLFATCFNSFGGIKLFFPNVMKWIGRSGVSLHMKLAKEIREAVRSAGGEITMAAMENMPLMKSVVYEAFRIDPPVPLQFGRAKKDMIVENHENGFLVKKGELLLGYQPFATKDRKVFDRAEEFVADRFVGDGEKLLKHVVWSNGPETQSPGLGDKQCAGKDFVTLVSRLLVVEIFLRYDSFEIQVGNSALGSSITVTSLKRASF >CAK8562192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:453798666:453803056:-1 gene:gene-LATHSAT_LOCUS15711 transcript:rna-LATHSAT_LOCUS15711 gene_biotype:protein_coding transcript_biotype:protein_coding METISFSKCLFTFFFLFSSICQLIHSTVTYDRKSILINGQRRILFSGSIHYPRSTPDMWEDLILKAKQGGIDVIETYVFWNVHEPSPYNYNFEGRYDLVKFIKTIQKAGLYANLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKREMQRFTEKIVGLMKSEKLFESQGGPIILSQIENEYGPQGKIQGAAGQTYMNWAAKMAVEMGTGVPWLMCKEDDAPDPVINTCNGFYCDKFTPNRPYKPTMWTEAWSGWFTEFGGPIHKRPVQDLAFAVARFVTRGGSFVNYYMYHGGTNFGRTAGGPFVATSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSTDPIVTSLGSSQQAHVYSTESGDCSAFLSNYDSKSAVRVLFNNMHYNLPPWSVSILPDCRNAVFNTAKVGVQTSQMQMLPTNTQMFSWQSFDEDVSSLDDSSPLTAPALLEQINVTRDATDYLWYTTSVDISSSESFLHGGELPTLIVQSTGHAVHVFINGQLSSSTYGTREYRRFMHIGKVNLRAGTNRIALLSVAIGLPNVGVHFESWSTGILGPVVIHGLDQGKWDLSHQKWTYQVGLKGEAMNLASPNSISSVEWMQSAIVVQRNQPLTWHKTSFDVPEGDEPLALDMEGMGKGQIWINGESIGRYWTSFAVGNCNTNECNYAGQFRPQKCQVGCGQPTQRWYHVPRSWLKPTQNLLVIFEEVGGDPSKISLVKRSVSSVCGDVSEYHPYIKNWHIDSYGKSEEFRPPKIHLQCSPGQTIASIKFASYGTPLGTCGNYVQGACHSPSSYAILEKRCVGKPRCIVTVSNSNFGQDPCPRVMKRLSVEAVCAPDTTNWGG >CAK8539372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510308391:510310004:-1 gene:gene-LATHSAT_LOCUS8475 transcript:rna-LATHSAT_LOCUS8475 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSRKRSKSSRKQSCSGFSILYSFLTRISGLIFLLILIYMWSSLSTVLTGNIIHVCFSTRKLNNSFYCLSAATHTNPSFEIPSISMNNNSISDSTASIITTEKVEVEKFAISTPDNEEVANAVKIIEEHLKVHRSWRFDNKKNASCEDGKGIYVYDLPSKFNKDLVGQCSDMVPWQNFCRYVSNEGFGEPISKLGKGWYKTHQYSLELIFHSKVLKHPCRVYNENDAKLFYVPFYGGLDVLRWHFKNVSSDVKDGLGFELLKWLERQVTWKRNLGKDHVFVLGKISWDFRRTSDSPWGTRLLELEKMQNPIKLLIERQPWHLNDIGIPHPTYFHPKSDNDIIDWQLKIIRSNRKNLVSFAGAARNDADDHIRSIIIDQCSSKSDGKCKFLNCSSVKCNEPESIIELFVDSEFCLQPPGDSPTRKSVFDSLISGCIPVLFDPFTAYYQYPWHLPEDYDRYSVFMDKKEVREKNVNVMEKLGNISLRERENMRRYIVYELLPGLVYGDHNAELEKFQDAFAITINSLLQRVSKFKD >CAK8542202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:488238420:488240751:1 gene:gene-LATHSAT_LOCUS11061 transcript:rna-LATHSAT_LOCUS11061 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKYEGFAVGIDLGTTYSCVAVWQEQHSRVEIIHNDQGNRTTPSCVAFTDNQRLIGEAAKNQAAANPQNTIFDAKRLIGRKFSDDVVQKDMNLWPFKVIADVNNKPMVAVKYKGQEKQLCAEEISSMVLTKMREIAEAYLETPVKNAVITVPAYFNDSQRKATIDAGAIAGLNVIRIISEPTAAAIAYGLDKRTNCVGERNIFVFDLGGGTFDVSLVTIEDNVFQVKATAGNTHLGGEDFDNRMVNYFVEEFKRKKKVDISGNPRALRRLRTSCERAKRTLSFSVDTTIEVDALFEGIDFSSSITRAKFEEINMDLLNECMKTVESCLTDSKMDRSIIDDVVLVGGSSRIPKVQQLLQDFFEGKDLCRSINPDEAVAFGAAVQAALLSDGIKNVPNLVLVDVAPLSLGWRLIHDDMAVVIPRNTTIPVRKTKVFYTTEDNQASVLIRVYEGERTRASDNNLLGSFSLSGLPPAPRLHPFNVCFSIDDNGILTVSAEEISTGNTNEIIIANYKERLSTEEIQKLIEEAENYSVEDKKFLQLAKVKNALDLCVYKIETALKKQNNKLKISPESKKINAAIAMAKNLLDENNRYELDVFEGHLKELESIFENTIAKIG >CAK8571404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:400440607:400440984:1 gene:gene-LATHSAT_LOCUS24071 transcript:rna-LATHSAT_LOCUS24071 gene_biotype:protein_coding transcript_biotype:protein_coding MACMWRKNAGSGKKPPSDVPRGHLAVTVGEANRRFVIRTDYLNHPVLQQLLDQAYEGYGFNKSGPLAIPCDEFLFEDILHSLGGGTHTRRSSSHVLTKKLDLCFSKDSVPLLESFDSKRSNNYKH >CAK8570081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23289862:23290917:-1 gene:gene-LATHSAT_LOCUS22864 transcript:rna-LATHSAT_LOCUS22864 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGSFCNWITNTENRLYIGWFGVLMIPTLLTATSVFIIAFVAAPPVDIDGIREPFSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAVSVDKWLYNGGPYKLIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATTVFLIYPIGERSFSDGMPLGISGTLNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYIFDQEEETYNIVATHGYFGRLIFQYASFNNSRFLHFFLAAWPVVGIWFTALGINTMAFNLNGFNFNQSVVDSQGRVINTWADIINHANLGMIVMHERNAHIYKWIIFWFKDTSF >CAK8537657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:416808354:416808710:1 gene:gene-LATHSAT_LOCUS6923 transcript:rna-LATHSAT_LOCUS6923 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSPSSSPSPNHNKTSFASHLKNSCLSFAVSIQETFRYAKASFVGQAKTIAARNEKEASEAELETSKKQVEAADAAEDIKNRLNN >CAK8531704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141873125:141874294:-1 gene:gene-LATHSAT_LOCUS1476 transcript:rna-LATHSAT_LOCUS1476 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSIFTPSIITLKLNTWPNRTLTHLNCLTSPQPSPSSPHSDSTSFQVSYLVNNFDFSPQFASRLCSAYRVGFKTTQNPDSVIHFFTNYGFSNSQLRDMIAKAPWLLSWNLSKTVLPKIEFFLSKGASNYDIVHLVSKNPRALSPSLENHIVPTYELLYRFLQSDRDFIASLLQNPYLLSHHLVPRNITMLIENGVSDSNILRILRNRSRILSAHNMVNLLEELKDLGINPSRYAFGVALIAKTSVSKTSWKKKVDAFKKWGWSDENVIESFKKQPYCMLTSIEKINLVMNFWVNQLGWNALALAKQPVIFCLSLEKRIIPRASILQFLLNNGLRNNNTSLTYPFAVSEEDFVDMFINRYEKESSYLLNLYQEKLKLADTGDKNCMS >CAK8530193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5221805:5224124:1 gene:gene-LATHSAT_LOCUS81 transcript:rna-LATHSAT_LOCUS81 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVVFPFFLCLNIMMSFMSISAAPTPSPSSSSCPMDLNYVLRIHWNSSACQNLDPLSSNQVSNTSNCCISLLSLFGIGFAQHLKETSQFNLQDLQTSISCMKDFQSKLNSLSLSKNLVNSCFDPLQFVISPHICAGIETVHDWNEKLGQNTSLNTACKPDLTDLSQCDVCLAAGLQVKQKLVSIDGNSSHSLSCFYFAILYAAGIVNEFGPESNGAVTCAFSVPVYSQTGSGSKSRQGLVFGLTGAGVALFVMSSLLGLYVWYDRKRMRQRKLETFQFDFDPEEQGSRRRLRPNTGSIWYKIQELEKATDNFSSKNFIGRGGFGLVFKGTLADGSVVAVKRVLESDFQGDAEFCNEVEIISNLKHRNLLPLRGCCVVDESENYGDRGSQRYLVYDYMPNGNLEDHLFLSKDPSKPNKSLTWPLRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDEDMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLITDWAWSLVKSGKIEEALDASLLKDENNASSNPKSIMERFLLVGILCSHVMVALRPTISDALKMLEGDIEVPQIPDRPMPLGHPSFYNNECNGNTFSISPALSGLKLPAGDMLRSISER >CAK8530194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5221805:5223769:1 gene:gene-LATHSAT_LOCUS81 transcript:rna-LATHSAT_LOCUS81-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVVFPFFLCLNIMMSFMSISAAPTPSPSSSSCPMDLNYVLRIHWNSSACQNLDPLSSNQVSNTSNCCISLLSLFGIGFAQHLKETSQFNLQDLQTSISCMKDFQSKLNSLSLSKNLVNSCFDPLQFVISPHICAGIETVHDWNEKLGQNTSLNTACKPDLTDLSQCDVCLAAGLQVKQKLVSIDGNSSHSLSCFYFAILYAAGIVNEFGPESNGAVTCAFSVPVYSQTGSGSKSRQGLVFGLTGAGVALFVMSSLLGLYVWYDRKRMRQRKLETFQFDFDPEEQGSRRRLRPNTGSIWYKIQELEKATDNFSSKNFIGRGGFGLVFKGTLADGSVVAVKRVLESDFQGDAEFCNEVEIISNLKHRNLLPLRGCCVVDESENYGDRGSQRYLVYDYMPNGNLEDHLFLSKDPSKPNKSLTWPLRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDEDMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLITDWAWSLVKSGKIEEALDASLLKDENNASSNPKSIMERFLLVGILCSHVMVALRPTISDALKMLEGDIEVPQIPDRPMPLGHPSFYNNECNGNTFSISPALSGLKLPAGDMLR >CAK8566054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374089785:374090027:1 gene:gene-LATHSAT_LOCUS19222 transcript:rna-LATHSAT_LOCUS19222 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLHNHDLCLKLVGHPNVCRLKPKEKECISDMTLKLIQPKNILAALKRKQPDNIANIKQVYNIWYLVNKTIREDRSEMQ >CAK8535521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:857968076:857968309:1 gene:gene-LATHSAT_LOCUS4976 transcript:rna-LATHSAT_LOCUS4976 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTFMCPPPEKIKTKGGIKKKDKKTSRI >CAK8564154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654653970:654654485:1 gene:gene-LATHSAT_LOCUS17483 transcript:rna-LATHSAT_LOCUS17483 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRCPRVLNPTQLSQIIRTQNNPLKALEIFNIAKSKYPKDSHNGPVYATMIAILGSSSRLKEMSDLIEQMKQDSCECKDSDFVSAIKTYAKQGLVDEAISLYKNIPQFNCVNWTQSFNTLLEIMVNEGKLEDAHGLFVESSCGWEVKSRVRELNLLMYALCRKSRSDSAL >CAK8568491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611715324:611716250:-1 gene:gene-LATHSAT_LOCUS21443 transcript:rna-LATHSAT_LOCUS21443 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKFTSALVMSEFAPICLSFVISLLVSSIFLGLPRLFHRFYSFLIIIPLLGGFYYLCMKLGSIDFLNSLLFKIGLSLGSRVLSYALFKLGLAGGLAWVFLFVLRALFSADGGVSIGNGMMPHGAAESTNSDLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPLDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPSGDWMGRGARALDNLRTATGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8573161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589992890:589993870:1 gene:gene-LATHSAT_LOCUS25640 transcript:rna-LATHSAT_LOCUS25640 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTHSSSHSSSQNPHAHKTTPDPTLTPPLPSKPSSLSSQSQPLHIQPLSTSPPHRPNRSKKPTPVAVAAAAAAAAESSKKSQRVCFTPDDVLLILRSLSDFKSKSGKDPLKHLADFHNSLKSALSIKTTGTKLREKIRALRIKFDKLRENSEENIKFSCPWEEEVFQLSKKFWGQENELEQQQQEKSPEKENAAEKLMLEEPPKEGNAAKKPSSSKKPVPEEPPNKAKKSSTMKKKPIQEELSSVVDNDKMGHLSLSEMFRFGEMRMDVNVLKRGMELIGESEKEELGKRWKKLKVQEAELFLKRAELAVDQGRLILKELKRSS >CAK8579524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705460439:705461050:-1 gene:gene-LATHSAT_LOCUS31465 transcript:rna-LATHSAT_LOCUS31465 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSSSSRKGKKSNNSENSKPRKQPQRGLGVAQLEKIRMLHSQLHHPPPLPYFNYNEDPRLLQTPSSYGFQPHTMALPEYERSNIRCPDFQPSRWHHGNVNHYSPAQTNITQPFLNLYDSQDIGMHRSDSLDLESSETQEPDLELRLSLY >CAK8572558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546584622:546585067:-1 gene:gene-LATHSAT_LOCUS25111 transcript:rna-LATHSAT_LOCUS25111 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKYFCEYCEKQFQDTPSDRKRHAAGIQHKQAKARWYDSFKPQHHNPIPQQPNQPFCFHFVNKGFCRYGDSCKYFHPNTQRQQPIGNTPSGNVGVSFGNLPPSLQPPSEGGYPYTPFVDWG >CAK8537562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:397240126:397254784:-1 gene:gene-LATHSAT_LOCUS6850 transcript:rna-LATHSAT_LOCUS6850 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFTLFAPYRRHFLSSLASESPVDKGDSFRKFFSSHQSLLLSRTLFSCSFVTKKEEFRIPSIPLGYRYGRATAYSSTAERNYYQMLGVPENASQDEIKKAFRLLAKKYHPDANKNSPSAKKKFQDIREAYETLRDSKKKAEYDKMRAYGSENMEYSNNYDDAERFRNAYRTHFSDSFHNVFSEIFEEATTQFSPNIEVELSLTFSEAARGCTKHVSFDASVPCDYCYGQGYPQNATPIVCPTCRGLGRVTIPPFTSTCITCKGAGRVIKEFCLSCGGSGVIEGIKEVKVTIPPGVDSGDTIHVPEGGNSAGSGGRHGSLYIKIKVVEDPVFERDGADIYVESNISFTQAILGGKVEVPTLSGKMQINIPKGVQPGQLLAFRGKGLPKHGYFAFHGDQYVRFRVNFPIAINERQRAILEEFATEEIKEGSNSILEGNWWQQILEYSTSPKFMLELSMLILVLIFINKILS >CAK8537564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:397240234:397254784:-1 gene:gene-LATHSAT_LOCUS6850 transcript:rna-LATHSAT_LOCUS6850-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFTLFAPYRRHFLSSLASESPVDKGDSFRKFFSSHQSLLLSRTLFSCSFVTKKEEFRIPSIPLGYRYGRATAAYSSTAERNYYQMLGVPENASQDEIKKAFRLLAKKYHPDANKNSPSAKKKFQDIREAYETLRDSKKKAEYDKMRAYGSENMEYSNNYDDAERFRNAYRTHFSDSFHNVFSEIFEEATTQFSPNIEVELSLTFSEAARGCTKHVSFDASVPCDYCYGQGYPQNATPIVCPTCRGLGRVTIPPFTSTCITCKGAGRVIKEFCLSCGGSGVIEGIKEVKVTIPPGVDSGDTIHVPEGGNSAGSGGRHGSLYIKIKVVEDPVFERDGADIYVESNISFTQAILGGKVEVPTLSGKMQINIPKGVQPGQLLAFRGKGLPKHGYFAFHGDQYVRFRVNFPIAINERQRAILEEFATEEIKEGSNSILEGNWLYQQLSTG >CAK8537563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:397240126:397254784:-1 gene:gene-LATHSAT_LOCUS6850 transcript:rna-LATHSAT_LOCUS6850-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFTLFAPYRRHFLSSLASESPVDKGDSFRKFFSSHQSLLLSRTLFSCSFVTKKEEFRIPSIPLGYRYGRATAAYSSTAERNYYQMLGVPENASQDEIKKAFRLLAKKYHPDANKNSPSAKKKFQDIREAYETLRDSKKKAEYDKMRAYGSENMEYSNNYDDAERFRNAYRTHFSDSFHNVFSEIFEEATTQFSPNIEVELSLTFSEAARGCTKHVSFDASVPCDYCYGQGYPQNATPIVCPTCRGLGRVTIPPFTSTCITCKGAGRVIKEFCLSCGGSGVIEGIKEVKVTIPPGVDSGDTIHVPEGGNSAGSGGRHGSLYIKIKVVEDPVFERDGADIYVESNISFTQAILGGKVEVPTLSGKMQINIPKGVQPGQLLAFRGKGLPKHGYFAFHGDQYVRFRVNFPIAINERQRAILEEFATEEIKEGSNSILEGNWWQQILEYSTSPKFMLELSMLILVLIFINKILS >CAK8577697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583899444:583899751:-1 gene:gene-LATHSAT_LOCUS29779 transcript:rna-LATHSAT_LOCUS29779 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVANAIDFTADDFDIEEGDPNIEIILPHWN >CAK8577537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572221234:572221857:-1 gene:gene-LATHSAT_LOCUS29635 transcript:rna-LATHSAT_LOCUS29635 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTNCVHVLTLFVIVTISMFTVTTANKDWPSFGNFNYTDWWSRFGNHNHQINKTQQESNNIIVGGSQNWHFGYNYTDWAIKNGPFYLNDTLVFKYDAPNATSFPHSVYMFSSWKSFMKCDVKKAKMLANHTQGVGEGFKFVLNKWKPYYFSCGEKNGLHCDIGQMKFAIMPMIRPFWPSRP >CAK8543607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:625726798:625730595:-1 gene:gene-LATHSAT_LOCUS12355 transcript:rna-LATHSAT_LOCUS12355 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSVPPGFVSLTSFFLNRDDKVEKTSKSDPTHVKTKPEMDDNTSYNQINAHRPWIVSDQKSKSEESCAEHLPRVNLNPPRNSSRPKGTVYGCPKCSNCLKVTARWHPEDAKTEVLEEAPIFHPTEEEFKDTLGYIASIHSRAEPYGICRIVPPKSWNPSCTLEEKNVWENSEFVAQTQRIDGHQVQHAQESMASSHDTTKTKRRKVIKVDMDSHRDNRSTCTSNNGNVEGCDDEPKSGPKFTLKTFKKLADEFKIQYFNYKDKIKFMGSDKNSTIHQQQWEPSVENIEGEYRRIVQNPTEEIEVLCGKAGDFSSGFPIPTVSDPPNAYTYPEYMKSGWNLNNMLSLPGSLLSFENPEAAHKFSPRIHVGMCFSPLKWKVEEHQLYSLCYMHIGEPKVWYSVPVRSVDHFETVWKKYLGDMNAGKPDLYDELAMQLSCSVLKKEGIPVYRCVQYPREFVLVFPGAYHSGFDCGFNCSEAASFAPLEWLPHGQNVVELYGEQKRKTLISYDKLLLGAAREAVRTRWEIDILMKSTPDNLTCRDAYQRNGILPKALNSLITSENLKRKFISISFKSQKMDENFDASCKRECSICLRDLFLSAVGCSCSDDKFVCLDHARKLCSCPWADKILLYRYEISELEVLHQALDGKLSAVYKWAKEDLGLTVRSVVTKRSKQTPEKVNGSVDLVKEPLLKEPLSQLPPDSFNKWKQLKSLATPNALAGKQSETAFQAKGSPGSAIGNLNFIHPKKNTTLLHSAISNEIKAKEDVGEGSNSAGIKPDNSKAIGDKLAISKKVEEPKASEVSSTPGSGLLSFLQEDIFVEVSSDSSSSSS >CAK8572557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546568134:546569479:1 gene:gene-LATHSAT_LOCUS25110 transcript:rna-LATHSAT_LOCUS25110 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAAVTTVHITGFKKFHGVSDNPTETVVNNLVEYVKTKGLPKGLVIGSCSILDTAGQGGLIPLYQTLQSAITAKDSESSSSNNKIIWLHFGVNSGATRFAIERQAVNEATFRCPDELGWKPQKVPIVPSDGTVSHIRECTLPVEEITKALANKGYDVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHTPLFFTINLETQMQFAASLLEVLATIC >CAK8537949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449926453:449937440:-1 gene:gene-LATHSAT_LOCUS7197 transcript:rna-LATHSAT_LOCUS7197 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHVNGVVFGEDRPYSSSPPSPPLPVLNLDPSFVTDEGWSAAEETTAEILRRIQPNLAADRRRREVVDYVQRLIRFGARCEVFPYGSVPLKTYLPDGDIDLTALSCQNIEDGLVSDVHSVLRGEENNEAAEYEVKDVRFIDAEVKLVKCLVQNIVVDISFNQLGGLSTLCFLEKVDRLVAKDHIFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHRFHVTLDGPLAVLYRFLDYFSKFDWDNYCVSLKGPVGKSSLSDVVAEAQENGGNTLLTEEFIRSCVESFSVPPRGLDLNLRAFPHKHLNIIDPLKENNNLGRSVNKGNFFRIRSAFKYGARKLGWILTLPEDRIADELNRFFANTLDRHGSNQGNEDNSFLCLSTGLKDTITGNPHNYEIRNERERYVVKDVPSLVPPSFDSSGDGSAVATYKLSEDSKDVETSGVLGIANSNGLSYCSNGKAENRTCSKTDVNSVFDDDKEKHGMVSNSPRSHTVEKNMASNASKSLLDLAGDYDSHVANLQYGQMCNGYPVSPAVVPSPPRSPKFPNRNPWETVRQCLQMNHGVHPQTNTNCVVGQLYLVNHPTLPVSSFVAEEKRKPRGTGAYFPNMNSRPFRDNRPMLGRGRGQAPGTHGHLQRYTHNNGFALASHELNLSVEGNSEPALSNGRARSSETYFSQSPTWGARNANGFPHPSDKHESGSESPQLRGSPRTEVSNHPDQGISTPRVSVPNTGIVTEESSNSLPVADPKRIEVQVYHLKNEDDFPPLSN >CAK8537950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449926453:449937440:-1 gene:gene-LATHSAT_LOCUS7197 transcript:rna-LATHSAT_LOCUS7197-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHVNGVVFGEDRPYSSSPPSPPLPVLNLDPSFVTDEGWSAAEETTAEILRRIQPNLAADRRRREVVDYVQRLIRFGARCEVFPYGSVPLKTYLPDGDIDLTALSCQNIEDGLVSDVHSVLRGEENNEAAEYEVKDVRFIDAEVKLVKCLVQNIVVDISFNQLGGLSTLCFLEKVDRLVAKDHIFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHRFHVTLDGPLAVLYRFLDYFSKFDWDNYCVSLKGPVGKSSLSDVVAEAQENGGNTLLTEEFIRSCVESFSVPPRGLDLNLRAFPHKHLNIIDPLKENNNLGRSVNKGNFFRIRSAFKYGARKLGWILTLPEDRIADELNRFFANTLDRHGSNQGNEDNSFLCLSTGLKDTITGNPHNYEIRNERERYVVKDVPSLVPPSFDSSGDGSAVATYKLSEDSKDVETSGVLGIANSNGLSYCSNGKAENRTCSKTDVNSVFDDDKEKHGMVSNSPRSHTVEKNMASNGSTVLGDAANILENDFFHTDRYNTSVSGGTEASKSLLDLAGDYDSHVANLQYGQMCNGYPVSPAVVPSPPRSPKFPNRNPWETVRQCLQMNHGVHPQTNTNCVVGQLYLVNHPTLPVSSFVAEEKRKPRGTGAYFPNMNSRPFRDNRPMLGRGRGQAPGTHGHLQRYTHNNGFALASHELNLSVEGNSEPALSNGRARSSETYFSQSPTWGARNANGFPHPSDKHESGSESPQLRGSPRTEVSNHPDQGISTPRVSVPNTGIVTEESSNSLPVADPKRIEVQVYHLKNEDDFPPLSN >CAK8568270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588710579:588710967:1 gene:gene-LATHSAT_LOCUS21244 transcript:rna-LATHSAT_LOCUS21244 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFLFPSLIHSFISLYDASFAERRDPRFSTTAFQRISILSRLIATHFFFHFQDFCCNLPRWRIVKLKQRLFLCLILVVCI >CAK8563604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619887966:619888532:-1 gene:gene-LATHSAT_LOCUS16998 transcript:rna-LATHSAT_LOCUS16998 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIFSSTEKFDTREEATRWIREDGIRNKVTIIITRSDTKTGERERSDKVIFGCDRGEKYKEIDSETQSATKKCGCPFKIRSTSSRDGCGWKIDVKCELHNHDLPDRFEGHSFVGRFNVDEQQHIVDLTKHHVPPRHILLSLQERDSENVTWITQIYKHKSKIHKDIRGPSTGMQHLFKLIEDSDYVY >CAK8577866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594858945:594863446:-1 gene:gene-LATHSAT_LOCUS29934 transcript:rna-LATHSAT_LOCUS29934 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKGGGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPSDRVGYDRPASWGNPMGRPDHWSRPHTSPATRHYDDKSLFLPHTTTIGRNFDEDERKPLDGSSAPRRQISDESLRGPPPFRVEVKRSSSLSRQVAPVSPVGNANSYSARLAETVHVGVNSQSFGGSKENGSAAAGSGGGGYPNAWSMRKEVAGAVESEQAAWSAANAVSKLTHASALDKVASGRWQSVHHQTDVEVVRPSEVESRPHAFINSNRMDIVRQNEHSDEILARHAERSLGIDNQMRGGRNELLEHDRSGVSKYSDVRPRSVGQFSDRVQPARTDAKVVGSESQHHIASEPIERPKLKLLPRTKPLDSSEPSVTEHAQGYRQVNDSSHVEPVYQGHGHANLVKPVSSGTESGKDLGQRPKLNLKPLKPRPEVYEQSEGNRERDRNALFGGARPRELVLKERGVDDVAIKNYDVVESSNRVEQNILRSEKLHDHSIQNRYGEKTDDGLNQRTGRKPERKEQKVDGDRTLGQRKNWRSGDNNNNNNNRRNPRETDRQQVSERPSSPETWRKPVESSQGAGGPRYGRAASAVDLAQAFSKSVSEPKVNDRFSGQRELNNGRPQVPFSRLVGPTTSRPQINGY >CAK8574419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680991946:680992795:1 gene:gene-LATHSAT_LOCUS26769 transcript:rna-LATHSAT_LOCUS26769 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNAPNPTIHRTSDPLSTASHLTRRELLCRRLRNTKRLIKHYRRMYWALIGQVRIKHRQYVAETGRSPFEDEPDVVVDNYTNICAFDGCFSKPMPCTQFCFPHILSDPKQVLYKPCTFVIATSADGPVECQKPILSSTTPSYCTVHMRMAEMHLAMALNKAGLNISPTDKVGPNFHELVPEFVRQIQAKRRAMRGKGREIVVEKVDDAEDCESSVKKEEDVEKSKTKVEKEDDMAEG >CAK8536744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12471088:12473581:1 gene:gene-LATHSAT_LOCUS6078 transcript:rna-LATHSAT_LOCUS6078 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVDVVGNKRRKRCGRVFRFKNFGEQGYPVMFNGSSFRENVNALLEYASLESNINMGMPMWSFQLELHHHPPLHVLLFVIEESIEATMNRHCNHCQYVGWGNHLICNKKYHFLLPSKEALSSCTSCENCCDSVGTMNNGKSKLIELEGHMMHGVFHSNGFGHLLCVNGLEMGSNLGGNQIMEFWNRLCNGLQARKVSLNDTSQKRGMELRLVNGIAYSEPWFGRWGYKFGRGCFGVTQSMYQKAIDAIRSMPLYLLTHHIANSNHEIPLIFSRYQTLSDHSLITLGDLFHYILELKSRLPRETCIGSYNTNALVETNCRWSPKRIEMATRVIVEALKRTEFRWISRQEVRDAARVYIGDTGLLDFVLKSLGNHVVGNYLVRRSLNPVTKVLEYCLEDISNAYPCHEGLVMSSSNKVKDKYKITRGQLMKDMICLYKYILIDTKPIIGSEFLSAIPLAARIILDTKYLIKDYGEAPLQVELGSEGKFNLYCTILLRNVNSVGHDHEYYLNNEISMPMPPYECITLKSCATINDLKLEVERNFREIYWGLRGLVVEPNEILMNAKGNEIVFGMIEVGGKLVLESWHGSDMVEQICERNPNKGIVDCTCGTKEDDGERMVSCDICEILQHTRCVRIPNDEQVPHIFLCKRCEQEIVLFPSLP >CAK8575466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:128744919:128749244:1 gene:gene-LATHSAT_LOCUS27726 transcript:rna-LATHSAT_LOCUS27726 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECIINIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVETNKTLAETIGKIAVHCLYHRSGCSWQGTLSECTSHCSGCTFGNSPVVCNRCGIQIVHSQVQEHAQTCSGVQGQVQQGGIAQDPSATGASAVASTDQNQVVAPVAATASQPVTSQSVVATSAAVHVSNQLPNPASQTQPLGQAAVQATADQWYQQQHYQQYYQQYPGQDQYQQQYQQYYPYQQSAVPQYQQAYVQPQPQPQTQPQPQSQPQAQPQAQGLSQPVSHVQTPAAPQSQNHMQVHQQPQQLQPAVQPHGQMTHPPGHGQAFPQPQSYPYSQVQPHSGQPQQNMQIPPYQQPHPQLQHPQPQNQQPVQKYPVPQPQVHPQMQPNAPVQHPSQPQMQSHLPMAPNVQSQGQNTMSHAVTGHHSYPQPLSHQNMQVGAPQSNMHSNPPSGQLQGQHPVQMQNQFPQQAPMIRPNQSHAMYPNQQVALLPSSVQGQSTPLLQLQPGYTPNQQTGQTNQRPILQPVQQILPQQPFAQHQTPMPSHLRPQGPVHSFPKHAYPQSKGNTTLSQNVIGRPSIPNHAGHVQPFAHTANTIPVRPGNQNMLVGTNNQVQSRAPDPIERQGDVTEQQTDSASGKLGKNELKSEKETSLKSTEVGSKQNSEDPHSVKTLGPNANAFENGDTLNNNVGKGVASESTKVQHDSNEHSVVQGNEIQGGPPLKTEPKPSESETDKLHSDDIRTPRPPSGADNPAPAVSQTNGGHGPGIDEYRGMQSGGLAQPAHPVSFQQRSSTVLTSQLPHPTGPNQPLSAANSSTLLRNHGTAPALRSGQALNSMDSFQPTVFKQPHGSDVQFNIPGRSFQPQPHGPPAGSYNQVHEPPFHTGASNLSRSGGPQFGAPPHGDMHSGMPANFPPHAPEGFGVQDERFKSFQIPSQQNIDRREFEDDLKKFPRHPLDAEPGSKYGNYSLGLHEAGKRPVGFHDDAIKKPTSNLHSGHLGPGPGYGIHPMDGMAPRSPGSEYTDMPSRRFGPLSGGLISKSGIDDFEGRTANRFGDSVSNAFRDGRFPHPPSHLHRDEFDGFGNFRMDEHPRRGNFTGQDEFAGHFQRGEHLGPHNFPRHLRLGERIGFGDHPGHMRAFELSGSRSFESFTQGSRPGHPQLGEPGFRSSFSLAGFNNDAGFLTRDNRPFDNPRRRKAISTGWCRICKIDCETVEGLELHSQTREHQKMAMDVVKAIKQNAKKQKLIPSEQSSVEDGNKIRATGF >CAK8564804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10817359:10817936:-1 gene:gene-LATHSAT_LOCUS18069 transcript:rna-LATHSAT_LOCUS18069 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQPYIYEDLHPKIETQNTPESHLFILHIPDGFAKGDIGAKIDYDLGRVRVFGKTTTGSKRVMSFNEKYQVPSHCDIGNIRGKFDGKTVTIIMPSKKSFGESTRTRIKDMALSTTQAVTNYAKRFNEEDKQKLIYTGATILVVALGVYASYKYCSSCRP >CAK8535694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875064558:875064755:-1 gene:gene-LATHSAT_LOCUS5126 transcript:rna-LATHSAT_LOCUS5126 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKNAKVEKIVGRTGSRGQVTQVRVRFLGDSNRVIMRNVKGPVREGDVLTLLESEREARRLR >CAK8569500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3160460:3163045:-1 gene:gene-LATHSAT_LOCUS22345 transcript:rna-LATHSAT_LOCUS22345 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQEQFQRHYQTQQQPQPQTNSFRNLRTMDGQMSQQMAFYNPTDLQDQSQHPPYIPPFHVVGFAPGPVLPADGSDGGVDLHWNFGLEPERKRLKEQDFLENNSQISSVDFLQPRSVSTGLGLSLDNNRLASTGDSALLSLIGDDIDRELQQQDLEMDRFLKLQGEQLRQTVLEKVQATQLQCVSIIEDKVIQKLREKDTEVENINKRNMELEDQMEQLSAEAGAWQQRARYNENMIAALKFNLQQAYLQSRDSKEGCGDSEVDDTASCCNGRSLDFHLLSNENSNMKEAMKCKACRVNEVTMVLLPCKHLCLCKDCESKLSFCPLCQSSKFIGMEVYI >CAK8579024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667771971:667772870:-1 gene:gene-LATHSAT_LOCUS31000 transcript:rna-LATHSAT_LOCUS31000 gene_biotype:protein_coding transcript_biotype:protein_coding METWLSGSCTKKKIEKYSTPSKVMSVLPRSVTDDINFDGESFHNFFECWMVQQNKYLNELVAANSAQPQLTDDKMHVVIDKVVEHYEYYYKEKSRRAKKDVLSMLSPPWLSSLEAAFLWIGGWRPSVAFHLLYSKCSMHFQARLNDLIQGQKTCDLGDLSASQLAEIDDLQRRTIREEREIADMMAEHQETIADAPMVELSHVVSEMIGRGQNEKKGLEERIESIIEAKLEGLEKILQKTDDLRLRALQGIVNILTPKQAIYFLIAAAELHLRLHEWGKKKDDAKRGKQGIREGENHNS >CAK8579025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667771971:667772801:-1 gene:gene-LATHSAT_LOCUS31000 transcript:rna-LATHSAT_LOCUS31000-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPRSVTDDINFDGESFHNFFECWMVQQNKYLNELVAANSAQPQLTDDKMHVVIDKVVEHYEYYYKEKSRRAKKDVLSMLSPPWLSSLEAAFLWIGGWRPSVAFHLLYSKCSMHFQARLNDLIQGQKTCDLGDLSASQLAEIDDLQRRTIREEREIADMMAEHQETIADAPMVELSHVVSEMIGRGQNEKKGLEERIESIIEAKLEGLEKILQKTDDLRLRALQGIVNILTPKQAIYFLIAAAELHLRLHEWGKKKDDAKRGKQGIREGENHNS >CAK8532276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:237741573:237744644:1 gene:gene-LATHSAT_LOCUS2002 transcript:rna-LATHSAT_LOCUS2002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAQVEGTKSTIIGAVSKCHSKGGALSENVAKSQDIPSVGNDQTPKARKPYTITKQREKWTEEEHQKFVEALKLYGRGWRQIEEHIGSKTAVQIRSHAQKFFSKVVREPDGSAESPIQPIDIPPPRPKRKPLHPYPRKSVDTFKGQSVPNESETSPSFNLSVAENNTQSPTSVLSAFGSEAAFSEQTNRCLSPNSCTTEINPVSLSPLEKENDCQTSKSSEEEEKGTPASVPLSTDSKPLICTKPEIISLEETQCFKEDAADTPHITSIKLFGRTVSMVDTQKTIEIKSDEIVENEKVGSEEESEKIVTQLSLGMCSGNCDSMEQPKENPCGVGECASALPCWSLYQGLPALSLKPCNHQILSPVPLRPCLKVRTREEESSCTGSNTGSVCDMENQSKNNSNNSSDTDDTQSRKPHHEGVFVKKSGRGFVPYKRCLSERDENSLIVGLEEREGQRARVCS >CAK8564827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11299200:11300251:-1 gene:gene-LATHSAT_LOCUS18089 transcript:rna-LATHSAT_LOCUS18089 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGWIFFQEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVCKLIWTPYKEQS >CAK8544056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660401843:660403715:1 gene:gene-LATHSAT_LOCUS12765 transcript:rna-LATHSAT_LOCUS12765 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRAYSPFSALLISIIIIENLRFDVVYGYNRPPPRKTIFTFHKDDDSAPQQVHISQVGQDKMRISWITGNPTLAKVEYNQFLSENKISVTGTTSSYRYIIYNSGKIHNVVIGPLKANTVYHYRLGESPKTYNFKTAPGQFPIKFAIVGDLGQTGWTTSTLNHIANSSYDMLLLPGDLSYADFIQNLWDSFGRLVEPLASQRPWMVTQGNHEVERIPGIHKTPFTAYNARWKMPFEESGSDSNLYYSFDVSGVHVIMLGSYTDFHQSSTQYKWLQGDLQKINRAKTPWIVVLLHAPWYNTNKAHQDDDESIDMKASIEDLLYHARVDAVFAGHVHAYERFTRVYKDKSDNCGPVHITIGDGGNREGLARRYQDPKPDISLFREASFGHGLFDVVNATHARWTWHKNDNDESVVSDSVWLTSLSSNAACKV >CAK8575678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:246825746:246827881:1 gene:gene-LATHSAT_LOCUS27920 transcript:rna-LATHSAT_LOCUS27920 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKTEHALERRQAEAARIREKYPDRIPVIVERAEKTDVPEIDKKKYLVPADLTAGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGMMIRSNNPMQANM >CAK8542829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552037222:552037761:-1 gene:gene-LATHSAT_LOCUS11633 transcript:rna-LATHSAT_LOCUS11633 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKFSVPIKLVLFSILFFITINITNGQAQPNQSTLVFYLQDVGKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPVTLSPNPFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNVQYAGSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8573800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638057739:638058170:-1 gene:gene-LATHSAT_LOCUS26205 transcript:rna-LATHSAT_LOCUS26205 gene_biotype:protein_coding transcript_biotype:protein_coding MWMACHRRLSTRGRLKRLGLTTDDRCNFCDKEETIDHLLFDCPPFKTCWQQILVWLGFQHFPCEWREELEWLITHCKGKGWRKCILRSAVAETIHEVWRYRNNAVFGNTVNVLDIRDLVISTLANRGWVNTRMRHHIAQLLIK >CAK8571274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:359080476:359082382:-1 gene:gene-LATHSAT_LOCUS23952 transcript:rna-LATHSAT_LOCUS23952 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNGIVMEDEKHVIGETTKKNIHKEIEDDSNAENQTENEVSQSIAVSEGNNSVVIKNSERDKEPGVKSGLALKNTKSNTKDKPNLKATTSSSQRQQNLSKSLTFPSKLARVEAMKKSTDGILQKMESKHAQAAASTLHSKKLTNSKVNTKEAIKNHENFKRTSLTSINSFKSSENSNPAKSEKPNKEDDDVRSTTSSLTPRRKSIASGFSFRLEERAEKRKEFFSKLEEKIQVKEAEKSNLQEKSKESQEAEIKKLRKRMTFKAAPMPSFYKEPPPKVELKKIPTTRPKSPRLGRNKGSIVNNSSENKFSSSSIAKVKGFKGVSSKKPIRKTQDSVQTQEITVTKTKLDSVESVTNDVQVIKQDVKADIGEGNEESKDSPLDISEYQNGMELESLNELAQSSTLVLNASTPEIVPYEVAVGV >CAK8530285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11811454:11812653:-1 gene:gene-LATHSAT_LOCUS163 transcript:rna-LATHSAT_LOCUS163 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFSLILCIFTIWAIHFTNAASSAHHAPSPSVDCSTLVLSMADCLSFVTNDSTITKPEGTCCSGLKTVLKTAPSCLCEAFKSSAQFGVVLNVSKALTLPSACKVSAPSLSNCGLSVTPAAAPGVSVSPASSPTAAEAPGVATPVLPSRVPAAAPAVKSAAASALLPISAGSILVCLLSLFSSI >CAK8535725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877060098:877066472:-1 gene:gene-LATHSAT_LOCUS5154 transcript:rna-LATHSAT_LOCUS5154 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIYRATNSLRARSSTVWRNSGVEVFSKSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGVANEVDVTDIGFQEKQKLLERLVKVAEEDNERFLLKVKERVDRVGLDIPTIEVRYQNLKIDAEAFVGGRALPSFINAITNVVESLLNVLHIIPSKKRHVAILKDVSGIIKPRRMTLLLGPPGSGKTTLLLALSGKLDPSLHLTGSVTYNGHGLNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDIDVYMKAIATEGQESSISTDYVLKILGLDICADTMVGDEMLRGISGGQRKRVTTGELLVGPANALFMDEISTGLDSSTTFQIVNSLRQYVHIMNGTAVISLLQPAPETYDLFDDIILISDGQVVYHGPREYVLDFFESMGFKCPERKGVADFLQEVTSKKDQAQYWVRRDQPYRFVTVTQFAEAFQSFHIGGKLAEELSVPFDKTKSHPAALTTKEYGLNKKELLKANFSREYLLMKRNSFVYIFKLTQLFIMALISMTLFFRTEMHHDTQDDAGVYAGALFFTLITIMFNGLSEISMTIAKLPVFYKQRDLLFYPSWAYAIPAWILKIPITLMEASLWVFLTYYVIGFDPNVGRLFKQFLVVIFLSQMASGLFRAIAALGRNMIVANTFGSFAILTFLALGGFILSKKDIKKWWIWGYWISPLMYGQNALMANEFLGHSWHNATDDLGKLYLDTRGFFPHAYWYWIGIAGLAGFVFLFNLMFGVALAVLGPFDKPQATIPDDSEDDSSNDRTVQEVELPRRESSGRGDSVTESSHGKKKGMVLPFEPHSITFDDIVYSVDMPAEMKEQGVTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGHIDGDIKVSGYPKKQKTFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSKTRKMFIDEVMDLVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSVHLIKYFESIEGVSKIKDGYNPATWMLEVTTTAQEFNLGVDFTDLYKNSDLYRRNKQLIQELGQPAPGSNDLHFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTTVRFFFTTFIALMFGTMFWDLGGKHVSRQDLLNAVGSMYTAVLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSALPYAISQILVELPYVFAQAVVYGVIVYAMIGFDWTAEKFFWYLFFMYFTLLYFTFYGMMAVAVTPNHHVASIVAAAFYAIWNLFSGFVVPRPSIPVWWRWYYWACPVAWTIYGLVASQFGDITTPMTSEGEKEVKLFLEDYFGIKHDFIGECAIVVAGIAVLFAFIFAVAIKSFNFQKR >CAK8539801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525678781:525682301:-1 gene:gene-LATHSAT_LOCUS8863 transcript:rna-LATHSAT_LOCUS8863 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLNPIGLHRFRPCFQPSQSTLYPRHRHRYIKFPKFHTLACQTDPNLTEKDNPSTTPPQEIRVVVEPSSSKEETTSSTVDSAELPQLPNKDVSRKIAIASTLAALGLFVFTRLDFGVSLKDLSAVALPYEQALSNGKPTVVEFYADWCEVCRELAPDVYKIEQQYKNKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKQGNEEGNVVGRLPRQYLLENVDALARGEASVPHARVVGQYTSAEARKVHQVVDPRSHG >CAK8539136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504935121:504935786:-1 gene:gene-LATHSAT_LOCUS8261 transcript:rna-LATHSAT_LOCUS8261 gene_biotype:protein_coding transcript_biotype:protein_coding MASISEHHCLSWLDLHQSKYVAYACLGSLCNLVPSQLMELALALEATKRPSIWVIREGNKSEELEKWFGEERFEERNKGRGLIIKGWAPQVVILSHPSIGGFLTQCGWNSTLEGISAGVAMVTRPLFADQFLNEKLVTQVLRIGVSLGVEIPMRWGVEEKLCVLVKKQGIKEAICRVMDEGGESKEIRERASKLSEIANRAVEKGGSSCLNMTLLLQDIMQ >CAK8539137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504935121:504935426:-1 gene:gene-LATHSAT_LOCUS8261 transcript:rna-LATHSAT_LOCUS8261-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRPLFADQFLNEKLVTQVLRIGVSLGVEIPMRWGVEEKLCVLVKKQGIKEAICRVMDEGGESKEIRERASKLSEIANRAVEKGGSSCLNMTLLLQDIMQ >CAK8569974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18573058:18575415:-1 gene:gene-LATHSAT_LOCUS22767 transcript:rna-LATHSAT_LOCUS22767 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVDEHKMNDKFPIGMRVLAVDDDRTCLMILEKLLLKCKYHVTTAQNAITALNLLRENKKNFDLVISNVHMPDMDGFKLLELIGLEMDLPVIMFSANDDPKMVMKGITHGACDYLLKPVRLKEVQIIWQHVIRKKKSSKRSHSDSGNGIDSALTGSSDQNVKSNRKRKDKNEDDDEEENDDDDDDDDNEDPSQKKPRVVWNAELHRLFVSAVNQLGIDKAVPKKILDLMNIEKLTRENVASHLQKYRLYLKRISCVENQQANMSAALGNSADTSYFRMNSLNGVGGHLPTMNGGSTQFHNNPFRPFQSSGNMSNRLNTPANVNIHGSYQPAITRANPNDIHRTPIPSAGLDQLQHNRGINISPIQNITNKFPDYRPKAPMSCTPSSVLDISNNPMMMESNSFSFPSLEKRRFNDVWSSNMQLPGVTSNAPFQGWDNNHNNNNHEGNYHSNHVIGSSVIPAVNAVEQEENLDYNYCDSLYMMNQMKSQNNGIPKNITSLEEVVNDMVKQKQLYPNFSGGTSM >CAK8530779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49274110:49274699:1 gene:gene-LATHSAT_LOCUS621 transcript:rna-LATHSAT_LOCUS621 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGNEYDLHGNRVNQGLSVYGNKGITDQHATTIFSN >CAK8540981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38582971:38583510:1 gene:gene-LATHSAT_LOCUS9935 transcript:rna-LATHSAT_LOCUS9935 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFSSLAIVFLLCVASSHAVKNVDFDTICKGTENPSFCLTLLNSKPGTNRDLVSLAQYTMDVLLVNTTNTIKLVNMLLSKSRGDYEARYHYRTCLSHFVAISDTLKMTPFYMESGEYDNVLEHAVGVRIDVDNCISGDSPGDPHYPYHDTSMLPKYANMVDQDAMVFAAVVQHLNKPI >CAK8572158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515769743:515770526:-1 gene:gene-LATHSAT_LOCUS24751 transcript:rna-LATHSAT_LOCUS24751 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLLLYRHRWTGRFEANLWDHNSKLEGRVRKGRQGGYDSEENAARAYDLAAFKYWGQTSTINFPVSDYAKEIEEMKHEGKREYITSLKKDCIS >CAK8540507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9309683:9310931:-1 gene:gene-LATHSAT_LOCUS9507 transcript:rna-LATHSAT_LOCUS9507 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWPSISESAKDLVKKMFVRDPSKRITAHEVLCHSWIQVDGVALDKPFDSAVLSLLKQFSAMNKLKKMALRVIAENLSEEEISGLKELFKMIDTDNTGQITFEKLKAGLKMFGANLNEFEIFDLLNAADVDNSGTIDYGEFIAATLHLNKVGREDNLVTAFSYFDKDGSGYITQDELQKVCKEFSMKDVDLEEMIQEADQNNDGQIDYNEFVVMMLRGNTDLGNSGSKCRSTSFNIGLNGGVYN >CAK8573576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621478185:621479525:-1 gene:gene-LATHSAT_LOCUS26009 transcript:rna-LATHSAT_LOCUS26009 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRASYTVIPCETTPQGHLWLSDIDQVVHISHTRTIYIYKPKQIPENAIEILKNSLSKNLVHYYPISGRLCYKEGARLELNLNAKGVILLEAQTIKGIHDYGDFSPSDSTKELVPLIDYNQPIEDIPLFAVQLTRFQNNYEAFAIGVNFFHPVTDGLGATRFMNSWAKIARGETLEANELPFLDRTLLKFPHTPLAPRFEHMELKPLPLILGRPDASEEKKKKTSGTLLRLSPEQVEELKKKANEYNTKKKGFRGFSRYEAIGAHVWRCASKARELEEHQESVVRFYADVRTRMIPPLPKNYFGNALTQTAAKGYIGEITSKPLGYVSQMIREATEIVTDEFIRSQFDIIRGFKHLDGARALFLGVVGENIPYFWNPNFYLTSWMNMPLYEADFGWGKPVYFGTADVAPNDRAVILLSRWRWIYSCVFAFSDCTFGAFQEVFLW >CAK8567825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547625809:547626394:-1 gene:gene-LATHSAT_LOCUS20846 transcript:rna-LATHSAT_LOCUS20846 gene_biotype:protein_coding transcript_biotype:protein_coding MADREDRLRLGRVSQHAFVRQEQSQATVTEADIVEAYLSSSHAQDIPSTSYHTPSHPLFHPRGVEIQLKVHHRPRWDTFDSPPDVDMVPPLEDDDPPSEDVDDDDNNEPEGIPGGPSYMSMLTGYADHTARHVWDEETRQTQKFYNHGQKILSLEQPHEAWF >CAK8538428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482448651:482449520:1 gene:gene-LATHSAT_LOCUS7627 transcript:rna-LATHSAT_LOCUS7627-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSVFLILGLLAMVLVISSEVSARELAETSTDTKEVVVEKSNEVNDAKYYGGGYGHGYGHGGSYGHGGYGHGGYGGGYGHGGGYGHGGGGYGHGGGGYGGGGGYNGGGVSNNEVVEKSNEVNDAKYGHYYGGGGRYHGGGSYHNGGGGYHGGGGYHGGHGGHGGASDNGN >CAK8538426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482448651:482449520:1 gene:gene-LATHSAT_LOCUS7627 transcript:rna-LATHSAT_LOCUS7627 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSVFLILGLLAMVLVISSEVSARELAETSTDTKEVVVEKSNEVNDAKYYGGGYGHGYGHGGSYGHGGYGHGGYGGGYGHGGGYGHGGGGYGHGGGYGHGGGGYGHGGGGGYGHGGGGYGGGGGYNGGGVSNNEVVEKSNEVNDAKYGHYYGGGGRYHGGGSYHNGGGGYHGGGGYHGGHGGHGGASDNGN >CAK8538427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482448651:482449520:1 gene:gene-LATHSAT_LOCUS7627 transcript:rna-LATHSAT_LOCUS7627-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSVFLILGLLAMVLVISSEVSARELAETSTDTKEVVVEKSNEVNDAKYYGGGYGHGYGHGGSYGHGGYGHGGYGGGYGHGGGYGHGGGGYGHGGGGGYGHGGGGYGGGGGYNGGGVSNNEVVEKSNEVNDAKYGHYYGGGGRYHGGGSYHNGGGGYHGGGGYHGGHGGHGGASDNGN >CAK8568646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626788888:626790150:1 gene:gene-LATHSAT_LOCUS21586 transcript:rna-LATHSAT_LOCUS21586 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHDTVVDINAMLDNAGPPLSAADCCIYKVPSDTRKHNRDAYTPKVISIGPFHHRFQHPSLQNMERHKLIFFKAFLKRTQPTLGTLIHYIESIIPNFRRCYSETLDFTDQELVKLILIDSVFIIEIFSKSYDHGRSEEDAFLLKPWLSDNIKFDLLLLENQLPFFVVEKIFNISFSANTDIPSFLELTIFYFQRFNKSKLDSDNSDITIRHFTDLIRIFHLQHPLERRRRRIPGTMGNFHSVTELFEAGVRFKVKTKSKCLLDLRFSGGVLEIPELIVDDWTEILFRNMVALEQCHYPYECYITDYVGVLDLLINTGRDVDILVQNGILVNWLGENDSVANFFNSICKNILIVNSNSDYSDLRKDLNGFYKDPLHKMKATLRRDYCSNPWQTAATVAAIVLLILSLLQSVCSVLQVVPQ >CAK8537738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425715120:425716595:1 gene:gene-LATHSAT_LOCUS6999 transcript:rna-LATHSAT_LOCUS6999 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPEGVSIKVHAKLIEVEGPRGKLVRDFKHLNLDFQLITDENGKRKLKVEAWFGSRKTSAAIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASIANSNTAIEIRNFLGEKKVRKVDLLEGVTVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKGTIAEE >CAK8565872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346149034:346149345:1 gene:gene-LATHSAT_LOCUS19050 transcript:rna-LATHSAT_LOCUS19050 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8579762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721279533:721285257:-1 gene:gene-LATHSAT_LOCUS31680 transcript:rna-LATHSAT_LOCUS31680 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRDEREVQKSYWIQHCADLSVEAMMLDSKASDLDKEERPEVLSLLPPYEGKSVIELGAGIGRFTGELAQKAGQLIAVDFIESAIKKNESINGHYKNVKFLCADVTSPNMPVSEGSVDVIFSNWLLMYLSDNEVENLAERMMKWLKDDGYIFFRESCFHQSGDSKRNYNPTHYREPRFYTKLFKECQMSDSSGNSFELSLVGCKCIGAYVRNKKNQNQICWLWQKVRSHDDRGFQKFLDRVEYSEKSILRYEHVYGRGFISAGGLETTKEFVAKLELKAGQKVLDVGCGVGGGDFYMAEKFDVEVVGVDLSINMISRAIERAIGLKYNVEFDCADCSRKTYPEKTFDVIYTRDAMLHIKDKPTLFRSFYKWLKPGGQLLITDYCKSAGSPSTEFAAYIKEGGYHVHDLKEYEQMLKNAGFDVIVEDRTDQFVRTLQQELLALESRKDEFIADFSDDDYSAIVERWKAKQIRGERGEQKWGLFIAKKI >CAK8567733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539878616:539878849:-1 gene:gene-LATHSAT_LOCUS20756 transcript:rna-LATHSAT_LOCUS20756 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRRNHDLDLTSRFFEAQVEYNNALIKEDTYWKQRAKMHWLWDGDLKTKYFHLFVTTRKNFQKIEMLVQKGGEEV >CAK8569062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667719613:667720143:-1 gene:gene-LATHSAT_LOCUS21955 transcript:rna-LATHSAT_LOCUS21955 gene_biotype:protein_coding transcript_biotype:protein_coding METFRNYGVVVYIVFLLMAMVRLKAEEKHTNVNSPNKGNATIVTLSNSSQSINEEGKEKQDNYNNKVVKGSLYDRGGGGGGGGGEWGGGGGGGGGGGGGGWGWGWGGGGGGWWKWGCESKPRGGKRSIVKDYVVGEFAECMTKTRCKGMRLECPLHCGGTCYYDCHHMCKAYCRRL >CAK8541356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:134662233:134663234:-1 gene:gene-LATHSAT_LOCUS10281 transcript:rna-LATHSAT_LOCUS10281 gene_biotype:protein_coding transcript_biotype:protein_coding MFAESLVLCFLSLLLLSPFATSEQCNPQDKKALLQIKKEFNTSKTLQNLWDPKTDCCIWVWVECHPTTNRVTGLVMKFDEPGNDIVGHIPSSVAQLPYLEHLEFSNFPKLTGTINPVISNLKHLKYLVISSTGVSGTIPSFVSQFQNLELLDLSFNSLTGTIPSSLSQLPKIQSLRLHNNKLTGQIPSSFGSFKKPGPDIYLSNNRLSGHIPASLGQIDPETIHLSANKLEGDASMLFGKKRTQLIDLSRNLLSFDFSKVDLPKSLTYLHLDHNYIYGKIPQVLNKRNALERFDVSYNLLCGEIPQGGDLQRFGVFAFYHNKCLCGSPLPKCK >CAK8579103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675075579:675080108:1 gene:gene-LATHSAT_LOCUS31071 transcript:rna-LATHSAT_LOCUS31071 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLAQSINVPGLVVGQRHGGNNNKGFGKPRRSVKMMYARVAGISGLRTLNSLNTMLRPSQDFHSRAFSQMGISRAKSGRGNRCVTKAMFDRFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRTQVIRMVGEGADSVGATVGSGSSSNNKTPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVSETIQILKGLRERYEIHHKLRYTDDALVAAAELSHQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEARGLEKEVRQIVKEKDQAVRNQEFEKAGELRDKEMDLKTQISALIEKNKEMSKAESEAGDEGALVTEVDIQHIVASWTGIPVDKVSVDESDRLLKMEDTLHQRIIGQHEAVEAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALATYYFGSGDAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDFDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKIKEIELSVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSTGAPDSLSDVLTV >CAK8575830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332410129:332414296:1 gene:gene-LATHSAT_LOCUS28062 transcript:rna-LATHSAT_LOCUS28062 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLPAPPFVLGSWRIKAAIMSETRRFRHKSPLSASAKPSDSDSTAAYSASLSQQPHVYVRRNKRAKGITTTAKLQDNHHLPPTHTLKKLGLPEIEDFAYRGANELTHRRMSSDVTPTESEVASSTTHNSGPPACWEDTLEGIRKMRCSADAPVDTMGCEKAGSTLPPKERRFAVLVSSLLSSQTKDHINHGAIQRLLQNDLLTPDAINNADEEIIKKLIYPVGFYTRKATNLKKIANICLMKYGGDIPSTIEQLLVLPGIGPKMAHLVMNVAWNNVQGICVDTHVHRICNRLGWVSRLGTKQKTLTPEETRVSLQLWLPREEWDPINPLLVGFGQTICTPLRPRCGECGISHLCPSAFKEASGSSLVSKSPKSRKNKKL >CAK8579577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708932017:708938112:1 gene:gene-LATHSAT_LOCUS31511 transcript:rna-LATHSAT_LOCUS31511 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQASGEVLPVNLAGMSKNQLYDIMSQMKNLIEQNQQQARQILIQNPMLTKSLFQAQIMLGMVQSPQAVPKVQPVVPQNSQQTIQPTQQSSVQPAPLLPAQGAAQDQAGVSHTHIPPRKHQNQPPVPVSYATVPATSHQSQPMAAHSMQLPQQPKGHLTPQVAPAAVPQSSQLPKIPPPSVHSSSQPHHPTQMPSASSQQPLQTPGFPHMPMQPPLPPQLRPPSVQTFHPQYPPQMGANLGFQHAGGASHNHPQPMYHPGAKPPSNVGSAFPLGQTPLQNQQSSQSPYQVGNMPFGSDFGSQAGNAMQIDRGSSWMPGPSENLAQHSGPPGQPSMVSGQMGASNQPLRPPALTPDMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQLILRK >CAK8573894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644873064:644874967:-1 gene:gene-LATHSAT_LOCUS26289 transcript:rna-LATHSAT_LOCUS26289 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSDFKPLNETTLIDYIKTTPTLSSKLHNNFSDISIKEIGDGNLNLVFIVSNSNGSFIIKQALPYVRLVGESWKMTKERAYFESLALKEKGKLCPEHVPEVYHFDRVMSLIGMRYLEPPHVILRKGLVAGVEYPLLAEHMSDFMAKTLFFSSLIFRSTTEHKRDVAEFCGNVELCRLTEQVIFSDPYKVSEHNRWTSPYLDRDAEAIREDNLLKLEIAELKSKFCERAQALLHADLHTSSVMVTRESTQVIDPEFAFYGPMGFDIGAFLGNLILAFFSQDGHANQANDRKTYKEWILKTIENTWNLFYYKFTKLWDEHRDGAGEAYLLEIYKNPEVQLLVQKKYMTDLFHDSLGFAAAKMIRRIVGVAHVEDFESITDAAKRATCEKQALDFAKMLLKERRKFEAISEVVSAIRKI >CAK8567841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549962312:549965333:1 gene:gene-LATHSAT_LOCUS20860 transcript:rna-LATHSAT_LOCUS20860 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKADTPINENEIRITTQGRMRNYITYATTLFQEKGSDEIVVKAMGRAINKTVMITELIKRRIVGLHQNTQIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQPPLPVDQVKPLNEYEDEGEGSPRMRGRGRGRGRGRGRGRGRGMYNGGMEYGDGWDGGRGYGGRGRGRAWGRAFRGRGRGFGAQPVGYYDNGEYDAPPALRGRGRGRGWGGGRGRDAGQGAAA >CAK8567842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549962312:549965333:1 gene:gene-LATHSAT_LOCUS20860 transcript:rna-LATHSAT_LOCUS20860-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKADTPINENEIRITTQGRMRNYITYATTLFQEKGSDEIVVKAMGRAINKTVMITELIKRRIVGLHQNTQIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQPPLPVDQVKPLNEYEDEGEGSPRMRGRGRGRGRGRGRGMYNGGMEYGDGWDGGRGYGGRGRGRAWGRAFRGRGRGFGAQPVGYYDNGEYDAPPALRGRGRGRGWGGGRGRDAGQGAAA >CAK8569092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670277322:670277840:-1 gene:gene-LATHSAT_LOCUS21982 transcript:rna-LATHSAT_LOCUS21982 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKLLLSSLLLSFLILHLVHAQQEPKVHSQTQVSPQQQIDCNGACAARCRLASRQRLCHRACGTCCRRCNCVPPGTSGGQEVCPCYANQTTHGGRRKCP >CAK8566232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393859623:393879847:1 gene:gene-LATHSAT_LOCUS19384 transcript:rna-LATHSAT_LOCUS19384 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEAPIRKTMEKNELEDSVVNKVAEVISAIKNANQVDQVISSLHSIAALLFPLDANLLSGSIGESYREQVFTVKVPSEKERDDWWHVFYHGPAFSTLARFLLLDVASNWLACFPFLAQKYVYDVFFVNGFVTEVLQILVPFLQQNRSDDLDINVVISNTERLLVLCLLENNGVLQIAREFGGLSTSKGFTDEWMKPDISRMAQIVVSIPDKARMNSPTSLSSHVFFRQIIVQVLSLEEDREVILLEKIDTSDEMDKNGALLFIGEMFSRICRRGSADLLSSELILRVLRLINTCISSSNSSITAEVLESKPETMFWLRMMESIRDPYITERISEQILHKLASQQANDVQAYWVLWLFFHRIFRLQSSVRSMFVDKFLLWKVFPVSCLKWILQFAVCECPPSTSLPGQNRPGLLKTVQCLLATWSKKEFVQTAPIEQQAYITAALGLSLETMSKEELDGMKEATHLILQGVSGRLESPNYLVRKMASSIALAFSKIIDPKNPLYLDDSCNGETIDWTFGFTDLKKRTLAASNSRKNSVEETDISTISGSERASDSLPNKEKGISLNGKKKLLDFKVLDPDEIVDPASLNLESDVNDEDDSDDSASENSYSSSDSSLQPYDLSDDDSDLKRKLSQLSDVVAALRKSDDADGVESALDVVEKLIRASPDELKHAARDLTRTLVQVRCCDIALEGEEESTEDKRQRALVSLAVTCPFESLDTLHNLLYSPNVDISQRIMILDVMTEAAQELAESKITKPKHKTSSLVSVVSDTRPWFLPSSTGTPGAGSWKEISGTGTFLNWSNSYERELPSKPYQVKKGKTRRWSLRPPSSEQNMMECSHNKFPMYAAAFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSAAMHPEASVLAPSLLDMLRSREVCRHQEAYVRKAVLFAAACVLVALHPSYVSSALLEGNVEISNGLEWIRTWALDVADSDTDKECYTMAMTCLQLHAEMALQTSRALESARNSFRASPTLRSDASKMTVKIPYLNGS >CAK8571868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:486577756:486581615:1 gene:gene-LATHSAT_LOCUS24491 transcript:rna-LATHSAT_LOCUS24491 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLSGTGKLTVLNCRTPNGLGFTTSDFHGKSLSFPKSSINATSRVSYSRTNLVPKCSSSSVSSSSSRPSSQPRFIQHKKEAYWFYRFLSIVYDHVVNPGHWTEDMRDEALEPADLTDRNMLVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKDCKIIEGDAEDLPFKTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVHPTFWLSRFFADVWMLFPTEEEYIEWFTKAGFKNVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVDPFVFLPRFILGVLAAAWFTLVPIYMWLKDQIVPKGQPI >CAK8575287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71174241:71176205:-1 gene:gene-LATHSAT_LOCUS27561 transcript:rna-LATHSAT_LOCUS27561 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENMLLEAAGRKNSPVRKRQKLRNIRSSKHNDASFSDNGSDSKEEDSDKGVGKGNKRPTTIVSNVPMKKRLELENSKRGNNNNIGNEDKNKGGEGNDLKDEGGADSSEESDDAGNDLYKNEDDKERLAKMTELEREMILSERATEKGDRELKEKMIMRMKRENSNNALAKGSNSKNRSSPRPQSTKLRLSARNAEKTAAKGDVLSELRAKRMKQQITDHHGKSEMKKKKETSEVSRSSSSESESVVRSESEKESSSDDDGELVDSDDDKNMDELDKPTFEEIKEITVRRSRLVKWLNEPFFEELIVGCFVRIGIGKSDNVPVYRLCMVQKVECGDPKKHYKVENRVTHKYLICVWGSENSAAKFQVAVVSDSSPLEKEFKQWTREVERTCSYMPSKKNVSDKKEAIKRINTYVYSAATVKQMLEEKKAAPSRPLNIAVEKDKLKREMEIAKSKDDEAWMERIIAKLAELEAMRRARENDVKAIRLDEMNKKNRFENFKNLSEHRSMNANLKEGEKGYDPFSRRWTRSRNYYNEDQSKESKEEGKGEKDDKKVGVEATKESLEEAANAGKLIDTNAPVDVGTESNVLHDFELSISLAELKKFGGTQEERRNVFFDRKQKIEATIGYQVPENDGRKHLLTLSISDYKRRRGLL >CAK8571987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500412524:500414404:-1 gene:gene-LATHSAT_LOCUS24596 transcript:rna-LATHSAT_LOCUS24596 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTTFSLTASRYYSSATVFLAPQNLLDLLQLSIDLHSHKLSQQCHSQILANGFSQNAFLATRLISAYATSGELTLSKLVFDSVQTKNVYLWNSIINGHVKNHQFVQAIVLFRRMGLSSLLPDDFTLSTISKISGEVKDLVLGKLIHGKSMRIGFVSDIVLANSVMSMYTRCGEFDDAMKVFDEMPQRNVASFNVIISGCAGLGNFASTLHGDLWDFFRRMQCEGYMADAFTVASLLPLCSDNAGKVDYGRELHCYLVKNGLDLKMVSDVHIGSSLIDMYSRSNKLVLSRRVFEQMKSRNIYVWTAMINGCVQNGAPEDALILLREMQRKDRIRPNEVSLISVLPACGLLAGLMGGKQVHAFSIKMELNDYISLCNALIDMYAKCGSLDYARRVFGNGSYFKDAITWSSIISAYGLHGKGGEAVTTYYEMLQQGIKPDMITVVSALSACSKSGMVDEGISIYNSLTMKYEMKPTVEICACVVDMLGRSGQLDQALEFIKKMPIYPGPSVWGSLFTASVIHGNSMTRDLAYRCLLELEPENPSNYISLSNTYASYRRWDVVTEVRTMMKEKGLRKVPGISWITMSGKTHSFTVADKAHPCSSSIYEMLDDLVSIMTDGCTDIDILT >CAK8565449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:216862087:216863355:-1 gene:gene-LATHSAT_LOCUS18666 transcript:rna-LATHSAT_LOCUS18666 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGNNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTNSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDMKINPNDGWSIKTKYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPKSFINFSKCHINKILLPREWGLNPNAKKAIRIAEGKYIYFNYWDYVQAFAQAFYYQNPMNKHSWFFSINQELVNKPIPNWFYEWWAKFGPSLEILSKEILNLYHPWCDNSPLIVKNLSDNLITGQCPFLFFTKFKIPWIWRWSITISKNKFNISILERNFFYKWWNKMSSKQMSMKNWKNFFQRKYPNETEDEIMVRILDHMKNQFFSTFLTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPTAEDFWDAMISSMKDIRKTKN >CAK8573673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628858361:628859650:1 gene:gene-LATHSAT_LOCUS26088 transcript:rna-LATHSAT_LOCUS26088 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFHLAQILIFSILIILSINPSHQALPPLNPRLIKAHTALQAWKKTFTSDPKNFTSNWYGPNVCNYTGIYCAPSPQDPYVYTVAGIDINHANIAGSLPEELGLLTDLALFHINTNRFYGSLPNTFDSLHFLHELDISNNKLSGEFPEVVLCIPSLKFLDLRFNDFQGNVPGKLFDLKLDAVFINNNKFQFSLPENFGNSPASVIVFANNDIKGCIPSSVVKMKETINEIIMVNSGMKGCLPDEIGELDKVTVLDVSFNEFVGELPESIGGMKSLEQLNVAHNRFSGVVPESVCRLPRLENFTYSFNYFSDESERCVELRNKDDRLNCIPFRPLQRSSLECDVFYKHPVHCTGVGCSFITPSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPSPPPPAEAYYHYL >CAK8577896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596296640:596297544:1 gene:gene-LATHSAT_LOCUS29960 transcript:rna-LATHSAT_LOCUS29960 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRDGVEVHKDDEEKDGWCSNPPVSPCAAFVEIMAPVFSRDAWRCVWHMIQYDLVHRWGLDFTLRKCVESIHDKIGVVDAQWIIHKSIFFT >CAK8537946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449661245:449661805:-1 gene:gene-LATHSAT_LOCUS7194 transcript:rna-LATHSAT_LOCUS7194 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKALSIFKSKFLKPCKKLILFFKLKPKKLLFIRALKKSKPQKPSSPSKPMSSFLSAFRSPKKSKDFNKLQCFKSPSNVQETPIFPSPLTPTCVRTNKRADSKSEASSEEVEDACRSFENYLAEMIVEEGKTKDLMDVEELLYCWKNLKCPVFIDLVCRFYGELCKDLFSSDNEESYKLMSKNST >CAK8544937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713704558:713705294:1 gene:gene-LATHSAT_LOCUS13577 transcript:rna-LATHSAT_LOCUS13577 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEGNQQSSIPRLPLFSTPPAMHMKSSPERSGTVTPPLQTSGSVPFRWEQEPGKPKLCNALVPYINPTDKSLELPPRLLVVPSPTLLQPGPYVTSNRFRSPSFRIENNTNCYGSSGTKNILVKGDSGWFGSWRKNVKRDQVTGGSHVFPSSTGIAETPVTHNNKMMKRSGSSSSHSHQDKPRVWRSIREGMKQVVTLQWRSKKLKKKDGSSLLKH >CAK8561833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:391362063:391371763:-1 gene:gene-LATHSAT_LOCUS15387 transcript:rna-LATHSAT_LOCUS15387 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYPEELRTPPITLTSMVGCPDLHPLISTYLLSQQPPINTLALPDLSKINVFSQKKTDPDSVIAASPPPFIVNGILKRDWLLKHRTKIPSVVAALFPSNNVFGDPSQWLQVCSDLDSIKSVIRARNIKLVVVLVHTNANDEVSEDRMIALRKRAELEAKYVVILNPNDDSEFQSSLNRLANIFSELSGVYYREEGRRVKQRIEKKNVSAVELIVRYCFKVAVYAEFRSDWSEALKFYEEAYHTLREIVGVTTRLPPIQRLVEIKSVSEQLHFKISTLLLHSGKVTEAVTWFRQHKSTYKRLVGAPEAILVHWEWMSRQYLVFGELLETSSKATQNFPPVLLGSSSKPLSEWEYYPAYYYQLAAHYLSEKRSALELATSMSEIPNEVDIGADSVVPSAYVGQFARLLEEGDNVDMLPLTDEGYTHYAISEGKRFRDSLEIIALLKKAYESYSGIKLQRMSSFCGFQMAKEYFAEGEIGKAKQMFDNIASLYRKEGWVTLLWDVLGYLRECSRKNGTAKDFVEYSLEMAALPISSDTGVQRDTGPAGPANILQREIVHKEVFELVGEAVLTKNKDSSNLKISAEELVQLEVDLVSPLRLVMFASVAFHEQTIKPGTSTSITISLLSHLPLTVEVDRLEIQFNQSSCNFFVTNAQKHHYVEGSDTQKQRTETAPSISLVSNKWLRLTYDIQSDQSGKLECLSVIAKIGSHLAICCRAESPASLDSLPLWTLEDYPQVVPIKDAVLVFSGQKTTQVEEPDPQVDLCLGASGPALIGEVFLVPVTLVSKGHAVYSGELKINLVDVKGGGLFSPRDSEPYSMESHHVQLLGISGPEGEDDSQLDSDKIKKIQQSFGLISVPFIKNGDSWSCKLEIKWHRPKPIMLYVSLGYKPNGYEPNNAQTVHVHKNLQIEGNNAIVINHHYLMPFRRDPLLLSKNKEASESDQPEPLPSNQNTILVVSAKNCTEVPLRLKSISIEEEDGVERTCSIQHGNEELSDPALLVPGEEFKKVFSVSSNINISKLRLGTACLKWRRDFGVEEKSASTAILSSWVVTKQKLPDMNVELPPLIVSLECPPYAILGDPFTYYIRILNQTQLLQEIKYSLADAQSFVLCGYHNDTVYVLPKSEHIVSYKLVPLASGMQQLPRFSMTSVRYSAAYQPSNSSNTVFVFPSKPHFKTAASPIFRVEESVANE >CAK8567990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561609312:561621299:-1 gene:gene-LATHSAT_LOCUS20993 transcript:rna-LATHSAT_LOCUS20993 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEGDQLKAAEKLELEKLAKINFDPTRIVDLDALLCDTYDKQCPKPVHYHNRRDLIRIFNMMAKEIYGNSKSSPVVEEYGSFVMDIFNEKSDLDLSINFSKDSIEISRQKKIDTLRRFSKKLRSIQRNGHVTALEVILSANVPIIKVTDCGTGIECDLSVGNWDGIAKSHIIRAISAIDERFQKLSLLMKSWAKAHNINSSKDATLNSLSIVSFVAFHLQTCDPPILPPFSTLLKDGADLESVTKIVKTYTNYGNKNKESLAKLFVTLLVKLASVENLWQNGYCTSPNKGSWVLKSWKYSMSIEDFTDPSQNVARAVRAEGFKAIYECIHNSIDYVSCFLNGEIQGIELMDHLFGKPMVSTPGVEDSSTSNINPRKNNSPILRNSRPTKKKKRSLAKNQVPDFQQTKPRGTDPVHVPCSTLGVQGTSTSNISGNKNTPPTSSITENKITPPASSVNENKNTPPASSVNENKNTPPASSINENKNNPSTSNIIGNKNNPPTSNIIGNKNKLPTLQNPSPTKKQKRHLGKNQVRDFHRTEPWSTGHVHVPPSSNMANGVSSSTPQLPYQFQYQLPFATYSSNFKEHHSYVPRNVPPPPPPFGLNQVVFQGSYNPPIQSHRVSSQIHGDFFPTLHQNAVASNPYQGSFPHSSLQGRDYAHSRDYASPHIRDYASPHRRDYASLHRRDYASSLHRRDYASSQRDYAMYNHRSG >CAK8573847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642090249:642094327:-1 gene:gene-LATHSAT_LOCUS26248 transcript:rna-LATHSAT_LOCUS26248 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQGVLEEESGSQTTRLGCLHVIHTNCLISHIKSFPPHTAPAGYVCPSCPTSIWPPKSVKDSGSRLHSKLKEAILQTGIEKNIFGNHPISLSVTESRSPPPAFSSDPLIGRENVGNSESVDGSELSKLSVSDIVEIDGPNSGGNFMKSSSPVGPGATTRKGSLHVDRQNSEISYYADDEDAKRKKYTKRGPFHHKFLRALLPFWSSALPTLPVTAPPVRKDGSNGPEAPEVRARHPKSSRMDPRKILLLIAIMACMATMGILYYRLVQRGPGDELLNNNNNNINDE >CAK8533207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590731838:590734264:1 gene:gene-LATHSAT_LOCUS2852 transcript:rna-LATHSAT_LOCUS2852 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEEDRRREAAISSSPCLQPNFNPKRVTQDQLSKFRELHRKRLQLKSKSKFKTKPKVESKRKAQGNDPYRNNSGAQGFKVDNEEQSILNNIESFDLRNEDSKSGASVPSTSKKQKLHWGLDTKERWERKSNM >CAK8569569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5229213:5230746:-1 gene:gene-LATHSAT_LOCUS22408 transcript:rna-LATHSAT_LOCUS22408 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYLWSEAHKLSNLLSVNSKVGNFKSFICYPSHPSIHPFRCCLSVKSISLTSSGWLKNNHSVNDAKKNYIHHLILSAPLVINNFLPKEILLISESGGLNHTVRVSEVETSIYHIDHSHDLGLEICIDGFKCCYFKFPRLETLCTMAKLGATKFSISETLIFEPNNSNGHIFVTVEKVMDAYSEFWRDLGRKVL >CAK8572010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501645948:501647511:-1 gene:gene-LATHSAT_LOCUS24619 transcript:rna-LATHSAT_LOCUS24619 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVYGLERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSGLASLQRTSLTKINTNLISTFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALVVEWVVDYLGVSQRITQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFAGKTFTLVEARYLSLFIDLDGLSGYSWAAAALVTLYRYLRDASMFSCKQLGGYPTLLQCWIHEYFLTLAKRGENWIPANNVGLPRAMRWSYRQGALKVDDLRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYMPDRCLRQFGYRQYVPHPPLDSRTTGDIDVDWISYHQSIQNVIRPTAPATTPYETDDGYLEWYYLVSHPRLVPLSVDATTEMPVPVYESGPSDPIVARMSSLIHCYLQQTGAEEDDPQFADLFEALHLGLSQ >CAK8570680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:86720687:86722190:1 gene:gene-LATHSAT_LOCUS23416 transcript:rna-LATHSAT_LOCUS23416 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVKGLLKGLRYISQIFDEKEDEIQIGFPTDVKHVAHIGSDDPSANAPSWMNEYKGTNPSGTIDVTETHEEDNNKSSNPKEKSSKIRHLIPKSRHQSIDTEANSTTKKHTRRHLRSSDPSAESSAQDSSGGSRHRRHRRGSNHDPDSQSSDAPSGKTHRRKSKTSEDGSVRKPSSRRSSKGDSLTDISITDFGSGSVAEIENESK >CAK8570681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:86720687:86722190:1 gene:gene-LATHSAT_LOCUS23416 transcript:rna-LATHSAT_LOCUS23416-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVKGLLKGLRYISQIFDEKEDEIQIGFPTDVKHVAHIGSDDPSANAPSWMNEYKGTNPSGTIDVTETHEDNNKSSNPKEKSSKIRHLIPKSRHQSIDTEANSTTKKHTRRHLRSSDPSAESSAQDSSGGSRHRRHRRGSNHDPDSQSSDAPSGKTHRRKSKTSEDGSVRKPSSRRSSKGDSLTDISITDFGSGSVAEIENESK >CAK8533217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591994978:591999071:1 gene:gene-LATHSAT_LOCUS2862 transcript:rna-LATHSAT_LOCUS2862 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPNHLSDDRASPPHHHFRHTPLQIIHVVANFLRIWSIYSMFRYLSQTGASVVLFLFACLAPAAILFLILQKPWKGRPLSNTQVVPSIINGFITALYLVLWGKGLKSCGPVRAILGEYSGAVLGVLSGVLYGRRSNLWKKIGGLIAMCASFYLLSEGWATATFSPFSWEDREESEAQKEHVLGLKHMLIPIIAGILSALRRVIARRVSIKNQLKRRLHALTIASATCFMFPIAMWDVIIGSPSESNGKLPFSAWAFFSTILFGNIVIFYADSIAEERLHMVFSSPRHLMAASACIIVMEMVYKMDFSLTGFVICSLILGFGIYEATSLERNRKDSTRKSDLLTEEFDNPIEMSSLPT >CAK8540413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558837560:558839809:-1 gene:gene-LATHSAT_LOCUS9418 transcript:rna-LATHSAT_LOCUS9418 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPTKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGHEINALEQHIKNLLSPSTPFFFNTLYDPYRDGTDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPHERNTRFVDAVMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGYGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQAATLSKDCTSVQKCYIELSKQVKEKLGTIDPYFIKLADAMVTWVEAWDEINNSKSEETTSTKASEVAATK >CAK8572015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501728894:501730342:1 gene:gene-LATHSAT_LOCUS24624 transcript:rna-LATHSAT_LOCUS24624 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVADELTEVVQSLPSDAVNHLFSLGLCVRCIFRVFGVQATTVYASPSSLSPSIFGLQQSTNKLCTLCLGILQFRFLNDDNTSITDDVPLLISNFVKREGYHCDSFSLEVSIPQIIHHNDNSLRSYMKTKYGSQPWFQDTCISAKDALKFSITHPLETLLECKSSTDSLRIRLTYSASKEAEKCSDNLDSCKRRKTDECNLVGQKPSSSSLENESFDCCKFLLEMANEPCHFTYLCSRTPLYFGGRYLKYSRNVSQSCWIIDDERMGEASVEEIIGGNILQVCQADSFKFHAAGREDIDVRMLGPGRPFLVEVQNARQVPSELFVKDIEKKINSMENKLVKVKNLKLVGSHGWDLMREGEAEKQKQYAALVCISRPLKDEDFQHVSSLKDLKVLQRTPIRVLYRRSPLEREKIIHWMKMETIAGSFQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSIFGCRAEILQLDVTDIKMECFLT >CAK8577918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597426475:597429098:-1 gene:gene-LATHSAT_LOCUS29981 transcript:rna-LATHSAT_LOCUS29981 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWLVGKWGRRRTIPFSFSRRTLRSDAALEAIAKASEDKVPTIVLYNYPSFSGAFSSLFAHLFHTRHNLPSLTLPFSSVPSLAFTVEDLCIQGLQTCYLLDFLPPKEFLFQLSHLSNCKIIGFDHRKSVLTHIPSANEYPQNIVINVNLDKSSSRAVYEYFTNKCKDIETSNGAVSSLVDSKDKGRVENILRYIEDGDLRRWTLPDIKPFNIGLGEWRSRFSCISNPNMFKQLMELSVEELIAKGNSSISARRNAASKLLEKVFRVRLGRGFYGECLGVRADGNSNLSDEIGLLLSVKSAAIGLRPIGAVIFMQRNNLKMCLRSSDSATDTSEVAKAYGGGGSASSSSFIIRMDEYNQWISANSL >CAK8568959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659646064:659647656:-1 gene:gene-LATHSAT_LOCUS21863 transcript:rna-LATHSAT_LOCUS21863 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRHSPCLHLLQQWQNLTTRQMKQIHAHTITNNLTRFSYISSRILAFCSFSPCSDFRYAETVFTHMPNPNLFDYNSIITSYTTNSQFHKALYIFTKMLNTNIRPNSNTFTALVKACVTLPYLEQVFTLSMKMGNSSDVYFVSSVINAFSKHGAIQLARNVFDESSKRNVVCWTSIVSGYCGCGLVSEARELFDLMPQRNDASYSAMVSGYVKNGFFSEGIELFRELKKNKGSAGVKPNGPLLVSVLNACTMVGAFEEGKWIHSYVEENGLEYELELGTALIDFYTKCGGVKSAEKVFDKMPFKDVATWSAMILGFAINGNNLMAMKLFEKMEKVGPKPNEITFIGVLTACNHKDLFCEALRLFGIMSEKYGIKPSIEHYGCVVDVLARSGQVIKALTFIKSMHIEPDGAIWGSLLNGCLMHGYFELGQKVGKYLIEFEPQHSGRYILLANMYANMGKWEGVSEVRKLMKDRGVVIASAWSFIEIDQTIHKFVADDKCCLNSGEIYEVLSHLGMIDEEFSGDRDALFFI >CAK8540708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16781263:16782884:-1 gene:gene-LATHSAT_LOCUS9686 transcript:rna-LATHSAT_LOCUS9686 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHFLAIPFPIQGHINPLMQFSHILAKHGCKITFLTSDENYTKMKGKLMESQINLVSLPDGVNPQDDRKDVGKVILSTRITMSCMLPKLIQDINDLDSDNKISCVIVTKNMGWALEIASKLGIKGALFWPASATSLVSFDSMERLVHEGIIDSQTGLPKKQEIQLWTNLPMMEAGAMPWYNLNSPFFFLHMMKEMQNMNLGEWWLCNTSMDLEGQALSLSPKFLPIGPLMGNEHNNIGSLWQEDETCIEWLDQHPPKSVIYVSFGSLISIGPNQFKELALGLDLLKRPFLWVVRKDKAHKNNGLEYEYPNEFKGNQGKIVGWSPQKKILGHSSIACFITHCGWNSTIESVSNGVPLLCWPFCSDQLMNKTYICDVWKVGLGFEKDEDGLITKGEIKKKVEELLEDEEIRERSLKLMEMVVKNKEEGGKNLTKFIYWAKE >CAK8533613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641644909:641655425:1 gene:gene-LATHSAT_LOCUS3228 transcript:rna-LATHSAT_LOCUS3228 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDQKKVSIVKKKKMKNVSFRSIFMHADILDWFFMVFGFFGSICDGIMIPLVLYITSKIMNTVGGFSGSTSNNFVHSINENAVAVLYVACASFVACFLEGYCWTRTGERQAARMRARYIKAVLRQEVAYFDLHVTSTSEVITSVSTDSLVIQDVLSDKVPDFLKNVSQFIGSYIVAFISSWRLTLVGFPFIILLVVPGFMYKRTLMGLARKIRQEYNQAGTIVEQTISSIRTVYSFVGETKTIAAFSDALEGSVKLGLKQGLAKGLAIGISGVNYAVWSLIAYYGSILVMYHGAKGGDVFAVGTLMGIGGTALGSSLANVRYFTEASAAGERIMEVIKRVPKIDSENMEGEILEKVSGEVELNHVKFVYPSRPDSVILNDFCLKVPSGKTVALVGGSGSGKSTVISLLQRFYDPTGGEILFDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGRENATYEDVVDAAKASNAHNFISMFPQGYDTQVGERGIQMSGGQKQRIAIARAIIKKPRILLLDEATSALDSESERVVQQALDKAVVGRTTIIIAHRLSTIQNADIIAFVQNGKIVESGSHESLIQNDSSLYTSLVRLQQTKNDQNDDTPSTINIDHMHNPSSYRLATRSSSFDSMTRGRGGDIVNDKNVVGDIVNNVVVFDNNNNNNKNNNNEDEEKRKKKEKVKVPSFRRLLAMNLPEWKQVCLGCLNAILFSAVQPVYAFASGSVASVYFLEDHDEIKKQIRIYAFCFLGLALSTMVFNVLQHYSFAYMGEYLTKRVRERVFSKILTFEVGWFDEDQNSTGAVCSRLAKEANVVRSLVGDRLSVIIQTISAVAVTFTMGLIITWRLSIVMIAVQPITIICFYIRRVLLNNMSSKAIKAQDESSKIAVEAVSNLRTITSFSSQNRILKMLEKSQQGPSHENIRQSWFAGIGLACSQSLIFCTRALNFWYGGKLVSQGYITKNAFFETTMIWISTGRVIADAASSMTNDFAKGSSAVGSVFAVLDRYTKIEPNDLEGYKVEKLIGKIELHDVHFAYPTRPNVIIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPFKGTVTIDGRDIKSYNLRSLRKHIALVSQEPTLFGVTIRDNIAYGAYDDKVDESEIIEAAKAANAHDFISSLKDGYETWCGDKGVQLSGGQKQRIAIARAIMKNPEVLLLDEATSALDSQSEKLVQDALERVMVRRTSVVVAHRLSTVQNCDLIAVLDKGSVVEKGTHSSLLAKGPSGAYYSLVSLQSRPTDTVVDFP >CAK8544097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662717889:662723910:1 gene:gene-LATHSAT_LOCUS12803 transcript:rna-LATHSAT_LOCUS12803 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEKGVNVQVLLRCRPFSDEELRTNAPQVVTCNDYSREVAVSQNIAGKHIDRVFTFDKVFGPSAQQRELYEQAVTPIVHEVLEGFNCTIFAYGQTGTGKTFTMEGECKRSKSGPNGELPPEAGVIPRAVKQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEELSKVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTCASEIYTLLERGSSKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSTLIKDLYGEIERLKSEVYATREKNGVYIPKDRYYQEEIEKKAMGDQIEQMGITIESYQKQLEDMRNKYDGQVRHCSDLSNKLDTTEKNLNKTSKLLANREDELRKCHHTLKENEFIISKHRKAENALAHQACVLRADLEKALQDNASLFLKIGREDKLNTDNRTVVNNFQAELAQQVGSLSNTVAKSLSEQNGHLQGVEKLCCSFLGIHDKAVIDLKEKVKDLRAVYVSHIEAVQNVVRLHKSGSDASLEELSSAISSHGHSIEEFLTTAVTEAGSIFDELQSCLSTQQGELTLFAREVRNRFNLSVEQIKEISERSEELVEKLFEESKKLENFVAQTDKMQTESIDEFKKVYEEQSKFESEKLIADMTSLVSDLIRRQINLVDSKLVDFRESGVKNKSFLDGHVSSVGDIATNAKRKWQSFCVQAEKEAKDTADYSAAKHCRMEVLLQQSVNTAHSALEQVKRTHEGVNDLGTKHIQATESLIRNARDSSEQHVAEVNSARAAAEEDVAKNSDDLLEQFDATSAEERESVCSVVSVVKSHANTLEMFRENHAGQAASIEHKACETFQQEYRDYEPSGTTPIRCEPEVPSKGTIDSLRTLPIETLLDEFRESNSYESSSDVKELKPSLIPRSPLTQLN >CAK8564573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:838068:842822:1 gene:gene-LATHSAT_LOCUS17868 transcript:rna-LATHSAT_LOCUS17868 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENQETKSFNDLGLSEQLVEACDKLGWKSPLKIQIEAIPLALEGKDVIGLAQTGSGKTGAFALPILHALLEAPQPNHFFACVLSPTRELAIQISEQFEALGSEIGVKCAAIVGGIDMVQQSLKLAKKPHIIVGTPGRVLDHLKNTKGFSLSKLKYLVLDEADRLLNEDFEESLNEILGMIPRERRTFLFSATMTKKVEKLQRVCLRNPVKIEASTKYSTVDTLKQQLRFLPAKHKDCYLVYILTEMAGSTSMVFTRTCDATRLLALVLRNLGLKAIPINGHMSQPKRLGALNKFKSGDCNILLCTDVASRGLDIPAVDMVINYDIPSNSKDYIHRVGRTARAGRSGVAISLVNQYELEWFLQIEKLIGKKLPEYPAQPEEVLLLEERVAEAKRLAATKMKEAGGKKRRGDDSGDDEDIDKYFGLKDRRKSSSKKFRR >CAK8567176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492671113:492673092:-1 gene:gene-LATHSAT_LOCUS20249 transcript:rna-LATHSAT_LOCUS20249-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSDWLYADDTTYGSPHWIGKGLTCVCFKPKGNCQPVCINLTPLQEERLRRLKCRMKVYFDASKLDHQDALRALWSASFPGHELSGLVSDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQNLLMKQGRKGVVFEYPFAVAGVNITFMIMQMLDLDAATKPRTFIRTVFLQMLSENEWAFDLLYCVAFVVMDKQWLETDATYMQFNDILKSTRVQLEKELMIDDVLRIEDMPSYSLLS >CAK8567175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492671113:492674193:-1 gene:gene-LATHSAT_LOCUS20249 transcript:rna-LATHSAT_LOCUS20249 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLLGGSVSSRFVISSSSSDDTTYGSPHWIGKGLTCVCFKPKGNCQPVCINLTPLQEERLRRLKCRMKVYFDASKLDHQDALRALWSASFPGHELSGLVSDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQNLLMKQGRKGVVFEYPFAVAGVNITFMIMQMLDLDAATKPRTFIRTVFLQMLSENEWAFDLLYCVAFVVMDKQWLETDATYMQFNDILKSTRVQLEKELMIDDVLRIEDMPSYSLLS >CAK8540342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553842804:553844287:1 gene:gene-LATHSAT_LOCUS9355 transcript:rna-LATHSAT_LOCUS9355 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGDTIEIINVNKPSPKPSSLKFPLSFFEATVASTVALSFIVGLLGVYLTMPDSDYSFLKRPRSLHDLQLLRDNLESYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCFFLSKVIGRPILFCLWPDKLKFFQTQVAKRRKSLLNYMLFLRLTPTLPNTFINFASPIVDVPYHIFFIGTVIGLIPAAYVTVRAGLALGELQSIGDLYDFNSIATLFLIGIVSVTPTLMGKSDS >CAK8538394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481204630:481205321:-1 gene:gene-LATHSAT_LOCUS7603 transcript:rna-LATHSAT_LOCUS7603 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNAILLIIGLLAMILLISSEVSARDLTETSSRTKKGLVDEKTFYNGIFGHGGYYGNGYPGYVGYFGGYPRNSGGYNGGYEGGYPDNYGGYNGRFGSGYPGIDGGYNDGIGGYGGEDVDGQTKDDTHN >CAK8573373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606416323:606417339:1 gene:gene-LATHSAT_LOCUS25827 transcript:rna-LATHSAT_LOCUS25827 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSGAVCASTLARNGVSVTLFESARGPGGRMSQGREKTEDGKELHFDHGAPFFSVSKPEVARLVQEWESRGLVTEWKEKFGSFDFQTLKFDNIEHEGLSKRFVGVPGMNSICKALCNESGIYLSIQDKYD >CAK8572610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160-4 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSSGDDWSSGGRRSSGDDWSSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8572616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSSGDDWSSGGRRSSGDDWSSGGRRSGGNDFLSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8572615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSSGDDWSSGGRRSSGDDWSSGGRRSGGDDWSSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8572613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160-6 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSSGDDWSSGGRRSGGNDFLSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8572614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160-7 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8572612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160-5 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSSGDDWSSGGRRSSGDDWSSGGRRSGGNDFLSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8572611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551393476:551397203:1 gene:gene-LATHSAT_LOCUS25160 transcript:rna-LATHSAT_LOCUS25160 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTIGTSSSLQLPKTPTSFSLHNTSRFNTLLRLRPRPNRKTPAVSFVISAVATPNTSILTAEEAFKGFALDDDSNDELNNLPVTVSADEVDISKLGFPSQLVTSLRRRGITNLFPIQRAVLLPALEGRDIIARARTGTGKTLAFGIPIIKGLTENGQSTMRRSGRFPKVLVLAPTRELAKQVEKEIQESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGKTLKLSDIQYLVLDEADQMLAVGFEEDVELILENIPSKRQIMLFSATMPGWVKKLSRKHLSNPLTIDLVGDSEEKLAEGIKLYAVSATVSSKRRILYGLINAYGKGGKTIVFTRTKRDADEISRSLSNSIASKALHGDISQYQREKTLNGFRQGRFSVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGAAVVMYTDAQRRTVMSFERDIGSRFEFISPPPMQDLPEFSTRQGVDTLDRDRSFPRGSRDQRSYRTGGDDWSSGGRRSSGDDWSSGGRRSSGDDWSSGGRRSGGDDWSSGGRRSGGNDFLSGGRRSGGNDFLSGGRRSSGYSSTNRSSSPNRSSSINRGSCFNCGQLGHRASECPNQQDLY >CAK8560737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38922453:38925281:-1 gene:gene-LATHSAT_LOCUS14389 transcript:rna-LATHSAT_LOCUS14389 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLPSLISPPPLISNIKMSEKNQQKNKTRKSNVNGVSKKTQTLVKTEDIEDAVSFFSKDETHKLRAVLLDWYDHNHRVLPWRTASNHSNLKEEEEEVEKRAYGVWVSEIMLQQTRVQTVIAYYNRWMLKWPTIHHLAKASLEEVNEIWAGLGYYRRARFLLEGAKKIVAEGGVIPKTASLLRKIPGIGDYTSGAIASIAFKEPVPVVDGNVIRVIARLRAVSENPKDSAIIKRFWEIAAQLVDPLRPGDFNQALMELGATLCTPLNPSCSSCPASEFCHALSIAKRDSTAAVTDYPIKGVKIKQRSDFSAVCVVELLGDEKQSSSKFVLVKRPEEGLLAGLWEFPSVLVAGETTPLARRKATDCFLKQNLKIDIKKTCDIILREDVGEFVHIFSHIRLKLYVELLVLQSKGKEDDLFKSEDDETITWKCVDSNALSSMGLTTSVRKVYNMVQKFKQKRLPSKNAPPPTKKRNRTTKKN >CAK8560668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35285077:35286507:-1 gene:gene-LATHSAT_LOCUS14326 transcript:rna-LATHSAT_LOCUS14326 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSQSAFLFSLFFAFLSIPLISSYTFEFQTQSLLLHSLPLPPTLSWPESELTDSDPDISTTLSLHHIDALSFNKTPQQLFNLRLQRDTSRVKTFTSLLSSSLNQTRPEVSRSGSSTSSPVISGFPLGSGEYFTRIGVGTPPRYVYMILDTGSDVLWLQCAPCQNCYTQTDPVFDPKKSTSYAGIPCSSPLCRRLDSRGCNPKKICQYEVSYGDGSFTVGDFSTETLTFQRTGVPGVAIGCGHDNEGLFNGSAGLFGLGRGGFSFPTQTRNRFNKKFSYCFVDRFASSKPSSVNFGDKSVPRTALFTPLIQNPKLHSFYYVELLGISIGGAPVRGVSASMFRLDKTGNGGVIIDSGTSVTRLTSPAYVALRNAFRVGTGYLKRAPEFELFDTCYDLAGFKELKVPTVVFHFRGADMALPPGNLLIPVDSNNRTFCFAFAGTANGLSIIGNIQQQGFQVVYDLAGSRVGFAPHGCA >CAK8579264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689073829:689074320:1 gene:gene-LATHSAT_LOCUS31223 transcript:rna-LATHSAT_LOCUS31223 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGLLVWEIVKMNNSFLVKEFGNNTQSVQFSREPNNLYNLNSFKYSGLANKKTVTIQPAGKDQSVLLETTKPRKQNKPSALLHKSVMKKEFRRMAKVVQNQVNF >CAK8534799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769640232:769640534:1 gene:gene-LATHSAT_LOCUS4313 transcript:rna-LATHSAT_LOCUS4313 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTQHQPSTLTSSVDAIDVATTEIHAIGFSPLGKKRKQNANGPRKSSPFWDHFIKLPNETEPVAAYKHCHQKYLCDPKSHGTPNMLSYINSMHQDATK >CAK8561331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:154374617:154374820:1 gene:gene-LATHSAT_LOCUS14932 transcript:rna-LATHSAT_LOCUS14932 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDGRLMNSDGWLDVADNTVLVKRATLLERKLCLKLLH >CAK8564348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669159886:669163384:-1 gene:gene-LATHSAT_LOCUS17663 transcript:rna-LATHSAT_LOCUS17663 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSARGQIMLSSTVKLREATIMTSRNMKVLKFCNGEMMGRKIELHVGSNGCTKNVVRKNISMSLTADVANESKLKDIYMSKRDPKTVVAVILGGGAGTRLFPLTKRRAKPAVPLGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHISRAYNSGTGITFGDGSVEVLAATQTQGEQGKRWFQGTADAVRQFHWLFEDPRSKDIEDVLILSGDHLYRMDYMDFVQDHRESGADITLSCLPMDDSRASDFGLMKIDNKGRILSFSEKPKGADLKAMQVDTTVLGLSKDEALEKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEVIPASAKEFYMKAYLFNDYWEDIGTIRSFFEANLALTEHPSKFSFYDAAKPMYTSRRNLPPSTIDNSKIVDSIISHGSFVNNAFIEHSVVGIRSRINSNVNLKDTVMLGADFYETEEEVAALLAEGRVPVGIGENTKIKDCIIDKNARIGKNVIIANSEGVQEADRSAEGFYIRSGITVVLKNSTIEDGLVI >CAK8544519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690141640:690142149:1 gene:gene-LATHSAT_LOCUS13190 transcript:rna-LATHSAT_LOCUS13190 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEDHPKTEDILVDIQQIWDRMLTSNKFKMNDVYMVICSSALKIMWKNILRRNAARPRALITMWFACHGRLATKQRLFRFGIITDDRCCLCSKDEESINHLFFCCPETVCIWTEILDSAWDEEMEWLCRSTKGKGYDVWKYRNDICYGNVIDKTKIGDNIIDMIVYRE >CAK8574454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682372188:682373480:-1 gene:gene-LATHSAT_LOCUS26798 transcript:rna-LATHSAT_LOCUS26798 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQYHFDIAAYNQNREIEENYIVNRFRERRNKISEDNAPRSRKYLNRDHAAANQRLIDDYFANEPTYDDAMFRRRYRMKKNVFLRIVGDLSSSDNYFTQRVDAANKEGISPLAKCTTAMRMLAYGVAADAVDEYIKIRGTTALECLRRFCKGIIRLYEQVYLRAPTQDDLQRILHVSEMRGFPGMIGSIDCMHWEWKNCPKAWEGQFTRGDKGTTTVILEAVASHDLWIWHAFFGCPGTLNDINVLDRSPVFDDVEQGKAPSVNFFVNQRPYNMAYYLADGIYPSYPTFVKSIRLPQSEPDKLFAKFQEGCRKDIERAFGVLQARFKIIREPARLWDIADLGIIMRSCIILHNMIVEDERDSYSQRWTDFEQSGESGSSAPQPYSTEVLPAFANHVRARSEFRGPNVHQELQADLVKHIWTEFGMFRD >CAK8572172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517018069:517018995:-1 gene:gene-LATHSAT_LOCUS24765 transcript:rna-LATHSAT_LOCUS24765 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPTILKSFLLRKAPAAVAPLPEKTRFNRELTAELDTLGGEIGLAPLLDAAIKTQKITLDSLVNISYRDYSDRGDVDKYLEDNVEFLDVCNYFVEKIENIYNYLDKLKVVVHLVDNNCSPLKPNNVATARAMELLNSSSFKIVVEKRSSNRLKKLLRQKLCHHETEMSKIVCGSKAVTLMCLRFLELGLSFDSQSEKLPMMKLSQPTSSSWLRLLQELTKEAEACVDEKKLQKKSSCMSELQQMVDAARELKEQMKMENEMKCCVVKLKKKCKELEDVVDVIDERVKELYKCLIDVRMSLLGILSQH >CAK8532360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249470688:249473282:-1 gene:gene-LATHSAT_LOCUS2075 transcript:rna-LATHSAT_LOCUS2075 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPQVITCKAAVAWGAGEALVMEEVEVNPPQPFEIRIKVVSTSLCRSDLSAWESHAIFPRVFGHEASGVVESVGLEVTEFKEGDHVLTVFIGECMSCKPCKSGKSNICQVLGLERKGLMHSDQKTRFSIKGKPVYHYCGVSSFSEYTVVHSGCAVKVSPHVPLDKICLLSCGVAAGLGAAWNVADVTKGSTVVIFGLGTVGLSVAQGAKLRGASRIIGVDSNPHKCENAISFGVTEVVDPNSYKEPIAQVIKRITDGGADFCFECVGDTDMITTALQSCCDGSGLTVTLGVPKVKPEMSAHYGLFLTGRTLKGSLFGGWKPKTDLPSLVEKYMNKEIQIDDYITHNLDFDDINKAFNLMKEGKCLRCVIHMP >CAK8534359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720294074:720295256:1 gene:gene-LATHSAT_LOCUS3909 transcript:rna-LATHSAT_LOCUS3909 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKIVVVVEDVDAARTALKWALLNIIRYGDIITLLHVYNSNSSTRSKGRSRSRGRLVRLNGFRLALSFQDICNNYPNTKVEIIVTEGDQEGTKIAATVREIGASMLVLGLHDHSFLYKFTMAQSHNNYFNCRVLAIKQSHHRDISSSSSSVAVLDSSTNFDFSQIDISRLQVPHIPSPKIPYRICPNPSAIIWRSRRTRRS >CAK8537245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:176588047:176589009:-1 gene:gene-LATHSAT_LOCUS6548 transcript:rna-LATHSAT_LOCUS6548 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSWIKKEITRSIFVLLMIHIITRAPISNAYPIFAQQGYENPREATGRIVCANFHLANKPVDIEVPQAVLPDTVFEAVVGIPYDMQVKQVLANGIKGALNVGAILILPEGFELAPPHRLSPEIKKKMGNLSFQSYRPTKKNILVIGPVPGKKYSEITFPILSPDPATKRHVYFLKYPLYIGGNRGRSKIYPDGSKSNNNVYNATATGVVNKIIRKEKGGYEITIVDASDGREVIDILPPGPELLVSEGESIELDQPLTSNPNVGGFGQGDAEIVLQDPLCVQGLLLFLASIILAQIFLVLKKKQFEKVQLFEMNF >CAK8564349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669193725:669196041:1 gene:gene-LATHSAT_LOCUS17664 transcript:rna-LATHSAT_LOCUS17664 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLNFTSSSLPFTPLSSSKPKLSTTHTFHNVAKNTIHFKPLYLSSTQNFSFSFATAKRGTECHAYEADRSDSEPLAVNFDVPVEPVAQKMKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLIMLVSWATRVADVPKVDFEFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSKFLLGESFPLQVYLSLLPIIGGCAIAAVTELNFNMIGFMGAMISNVAFVFRNIFSKKGMKGMSVSGMNYYACLSILSLLLLTPFAIAVEGPAMWAAGWQTAVSQIGPNFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSILIFRTPIQPNNALGAAIAILGTFLYSQAKQ >CAK8560313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13987495:14007375:-1 gene:gene-LATHSAT_LOCUS13997 transcript:rna-LATHSAT_LOCUS13997 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGDGGKNPYIFSTNEFVGRQTWEFDPDAGTSQERAQVEAARQHFYDNRFKVKACSDLLWRFQILKEKNFKQTIESVKIKDDEEISEEKVAITLRRAVHHLSTLQSNDGHWPALNAGPLFYFPPLVFCMYVTGHLDSIFPYEYRKEILRYIYCHQNEDGGWGLHVEGHSIMFCTVLNYVCMRILGEGPNGGKENACARARKWIHDHGGVTHVSSWGKIWLSILGIFDWCASNPMPPEFWMLPSFLLKHPARMLCYCRLVYMPMSYLYGKRFVGPITPLILMLREELLTQPYEKVNWKKTRHLCAKEDLYYPHPLIQDLIWDSLYIFMEPLLTHWPFNKLVREKALQTVMKHIHYEDENSRYITIGCVEKVLCILSCWVEDPNGDAFKKHLARLPDYLWVSEDGMTLHSFGSQTWDASLIIQALLATNLIEDIGPTLAKGHEFIKKSQVRDNPSGDFKSMYRHISKGSWTFSDRDHGWQVSDCTAEALKCCLLLSMLPPEIVGEKMEPEMLYDSVNILLSLQGKKGGLPAWEPSEAVEWLELFNPIEFLEEIVVEREYVECTSSGIQALVLFKKLYPGHRKKEVENFIANAVRFIEYKQTSDGSWYGNWGICFTYGSWFAISGLAAAGKTYNNCAAIRKAVEFLLTTQREDGGWGESHLSNPKKIYVPLEGSQSNIVQTSWAIMGLIQAGQMERDPTPLNRAVKLIINFQQEEGDWPQQEITSVFMKNCMLHFALYRDIFPTWALAEYRKRIQLASTVVAV >CAK8535092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813475467:813476189:-1 gene:gene-LATHSAT_LOCUS4571 transcript:rna-LATHSAT_LOCUS4571 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSRFHHDRENPSPMNSPKSNVSNCMSSSNSNNGIQLQTPPLTPKTIPRSDSNPYPTTFVQADTTTFKQVVQMLTGSSETTTTSTTTTTTSTTTKPNQDLPQHPTRNFNIPPIKTAPKKQGFKLYERRNSLKNSVLMLNTLMPNVLPRKQQQQYQQEILSPSLLDFPSLALSPVTPLNDDPFDKSSPSLGNSSSEEDKAIAEKGFYLHPSPITTPRDSEPQLLPLFPLSSPRVSQSSS >CAK8576258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:437965472:437966647:-1 gene:gene-LATHSAT_LOCUS28462 transcript:rna-LATHSAT_LOCUS28462-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYGPSQHVMNNRMIPRAIYPTPNALYHAYGRNQPIRRIQPTYVYVQDNYFSHYRNNINHTLLRDIVSTPGWFDTYPERNQSRQSIQTVLPPYVQDNHFIHHENNINHILVRREMIPTYRWSNTYIERNQPRLSTRDVFSHDVYVQDGHYVLHENNINQAPIRRYIVPTHGWSNINFERNQPRLSTRNLYPVDAYVQNNHSISHENNINHVPVRRDIMSTQRWFDFNPERSQSRWSIRNVLPTYANGQDNHSIRQENNINQVPVRRGIRNNTNLERNQARLNTQEVLSTDVNVQDDHFIFPETIINQAPARRDIKVTKGCYGSNFEIIRVEEGTKDSEVMTCSICLEELLVGSKAIQLSSPCLHIYHEDCILKWLDISNTCPLCRRYIQ >CAK8576257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:437965472:437966713:-1 gene:gene-LATHSAT_LOCUS28462 transcript:rna-LATHSAT_LOCUS28462 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPQFHQNRFGNRSHLYHREIMEVSYGPSQHVMNNRMIPRAIYPTPNALYHAYGRNQPIRRIQPTYVYVQDNYFSHYRNNINHTLLRDIVSTPGWFDTYPERNQSRQSIQTVLPPYVQDNHFIHHENNINHILVRREMIPTYRWSNTYIERNQPRLSTRDVFSHDVYVQDGHYVLHENNINQAPIRRYIVPTHGWSNINFERNQPRLSTRNLYPVDAYVQNNHSISHENNINHVPVRRDIMSTQRWFDFNPERSQSRWSIRNVLPTYANGQDNHSIRQENNINQVPVRRGIRNNTNLERNQARLNTQEVLSTDVNVQDDHFIFPETIINQAPARRDIKVTKGCYGSNFEIIRVEEGTKDSEVMTCSICLEELLVGSKAIQLSSPCLHIYHEDCILKWLDISNTCPLCRRYIQ >CAK8578246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614567500:614571403:1 gene:gene-LATHSAT_LOCUS30273 transcript:rna-LATHSAT_LOCUS30273 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTLVVVVVLFLVCSTLVLGEKKLDKETTLRVLLEVKKSFVQDPENVLSDWSEENTDYCSWRGVSCDLNSLVDDSVHVVGLNLSYSSLTGSISPELGRLKNLVYLDLSSNGLTGPIPLNFSNLVSLESLLLFSNQLNGSVPTEFGSLTSLRVMRLGDNALTGMIPASLGKLVNLVSLGLASCELTGSVPPELGQLSLLENLVLQENELMGPIPSELGNCSSLITFTAANNKLNGSIPSELGQLENLQTLNLGNNSLTGEIPSQLGNMSELVYLNFMGNQLEGAIPPSLAQLSNLQKLDLSMNKLSGGIPEEFGNMGQLSFMVLSGNNLNSVIPRTICSNATSLEHLMLSESGLYGEIPSELSQCLSLKQLDLSNNSLNGSIPLELYGLVELTDLLLNNNSLVGSISPFIGNFSNLQTLALYHNNLKGDLPREIGMLGKLEILYLYDNQLSGAIPMEIGNCSSLQMIDFFGNRFKGEIPFTIGRLKELNFLHLRQNELVGEIPASLGNCHKLKILSLADNRLLGAIPATFGFLHSLQQLMLYNNSLQGNLPRQLINVANLTRVNLSKNRLNGSIAALCSSQLFISFDVTDNEFDGKIPPQLGNSPSLKRLSLGSNNFSGEIPRTLGKIHDLSLLDLSGNSLTGPIPAELSLCNKLAYIDLNSNLLDGQIPSWFGKLPQMGELKLSSNNFSGPLPLSLFKCSKLLVLSLNDNSLNGSLPADIGELTYLNVLRLDRNEFSGPVPREIGKLSKLFELQLSRNSFNGEMPSEIGKLQNLQVVLDLGYNNLSGGIPPSLGTMSKLETLYLSHNQLTGEVPPQVGEMSSLEKLDLSFNNLQGKLDKKFTRWPNEVFKGNLNLCGSPLDRCDGDDTSGSKPSGLSESSVAIISVVSTLAAIALLILSVRIFCKNKQDYSREDSKVTSYVYSSSSSQAQRRPLFQLRAAGKMDFRLEDIMDATNNLNDEFMIGSGGSGKIYKAELATGETVAVKKISSKDGFLLNKSFLREVNTLGRIKHRHLVKLIGFCSNRNKGAGWNLLIYEYMENGSLWDWLHGKPGNASKVKKSLDWETRFKIAVGLAQGVEYLHHDCTPKIIHRDIKSSNILLDSKMEAHLGDFGLAKALIESDDSNTELNSCFAGSYGYMAPEYAFSLHSTEKNDVFSMGIVLMELVSGKMPTSDFFGADMDMVRWVEMHIDVHGSTCEKLIDPELKPLLPSEEFTAFQVLEIALQCTKATPQERPSSRKACDLLLHAFNNRMVDYGKKNLDNYK >CAK8535069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:810731369:810747408:-1 gene:gene-LATHSAT_LOCUS4551 transcript:rna-LATHSAT_LOCUS4551-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAGLVEATGSRFTSLELIGQGSFGDVYKGFDRELNKEVAIKVIDLEESEDDIDDIQKEVSVLSQCRCPYITEYYGSFLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIAYILRDLLHAVDYLHSEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNTDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSSCLKKVPAERLSAKELLKDRFIRNARKSTKLLERISRDRPKYQLKEDLETARNGSRGVGEASDTMKVARDFRVEENNRPSQGKTQKSSEWDFSIGESEGTGTFRSVSRPPQSRDKKTEVSYNQVTQKKALDAGYQAGYANRSAPNQSLEKDLRAPYHHEHSDNQLEDDESSGNGSGTVVIRSPKGISRPSVFRDHSSQDELSENGSGTVVIRSPKGSKPSVFRDQSSQSSSSYASFEDTSTSGTVVVRSQHDDSDSPRTPRSRLGLHDRSSNASLEDSAANLAEARAAIQGGLRKANAREKHSRGKINTDVQESKRDQMTSSTDSSRSYREYIDAQRGNVRSHHASDDEESARTKSSSASLSSLLIPSLKEAVADDPEGQIVRAVINSLVNMEGAKPRSSDVLVKQLLQRLASSKEDSLKDLKELASQLFSKGKSTEETLNAEAENRRKQHNKEPHPNSNLSPLARFLLSRWQGQTSRDLNQS >CAK8535068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:810731369:810747408:-1 gene:gene-LATHSAT_LOCUS4551 transcript:rna-LATHSAT_LOCUS4551 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAGLVEATGSRFTSLELIGQGSFGDVYKGFDRELNKEVAIKVIDLEESEDDIDDIQKEVSVLSQCRCPYITEYYGSFLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIAYILRDLLHAVDYLHSEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNTDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSSCLKKVPAERLSAKELLKDRFIRNARKSTKLLERIRDRPKYQLKEDLETARNGSRGVGEASDTMKVARDFRVEENNRPSSQGKTQKSSEWDFSIGESEGTGTFRSVSRPPQSRDKKTEVSYNQVTQKKALDAGYQAGYANRSAPNQSLEKDLRAPYHHEHSDNQLEDDESSGNGSGTVVIRSPKGISRPSVFRDHSSQDELSENGSGTVVIRSPKGSKPSVFRDQSSQSSSSYASFEDTSTSGTVVVRSQHDDSDSPRTPRSRLGLHDRSSNASLEDSAANLAEARAAIQGGLRKANAREKHSRGKINTDVQESKRDQMTSSTDSSRSYREYIDAQRGNVRSHHASDDEESARTKSSSASLSSLLIPSLKEAVADDPEGQIVRAVINSLVNMEGAKPRSSDVLVKQLLQRLASSKEDSLKDLKELASQLFSKGKSTEETLNAEAENRRKQHNKEPHPNSNLSPLARFLLSRWQGQTSRDLNQS >CAK8535070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:810731369:810747408:-1 gene:gene-LATHSAT_LOCUS4551 transcript:rna-LATHSAT_LOCUS4551-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAGLVEATGSRFTSLELIGQGSFGDVYKGFDRELNKEVAIKVIDLEESEDDIDDIQKEVSVLSQCRCPYITEYYGSFLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIAYILRDLLHAVDYLHSEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNTDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSSCLKKVPAERLSAKELLKDRFIRNARKSTKLLERISRDRPKYQLKEDLETARNGSRGVGEASDTMKVARDFRVEENNRPSSQGKTQKSSEWDFSIGESEGTGTFRSVSRPPQSRDKKTEVSYNQVTQKKALDAGYQAGYANRSAPNQSLEKDLRAPYHHEHSDNQLEDDESSGNGSGTVVIRSPKGISRPSVFRDHSSQDELSENGSGTVVIRSPKGSKPSVFRDQSSQSSSSYASFEDTSTSGTVVVRSQHDDSDSPRTPRSRLGLHDRSSNASLEDSAANLAEARAAIQGGLRKANAREKHSRGKINTDVQESKRDQMTSSTDSSRSYREYIDAQRGNVRSHHASDDEESARTKSSSASLSSLLIPSLKEAVADDPEGQIVRAVINSLVNMEGAKPRSSDVLVKQLLQRLASSKEDSLKDLKELASQLFSKGKSTEETLNAEAENRRKQHNKEPHPNSNLSPLARFLLSRWQGQTSRDLNQS >CAK8563598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619652029:619652507:1 gene:gene-LATHSAT_LOCUS16992 transcript:rna-LATHSAT_LOCUS16992 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPTIESKIFIFSSLSHSKILLVNFLSYSSFCIRVSLHAPPFPFSAGALHPTIGFALHPQFLIMIKGSSLES >CAK8538950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500146705:500150268:1 gene:gene-LATHSAT_LOCUS8095 transcript:rna-LATHSAT_LOCUS8095 gene_biotype:protein_coding transcript_biotype:protein_coding MESWFSSSTSPSDDQQKPASSSSSSSLLADWNSYATSQQEQDPSNSAISFDLESAVRSANNTVTGTFSVVSKSVRDIPGNFSSATSNVPSGKALLYFGLFLASGVFFIFIAFTLFLPIMVVMPQKFAICFTLGCGFIIGSFFALKGPKNQLSHMISKERLPFTLGFLCSMVGTIYVSMVLHSYILSVVFSVVQVLSLGYYAISYFPGGSAGMRFLTSALTSRIMTCFGR >CAK8569155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676909661:676913530:-1 gene:gene-LATHSAT_LOCUS22039 transcript:rna-LATHSAT_LOCUS22039 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVMEVETMKKQNSPAVSTPPQLQIHSPNAITFPIASPMKKAIESIFEEVGEFTKFDPRDDWLPITACRNGNAYYAAFHLLSSGIGFQALVLPLAFTTLGWIWGILSLCVAFTWQLYTLWLLIQLHESDLGVRHSRYLKLAMAAFGEKLGKILVLFPIQYLSGGTCVTLTMIGGGTMKILFQILCGDSCSLYQLKTIEWYLVFTVAAILLAQLPNLNSFAGVSLIGATTAVGYCSIIWIVSLLQGRIDNVSYESPKGESQASRIFGVLNALGIIAFAFRGHNLVLEIQGTIRSESKNPSRLAMWKGVVFAYLVIAFCLFPLAIGGYWSYGNLIPSNGGILSALQKYHGHDTSKVIIALTSLLVVINSLSSFQIYAMPILDNLEFRYISKKNKPCPRTLRIVFRCLFGCLTFFISVALPFLPSLAGLLGGIALPITLAYPCFMWILIKKPKRFSTSWYLNSTLGAVGMVLSVLVVTGAIWGMVALGIQIHFFNP >CAK8531543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:123139606:123145208:-1 gene:gene-LATHSAT_LOCUS1327 transcript:rna-LATHSAT_LOCUS1327 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSNMTERRGIPGAQFVEDVQTYLAQLGLDVNSALAFLQERLQQYKVVEMKLLAQQRELQAKIPDIEKCLDVVATLQAKKGTGEELIADFEVSEGIYSRASIEETDSVCLWLGANVMLEYSLEEATALLQKNLDNARASLEVLVADLLFLRDQTTITQVTIARVYNWDVHNRRTQQVAATAIAQE >CAK8577064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535209195:535211013:1 gene:gene-LATHSAT_LOCUS29206 transcript:rna-LATHSAT_LOCUS29206 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPLVKLGTLVLKTACKPIANRLKKEAGYHPKFRNFIISIAQTNHRFTTRIQRRIYGQATDVAIRPLNEEKAVQAAADLLGEFFVFTVAGAAVIFEVQRSSRSDARKEELRRREIQAIKTRSEELSMEIQLLAQKLEELEQLARGRGLAGVLNFRQANAGEDKKSKSN >CAK8578044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603539991:603541438:1 gene:gene-LATHSAT_LOCUS30088 transcript:rna-LATHSAT_LOCUS30088 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFSNTISPHFYAPKSPSPRFTTSRSLHLPTQSRNTNVTRRFAISCSSDSANAPKEDTTPIELRYPAFPTILDINQIRDILPHRFPFLLVDRVIEYNPGVSAVGIKNVTINDNFFPGHFPERPIMPGVLMVEALAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEVVCEGDFLMATGTSSE >CAK8572332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529927010:529927547:-1 gene:gene-LATHSAT_LOCUS24908 transcript:rna-LATHSAT_LOCUS24908 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQRSLSLLVLCFLVMGAMLVSGQSANNVRATYNNYNPQNINWDYNTASVYCATWDANQPLSWRSQYGWTAFCGPAGPTGRDSCGRCLRVTNTATGAQVTVRIVDQCSNGGLDLDVNVFNQIDTNGAGRQAGHLTVNYVFVNC >CAK8571682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461204681:461204941:-1 gene:gene-LATHSAT_LOCUS24321 transcript:rna-LATHSAT_LOCUS24321 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLRLFLITFFFVLLTITNVVPNKVEAATTRAFFVFGDSLVDNGNNNYLATTARADSPPYGIDYPTHRPTGRFSNGVNLPDLMNK >CAK8539396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511553389:511555453:1 gene:gene-LATHSAT_LOCUS8496 transcript:rna-LATHSAT_LOCUS8496 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFSSFLFYSTASSGPTLGDLPESCVASIIGYMDPPQICQLATLNRAFNAASSADFVWESKLPSNYHLILNKIFDDFPIDLGKRNIYVSLCSLNDLDRGTKKVWLDRGTGKLCLAISANKGLSITGVDDRRYWNHILTEESRFSTVAYLQHTWWFEVEGEVDFPFPAGTYSLFFRVHLGRAHKRFGRRVCNTEHVHGWDKKPVQFQLWTSDGQYVASQCFLKGTGNWTFYHAGDFTVEDGNSSTKVKFSMTQIDCTHTKGGICLDSAFIYPSEFKKSKSFLNCS >CAK8566277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399282924:399284025:-1 gene:gene-LATHSAT_LOCUS19420 transcript:rna-LATHSAT_LOCUS19420 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDLTRDSSLARAFESKHGHLQLRGNSRSSIEEPGILIFPHEVFKPYGAVHLCLESRPTDRSSYLYCLSNLKILIRGGVLRVGSDPIPAVKVLPDPAHLPLKLECLSRGGYPRNR >CAK8541137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:71140138:71151209:-1 gene:gene-LATHSAT_LOCUS10082 transcript:rna-LATHSAT_LOCUS10082 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDIAVIAKSAVEEIVAAPASAVCKKLAFDVIRSTRLTPDLWDTVCTGIRNDFHFPDPDVTAAAVSILAAIPSYRLAKLISDCNKEISDCFDSPSDNLRFSITETLGCVLARDDLVTLCENNVNLLDRVSAWWARIGANMLDRSDTVSRVAFDSVGRLFQEFGTKRMSKLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRRALMARSLILPVENFRATVFPIVYSVKAVASGGVEVIRKLSKSSTVGSAGAEVDSDAQKLVGVSDVVTHLAPFLVSSLEPALIYEVGINMLYLADVPGGKTEWASQSTIAILTLWDRQEFASARESIVRAVVTNLHLLDLNMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARVNDDLKSTTSKNIFREELVASLVESCFQLSLPLPEQKNSGMEGRVIGALAYGTGYGALNWTEPSLEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCCIYDTRGGVKRVKDGASQDQILNETRLQNLQRQLVKDLREVNTPRILARLIWAIAEHIDIEGLDPLLADDPDDPLNVIVSNIHKVLFNVDSTADTTNRVQDVQAVLISAQRLGSRHPRAGQLLSKELEEFRTNALADSVSKHQCRLILQRIKYASAHPDSRWAGVTAARGDYPFSHHKLTVQFYEASAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGVDSTSLKVPPTANTLTGSSDPCYVEGYHLADSSDGRITLHLKVLNLTELELNRVDVRVGLSGALYYMDGSSQAVRQLRNLVSQDPVLCSVTVGVSHFERCALWVQVLYYPFYGSGAVGDYEGDYAEEDPQVMRQKRSLRPELGEPVILRCQPYKIPLTELLLPHQISPVEFFRLWPSLPAIVEYTGTYTYEGSGFQATAAQQYGASPFLSGLKSLSSKPFHKVCSHIIRTVAGFQLCYAAKTWHGGFLGLMIFGASEVSRNVDLGDETTTMMCKFVVRASDASITKEIGSDLQGWLDDLTDGGVEYMPEDEVKSTAVERLRISMERIALLKAAQPRPKTPKSDDEEDEEEDKDEKKDGDEDDKKKGPSTLSKLTAEEAEHQALQAAVLQEWHMLCKDRSTEVN >CAK8543152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583195658:583199418:-1 gene:gene-LATHSAT_LOCUS11931 transcript:rna-LATHSAT_LOCUS11931 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHSSHHQRPPTTATSSSRLLLLLTVLLFTLAAFAFILQWRGGVIDPVSCWSSDQHLLSSMSISSHQQQSQTRSRSDYINLFPNSHSHSFPYFRDWELDLSPKICITTSTSADLEQTLPWIFYHKVMGVSIFFLFVEGKAASPNVSRVLESIPGVKVIYRTRELEEQQAKSRAWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWIIHLDTDELIHPAGTQGYSVRELLSDVPGDVDTVVFPNYESCVEQDDIKEPFTEVSMFKKNYDHLPMDVYFGNYIEAARGNPTYFLTYGNGKAAARVQDHLRPHGAHRWHNYMKTPNEIKLEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRDAFIIASTATEEEMLQWYREHIVWTDKALKMKLFRKGILTRIYAPMIIIQSLRESGVFSSVIAKAAQTTITKDKFLKSIESSNSTRNDRSEMISSRKIDAGGVSQAIARRILEVIDDSIPSAIPPLSSPGYDDADFHTS >CAK8572587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549848488:549850115:1 gene:gene-LATHSAT_LOCUS25140 transcript:rna-LATHSAT_LOCUS25140 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFTIFPLLLSLFFIIKYWYYYNNSSVITKNPPPSPPRLPLLGNLHQLGLFPHRTLQTLSHKYGPLMLLYFGKVPVLVVSSADAARKVMKTHDLVFSDRPQRKIFDILLYGSKDVASCAYGEFWRQIRRLSVLHLLSNKRVQSYRCVREEETSRMMEYIKEYPSSSLSPLNLSEVCSTVTNDIICRVALGKRYREGRGMKFQQVLLEFVELLGTICIDDYIPWLGWLGKVNGFYSRAEKVAKYLDEFIEEVIEDHIFRRSDGDVDVDDNEERNDLVDVLLSLQKSNAIGFPIDRTAIKALILDMFAGGTDTTYTILEWAMTELLRDETVMHKLQDEVRTVVGNKTHVSEEDLVNMNYLKAVIKETLRLHLPIPLLVPRRCMEDIKLDDYDIAAGTQVIVNAWAIARDPSTWHQPLEFKPERFMNSSIDFKGTDFELIPFGAGRRGCPGVLFAIAVSELVLANLVCQFDWKLLDGVAAENLDMSETFGLACHRKYPLFAVATKYEKK >CAK8569703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8722114:8726272:-1 gene:gene-LATHSAT_LOCUS22524 transcript:rna-LATHSAT_LOCUS22524-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSAGKAEKNKNGENEVSGVGNFNRLKSFVNRKGNCYSNSKKSNSGFSTEFKLVHDDTNLKLTRKKQVSQRGSLLGRASEKAVEVLDTLGSSLPKLSTSDGFITGMVPKRNKISMLAFEVANTITRGSILFHSLSEENIQLLKSEILQSEGVQKLVSTDTKELISFVEADKREEFNVFSREVVRFGNTCKDPQWHNLDRYFLRLDLDGLSNKQPRGEAEKTMQDLSSQAQNTAELYHELNALDRFQQDYNQKVKELESLNLPLNGECLTAFHSELKHQRKLVKSLQRRSLWSKNLEEIVEKLVYIATHIHQAIFEFLGKNAGTIAVKNRNGPQRLGEAGLALHYANIINQINVIASRPTVLPPNMRDTLYKGLPKNIKSALPSRLQNDNVTREFSIAQVKAEMDKILQWLTPFATNTTKAHQGFGWVGEWANTSNEFVEKIAGKEANLIRLHTLHYADKQKIDFHILELLVLLHHLVTFARYRHNATRPMSSTRTSSPNKGLHFQSKMLQFISLTQLSEEERRLLEEVTTRRWIPGISKSENLEGTKKKEAMVWHFSNSVGSSPAKWLFATKLDLDVMDGL >CAK8569704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8722114:8726272:-1 gene:gene-LATHSAT_LOCUS22524 transcript:rna-LATHSAT_LOCUS22524 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSAGKAEKNKNGENEVSGVGNFNRLKSFVNRKGNCYSNSKKSNSGFSTEFKLVHDDTNLKLTRKKQVSQRGSLLGRASEKAVEVLDTLGSSLPKLSTSDGFITGMVPKRNKISMLAFEVANTITRGSILFHSLSEENIQLLKSEILQSEGVQKLVSTDTKELISFVEADKREEFNVFSREVVRFGNTCKDPQWHNLDRYFLRLDLDGLSNKQPRGEAEKTMQDLSSQAQNTAELYHELNALDRFQQDYNQKVKELESLNLPLNGECLTAFHSELKHQRKLVKSLQRRSLWSKNLEEIVEKLVYIATHIHQAIFEFLGKNGTIAVKNRNGPQRLGEAGLALHYANIINQINVIASRPTVLPPNMRDTLYKGLPKNIKSALPSRLQNDNVTREFSIAQVKAEMDKILQWLTPFATNTTKAHQGFGWVGEWANTSNEFVEKIAGKEANLIRLHTLHYADKQKIDFHILELLVLLHHLVTFARYRHNATRPMSSTRTSSPNKGLHFQSKMLQFISLTQLSEEERRLLEEVTTRRWIPGISKSENLEGTKKKEAMVWHFSNSVGSSPAKWLFATKLDLDVMDGL >CAK8535422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846899934:846900868:1 gene:gene-LATHSAT_LOCUS4886 transcript:rna-LATHSAT_LOCUS4886 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKEVEESSTAPILESKRTRSNGKGKSIDVGDAPPPAVVVTTKATPLRKGGMKRGIAILDFILRLSAIGSALGAAALMGTNEQILPFFTQFLQFHAQWDDFPMFKFFVGANATAGGILVLSLPFSIVCIVRPHATGPRFLLVIIDLVMMALVVAAASAAAAVVFLAHNGSQDANWNAICQQFADFCQGSSLAVISSFVAAVFLACSIVVSSVALKRS >CAK8561434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:207607281:207626415:1 gene:gene-LATHSAT_LOCUS15027 transcript:rna-LATHSAT_LOCUS15027 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPLPLHLLRRHEHHQSLLPNLVSPKRFNYHIFSHPQSTSPNVPVLRATYPVDLSSPSSLSEDDQEVELGRLLSLLPEEMRRRVSDHPELQQLMEVVMDLGRKPLARFPSGDFVISEYPITVQDIEYATAQVGDFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSAKLLQDLVQDGASLLLIGPPGVGKTTIIREVARMLANDYKKRVMIVDTSNEIGGDGDIPHSGIGSARRMQVPNSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFSCAVEIISKTQLRIHRSLEATVDAILSGRLPNVEVRKMKSQEQEEILQKGPVIDSPLENDGEIILKDALGRADVQTRQEESPLTLPIDMLEDSWEHRLPLRLFCYGILEATVIQGIKQLKMNDADLQLTDNISEANALLALQSKLKKNSRIQAAFKSNDIPIYVTKTSSLEHVTKAMRALLSDYEDGLIVFGSFDKIKDSEKADALEEARMAIEHVVIPKGEHVDLLPRSYQVVSLQLELVRSYQLEARRIRGELDVHYLRIMPSHYGTDEVKALETFEFDGEFDDLSSSNGNANGSLNNSDRLPLLPE >CAK8574641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4660767:4661263:1 gene:gene-LATHSAT_LOCUS26968 transcript:rna-LATHSAT_LOCUS26968 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQRLVLTTAMVLSTTVLYLTFCKQKPSFQIHENSNSKDKLILRSCLCTEEKKREKRRKKKKVKFSENVMVKEEESESNEEIIEKQGKKKNRVSTNKCRNEIREERGMPANRIALYNGILRDRVHRMGSCH >CAK8577666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582328795:582329073:-1 gene:gene-LATHSAT_LOCUS29752 transcript:rna-LATHSAT_LOCUS29752 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDVPTIGSKFTWANKSGNVRRKIDRFFLFENKVKSNDVDWEPKPFRVNNGWYQHKEFQKFVEEVQS >CAK8564809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11083918:11084256:-1 gene:gene-LATHSAT_LOCUS18074 transcript:rna-LATHSAT_LOCUS18074 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLIGEKNRNLGFVGAIKKYNKADKFLKVKEEYDPKGLFSSEWTNQILGLKEGVTILKDGCALEGLCICSQDSHCAPKKNYFCKSGRIFKEARVCRRDVKTKKNDMKDEL >CAK8571542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:437305898:437308050:1 gene:gene-LATHSAT_LOCUS24193 transcript:rna-LATHSAT_LOCUS24193 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVTVHSLEGDAATDSAVTLPIPDVMRASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTRIWRKWHRKINVNQKRYAVVSAIAASAVPSLVLARGHRIESVPEFPLVVGDSAEGVEKTKEAIKLLKHIGAFPDAEKAKNSHGIRPGKGKMRNRRYISRKGPLIVYGTEGAKAVKAFRNIPGVEITNVERLNLLKLAPGGHLGRFVIWTKTAFEKLDSIYGSFDKPSEKKKGYVLPRAKMTNSDLTRIINSDEVQSVVRPVKKDVKRATLKKNPLKNLNVMLRLNPYAKTAKRMALLAEAERVKAKKEKLDKKRKNITKEEATAIKAAGKAWYNTMVSDSDYTEFDNFSKWLGVSQ >CAK8531208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89181933:89183851:1 gene:gene-LATHSAT_LOCUS1015 transcript:rna-LATHSAT_LOCUS1015 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLCKMMNRFKVFCYQVSWRRDRDTSCTYHRTDLSGIPCCHAIACIWYNKKEPEDYVSSFYRKSIVLATYSHIIMPTNGPQLWHVNVANPISPPVMRRSIGRPKKNRNKANGEPWIRNTLPRTLQTVKCKKCRSFGHNKGTYKGKRATERAIPKGGNEKSKKKGDKSGKEAGETVVDRGSQAPPPTQE >CAK8571696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462038573:462038797:1 gene:gene-LATHSAT_LOCUS24334 transcript:rna-LATHSAT_LOCUS24334 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGGRGSNNMLPHPESNIPLIGDCTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNSP >CAK8537785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:432711694:432713190:1 gene:gene-LATHSAT_LOCUS7039 transcript:rna-LATHSAT_LOCUS7039 gene_biotype:protein_coding transcript_biotype:protein_coding MENHYSSHPNSRDSSPTSREFLENDHRSSFDEPPPSNTKRVKLICSFGGKIQPRLHDGHLSYIGGDTKILAVDRNVKLSNLIGKLTAMADSDVCFKYQLPGEDLDALISVCNEDDLDYMMIEYDRMCRASPKPARLRIFLFPSPFKNHSSNASFDSTNSAMNVAAAEDSKSVGKWFVDALNSVSVPAMENSFPPPPPPEMNPDYLFGLDKPYSPSPETKQSEIPENVPDFAVKDTECESERVKETEIQVIKRMQTVSDQQQMNFNGENGRVNGCVVSSQENTETVMPLVTTPPVQAVNDEQQMNSDGENGRVNGGVDSYSQENTENEMPSVTTPPVEAVNDEQQMNYDGEYGGVNGYADCYTQENTETEMPLVTAVPVQAPPPFHSGSVQFQAPGPASVQSAFSPMIPNVVCAYSTGYPSEPIPVYLIQTRSGLYQAVRPVIGPTGQPVYFAYTQIGNEFGYNGLEVPGMVSERGYSNGSYRPAFPSQAAVAGVDSWN >CAK8571082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:284914282:284914875:1 gene:gene-LATHSAT_LOCUS23775 transcript:rna-LATHSAT_LOCUS23775 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPEGVSIKVHAKLIEVEGPRGKLVRDFKHLNLDFQLITDENGKRKLKVEAWFGSRKTSAAIRTALSHVDNLVTGVTKGYRYKMRFVYAHFPINASIANSNTTIEIRNFLGEKKVRKVDLLEGVTVVRSEKVKDELVWMEMTLNLFPDLVHLLTRNAMLRRKISVSSLMVSMLVRKGQQAMANHGQQ >CAK8565543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:265040437:265042350:1 gene:gene-LATHSAT_LOCUS18746 transcript:rna-LATHSAT_LOCUS18746 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDTIMEVREDFMLSPNGDCKPTFRTAHFLKPISNSIDEPPAFKFNPFSSSPSSVSQPMEWPLKIHFIGWRRPGTKWFRWVDQLKPKYESLWKNVGIFEAIMSTRCRINKTQDLVYGVVENWCSETNTFVFPFGEATITLEDIMLLGGYPILGDPVFTSLEDQQMKEVEEKLIIARRKLYQTKQGKARASIWMDIFIDKGSEIEHEAFLATWLSVFVFPHKVLVKSCLFPIAVQLARGNPIALAPAVLATIYKDLSLFKKTIVSLKKYLVGGVTFPLEVTVASPFYLVQIWVWERFKNLQPQPQTMMINHGEPLLFRWHKVKAPKIDNVRFTIDSAIDDFLWRPYVKYADKCGMFYPNDEILVPFKNVLVDRQMLSFVICMRVSELVGFDSIEQYLPHRVAMQFGIDQDVPSYVPRFNETKVIAWKNYYRPISDKILNFPSRFFEADVTARYARWWKQSVLGHDDFVKKIVQRKRSESSRKHRTDVGKANRSDNDIGVPPGFPPNLVDTLMFGKFCYDGSKTKSRKVDDLYADVPYQNQGESKSKSFSVRKKFSSSHDMAAHAEAKETVQEKARYDEVMVLLKEQNMKSQEELARLARQQEEMLRLMALRENRDEELRQLLTSFLRNQQPPPQSSS >CAK8539282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508286193:508288490:1 gene:gene-LATHSAT_LOCUS8392 transcript:rna-LATHSAT_LOCUS8392 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKYSSNKERVEEEYEKESEWNKSSVQLVAPTQLNGVGITITMDGGDYIPRLEKVPSQVFQRVEVDGTKSQHHRCKTMSSDIGERKPIMSRILSVQNFSGEQYVDSGWPLWLSSVASEAIKGWVPRRADTFEKLDQIGQGAYSSVHKAVDRETGKYVALKKVRFSSGDVESVRFMAREIYIMRQLNHPNILKLEGLVTSRTSTSLYLVFEYMEHDLAGLAARPGIKFTEPQIKCYMKQLLSGLEHCHKMGVLHRDIKGSNLLVDNKGNLKIGDFGLATVYEPNSKVPLTSRVVTLWYRAPELLLGATDYGTGIDMWSAGCILAELLVGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQRTKLPYATSFKPQNSYKRQIANTFNNFPPTALALVDKLLSMEPEQRGSATSALNSEFFTTDPLPCDPSALPKFPPSKEFDVKHRDKDSTRKNTEAIKERGPGTVVRETGKTKALKTPDYNGRGDISFQSKSNTKMSHLKYESQDDEESNNKCEPSRASMHNGYTTFMHSTTGPSSLCNKRLEPYKNNVSELRTQRSNVNQKAIDFSISIKKEQDMSGRESGMPKKNRIHCSGPLMATGGNIDNMLREHERLMQDVFRSVKKANP >CAK8574887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13570359:13570811:-1 gene:gene-LATHSAT_LOCUS27186 transcript:rna-LATHSAT_LOCUS27186 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARWIAKLATHCLLDALGQYPDYEVKSVLELEECEARKKELTAVCEKETLSKENLARAKDESAATSRIIGFAIAKVGRFLNCSMVDALI >CAK8562678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524775382:524777276:1 gene:gene-LATHSAT_LOCUS16155 transcript:rna-LATHSAT_LOCUS16155 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >CAK8560752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39665523:39667011:-1 gene:gene-LATHSAT_LOCUS14403 transcript:rna-LATHSAT_LOCUS14403 gene_biotype:protein_coding transcript_biotype:protein_coding MVERWKKGVLVGKKGGTCTTPSPTWRFEPPSQQNNAVSTSTVSARKLCANLWQIQHGGTTPRHRRKNNSSDQTAAQLLNVLNRIWSLEENEALNISAVKALKSELDRSRTRMKELVQEKQMNAREMEKLMKKTTMEKLVRKNKERDRIKAAVQSIHEELEDERTLRKHADSLRRKLAREVSEVKSSFSGCLRNLERERKARILLENMCGEFAKGIKSYEQEVRCLRRDSEYVEVKENGLDRLVLHISEAWLDERAQMKLAQSGSDVVERFSIVDKLGFDIEAFLHAKRCVDFRKCGYSSPEKLKEIHPMDLFPPQDAASGPQNMDQENSIGSDWFEPKEIITVEGLRKLGSGPRRDDASELHRENKGRKSSIRKEVMSKKITERSMSRNDNNTSCLVKKKSSEIKEDNIKLWKSKLIASSDFGKTESSTKLPKGVKENTLMAKLLEARLERQRIKSKGGKSTS >CAK8538999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501344677:501345858:1 gene:gene-LATHSAT_LOCUS8139 transcript:rna-LATHSAT_LOCUS8139 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQQSAFTGKTGLRQGNEFIRKAGNFGQGRITMRRTVKSAPESIWYGPDRPKYLGPFSEQIPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCTFPELLEKNGVKFGEAVWFKAGSQIFAEGGLDYLGNPNLIHAQSILAIWATQVVLMGFVEGYRVGGGPLGEGLDPLYPGGAFDPLGLADDPDSFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIQNLYDHVADPVANNAWAFATNFVPGQ >CAK8566298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:401165399:401170738:1 gene:gene-LATHSAT_LOCUS19437 transcript:rna-LATHSAT_LOCUS19437 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGLSLGLNANANASSPSHTGSSSASLSDGSFSLHLSSKSNSSLSWRLLHEKQNNVVVVKKQELEEDDDDGVVDGEKSETIDFMGRPMCLKRKIEGYHQQEEQSFSLKRVAVDSKASVSWGNQPLSVADPEIFMIMEKEKLRQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGAKYYTGNQYIDQLEFLCCERALNAFDLDSNKWGVNVQPYSCTSANFAVYTGLLNPGDRIMGLDSPSGGHLSHGFYTHGGKKVSAASIFFETLSYKVNPMTGYIDYDKLEEKAADYRPKILICGGSSYPREWDYGRFRKIADKCGAVLMCDMAHISGLVAAKEVACPFDYCDIVTSTTHKSLRGPRGGIVFYRKGAKPSKKCFVLNHGDDNSTYDFEEKINFALYPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKRNAQALATALLKRKCRLVTDGTDNHLLLWDITALGLIDRNYEKVCEACHITLNKCAIYGSISSGGVRIGTPAMTSRGCLEEDFDTIADFLLRAAQITSIIQREHGKSCKGFLKGLQNNKDIFDLRNRVETFGSQFEMPGFNI >CAK8541385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:147194312:147199355:-1 gene:gene-LATHSAT_LOCUS10310 transcript:rna-LATHSAT_LOCUS10310 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVIVDSDKGNEADRGCLEESTSIFDGIEVQEPYVGLEFDSEEAARAFYVEYARRVGFVVRVMQRRRSGIDGRTLARRLGCNKQGFSPNSKRTLGQEKRPMPSAREGCKATILFKMEKSGKWVVTRFIKDHNHPLIATANGFSTEDDKDKKIAELTMELERQDELCTAYREKLLSFINNVEEQTEEMSTKIQLTIENIRRAESEMQKSSQNRKPQDCT >CAK8542273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496549893:496551799:-1 gene:gene-LATHSAT_LOCUS11121 transcript:rna-LATHSAT_LOCUS11121 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVALAVLGPFDKPQATIPDDSEDDSSNDRTVQEVELPRRESSGRGDSVTESSHGKKKGMVLPFEPDSITFDDIVYYVVMLSEHEKHIPDLHVLISKPFHPAYVTAERIKKAKNLELLLIAGIGSDHIDLNVTAAAGLTVAEVTGSNTMSVAEDELMRILILVRNFVPGYHQSITGEWNVAGISHRAYDLEGKTVGTVSAGRIGKLLLQRLKPFNCNLLYHDRLKIEPELEKEIGAKFEEDLDIMLPKCDVVVINTPLTEKTRGLFNKNRIAKLKKGVLIVNNARGAIMDTQAVADACSSGHIGGYSRDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAHLRYAAGVKDMLKRHFKGEDFPQQNYIVKEGELASQYR >CAK8578569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638996821:638998065:-1 gene:gene-LATHSAT_LOCUS30568 transcript:rna-LATHSAT_LOCUS30568 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFIIFFLFPIFITSISLPLPPTSSPSSPSTSTLDPNELKALQSLNIPTSKNPCIQPSFHNATQCDSSKPFRHLISLTLSNCSPSISLSYNALKSLSTLQSLHFLNCPIAPIHFPPQLTNSLVSFTSINSLRKISGVWLSHLANLTDLTVSNIPIKASGPYVFLAHMKKLKTLTISNTNLTGYLPKHIHSNLTHIDFSSNNLKGNIPSSITVLDSLESLNLSSNNFKGEIPSSLGDLISLKNLSLASNSFSGSIPDSISAIPDLTHMDLSSNQLNGTIPNFISEMKNLKYLNLANNNLRGVVPFNMSFIKTLTTFKVVGNSNLCYNHSVLSSKLKLEIAPCDKHGMPMSPPPAKDSSEDDSSDSDYDDDNDDSIHKREHHHRSNKFVLGVAIALSSIVFMIVFLILCSKCCR >CAK8578873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659972082:659981225:-1 gene:gene-LATHSAT_LOCUS30855 transcript:rna-LATHSAT_LOCUS30855 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERETKEHNGGVLCGQVRVLVVGDSGVGKSSLVHLIVKGSPVARPSQTIGCTVDVKHTTYGNSGSSSSSLKGDTERDFFVELWDVSGHDRYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWAAEIAATGTFSAPLGSGGPGGLPVPFIVIGNKADIVAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSGGGLIAAAKDARYDKEAVIKFFRMLIRRRYFSDEIPTPAWSIPSPQRSFQRIDESFIEEDQSYNTGRASDPYKYNTLPPLPAQRNLTPPPTLYPQQPVSVSEGYSYPRFSLSGSSELSSSSRTKRSDINV >CAK8531597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127998568:127999268:1 gene:gene-LATHSAT_LOCUS1375 transcript:rna-LATHSAT_LOCUS1375 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFAGIVIAAISCWEVALMCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGRVWQSNPSQRTWINSMS >CAK8579771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721854401:721855620:1 gene:gene-LATHSAT_LOCUS31689 transcript:rna-LATHSAT_LOCUS31689 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIKSCSSYSALPFFQRRALPPSSLPFFQRRALPPSSSPFNVTGTFIPQCLTPKILSSKFSKNETLSIPRSTTSSSSNSTDDDTSSKTKKTPFGYSRKDVILIGLGVTFLGIGLKSGLEYVGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELEVLLQEIEEEKSRKL >CAK8538876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498630539:498634459:-1 gene:gene-LATHSAT_LOCUS8026 transcript:rna-LATHSAT_LOCUS8026 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFEGVIVSDQLLHSQFTQVELRSLKSKFVSFKNQNGKVTFGDLSSLMMKLKAFVDMYSEDEIRGILNESGNDFANDVDFEGFLKAYLNLRSGATTKQGGKKHSSSFLKDTVTTLLHTISQSEKACYVAHINSYLGDDPFLSQYLPLDPATNGLFDLSKDGILLCKLINVAVPGTIDERAINTKRNLTLWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLSLKKTPQLVELVDDSQDIEELLNLSPEKVLLKWMNFHLQRGGYEKTVKNFSSDLKNGEAYAYLLNVLAPEHCNPSTLDTKDANERANLVLEHAEKVGCKRYLNSRDITEGTSNLNLAFVAQLFHHRSGLSTDTKKMSYAEMMTEDVQTCREERCFRMWINSLGISTRVNNLFEDVRNGWILLEVLDKIFPGSVNWKQATRPPIRMPFRKVENCNQVIKVSKQLKFSLVNVAGNDIVQGNKKLILALLWQLMRFTMLQLLKNLRSHSQGKEISDTDILKWANKKVNSVGRKSHIQSFKDKSISSGLFFLELLSAVEPRVVNWNLVTKGESDDEKRLNATYIISVARKLGCSIYLLPEDIMEVNQKMILTLAASIMYWSLQQQTEDADSFPSPVSTVTDVTPEASPAPSVCGDDETYSSLNGDFSNLSVDDTTSDTTVSSQLEFDGGVVEDELF >CAK8535735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878437966:878444897:1 gene:gene-LATHSAT_LOCUS5163 transcript:rna-LATHSAT_LOCUS5163 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSAILKGREVPSRLTRARAAALSATGQLPPLKKVAEESQKNPLRANSKRAVSDDTYLPHKKRAILHDVTNIRCEESNRSCLNPTETQAKKRKLTKSAQPDVSKEVPSVAIAADSKQMKLREMRLRSSEDVMCSVKLEDNEPIRLTADPCCTNNNLLHNQTSRVSSQLSVSEKKASQTVAAKKANISELLDVSKHPDVADIDADFEDPQLCSLYAADIYDHMRVAELSRRPNPNLMETVQRDITPSMRGILVDWLVEVSEGYKLQANTLYLAVYLMDWFLSKSFIERQKLQLLGITCMLIASKYEEINAPHVEDFCFITDNTYTKEQVLQMESLVLKSLAYQLFAPTTKTFLRRFLRAAQASYKRPSIELEYLANYLAELTLMSYSFLNFLPSMIAASAVFLARWTLDQSNNPWNPTLEHYASYKASDLKDAVLALQDLQLNSNDCPLTSIRTKYTQDKLKCVATLSSPKLLETMFSR >CAK8561247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128568381:128575047:1 gene:gene-LATHSAT_LOCUS14856 transcript:rna-LATHSAT_LOCUS14856 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSHSPQLSLSPFSRSTQFNSCCYTFITHTSPTVTSRQIIHIPNNNSKTLFTLSLTKQTPSFSIMASSNNAQPMLPPYNVLITGSTKGIGFALANEFLKAGDNVLVCSRSDERVETAVKCLREEFGEQHVWGTTCDVKNGEDVKKLVSFAKEKLKYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAIKMMVGQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVMVHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPAEVVAEYLVPNIRSIPTNNSMKPTYIRFLTGLKAYSQIFSRFAFGARRNRYIIED >CAK8542502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520674521:520675807:1 gene:gene-LATHSAT_LOCUS11340 transcript:rna-LATHSAT_LOCUS11340 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSDLRSHNVSKNLSTTEIAGINNLGETSDAREDGSFEEKCNEIELENPKSPPTPEEGRKLWADVLKDNRNPAKGRTMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMRADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVMLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTTNRLRVSYARILVEMDITKELPQSITITDPAGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNKGPIEVGNVNMDIVQIRKGNASSTAAETIRNKDVETVMDKWTEVINSGRDRGKKKGNAGAAGVLSYDNGFEALEILKDLLEAQNTGQ >CAK8575272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66947409:66955240:1 gene:gene-LATHSAT_LOCUS27546 transcript:rna-LATHSAT_LOCUS27546 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNGKNSVEKLDQKQDLGLYFLELCRRKVKEELEDYDGDDEKEESPTELNTLNSSGGFVAVATDKLSVKYVSVNLHGHDVGVIQANKVAPMKVLVYYFEITVKDAGVKGQVSIGFTSENFKMRRQPGWEANSCGYHGDDGLLYRGQGKGETFGPTYTTGDIVGAGINYATQEFFFTKNGRVVGTVCKDMKGPLFPTVAVHSQNEEVQVNFGQKPFTFDLKEFEAQERMKQLVKIEDIPVVPNASYGIVRSYLLHYGYEDTLKSFDLASKSTVPPINIVQDNGIDDQETTYELIQRKTLRQLIRNGKIDAAFCKLREWYPQIAKDNESAMCFLLHCQMLIELVRAGALQEAVKYGRIELFRYFGSPVFEDLVQECVALLAYEKPSESVVGYLLKPSQREIVADTVNAMILSTNPNMKEAKNCLHSHLERLLKQLTACSLERRSLSGNQGETFQLQRVLSIGKRS >CAK8577577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575483328:575485678:1 gene:gene-LATHSAT_LOCUS29671 transcript:rna-LATHSAT_LOCUS29671 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFLSEEGIDVRKMMEHKRSICSVDQSNYNSIASKKQKPDLSITTKDRKEKIGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHKQVKLLSAPYLETTPAIQTQDTESCSLRSRGLCLVPVSFTIGVAEGNGADIWAPIKTTSPGSEKDVSQIQ >CAK8561615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:321357006:321357709:-1 gene:gene-LATHSAT_LOCUS15191 transcript:rna-LATHSAT_LOCUS15191 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKDSQESSKYVVGSTPLPKSREDNLKSSVNQDIGLEMKRIIYTRKVEQDMSPSCEEQINASLRTECFSLPATPIFRALAEEIPSPKFSESEMSFLRKTLGVESPSINATVHESPPL >CAK8561616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:321357006:321357709:-1 gene:gene-LATHSAT_LOCUS15191 transcript:rna-LATHSAT_LOCUS15191-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKDSQESSKYVVGSTPLPKSREDNLKSSVNQDIGLEMKRIIYTRKVEQADMSPSCEEQINASLRTECFSLPATPIFRALAEEIPSPKFSESEMSFLRKTLGVESPSINATVHESPPL >CAK8541995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448919364:448919861:1 gene:gene-LATHSAT_LOCUS10872 transcript:rna-LATHSAT_LOCUS10872 gene_biotype:protein_coding transcript_biotype:protein_coding MECNIPIPTLIPISNTSGSSSKTKEKKKSVKKSKGSSVKLSTDPQSVAARERRHRISDRFKILQSMVPGGSKMDTVSMLEEAIHYVKFLKTQILFHQTMINFVDDIDHHECSSPMLNTFDQHNVLGSVESGQYLPQLPLEQFCFQQGDEDVKSMFNASMNISHFN >CAK8561148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:105057982:105058647:-1 gene:gene-LATHSAT_LOCUS14761 transcript:rna-LATHSAT_LOCUS14761 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSPCAACKFLRRKCQPECAFAPYFPPDQPQKFANVHRIFGASNVTKLLNDLHPHQREDAVNSLAYEAEMRLRDPVYGCVGVISLLQHQLRQLQMDLYCAKSELSRYQNLSIAAATTGLLTGESVTAAATNYHHHTGGGNNRDNNHHYHLQHHHHQFFPRDQHQQHNLVRNFDAGIGNNYDASLLAMNISASLGQINQLQHHSAAGGGGDDRRTANRS >CAK8569210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682509259:682512447:-1 gene:gene-LATHSAT_LOCUS22090 transcript:rna-LATHSAT_LOCUS22090 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVLVAIAASIGNFLQGWDNATIAGSILYIKKDLALQTTMEGLVVAMSLIGATVITTCSGPISDWLGRRPMLIISSVLYFLGSLVMLWSPNVYVLCLARLLDGFGIGLAVTLVPVYISETAPSDIRGSLNTLPQFSGSGGMFLSYCMVFVMSLSASPSWRIMLGVLAIPSLFFFLLTLFFLPESPRWLVSKGKMLEAKKVLQRLRGQEDVSGEMALLVEGLGIGGDASIEEYIIGPDDDVVDGHEQTTEKDKIRLYGSQAGLSWLAKPVARQGSVGLVSRHGSLAMDPLVTLFGSVHEKLPESGSMRSALFPTFGSMFSTAEPHIKNENWDEESLQREGEDYMSDGAAGGSDDDLHSPLISRQTTSLEKDMPPPLSHDSMLNSMRRNSSLMQGSGEPVGSTGIGGGWQLAWKWSGKGEDGKKQGEFKRIYLHGEGVSGSRRGSMVSISGEGDFVQAAALVSQPALYSKELMGEQPVGPAMVHPSKTASKGPIWEALLEPGVKHALFVGIGIQLLQQFSGINGVLYYTPQILEEAGVAVLLSDLGLSSTSSSFLISAVTTLLMLPSIGLAMRLMDVTGRRQLLLVTIPVLIVSLIILVLGSVINFGSVVHAAISTVCVVVYFCFFVMGYGPIPNILCAEIFPTRVRGLCIAICALVFWIGDIIVTYSLPVMLSSLGLAGVFGVYAVVCCISWVFVYLKVPETKGMPLEVISEFFSVGTKKAEAAKNE >CAK8578053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604082923:604085673:1 gene:gene-LATHSAT_LOCUS30097 transcript:rna-LATHSAT_LOCUS30097 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMSNGKMKVSKLRTLNENFSPLQIELDEKRGRYLQSATMSPGFQHYTEKFDAGSPLAQYFYAGSPISSKSGEAFNSPLFGSSKYRSANSSFGSRGRLSLSPLSSIENFELKPPQKSPPMYRTPVKVEEEVIVMDDIQVRPMFGGKGGRSSSSSSSRGSSSSSSSTKSLFKTDICRAWEESGNCRFNSKCQFAHVREELHPGRFSMKNKSEAQMGKISIRQGPYIYDPNTHIVQEHHEVAEPERVVTIPMISQPPSPEHHRMHTNSNTISDWSPLDDGIECFLPNCSDRVPSKEEVDAHIFGILNQPTTKRRLPVFAALC >CAK8579609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712533611:712534373:1 gene:gene-LATHSAT_LOCUS31541 transcript:rna-LATHSAT_LOCUS31541 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPVPVEGKLTNASNGSPKPLVEWSTGLFDCCSDPGNCCKTLWCPCVTFGQLAEISDQGTTSCCGSCSLYMLFCCMCGHGGLYSCFYRSEIRQQYNLKGNDCLDFWIHCCCEACALSQEYRELENHGFNLLIGWHGNVEQQTGGIAMATTTAPTVESSMYR >CAK8536204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916810044:916811372:1 gene:gene-LATHSAT_LOCUS5595 transcript:rna-LATHSAT_LOCUS5595 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTKMAINASTPRSQQQRTNQTPKTTSSSSSSKTLSKTSSSNPTKPKTPSFSGNPSTSYATTSGSTGFRLSSDTSISTPTSLTKLRNSLPENPNIYDFSEICSATNNFLSKRYSSSTPCWHCTLRGADVIIFQRKFQRKLQTTQLRELLSVVCRSHHVSIIKLLGVSISGEHIYLVYEFVNGANLSDCLRNTRNVHFTVLSTWISRMQVATDLAHGLDYIHSKSGLNFNFVHNHIKSSAIIVTEPDFNARVCHFGAAQLCGEAVEENEIATKQLGENEITEEPRSKDFRSSNVQFEGVRGYMSPEFQSTGVATQKSDVFAFGVVILELLSGEEPLKFKFDEKSRDFVRKSVIESARAAVDGGDGSVEGKLRMWVDRRLKDSFPVDVAEKLTRVALDCVHVDPDQRPNMGRVAGKISTLYLKSKNWSDNMKLPDISFSLGPR >CAK8563700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625735667:625736035:-1 gene:gene-LATHSAT_LOCUS17081 transcript:rna-LATHSAT_LOCUS17081 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERQHGGGGGAPHGILLAVVVAIVVIVPFLLGDQGEAVTEAISELLSPLGLLLLPIILLLTIQFLSSERGSFISAIFSTGEPDTIHRVSGSPFGVALFLVIILVLLYNRFSIFGGGDSDD >CAK8560105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7651590:7654449:1 gene:gene-LATHSAT_LOCUS13817 transcript:rna-LATHSAT_LOCUS13817 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTCSSVCLVLILQFYLSIVVTNSAPESAIVTQIPGFNGTIPSKHYAGYVTVDESHGRNLYYYFVESEGKPSQDPVVLWLNGGPGCSSFDGFIYEHGPFNFEAAKSKGSLPTLHLNPYSWTKVSNIIYLDSPAGVGFSYSKNETDYVTGDIKTASDSHAFLLKWFELYPEFLSNPFFIAGESYAGVYVPTLAYEVMKGIDADVKPKLNFKGYIVGNGVTDELIDGNALVPFAHGMGLIPDELFEEANRECNGNFYNSVSDNCTNKLAKIDEDIAGLNVYNILEPCYHGTETDKIITTYVKLPSSFRKLGETEKPHPVRKRMFGRAWPLRAPVRDGIVPTWPQLTNSNNVPCTDGTVANAWLNNEEVRKAIHTAEKSVVSSWDLCTDKISFYHDAGSMIKYHKNLTSKGYRALIFSGDHDMCVPFTGSQAWTRSIGYKIVDEWRPWLSNGQVAGFTQGYDNNLTFLTIKGAGHTVPEYKPQEALDFYKRFLTGLPI >CAK8532160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214034594:214045481:1 gene:gene-LATHSAT_LOCUS1891 transcript:rna-LATHSAT_LOCUS1891 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNVGILCVCVVLFMAIEAMGVITRVAPMDQISENKNVFKKIRPRSQTRSRPIDYTFKLPIATTSWPEGNGFAGGILDLGGLQVSQASTFSKVWGAYDGGPENQGASVYEPTSIPKGFSMLGSYSQPNNKPLFGYVFVAKDVSSSTSNGTLKPPIEYTLLWNTSSLSANQNSTLYIWLPVAPDGYKALGHLVTTTPYKPSFDKIMCVRSDLTVQCETSSWIWGSNDINFYDVRPSNRGTQASGVHAGTFVAQNGGNTNPPSISCLKNLNSISKIMPNEKQIEAILQVYSPLLYLHSNEEYFPSSVNWLFSNGALLYKKGDESNPIPIQQNGTNLPHDPNYDDAYWIDLPVDDANKEKVKHGNLESAKSYVHVKPMFGGTFTDIVMWIYYPFNGPARAKVHIINIKLGKIGEHVGDWEHVTLRVSNLDGKLWKMYFSQHSGGSWVDSSQIEFQNDTTKRPIVYASFHGHALYPHEGLVLLGKNGIGVRDDTNKGSNVMDMGKFVLVSADYLESVKEPAWLNFYRKWGPKIDYNLDDQLKNLKKVLIIGKLKDAFEKMIKTLPNEMLGEEGPRGPKSKVSWNGDEV >CAK8564727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7673466:7676142:1 gene:gene-LATHSAT_LOCUS17999 transcript:rna-LATHSAT_LOCUS17999 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTSLLFLLLIITCLLVVENVECKPNYREALAKSLLFFQGQRSGKLPPDQQIKWRSNSGLSDGLQDNVDLSGGYYDAGDNVKFNFPMAFTTTMLSWSTIEYGKRMGPQMKEARAAIRYATDYFLKCATATPGRLYVGVGDPNIDHKCWERPEDMDTARTVYYVSSKNPGSDVAAETAAALAAASIVFRKVDPSYSKLLLRTSQKVYQFALQYQGSYSNSLGSAACPFYCSYSGFKDELLWGAAWLFRATNAVYYYKLVKSLGADDQPNIFSWDNKYAGAHVLLSKRALLNRDKNFDQYRQEADNFMCKILPNSPSSTTEYTQGGLIFKLPESNLQYVTAITFLLTTYSKYMSATKHTFTCGNVFVTPNTLRSIAKRQVDYILGENPLRMSYMVGYGPYFPKRIHHRGSSLPSLSVHPQTIGCDGGFNPFFHSMSPNPNILVGAIVGGPNQNDGFPDDRGDYSHSEPATYINGAIVGPLAYFSGNK >CAK8543581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623199666:623201294:1 gene:gene-LATHSAT_LOCUS12329 transcript:rna-LATHSAT_LOCUS12329 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQIQVLKALDAAKTQWYHFTAIIIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVEGAEKPGTLPPNVSAAVNGVAFVGTLLGQLFFGWLGDKLGRKKVYGVTLLLMVVCSVGSGLSFGHTPKSVITTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGLFAIIVSAAFKANYDSPSYEVDPLRSTVPQADYIWRIIVMVGAIPAGLTFYSRTKMPETARYTALVAKNTAQAAADMSKVLQVDIEADPVKEEDASKVKPFGLFSKEFLRRHGIHLFATASTWFLLDIAFYSQNLFQKDIFTSVGWIPPAKTMNAIEEVYKIARAQMLIALCSTVPGYWFTVALIDRIGRYTIQLMGFFFMTVFMFILAIPYEHWTHKENRLGFVVMYSLTFFFANFGPNATTFVVSAEIFPARFRSTCHGISSAAGKLGAIVGAFGFLYLAQNKDKNKTDAGYPAGIGVKNALIVLGFVNMLGFLCTLLIPEAKGKSLEEMSGENEEEEVESQDVEKSHSNNNSTVPHNLV >CAK8575779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322625694:322627668:-1 gene:gene-LATHSAT_LOCUS28014 transcript:rna-LATHSAT_LOCUS28014 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSFHKLVLPSTLQAKQLRLPDDFVRKYGGDISPIVTLTVPDGSVWRVGLKKEDNKFWFLNGWHEFVQNYSVGVGYLLLFRYEGKSSFNVNIFCLATSEINYQSPAQSRNEGSLVAKCLKIFEEMEDEDSIGILNSSSPTNLTPSPFQNKNVSGAADKFMPGKSRSPPALQNLFNGSKLNSINWGESRNTPSRSSNSIDSHLTRDIGLQFNVVEFKKSTEEVKLRAATDEKVKKTATKKRKSDVQEPPSEHEDEVEMRNRFYESASARKRTATAEEREKAINAAKAFEPSNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGIIKLQISDGRQWPVRCLYKSGRAKLSQGWFEFSLENNLGEGDVCVFELVETKDVVLQVTLFRITEDEGLLSSPSLQQNQHVSPAKLLLNSPFQNQLASTKLIRN >CAK8576920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524538685:524539072:1 gene:gene-LATHSAT_LOCUS29076 transcript:rna-LATHSAT_LOCUS29076 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIENRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVER >CAK8530758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47516152:47517174:-1 gene:gene-LATHSAT_LOCUS601 transcript:rna-LATHSAT_LOCUS601 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVIDNGFRSRKKSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAARAYDTAARALRGANARTNFELPESATNGGDAKRGSGSGSGSKFVLENTEPFSFEDVSESGSGSEGLLGALKAKLCDGKEGKFSFSFPSASIVSKSTQNSSWKKESSLSTSSATYCLSQMNETHANPIPNSLSTSSNTFGKSLIIPNHDHEISQTQTPSMTNMLWSNEIENEYPWPIQMNNVLHDSSTTNLLASASASNFPWPLIDSNIDMTCIDQGILNSKGNYQTNMMNMQLPQVGGSNTEGFWTMDQQEQQFVQCEENNSWFGSGGSWNPFIYVPSELA >CAK8577371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557821697:557824381:-1 gene:gene-LATHSAT_LOCUS29485 transcript:rna-LATHSAT_LOCUS29485 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRLLRRSLRSSTVPPISITVRSFAFSSAEEAAAERRRRKRRLRIEPPLNAIRPPPHQHQSRDPNAPRLPDSTSALVGPRLNLHNRVQSLIRAGDLDAASGVARHSVFSSTRPTVFTCNAIIAAMYRAKRYSEAIALFHFFFNQSNLVPNIVSYNNLINTHCDEGRVDVALGIYRHIIAEAPFSPSPVTFRHLTKGLISVGRIDEAVDLLREMLSKGHGADSLVYNNMILGFLELGNLDKANELFDELKERCLVYDGVVNATYMDWFFNQGRDKEAMDSYKSLMDRQFRMTPATCNVLLEVLLKHGKQKEAWELFDQMLDNHTPPNFQAVNSDTFNVMVNECFKLGKVGEAVATFRKVGTKPNSKPFIMDVAGYRNIISRYCEIGMLSEAETLFQELCSKSLSPDVPTHTTLIDGYLKVDRIDEALGIFNKMVDSGLRVVATFGNRVFDKLIKNGKAVDCAQILSKMGEKDPKPDPTCYEVVIKGLCNEGLLDKSRELLDEVMRYGVGVTSALREFVTDVFKNDGRGEEIERLLDMNRAGYNPRPRPAYRPPPGRSPSQMSVTHNPTYGLPQQRPPLPSAQMAGVHNPVSEFPSQMAAPQRYQTQPSQVAGTHNQPSGYPTQMATQNSPPRFDTRTAGACNPPSEFPSQMAAPQRYQTPPSQVAGTHNQSSGLSAQMQVQNSPPNFDNQMAGAHNHHFSSGYQPQNSGQQHPQLPSQMTRQQLPPLPPQMGGMHRHPQWGASPPSNGLRMPAAGPSPNSTGQSNHPQYQYPPHMQRVSPQTAPNPYTPYEPSHKMAGHQPYGSPSGPQQRVEQPYPPSRFATPTSGQHYPLSGPTPPMSGSSLPSANASGQYYAAPGTSSQITGSNHPSSGAPPHIEEIHQQQLEVPEQVAV >CAK8571241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:351087596:351114304:-1 gene:gene-LATHSAT_LOCUS23919 transcript:rna-LATHSAT_LOCUS23919 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPAAVIVPLGLLFFASGLIVNLIQATCFVIVRPVSKNFYRRINRFVAELLWLELVWIVDWWAGVKIQVFTDPETFRLMGKEHALVISNHKSDIDWLVGWIIAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERNWAKDESTLKSGLRELRDFPLPFWLALFVEGTRFTQAKLSAAQEYAISTGLPVPRNVLIPRTKGFVSAVSHMRSFVPAVYDVTVAIPKSSPSPTMLRLFQGQPSVVHVHVKRHLMKDLPEADEDVAQWCRDIFVAKDDLLDKHIADDKFSNHEPQDLGRPIKSLLVYITWVIVVVAGTVKLLQWSSLLSSWKGVAFTVFSLAIVTALMQIMIMFTQSERSNPVKVSPSKPKSTEGLQGSDEKQE >CAK8567314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503052132:503052710:-1 gene:gene-LATHSAT_LOCUS20378 transcript:rna-LATHSAT_LOCUS20378 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHIVAYANFNCEIKIVQARYVEFIKSTKNLFARLYVPTGNNKRIQLNSKTVWDKSFNLDHSCSQEFLENLNHQSLVLELRQKKMWGSQLIGKCEIPWKVILQSQNMELKKWLKMDLVSESDCKEVMLTTPEVEVEIKIMVSSIDEMEKQSKKRYHNWNECGCKNGHDHNTWCNAEDCDMFAFGAVLEAF >CAK8532480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269401623:269402321:1 gene:gene-LATHSAT_LOCUS2182 transcript:rna-LATHSAT_LOCUS2182 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSLLERPDVVSWNIVISACARSNNYYEVFELFKRMLSTHIRPDKYSFMTVLCVCTKLCSFDLGSSLHGLIVKTNSCDSFLGNVLVDMYGKCGNIENSVKVFEEITDRNVITWTALISALGLNGCARGAVKIFHNMILMGFKPDALALRAVLSSCRYGGLVSEGMEFFKQIGTIYGIQPEHNHYLCIVDLLAKNGQIKEAEEVMASMPFPPNAHIWRSFLEGYKKQEIAV >CAK8532481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269401773:269402321:1 gene:gene-LATHSAT_LOCUS2182 transcript:rna-LATHSAT_LOCUS2182-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLCVCTKLCSFDLGSSLHGLIVKTNSCDSFLGNVLVDMYGKCGNIENSVKVFEEITDRNVITWTALISALGLNGCARGAVKIFHNMILMGFKPDALALRAVLSSCRYGGLVSEGMEFFKQIGTIYGIQPEHNHYLCIVDLLAKNGQIKEAEEVMASMPFPPNAHIWRSFLEGYKKQEIAV >CAK8577314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555053001:555055931:-1 gene:gene-LATHSAT_LOCUS29433 transcript:rna-LATHSAT_LOCUS29433 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVASTPTTQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVLSRPEEDGTMTSVTTTAEIDKKIQSLDYTSFRVEVLSADAQPSLNNGVMVVVTGCLTGTDNVKRKFAQSFFLAPQDKGFYVLNDVFRYVDEYKSVDIESVPANDADESAPPSEALTPEPEAVHVPEEIPPSQTVIADTDTIISKEVSLPLENGKLPVPETVIPVNHVKEPIHQELPPITEKVATNTQEDTPKKSFASIVNALKDNSAPFHLRASPAKPAVQPPRVHSSVPALEVQAPPNADIPLEKNNENAGKAHAIFVANLPMNATVEQLDRVFKKFGPIKRDGIQVRSNKGSCFGFVEFESAASLQSALEASPPVMLDNRRLSIEERRANNDRVRYSSGRSGYRNDRNDGFRGRGNFGGGRGGGGSFNGRNDFEKRGDFSGRARGGNNNGRINGDAVPRSYQNGGKAPRQQAPVKVQ >CAK8577315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555053001:555055931:-1 gene:gene-LATHSAT_LOCUS29433 transcript:rna-LATHSAT_LOCUS29433-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVASTPTTQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVLSRPEEDGTMTSVTTTAEIDKKIQSLDYTSFRVEVLSADAQPSLNNGVMVVVTGCLTGTDNVKRKFAQSFFLAPQDKGFYVLNDVFRYVDEYKSVDIESVPANDADESAPPSEALTPEPEAVHVPEEIPPSQTVIADTDTIISKEVSLPLENGKLPVPETVIPVNHVKEPIHQELPPITEKVATNTQEDTPKKSFASIVNALKDNSAPFHLRASPAKPAVQPPRVHSSVPALEVQAPPNADIPLEKNNENAGKAHAIFVANLPMNATVEQLDRVFKKFGPIKRDGIQVRSNKQQGSCFGFVEFESAASLQSALEASPPVMLDNRRLSIEERRGKSGYRNDRNDGFRGRGNFGGGRGGGGSFNGRNDFEKRGDFSGRARGGNNNGRINGDAVPRSYQNGGKAPRQQAPVKVQ >CAK8577317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555053001:555055931:-1 gene:gene-LATHSAT_LOCUS29433 transcript:rna-LATHSAT_LOCUS29433-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVASTPTTQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVLSRPEEDGTMTSVTTTAEIDKKIQSLDYTSFRVEVLSADAQPSLNNGVMVVVTGCLTGTDNVKRKFAQSFFLAPQDKGFYVLNDVFRYVDEYKSVDIESVPANDADESAPPSEALTPEPEAVHVPEEIPPSQTVIADTDTIISKEVSLPLENGKLPVPETVIPVNHVKEPIHQELPPITEKVATNTQEDTPKKSFASIVNALKDNSAPFHLRASPAKPAVQPPRVHSSVPALEVQAPPNADIPLEKNNENAGKAHAIFVANLPMNATVEQLDRVFKKFGPIKRDGIQVRSNKGSCFGFVEFESAASLQSALEASPPVMLDNRRLSIEERRGKSGYRNDRNDGFRGRGNFGGGRGGGGSFNGRNDFEKRGDFSGRARGGNNNGRINGDAVPRSYQNGGKAPRQQAPVKVQ >CAK8577316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555053001:555055931:-1 gene:gene-LATHSAT_LOCUS29433 transcript:rna-LATHSAT_LOCUS29433-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVASTPTTQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVLSRPEEDGTMTSVTTTAEIDKKIQSLDYTSFRVEVLSADAQPSLNNGVMVVVTGCLTGTDNVKRKFAQSFFLAPQDKGFYVLNDVFRYVDEYKSVDIESVPANDADESAPPSEALTPEPEAVHVPEEIPPSQTVIADTDTIISKEVSLPLENGKLPVPETVIPVNHVKEPIHQELPPITEKVATNTQEDTPKKSFASIVNALKDNSAPFHLRASPAKPAVQPPRVHSSVPALEVQAPPNADIPLEKNNENAGKAHAIFVANLPMNATVEQLDRVFKKFGPIKRDGIQVRSNKQQGSCFGFVEFESAASLQSALEASPPVMLDNRRLSIEERRANNDRVRYSSGRSGYRNDRNDGFRGRGNFGGGRGGGGSFNGRNDFEKRGDFSGRARGGNNNGRINGDAVPRSYQNGGKAPRQQAPVKVQ >CAK8534952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795264508:795266112:-1 gene:gene-LATHSAT_LOCUS4445 transcript:rna-LATHSAT_LOCUS4445 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRYAVSVSIPNSRPYSHCLQHFHDHVDVVSMFNRMLHKNPTPSAIEFGKILGSLVKAKHYLTVVSLSHQMELSRVTPDCVIHNILMNSLSQLGHITFAFSVFGKILKRGYLPNVITFTTLIKGFCLKGEIHTALRFHDKVVAQGFRLNHVSYGTLVNGLCKAGQTAAALQLLRRVDGKLVRPNVVMYTTIIDGMCKDKLVNDACDLYSEMVAKRIVPNVFTYNALIYGFCVVGKLKEAIGLFNKMMLENISPGVYTFNILVDAFCKEGNMKEAQNVLGMMIEKDIKLDVVTYNSLMDGYCLVNQVNKAKDMFKTMIQRGVTPNVWSYSIIINGLCKIKMVDEAISLFKEMHCRKIIPNTITYSSLIDGLCKLGRISYALELVDEMCDRGQLPDIITYSSILDALCNHHQVDKALALLTKFKDEGIQPNMYTYTILIKGLCKSGRLVDARNVFEDLLLKGYDINVYTYTAMIKGFCDKGLFDEAMAWLSKMKDSGCLPNAITYDIVISSLFENNENDKAEKLLCEMIARGLL >CAK8564490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678086558:678086923:1 gene:gene-LATHSAT_LOCUS17791 transcript:rna-LATHSAT_LOCUS17791 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGETFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPIFFTTMRSSIFKRKYLTTAMLIKCLIVTNNLASTISRFICYSAKLNMRLVKQRI >CAK8568567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618130758:618133773:-1 gene:gene-LATHSAT_LOCUS21512 transcript:rna-LATHSAT_LOCUS21512 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTDLFPIGMRVLAVDDDPTCLKVLEGLLRRCQYHVTTTSQAITALTMLRENKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSAYGDTKLVMKGISHGACDYLLKPVRLEELKNIWQHVIRKKKSDSKGKNKMSKPDNTTSDSGSGLRSAGTENSDENGKLNKKRKDQDEDEDEDKENGNDNEDPSAQKKPRVVWSVELHRKFVAAVNHLGIDKAVPKKILDMMNVENITRENVASHLQKYRLYLKRISCVENQQASMAAALGSADQSYLRMGGSGHFHNNAFRSFSPSGIISNLNTPASLNGHGFSPSGLLQLGQSQNLNNSSNDQFKFQSAITPVNQNILQGMPMSIGYDHLQNNKGIISVQNLNTDVKPSFPIPSQFPDQRPRVINSSFHTPSLGISNNALMSETHPEGKRGIGIGYESSSSSSLASQHSEFSFNMLDQGRRSDNWSNAGQLSGIQTNSFPSSECFRQTAIPPSDNMASLPLQGVYPGGQTHGSLADIHSQGGIFSNPPEYINSNLPFQGWEDHNQDAPYHSNVTCGTINSPPPVNSAVVPLGQTTTNSTLHRSMDTKFCDSIQMKHAGFTECSSSRQPRANIISQQQFSNNLGSLEYLASSMMEQEQDKMKLMGGDFICDNYSGGVSL >CAK8561328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:151701318:151723570:-1 gene:gene-LATHSAT_LOCUS14930 transcript:rna-LATHSAT_LOCUS14930-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAQHPPKTEVEHYHGEVLYDTNDFLVKNRDTLSSNAIQLLSSCNCELLKLFSKVINQSEEHGNSTFHVGALYSQKRGVGTKFKDLSFKLMHQLESTTPHFIRCVKPNTKNLPGIYDKELVLQQLRCCRVLEAVKISKAGFPTRVNHQEFSRRYKFLLSETDIPQDPLSVSVAVLQKFNIPSEMYQVGHTKLYLRAGQIGALEDKRKQFLRGILGIQKCFRGHQARSYFRKLRNAVTTLQSFMHGDITRRKHGVLMKSSITIYSKKLEEIHAIIVLQSVIRGWLVRRDPSYINRLKKYHENEKPKRNSCVQMSEVEQDLSKELLQNMPSALAELQNRVYKAEAIVEQKEAENTELREQLKQSERKRDEYETKMKSMEEAWQKQMASLQSSLVAARKSLASGNGTVQPVRHDLVLPRHYDSEDASSMGFRTTSGSTPMKLSGSFSVSDAGKQANGTLTTVSNLMKEFEQQRQTFNDEVKALNEIKPGQSANTNSADELRKLKQRFEGWKKQYKVRLQETKARLSESEKSRRTWWGKLSSRA >CAK8561329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:151701318:151723570:-1 gene:gene-LATHSAT_LOCUS14930 transcript:rna-LATHSAT_LOCUS14930 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAQHPPKTEVEHYHGEVLYDTNDFLVKNRDTLSSNAIQLLSSCNCELLKLFSKVINQSEEHGNSTFHVGALYSQKRGVGTKFKDLSFKLMHQLESTTPHFIRCVKPNTKNLPGIYDKELVLQQLRCCRVLEAVKISKAGFPTRVNHQEFSRRYKFLLSETDIPQDPLSVSVAVLQKFNIPSEMYQVGHTKLYLRAGQIGALEDKRKQFLRGILGIQKCFRGHQARSYFRKLRNAVTTLQSFMHGDITRRKHGVLMKSSITIYSKKLEEIHAIIVLQSVIRGWLVRRDPSYINRLKKYHENEKPKRNSCVQMSEVEDLSKELLQNMPSALAELQNRVYKAEAIVEQKEAENTELREQLKQSERKRDEYETKMKSMEEAWQKQMASLQSSLVAARKSLASGNGTVQPVRHDLVLPRHYDSEDASSMGFRTTSGSTPMKLSGSFSVSDAGKQANGTLTTVSNLMKEFEQQRQTFNDEVKALNEIKPGQSANTNSADELRKLKQRFEGWKKQYKVRLQETKARLSESEKSRRTWWGKLSSRA >CAK8544354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679477468:679477944:-1 gene:gene-LATHSAT_LOCUS13041 transcript:rna-LATHSAT_LOCUS13041 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSIIFLSILIFSTTSNASNQNENLSVYEILEQYDFPVGILPQGATSYELNKNTGKFSVYFEGTCIFGIKSYDLKYKSTIKGFISKGKLSKLKGISVKVELIWLKIVEVTRHGDDLEFSVGIVSAEFSVDNFLEIPRCGCGFDCHGLKSDGNFSSI >CAK8539740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523760485:523763041:1 gene:gene-LATHSAT_LOCUS8810 transcript:rna-LATHSAT_LOCUS8810 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQDTQSHLYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTIRNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8576610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497622084:497623373:1 gene:gene-LATHSAT_LOCUS28784 transcript:rna-LATHSAT_LOCUS28784 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATASCHLLPVLRSQPPLPSPSSSSSFISFLKPFFLPSSNITLRKLHLHAPIPKTLPLSFLSTPSFSSRAFSYTSPLSAVIGVSSPKSTEDVSEEEEEEEYDEESGFTERDNVEDTEVVDSTSSPLVGKREARLKLEVPKLSVKERKELASYAHSLGKKLNTQLVGKSGVTPNLVTAFSDNLEANELLKIKIHGSCPGELDDVMKQLQEATGSVIVDQIGRTLILYRPSLSKLKVEEKRKQVQKLFQEKQHKRRLLINRSNKQAPKSSKRGSPWKARSSSS >CAK8537886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444704496:444704894:-1 gene:gene-LATHSAT_LOCUS7136 transcript:rna-LATHSAT_LOCUS7136 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDDCWECVFKFLNNHEDDKYNCYLNSLSLVSKQFLSITNRLRFSAAVGSKILSFIHLLFQRFPNITSLKLNRSFYRDPNAFNGDRNTFLHQISSFSLKLKSLDLSNQKSFLQMGCELSLEMLLL >CAK8570162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26978761:26982606:1 gene:gene-LATHSAT_LOCUS22939 transcript:rna-LATHSAT_LOCUS22939 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNRRMLERERYQIEQILELDLEELQVEEVDEFHDSSDDDNNNNDHRDLTIGYGSAGVVPGEFTYNTCIASLHTYLGDVEDTHHRTAFLDGGTVLNLPLFYLQGVVLFPGATLPLRVIESNFVAAVEKSLSRVDVPYTIGVIRVHSDTENRRMKSASIGTTAEIRQYGRLEDGSLNLVTRGQQRFRLRRCWIDVEGVPYGEVQIIEEDIPSRTPRDAFGKLTPLSNLPCNRAASSVLSSKYSVHGQGSKNEESDTEDSFENELSPAARRIHRSLIRSNYDEYDESASSSDDKLTDESDQEIRSNLDDPDTLMLADHEKDAENMDSRVGSCSSSGKQSSIREGLNWCSKNKDPYSSHRISRAFLPGWVYRMFDSYCLHKGLQVALPCSF >CAK8532165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214279694:214280617:-1 gene:gene-LATHSAT_LOCUS1896 transcript:rna-LATHSAT_LOCUS1896 gene_biotype:protein_coding transcript_biotype:protein_coding MARIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDSDSGTQLSDGSYRNNHKLNSRGGLVERIAARIGFNAPRLNTEGIRSTELSLNSEIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKPKLNGFNDMYASSFTFKPTPDTGPSFYHGAGRNINQTTLPQQTLHGFEASVQSQRVDATENKSSLHLKAEFSDSPPQKTILHQWKIKQKKRENKESTETQLLLVLVALRQKMDIIGENTVKSKLRVASSREVITNAPIRIVR >CAK8560433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19089749:19091099:-1 gene:gene-LATHSAT_LOCUS14102 transcript:rna-LATHSAT_LOCUS14102 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSASSRNRSPFTPNQWQELEQQALVFKYMVTGTPIPPDLIFSIKRSLDSSISSRIFPHPPIGWGCFEMGFGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVELVVSSSSSSTTNTNTNTISLSQSQTNNRNLSLNTNNNTLTSPSSFPFHESQQQQAFSQPYQNPYFYSQQSLTASKPLDHSEFQPHDGSTTHHLFLDSGSYSQDDKDYRRHVQVQGIRDGAMDERTFFPEASGSSRTYHDSYQQQQLNSYKSSSYASSQFQNINDDNPRQQHQQQEQHCFVLGTDFKSTRPTTNKDKESETTQKPLHHFFGEWTPKNTDSWLDLASNSRIQTDE >CAK8563957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642155567:642156079:1 gene:gene-LATHSAT_LOCUS17310 transcript:rna-LATHSAT_LOCUS17310 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAIKFSSHNVIQFDHERFCFMVAESINQRNDRPLGTFSIDLKRGWCDCWRFQAFHLPCSHVIATCASIRQDHNMHIPEVFKVLSVFKVYSESFLGLPHQQNWPIYEGFTLCHDETMRRNKEGRPNSTRITIEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8569324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694395787:694399714:-1 gene:gene-LATHSAT_LOCUS22186 transcript:rna-LATHSAT_LOCUS22186 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEDDLDLLLSLQDKVPETPPASPSPLDFEGDDDVVPRRERPDMSVFKDAVQDCLLDQPSNPNPKPKPNNKSLGDDPQLDKFSGLRIRDQCLTPAELRESVQDIRFVRLPVIKNLVNGDTFSGNWVTVGVLTEKGIQKTSSNGKSFCIWKIGCLDENTIPIFLFGNAYQRNCQEQAGTVFAFFNCGVRKDAKGNGFSLSIYSPSQIVKMGTSVDYGVCKAKRADGMACTMAINKRQGAYCKYHKSKTSEKYSTGRTELKGGNLRSAFRPRDYIKSEGIYLVDPLADKTNLKKSKPVKLLSVDSLRKALSNAGKVTTTSHSQGIRFLSEVAGKFDPNMMKKGSKIPNERSKQTEKRKSSSVTTGHSTVIRNQQSDIKRVKTEGQSSVDKTTKNAINMIDLDLVSSDDDF >CAK8540933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33306723:33307908:-1 gene:gene-LATHSAT_LOCUS9889 transcript:rna-LATHSAT_LOCUS9889-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLKLTVMAFILCTPFVVQSVSNQVSFKEEFPTWVEGQDTMLLTNDDVHVDIVVASDGSGDFIKVMDAVLAAPKYSKKRFVIQVKQGIYMENVIVGEDKSNLMIKGDGMDVTVISGNLSHRQNNLTTFKTATFGVDGSGFIARDITFKNTAGPFNEQAVALRSSSDKSIFYRCKIDGYQDSLYAHSRLQFYRECIISGTIDFIFGSAAAVFQKCDISVKKGLPGQYNTITAQGGEHDPSIPFGFVLQFCNIYADSNLLPVVETTKTYLGRPWRAHSKTVFMQSNITSVVSPEGWSEWVGHPEYSDTLYYAEFQNSGPGAVLENRIKWRGYHILNDSKEAINFTVAQLISGNTWIPSTGVPFTPGLGN >CAK8540932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33306723:33307923:-1 gene:gene-LATHSAT_LOCUS9889 transcript:rna-LATHSAT_LOCUS9889 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTMVINLKLTVMAFILCTPFVVQSVSNQVSFKEEFPTWVEGQDTMLLTNDDVHVDIVVASDGSGDFIKVMDAVLAAPKYSKKRFVIQVKQGIYMENVIVGEDKSNLMIKGDGMDVTVISGNLSHRQNNLTTFKTATFGVDGSGFIARDITFKNTAGPFNEQAVALRSSSDKSIFYRCKIDGYQDSLYAHSRLQFYRECIISGTIDFIFGSAAAVFQKCDISVKKGLPGQYNTITAQGGEHDPSIPFGFVLQFCNIYADSNLLPVVETTKTYLGRPWRAHSKTVFMQSNITSVVSPEGWSEWVGHPEYSDTLYYAEFQNSGPGAVLENRIKWRGYHILNDSKEAINFTVAQLISGNTWIPSTGVPFTPGLGN >CAK8560108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7681744:7694008:-1 gene:gene-LATHSAT_LOCUS13820 transcript:rna-LATHSAT_LOCUS13820 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVDLETQDGVRMPWNVIPGTKQEALNVVVPVAAIYTPIKHFPSMPVLPYSPLRCRTCRSILNPFCIVDFAAKIWICPFCFQRNQFPPHYASISDDNLPAELFPQYTTVEYDSPSDPAPHVPPVFLFVVDTCVIEEEIGFLRSAISQAVELLPDNSLVGLITFGTFVHVHELGFGIVPKTYVFRGSKDLSKDQLLEQMSFFAKKPKPAVGVVAGARDGLSLESISRFLLPASECEFTLNSVLDELQRDPWPVPGDQREARSTSTALSIAASLLGACVPGSAARIMAFIGGPATEGPAPIVSRQLSEPIRSHKDLDKDSVPHYHKCVKFYDGLSKQLVHQGHVLDLFACALDQVGIAELKTAVERTGGLVVLTESFGHPVFKDSLKRVFQSGDCDLGLASNGIFEINCSKDIKVQGIIGPCASLEKKGPLCSDAVIGQGGTSAWKMCGLDKSTSLCLFFDIARKETPDATMQSTSNQFYFQFLTYYQNNSGQMRFRVTTLSRRWVAGPGSIQDLISGFDQEAAAIVMARQVSFKMETEAEFDPIRWLDKALINLCSRFGDFQKDTPSSFSLSPRLSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVTNSVVMVQPSLISYSFHSGPEPALLDVAAIAADRVLLLDAFFTVVIFHGSTIAQWRKAGYHNEPEHQAFAQLLRAPHDDSDLIMKDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNTEASLPGGDIIFTDDVSFEVFLDHLQRLVVQ >CAK8542091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471813875:471814409:-1 gene:gene-LATHSAT_LOCUS10962 transcript:rna-LATHSAT_LOCUS10962 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVGDLEKYGTYSWGFACLAKLYREICKATVKDVRSMIGCVLLLTSWAFTCIPLFAPVSTVQPSYPYAQRWAQRRMNYDVNPRFHLQGYRNAL >CAK8567360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508502498:508502902:-1 gene:gene-LATHSAT_LOCUS20422 transcript:rna-LATHSAT_LOCUS20422-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVWDARSSHSGFHYESPRIRVFPLGLDVFVQKLFGECDNIFINNITARFDSIEDAQFVPASKEAIESLEKLKIEDLDAIEKCSVCQFEFNVGMEVTKMPCNHLYHQECIVQWLETSHMCPMCRYPMPTSTSG >CAK8567359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508502498:508503220:-1 gene:gene-LATHSAT_LOCUS20422 transcript:rna-LATHSAT_LOCUS20422 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFYNFSWDTFSAPFDENEVRFYQSLEQGYMGDFIRIKVRVKHEQCIAPQQYLSIILPFDEFLYEQIMFVPYQDFLQHAWIYLQVYFPNNIIPHELMYTMVPFLMTYVWDARSSHSGFHYESPRIRVFPLGLDVFVQKLFGECDNIFINNITARFDSIEDAQFVPASKEAIESLEKLKIEDLDAIEKCSVCQFEFNVGMEVTKMPCNHLYHQECIVQWLETSHMCPMCRYPMPTSTSG >CAK8569887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14366298:14371218:1 gene:gene-LATHSAT_LOCUS22690 transcript:rna-LATHSAT_LOCUS22690 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGHLGRRGSDSGNNADAGPSTSTAEQRRFPSAAQPEMMRAAEKDDQYASFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPYGLPPTPARRALFIVYQTAIPYIAERISSRIASRGIILSDYEYAEVFGENARGSSSYRVSSGSELAPSSASGQSTSTITRLKEKLRGFWLRMVQKWPTMLPFVRELLQLVLRANLMLFYFEGLYYHISKRASAIRYVFIGKASNQRPRYQILGVFLLIQLCVIAAEALRRRNFTSIAGSVHQASFATHHTSAGHGLPVLNEEGNLASPEADEGSWVSGSSSSEHHATSGVSKCTLCLSNRQHPTATSCGHVFCWNCITEWCNEKPECPLCRTPITHSSLVCIYHSDF >CAK8537204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:133369156:133370092:1 gene:gene-LATHSAT_LOCUS6510 transcript:rna-LATHSAT_LOCUS6510 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEDLVSWIPQLLKKGYWKIIPFFLDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLSSTLSPNAMRNTLLANEVILHVEFDFSKAVEMGYISPKLLELIKLFQSFGEPSQLLCLIFVERIIAAKVIEIFVKKFSQISHLTVAYVTGSNTSADALARNRKKEIMDSF >CAK8539501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514258232:514263421:-1 gene:gene-LATHSAT_LOCUS8588 transcript:rna-LATHSAT_LOCUS8588 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRVPHRFPLLSYHTRYLNHTKPFTSFAKKLPTPQSRPSINAGNGSSRGDSSYLLPGATVATILMLGALHARRMYDDKKTEEMREKGIEVEFQPDVKASFLRLLPLRSISRCWGQLTSLEIPVWLRPHVYRAWARAFHSNLEEAALPLDKYTSLKEFFVRALKEGSRPIDADPQCLISPVDGTILRFGELKGAGAMIEQVKGFSYPVFSLLGARSLLPTTADSDVQEEHSESLATTKKSKKSWWRVSLASPKVWDPTLSCPKRGLFYCVIYLKPGDYHRIHSPVDWNILVRRHFSGNLYPVNERATRTFRNLYIENERVVLEGVWQEGFMALAAVGATNIGSIKLFIEPELQTNKPTKKSLHSEPPEERVYDSEGVGRVLKKGDELGAFNMGSTVVLVFEAPISKLLREGDSSEEFNFSVKCGDRIRVGEALGRWHSS >CAK8544821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707271543:707293132:-1 gene:gene-LATHSAT_LOCUS13469 transcript:rna-LATHSAT_LOCUS13469 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFTANTDFSELQSTMRAIELASTSIQMQINPAASEAIVLALGQSSQPYKTCQFILENSLVATARFQAAAAIREAAIREWGFLNADDKKSLISFCLCYAMQHASSPDSYVQAKVSSVAAQLMKRGWLEEVAAEKETLFYQVNQAILGIHGVDVQLAGIKFLESLVSEFSPSTSSAMGLPREFHEQCRRSLERHYLKTLYQWTQEAASSLTNRIIESDSVVPEVKVCTAALDLMLQILNWDFRNNTSDTKIIVFSLGVRQDGDSLKRPECHLVQPGSDWRDVLILSGHIGWILSLYAALRPKFSREGYWLDCPIAVSARKLIVQFCSLTGTVFPSDDGKMHERHLLQLLSGILEWVDPPDAVSKAIENGKSDSEMIDGCRALLAIANVTTPYVFDNLLKSMRAIGTLTFLSMWMSEVIKVLITSNTEEETWSWEARDILLDTWTALLMPINTTTVNTLLPPEGIKAAANLFGFIVECELRMASASAFNDEGDSDYLRASVSAMDERLSSYALIARASIDVTIPLLTSVFSERVTRLNQGRGVIDLTETLEELYSLLLIIGHVIADEGEGEMPLVPNAIQTQFVVNSVEADKHPVILLSSSIIKFAEQCLNPDMRASVFSPRLMESIVWFLARWSSTYLMSSDGIGEKILDSGHHYEHSSKKVLLSFFGEHNQGRIVLDIIVRISLITLTSYPGEKDLQGLTCYMLLHSLVQQRHICVHLVALNSWHELATAFSTEKTLFLLDTSHQRSLAQTLVRSASGVKNSEESSQYVRNLMGHIATYIVDMSSKSNLKNIAQQPDILLLVSCMLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHESAVVYLLLKFVVDWVDGQIPYLEAQETAAVVSFCTRLLQLYSSHNIGKISLSLSSNLLSEAQTDKYKDLRALLQLLSSLCSKDMIDFSSDSIEAQGTNISQVVYFGLHIVTPLISMDLLKYPKLCHDYFSLLSHLLEVYPETFAQLNSEAFTHILGTLDFGLHHQDVDVVSKCLRALQALASYHYKETGNGNIGLGAHSSGETQEGLLSRFLRSLLQLLFFEDYSSDLISVAADALFPLILCEKGLYQRLGNELIERQADPNLRSRLVNALQSLTSANQLSFSLDRINYQRFRKNLNSFLVEVRGFLKTV >CAK8563126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575843492:575846467:-1 gene:gene-LATHSAT_LOCUS16567 transcript:rna-LATHSAT_LOCUS16567 gene_biotype:protein_coding transcript_biotype:protein_coding MGETETTMKAIEKENFEISEKHEVDDDPKIIYRGWKVMPYIIGNETFEKLGAIGTLVNLLVYLTTVFNLKNITATNIINIFNGSTNFATLLGAFFSDTYFGRYNTLGFCTITSFLGLFVIQLTAVFKNLHPPSCAKTSSTCIGPTAGQMTFLLSGFGLLLIGAAGVRPCNLAFGVDQFNPKTDSGKKGINSFFNWYYFTFTFAMMVSLTLIVYVQSNVSWAIGLGIPAALMFLACVVFFVGTKLYVKVKPNGSPIKSIVQVLVVAVKKRRVKLPSQQPMLSLFDYMPSKCDYPKLPYTYQFRLLDKAAMITPRDKINPDGSAADPWSLCSIQQVEELKCLVRALPIFFSGILFFLVVTQQHTVLVFQALQSNRRVGNSNFNIPAASYSVFIMLSTTLWLPIYDRLVIPFLRRFTGKEAGITILQRIGVGIFLSILSMFVSALVEKERRHLALTSPIGIQPRKGAISSMSGFWLVPQLIIAGLSEAFTAVGLTEFYYKQFPENMKTIAGSLFFCSMAGSSYLSAFLVSVVHKTTSKSATGNWLSEDLNKGRLEYFYYLIAAIEVFNFGYFLMCSKWFKYRETSSSISNNSA >CAK8565461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:224471555:224474458:1 gene:gene-LATHSAT_LOCUS18677 transcript:rna-LATHSAT_LOCUS18677 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLVLLSLTKWLCYHIVKSCYQTSNKIRTYGFNFFDLSSKPLHQPSTFPTIMNCDLNDRKSQTLVCDIHSVLLRNHSFFPYFMLVAFEGGSILRAFLLLCSCPLLWFLSYERKLRVMIFITFCGLKVKDMEMVARGVLPKFYMENLDLKAYEILVSVGCRVFFTCVPRVMVEGFVKEYLNGDDVVATELDSLGCYFTGLVCKGGLVVKEWAVIDYFGDRKPDLGIGSSCVNDHRFISCCKEAYVVSNEICPNSIMPREKYPKPLIFHDGRLAFFPTPSSTLYMFMWLPFGILLSIFRLLLGAIVYSKYGLALVSFSGILINLKGYNNISNTTKSVTNKGVLYVCNHRTLLDPVFLSMSLRKPLTTVTYSLSKVSEIMSPIKTMSLTRDREKDRETMQRLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNANVSLFYGTTASGFKCLDSFLFLMNPWPCYNIEVLEKVPKEFTCAGGKSPYEVANHIQRELGESLGFECTNITRRDKYMMLAGNEGVVKEKK >CAK8570488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50126536:50127045:-1 gene:gene-LATHSAT_LOCUS23232 transcript:rna-LATHSAT_LOCUS23232-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAVQCFCTQTGRYRVTCKNKLISLKKYAGNEGFDFVPPAGGNSFGRYEIALLCLGMMHFHFGHPKLALEVLTEAVRVSQQHSSDSCLAYTLAAISNLLFENGISSIAGILGSSYSPFTSMGISLSVQQQLFVLLRGSLKRAESLKLKRLLASNHLAMAKFDLTVLK >CAK8570487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50126536:50127075:-1 gene:gene-LATHSAT_LOCUS23232 transcript:rna-LATHSAT_LOCUS23232 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLKVTWYRMLIPAVQCFCTQTGRYRVTCKNKLISLKKYAGNEGFDFVPPAGGNSFGRYEIALLCLGMMHFHFGHPKLALEVLTEAVRVSQQHSSDSCLAYTLAAISNLLFENGISSIAGILGSSYSPFTSMGISLSVQQQLFVLLRGSLKRAESLKLKRLLASNHLAMAKFDLTVLK >CAK8565627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294463277:294463780:-1 gene:gene-LATHSAT_LOCUS18823 transcript:rna-LATHSAT_LOCUS18823 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNDFVFDFQAKSSTDLKRKMKIKVENEKALKDNPTNQGSNPINTNVGNIKKLDHRKKLKLEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQLSKVHVLNSNKRQMEVEATEEITEPRKVLALNNNTKKKLKSLVDHQVKGSIESQVSKNTSDQTKKVIYSTF >CAK8566726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456182597:456183712:-1 gene:gene-LATHSAT_LOCUS19838 transcript:rna-LATHSAT_LOCUS19838 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHNNLTTDETTQNSGTTFTRAPPHRRNKSSVWNNFTPDPDLIGIARCNYCDSKLKSNNGTTSMTGHSKICKSNSNSEANKRLKTTPSSTTNVTSPSAIVLGKFDQEKCRQAVVDMIVEMELPYMHADHKAFRRCMSVLQPRFIPISRSTVARDVLALWDFEREKLKTFLSQHCRSVCLTTNGWTSCQNMTYMCIKAHFIDNNWKLHKKILSFVRVLSHSGEVIVNTFAKCLDNWGLNYVLSVTVDNEASNDRGIENLKKRLRLRNDLVLNGDHFHTRCCAHVMNLVVKKGLKEIDISVSRIRGAVKYVKSSLGREHKFLACVRSRQIEYKGSVQFDCETRWNSTYDMLKAALQLEMAFVELGIIDTKYC >CAK8564360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669771480:669773448:1 gene:gene-LATHSAT_LOCUS17673 transcript:rna-LATHSAT_LOCUS17673-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKFITFEEGWETLHKGITKLHKFLEGLDPTFTLDQHIELYTIVYELCIQEYIIPRDYARELYDKYRETCDQYIVSKVLPSLQKKKDDILLRELLQRWSNYKVMTRRLSYIFDYLDQYIRRHGLASLEEISFSTFNHRVYEKMNKEIMDAIFSVIEQKLAGEKIDHTFVINTLDFYIKFYKCTKKDKAEKINLRSSDGTVFEIDYGVALMSKRFEDITETFSVGDEVDDISVPKVSSKMLTMIVKYCKEGDAKFLEANPRTLLDLTTCACYMKIESLEKLTWNKVYELIKGKPPEEIAQIFGDVDDSNSKLLEENIQRIEFLEM >CAK8564359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669771480:669773448:1 gene:gene-LATHSAT_LOCUS17673 transcript:rna-LATHSAT_LOCUS17673 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKFITFEEGWETLHKGITKLHKFLEGLDPTFTLDQHIELYTIVYELCIQEYIIPRDYARELYDKYRETCDQYIVSKVLPSLQKKKDDILLRELLQRWSNYKVMTRRLSYIFDYLDQYIRRHGLASLEEISFSTFNHRVYEKMNKEIMDAIFSVIEQKLAGEKIDHTFVINTLDFYIKFYKCTKKDKAETILWHLYHNDLSKKINLRSSDGTVFEIDYGVALMSKRFEDITETFSVGDEVDDISVPKVSSKMLTMIVKYCKEGDAKFLEANPRTLLDLTTCACYMKIESLEKLTWNKVYELIKGKPPEEIAQIFGDVDDSNSKLLEENIQRIEFLEM >CAK8531628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132681458:132681685:-1 gene:gene-LATHSAT_LOCUS1404 transcript:rna-LATHSAT_LOCUS1404 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVNYTLVTLIPKTSTNTRINEYRHIFCCFTLYKSIAKVMANRLSKILSSLTDESKVAFVPGKHIQDHSLLPF >CAK8563139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577088631:577089897:1 gene:gene-LATHSAT_LOCUS16576 transcript:rna-LATHSAT_LOCUS16576 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLICCVQVDQSTVAMKEGFGKFEKVLQPGCHCLPWFLGKRIAGHLSLRLQQLDIKCETKTKDNVFVNVVASIQYRALANSANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNEIAKAVEEELEKAMSAYGYEIVQTLITDIEPDEHVKRAMNEINAAQRLRMAANEKAEAEKILQIKRAEGEAESKYLNGMGIARQRQAIVDGLRDSVIGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGATSKTSAVFIPHGPGVVRDVASQIRDGLLQGSLSHQ >CAK8573766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635541325:635541882:1 gene:gene-LATHSAT_LOCUS26174 transcript:rna-LATHSAT_LOCUS26174 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRRERGVRCLCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLSSNVYAETTNTHASINRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELSNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAESN >CAK8539212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506819412:506820711:1 gene:gene-LATHSAT_LOCUS8329 transcript:rna-LATHSAT_LOCUS8329 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSGNKCPLGDHDGEVELDDVWFSYPSRPNHMVLKGINIKLQPGSKVALVGPSGGGKTTIANLIERFYDPTKGKIMVNGVPLVEISHKHLHRKISIVSQEPTLFNCSIEQKMANAHEFISKFPEQYKTFVGERGISLSGGQKQRITIARALLMDPKILLLDEATSALDAESEYLVQDAMDSIMKGRTVLVIAHRLSTVKTANTVAVVSDGQIVESGTHDELLDPLRTYS >CAK8567081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485470784:485475057:-1 gene:gene-LATHSAT_LOCUS20166 transcript:rna-LATHSAT_LOCUS20166 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKFDSMLASEPHKMSGATSTTPTSGGQKLSMFAAKSGFVIPKNKLLGSLVPVFRGAKKDGVTGVINEESSKQIERKSRWGPDPTQDVSVRRAKVLALQIRVEQISKQLESENLEIGATQNLPLVDENPNESKSGSQINSKSEMLELEKRETIGEILKLDPSYKPPPGFKPLLKEHSVPLPVQEYPGYKFIGLIYGPEGDNQKRLEKETGTKIKIRGTKADTGEKGEIKPGTDVHCSYEEMHVNISADSFDKVDAAISIIELLISSVTGNSAAGSTPSISVSGDSTNGLNQNQDGPPSHAISLSLENPTVFQPAATTQMQGDHYQYSGSWFSAAPSHTPLSASSGSVVPPNPPYLARTPHFPSQTMSPSNMISAFGAQPTPIAGFHQIIPNQQFSMQTPPPTQILQHSQWTQTTPFGHVGLPRNPSVIPAQNLSAPTSASLSFPVPLRHPMPTGHLQTSMSSMPQPMSGISPSPIGNQPSTPHGVSAGLSGGPMAPPAVPPARPVSLGPQSDVEYKPPQSNVSMMPRPGSIHPHHAGMSPRPPSSLGPIPGTVHSTGNHLSRPISFPSPGISPSLPLAQQSGIPNSASHYTHINPLASTPSNSGNFTFQGQRPNADYYQVVPRPNSQATTQGGTQEPPSGPRPPPFGFAMPDQPFQSFPRLQVSNRMDQTQAYASAAPFGGRSGSVSIPPQHPVFPYAGQPSPRSQVPQMGMRNFIPTSQRPNLPSLGVQRGMPNQQSYPAQGTWLLNQKFGNNPSLASSKPSYHADQIYDPFSPTSVASPHQKGNLGK >CAK8567080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485470784:485475057:-1 gene:gene-LATHSAT_LOCUS20166 transcript:rna-LATHSAT_LOCUS20166-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKFDSMLASEPHKMSGATSTTPTSGGQKLSMFAAKSGFVIPKNKLLGSLVPVFRGAKKDGVTGVINEESSKQIERKSRWGPDPTQDVSVRRAKVLALQIRVEQISKQLESENLEIGATQNLPLVDENPNESKSGSQINSKKSEMLELEKRETIGEILKLDPSYKPPPGFKPLLKEHSVPLPVQEYPGYKFIGLIYGPEGDNQKRLEKETGTKIKIRGTKADTGEKGEIKPGTDVHCSYEEMHVNISADSFDKVDAAISIIELLISSVTGNSAAGSTPSISVSGDSTNGLNQNQDGPPSHAISLSLENPTVFQPAATTQMQGDHYQYSGSWFSAAPSHTPLSASSGSVVPPNPPYLARTPHFPSQTMSPSNMISAFGAQPTPIAGFHQIIPNQQFSMQTPPPTQILQHSQWTQTTPFGHVGLPRNPSVIPAQNLSAPTSASLSFPVPLRHPMPTGHLQTSMSSMPQPMSGISPSPIGNQPSTPHGVSAGLSGGPMAPPAVPPARPVSLGPQSDVEYKPPQSNVSMMPRPGSIHPHHAGMSPRPPSSLGPIPGTVHSTGNHLSRPISFPSPGISPSLPLAQQSGIPNSASHYTHINPLASTPSNSGNFTFQGQRPNADYYQVVPRPNSQATTQGGTQEPPSGPRPPPFGFAMPDQPFQSFPRLQVSNRMDQTQAYASAAPFGGRSGSVSIPPQHPVFPYAGQPSPRSQVPQMGMRNFIPTSQRPNLPSLGVQRGMPNQQSYPAQGTWLLNQKFGNNPSLASSKPSYHADQIYDPFSPTSVASPHQKGNLGK >CAK8570089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23406506:23409299:-1 gene:gene-LATHSAT_LOCUS22872 transcript:rna-LATHSAT_LOCUS22872 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASAFRLPPSSFQLRSHKNHHPAPKSFNLRSPRPHKTLCVSISNPNVRTNPNDLVASLLSKVVQTDGGVLLQKEEHKEVDEVVQELQKYCVKEPVKCPLIFGDWDVVYCSQPTSPGGGYRSAIGRVFFKTKEMIQAVEAPDIVRNKISFTALGFLDGEVSLKGKLKALDSEWIQVIFEAPELKLGSWKAQYGGQSEVKLRITYVDEKIRLGLGSRGSLFVFKRI >CAK8565656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300506773:300510423:1 gene:gene-LATHSAT_LOCUS18847 transcript:rna-LATHSAT_LOCUS18847 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTVPNDSCSKENLDIYQEWFNYADADGDGRFTGNEASKFFAMSNLSRQDLKQVWGIADSKREGYLGFKEFVISMQLVSLAQNGYTITHDLLTGDILRDVKPPIMEGLDALVAKKKRKQKDNNVSPNLPSPSSKWFTAKSAKKISGSSVTSIIDGLKKLYIQKLKPLEVAYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHMLQSSYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDSPGVLSGEKQRSQRSYDFTGVTSWFAAKSDLILLLFDPHKLDISDEFKRVISSLHGHDDKIRVVLNKADQVDTQQLMRIYGALMWSLGKVLNVPEVMRVYIGSFNDKPGNNTVNGILGNELFEREQDDLLTDLKDIPKKACDRKINEFVKRARAAIIHAYIISHLKKQMPAMIGKAKAQQKLIDNLEDEFAKVQREFHLPAGDFPDIDHFKEVLSGYNIDKFEKLKPKSIQAVDDMLAHDIPNLLKSFRNPYG >CAK8578888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660889730:660891985:-1 gene:gene-LATHSAT_LOCUS30869 transcript:rna-LATHSAT_LOCUS30869 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQVVDTVDQPLPSSPLPPPPPPSKDDMISCVMALEAALLPCLPAKELQAIDRSPHPSHQIDVDRHARDFMEAAKKLQLYFISLQREDKPSKAETLKKEIALMEDELSEKNELIKKQEHLIQQWKKELTDQLDKHNTELNRV >CAK8575772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:321211759:321212394:-1 gene:gene-LATHSAT_LOCUS28008 transcript:rna-LATHSAT_LOCUS28008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSEKSQKNKSNDKLKIDKASKKISKPKREQNGASVKSESLALQLEDEVPDFPRGGEFSVKRSSNNYEKFGDEDPSKNLWKNKKKKKVFSKSEDAESDFGLLFGAGITGKLSRHVNRVTLKYLTPGMKLWGVVAEVNNKDLAVSLPGGLRGIVNASDALDPILNDKTEIGESFLSSIFSVGQLVSCIVLRLDDDKKEKGHRLCFMFDRF >CAK8568410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604643259:604646983:1 gene:gene-LATHSAT_LOCUS21369 transcript:rna-LATHSAT_LOCUS21369 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNDDEKMMGPLFPRLHVGDTEKGGPRAPPRNKMALYEQFSIPSQRFNLPLHPNTLTNSVPPASSSQGTVHERNYVFPGHLTPETLIRQAGKHLSRQAKGANLNASLAQLEHRKKVDEDDFRVPVYVRSNIGQSNEKRIESFDGKRPPSTGSRYFGFLKPGKIDREREPKQHGSAVVNAGTDVRNEIDGPPQVSPNKEHPFTSARDESIGERSDTLVRQGKVTANQDVQDRRVFKLDSLRQGDSRLRQDCRAESQSNGHGNGQSDSLLESREVDKSPGPIVNQTSPTQAVNGTKYHDTGTGSPKQLEHLSTVKISPDDVVAVIGQKHFWKARKEIANQQRVFAVQVFELHRLIKVQQLIAGSPDLLFDDGAFLGKSLPDGSTPKKLSLEYVVKDRLQNLKRKVDSEKINQNLECSAENAVGKTSISSVKNTSHISSSMPFAGNPHQGNMAADNGMGPWCFNQSPGHQWLIPVMSPSEGLVYKPYPGPGFTGTNFGGCGPYGAAPSGGTFMNPSYGIPPPPETPPGSQAYFPPYGGMPVMKAAASESAVEHVNQFSARGQNCRLSEDEADCNKHNQNSCNLPVQRNGTTSHVMYHQRSKELEVQMSTASSPSEMVLEMSTGQVAEGRDVLPLFPMVPVEPESVPQSLETGQQTRVIKVVPHNRRSATESAARIFQSIQEERKQYDAL >CAK8570657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82821177:82821740:1 gene:gene-LATHSAT_LOCUS23393 transcript:rna-LATHSAT_LOCUS23393 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIGGPDDCEALSIAWRMAGHPGTQIHVVRINLLGKAADETKQKMEKSKSRHEMLSTIIDNVMQKELDEECIISFRHKALNNNDSIIYSEKEVHSNTGEEIPMLLNDIDKPGYDLYIIGQGSGKNSVIFLRLLEWCNHSEPSVIGDILASNSFGTQSSVLIVQQYLVGRKRVVKKCHEVKSGTENL >CAK8539727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523147893:523148450:-1 gene:gene-LATHSAT_LOCUS8799 transcript:rna-LATHSAT_LOCUS8799 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGHKPSGNDGSGDITKPEKMPISFSIREHTFTGTDAALPMVIKLQITNNIVSRVSVNESSLANILYMSAFLKMGLSESMLKPLEAYLNGTIGGGVPIKGYIDLDTTIGKGENAKMMKVRYLVIDSWSVYNVVIGMPVVAELGAVISTVHLKMKYPIGDGMVGVVMADLDMAKKCHQMCPHYLS >CAK8539728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523147893:523148327:-1 gene:gene-LATHSAT_LOCUS8799 transcript:rna-LATHSAT_LOCUS8799-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLQITNNIVSRVSVNESSLANILYMSAFLKMGLSESMLKPLEAYLNGTIGGGVPIKGYIDLDTTIGKGENAKMMKVRYLVIDSWSVYNVVIGMPVVAELGAVISTVHLKMKYPIGDGMVGVVMADLDMAKKCHQMCPHYLS >CAK8542524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523075354:523075815:1 gene:gene-LATHSAT_LOCUS11359 transcript:rna-LATHSAT_LOCUS11359 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKPKSMKQCTRLNLSLGQVLCKFFAHGACLKGEHCKFSHDWNNTLRACPIYRKISYFAVPSVIWYATIEEKQEIIDTYKENLKSIDCKHFEFGDGNCPFGASCFYKHAYRDGRLEKVALQRLGATDGDTIIAKDIRLRSSSCFYVKCLLIH >CAK8576624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498427004:498430024:1 gene:gene-LATHSAT_LOCUS28798 transcript:rna-LATHSAT_LOCUS28798 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLQKPTNLLHPISWNLRMNSLTTKHSKPSYKNLIFKRVQFFSSLRTSLDCLSSDGGVEKGLQPIRLPFVIRSPTKVTRFFWNGGSLELVVVDGGSGSSDEDGLVRVFGSVLRDFFIPREVTENYMDYVKWKLVHRVFSSALQVLATQAMFTAIGVGYSSSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRLVTSVLFVGSIGLELLTPAFPRLFLLLATIANIAKQISLACYLATRSAVHQSFAKADNLGEISAKAQIQTVCFDILGLMLAALVNMWLARPQTGLHFFVYPFFASMDLFGIYQGLKHVHLQTLTKDRLEIILSIWIENGYVPSPAVVSEKEVIDFLGVKGKKSSWPIRIGCINPKDEIPNWSMKTVQSITDEDYYFVCTEIFKGLERTQNRILLSIREGAEAVHVITGLLQACYIRRTLGNNASNSALEDWPVIVEDCKRSAERDIGNLIEQMVGKGWVVKNILLSKKEQGRYSFVCE >CAK8560694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36191774:36196605:-1 gene:gene-LATHSAT_LOCUS14350 transcript:rna-LATHSAT_LOCUS14350 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKLFLSQMRLFHFQPLKPHSPFLSQSLIKFSRLPLRNAAFRPRSFSVRPDRIRASKSLVDDEADLSNWVDDLQTGRGTADTIRPGAGAGKDSGFRSRKSNVVSRGSGLGQRRGEDFRKGKPNLNSKKRFPLSDSSDVEDEVVAGGKFKGGGGGGGSGSIGRFMSEEETEDEFEGEESDEQIVDKSKSVLFGKVSGLSTTTTPTPVLPDRSDSYLSESRFDQCPVSPLSLKGVKDAGYEKMTVVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEIVVKSPPSDRDQRRPPIFVLVICPTRELACQAAAEATKLLKYHPTLGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHIENTAGFASRLMGVKALVLDEADHLLDMGFRKDIEKIIAAIPKQRQTLMFSATIPDEVRQVCHIAMKRDFEYINTVQEGSEDTHSQVRQMHLVAPLDKHFPLLYAILKEHIANDVDYKVLVFCTTAMVTKLVANLLGELNLNVREIHSRKAQGYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVVQVGLPADRAQYIHRLGRTGRKGKEGQGILLLAPWEEYFLATAKDLPIGKAPVPLVDPDTKKKVERALSNVEMKNKEAAYQAWLGYYNSNKNVGKDKYRLVELANEFSRCMGLDSPPAIPKLVLGKMGLKNIPGLRSK >CAK8565648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:298241572:298242228:1 gene:gene-LATHSAT_LOCUS18840 transcript:rna-LATHSAT_LOCUS18840 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGATTAAAAVEPVFFKEPRYRGVRKRPWGRFAAEIRDPLKKARVWLGTFDTAEEAARAYDTAARNLRGPKAKTNFPLAQPFYQNPETGNPFGELRFYAGGAGDGFQDHRRPTSSGMSSTVESFSGPRPVRPPIPPSVVTGRRYPRTPPVAPEDCRSDCDSSSSVVDDADNDNAASSTMLSFKRNPLPFDLNAPPLEEADVANGLGEDLHCTLLCL >CAK8578669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645911482:645931614:1 gene:gene-LATHSAT_LOCUS30659 transcript:rna-LATHSAT_LOCUS30659 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTDRGILLSCAICGTLFSVLGFSSFSILWAVNWRPWRIYSWIFARKWPNILQGPQLHLLCGFLNLSAWSVVVSPIIVLIIWGSWLVVILDRHLIGLAVIMAGTALLLAFYSIMLWWRTQWQSSSAVAILLLLAVALLCAYELCAVYVTTGSRASDRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRKAYKFAYSEGVEVGPVACLPEPPDPNELYPRQSRRASHLVLLYLGSLSVLLVYSILYGLTAKEENWLGAITSVAVIILDWNMGACLYGFQLLNSRVAVLFIAGTSRVFLICFGVQYWYLGHCISYAVMASVLLGAAVSRHLSVTNPLAARRDALQSTVVRLREGFHRKEHNSSSSFSEGCGSSMKRSSSVEAGNLGNVIEASRGLAAGDGSNWNNAMSQTASLPDGVNSDKSIDSGRSSIALHLHSSSCRSAVHEPEVGITSDDRNLNHNNSLVVCSSSGLDSQGNDSSTSTSANQQPLDLNLALAFQERLNDPRIATMLKRRTRQGDRELSSLLQDKGLDPNFAMMLKDKSLELDPTILALLQRGSLDADRDHPENTDNTSVDNAAPNQISLSEELRLHGLEKWLQLCRLILHHITGTPERAWVLFSFIFILETITVGVFRPKTIKIVNATHQQFEFGLAVLLLSPVICSIMAFLRSLAVEELAMTSKPRKYGVIAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSFAIPIWICNGYQFWVPHINCNDSAGNDRIPRKKGIVLIICMSVFVGSVLALGAIVSAKPLDDLRYKGWNNQKNSVSPYTSSAFLGWAMASAIGLVVTSVLPIISWFSTYRFSPSSAILIGIFSVILVAFCGVSYLEVIKSRDDQVPTNGDFLAALLPLVCIPAMLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLFGAISAVIVVINPWTIGVAFLLVLLLMVLAIGAIHHWASNNFYLSRMQMVFVCFLAFLLALAAFLVGWFEGRPFVGASVGYFLFLFLLAGRALTVLLSYPIVVYSPRVLPVYVYDAHADCGKNVSIAFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVSFGFAVSRPCLTLKMMEDAVHFLSKETVVQAIARSATKTRNAISGTYSAPQRSASSAALLIGDPTVMLDRAGNFVLPRADVMKLRDRLRNEELVAGSLFSRLKYARTFCHEPTNDVDHRRVMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTSKAERAQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEIFMQRREEEGRGKERRKALLEKEERKWKEIEASLLSSIPNASGREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRASQLERRALKTGVTGAICLLDDEPTACGRHCGPIDSSLCQSQKVSFSIALIIQPESGPVCLLGTEFQKKVCWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADLGEATCYLDGGFDGYQNGLPLCVGSSIWDHGTEVWVGVRPPTDIDAFGRSDSEGVESKMHIMDVFLWGRCLSDDEVSALYTSVASADLSALDLPEDNWQWADSPSRVDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGMVLEMDSFSRKYRKPRTETQQEINQRMLSVELAIKEALFARGESRFTDQEFPPNDHSLFVDPEDPPAKLQVVSEWLRPGEIARQNHPGGRPCLFSGPPNPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITQGYNEEGIYTVRFCVQGEWIPVVVDDWIPCELPGKPAFATSKKGYELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSGEAQLDLASGRLWSQLLRFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGHWSDSSPEWTDRLKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRIYPSEMRHTVHGQWRGYSAGGCQDYDTWHQNPQFKLTATGQDASFPIHVFITLTQGVGFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >CAK8577800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589259141:589259825:1 gene:gene-LATHSAT_LOCUS29871 transcript:rna-LATHSAT_LOCUS29871 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFNSCSYCGTLGRKRIVVSNNYEGSSDEEDESIPVRSPLKRMCSGKFNSVYDKSRLEALPQDVLIRVLCGVDHDDLDQVFDVSTTIREASKIAKQMHFEFSTPKKNTVSAFRSPFHIENGCDEIEAPNAPLMLKKSKSRLSTRKLADISVTLFP >CAK8570710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92393033:92393548:1 gene:gene-LATHSAT_LOCUS23443 transcript:rna-LATHSAT_LOCUS23443 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIPSLISSSLLRKTTPITKPLYTTNSNSRKPLPIINTITMSSLSSNNDNNTPDYPGKAPPEIPIIPKTEPPSVPKENPTIPTREPEIDLDVPPEIVTNPPEFIPFPNPKPKPEGPKPPLSPPGQDTPFPIPDVVPPQPPDVVPPRPPGPDIVPPPCIPPPPTGPTMI >CAK8568161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578535834:578584028:1 gene:gene-LATHSAT_LOCUS21150 transcript:rna-LATHSAT_LOCUS21150 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVNFLFGFLLLSVTLWLLFISASRLMAWILSWILGASVKFRVGGWKCLRDVVVKFKKGAVETVSVGEIKLSLRQSLVKLGVGFMSRDPKLQVLICDLEVVMRPSIKSTGKKKTRKSRASGRGKWMIVGNIARYLSVCVTDLVLKTPKFTVDIKELNVDISKDGGSKSSLLVRLQILPILVHIGEPRVSCDQLSNLNGGGCSSSCQASFAALERSSAPFTCEKFSVSCEFGHDREAGIVIKNVDISSGEVTLNLNEELLLKSKSSSKSSSNSNSVLGSQDDSVSNKKPSKKQQRLAAFSKYSSMFPEKVNFNLPKLDVNFVHREHGLSIENNITGIQLKSTKSRSTEDVGESTRLDFQLEFSEIHLLRGAGSSILEILKLDLVSFVYIPVQPILPVRAETEIKLGGTQCNVMMNQLKPWLLLHFSKKKKMVLREETSVVAKPQSTDGEIIMWTCNVSAPEMTIVLFDMVGSPVYHGCSQSSHLFANNISNTGMTVHVELGELNLHMADEYQEFLKESVFGVESNCGSIMHIAKVSLDWGKKDMELPEDNGPRLCLSIDVTGMGVYLTFKRIASLISTAISFQALLKTLSTSKKKLSHSQGRLSKPSGKGTQILKFNLERCSVYIWGETGLDNATVPDPKRVNYGSQGGRVIIDVSEDGTPRNAHIMPTISNEFQKLKYSVSLEIFQFNLCTNKEKQSTQIELERARSVYQEYMEENRPVTKVALFDMQNAKFVRRSGGLKQIAVCSLFSATDITLRWEPDVHLSLIELVLQLKLLVHNSKLEHMGDASRGRDANWKQDATTESGHLEKQKHKESIFAVDVEMLSISAGLGDGVDGMVQVQSIFSENARIGVLLEGLMLSFNGARIFKSSRMQISRIPSVSASASDAKGHVVTTWDWVIQGLDVHICMPYRLQLRAIDDVIEDMLRGLKLIIASKTNLIFPMKKDSSKVKKPSSVQFGCLKFCIRKLTADIEEEPIQGWLDEHYQLLKKEAGELAIRMNFLDELISKAKHGPKSTDTISSSQEGKFSFNNIEVDVKDATTVESIREEIYKRSFRSYYQACQNLVLSEGSGAYREDFQAGFRPSTSRTSLLSITALDLDLSLKKIDGGDAGMIQVLKKLDPVCLENDIPFSRLYGTNILLNTGSLVVQLRNYTFPLFSGSSGKCEGRLVLAQQATSFQPQIYQDVYVGKWRKVRMLRSASGTTPPIKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSVRNPGPLISPPKKERSLPWWDDMRNYIHGKVSLLFSESRWNISATTDPYEKVDKLQIVSSCMEIHQSDGRVFVSAKDFKFLLSSLESLANRCGFKIPTGVSGAFLEAPIFTLEVTMDWECGSGDPMNHYLFALPAEGKPREKVFDPFRSTSFSLRWNFSLRPFPLSLEKHFPASFSRDNTEGGATVFDPPHVSQNVSRMSPTFNFGAHDLAWILRFWNLNYNPPHKLRSFSRWPRFGVSRVARSGNLSLDKVMTEFMLRLDATPASIKNMPLDDDDPAKGLTFTMTKLKYELCYSRGKQKYTFESKRDILDLVYQGLDFHMLKAFINKEASASVAKVVNMILKSSQSISTDKVTSDKGYMTEKNRDDGFLLSSDYFTIRRQSSKADPARLLAWQEAGRRNVEMTYVRSEFENGSETDEHVRSDPSDDDGYNVVIADGCQRVFVYGLKLLWTIENRDAVWAWVGGLSKAFEPPKPSPSRQYAQRKLLEENKKHDRSDLGQDDVSKCPANGKISKSPSSQQAGTSGSISSPSNSVKEDTLLSAKMENIDDSDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEMIEKAFGATDVHIGEYQPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPEILRSSPKVMRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFNSRNITATMTSRQFQVMLDVLINLLFARLPKPRKSSLSFPAEDDDDVEEEADEVVPDGVEEVELAKINLEKRQREQKLILDDIRKLSLWCDSSGDVHAEKESDSWMITGGRSMLVQGLKRDLLSAQKSRKAASVALRLALQKAAQLRLTEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKYFVVRNCLPNAKSDMLLSAWNPPSEWGKKVMLRVDAQQGAPRDGNSSLELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKTSLLEGSASSSHSVKETEASSKSGISAMLFPATSQLPVHAVSAQITKVQSAKENPSTSITPELRRTSSFDRSWEETVAESVANELVLQSFSSSKDVPCSSAEQQDEAKNKSKDSKGVKGGRSSHEEKKVAKSHEEKRSRPRKMMEFHNIKISQVELLVTYEGQRIVVNDLKLLMDQFHRPEFTGTWRKLFSRVKKHIIWGVLKSVTGMQGRKFKDKGQSQPTGAGVPEIDLNFSDNEGQGGKSDQYPPSWPKRPGDGAGDGFVTSIRGLFSTQRRKAKAFVLRTMRGEAENDFPGDWSESDMEFSPFARQLTITKAKKLIRRHTKKFRSRGQKGSSSQQRESLPSSPRETTTFDSDSSSGSSPYEDFHE >CAK8544155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668349429:668351641:1 gene:gene-LATHSAT_LOCUS12857 transcript:rna-LATHSAT_LOCUS12857 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSVQNDNSNNHRHVIQMADTSTTITAKTLEKFLEEAESVKEELKELEKLRVSLRNSHGKSKSLHSASTVKELRSRMDSDVTLSLKKAKWVKARLELLDRSNEASRSLPDCGPGSSSDRTRLAVVSGLRKNLRDSMESFNVLREQISSEYRATVQRRYFTVTGEKPDDKTVDLLISTGESETFLQKAIQEQGRATVIETIQEIEERHGAVKEIERNLNELHQVFLDMSVMVEAQAEELNDIESQMMRANSYVRKGVQQIHVARKHHKNSRNWTCFAILVLLIIAFVILLPIVLKNN >CAK8537400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:333345919:333350053:1 gene:gene-LATHSAT_LOCUS6693 transcript:rna-LATHSAT_LOCUS6693 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVVCNDSDEVDATRKNSIPEPEKENRQSPPVVIAGRSRSQGGGRRVTPTSFTVVSNGRSVVDRVLPNGDFYVGSFSGNVPNGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFVGSDGDTYRGSWSSDRKHGFGQKRYANGDLYEGWWKRNVQDGQGRYAWKNGNEYVGEWRNGVISGKGQLVWVNGNRYEGQWENGVPKGQGVVKIQHGLNFKGSSCGGGGGLLWNDSFAPVTMRKRSSVDTVSARGSVNDKSFPRICIWESEGEAGDITCDIVDNLEASMFYRDGTVSEPDEFRKHPCCFASEVKRPGETISKGHKNYELMLNLQLGIRYTVGKEASKLRELKPSDFDSKEKFWTKFPSEGSKITPPHQTAEFRWKDYCPVVFRHLRKLFQVDLADYMLAICGNDALRELSSPGKSGSVFYLTQDDRFMIKTVKKSEVKVLLRMLRSYYKHVSKYENSLVTKFYGVHCVKPIGGQKIRFIVMGNLFCSEYPIHRRFDLKGSSHGRATDKPEDEIDETTTLKDLDLNYVFRVQRNWFQDLIKQIERDCEFLETEKIMDYSLLVGLHFRDDKPYDKIGLSPFLVRTGNQDSYHYEKFMRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERLARRSDFDQYTNVGISHLNPYSSGETYDCVLYFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIGRIFIEDW >CAK8575839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:333983163:333997837:-1 gene:gene-LATHSAT_LOCUS28070 transcript:rna-LATHSAT_LOCUS28070 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFYFNVFMLFSLLTITIVTPLNAFKPLGLHQVSDFNRSSFPKGFVFGTASSAYQYEGAAFEGGKGPSIWDNFTHKYPEKIRDRSNGDVADDSYHKYKEDIRLIKDLNMDAYRFSISWSRVLPNGKLSGGVNQEGIKYYNNLINELLAKGLKPYVTIFHWDVPQALEDEYGGFLSRRIVDDFRDYAELCFKEFGDRVKHWITINEPWSVSMNAYAYGKFAPGRCSDWLKLNCTGGDSGTEPYLTAHYQLLAHSAAANLYQIKYKSSQQGIIGITLVSHWYEPATTAKADVDASKRGLDFMFGWYMNPLTRGKYPKSMRTLVGKRLPKFSKEESRELKGSFDFLGLNYYSSYYAADAPHLRNAAQPAILTDSLINATFEHNGKPLGPMAASSWLCIYPKGFHNLLLYTKKTYKDPVIYITENGRDEFNDPTLSLEESLLDTYRIDYYYRHLYYLESAIRDGVNVKGYFAWSLLDNFEWESGLSLRYGLVFVDFKNGQKRHPKLSAEWFKNFLVKS >CAK8536473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944545505:944546017:-1 gene:gene-LATHSAT_LOCUS5839 transcript:rna-LATHSAT_LOCUS5839 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8568479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610140495:610141441:-1 gene:gene-LATHSAT_LOCUS21433 transcript:rna-LATHSAT_LOCUS21433 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPFSLVDKMRTEEWLLVEGEDEDDQCSSSTTSSIGKDSDVSKCSDIDDENEAQSAYKYDVPFNMMDSLHHLPIRKGISKFYDGKSKSFMCLANDVTSPSVKDIAKPENAYTRRRRNLMAFNHVWEKNGNFLLRSNNGGISKRTLSLNQSAVTLEFAMNCDSSSSCSSEESTSSSNPRSPPPPRRNQPSSASSSPNSSIRSHWLISNSAKIVMNSSMKNEAEHLSR >CAK8530640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37274352:37283089:1 gene:gene-LATHSAT_LOCUS490 transcript:rna-LATHSAT_LOCUS490 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWKPGTEKPDAQVVDDEEGGVLFLPGSNSLSSSGYGYASIEKQRQRLPVYKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLIEAGWAAGGRLIACTQPRRLAVQAVSSRVAQEMGVKLGDQVGYTIRFEDVTKQDETVLKFVTDGVLLREMMNDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIIASATIEAKSMADFFRPRKKRREPENQENGLKVEPAILSVEGRGFNVQINFAEEPFHDYIQAAVSTVLSIHERESTGDVLVFLTGQDDIDAAAHLLNEDIQTNRKHSGLVVLPLYSGLPRADQELVFSPTPRGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPVSDIENLVVAPISRASARQRAGRAGRVRPGKCYRLYTEDYFLSHMSVEGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLAILDDDAKLTSPTGFQIAEIPLDPMISKMIIASSQLGCSEEILTIAATLSVQSIWISGRGIQKESDEAKLRFAAAEGDHVTFLNVYKGFHLSRKSSQWCHKHFVNYHAMKKVVEVREQLKRIAQRIGLVLKSCDSDMQVVKKAVTAGFFANACRLEPYSPDGMYKTLRGSEEVYIHPSSVLFRVNPKWVIFNSLVSTDRKYMRNVMTIDPSWLLEAAPHFYQLQKPNLLH >CAK8569572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5505426:5510203:1 gene:gene-LATHSAT_LOCUS22411 transcript:rna-LATHSAT_LOCUS22411 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEIVGSKSARHTATTATVSNKVLVAVKADKVISNTALAWALTHVVHSSDSITLLAVYSTEKTGRRFWNFSRLAGDCSNGRAGKSPEQISDISESCAQMVFQLHNHIEVRVKIKVVTGSPSGAVAAEARWSGSHWVILDKKLKQEVKHCMDDLNCSIVVMNGSQPKVLRLNLGGPSDEFQTPFFSTSSSPGIEIGKLNGRRLKHSTPVGSPEVAGSSVTTNIGLDSVSSSDSMTSPFLVYKENPLYNCQEPHKRTSKPINKAKDFNFQPPLFFNLERDSPPPSRKLPTSSVASDNNTEIWISQNHIHNEKLQRAENRMIQRTKSPNSKTLLETFLHSDQEKRTDELVFNKTQSVSYVTSSSIRDNPIPLGRNSSIPPPLCSHCQNIAPVFGNPPRRFSYREIEEATNMFSDLNFLAEGGFGVVHKGILKDGQVVAVKQIKFSGSQADLDFSREVRVLSCAQHRNVVLLIGFCTEINTRILVYEYICNGSLDLCLHGNNSVPLDWNSRLKIAIGVARGLRYLHEDCRVGCIVHRDIRPKNILLTHDFEPLVADFGLARWQSEWNINTEDRVMGTSGYIAPEYLDAGILTCKVDVYAFGIVLLELITGQRIRELEQFNEHSYLSEWFHPLHMLDPNHILQNVGSLNPWLDSEGSLEFNFQFQAMARAVSLCLRLDPDSRPAISKILRVLEGGNAVRSLGLDINSVGNISGHLSGLSSHTPPKGKISHSRKLSH >CAK8531892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172077058:172081372:-1 gene:gene-LATHSAT_LOCUS1652 transcript:rna-LATHSAT_LOCUS1652 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAVVDPLLSPFLSSDSGSQRSAPEKPRFSDLRGLQWRINLGVLPSSSAASIDDLRRVTADCRRRYATLRRHLLAEAPSPKNGANSRNLVMDNPLSQNPDSTWSRFFRNAELERLVDQDLSRLYPEHDSYFQSLGCQGMLRRILLLWCLKHPECGYRQGMHELMAPLLYVLQVDLERLSEVRKLYEDHFTDRFDVLLCQENDLNYSFDFRKSPDMMEDEIGSHRNAMKAGSLDELEPEIQSIVLLSDAYGAEGELGIVISEKFMEHDAYCMFDALMKGANGSVAMADFFASSPVASSHTGLPPVIEASMALYHLLSLADSSLHNHLLDLGVEPQYFYLRWLRVLFGREFSLDKLLIIWDAIFASDNSKMENSADDDIDYGFRILQSPRGAFISAVAVAMILYLRSSLLATENPTTCLQRLLNFPENITIEKILKKAKSLQDLALSIDISSSSHLLLGSRYQSKTISTRSVTLPSESVSPKTPLNFIPDSYWEEKWRNAQKAEERKQDGAENQVPTQKKGWTEKMKLRLRRTESDPPPSRVLSGQRGSKPSFRRSLLEDLRKALGAEESKEPEQCHDEIISERDNLSEAVEVERQDSGCNSDNNYSSDDKCPSGNSGRDEDSSIYSDSASPPNEDNDPEVTSEKNSATSYLSLDECNEISDTCHIDSPFPISDPPENMPSMSVCNNDNQGDECNETSDTCHIDSPLPISDPPENMPTSVCNNDNQGDHQRNETSDTIPCDSPSPISDPPQNLPQTSGSDNDVTGSSATAPKERKQNKFQWFWNFGRSTVDVISEKVGGAAEAMKYANSNSNQSNSSLPPASPDGHCSSVGSRGDSVDQNVMGTLKNIGQSMLDHIQVIESVFQQDRGQGASSDNSSKNVLVGKGQVTAMTALKELRKISNLLSEM >CAK8568801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641913810:641914163:-1 gene:gene-LATHSAT_LOCUS21725 transcript:rna-LATHSAT_LOCUS21725 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYYCNAHPHMSSIDSDELWDGDPCINIEFDYTIISVQSDKMFPSSSETKFYGLYYVTKNKEILKTIVINLLSEIDVPEVAFTMVEKEISQCVCEMIDGAYKNSRNLSVRVDFSVT >CAK8570207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28828629:28831062:-1 gene:gene-LATHSAT_LOCUS22980 transcript:rna-LATHSAT_LOCUS22980 gene_biotype:protein_coding transcript_biotype:protein_coding MSITNAAMDLEVDDDGRVKRTGNMWTATTHIITVVIGAGVLALAWAMAQLGWIAGIFSVLLFACISLFTYNLVADCYRYPDPINGKRNYTYMQAVKVYLGGRMYVICGIFVYAKLAGITVGYTITSSRSLAAIGKTACFRNKGHQANCTSSYNPYMIGFGILQLFLSQIPNFHTLTWLSSIAAITSFGYVLIAIGLSFSAVISGKGAPTSIFGTKVGRELSAADKIWRTCSSFGNIALACNYATVIYDIMDTLKSTPSESKQMKKANLTGLSTMTIIFLLCSCLGYAAFGDKTPGNIFAGFDEPYWLVAIGEMCIVIHMVGAYQVMAQPFFRIVEIGANIMWPDSNFINKDYQISMCKTTINMNLFRLIWRTIFVIIATVLAMAMPFFNEVLSLLGALGFGPLVVFFPVQMHIAQKSIKILSSKWCAFQILNCLCLFVSLVAAVASVHQISQNLHKFKIFGYTN >CAK8543533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617219232:617219715:-1 gene:gene-LATHSAT_LOCUS12284 transcript:rna-LATHSAT_LOCUS12284 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIAGTSTSSIIRTGLVHKQSLGVSFQTVVGLPSMAKVGRVRCSIEGKHDVQESSPNIGMGASLIAAISAATLSSPAAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFIYASSLDEDDDSGLSL >CAK8568540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615037347:615037649:1 gene:gene-LATHSAT_LOCUS21487 transcript:rna-LATHSAT_LOCUS21487 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTQQLSAYNGTDPSKPIYVAMKGRVFDVTTGKSFYGPGGAYAMFAGRDASRALAKMSKNEEDITSSLDGLTEKEIGVLNDWETKFVAKYPIVGTLVN >CAK8562791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537613467:537615493:1 gene:gene-LATHSAT_LOCUS16258 transcript:rna-LATHSAT_LOCUS16258 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPTNYFQTHFMDIHEEVLSEGDEEGTIKNEEREEKYLNKTTKPREPKRNRGRLNRQVSLETGFSVLNRERKGKDERKVLTRSGTSLGGLNPANKIGLEGQKRDFSIFKTKSTLSKQNSLLPRKIEKELESQKINGTSGVDNDSVNASVPAGRYFAALRGPELDEVKDYEDILLPTDEQWPFLLRFPIGCFGICLGLSSQAVLWQNLATSPATRFLHITPNISFLIWLLALAVLIAVSITYILKCIFYFEAVRREYFHPVRINFFFAPWVVCMFLAISVPSKLAPQQTLHPAIWCTFIAPYFLLELKIYGQWLSGGKRRLSKVANPSNHLSVSGNFVGAVLASKVGWKEPAKFFWAVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAACIAWQSIYGEFDGISRNCYFIALFLYISLVVRIKFFTGFRFSVAWWAYTFPMTTVSVATIKYAEEVPAFISKSLALGLAFMSSTMVSVLFVSTLLHAFYWRTLFPNDLAIAITKRKQGGEKKPLKKAYDIKRWTKKALTKNSENKDNSKNNGYNSSYDNSSVMA >CAK8537760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428041984:428042121:-1 gene:gene-LATHSAT_LOCUS7016 transcript:rna-LATHSAT_LOCUS7016 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGAVYLNNSDNVSETESRNAGPIIHARCLSENKISVVTNTKLD >CAK8578370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624491981:624493279:-1 gene:gene-LATHSAT_LOCUS30387 transcript:rna-LATHSAT_LOCUS30387 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFLSRELIKPSSPTPSHLRTYPLSFLDNLCFHKYVPVIFFYNPNQNTNQNSKISQLRKSLSQILSKYYHFAGSLKDKISIECNDQGVSFLVAKTKNKLSDILQNPTEILLNPLFPDELQWKDMDWTATLIFIQINCFACGGIAISICMTHKLGDASTLFNFMNDWAIVNQKVEQEQEEGLGLGLLDGGASIFPQGNIPIFPEIVLVKGNNVVSKRFVFEASKINSLKEMVRNSVDFSPTRVQVVTALIYQRAVLTRGLNFKTATFNMAVNLRKRMVPPLSEKRVGNIAWLSGLTADKEKMELPDLVFKIKEGLSEFCDVIPENFGGKEKDNFSFISEIWENINETLTEDNHLFLFNSWCRFGIYEVNFGWGKPTWVTSFCCSLRNLIFLLDTKDGNGIEAIVNLEEKNMAKFENDVELLQYASLNPSIV >CAK8540258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547179291:547183008:-1 gene:gene-LATHSAT_LOCUS9281 transcript:rna-LATHSAT_LOCUS9281 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSQSEQPNPPKKNRSIIAFVLLRWLIAILLPFVFLFSVPFLLGLLLLILADFSVPNPISLPSHCKIVSTGVDIRSSKICELGLLDYKAKDVFRHFERSKFRCRYDYYWASVFKVEYQDHFSGQKQVAFAEAPSEALPLYCRPNFGAAWLTQYKFKVNETYDCWYTSGISKVHLYQDNLFGCRADEQSTIEKIVQYSTQAMETINYWFSDKRRRAQFWRWEIVAGAISGFSTALISITFIMFLKQLLSSVHRPFAAWILSWRVNAVLIRRVCFLIAYLSFVAWLAIEYGKRLGLTDIFRFPRF >CAK8533439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617771638:617773261:1 gene:gene-LATHSAT_LOCUS3062 transcript:rna-LATHSAT_LOCUS3062 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSGNNKPHAVLIPYPAQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGSDAFDGFTDFCFETIPDGLTPMEGDGDVSQDVPSLSQSIRKNFLKPYCELLSRLNESANIGLIPHVTCLVSDYLMTFTIQAAEEFALPNVLFFPSSACSLLNILHFRSFVEKGLTPLKDVSYLTNGYLETKVDWIPGLKNFRLKDIVDIIRTRDPNDIMLEFLIDVADKVHTDSTIVLNTFDELESDVINALLPMFPSLYPIGPLPLLLNQTPSNHQLASLDSNLWKEDTKCLEWLESKKPASVVYVNFGSITVMTPEQLLEFAWGLANSKKPFLWIIRPDLVIGGLNVLSPEFMNEISDRGLIASWCPQEKVLNHSSIGGFLTHCGWNSTTESICAGIPMLCWPFFGDQPPNSRFICNEWEIGIEIDSDVKRDEVEKLVNELMVEENGKKMRQKAMELKKKALENTSPGGCSYMNFDKVIKEVLLKQN >CAK8568262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587898241:587901301:1 gene:gene-LATHSAT_LOCUS21236 transcript:rna-LATHSAT_LOCUS21236 gene_biotype:protein_coding transcript_biotype:protein_coding MASQILRRTLGSRYLSNPKSLSSASTPIRATLFPGDGIGPEIANSVKQVFQAAEVPIEWEEHYVGTEVDPRTQSFLTWESLESVRRNGIGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVDLVTIRENTEGEYSGLEHQVVRGVVESLKIITRHASLRVAEYAFHYAKAHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEIVYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEEGIALAEAVHGSAPDIAGKNLANPTALLLSSVTMLRHIDLHDKADRIQNAILGTIAEGKYRTADLGGTSQTTEFTKAIIDHL >CAK8567773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543868663:543869925:1 gene:gene-LATHSAT_LOCUS20796 transcript:rna-LATHSAT_LOCUS20796 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVLVDEISYPSKITTTKPLSLLGHGITDMEIHFLQVKFYAIGVYLESEVVNHLQQWKGKSAKELEDNDDFFDTLISAPVEKVIRLVVIKEIKGAQYGVQIETAVRDRLAADDKYEDEEEEALEKTIEFFQSKYFKKYSVITYHFPANSSTAEIVVSLEGKEDSKYVVENANVVETIQKWYLAGSRAISPSTITSLATNLSEELSK >CAK8563517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612241158:612244070:1 gene:gene-LATHSAT_LOCUS16917 transcript:rna-LATHSAT_LOCUS16917 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSRLGSRPSPPRVNNHRFRFSSFLCGSSTSRSISQMEEHQYEELHVNSARHFNDEKVPDESSLSCTEEARISCCPQAETSISTDMRTEFCGNATVEGSYGNGATSSQRNCLSEHKELVSPYQVCAGHNHRESYSDGSNTASTSFVEQRSSDPVSINDFTNMDRINNVDDPVSSGVSRISHHETAHPRSSISQEHGNASSDEISVEIDTNADMSIHSSSSHVAQVSNAPPTSQVPEDETRRETIPSGLGILVSNREIVQGNDGMFQVDVVAISSNILSGSNADADDHDARSNGRRLFWDAFSQRSSRRFGDSPTIVISTGGADDSGSQDRWQVDFDEDLSNDGPGRPPRYRGSRVRRLSERVRHSRSEIWERLRGGLDEIGRLNSSCPLGLHADGMCSCESFPMAEESSSRSSISRIVMLAEALFEVLDEIHRQPSSLSLSTVSLPAPESIVDSFPLKSHKKVDAADGGNDAEQCYICLAEYEDGDQIRVLPCKHEYHMSCVDKWLKEIHGVCPLCRGHVCGGSTGPSVHSEVQSIVEI >CAK8533203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590379354:590380205:1 gene:gene-LATHSAT_LOCUS2848 transcript:rna-LATHSAT_LOCUS2848 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTFSSTPKRNINNNSENSHQIADSSSQLASDSHNIKETEERQARELKVGLHPLKSKFVFWYTRRVPGIRNQSYEDNIKKIVEFSTVKGFWVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGDNICGAVLSLRFNEDIVSVWNRNASDNQAVMALRDSIKRHLKLPHSYIMEYKPHDTSLRDNSSYRNTWSRGKMIGDSKEHHKCNNKDSRPESNLQQQFTVTRKMRVKLRGINHSINKSKQGSV >CAK8565778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:331645987:331649130:-1 gene:gene-LATHSAT_LOCUS18962 transcript:rna-LATHSAT_LOCUS18962 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIEVGGFIYDTTYTSEEDMLRGFTIEGKWNEVMKLYESDPKFSTININESRGTALHVAVNDDNEIVVRNLVNSIIIHKNEKALEWKNEKGDTPLHLAASRGFKDICECIIGKNGERKHLIDIDNNDGESPLFLAALSWQKQTFVYLFNFKPSESDSAVDGNYSYSKDLIRSNGDSILHCAIRREFFDLALIIIHKYPDLIVIPNRHGFSPLKLLATRPSAFKSGCTMIWWRRILYHCLPVGILKVEEAVKYYAMKRSKSISNKKCPKNYDTCYFFLHKCKEYADPVTRFVKRLLMKETTSTVADGSENYQHRNIPMESEHKLLPKNYATCLWFMKFANIYTLGLSGVGVEEITKMKQKHKWSGQLLKRFMENPYESYLGTGAKPTRYESGADFISAYNQNQGDNNSEEPKISATLENETTILTKAKSGIVEIVDVLIKKIPKICTTLESEAAILTKAKHDIVEIVDELITKIPKISETLESETAILTAARNGIVEIVDELITKIPSSIYDVNLENKNVLLVAVENRRTNVVKALRKRFEEGNKKTIFDNLVQGVDMEENTVLHLAATRSDRDWHIPGAALQMMWNIKWFQYTKELVPEHFTVRTNKKDKTAGELFKNSHAKLLKEGSAWLKDTSESCSVVAALLAGVSFATSSTVPGGNQSDTGEPTLEGRPAFDAFAFSSVIGLCFSVTALIMFLSILTSRKEAKDFRIDLPRKLLLGLSSLFLSIVAMFVAFCSGHFFLIDHKFKHIVLLIYGVTCFPVTLYAVAQLPLYIDLLKGIVTNVPKTSDKGEGF >CAK8536098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906530272:906531953:-1 gene:gene-LATHSAT_LOCUS5498 transcript:rna-LATHSAT_LOCUS5498 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRSLRSLKVQAKFIQTIRRTVGAIETHFSFKLFCTQTTQNQDSLCKRIEKLPKGESIASAFRSWMGDGFPVRGTDVFHTINRLRKLNFNKRALEVMEWVIRERPYRPRELDYSYLVEFTTKLHGIPHGENLFTRVPVEFQNELLYNNLVIACLDKGVVRLSLEYMKRMRELGHPISHLVFNRLIILHSSPGRRKMIPGLLTQMKADKVTPHVSTYNILMKIEANEHNLENLMRFFDRMKRVQVEPNEISYCILATAHAVARLYTATEMYVEAVEKSMTGNNWSSLDVLLILYGYLGSQKELERIWDIIKGLPFVRSKSYLLAIEAFGRIGELNRAEEIWSEMESLKGLKSVEQFNSMMTVYCKHGVLDKASRLYKNMKTNGCKPNAITYRHLALGCLKSGKAEQALKTLELGMRQTVNKKISNSTPWLETTLSIAEILAEKGDVENVERLFEEFHKARYCRYTFVYNTLIKAYVKAKTYNPNLLRRMILGGANPDAETYSLLKIAEQFRT >CAK8536571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955783106:955783634:-1 gene:gene-LATHSAT_LOCUS5927 transcript:rna-LATHSAT_LOCUS5927 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHIDASASTTEPVGYPGGPYDTSLLVKYEHHIVRHIWFGEERVKGSRTWVEVGSEGFTTSLTKIDTDLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIREVFWSPQDINEGRVVDYLGVSQQQVRDCKGSYYKLE >CAK8530461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22910785:22919679:1 gene:gene-LATHSAT_LOCUS324 transcript:rna-LATHSAT_LOCUS324 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSSSPSSSSKLCFNSDCKDFKSERPKKGWRLRTGDLAELCDRCGSAFEEGRFCDIFHANASGWRNCETCRKRIHCGCIISSNTFVLLDPGGIECFTCARKNIILPSNLPWPQSLSLQNRLSERLRDISAKNWSQLAGSGPVPWKQAPSLFNSASSSDQHPDGHSVVELSNNFDKNYVNERLPTSSLEKKNEDFSGISANWNVKLGSREMVLMNGMRNDDKSSPCLNMCQQHHSLKEDSSSQPFGLSVPYSSANERNGLIGLTGIHSQQTPPHPGKQFSGTMHLALDSSGETQVRNGRPRADARGRSQLLPRYWPRCTELELQQISIDSNSVITPLFQKTLSASDAGRIGRLVLPKKCAETYFPPISQPEGLPLKILDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRKATSAMLPDQDNEANKTGNGFSAHEVELGDPSSWSKIDKSGYIAKEALGSKSLISRKRKNNILGSKSKRLKIENEDIIELKITWQEAQGLLRPPPNHVPSIVVIEGFEFEEYEDAPVLGKPTIFTSDNMGERIQWAQCEDCLKWRKLPACALLPSKWTCSDNSWDPERSSCSVAQELTAEQLENLLPPCNSAVSKKMKAAKQDPDHAEALEGLDTLANLAILGEGEALPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKKQSEREAETTRKKMQQQNSQQLPSSEILLDDDSLHNSNNTGDSSPNMNKEGNDGSDDDPHRIKSSVSPFKGRIDLNIQPEREEELSPGSDSGGVMKLLHDATEICLKQQQNVLNSGTGDSSGSQSQQVGNVAREEKLSNGVIHGSSSHNADKEHAQSLSMNV >CAK8573365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605883089:605883619:1 gene:gene-LATHSAT_LOCUS25823 transcript:rna-LATHSAT_LOCUS25823-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTICSVFLGLILISQYPFAANARHSVGLHSSLISTVCSASSNKAQCDAILGSNPQAAHAKSFGKLAKVVLQMAYEKASAGQTFLKGLAAATNCPALTQCANFDYDGVVMSFRSSLEELKEDRQTANYDVKVASDGPAQCDRGMVAGHVVNPQVTELNRQITFYSELAFLVTNL >CAK8573364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605883086:605883619:1 gene:gene-LATHSAT_LOCUS25823 transcript:rna-LATHSAT_LOCUS25823 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSTICSVFLGLILISQYPFAANARHSVGLHSSLISTVCSASSNKAQCDAILGSNPQAAHAKSFGKLAKVVLQMAYEKASAGQTFLKGLAAATNCPALTQCANFDYDGVVMSFRSSLEELKEDRQTANYDVKVASDGPAQCDRGMVAGHVVNPQVTELNRQITFYSELAFLVTNL >CAK8537766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428994058:428995440:1 gene:gene-LATHSAT_LOCUS7021 transcript:rna-LATHSAT_LOCUS7021 gene_biotype:protein_coding transcript_biotype:protein_coding MREPIVLWKFLDLEEECSGVKLKAHVELMASSSKPPKLFADAVNNVCDIPVSQLPKPCVKGGRLSIVIPEEEYLLGVESCKHNLHGRIIWPKGSTPLTVQNLKTKLLNLWKSIGKWGITSLGKGYYEFSFSTLEDVRRVRSISSWNLNLGFLKLSPWTKDFNPSILKQSSAQVWIRIHGLSQEYWRPKIIFAIASSVGIPLCTDSASNRCCFERSFGHFVRVLVDLDLNSDIRYKVLVERSGFAFFVDLEYENLPEFCKHCNSIGHSLEKCKHRGDEGESGAQKQKVVDEADAGKPNNSKKHNFVQVPINNPTIIKSVDLSQIHTAVENSHDKDNQNQVNIGGPSGILEVVNTVDEVVVADDIDKTLALVSEEENNFVDAECIGNTQRNSVEEVPETNPELQQDLMFLNASWNNVLNPLGVDDDGFVKVKSKSKRKMQKNNVSRGNYKTRSRSGSASNTP >CAK8561523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:269583586:269593125:-1 gene:gene-LATHSAT_LOCUS15106 transcript:rna-LATHSAT_LOCUS15106-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLSQLSSFTATILGRTTRFVSKNRTPSNFSFPIKLLHSCVRSEFTLTGSRCYSTRKSRKSGSSQLQKVEAETTMDQEKDAFYVVRKGDVVGIYSSLSDSQAQVGSSVCDPPVSVFKGYSLSNETEEYLISHGLKNALYTIRASDLKEDLFGSLVPCPFQDPSSTKGTTSNADTSKKRAIGVLGHDNLDPSSTKGTTSNADTSKKRAIEVLGQDNVKSTGLTSISEDPFRKQVKLDRAAVSEASLLATKTCIVEFDGASKGNPGRAGAGAILRSKDGNVIHRVREGVGIATNNFAEYRAAILGMKYALKKGFTNICIQGDSKLVCSQIDGSWKVKNESLSTLYKVAKELKDKFVSFQISHVLREFNSEADAQANLAVHLADGQVQEE >CAK8561522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:269583586:269593125:-1 gene:gene-LATHSAT_LOCUS15106 transcript:rna-LATHSAT_LOCUS15106-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLSQLSSFTATILGRTTRFVSKNRTPSNFSFPIKLLHSCVRSEFTLTGSRCYSTRKSRKSGSSQLQKVEAETTMDQEKDAFYVVRKGDVVGIYSSLSDSQAQVGSSVCDPPVSVFKGYSLSNETEEYLISHGLKNALYTIRASDLKEDLFGSLVPCPFQDPSSTKGTTSNADTSKKRAIGVLGQDNLDPSSTKGTTSNADTSKKRAIGVLGHDNLDPSSTKGTTSNADTSKKRAIEVLGQDNVKSTGLTSISEDPFRKQVKLDRAAVSEASLLATKTCIVEFDGASKGNPGRAGAGAILRSKDGNVIHRVREGVGIATNNFAEYRAAILGMKYALKKGFTNICIQGDSKLVCSQIDGSWKVKNESLSTLYKVAKELKDKFVSFQISHVLREFNSEADAQANLAVHLADGQVQEE >CAK8561524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:269583586:269593125:-1 gene:gene-LATHSAT_LOCUS15106 transcript:rna-LATHSAT_LOCUS15106 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLSQLSSFTATILGRTTRFVSKNRTPSNFSFPIKLLHSCVRSEFTLTGSRCYSTRKSRKSGSSQLQKVEAETTMDQEKDAFYVVRKGDVVGIYSSLSDSQAQVGSSVCDPPVSVFKGYSLSNETEEYLISHGLKNALYTIRASDLKEDLFGSLVPCPFQDPSSTKGTTSNADTSKKRAIEVLGQDNVKSTGLTSISEDPFRKQVKLDRAAVSEASLLATKTCIVEFDGASKGNPGRAGAGAILRSKDGNVIHRVREGVGIATNNFAEYRAAILGMKYALKKGFTNICIQGDSKLVCSQIDGSWKVKNESLSTLYKVAKELKDKFVSFQISHVLREFNSEADAQANLAVHLADGQVQEE >CAK8539502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514284573:514286838:1 gene:gene-LATHSAT_LOCUS8589 transcript:rna-LATHSAT_LOCUS8589 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKKNVKAAHSVHEPTVPEPTVPETTVPEPTVPEPKQTHLMDQEIQELERQIGEIRAVKEVKTKHLLEDLQLLRSSFSEEQLQKPVLEIFEQILPNLSIANDKETNKCDVTWKDKAPMAMSMSYDDVHASLLQRLSMAYPHCPSSIPPSAGRTSFVGAGNPYLKDFVLEELSEAQTLVMQEGLETPGVSSQRMSVGMTPKTLRLPKPGEMLLSVHGSPLGAYKDNNMEAIQESEES >CAK8579602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711992495:711993127:-1 gene:gene-LATHSAT_LOCUS31535 transcript:rna-LATHSAT_LOCUS31535 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRPHHLFVLSIIFIASTFSSSTATGEQPPTTGNDGDTEFIRSSCNTTLYPDICYTSLSRYANAVQQNSGQLARIAIAVSFSKVHRTATYLSNLTRVADYSGNSRAALALHDCFSNLNDAVDEIRGSINQMRQIGAAGTGVDSFLFQMSNVQTWMSAALTDEETCTDGFQDVENCPMKTDVNNRVTKVKKFTSNALALVNGYASKGMP >CAK8565192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:63060569:63062290:1 gene:gene-LATHSAT_LOCUS18426 transcript:rna-LATHSAT_LOCUS18426 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVCKQNRNSDRDIATFISTGFVGQLRGWWDHYLTDSQKKDILVSKKMIKSEALVIEASGVATTGEEDAVYTLCLSILQHFVETNIPIGEKIQTLLQNLRCPSLTHFRWYKDTFLSRIYQLKNPNYIHWKAKFIDGLPHFFSEKVRHSSRSKKDGININYPDLTYGQIIITCVNEGLTLCNDIKLKNHLKKQKHSEKHQMGEFCDQFAFDLGRSSENKKKKGNNFRNKPHRNKYSYTNSCKKNKKRSHFNKSRPKEKTFIHKGKRKAKILDVSCHKCGKASHYANNCWTKKALNEIKDEQFRSQLEKVYLLKSDSETNSSNEDIDMIYESSSDYSSDNPDNNNCQHNKIDYWKSIVDMNGLNVLTTEQDEAIKAIESISDNNLKRKMLEVLIKEISKKKSPIINEAPYQLSEVLSRFQQSNICETPISLTDLNRKINLLKSKITHIKKDNQKLSQRVSLLETGNLKVEEISSTSSLSTNDKFLTLIDIVISQKWFVKITLVINRTFILENEVTLIDSGADLNCLQEGIVPTTYYTKTTQILAQASGDKLTFNYKLPNTYVCNQDICLPIST >CAK8572327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529823846:529826201:1 gene:gene-LATHSAT_LOCUS24903 transcript:rna-LATHSAT_LOCUS24903 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGSETEVTWEDQQNINKFGRLNNRLHELNDEIKFAKEANDNLEDASNELILTDEEVVRFQIGEVFAHVPKDEVESRIEQMQETTSQKLEKLEEEKQSVVAQMSELKKILYGKFKDSINLEED >CAK8537691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421155808:421156092:-1 gene:gene-LATHSAT_LOCUS6956 transcript:rna-LATHSAT_LOCUS6956 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDVFKVLSVFKVYSQGFLGLPHQQNWPTYEGFTLFYDETMRRNKKRRPNSTRITTEIDDFEKEKRRCGICREIGHMQRKCPNVAGPSNRPV >CAK8567528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:521918454:521919548:1 gene:gene-LATHSAT_LOCUS20574 transcript:rna-LATHSAT_LOCUS20574 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQQQQQPSKSILCKYTEHHTVTKKLITTKKTANSNKTNSTIYPRVVQISVTDPDATDSSSDEEESFSRKRTKRYIHRVEIEATAKAVVNRKRPAGETSTVKRPAKVPAVISGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTFETAEEAAMVYDNAAIKLRGPDALTNFITPPQREKPVEVEPSAVKTEMKVIVDADPNPDADAEASGSGNSGYDSGEDRCVPLSSPTSVLNFRSNSGESQQSEEQNEACDVFRECQGETNLLDETASFFQHEFPMPSWDDLFNFETPEFPMLFEEQQNMMFDENTTAFLCEQELNDSIVLADSLIDFDKACFSPPSSSLCQMDDFFQDILSGSDPLVVL >CAK8544701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700241434:700244535:-1 gene:gene-LATHSAT_LOCUS13357 transcript:rna-LATHSAT_LOCUS13357 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKEFATYEYHNNNQPNQFQFAMHDKGVLPKRIIVVRHGESQGNLDPGAYTVTPDHKIPLTPQGVAQARLVGSKIRHVIASSSSSPDWRVFFYASPYARTQSTLLELARSFSKKRVIGVREECRIREQDFGNFQVQERMNAIKETRQRFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLNHNPSNDLNLIIVSHGVASRVFLMRWFRWTVEQFELLNNFENSEFRVMQLGSGGEYSLAVHHTEEELHEWGLSPEMIADQKWRANGHKSPSNDQSLRYLEAFFDLLPDSDDEVVDTEDKEFFECV >CAK8544702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700241434:700244463:-1 gene:gene-LATHSAT_LOCUS13357 transcript:rna-LATHSAT_LOCUS13357-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDKGVLPKRIIVVRHGESQGNLDPGAYTVTPDHKIPLTPQGVAQARLVGSKIRHVIASSSSSPDWRVFFYASPYARTQSTLLELARSFSKKRVIGVREECRIREQDFGNFQVQERMNAIKETRQRFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLNHNPSNDLNLIIVSHGVASRVFLMRWFRWTVEQFELLNNFENSEFRVMQLGSGGEYSLAVHHTEEELHEWGLSPEMIADQKWRANGHKSPSNDQSLRYLEAFFDLLPDSDDEVVDTEDKEFFECV >CAK8572701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557135803:557136432:-1 gene:gene-LATHSAT_LOCUS25234 transcript:rna-LATHSAT_LOCUS25234 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYGLLDGIREIKEEVPTHFSSKYLELEKDRPVMDECLLNSLSSADMLLLEAEFSDLEIKEAVWDCEGAKSPGPDGYNFTFIRNCWHFMKVDISRFIKDFHSKAKLSKSITSSFLSLVPKKMNPLSLDDYCPIFLVGCLHKIISKLLDVCLKRVLDELISRNQSTFIHGRQMLDKVLIVNEVVDFSTRENKQCLLFKVDFEKAYDKVN >CAK8579138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679106776:679106985:-1 gene:gene-LATHSAT_LOCUS31106 transcript:rna-LATHSAT_LOCUS31106 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVILCPGLLFQIPGRGRVIEFGNMQTSGASILVHAIIYFGLITILLIAIGVHIVTG >CAK8560332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14588509:14591065:1 gene:gene-LATHSAT_LOCUS14015 transcript:rna-LATHSAT_LOCUS14015-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRSFMLTSPEKLKQFRGQQKPKEFSSPPVMGAQTATLPPPPPPKESFIRRYRFLWPMLLAVNLGVGVYLFARTSTKKKDAVEEEKDAANQIPANGTASLVSVNGTSTPISVKDALALLAEQSVSPPSYTNPAIKREPIPEGQQRELFKWILEEKRKIKPKDEEEKKRIEEEKALLKNLIRSKSIPSI >CAK8560331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14588484:14591065:1 gene:gene-LATHSAT_LOCUS14015 transcript:rna-LATHSAT_LOCUS14015 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGAAGNGSSPKLYAHKPRKAQLKQFRGQQKPKEFSSPPVMGAQTATLPPPPPPKESFIRRYRFLWPMLLAVNLGVGVYLFARTSTKKKDAVEEEKDAANQIPANGTASLVSVNGTSTPISVKDALALLAEQSVSPPSYTNPAIKREPIPEGQQRELFKWILEEKRKIKPKDEEEKKRIEEEKALLKNLIRSKSIPSI >CAK8568011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562906511:562907867:-1 gene:gene-LATHSAT_LOCUS21013 transcript:rna-LATHSAT_LOCUS21013 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQPIYIFENGKPNHNGEKLEVGLVFENKEACVLFLQHWHISNNLDYAVYKSDSVRYIIKCKNPQCVFKCRAALRKKSTLWEIATIRGSHTCTTTSMSQDHRKLDSEIISHNIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVESLYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITEDGTQLGDKRKFPRLFWAFEPCISGFSHCKPIVQIEGTWLYGKYKGTLLMDVAQDGNGNIFPIAFALVEGETKDGWSFFLRNLRMHVIPQAHLCLIFDWHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEVTFNYYSGELRRTDRATLEWIDNIPKEKWSMAFDGGQQWGHMTTNLTESMNLVLKASRNLPITTLVKST >CAK8563083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572375607:572376740:1 gene:gene-LATHSAT_LOCUS16528 transcript:rna-LATHSAT_LOCUS16528 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSSEILDDIKEKGKLENMENHTSDSGFLICQLGRDTSIHCLLRLSRSDYGSIAALNRGFRSLIKTGELYQLRRKLDIVEHWVYFSCDISTWEAFDPNRNRLMQLPRMTSNVCFMHSDKESLAVGTELLVFGREINGPAIYKYSILTNSWLKGENMNTSRCLFGSASLGEIAILAGGSDKDGKIMSSSELYNSDTGTWEILPDMNIARKMCSAVFIDGKFYVLGGVGVDKTTQLTCGEEFDMNTREWHIIPNMCPPRNEGDGVNGEAPPLIAVVKNVLYAADYAQQEVKRYVKDKNLWVTIGSLPERTTSLNGWGMAFRSCGDKLVVIGGPSFQGGMVTEINAWIVDDDEGAPHWNLLAIIQSGSFVYNCAVMGC >CAK8573347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604646142:604647381:-1 gene:gene-LATHSAT_LOCUS25806 transcript:rna-LATHSAT_LOCUS25806 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDMEERPEEIRDFMGTSREVDKFARKFDERSRQEEEIFNRLPMTKQERKKEKYMKKATNGMQGLTENLFDEIKGLPFEDDTGEQTMGFKKGPRRNGKHGKLKKRKRKH >CAK8539466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513402540:513404717:-1 gene:gene-LATHSAT_LOCUS8557 transcript:rna-LATHSAT_LOCUS8557 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPIAQEKTVSHAFDYKGFPAERSKTGGWTSASMILGGEIAERLATLGITVNLVTYLTGTMHLGNADSANIVTNFVGTSFMLCLFGGFLGDTYVGRYLNIAVFAAVQASGVALLTISTIIPSLSPPACLEHTPCVQANTKQLMVLFVALYVTALGTGGLKSSVPGFGSDQFDATDKQEKKQMIKFLNWFYFFANLGSLMAVTVLVFIQDHQGRDWGYGICVCAIVLALVVFLCGTRKYRYKKPVGSPLTQIVVVFVAAWRKRNLQLPSDSAELFTEDDVLHEAPRIKQRLPHSKQFRFLDKAAIKDFESVGGIMKINKWHLSTLTDVEEVKLVLRMLPIWASTVVFWTVQVQMMTLSVSQATIMDLRIGKSFQIPAASMTSFLIGTILITIPFYDHFISPVARKVLKNPQGLSPLQHTGVGLFLSIFSMVAAALIEKKRLTFVKSHDYFFNPTQNIQLSVFWLVPQFCIVGSGEAFMYMGLLDFFLRECPDGMKTMSMGLFLSSRSLGFFFSSLLVSTVNKITGPTTPWIANNLNVGRLYNYYWLLAILSAINLLIYFACANWYVYKDKKVSEEGMED >CAK8566519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432484506:432485178:1 gene:gene-LATHSAT_LOCUS19643 transcript:rna-LATHSAT_LOCUS19643 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSMSGFCIRTGSCVRSGSTTSGTKCGRWNPTNEQVKVLTELFSSGLRTPSTDQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRRKVSSSDDDDDNSIRRENTTSRNSSSPNFAQLYQVSEPKRVIETLELFPLNSFGESGSKNLRNYTNECRDSSNNNMFSYTMREQMDHPPLDLCLSFM >CAK8576672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504749374:504749691:-1 gene:gene-LATHSAT_LOCUS28845 transcript:rna-LATHSAT_LOCUS28845 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKFSNKLPQHAVLKQILKRCSSLGKKNDYEDNEYPIDVPKGHFPVYVGENRSRYIVPISFLTHPEFQSLLRQAEEEFGFDHDMGLTIPCQEVVFQSLTSMIR >CAK8578425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628521303:628522360:-1 gene:gene-LATHSAT_LOCUS30438 transcript:rna-LATHSAT_LOCUS30438 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHQDQQSKIIYELSSLVFNLLQFPPMPLSYSDRAPIMPVPPSVSSLRRSMAANQITPAGFVSLLLGISTALMLCGSVTFVIGFMLLPWILGLVMVFYVAGIVSSLSVLGRSLFWFASPRKDYPEWKLLCRDKYGVE >CAK8564020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646644891:646646263:1 gene:gene-LATHSAT_LOCUS17368 transcript:rna-LATHSAT_LOCUS17368 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCKLTCYYFLLINMFLLHLTVRSQLTTNFYSSSCPNLTKIVRKEVVKALMNEFRMGASLLRLHFHDCFVNGCDGSILLDGGADTEKFAFPNVNSVRGFDVIDTIKTSVESACSGVVSCADIVAIAARDSILLSGGPSWNVLLGRRDGTVSNGSLANVVLPSPFDPLSTIVSKFTNVGLNLTDVVSLSGAHTIGRARCALFSNRLFNFSGTGSADSTLDTTMLSDLQSLCPQTGDGNTTAVLDRNSSDAFDNHYYKNLLNGKGLLSSDQILFSSDEANSTAKPLVQSYNDDSTLFFGDFVKSMIKMGNINPKLGSDGEIRKSCRVINS >CAK8572478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539625947:539626389:-1 gene:gene-LATHSAT_LOCUS25041 transcript:rna-LATHSAT_LOCUS25041 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFDPQPPHKWLTLPDMGYVIENRYNVVLVCLGFECWTFFPMTTSFSPNVSFYCIGFVNTNHWVQVNMKEGFPLPPVTVDRKKFCSPATTSWMLGFAGRLQHWQQLTPILPTHYEL >CAK8578836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657611986:657612543:-1 gene:gene-LATHSAT_LOCUS30819 transcript:rna-LATHSAT_LOCUS30819 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLDYLSDLMSSGHQHLKKKKKQLQTVELKVRMDCDGCELKVKKTLSSLSGVKSVEINRKQQKVTVTGFVEPNKVLKKAKSTGKKAEIWPYVPYNLVAQPYAVSSYDKRAPPGYVRRVENAPTTGTMTRYEDPYVNMFSDENPNACSIM >CAK8533488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:624367027:624370582:1 gene:gene-LATHSAT_LOCUS3111 transcript:rna-LATHSAT_LOCUS3111 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNQHQFRYTQTPSKVLHFRNLPWECSDEELADLCSPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYAASSEPAQVRGKTVYIQYSNRHEIVNSKGPGDVPGNVLLVTFEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKTAGFQALIQFTDAETASSARNALDGRSIPRYLLPETVGSCNLRISYSAHTDLNIKFQSNRSRDYTNPMLPVNQTAIDSALQRKELESNVLLATIENMQYAVTVEVLNTVFSAFGTVQKIAIFEKNGQTQALIQYPDVTIAAAAKEALEGHSIYDGGYCKLHLTYSRHSDLNVKAFSEKSRDYTVLNPSLHPAWQNTQAAPMYPGLQGQMPSWDPNQQEVTQSYLSAPGTFPSGQAVPPVPGYSPAAAPPFDASPHSHMPPGSFADASPPGIQPYYG >CAK8577079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535570202:535570555:1 gene:gene-LATHSAT_LOCUS29219 transcript:rna-LATHSAT_LOCUS29219 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCKEKREAVEVNAAVDDVSSPKAKEKESGDLEAESLLAMAVIRKQLNGIHIDSNKHNWDPQTPNLGIFDPFAPASEDMVRAPRSRKYHDEEMRNNITRKLLFSSATQQQDESASE >CAK8565946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357143454:357143859:1 gene:gene-LATHSAT_LOCUS19119 transcript:rna-LATHSAT_LOCUS19119 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAILTDKKNHVPMYQKANILMSLENFDEALEVLEDIKEYAPCESCVHALMGMIYKRSNMHETTMFHYGIALDLKPSATDVAAIKAAVEKLHVSNEMGDNF >CAK8571468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:424787760:424788602:-1 gene:gene-LATHSAT_LOCUS24131 transcript:rna-LATHSAT_LOCUS24131 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLALCLSFAFLFYVVGGAKVTFTNKCGYTVWPGTLTGDQKPQLSTTGFALEPQATNSVDLPSPWSGRFWARTGCSNNNGKFSCATADCASGQVECNGAGAIPPATLVEITVAPNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPANINTVCPAELQVKGSDGSVVACQSACLKFNTEEYCCRGSHNTQPTCPPSNYATIFKNQCPDAYSYAFDDKTGTFTCFGGPSYAITFCPS >CAK8579520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705411352:705415113:-1 gene:gene-LATHSAT_LOCUS31461 transcript:rna-LATHSAT_LOCUS31461 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGTYRLNRKIGSGSFGEIYLATHIDTSEIVAIKIENSKTKHPQLLYEAKLYNVLQGGSGIPGIKWFGVDGEDNVLAIDLLGPSLEDLFVYCGRKFSLKTVLLLADQMITRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTSNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVFLYFLRGSLPWQGLRAATKKQKYDKICQKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDFVFDWTILKYQQTQKHRVPPSLSPVPEASNNRAVPMDVDNHQGHGEERIKSGNTAGSGVKVQFKSPTARNLSSDNPLDKNIFGEANMPSTSHSPAGTSKRNPLKPALYTEPSNPGHGQGSKIGPSSSWMSSLQHISSSK >CAK8578095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606540511:606544126:-1 gene:gene-LATHSAT_LOCUS30136 transcript:rna-LATHSAT_LOCUS30136 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMWDMMKPALLMVLVQIVFSACNVLYKLAIFDGMSTIVIAAYRLAFAAITTIPLALVFERKRPQMTWRVFYLSLLSGLFAGTLFQNLFYGALVLASATLVSAIYNLIPTITFVLAVSFGMEKLNWVASSGKAKVTGTIVGLVGALVLTFYKGVEFDIWPFKINLLDPEDKHKEHVTDTTSELLGVLCAVVSCFCFSIWLIIQAKISEVYPCPQSSIALMSVIGTIQCVILSFVVESDLNQWKLGWDIRLLTVAFSGIGASGLMILAMAWVVQMKGPLYASAFNPLLLFIVAIVASMVLDEKLNLGSLLGGVLIVCGLYAVLWGKGRETQKKKIAQAPLDIIGDLEATTPLLSP >CAK8566981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477587354:477588526:1 gene:gene-LATHSAT_LOCUS20075 transcript:rna-LATHSAT_LOCUS20075 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKLDASSQNVQLQYENCNRYESQENKKKTTSAVHSEIIQSHHGKPQTFSSQRSCLDMKISKFLNNRPSVYGQVYESLAVSNVVELFKLVFLSTATSPLAPNLLADILRRYSEHDLLAAFNYLREKKIMVGGNNSRFELSHRFLHSVSQSSFPFETGKKAVKFSAWLKERDKDLNVMGTDLAENLQYGDTFHLFALISSGELSISPSLPTKGVGEADDLRSGKRKPDAKGEIISRREKGFPGIIVSVHRTTVSRADILDLFKENDNNNNDQHCEGNFQVNSAQSSNCSLTDHMLETVNSCDQVPENKNHIESPWEAMTEYVRHLMTVPSNQEQKCVVCAEVFMVVYDTIKKADDLKVSEAKKATAWCTCQG >CAK8532829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:523645601:523646107:-1 gene:gene-LATHSAT_LOCUS2493 transcript:rna-LATHSAT_LOCUS2493 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMGLSPREQVNEVKQQNQHQKENPLLLYASNILHFSLTSISNAPPPRSSPSAAAKLPFATPYIISSKLSIIPFQFQPTQQNSSEIDVGVTFVVETELKTKSQVLF >CAK8541127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69030472:69034996:-1 gene:gene-LATHSAT_LOCUS10074 transcript:rna-LATHSAT_LOCUS10074 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNRRSGGTVQLEYLIHIQELKPWPPSQSLRSIRSVLLQWENGERSSGSTKLVSSSIDEGKIEFNESFRLSVTLVKDMSVKNADAEVFQKNTLEFNLYEPRRDKIVKGQLLGTAIVDLADCGILRETLSISVPLNCKRNYRNTDQPLLFVKIDPVEKNRTKSTLKDSLSKENCSSGDSVSALMSGEYAEEAEIASITDDDVSSHSSLAAVTNSPESSGYMLPNREENGSARENGRDDKEHHLVSETRDGKLNKMQQDMYVRQERNPGNGHNSMENTPNNGLETTQKHVVSPSADYFPISLEESSTSRSKSSDHENSNQEIQVKATNVQTNNNEGAYSSNTVSLDSNCFMNKSPGSVKCDGLEIKDKLNERCEEEDKYCTREGGSDEYYYSSVEDKLGNIPKSERLKYVKSVRSSGDSTRSTGSLGSNHHGDVKENGINGDAQNNGGNIRSSDRKDGKVYPRDARNTSLDSKIENLENKIKMLEGELREAASVEAALYSVAAEHGSSMSKVHAPARRLSRLYIHACKENIPARRSGAAKSAVSGLVLVAKACGNDVPRLTFWLSNSIVLRTIISQTTKDVARSSLSGTSARRKSVEGNGKIVQPLIWKGFSPKKSENIAVEYGGFANWDDPNVFTSALEKVENWIFSRIVESIWWQSLTPHMQLVDAKITHNGVSSVISKSLTKMSSLHGQDTGNLSLDIWKNAFKESCERICPVRALGHECGCLSVLPRLVMEQCIARLDVAMFNAILRESADDIPSDPISDPISDPKVLPILPGKSSFGAGALLKTAVGNWSRWLTDLFDMDDDDSLKDKDDEIDNNDGNASFKAFHLLNALSDLLMLPKDMLLSSSIRKEVCPMFGASIIKKILDNFVPDEFCPDPIPADVFVALDSQGDLEEENESVNNFPCIAAPIIYSPPQAITIANIVGEIGHESQINQLRRSRSSVVRKSHTSDDELDELNSPLSSILFCNSSPPVSTKPNSKWKEIHNESSVRYELLRNVWMNSK >CAK8572306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527926564:527946619:1 gene:gene-LATHSAT_LOCUS24884 transcript:rna-LATHSAT_LOCUS24884 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPSSSSSSSLHSLQRLPSRFSPRLPFTSFRPLPNQKPRNLTSRTSITFTAKQRNETVLAVTRQNQEERTTLSKCLTKELVRSLFCFAIGVSALGAFRIAPALAFPNIPWAIFSFKKKVKRNSHEYCDCTQRVLDTISGLLRSIEEARKGNGDMEDVRRALNAVRLEKEKSEREILERVHPQLMDLKEDLQKLELQGMEISEQIDAVKREYDRLTGDELDVEKVVNEVEEKMLEQRMVELVKNYNENSKKIGEMEDVISRKETVALSYGVLEVLFIERECEKLVERFKQEVKQKEFESSLASSVNGLSKSIVQKDLETVQRKHLEQTILPSIVDVDDLGPFFHQDSVDFAQRLKRSLEDSKEQQENLVTQIGKNMKYDKEKRSIVYSPEEEETILLDRDRVISRTWYNEEKNRWEMDPVAVPYAVTKKLIEHVRIRADWGAMYIALKGEDEEFYIDIKEFEILFKDIGGFDGLYRKMIACDIPTAVHLMWIPLSELKLRQQFSVILRPPRLFLSDQWNSEAALTARSWFFDTIKDITDDLMTVIGFPVVELLLPNQVRVKLGMAGTEEWAMDTTWFLKWQLNAETRTNTRRTGSEIQWFFLFITRTAISGFVIFHLFKFMRGKIPRLLGYGPVSVRKNPNKRKLGLVAYYFEKRWRSMRSKRREGVDPIKTAFEHMKRVKKPPIPLKNFSSIESMKEEISEVVRFLQNPRAFQEMGARAPRGVLIVGERGSGKTSLALAIAAEAKVPVVKIKAQQLEAGMWVGQSASNVRELFQAARDLAPVILFIEDFDLFAGVRGKFIHTENQDHEAFINQLLVELDGFEKQDGVVLMATTSNIKQIDEALKRPGRMDRIFHLQKPTQAERENILYSAAKETMDDQLIDYVDWKKVAEKTSLLRPTELKLVPLALEGSAFRSKVLDTDELMSYCSFFATFSSVMPQWLRKTKIVKKVNKMLVNHLGLTLAKEDLQNVVDLMEPYGQITNGIELLSPPLDWTRETKFPHAVWAAGRGLIAHLLPNFDVVENLWLEPQSWQGIGCTKITKARNGGYIKGNIVSRSYLEKRLVFCFGSYVASQMLFPFGEENLLSSSEIQKAQEIATKMVIQYGWGPDDSPAIYYCNNAVSTLSMAGDHDNVMAAKIEKIFDSAYLKAREMLQRNRRVLEKTVEELLEFEVLTGKGLERITKDNGGIKEKEPFTLFEVQASEPTSGSLLERGNASGGALLAS >CAK8567493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518323477:518330445:-1 gene:gene-LATHSAT_LOCUS20540 transcript:rna-LATHSAT_LOCUS20540 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGMDRDDEDDEDYEEQGVDFDEDEADEEEEDRGGGKKRRRSSFIDDDADEVDEDEEEEDDGDDDYDGGGKTSRKRQYKKVSASNFFDEEAEVDTDDEEEEEEGEDDFIVQPENDLPEEDDNRGRPRHRLPPHQEDHEDLEAVARSIQERYGKHRLAEYEEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLLQKYIDKGSELQIRSAIALDHLKNYIYVEADKEAHVREACKGLRNIFGQKITLVPIREMTDVLSVESKAIDLARDTWVRMKIGTYKRDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVVKKKAFVPPPRFMNVDEARELHIRVEHRRDAYGERFDAIGGMMFKDGFLYKTVSIKSLSAQNIKPTFDELEKFRKPGEGGDVASLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVDEENVHIRPEIKGLPKTLAVNERELVKYFEPGNHVKVVSGAQEGATGMVVKVEQHVLILISDTTKEHIRVFADDVVESSEVTTGVTKIGDYELRDLVLLDNLSFGVIIRVESEAFQVLKGVPDRPEVVLVKLREIKCKIEKKISVQDRFKNTISSKDVVKIIDGPCRGKQGPVEHIYRGILFIFDRHHLEHAGFICVKAHSCVVVGGSRSNGDRNGDAYGRFSGLKNPPRIPPSPKRFSRGGHPSDSGGRHRGGGRGHDGLTGATVKVRQGSYKGYRGRVIEVKGTFVRVELESQMKVVTVDRNHITDNVAVTPQRETSRYGMGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPARDNWEDGNPGSWGASPQYQPGSPPSRSYEAPTPGAGWASTPGGNYSEAGTPRDSSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGGLDMMSPVLGGDNEGPWLMPDILVNVHRAGEESVGVIKEVLPDGSYKVALGSSGNGETLTALPAEMEAVIPRKSDKIKIMGGALRGSTGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLA >CAK8544427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683715617:683718669:1 gene:gene-LATHSAT_LOCUS13107 transcript:rna-LATHSAT_LOCUS13107 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPDPDIDDDFRDLYKEYTGPLGSGSAQEKAKSNKRSNPGSDEEDEVRDPNAIPTGFISRDAKVWEAKSKATERNWKKRKEEEMICKLCGESGHFTQGCPSTLGANHKSQELFQRIPARDKNVRALFTEKVITKIERDIGCKIKMDEKFIIVSSKDRLVMSKGVDAVHKIREEGEQKGSSSSHLNRSRSPPPQRSRSPPPQRSPVNARFQRSEPQRSHSTPRNAPQFQQRFGRQERAVDDRVREDSQKFSRDSPQARAYGNSGPRGRSSQSRSPRQAAPHAGSSYNSFDGRNHNMSTFRNDGWDSHRRESGIQPGLQFDHIASPKTLEELELEYKKEAMELMKIRDREEDEENFKHREAIKDLREKYMNKVSLVSATHAKQWDEFFKLDAQRRQQQAIQQMSPGYRGYKQQNFADYDRSPANPPYGGNNLPLESRNRFSNNTEPYPTRPHDNFGEFHRRGDFAKAYNRY >CAK8544426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683715617:683718669:1 gene:gene-LATHSAT_LOCUS13107 transcript:rna-LATHSAT_LOCUS13107-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPDPDIDDDFRDLYKEYTGPLGSGSAQEKAKSNKRSNPGSDEEDEVRDPNAIPTGFISRDAKVWEAKSKATERNWKKRKEEEMICKLCGESGHFTQGCPSTLGANHKSQELFQRIPARDKNVRALFTEKVITKIERDIGCKIKMDEKFIIVSSKDRLVMSKGVDAVHKIREEGEQKGSSSSHLNRSRSPPPQRSRSPPPQRSPVNARFQRSEPQRSHSTPRNAPQFQQRFGRQERAVDDRVREDSQKFSRDSPQAYGNSGPRGRSSQSRSPRQAAPHAGSSYNSFDGRNHNMSTFRNDGWDSHRRESGIQPGLQFDHIASPKTLEELELEYKKEAMELMKIRDREEDEENFKHREAIKDLREKYMNKVSLVSATHAKQWDEFFKLDAQRRQQQAIQQMSPGYRGYKQQNFADYDRSPANPPYGGNNLPLESRNRFSNNTEPYPTRPHDNFGEFHRRGDFAKAYNRY >CAK8565922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353966277:353967737:1 gene:gene-LATHSAT_LOCUS19096 transcript:rna-LATHSAT_LOCUS19096 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDINVIIFFYSSFILFPFITKHTLMEVSWEQSVTYSINTIYLLFSAYLVFVMQLGFAMICAGSVRAKNAMNIMLTNVVDAVVGSISFYLFGFAFAYGNSNPFIGTNLFALANIPNENYDYGFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLTGFVYPIVAHWVWSSSGWLSPNSSHLFLGSGAIDFAGSGVVHLVGGIAGLWGSIIEGPRVGRFDAYGKAVPFRGHNAALVVLGTFLLWFGWFGFNPGSFDKILAAYPNTSDEGNWTSVGRTAVTTTLAGSTAGIVTLFSRRLLIGHWDAMDVCNGLIGGFVAITSGCSVVEPWAAIICGFVSAWVLIGLNILALKLNYDDPLEAAQLHGGCGIWGLLFTGLFAKEGFVVQTYNAGVAVKRPYGLLLGGGWGLIGAQVVEILVIFGFVSITMGPMFYALHKLKLLRIPVADELAGLDISSHGGYAYAHHEENHAQSYGDYMRMQDNQS >CAK8565923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353966355:353967737:1 gene:gene-LATHSAT_LOCUS19096 transcript:rna-LATHSAT_LOCUS19096-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEQSVTYSINTIYLLFSAYLVFVMQLGFAMICAGSVRAKNAMNIMLTNVVDAVVGSISFYLFGFAFAYGNSNPFIGTNLFALANIPNENYDYGFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLTGFVYPIVAHWVWSSSGWLSPNSSHLFLGSGAIDFAGSGVVHLVGGIAGLWGSIIEGPRVGRFDAYGKAVPFRGHNAALVVLGTFLLWFGWFGFNPGSFDKILAAYPNTSDEGNWTSVGRTAVTTTLAGSTAGIVTLFSRRLLIGHWDAMDVCNGLIGGFVAITSGCSVVEPWAAIICGFVSAWVLIGLNILALKLNYDDPLEAAQLHGGCGIWGLLFTGLFAKEGFVVQTYNAGVAVKRPYGLLLGGGWGLIGAQVVEILVIFGFVSITMGPMFYALHKLKLLRIPVADELAGLDISSHGGYAYAHHEENHAQSYGDYMRMQDNQS >CAK8567092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486068771:486088501:-1 gene:gene-LATHSAT_LOCUS20176 transcript:rna-LATHSAT_LOCUS20176 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHARAVKSLNESPGGGRFIFQSVSQQIDNIDISGVYISLEQIKAEPKEGSFFRDCLMEWRELNTTEDFISLYEEVLTCTQTHYLVLLQKELLISKLLSRLHMKARLSLEPLLMLIAALSRDLLEEFIPLFPRIVDSLVSLLESGADREPDIINQIFMSWSYVMMYLKKYLEQNPSEVLKATSKLRYYPKEYVKQSMAEAMSFVLRNAPYEQLKIGIRSVIAEAVKEPSPCRESGVGLLLYNIMKGYSSRLHSKAERVLQLLTSETIYTIADGADRESTTILNIIKIVFKKLCETMEPKELNLVWSCLYKEVHECVSTGNIRHLRCILTVLVSAVEVEKGQKVSDYRPMLELNHLLVRSYITPLGVAKSQEDTCFVDEVLNLMLATLDGLCSYSISMIPECASQWAPIFKSQSSSLLHFIKKLLKKDPCLLAFKSNVISAIDELMEISEEEVIHLLQSFCEKMHLGIRDSDFVDGESADAIVRISNHLQGTIRSWIEKINDIAHSDISCEFDERKMALLWGVVNCYSHMSIVDAIPSLLVYLMDAVDQLLTVKADHSADTSKKAWESIIGALLSSYNRLCNDSNLGTDETKKFLFFAKRYKSSSHVLSAVAGYLESKYGSSLEDIGCRMYHPELEKMTAEEVAAFGDNLCHSEKEVRISTLKILCHYKSLGEENSSMDQSAAKKRKIKASSTSIVDNTGNKSAAKKRKIEASSTSIVDDTGIKSAAKKRKIKASSTSIVDNTGNNPLLVLLSIETTPVSISTSKSIQLLISKIQMDLSAGRITNVYAPLVLSGLFGILNNRFSDIWNPVLECLSVLVSLHFSLVWDTLIDYLERCLAKRETSNSADGALFDQPAGLLGCFKKFVYHESDSTPSETILTLLLQALQRIPTVIEPRSRQFIPIFLKFLGYNTLDLASVGLFDSHACKGKEWNLILKEWLNLLKLMKNPKSFYLSQFIKEVLQNRLIEEDAPEIQFRVLDCLLNWKDDYFLPYSEHLRNLISSKITREELTTWSLSKESKMIEESHRAYLVPLVIRLLMPKVRKLKGLASRKKASICHRKAILSFIAELDISELPLFFALLIKPLQIVEKTDGPANLFWTLPIGCTSEFQASSLLEYFTLDNIAALSWKKKYGFLHVIEDVVGVFDELHIRPFLDLLVGCVVRVLESCASSLGNVKNGLSSDKHKSSPSSNSFGEESVAENQTLIGNSTNQLKDMRSLCLKIVSYVVNKYEDHEFSSDMWDRFFSAVKPLVDKFKQESASSEKPSSLLSCFLAMSANHKLVALLCREGSLIPDIFSIISVNSASEAVVYCVLKFIENLLSLDNQLDYEDSSAHRVLLSNIEVLMNSICCLFESDNASKRKLIKSPGETLIRIFKFLPKYIKEAEFAKRFVDVLLPFLEMKTQSSDVCIEVLQVIQNIIPILGNGSTTKILSAVSPLYISSELDMRLRICDLLDVLVASDASVLLVAKLLRQLNATSSLGWLDHDVILDAYKNINTDFFRNVEVEHASLILSHCVLDMSSEETTFVYNAQSSLLSFVDFSALILLQVVSSEQELTVMENTHSCWTKSCIQRITKKFLLKHVAGAMDGPLSVRKGWMKLLSQMALKLPDVSNLKSLTVLCNEDGEANFFDNITDSVIRKRVKALSLFRNVISTNKLSEFITEKVFMRLFFNMLFDEKEGQADHMKTACIETIASVAGQMGWKSYYALLDKCFQGASRSPDKQKLFIRLICSILDKFHFSELSHTVEPKKALVGVSDMGISDNGSSINLGNFGVSDVNRDIQTCLYKVVLPKIQKLMDSDSEKVNVNISLAALKLLKLLPGDVMDTYLPTIVHRISNFLKSRLESIRDEARSALATCMKELGLEYLQFIVKVLRSTLKRGYELHVLGYTLNFILSKCLSSAVSGKIDYCLGDLLSIIENDIFGAVAEQKEVEKIASKMKETKKKKSFESLKLVAQNVTFKNNALKLLAPVTSHLKKHVSQNVKGRLENMLHSIAAGIESNPSVDQTDLFVFIYGIVEDGLKNEIGWRENKLIKLEDKDRRTNAKRIFSGRVVASGLLCSHLITVFGIRILHKRMKGLKQGVKDENALSLLDPFVKLLSDGLSSKYEDILSASLGCLTVLVKLPLPSLQVHAERIKSAVLDIAQSSVNSISPLMESCLTLLTMLLRNTEISLTQDQIHILIQLPIFLDLERNPSLAALSLLKGIVNRKLVVPEIYDIVTRVAELMVTSQMESIRKKCSKILLQFLLDYRLSQKRLQQHLDFLLSNLRYEHSTGRESVLEMIHAIIVKFPATVLDEQSQTFFLHLVACLANDNDDIVRSMSGAAIKKLIGSVSTKSLESILKYALSWYLGNKQQLWGAAAQVLELLIEVAKNEFFRHIDCMSFNKKERPIDCILPVTCRILQSAIHAVTNRQESFESESIIPLWKEAYYSLVMLEKMIHQFHDLCFSKDLEDIWEAVREMLLHPHSWLRNKSGRLIALYFARVTDANRENHQSSLSSYFMMTPSRLYLIATSLCCQLKMPLIDDADSDLMTQNLVFAICAVYSLMGQTAIIDPSAFWSTLEQNEKNRFLKAFDLINARKGRSMFMSSSLTSSVCEDNSQLIVKNTQHILVSLLLKKMGKIALQTDAIQMEIVFNSFGKLMAQIQISSMDDCLHYAHVVLLPLYKVSEGFAGKVVADNLKKLAEDTCAKIENIIGTQNFVQVYNLIRKNLSLKRNKRKQEEKLMAVINPKQNAKRKLKMSAKHRANKKRKVMTLKMRRWNR >CAK8567091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486068771:486088501:-1 gene:gene-LATHSAT_LOCUS20176 transcript:rna-LATHSAT_LOCUS20176-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHARAVKSLNESPGGGRFIFQSVSQQIDNIDISGVYISLEQIKAEPKEGSFFRDCLMEWRELNTTEDFISLYEEVLTCTQTHYLVLLQKELLISKLLSRLHMKARLSLEPLLMLIAALSRDLLEEFIPLFPRIVDSLVSLLESGADREPDIINQIFMSWSYVMMYLKKYLEQNPSEVLKATSKLRYYPKEYVKQSMAEAMSFVLRNAPYEQLKIGIRSVIAEAVKEPSPCRESGVGLLLYNIMKGYSSRLHSKAERVLQLLTSETIYTIADGADRESTTILNIIKIVFKKLCETMEPKELNLVWSCLYKEVHECVSTGNIRHLRCILTVLVSAVEVEKGQKVSDYRPMLELNHLLVRSYITPLGVAKSQEDTCFVDEVLNLMLATLDGLCSYSISMIPECASQWAPIFKSQSSSLLHFIKKLLKKDPCLLAFKSNVISAIDELMEISEEEVIHLLQSFCEKMHLGIRDSDFVDGESADAIVRISNHLQGTIRSWIEKINDIAHSDISCEFDERKMALLWGVVNCYSHMSIVDAIPSLLVYLMDAVDQLLTVKADHSADTSKKAWESIIGALLSSYNRLCNDSNLGTDETKKFLFFAKRYKSSSHVLSAVAGYLESKYGSSLEDIGCRMYHPELEKMTAEEVAAFGDNLCHSEKEVRISTLKILCHYKSLGEENSSMDQSAAKKRKIKASSTSIVDNTGNKSAAKKRKIEASSTSIVDDTGIKSAAKKRKIKASSTSIVDNTGNNQPLLVLLSIETTPVSISTSKSIQLLISKIQMDLSAGRITNVYAPLVLSGLFGILNNRFSDIWNPVLECLSVLVSLHFSLVWDTLIDYLERCLAKRETSNSADGALFDQPAGLLGCFKKFVYHESDSTPSETILTLLLQALQRIPTVIEPRSRQFIPIFLKFLGYNTLDLASVGLFDSHACKGKEWNLILKEWLNLLKLMKNPKSFYLSQFIKEVLQNRLIEEDAPEIQFRVLDCLLNWKDDYFLPYSEHLRNLISSKITREELTTWSLSKESKMIEESHRAYLVPLVIRLLMPKVRKLKGLASRKKASICHRKAILSFIAELDISELPLFFALLIKPLQIVEKTDGPANLFWTLPIGCTSEFQASSLLEYFTLDNIAALSWKKKYGFLHVIEDVVGVFDELHIRPFLDLLVGCVVRVLESCASSLGNVKNGLSSDKHKSSPSSNSFGEESVAENQTLIGNSTNQLKDMRSLCLKIVSYVVNKYEDHEFSSDMWDRFFSAVKPLVDKFKQESASSEKPSSLLSCFLAMSANHKLVALLCREGSLIPDIFSIISVNSASEAVVYCVLKFIENLLSLDNQLDYEDSSAHRVLLSNIEVLMNSICCLFESDNASKRKLIKSPGETLIRIFKFLPKYIKEAEFAKRFVDVLLPFLEMKTQSSDVCIEVLQVIQNIIPILGNGSTTKILSAVSPLYISSELDMRLRICDLLDVLVASDASVLLVAKLLRQLNATSSLGWLDHDVILDAYKNINTDFFRNVEVEHASLILSHCVLDMSSEETTFVYNAQSSLLSFVDFSALILLQVVSSEQELTVMENTHSCWTKSCIQRITKKFLLKHVAGAMDGPLSVRKGWMKLLSQMALKLPDVSNLKSLTVLCNEDGEANFFDNITDSVIRKRVKALSLFRNVISTNKLSEFITEKVFMRLFFNMLFDEKEGQADHMKTACIETIASVAGQMGWKSYYALLDKCFQGASRSPDKQKLFIRLICSILDKFHFSELSHTVEPKKALVGVSDMGISDNGSSINLGNFGVSDVNRDIQTCLYKVVLPKIQKLMDSDSEKVNVNISLAALKLLKLLPGDVMDTYLPTIVHRISNFLKSRLESIRDEARSALATCMKELGLEYLQFIVKVLRSTLKRGYELHVLGYTLNFILSKCLSSAVSGKIDYCLGDLLSIIENDIFGAVAEQKEVEKIASKMKETKKKKSFESLKLVAQNVTFKNNALKLLAPVTSHLKKHVSQNVKGRLENMLHSIAAGIESNPSVDQTDLFVFIYGIVEDGLKNEIGWRENKLIKLEDKDRRTNAKRIFSGRVVASGLLCSHLITVFGIRILHKRMKGLKQGVKDENALSLLDPFVKLLSDGLSSKYEDILSASLGCLTVLVKLPLPSLQVHAERIKSAVLDIAQSSVNSISPLMESCLTLLTMLLRNTEISLTQDQIHILIQLPIFLDLERNPSLAALSLLKGIVNRKLVVPEIYDIVTRVAELMVTSQMESIRKKCSKILLQFLLDYRLSQKRLQQHLDFLLSNLRYEHSTGRESVLEMIHAIIVKFPATVLDEQSQTFFLHLVACLANDNDDIVRSMSGAAIKKLIGSVSTKSLESILKYALSWYLGNKQQLWGAAAQVLELLIEVAKNEFFRHIDCMSFNKKERPIDCILPVTCRILQSAIHAVTNRQESFESESIIPLWKEAYYSLVMLEKMIHQFHDLCFSKDLEDIWEAVREMLLHPHSWLRNKSGRLIALYFARVTDANRENHQSSLSSYFMMTPSRLYLIATSLCCQLKMPLIDDADSDLMTQNLVFAICAVYSLMGQTAIIDPSAFWSTLEQNEKNRFLKAFDLINARKGRSMFMSSSLTSSVCEDNSQLIVKNTQHILVSLLLKKMGKIALQTDAIQMEIVFNSFGKLMAQIQISSMDDCLHYAHVVLLPLYKVSEGFAGKVVADNLKKLAEDTCAKIENIIGTQNFVQVYNLIRKNLSLKRNKRKQEEKLMAVINPKQNAKRKLKMSAKHRANKKRKVMTLKMRRWNR >CAK8571916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492939901:492941250:1 gene:gene-LATHSAT_LOCUS24539 transcript:rna-LATHSAT_LOCUS24539 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGCGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKARPNLEILLTVTESVTITHHYQNNIPESFINFSKCHINKILLPREWGLNPNAEKAIRITEGKYIYFNYWDYVQAITQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQISWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAITEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGDGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8575286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71152159:71152365:1 gene:gene-LATHSAT_LOCUS27560 transcript:rna-LATHSAT_LOCUS27560 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELVAPLIVNGEVEITIGDADIKDELEFWHNSMILFALGNSLSMNALKRFMENLWSFFYDARTILQ >CAK8542394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:508523310:508524371:1 gene:gene-LATHSAT_LOCUS11235 transcript:rna-LATHSAT_LOCUS11235 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPQDPPRSFFFPFGNPFRMISPKATKLSPQLLAVLRAFEETLEERLKNLIPKSKDEVVSLSWMASAMRTLCESHNDIKTLMTDLELPVTDWDEKWIDVYLDISVKLLDICNAFSSELSRLNQGQLLLQCTLHHLGSSSSDHLFRACSSLDGWRQHMSSKNPRIEKCGSILDNLVESSDMPKVKKSGKGKVLIQAIYGVKALTVFVCSVFATAFSGSSKNLMDIDVAEVYSWAPTFKGLQNLVNEEIRVKFSSGRFTLLNELEAVDLSVRELHPIIQGVVHTVETESQSKTVEKLGNATDNFSRGLDLLAKEVDGFFQVVLSGRDALLSNLRSVTPDIDYILGGKSDTQVVN >CAK8577067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535275061:535276443:-1 gene:gene-LATHSAT_LOCUS29209 transcript:rna-LATHSAT_LOCUS29209 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLHIAMYPWFAMGHKTPFLHLANKLAKKGHTITFFTPKTAQSKLEPFNLHPQSITFVTIKVPHVEGLPPNAETTADVPYPLQTHIVTAMDLTQPDIETHLTNLKPHVVFYDFTHWMPSLTKRLGIKAVHYCIISSVMVGYTLTPARYFKGTNLTEFDLMEPPSGYPESSIKLYNHEAKIFAAKRKEFFGKNVLFYDRQAISLNEADALGFRTCREIEGPYLDYIHKQFNKPVLTSGPVILEKPNSVLDENWSTWLGGFKTDSVVYCCFGSECVLRPNLFQELMLGLELTGMPFFAALKPPFGFATVEEALPEGFAERTKGRGVVYGGWVQQQLILEHPSVGCFITHCGSGSLSEALVNKCQLVLLPNVGDQILNARMMGNNLEVGVEVEKGEDRLYTKDSVCKAVSIVMDDESEMSKKVRANHAKIREILLNKDLESSYIDDFCNKLHDIVAEKI >CAK8575293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71715393:71715986:1 gene:gene-LATHSAT_LOCUS27567 transcript:rna-LATHSAT_LOCUS27567 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKNGGQPYIDELFIELKEGDIELHKQQREATLKGFSKEDILEFRKQITDMVESKLKETTIRLEQQLAQEQEARLNAEKKSNDEIEKLRKDLEQAHAELSKRGGKPSCVIL >CAK8569710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8822090:8823398:-1 gene:gene-LATHSAT_LOCUS22530 transcript:rna-LATHSAT_LOCUS22530 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSNSDWSESEIETAEILANLYHTFSLFSHVPYSWGCGKKRSPIRNTPCSNGGGAATVVPPPPPSDAVKVKASSPTTPHSFPTTESDDKIKHSERTTSLKRKREHYLNIIEGLTNTKDSITQEIANVKRDCEELKLANSKLTAKGKKLIINGPKGEYKIPNLEINKPMKVNDIINSSVNTSNSTTQNEEQRIHHGNNLGVDPTTSLGVASSSSSMGRNSDNKAPLFIPDLNVSFEAIKDSGKVRASQARQRRIHILRLKRNNAKQHQSSSVR >CAK8570675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:85876556:85878234:1 gene:gene-LATHSAT_LOCUS23411 transcript:rna-LATHSAT_LOCUS23411 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKATIVSQLQHLKHQSGKSYTQLGEETGLTNVYVAQLLRRQAQLKPETAPKLQAALPDLPKQLVDEMMKPPLRSYDPNIIQDPTIYRLNEAVMHFGESIKEIINEEYGDGIMSAIDFFCSVDKVKGVDGKERVVLTFDGKYLPHTEHKTEHMVSRTRPLEKQ >CAK8535369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844651969:844652607:-1 gene:gene-LATHSAT_LOCUS4834 transcript:rna-LATHSAT_LOCUS4834 gene_biotype:protein_coding transcript_biotype:protein_coding MLIICEKLAEAGIIQEVHGFYEACLSSPEECKELKRCLKELINQGMVQISRTKNEESISTLEPLEIPYLKQDAQESPLVICFPTPFPFDSTKAVPWNYGATGYVGDNPLVLEHNVTNIAGIGGVTRSGRVFAPEQTQRKVPEKSKGKEVSSSISDNGPSNKTIPQEGDDEFLKIIKKSDYKVVDQLGKEEEEKEKEDIESLSGEDVEHSPDT >CAK8569290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692087223:692098169:-1 gene:gene-LATHSAT_LOCUS22162 transcript:rna-LATHSAT_LOCUS22162 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRARSSSPFSHRKPSTPYSSTSSSSSLTNGRIIPRSSSSTTSSFFNPGGRSTTPSRGRSESTNYGSRGYRDRSPVVFGAEELIVDPVDTSRSADSISVTIRFRPLSEREYNKGDEIAWYADGDKIVRNEYNPATAYAFDRVFGPHTISDEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDHNSPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMVESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQLKKGMLVGVSHEEIMTLKQKLEEGQVKMQSRLEEEEDAKAALMSRIQRLTKLILVSSKNAIPGYLTEAPNHQRSHSFGEEDKLDAFRDGMLIESDSKKDTSAVSSHLFHDGRHKRSSSRWNDEFSPTSSAVTESTQAGELITKTKLAAGGVTMSDQMDLLVEQVKMLAGDIAFSTSTLKRLIEQSVNDPDGSKSQIENLEREIQEKRKQMRLLEQRLTETSESSMANSSLVEMQQTVSRLMTQCNEKAFELEIKSADNRVLQEQLNDKCSENRELQEKLKQLEQQLAASSSGSSLSSEQYAFGEHANELKKKIQSQEIENEKLKLEQVHLSEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMTVRELANSRAAIQTANGVNRKYSDPRSGRKGRISSRVNDISGAGLDDFESWNLDADDLRLELQARKQREAALEAALSEKEFVEEELRKKAEEAKKREEALENDLANMWVLVAKLKKDGGTVPESNIDKKIDGAQNFNDRKTNDSECNFVSKEQLLDVSKPHGEIPKEEPLVVRLKARMQEMKEKELKYLGSGDANSHICKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTNITDRLFAFTS >CAK8531834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:160947835:160954100:1 gene:gene-LATHSAT_LOCUS1599 transcript:rna-LATHSAT_LOCUS1599 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHSEPPATATGETISKNALKRELKNKQREELLKKKEEEKARKALETLSVKENKPAAADDEDMDPTQYLENRLKHLAAEKAEGRNPYPHKFAVTMSIDQYIKEYEGLSDGQHLEDVSVSLAGRIMHKRASGAKLVFYDLHGGGFKVQVMADARKSDLNEAEFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKTFVALSHCLHMMPRQKSAAAVDNSNVKKNAWVPGSTRNPEAYILKDQETRYRLRHLDLMINPEVREIFKTRSKIISYIRSFLDKLDFLEVETPMMNMIAGGAAAKPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYMDYNDVMEITENMLSGMVKELTKGSYKIKYHANGIDKDPIEIDFTPPFRRIDMIEGLEQIAGLSIPKDLASDETNQYLKNACLKYEIKCPPPETTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHEICNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >CAK8571941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495494190:495496158:1 gene:gene-LATHSAT_LOCUS24559 transcript:rna-LATHSAT_LOCUS24559 gene_biotype:protein_coding transcript_biotype:protein_coding MITITMAKHFLFSLCLLLFSTACLAHHSELDRFNQCQLDSINALEPDRRVESEAGLTETWNPNHPELQCAGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGKGVLGLAVPGCPETYEEPRSQSRQQQQLRDSHQKIRRFSKGDVIAIPPGIPYWTYNHGDEPLVAISLLDTSNTLNQLDSNPRVFYLGGNLPEAEFPETQEKHQQRQSVPTGRRGGHQQEEESEEQKKGNSVLSGFSAEFLAHSLNTNKETAEKLQSPHGKRGQIVKVKNGLDIISPELQEEERSHSQREEKEEEEEEREQRHHKHSEKEEEEEEDEPLIHEIIKKWKKKTEEKKRESHGRGEKKEQVEEREEEEEEEEIHGQHSKGGDNGLEETICSPKILENIARPSRADLYNPRAGRISTVNSLTLPILRNLRLSAEYVLLYRNGIYAPHWNINANSLLYVIRGQGRVRIVNSQGNPVFDDKVRKGQLVVVPQNFVVAQQAGNEEGLEYVVFKTNDRAAVSHVKQVFRATPGEVLANAFGLRKREVAQIKYNGNRGPLVQPQSQSQSQ >CAK8543604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:625416780:625418434:-1 gene:gene-LATHSAT_LOCUS12352 transcript:rna-LATHSAT_LOCUS12352 gene_biotype:protein_coding transcript_biotype:protein_coding MRFICQRVISLSLVLVFLSTLSCAINIEDEFENKNIKSATFISKMFEIGPGKVAAKTFMDVEFPKGHVGIKSFDAELVDEEGNSVPLYEAYLHHWFAVKYHVKDWNMIKIIPKDPLEGAKYIRNQGTCSDYILPAYWGLGGESRGTKSNIPDPYAVEQGNPSYVPIGYDEEKWLLNLMIIDTRGTKHRKHCTECRCNRFNLPKNFYNVTRGIDGKLLSSNYKGGIFCCQDDLQCKLKKDFEAPTRKLSLRYKITWVDWNQQQIPVRFYILDSTDRVRTNGSQIIHDCRAEFTIPPNNGKKHSPPHIQKANIPIERGGYLIYGTSHMHTGVINATLYGQDGRTLYTSKPTYGNGKEPGNEKGYVVGMSGSYPKPGSIKIKDGEIVTVEVRYKSGFLTGAMGHMYIYLADRLP >CAK8570504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52724193:52725578:-1 gene:gene-LATHSAT_LOCUS23247 transcript:rna-LATHSAT_LOCUS23247 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTWLITKREINPTNNNFRTVLYLSRANGRESTSELYLGFPITFSYFVSYPRPRKLTNSHSFNHRSKSTPNREEQLHRRPLLRRGKIVKSARQLGFIERKKIA >CAK8572921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571698857:571704505:-1 gene:gene-LATHSAT_LOCUS25426 transcript:rna-LATHSAT_LOCUS25426 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTESYKQTGPSSFSPNARFLAVAVDYRLVIRDTISFKVVQLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLSQPEWTCKIDEGLAGIAYARWSPDSRHILTTSDFQLRLTVWSLVNTACVHVQLPKHASKGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMGIFAVDTLDLADVEWSPDDSSIVIWDSPLDYKVLIYSPDGRCLFKYEAYESGLGVKSVSWSPCGQYLAVGSYDQMLRVLNHLTWKKFAEFMHPYAVRGPCYAAVFKEVDEPLQLDMSELCLSDDFSQGNDDSSERANTVRYEVMEVPINLPFQKPPAEKPNPKQGVGILSWSNDSQYICTRNDSMPTVLWVWNIRQLELTAILVQKDPIRVAAWDPTCTRLVFCTGSTHLYMWTPSGAFCVRVPLPQFSITDLKWNSDGSCLLLKDKETFCCAVVPLLPESSEYSSDE >CAK8574556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2023423:2024407:-1 gene:gene-LATHSAT_LOCUS26889 transcript:rna-LATHSAT_LOCUS26889 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKKNSTEVIDIEKLPDHVVIEIFIRTRVNDWTQISCVKKHWASLFQTECFWHAALSRIYPFTNPSQPWPGSIPPALAKRRFMALHISQEILGCDHNDKQVDEIVGHSYLFLKEQLQLSNLPSHSGILHETIIDQFIACGKSRDVAHELASMIWLAVVDNLEENHHTFCLLKRLAHEGDVFLPYPYAKSVKVQWRVFEKLFTDFRDCFNHTDYCDMLACAKSRFQPIPSTWLGY >CAK8574555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2023423:2024407:-1 gene:gene-LATHSAT_LOCUS26889 transcript:rna-LATHSAT_LOCUS26889-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKKNSTEVIDIEKLPDHVVIEIFIRTRVNDWTQISCVKKHWASLFQTECFWHAALSRIYPFTNPSQPWPGSIPPALAKRRFMALHISQEILGCDHNDKQVDEIVGHSYLFLKEQLQLSNLPSHSGILHETIIDQFIACGKSRDVAHELASMIWLAVVDNLEENHHTFCLLKRLAHEGDQVFLPYPYAKSVKVQWRVFEKLFTDFRDCFNHTDYCDMLACAKSRFQPIPSTWLGY >CAK8564787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9606996:9611002:1 gene:gene-LATHSAT_LOCUS18054 transcript:rna-LATHSAT_LOCUS18054-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKEGNESSRTRHSVVALAIKGNKKSKYVVQWTLNKFVPEGMIIFKLIHVHAVGNYLPISQVRNDVAAAYKKEMEWKTHQMLLPFKKMCEQRKVHVDLVVIESDDVASAVAEEVTKDAITKLVVGASSGGLFKSKHKGMSAKISACTPRYCTVYAVSKGNLSIRPSDMQIDESITDDTSEISFSSSSSSNYTSTTQTDSGSVASYAALHSSSLATQRFQALSSLNQTLLNTTPGSNEIIHSRGQSIDLGRENVATSSARNSDFGRALSRASSYRSVASDTESWVTGQNSVKGSPLASRLSSPNRQENFNLELEKLRIELRHAQGMHAVAQTENIDASRKLSELSKRRSGESMKMKEIIAKEELAKELARQEMEKYEAAAREAAYLKESVEREASERKETELKAIRAAKEKEKLEDALSGSTPQYRKFTWDEIVLATSSFSEDLRIGMGAYGMVYKCTLHHTTVAVKVLHSAGISQSKQFQQELEILSRIRHPNLLLLLGACPDHGCLVYEYMENGSLEDRLFQKNSTTAIPWFDRFRIAWEIASALSFLHSSKPQPIIHRDLKPANILLGGNLVSKIGDIGLSTILDSDDLSTMYKDTAPVGTLSYIDPEYQRSGLISTKSDVYAFGLVMLQLLTAKPATALTHVVETAIEGGNLTDILDPKAGSWPFQETLDLARLALSCAELRRRDRPDLLEHVLPALEKLKEVADKAHHSSSMVTIKPKPPNHFICPILQDVMDDPCVAADGYTYDRKAIEKWLEENEKSPMTNMALPHKFLIPNYTLLSAILEWKSKEI >CAK8564788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9606996:9611002:1 gene:gene-LATHSAT_LOCUS18054 transcript:rna-LATHSAT_LOCUS18054 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKEGNESSRTRHSVVALAIKGNKKSKYVVQWTLNKFVPEGMIIFKLIHVHAGITGVPTPMGNYLPISQVRNDVAAAYKKEMEWKTHQMLLPFKKMCEQRKVHVDLVVIESDDVASAVAEEVTKDAITKLVVGASSGGLFKSKHKGMSAKISACTPRYCTVYAVSKGNLSIRPSDMQIDESITDDTSEISFSSSSSSNYTSTTQTDSGSVASYAALHSSSLATQRFQALSSLNQTLLNTTPGSNEIIHSRGQSIDLGRENVATSSARNSDFGRALSRASSYRSVASDTESWVTGQNSVKGSPLASRLSSPNRQENFNLELEKLRIELRHAQGMHAVAQTENIDASRKLSELSKRRSGESMKMKEIIAKEELAKELARQEMEKYEAAAREAAYLKESVEREASERKETELKAIRAAKEKEKLEDALSGSTPQYRKFTWDEIVLATSSFSEDLRIGMGAYGMVYKCTLHHTTVAVKVLHSAGISQSKQFQQELEILSRIRHPNLLLLLGACPDHGCLVYEYMENGSLEDRLFQKNSTTAIPWFDRFRIAWEIASALSFLHSSKPQPIIHRDLKPANILLGGNLVSKIGDIGLSTILDSDDLSTMYKDTAPVGTLSYIDPEYQRSGLISTKSDVYAFGLVMLQLLTAKPATALTHVVETAIEGGNLTDILDPKAGSWPFQETLDLARLALSCAELRRRDRPDLLEHVLPALEKLKEVADKAHHSSSMVTIKPKPPNHFICPILQDVMDDPCVAADGYTYDRKAIEKWLEENEKSPMTNMALPHKFLIPNYTLLSAILEWKSKEI >CAK8577294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552930622:552930849:1 gene:gene-LATHSAT_LOCUS29415 transcript:rna-LATHSAT_LOCUS29415 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLFDEEKDAYWWILCFKKFFKEHGTPESLKVLKVFGALRGSALKWWIWGSRVHRRYTWDTFTTTLLWRFKPK >CAK8565604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:290186290:290189834:-1 gene:gene-LATHSAT_LOCUS18801 transcript:rna-LATHSAT_LOCUS18801 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKAVNEIAYTKNLSKLSPLHFSLLPFLSITSSFYKFALSIRRRFFLSRIHRLPVPVISVGNLTWGGNGKTPMVEFIAAFFARSGISPLVLSRGYGGGDEVNMLQRHLLGTSTKLVLAQIELTVASHLIQKYGYTDIRKSSLHEKQNLNQKAHNSLDSEKIGTVVLDDAMQHWSLWRDLDIVMVNGLTLWGNGQLLPLGPLREPLTSLRRADVVVIHHADLVSDRVLEDIKSMVQGIKKSVPIFFTKMDPTYLFEVGNINAKILLTALHEATILCVSAIGSPESFVKRVQEIGALYVDRIDFSDHHIFHARDIGMIRAKLGELERKFGFKPIVVITEKDYDRDPEILKQLYPFKTFVLCSTLKILPYRGNNEDSFKKFLTDKLGLELPAAD >CAK8570216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29393868:29395717:-1 gene:gene-LATHSAT_LOCUS22988 transcript:rna-LATHSAT_LOCUS22988 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNNIFQTSFCPQIPSDNNNAETNNTAAADQFIVEDLLNLSFDDADIIYDASILDSTTGNSSTSSSATAAVVNSCNSSSLCGFDTNLIPDNGWQNNISDCPFSGDLCVPEDDLTDAGWLTNIVDDSFSGEELEKMQLISGMKVQNKDGEAREASQLSRNSPIFDTEVSVPTKARSKRTRQPPCDWTSRLHHVYEAASFSYEPELLIPTPILPSVTLPKKQAKIAPRRKDNCDGGSSGDGRRCLHCATDKTPQWRTGPKGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMTKHSNSHRKVLELRRQKEMLQTHPHQHNQFLQHQNNMMFDMTPNADDYLIHQHVGPDFRHLL >CAK8536284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:925626846:925631210:-1 gene:gene-LATHSAT_LOCUS5668 transcript:rna-LATHSAT_LOCUS5668 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRPHTHSAAATAISSSLLLILTTTCLLLLSFSIPAQSEVITLTSDTFSDKIKEKDTAWFVKFCVPWCKHCKNLGSLWDDVGKAMENENEIEIGEVDCGTDKTVCSKVEIHSYPTFKVFYDGEEVAKYQGTRDVESLKTFVLDEAEKAAAKAQLDSDKEL >CAK8563075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:571785513:571788029:1 gene:gene-LATHSAT_LOCUS16520 transcript:rna-LATHSAT_LOCUS16520 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFNLQFSRWTFYKHLSPRKYTTLCQHNSTANLSHFVTLTSRQKDQIHLYVDTLLQWNKRMNLSAVKEVNEVMERHIEDSLAILPPLSDNYISHCSNSIDKLKLVDVGTGAGLPGVVLAIARPDWDVTLMESMNKRCVFLEHVVGVIGSTNVQIVRGRAESLGQDLCFREKFDIAVARAVAETRILAEYCLPLVRVGGMFIAAKGHDPEGEVKKAESAIKKMGASLLQVCSVESQSPYGQRTAVICLKDRHTPMKYPRAPGTPTKEPL >CAK8575086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27963261:27964520:-1 gene:gene-LATHSAT_LOCUS27376 transcript:rna-LATHSAT_LOCUS27376 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGAKEAQLRETNSQKVHPQPMEEAMNQNPEAVETLVSKIFTNISTLKSAYIQLQAAHTPYDPDKIHTADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLEKQIEEASQKRAKLEKNLKLRGLSTKESEDGNGFFPVDLTPDLFTSSVEAAAKAIHDFSKPLINMMKAAGWDLDAAANSIEPNVVYAKRAHKKYAFESYICQRMFGGFEQESFSIKSDNITINKESFFHQFLALREIDPLDMLGQNPDSVFGKFCSSKYLAVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHKLAYSFEPNVKVFQVKGGSEFSDVYMESVVNNLIMNENDEKPKVGLMVMPGFWIGGSVIQSKVYLTGMKVAE >CAK8538447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482846742:482848338:1 gene:gene-LATHSAT_LOCUS7639 transcript:rna-LATHSAT_LOCUS7639 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSNLLALLFSLHIFCLILIKANAKVPAIIVFGDSSVDAGNNNFIPTVARSNFQPYGRDFLGGKATGRFSNGRIPTDFISEAFGIKEYVPAYLDPKNSISDFATGVSFASAATGYDNATSAVLGVIPLWKQLEYYKQYQKNLTAYLGETKAKESISESLHLMSIGTNDFLENYYTMPGRASQYTIQQYQTFLAGIAENFIRSLYGLGARKISLGGLPPMGCLPLERTTNFMGRNGCVASYNNVALEFNGKLQNITTKLNQELPGMKLLFSNPYHIMLHIIKKPELYGFESASVACCATGMFEMGYACSRGSMFSCSDASKFVFWDSFHPTEKTNSIVAKYVVEHVLAQLLE >CAK8541083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:61493941:61495140:1 gene:gene-LATHSAT_LOCUS10034 transcript:rna-LATHSAT_LOCUS10034 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSHSSSTALPNELLAEIFSLLRVKCLMRFKCVNKSFNSLISDPYFIHMHLNKSQRNPNLTLILQQNYKFSDCNVTTFPISGILDTPLTTVQFDHYYDLNENNSSWWIVGSCNGLLTLIDTSTPPFNCDSRICFWNPATRAKTEYFLPSSNISFQFAFGYDTLNEIYKVVAFHVELDVKRRKTNMVKFCNARSMVKVFSMGNDSWRNIQSFPALPFYRFNNNSINNGVYLSGTMNWLALRDYFCFNYLFCSNEGSIDLDQFVIVSLDLSTETYTQFLLPQSFDKVPRFPPALVVLRDCLCFCHDFEETCFVIWQMKDFGVQESWIQLFKISYTYFFKWLDLLPLYLSENGDTLILANDEYDEAFIYHHKNNRVEKIETTDDILWSQSKNYIESLVSTS >CAK8575765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:315784209:315784671:-1 gene:gene-LATHSAT_LOCUS28001 transcript:rna-LATHSAT_LOCUS28001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNSDGGSSIRRRSLILSSHRSHHTDNDVDCESVSEAGDIGDRALPSRWFSESNSFHSENGSTVITKSSVRPLPQELSFTTHLSTDATVGSHDSKLEPPKGLPQLLDYVSRMAHLVGFGILGVITCRIQLDDS >CAK8562610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:515395239:515395478:-1 gene:gene-LATHSAT_LOCUS16095 transcript:rna-LATHSAT_LOCUS16095 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSPSKRESTEVAARESLIAISNTSPDKVQDSVSESQKPDGAVLPNADQDEQFRSELISISYAESPDVKIGTIATGV >CAK8543635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628344051:628344416:-1 gene:gene-LATHSAT_LOCUS12381 transcript:rna-LATHSAT_LOCUS12381 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGVPSITILILSLSIFFFFFTTHSSAQGPTVSPSSSPLPICPDLRVCVSLLRDFAHIVVGSPKSEPCCSLIADLVEVDAVVCLCAVVKANVLGININVPVKEILRVCERNAPALASCQ >CAK8575444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:120899802:120902206:-1 gene:gene-LATHSAT_LOCUS27709 transcript:rna-LATHSAT_LOCUS27709-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSFSTIYARSFSLPNLNHQFSTSLSLPTLTIIYRPPHSHSKLSTSRHPLFTLPKTSTTIHASGNGGGIGGGGGGEGGDGDDGGSGDRDRNREEALMVLAEVGKPLESLPADLAEAVKAGRVPGSIVRRFFELEKSSVFRWLLNFGGFRERLLADDLFLAKVAMECGVGIFTKCSFQTAAELEKRKENFTKELDFVCADVVMAIVADFMLVWLPAPTVSLRPPLSVSAGFIAKFFFGCPENAFQVALAGSSFSLIQRIGAIVRNGAKLFAVGTGASLVGTGVTNALINARKVVDKSFADEAEEVPVLSTSVAYGVYMAVSSNLRYQILAGIIEQRILDPLLHQNKLLLSAVCFAVRTGNTFLGSLLWVDYARWVGVQKIRD >CAK8575443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:120899802:120902206:-1 gene:gene-LATHSAT_LOCUS27709 transcript:rna-LATHSAT_LOCUS27709 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSFSTIYARSFSLPNLNHQFSTSLSLPTLTIIYRPPHSHSKLSTSRHPLFTLPKTSTTIHASGNGGGIGGGGGGEGGDGDDGGSGDRDRNREEALMVLAEVGKPLESLPADLAEAVKAGRVPGSIVRRFFELEKSSVFRWLLNFGGFRERLLADDLFLAKVAMECGVGIFTKTAAELEKRKENFTKELDFVCADVVMAIVADFMLVWLPAPTVSLRPPLSVSAGFIAKFFFGCPENAFQVALAGSSFSLIQRIGAIVRNGAKLFAVGTGASLVGTGVTNALINARKVVDKSFADEAEEVPVLSTSVAYGVYMAVSSNLRYQILAGIIEQRILDPLLHQNKLLLSAVCFAVRTGNTFLGSLLWVDYARWVGVQKIRD >CAK8540272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548071039:548072862:-1 gene:gene-LATHSAT_LOCUS9292 transcript:rna-LATHSAT_LOCUS9292 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFFLVGVWHIWATMVRYISNPKRFQVRAWNPVPGFDGWVKHLELYVIAIGSLIDLCIEFLYSTHLKIFVGGVLNPSHMNDFEHSGMLLMFFIYGVVALLSENTRFLPLPEGILCFIAAMAFSAEYLLFYFHSTSHKGLEGYYHTLLVFQVGLCVLSSIAGALMPNNFPVDLCNGIAIALQGIWFYQTAFVLYGPMLPDGCKIKDNHISCNSVDSEVRGELLANFQFFVAILAVLVASVIAYGYAASRYGNSEVNSLHTVQNELDQI >CAK8531642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133854005:133854274:1 gene:gene-LATHSAT_LOCUS1418 transcript:rna-LATHSAT_LOCUS1418 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEEDKCERLQRALSDCHRRVPSGPGQNFACRHLNNAFAVCVVSLACPEESEAVRNLCSSAGTVLKRRQCQQAQISLSLCLDSQSNS >CAK8541318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:119497342:119498552:-1 gene:gene-LATHSAT_LOCUS10247 transcript:rna-LATHSAT_LOCUS10247 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQHPVMYQNVIVMRHSERIDKVEPLWISTATRPWDPPLTHSGRVLAFQTAQGIRKSVGFPIHRLFVSPFLRCIKTAAEIVTALSAVNDAGGSVSHGDISADPSNVKVAIENGLCEMLNRIAISINVAPKDGNFSFDISQLETMFPNGSVDNNHVEMVYKELPKWEESVSQAKVRYQQTITKLADKYPTENMLLITHGEGTQAALSSYTKDVVEHKVKFCGYVQLRRPIFKNDHSFIGGKFNLLTHIGQNGVNYISSQEL >CAK8536779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:19422739:19425760:1 gene:gene-LATHSAT_LOCUS6112 transcript:rna-LATHSAT_LOCUS6112 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDGYAVEVTGLSPNATDKDVSEFFAFSGAIQHLEIIRSGDYACTAYVTFKDAYSQETACLLSGATILDQRVCITRWGQYAEEFDFWNTSSRGHEEDSYSTTHHSSQFVSSAGEAVSMTQEVVKTMLAKGYILSKDALAKAKDFDESHGVSATATAKVSELSQRIGLTDKISAGYEAVKSVDQKYNVSETTMAAASATGRSVAAAANSVVNSSYFSKGALWMSGALTRAAQAASDLGNRGARQ >CAK8540910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31239810:31240296:-1 gene:gene-LATHSAT_LOCUS9868 transcript:rna-LATHSAT_LOCUS9868 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKSLEDDYEKQLQEEAKELEQLVSRKNTNSAKEEEYARLDRLKYVLKARLFEVDSDMTEGQTYDFLHDIADLRKRQKPGIGSDRKRQKAEDDLSDCGGSSGADNDKDLKRMKANFENLCDENKILVFFKKLLNEWK >CAK8532096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201113725:201114206:-1 gene:gene-LATHSAT_LOCUS1832 transcript:rna-LATHSAT_LOCUS1832 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKITVNAN >CAK8563913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638629236:638629520:1 gene:gene-LATHSAT_LOCUS17271 transcript:rna-LATHSAT_LOCUS17271 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHDPSSPTLSRRPIVQKAAKGRRKKSLWKYFDFSYDHLSNDATILSNDSNIDFQEFLRRRFDIRDKQVHRHLQQDLIEHIWQCYGHENDNN >CAK8575549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:160947643:160948664:-1 gene:gene-LATHSAT_LOCUS27805 transcript:rna-LATHSAT_LOCUS27805 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQSKDGKNNNHELLFVVILGKAMLAAIILSAVPGLINYKKAYEIWKVDKLDFLACAGAFLGVLFSSVEIGLVIGVMISFAKIILISIQPGVAIIGRFPGTNAFGDVEQYPMAINMPGVLVVCIRSGWLCFANASAIRERQGAKGNEIIEALIASSATFDKKTSLQNSNKMSVAWKASQLIQ >CAK8565272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95123685:95125097:1 gene:gene-LATHSAT_LOCUS18498 transcript:rna-LATHSAT_LOCUS18498 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVYNTMESRGFSPTVITYGILLNCCCNQGDLSNARKVFDEMLQRRIEPNVVVNTILIRVFCNEGEMEEAERVFKLMRESGVDPNLYTYVTLFDGYCKMGNVKRVFELYSDMLWHGLHPDVVTFANLVDVLCKAGDLKTARNCFVYMDKFGVFPNSHVYNCLIDGYCKAGDLVEVMRLRDEMKRNGVFWDDFTCSILVKGLCDSGKFEESKVLMEEMSKAGVFANAVTYNVLIDGYCKMGDMKKAFEVCSQMTERKVELNEIIFSTLIDGFCKNGNIKAAMGLYAEMVIKGLVPDVVTYTTLIDGHCKDGNSKVAFELHKEMQNAGLTPNVVTITSLIDGLLKDGRTYAAIRLFLEKTGVGFAGGKMDHSGSCSPNDVMYAVLIQGLCKDGHIFKATKFFKEMRCSGFKPDLVLYVIMLEAHFRFKHMVDVMMLHADMLKMGVLRNTSACRALSTGYRELRSETNSNVF >CAK8575966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:375038813:375047681:-1 gene:gene-LATHSAT_LOCUS28188 transcript:rna-LATHSAT_LOCUS28188 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLELQRQFEFAQQAKSSIRLSDRNVVELVQKLQQLQIIDFELLHTASGKEYITLDQLRNEMVTEVKRLRRISVIDLADVTGVDLYYVEKLAQNIITDHGELMLTQGEIITESYWDSIAEEINERLQECSQIALTELAAQLNVGLDLIASVLEPRLGTIVKGRLEGGQLYTPAYVARVSAMVRGAARGITVPTNLTVLWSSLQNLLQEMDGASGVAVDGSFFQSLFNGLVKGGEILGSVRAGVHWTPAVFAVAQKESVDSFFSQNSFISYEVLQKLGIPQPVQFLQSRYPEGKPLVTTFVHPSMIEMLDAATEDALERGSWSDSLSLLPPSFTPQDASKMLFLCQSVQLALKSNKAHIFGDFYVLSSSFMKDICDRTVKELETLAVSRSLGTVKHGDLPIANEVKAGYDSSRLSESSEMASDGGSNKHADKGPKKKKGKATGNALANQPESGANNQEHTSTKSKKSQRRGKDTSSQTSDSKQGSRKESLKMKEDNLSSPSEEWIMEKITALIPDFEEQGIDDPETILRPLANKLRPTIINTWAEKRKALFKDNAERMKQLLDNLQKKLDESFLNMQLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDMLLHDLDEHNKLKNGVEVQESPNSESITFSPGDRAAISKSFPGALANKALAVVEALEGKRVETFMSSFRAVTEESGLPLKKLDKKLERTLLHSYRKELTSQVSDETDPVSLLPKVVSLLYVQVHHKALQAPGRAISVAISQLTDKLDETACKILADYQAAAVTLLTLSATPDDEGSSASDRIMSKRELLESQMPALKSLVSSASRS >CAK8573281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600132168:600132476:1 gene:gene-LATHSAT_LOCUS25749 transcript:rna-LATHSAT_LOCUS25749 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVENPSPSHSFRRSVSNSENEGRCYAITNCLTRKLHVHPPTVHSFVAPSSSKVTPQPTFSSNGEITGPPRLVRSHAVRRDIVQDWNFDELVSA >CAK8570734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:101323538:101324982:-1 gene:gene-LATHSAT_LOCUS23464 transcript:rna-LATHSAT_LOCUS23464 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLFFTVKRRTPELVTPSKPTPCEIKLLSDIDDCDFLRFHVPGLQFYKYDPNMAGRDPVDVIRKALAKMLVFYYPFAGRLREGAGRKLMVDCTGEGVLFIEADADVTLNDFGDNLLPPFPCLDELLYDVPGSSNILNTPLLLIQVTRLKCGGFIFAHRTNHTMSDATGIAQFMNALAEISRGMNGPSISPVWCRELLRAREPPRVTCIHPEIEQEPRNSGTIISFDNLVQRTFFFGPVELAKIHSLLPTNMVHKYTKFEIITAFVWRYRTIALQPDSDEEVRIISIVNARSKSFNLQLPNGYYGNVIMNPVAVTSARKLIENPLEYALDLIKKSKAKVTKEYIHSFADLMVIKGRPNFSKRGLFLVTNVTHAGVKDVDFGWGKPVYGGPAKGSTFPGISSYYIPFTNGTGEKGLVIPLGLPAQAMERLVKELDSVLKGNNKGDSTSRIIKSLL >CAK8571733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465958961:465959665:1 gene:gene-LATHSAT_LOCUS24367 transcript:rna-LATHSAT_LOCUS24367 gene_biotype:protein_coding transcript_biotype:protein_coding MREDGWSSLLDEVSLFCEKHNIDIINMDDVFMLHGKPSRKVEKVSNLHHFQVEVFYQVIDRQLQELNNRFIEVNSELLICVASLSPRDSFVAFDKEKLINLARFYPSEFSLVELMGLDNQLENYIMDVCSSEQFSNLQGISDLSRTMVETKKHIAYPMVYLLLKLALLLPVATTTVERSFSAVNFVKNQLCNRMGGKFLNDCLVTYIESDIFDSVENKKILQHFQNMKTRREQL >CAK8574436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681732218:681733982:1 gene:gene-LATHSAT_LOCUS26784 transcript:rna-LATHSAT_LOCUS26784 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKNKVKRKARDENDDDRTKKKNKTTEPKPNVEPLRFFLDHFQAANGLHLSSIELESLKDTAILKLSNSQTTDTDLDVKFMASDVKASFGDSWRKVLCESEVVEGKIAAGSPSVLVVSYSALRSIHLLKGFRSVTKQCSSVKLFSKHIKLEEQISLLKNRVNIASGTPSRIKKLIDNEALGLSRLKVLVLDIHPDVKGFSLFTLPQVSDEFWDLFKNYFYQPMIQGDLRICLYGPCKPAAGLKGKKGVHVPDE >CAK8566025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368864539:368868018:1 gene:gene-LATHSAT_LOCUS19193 transcript:rna-LATHSAT_LOCUS19193 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLTRTAATHNHSWRNNLTTRVSSLNYSSKSPTKSTSTSKTPPTAATADIFFDEQERLRNLTADEKNPSLNVGPNGRPLFTSAASLSKLTNNDTCTYFQLAKETLDAALPEGLPIGMSKEFQDSMRTALLVRQSFLDLRDNFRRVVDPPMWSPHGKGVKVRKQVVLDGPVSSGKSIALAMLVQWAREEGWLVFYVPRGKEWTHGGFFYKHPQTGLWDTPVQAENVLKDFLKYNESYLKQLPCQIFDPIPLGEGAGVGWLKDVDSMAVPEGTMLHELVKTGIEQTHAAVGVVVRLRKELSLVKDMPVLIAIDQYNNWFTFSEYEEPVTIRSCRPIHAREITMVNAFRSMMHDDMMVGAFSHSTAVGKLRKDLPDVPIDARAIFPRYSLEEADTVCHYYLRQRLIRREAFTEENWKKIYFLCNGNGTEMRGLVPFMR >CAK8561083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:89007533:89007982:-1 gene:gene-LATHSAT_LOCUS14705 transcript:rna-LATHSAT_LOCUS14705 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLKPITFLVSTLWSLLTRLIFNTIAYTIVLLIQGLKTSGEGSLGIFQQVAEIIRACFEFVLQLLIGSISSIVSLVLDAVKNVITGSVSATGSMAAELAEKLKTSFEESLKQVPELLEEVFDMMSNMVTDLWNNYKEAVGYVVGNA >CAK8544408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682623135:682628776:1 gene:gene-LATHSAT_LOCUS13092 transcript:rna-LATHSAT_LOCUS13092-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMHKLYHRLTLLSLSSPLSHPSNPSLLPLLPPLPLTLRYTTAANFASMSTSRFSHISPLAAVSAEDSTGGASNGSVSPSAAANTYNEYEDDSTLGVGYRVPPPVIRDIVDAPPVPALSFSPYRDKIIFLKRRALPPLTELARPEEKLAGIRIDGHCNSRSRMSFYTGLGIHQILPDGTLGPEVEVRGFPEGAKINFVSWSPDARHLSFSVRVNEEDNNTSKLKVWIADVETGNARPLFQSPDVHLNAVFENYVWVDNSTLLVCTIPSTRGAPPKKPLVPGGPKIQSNEMKSVIQVRTYQDLLKDEYDEDLFDYYATSQLVLASLDGTTKNFGPPAVYTSLDPSPDEKYIMINSLHRPYSFIAPCGIFPKKVELWSADGKFIRELCDLPLAEDIPITSNSVRKGMRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIIYSQAAEPLEGEQPVILHKLDLRYGGISWCDDSLAFVYESWFKTRRIRTWVASPGSEDVPPRILFDRSSEDVYSDPGSPMLRRTQAGTYIIAKIKKGGDEGRYILLKGSGATPEGNIPFLDLFDIHTGNKERIWESDKEKYFETVVALMSDQEEGDLQLDKLKILISKESKTENTQYYFVSWPDTKRVKVTNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYNPSTDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGSTSPLLWLAQRFAILSGPTIPIIGEGKEEANDSYVEQLVASAEAAVEEVIRRGVAHPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFSALKGHGALCRLVILPYESHGYSSRESIMHVLWETGRWLHKYCVSNTSEAGEDHPGAVKENSSKATIDAESKVAAASGGGSKEECDLEHEESHSLPRSSLW >CAK8544407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682623135:682628909:1 gene:gene-LATHSAT_LOCUS13092 transcript:rna-LATHSAT_LOCUS13092 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMHKLYHRLTLLSLSSPLSHPSNPSLLPLLPPLPLTLRYTTAANFASMSTSRFSHISPLAAVSAEDSTGGASNGSVSPSAAANTYNEYEDDSTLGVGYRVPPPVIRDIVDAPPVPALSFSPYRDKIIFLKRRALPPLTELARPEEKLAGIRIDGHCNSRSRMSFYTGLGIHQILPDGTLGPEVEVRGFPEGAKINFVSWSPDARHLSFSVRVNEEDNNTSKLKVWIADVETGNARPLFQSPDVHLNAVFENYVWVDNSTLLVCTIPSTRGAPPKKPLVPGGPKIQSNEMKSVIQVRTYQDLLKDEYDEDLFDYYATSQLVLASLDGTTKNFGPPAVYTSLDPSPDEKYIMINSLHRPYSFIAPCGIFPKKVELWSADGKFIRELCDLPLAEDIPITSNSVRKGMRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIIYSQAAEPLEGEQPVILHKLDLRYGGISWCDDSLAFVYESWFKTRRIRTWVASPGSEDVPPRILFDRSSEDVYSDPGSPMLRRTQAGTYIIAKIKKGGDEGRYILLKGSGATPEGNIPFLDLFDIHTGNKERIWESDKEKYFETVVALMSDQEEGDLQLDKLKILISKESKTENTQYYFVSWPDTKRVKVTNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYNPSTDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGSTSPLLWLAQRFAILSGPTIPIIGEGKEEANDSYVEQLVASAEAAVEEVIRRGVAHPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFSALKGHGALCRLVILPYESHGYSSRESIMHVLWETGRWLHKYCVSNTSEAGEDHPGAVKENSSKATIDAESKVAAASGGGSKEECDLEHEESHSLPRSSL >CAK8543366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603062306:603062749:1 gene:gene-LATHSAT_LOCUS12128 transcript:rna-LATHSAT_LOCUS12128 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITAGAYKGLKEYWERKGYRRINGSGHRRRTNKVELGATQTRKGRFWRWKIKLSPKIRINRIPSPKKLLRRARDAYVNMMMGLANSRVMTVSGSAGGFGGALSTGPTGDAGFKRAPPKEYDEKTIIQIYKSILMTHGNLEVACRQ >CAK8539383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510749919:510750545:-1 gene:gene-LATHSAT_LOCUS8484 transcript:rna-LATHSAT_LOCUS8484 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFESSFTDFNHSDTSSASSETSSSNRNSESDRPKRRTGRRVFKETRHPVYRGVRKRNNNKWVCEMRVPNSTKCSKSRIWLGTYHTPEMAARAHDVAALVLKGKSACLNFADSAWKLRLPESNDAEEIRKAAMEAAELFGDGVIIEDSNDKHVMTELEDMHDLVMSIANEPLRSPSPSFTDYASSNWGEFDIFDTQVSSLWNFETRY >CAK8543922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649422797:649425327:-1 gene:gene-LATHSAT_LOCUS12644 transcript:rna-LATHSAT_LOCUS12644 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPKTICILVTIIVLSSFSPLGLAMLNLTLPGTHPDPEAVAHEVHRKVNASMARRQTLSSGSACTTGNPIDDCWKCDPEWPNNRQRLADCVIGFGQYAKGGKGGEYYVVTDSSDDDPVTPKPGTLRYAVIKNEPLWIVFPSNMMIKLKQELIFNSYKTIDGRGADVHIVGGGCITLQFISNVIIHNIHIHHCHPSGNTNVRSSPEHYGYRTLSDGDGISIFGSKDIWIDHCTLSHCKDGLIDAIMGSTGITISNNFMSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGEPTINSQGNRYVAPVNLFAKEVTKRVETEQNKWKGWNWRSEGDVMVNGAFFVTSGESLEVKYEKAFSVEPQSADRIVFLTMNAGVLGNARDNNVGMWSRGPSSNDEGVSDSGPDYTDDMSGSALVVLSSSFTMLCFLLSYRVILLTVIL >CAK8569717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9162239:9170548:-1 gene:gene-LATHSAT_LOCUS22536 transcript:rna-LATHSAT_LOCUS22536 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKDIHDEEKWLAEGIAAIQHNAYFMHRALDDDNLRDSLKYSAMMLSELRTSRLSPHKYYELYMRAFDELRRLEIFFKDESRHGVSIVDLYELVQHAGNILPRLYLLCTVGSVYLRCKDTPVRDVLKDLVEMCRGVQHPIRGLFLRSYLSQVSRDKLPDIGSEYEEGDYGSVKDAVEFVLENFSEMNKLWVRLQHQGPGRVKEKKDKERNELRDLVGKNLHVLSQIDGVDLEMYKETVLPSVLEQVVNCKDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLAQLMDRLSNYAASSSEVLPEFLQVEAFTKLNTAISRVIEAQVDMPIVGAIALHVSLLTFTLRVHPDRLDYVDLVLGSCVKKLSGKPKLDDSRATKQVVALLSAPLDKYNDVVTALTLSNYPHVMDHLDNVTNKVMALVIIQSIMKNNTYISTADKVEVLFELIKGLIMDLDGTSEDEIDEEDFSEEQNSVARLINMLHNNDPEEMFKIICTVKKHIMSGGPRRLPFTIPSLIFSALKLIRQLQGLDGDIAGEEEPATPRKIFQILNETIEVLSSVSSPELALRLYLHCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNIFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMASVARGSSGPVTLFVEILNKYIYYFEKGNPQITSATIQGLIELIKTEMQSDSASVLPVSDAFFASTLRYIQFQKQKGGILGEKYDSIKV >CAK8563778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630366788:630370563:-1 gene:gene-LATHSAT_LOCUS17154 transcript:rna-LATHSAT_LOCUS17154 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKSTERVRMSRPAIDFTVKRKRSNASRKPRANCDGIFKRYFGWLPPAENESVRDTVVVSDGLGTNNKLKKLKLKFGGVTHTIHTKSKAGTCLPSGSDGRNPKDSANTNDPRSSDKKRDVGEKSVKLSRTESYFAAENHSHKRKTSGQHARKSKQVTERCALGVGFSDVEDEDAELQFLEKINSSKRSASRHKDNQGGTTMRGICKDALGKKYGDQDYVEEVPTSSDESILEGKKPKRESVDLVVPRKQSTRSNRNCPVDSFNDMLSGPVASIIDISDKKAKLSEEQLIKKAEAAKRRKIQAEKAAKEAEEAAIKKILGQDSAKKKKEEKMNKRRDELAKEKSSKPFHLASKTVRWTMGPNGTVVTFSEDMGLPSIFQTIPKSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLGCYKAIHEKMSPVVAC >CAK8543605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:625425534:625426467:1 gene:gene-LATHSAT_LOCUS12353 transcript:rna-LATHSAT_LOCUS12353 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQQFIQTCNSENTCNFMNTISSSNNNTSLTTMTITTTASGSSSSSAASTITNTPNSSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPETNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQPPPRPQQQVPPTQGASAAH >CAK8561094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:92069151:92070227:1 gene:gene-LATHSAT_LOCUS14715 transcript:rna-LATHSAT_LOCUS14715 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRDLVLIAQTPSSLHHHQQQNQPISSDHPPNLPLPSSSALSVGLGIFPLLTTTHIDSNNNNNQDSNFWNLKMCQPQQVMMMMDSTRKGVEEEDEKIQNLMMGCEENGEFRVCQDCGNRAKKDCSFKRCRTCCKGRGFDCSTHVRSTWTPASMRRDRHMVVAEGGGDSDGSSGTKRPRIFVASSSHDNFAATSHSSSSNAATTRSLSLDITSSSHQDVGFKQSLPRYVTAPAVFKCHRVSGIGNGDEEEIAYLATVNINGHLFKGFVYDQGVVDGKDETTMGCVSELQLGSNNRECSSVIQVPTTSGYPTSAC >CAK8532104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:204218146:204218376:1 gene:gene-LATHSAT_LOCUS1840 transcript:rna-LATHSAT_LOCUS1840 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFEMNSMELVKQSKNTNGGDIWAELVEIGVEPSFLPLVYTYLVNNADALKAFNEIPINKRKEMLHLIVPDYPF >CAK8579453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700442210:700443691:1 gene:gene-LATHSAT_LOCUS31400 transcript:rna-LATHSAT_LOCUS31400 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIQQQCAQLSNIALSDTHGENSPYFAGWKAYDENPYHYLTNSSGVIQMGLAENQVSFDLVEKYLKDHPEDYNGFRENALFQDYHGLISFRTAMATFMEQIRGGRAKFDPQRIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNSSNNFQITPQALQAAYKEAQSMNMKVSGVLITNPSNPLGITIQRAVLEQILDFVTEKNIHLISDEIYSGSVFSSSEFVSVAEILEARSYKGADRVHIVYSLSKDLGLPGFRVGIVYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKEFTEKYIKINRERLKKRYEMIVDGLKSVGIECLKGNAGLFCWMNMSSLLKESSKEGEIEVWNEILKEVRLNISPGCSCHCSEAGWFRVCFANMSEQTLEIALERIRKFMANRIRTKKE >CAK8536085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905956265:905960024:-1 gene:gene-LATHSAT_LOCUS5486 transcript:rna-LATHSAT_LOCUS5486 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKSDLEYYRRRYFLDLKDDYYHKLQISDSTFRCPFCFHKDYYSLTDLLRHASRIAADMHGETVKEIAKHSALQRYINFQISQDNSHATMNVDTDKSLSVSSAEGQSFNLNAVKDRSFDMVVSKDRSLDVVIAKDKSPRVNTAEDEFVWPWMVVLANNVTKFDSKCGKYIGKSHKKIKEELYAKGFQPLKVIALWNNRGQTPFVIVAFGKEWDGFNNALKLERSFEAKQCGKRDYVGLRELGDKLFGWMARRDDYNFRDIVGKHLRENGDLKTVSGKEAEDNRKALKLVSGLANTLKQKNMELEQTASKYDEANVFLNRVMNQKEELLEHFNKEISKMQNAERSYLESVSKDHEKTMLELEARRKELSSLEENLQKRQADNHNERNKLYLEKKNNEMAIAEQQKADDKMMRLAEEHQKEKDKLHKKIHDLERGLDAKQALELEVERLRGAFHVMNHIGETDLEEKKKLEAIKMNLREKEEQLEGVEDLQQTLVIQERKTNDELQDARKKLISWIGCPKNTSRTIISVKRMGDLDIKPFKEASKRKFPAVGNGKAAQKKLDEERDMKALEWCSQWDEYVRDPSWHPFKIVTDKEGNSKEILDETDEKLKSLRNELGNEVHDAVATALKELNEYNPSGRYPVPELWNFREGRKASLKEGVAYLMRQWKLAKQKKA >CAK8537830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439618799:439621396:1 gene:gene-LATHSAT_LOCUS7084 transcript:rna-LATHSAT_LOCUS7084 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIGGLNKVGKIREIRSRLQELKLAIIILIETRVKEAKDKVVREKLMIYDKHIDNYKDHTNGRIWIHWDCNRVEVRFIQSSSQFIHCGVYDMCEGFKYWLTAVYAHNRLNKRRILWKEIKHLSINIQGPWYTVGDFNNVTKAQDRLGGNLVAEKEYEDLIKMMWNTGLGEMDSIGDHFTWSNKQTVGLIYSRIDRVLGNTEWFLNNLETMLKILPPNISDHAMLYLEEKQEQRKPSKHFKFSNCLIDLPGYDVVIKKSWDAHIRGSPMYVLWHKLKRLQHELKQFSKPLSDIKRKLIAARANLKETQGKLTEDRLNITLVEEAKKLTDEVVSMNELEWKILQQREKIDWIRKGDGNNHYFYAAIKGRQHSNCFTNLRINDGRQLTAKPDIEEEVINFYRNMMGKDVDFMNHIDIEAMRMGKQLNMEQREHLTRPISEADITKALKGIGDLKVPGLDGYGAKFFKAGWETVKTDVIAAVQEYFETGRIYKLFNSVVVSLIPKDHTAYEIKDYRPISICTTFYKIISKILTDRIGAVIHSVVSHNQAAFVPGQKIHSHIMLATELLKGYNRKWGTPRIMLQIDLQKAYDMVNWTDLECIMKEMGFPNKFIQWTMLGITTVSYRFNIMGEYTDCLQAKRGIRQGDPLSPMLFVLMMEYMNRLLMKMQKDPNFNYHAKCEKLQITNLTFADDVLLFCKGDEISLQMMLNTFKNFSNSTGLILNLSKCKIYFGGLDSERRKTLTALSGFHEGSLPFKYLGVLLSSRKLNINHFLPLVEKIVVRIHHWSSRLLSYAGRIQLVKSVNAAMVQYWMQCLPLPKAMIRKIESICRSFIWTRKNTISRKCPVAWIRTCCPTAQGRLNLLNL >CAK8579234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686638935:686639826:1 gene:gene-LATHSAT_LOCUS31197 transcript:rna-LATHSAT_LOCUS31197 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTLILAFSIIVAYSHATSTLLTLLQSQINQYSTLNLTQQQINETKLVGMCSYKITIKTSCNSPKYSRDTIGILFGDADGKEITVLKVDPETELFQQCETLIYEVLGHCIGKICKLYVARVGLDGWMPETIIVYDHKDLPIRFNYNYFIPEGIRRGSDYCGHQI >CAK8537444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:360204419:360220916:-1 gene:gene-LATHSAT_LOCUS6736 transcript:rna-LATHSAT_LOCUS6736 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSNRLSHFSGKRRIEDVLTRYINLPDQERDKGIHNKEYLLRTLQQLRSENDIALHMSNPGDINSEIEELQQEVSRLQQQLQIAEEQIRIYEPDPLKMTSMAELETSEKNVVETLARVIQRKELLLNNQLSSYDPSGIQGMPSTFENVGWLQDGSQNHQNIFDASAPMDPLRDLSSTMYGSFSQGTSSNVDSRGIGECHVANTNDANLQTWPQGYTLYPHHQQPQQHQHQQNRHIQHDMVRTDNHQHHLHHNIQDMMPHGQMNMPITASQVEPPKNDSIDQYDQCKQSNHQLNSCSMTHTN >CAK8565337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:138195942:138196404:-1 gene:gene-LATHSAT_LOCUS18558 transcript:rna-LATHSAT_LOCUS18558 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGVSEVAGTPNSLEIDSLARYAIEQHNAKQNALLEFSRVISAKHQVVSGTLHHITLEAKDGGNKKLYEAKVWEKSWLNFKEVQEFKLVEDVPAQ >CAK8563173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580150150:580151866:-1 gene:gene-LATHSAT_LOCUS16607 transcript:rna-LATHSAT_LOCUS16607 gene_biotype:protein_coding transcript_biotype:protein_coding MALSATFITPSPSSSNLYSKIRKPFLSHSVYLLKPLKIRASATLNYSNVDKSSPLKTSNWQWKFKDNLVNVYYEEHVKESQEPSQNILMMPTISDVSTVEEWRLVAQDIAQRNGSVNYRATIVDWPGLGYSDRPKIDYNADILEKFLVDFVNSPDGPVKQSDNDLVIFGGGHAASIVIRAAKKGLVKPKAIAAVAPTWSGPLPIVFGRDSSMETRYGLLRGTLKAPAVGWMMYNMLVSNENAIQSQYKSHVYANPDNVTPAIVESRYALTKRKGARYLPAAFLTGLLDPVTSREEFLQLFADLEGKVPVFVVSSKGSPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPAVVAEELYQFLQQYFGSVA >CAK8562848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547347895:547350147:1 gene:gene-LATHSAT_LOCUS16312 transcript:rna-LATHSAT_LOCUS16312 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILFIALIFVLSFHVHFTQGSELSLTSSKIYIVHVNEPHGKIFTQSEQDLQSWHHSFMPPTVMSSNEQPRMIYSYRNVLSGFAARLTQEELRNVEQKNGFISAHPQRTLRRQTTHTPDFLGLQQNIGLWKDSNFGKGVIVGVLDSGITPGHPSFSDAGISPPPAKWKGRCELNGTACNNKLIGARSFNNAAMAMKGEKAEAPIDEDGHGTHTASTAAGAFVDNAEVLGNAKGTAAGMAPHAHLAIYKVCFGEDCPESDILAALDAAVEDGVDVISISLGLNEPPPFFNDSTAIGAFAAIQKGIFVSCSAGNFGPSDASLVNGAPWILTVGASTIDRSIVATTVLGNGEEFEGESVFQPSDFSPTLLPLAYAGINGKQESALCGNGSLNDIDFRGKVVLCERGGGIGRIAKGQEVKRVGGAAMILMNDEINGFSLSADVHLLPATHVSYAAGLKIKAYINSTSTPTATISFKGTIIGNSLSPAVASFSSRGPNLPSPGILKPDIIGPGVNILAAWPFPLNNSTNSNLNFNIMSGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTSADTSNLENKPIVDETLQPANFFATGSGHVNPSRADDPGLVYDIQPDDYIPYLCGLGYSDRQVGIIAHRTVNCTETSSIPEGELNYPSFSVVLGSSQTLTRTVTNVGEADSSYVAVVTAPKGVDVKVQPEKLYFSEANQKETYSVTFNRLENGNKTGEIAQGSLKWVSAKHTVTSPILAKFA >CAK8532989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561462213:561462425:1 gene:gene-LATHSAT_LOCUS2642 transcript:rna-LATHSAT_LOCUS2642 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKINQFFIVMVVVAMMMVASSVSAVEAPTSSVSAVEAPTPGPTSDATTLFVPTIIASFVALVFGFLF >CAK8569419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:348523:349836:1 gene:gene-LATHSAT_LOCUS22274 transcript:rna-LATHSAT_LOCUS22274 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYDAFMGFETSFKGCVFSVLDNEKLDLKLLIEEMDSIDVPSVFICPISLEPMQEPVTLCTGQTYDRSNILKWFSLGHKTCPTTMQELWDESITPNNTLKHLILTWFSHKYVEMKKKLEDVEGRVLETLETLKKVKGQSRVKALKDLRKLVVSHVCARKTLLENGGVGLVSSLLGPFTSHVVGCEAIGIIVNLDLSSDFKRKNLMHPSKVSLIVDIMNEGTIETKMNCAKLIQMLLMEEQEQEQEQEKETKIEIVSSLSLLVGLLRLVRDKKHQNGILIGLTLLKKVLSCHESIRNSLISIGAIPQLIEILPSLSNECLEIALYILEILSTLEEGKLALKECPNIIPNVVKMLMRVSENCTQFALSILWAIYKLAPEECASKAVEAGLASKLLLVIQSGCNPVLKQMSSEFLKMCSVNYSTSSIFISKCMLTTTIQ >CAK8566539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433766877:433767245:-1 gene:gene-LATHSAT_LOCUS19663 transcript:rna-LATHSAT_LOCUS19663 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVLKEDWCPVYAVTTEGKPIYTDKIDGHFIWDVDPTRCDPDCDCWMHDDDIDRDIILPKTKKKGRCKPSPPPQRRSDPDNGPWVGIHGKKKPLCIYKEGLKILRREGLLPPDDLNLIIW >CAK8572732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558763687:558765549:1 gene:gene-LATHSAT_LOCUS25261 transcript:rna-LATHSAT_LOCUS25261 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSAIASSKSKAASAQPSESSSSKRKRGVFQRELQHMMYGFGDDPNPLPESVALMDDIVVEYITELVHKAQDIGSQRGKLSVEDFLYLIRKDLPKLNRCTELLSMNEELKQARKLFEHDEENLRKVFEGDEPAEG >CAK8541926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435253484:435254170:-1 gene:gene-LATHSAT_LOCUS10814 transcript:rna-LATHSAT_LOCUS10814 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSLSNNSRETNGESNSLDQPNSAKGSSKLVKEEERETGKVSFNIYKLYCTEAFGWIGTFTVLFLSVLWQASMTASDYWLAYETSVKRAEFFNPSLFIFVYAIISIVLVLLIVLGSYSVTVFGLKTTQIFFKQILNSILHAPMSFYDTTPSGRIISKASTDQTNWIALKFVNNAYIFDSHSILDNLSIIERNLIGLY >CAK8571675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460072856:460073380:1 gene:gene-LATHSAT_LOCUS24314 transcript:rna-LATHSAT_LOCUS24314 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWCILVVLALSVVATSARNVPAGKSGLKDEKNFIGGFGGFSGIGNNGFPFGGVGFGGAGGGGLGGGGLGGGGLGGGGVGGLGGIGGGGLGGIGGGGLGGGGLGGIGDLGGLGGGGLGGSSGLGGFGGLGGGGLGGLGGSPGLEGFSGLGGSGQSVHSGGKHGGSGGGVLPRP >CAK8571674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460072856:460073380:1 gene:gene-LATHSAT_LOCUS24314 transcript:rna-LATHSAT_LOCUS24314-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWCILVVLALSVVATSARNVPAGKSGLKDEKNFIGGFGGFSGIGNNGFPFGGVGFGGAGGGGLGGGGLGGGGLGGGGVGGLGGIGGGGLGGIGGGGLGGGGLGGIGDLGGLGGGGLGGSSGLGGFGGLGGGGLGGLGSGGGVLPRP >CAK8570319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36181503:36183784:-1 gene:gene-LATHSAT_LOCUS23078 transcript:rna-LATHSAT_LOCUS23078-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIIKESKPFLSLFLFLLFSSIFPLSFSAANKPLNSDKLHRRVSSAVFRLHGNVYPLGYYTVSLNIGYPPKLFDLDIDSGSDLTWLQCDAPCNGCTKPREQLYKPKNNLVQCVDQLCAGVHLTSDFHCDTPDDQCDYEVVYADHGSSLGVLVRDYIPLQLTNGSVLRPKIAFGCGYDQKYSGPTHPPATSGVLGLGNGRTSILSQLHSQGLIRNVVGHCLSGQGGYLFFGDDFIPSSGIVWTPMLASSSEKHYSLGPAELLFNGKLTTVKGLELIFDSGSSYTYFNSKAYHAIVDLVNNELKGKQLTRATEDPSLPICWKGAKSFKSVSDVKNYFKPLALRFKKGKNLQMLIPPEAYLIVTKHGNVCLGILDGTEVGLGDLNIIGDISLQDKMVIYDNERQQIGWISSDCDRIPKL >CAK8570318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36181286:36183784:-1 gene:gene-LATHSAT_LOCUS23078 transcript:rna-LATHSAT_LOCUS23078 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIIKESKPFLSLFLFLLFSSIFPLSFSAANKPLNSDKLHRRVSSAVFRLHGNVYPLGYYTVSLNIGYPPKLFDLDIDSGSDLTWLQCDAPCNGCTKPREQLYKPKNNLVQCVDQLCAGVHLTSDFHCDTPDDQCDYEVVYADHGSSLGVLVRDYIPLQLTNGSVLRPKIAFGCGYDQKYSGPTHPPATSGVLGLGNGRTSILSQLHSQGLIRNVVGHCLSGQGGYLFFGDDFIPSSGIVWTPMLASSSEKHYSLGPAELLFNGKLTTVKGLELIFDSGSSYTYFNSKAYHAIVDLVNNELKGKQLTRATEDPSLPICWKGAKSFKSVSDVKNYFKPLALRFKKGKNLQMLIPPEAYLIVTKHGNVCLGILDGTEVGLGDLNIIGDISLQDKMVIYDNERQQIGWISSDCDRIPNIDRDFEGDAFPHPYAANLGIFEDRRCPAASEMSDRYQ >CAK8574112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663161584:663163885:-1 gene:gene-LATHSAT_LOCUS26487 transcript:rna-LATHSAT_LOCUS26487 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTISSSSSDFSSIATADQTAARSRRSGGSSEVAAAEQSRRWHDVFWLGIFVIHLIGLGFLLGVLGFNRFEIENRLDIDKYTSGLSGNEAGLTETYWPLYGAAGGIGTVLGWTWMLLLGSRATQMMKVSVHILTTYLAVISVLCFWTEQIFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWNLPEVMRVSIAFMFVVLLWMALWSFGAAGVVASNLSDGGRWWLLVIFSVSLFWTGAVLCNTVHVIVSGMVFLVLLHGGREAASIPANSLTKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKSFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTVFGGLITGTCSGAWAWVKWSDRVIMIGSTSMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQRWDAEFFNQMSETLHHRLQYRSARAREVLTHYRLNDDPIRENAAI >CAK8579325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691607535:691609901:-1 gene:gene-LATHSAT_LOCUS31278 transcript:rna-LATHSAT_LOCUS31278 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPSAKRRRQSKNDETEAVSTLPKQISPVVIFAHGAGAPSSSDWMQRWKSTLMETLHAADVVTFDYPYMSGGKKRAPPKAEKLVEFHSDIVKETATKFPGHPLILAGKSMGSRVGCMVANMEDINVSAVLCLGYPLRGTNGAVRDEPLLQLTVPTMFVQGSKDGLCPLDKLETTRKKMKVLNELHVIDGGDHSFKIGKKHLQANSSTQTDAEVAAMKAVAAFVSKSLEG >CAK8543912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649001512:649003219:1 gene:gene-LATHSAT_LOCUS12635 transcript:rna-LATHSAT_LOCUS12635 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFAFFILMPSLTNAYWPPSPGYWPSSKFQSMSFYKGFTNLWGPQHQRLEQNGLTIWLDRTSGSGFKSVRPFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGYHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREVKFHLWFDPTKGFHHYAILWSPKEIIFLVDDVPIRRYPRKSDTTFPIRPMWLYGSIWDASSWATEDGKYKADYKYQPFVAHYSNFKASGCTAFAPRWCRPVSASPYGSGGLNSQQNNAMRWVQRYHMVYNYCQDSKRDHRLTPECWG >CAK8569342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695410164:695412074:-1 gene:gene-LATHSAT_LOCUS22203 transcript:rna-LATHSAT_LOCUS22203 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQKRNGGEVLDGSNIMELVGNEQGFSKYVDSKFHQLDKDRDGKLSLKELEPAVADIGAALGLPAQGTTPDSDHIYYQVLNEFTHGKQEKVSKSEFKEVLSDILLGMAAGLKRDPIVILRMDGKDLFEFLNGPGYDAEMTSIFSQIENSSTSLRQHVIEAFGRLDVDRGIPPTSDSWVFNNIVDPALLSRALDRPVTDQETFMEEFKKVALNVVDYLKEKPVIVAHSENTFDGSGVKRLLSNKFQLDKTTNTTIENLPKDRNGKISKKYLRVALDVVSPSAGLPPVGAIEEMDKVISEAFKTVDNADDTSMTVKEEDFKKILTDILENIMLQLEESPIAVSSNSVVHEPLSSSSTLLHPS >CAK8542971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563418303:563421439:-1 gene:gene-LATHSAT_LOCUS11765 transcript:rna-LATHSAT_LOCUS11765 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSSAVSPSTSRRENTPDKIHVKTIGCMSGILHLISTSNSRHHRRFLTFGKKQSKNHGCSVSTPTATVNPQLEKTKEKKSNEVSTMRNPKSSCEVPRSPTLPAEIRRKSVENVREAPALVARLMGLEAISDSPESVVEKRQKLLGALQRCDDDLKALKKIIDAVQTPEPVSSPKPVVVKRTVCEVKCSVVNGEQQQPSPVSVLDEFTRSPLSSNCHSGRHSFARIQQTKQQLLKKPGEEEISSTLYMHERIPNELVNKKVNEEDRSIMWSSKGMIRTVEEVCRDVAWGENREVGKIGLALQDCICRDLVEEIVRELGILYTLPFESCKRRLCF >CAK8530549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29601970:29603841:1 gene:gene-LATHSAT_LOCUS407 transcript:rna-LATHSAT_LOCUS407 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTSSWLRRAKYSHTVCHRFDSSRIDSNPFTVQSERNSNPSSNAKRNLLTNKQRSLSPLPNTVVSDVFREAKHEQKRFSTPGPRRDKRIMGKVSSNNSRELPKVSSWKSPFTSPNRQKMSRSLRKDSSWSKLFENGGGGGKVTALETAEEWTIDMSKLLIGVRFAHGAHSRLYHGEYKDEIVAVKMIRVPDDDDNGDLASKLENQFVREVTLLSRLHHRNVIKFIAASRNPPVYCIVTEYISEGSLRAYLHKLEHKTISLEKLIAFALDIARGMQYIHSQGVIHRDLKPENILIDVDSCLKLADFGIACEEAECDLLGDDPGTYRWMAPEMIKRKSYGKKVDVYSFGLILWELLTGTTPYEDMSPIQAAYAVLNKKLRPIIPPNCPSAMRALIEQCWSLQPDKRPDFWQIVKVLEQFQSSLARDGSLSLLQNPRCQDQKKGLLHWIQKLGPGHQNSSSGSSSGSSSSSSSGSSSSSGPKPKPKFT >CAK8532669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:470893862:470897999:-1 gene:gene-LATHSAT_LOCUS2351 transcript:rna-LATHSAT_LOCUS2351 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFRFFIISFLFLGSRVEKTNAQLSYKKVGNKLLSYSEIFVDPSGHENFNTIQSAIDSIPSNNKHWTAIRVKAGTYREKVVIPEDKPYILLKGSGKNKTLVEWDDHSDLRNPTFSSLADNIVVKAISFRNSYNNPINNKPKLPAVAAMVSGDKTYFFRVGFYGYQDTLYDSKGRHYYKLCTIQGAVDFIFGAGQSLFERCSISVIGEGYITAQGRESPNDSSGFVFKDSHVFGNATTYLGRAWRQYARVLFYNTNLTNVIQPLGWDSWNFSTRENLITFAEYGNFGPSADTSKRVGWVDKLDKATIENMASVNFIDHKNEWIYSHPY >CAK8566860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467575771:467579152:1 gene:gene-LATHSAT_LOCUS19961 transcript:rna-LATHSAT_LOCUS19961-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVTQCVKAMMGNSGDDDDTSTESQNLIRVKAIVTLKHSDDWLLKNLVDGGIQQIEELVGKTLVLELVSNELDQPYSSEKETVKGSAQCIEEKEDDEQYEAEFELSNDFEKVGAIFVENEQHKEVFLKSIVLHGFSDGPLNFTCNSWIQPKHDSPAKRVFFTDKSYLPSQTPKGLRRLRKEELVLLRGNGEGERKESDRIYDYDVYNDLGDPDTSIELKRPVLGGTKQYPYPRRCRTGRKHSDADPLYEKRSTLNFYVPRDESFSGIKQTQFNTSTISSGLTAVIQSLDTILTDQNLGFSSFEDIEEIFKEGFHLPPLKFNDLTFLQKVIPKLIKVANDSQNLLRFDTPEPLKRDRFFWFSDVEFARETLAGANPYSIQLEWPLKSKLDAEVYGPPESAITKEIIESQIITYSTFDEAIKEQKLFMLDYHDLYLPYVSKVREIEGTTLYGSRTLFFLTNQGILKPLAIELTRPIIDGKPQWKQVFTPASYSTNLWLWRLAKAHVLAHDSGHHELISHWLRTHCVVEPIIIATYRQLSSMHPIYRLLHPHLRYTMEINKVAREVLINANGILEISFFPKKYTMELSSVAYDKLWQFDLQALPKDLINRGMAVEDPNAQHGLKLAIEDYPFANDGLLIWDAIKQWVTEYVNHYYPSPKTVESDEELQAWWTEIRTKGHSDKSEEPWWPKLKTQKDLIDIITTIAWVASAHHSAVNFTQYPYGGYFPNRPTIARNKMPTEDPTKEEWEKFINKPDQTLLECFPSQIQATIFMVILNILSAHSPDEEYIGEKIEPSWGENPTIKAAFEKFHRRLKEIEDIIDSRNENKNLKNRNGAGIMPYESLKPFSGPGITGKGVPYSISI >CAK8566859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467575771:467579152:1 gene:gene-LATHSAT_LOCUS19961 transcript:rna-LATHSAT_LOCUS19961 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVTQCVKAMMGNSGDDDDTSTESQNLIRVKAIVTLKHSDDWLLKNLVDGGIQQIEELVGKTLVLELVSNELDQPYSSEKETVKGSAQCIEEKEDDEQYEAEFELSNDFEKVGAIFVENEQHKEVFLKSIVLHGFSDGPLNFTCNSWIQPKHDSPAKRVFFTDKSYLPSQTPKGLRRLRKEELVLLRGNGEGERKESDRIYDYDVYNDLGDPDTSIELKRPVLGGTKQYPYPRRCRTGRKHSDADPLYEKRSTLNFYVPRDESFSGIKQTQFNTSTISSGLTAVIQSLDTILTDQNLGFSSFEDIEEIFKEGFHLPPLKFNDLTFLQKVIPKLIKVANDSQNLLRFDTPEPLKRDRFFWFSDVEFARETLAGANPYSIQLVKEWPLKSKLDAEVYGPPESAITKEIIESQIITYSTFDEAIKEQKLFMLDYHDLYLPYVSKVREIEGTTLYGSRTLFFLTNQGILKPLAIELTRPIIDGKPQWKQVFTPASYSTNLWLWRLAKAHVLAHDSGHHELISHWLRTHCVVEPIIIATYRQLSSMHPIYRLLHPHLRYTMEINKVAREVLINANGILEISFFPKKYTMELSSVAYDKLWQFDLQALPKDLINRGMAVEDPNAQHGLKLAIEDYPFANDGLLIWDAIKQWVTEYVNHYYPSPKTVESDEELQAWWTEIRTKGHSDKSEEPWWPKLKTQKDLIDIITTIAWVASAHHSAVNFTQYPYGGYFPNRPTIARNKMPTEDPTKEEWEKFINKPDQTLLECFPSQIQATIFMVILNILSAHSPDEEYIGEKIEPSWGENPTIKAAFEKFHRRLKEIEDIIDSRNENKNLKNRNGAGIMPYESLKPFSGPGITGKGVPYSISI >CAK8544790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705921997:705925051:-1 gene:gene-LATHSAT_LOCUS13443 transcript:rna-LATHSAT_LOCUS13443 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNIKTWKLLPSTPPRFTNRNLPSSSSTLTTTVTMQKPPPSKPRRKSSYGTSRKSILRKTFKQEQVTFTAPFSGEPHVAIIGGGISGLLCAIYLDIRGVRSTVFDTGIHGLGGRLGTRVIDANDKHSLIFDHAAQFFTVNDSRFAELVNAWVDKGLVKEWLGTVGELHNGGEFLPILPSTPRYIATNGMRFLADSLLSESPLVSVERPCWISKLEPFNGMWHLSENGKPCGKFDAIVIAHNGKCANRLLMTSGLPLIAKQMKRLELSSIWALLAAFEDPLPFPGNTGIPFEGAFVRGIDSVSWMANNTKKLLASQNDSPHCWTFLSTATYGKQNKVPQENIPTATSTRIKEVMLEGVEAALGLSKGSLPKPFHTKLQLWGAALPTNTPGVPCIFDPFGRAGICGDWLLGSNIEAAALSGIALANHIADCIQSPGTDPEEFAVGLNQEFQPLEGHDIGQFPGLRSEEKMNEAQVYELAK >CAK8573818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639997292:639997619:1 gene:gene-LATHSAT_LOCUS26221 transcript:rna-LATHSAT_LOCUS26221 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVTSPLCFIVFFFLFLTFTYATKLGHVSSPIISTNTPYEVLEDVDIEENCEGINEDDCLMRRTLVAHTDYIYTQKQKP >CAK8567323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:504160885:504161549:-1 gene:gene-LATHSAT_LOCUS20387 transcript:rna-LATHSAT_LOCUS20387 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTLFALFFLLTSIFAATVFSEEDCVYTVYVRTGSIIKGGTDSIIGLKLYDASGYGIYIKDLEAWGGLMGSGYNYFERGNLDIFSGRGPCLDGPVCAMNVTSDGSGPHHGWYVNYVEVTSTGVHTPCAQEQFTVEQWLATDTAPYELSAVRDYCKYDLGRARPELKIIDAVRSGSGSDFSILGSTVRPRSDQ >CAK8578008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601682972:601685003:-1 gene:gene-LATHSAT_LOCUS30058 transcript:rna-LATHSAT_LOCUS30058 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFCNLTPPSLFLNNQLTYFNRCHLVSKRPKCSTSIQKVLHRRFQLQTRQHYYLHVYCAGEAELSSSSSSFEDVGQEDISMETLIWRAIKLPIYSVALVPLTVGSAAAYLQTGIFSAKCYFLLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRTGIFVVAYLCLALGFVGLTWAAVEAGNVRSILFLTCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLVQGSASVTNRFPLSGTVFSASILVGFTTSLILFCSHFHQVDGDKEVGKLSPLVRLGTERGAEVVKVAIFMLYALLVAFGLSKTLPLTCIFLCALTLPVGNLVVRFVQDNHKDKNKIFMAKYFCVRLHALFGTALAFGLVLARMVNNKIILR >CAK8578007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601682972:601685003:-1 gene:gene-LATHSAT_LOCUS30058 transcript:rna-LATHSAT_LOCUS30058-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFCNLTPPSLFLNNQLTYFNRCHLVSKRPKCSTSIQKVLHRRFQLQTRQHYYLHVYCAGEAELSSSSSSFEDVGQEDISMETLIWRAIKLPIYSVALVPLTVGSAAAYLQTGIFSAKCYFLLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRTGIFVVAYLCLALGFVGLTWAAVEAGNVRSILFLTCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLVQGSASSVTNRFPLSGTVFSASILVGFTTSLILFCSHFHQVDGDKEVGKLSPLVRLGTERGAEVVKVAIFMLYALLVAFGLSKTLPLTCIFLCALTLPVGNLVVRFVQDNHKDKNKIFMAKYFCVRLHALFGTALAFGLVLARMVNNKIILR >CAK8532176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:215430889:215433861:-1 gene:gene-LATHSAT_LOCUS1907 transcript:rna-LATHSAT_LOCUS1907 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYFSKFIHSPIGFNTSISIPVKFETRICNLQTLIKGDSSHYSTETSVTSCDSLSEEKPKENSVSDMLVDSFGRIHTYLRISLTERCNLRCKYCMPAEGVDLTPTSQVLTKDEILRVADLFVSSGVNKIRLTGGEPTIRKDIEDICFELSSLKGLETLSMTTNGIVLARKLPKLKECGLTALNISVDTLVPAKFELMTRRKGHNKVMDSINAAVDLGYDPVKVNCVVMRGFNDDEICDFVELTREKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDTVAKQFTSLKRVQDHPTETAKNFTIDGHKGKVSFVTSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLRDPIRNGAEDHELREIISAAVKRKKPSHAGMLDIAKTANRPMIHIGG >CAK8570825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:122166299:122185707:1 gene:gene-LATHSAT_LOCUS23542 transcript:rna-LATHSAT_LOCUS23542-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETEPKVCDNAIPPPKIEIKRVYEDGDQNEVITKKQKRDEVVVKQEVKEETNSDDSEHDKKLEAKKESGTGCGSLKSSDDGISDPDSHGSPDEDNPEDLQNPEYNEEEIEGKVSITPQERHETPARLNEKYAAPKTIIVRNLSYSVERADMEDIFKDCGEVVDIRFKIDCEGRFKGYGYVDFGTAEAAEKALELNNTELLNRRIKIDIFRTGGDLQSHTLKGFDASLVDNKPKSPATPNETIGASKTIYVRNLSYTVERPDMENLFKDCGEIVDVRLHTDREGNFKGHGHVQFATAEAAQKALAFNRKVVFNRIMFVGLALERGKYAPNGSSWAWSSSLHKDERLQSQTVPVKCINTSLAEGKSSCANEVKDVEMFDAAPAENKPETPATQKEINAASKTVCVRNLSFDVERAEIENIFKDCGEVVDVRLHVDVEFATAEAAEKALELDYTRLTNHPIKVGMAPAEGECFPNRSVSIPFSKCETFQPLTVFVIGFDTSPAEEKIKATLKNHFSSCGEITRISLPRFHDSGTIKGFAHLDFKDIYGYKKALQLDQTAIGNFWLSVEKAKPKHIRHNQGISGGRDGYHGGGRDVGDYGGGVGWGRSHGAGRHY >CAK8570824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:122166299:122185707:1 gene:gene-LATHSAT_LOCUS23542 transcript:rna-LATHSAT_LOCUS23542 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETEPKVCDNAIPPPKIEIKRVYEDGDQNEVITKKQKRDEVVVKQEVKEETNSDDSEHDKKLEAKKESGTGCGSLKSSDDGISDPDSHGSPDEDNPEDLQNPEYNEEEIEGKVSITPQERHETPARLNEKYAAPKTIIVRNLSYSVERADMEDIFKDCGEVVDIRFKIDCEGRFKGYGYVDFGTAEAAEKALELNNTELLNRRIKIDIFRTGGDLQSHTLKGFDASLVDNKPKSPATPNETIGASKTIYVRNLSYTVERPDMENLFKDCGEIVDVRLHTDREGNFKGHGHVQFATAEAAQKALAFNRKVVFNRIMFVGLALERGKYAPNGSSWAWSSSLHKDERLQSQTVPVKCINTSLAEGKSSCANEVKDVEMFDAAPAENKPETPATQKEINAASKTVCVRNLSFDVERAEIENIFKDCGEVVDVRLHVDVEFATAEAAEKALELDYTRLTNHPIKVGMAPAEGECFPNRSSVSIPFSKCETFQPLTVFVIGFDTSPAEEKIKATLKNHFSSCGEITRISLPRFHDSGTIKGFAHLDFKDIYGYKKALQLDQTAIGNFWLSVEKAKPKHIRHNQGISGGRDGYHGGGRDVGDYGGGVGWGRSHGAGRHY >CAK8570823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:122166299:122185707:1 gene:gene-LATHSAT_LOCUS23542 transcript:rna-LATHSAT_LOCUS23542-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETEPKVCDNAIPPPKIEIKRVYEDGDQNEVITKKQKRDEVVVKQEVKEETNSDDSEHDKKLEAKKESGTGCGSLKSSDDGISDPDSHGSPDEDNPEDLQNPEYNEEEIEGKVSITPQERHETPARLNEKYAAPKTIIVRNLSYSVERADMEDIFKDCGEVVDIRFKIDCEGRFKGYGYVDFGTAEAAEKALELNNTELLNRRIKIDIFRTGGDLQSHTLKGFDASLVDNKPKSPATPNETIGASKTIYVRNLSYTVERPDMENLFKDCGEIVDVRLHTDREGNFKGHGHVQFATAEAAQKALAFNRKVVFNRIMFVGLALERGKYAPNGSWAWSSSLHKDERLQSQTVPVKCINTSLAEGKSSCANEVKDVEMFDAAPAENKPETPATQKEINAASKTVCVRNLSFDVERAEIENIFKDCGEVVDVRLHVDVEFATAEAAEKALELDYTRLTNHPIKVGMAPAEGECFPNRSVSIPFSKCETFQPLTVFVIGFDTSPAEEKIKATLKNHFSSCGEITRISLPRFHDSGTIKGFAHLDFKDIYGYKKALQLDQTAIGNFWLSVEKAKPKHIRHNQGISGGRDGYHGGGRDVGDYGGGVGWGRSHGAGRHY >CAK8570506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52792222:52793226:1 gene:gene-LATHSAT_LOCUS23249 transcript:rna-LATHSAT_LOCUS23249 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLTSLFLLFIFIFLSQTSPSLSKRCHPEDERILLQIKNEFNNPSLLSSWKPHTDCCNFNWYGVGCSALINERVGYLSISNDTNLSSQFPPSIGNIPFLEALFIFDLPNLTGPIPQSITKLTKLKYLTISSTAVTGPIPNFTDQFTNLVNLDLSHNNLFGTLPPSLYKLPSLSGVLFNNNMLTGSIPSTYGYFNNSNVASLDLSFNKLSGKLPISLAMLDIYLLELAYNKFEGDASMLFGSNKKTLVVDISGNHFAFDFGRVHLSQTIATLDVSHNKIYGNFPMAMENVTFLNVSYNRLCGEIPKVGYFNTFDVSSFIHNKCLCGSPLPNCK >CAK8561163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108807513:108808823:1 gene:gene-LATHSAT_LOCUS14775 transcript:rna-LATHSAT_LOCUS14775 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVYEWFLQHQERVNITGELILQKETDTIKLLYPHDDSDFNFSIGWLGKFKNRHGIKSFRHFGESGFVDVQDMEQKLVSIREKIDQFPMKDVFNMDEIGLFYRLQADHSLETKQLEGRKQDKEKLTVIICCNEDGSEKIPLRIIGKYAKPHCFKNVNMNSLDCQYRANKKAWMISVLFDEYVRSFDQMMHGRRVLLVVDNCLAHPRNIEGLRNIDLFFLPPNMTSKIQPCDDGIIRAFKMHYRRRFYCKILEGYKVGQSDPGKINVLDAINLAIPAWMIDVQKETIANCFRHYKIRSPSDVARNLDESTFDEETQDLETMINQCGYRNKMDIDNLMNYPGENEACSEVQSLEAIVDTIIENNAEDDGEDDTGSLDPVTRKEALMASNTLHNFMIQYKNTTPELLDVIRKVRDELQIDLNFKEKQTTIESYFNRV >CAK8574612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3885166:3885753:1 gene:gene-LATHSAT_LOCUS26941 transcript:rna-LATHSAT_LOCUS26941 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPTYGSDPTAQPNTTFVQADPSNFRSVVQKLTGASQDPSAQKLPLTLPSRLTQTHRPPPPTTSEQPMGPKKPNFKLHERRSKKLQLTVSETPTMFQNNNNNMMMMMLMNNSNNNSNSNIRSVVMASPVSPLEYYMPHGNSPKSPYEEDEEKEEEEKVIAEKGFYLHPSPRSSQQPPQLLPLFPLHSPSSQSHS >CAK8544692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699365765:699366175:1 gene:gene-LATHSAT_LOCUS13348 transcript:rna-LATHSAT_LOCUS13348 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEETPSSRRPRRQRRNIERNREEGHERLFKDYFSETLVYTNEQFHRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTAVIRMLAYGKDWKAWPLA >CAK8530252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10405610:10406023:-1 gene:gene-LATHSAT_LOCUS132 transcript:rna-LATHSAT_LOCUS132 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGNPKTEENDAPMPGPEPVVEEVNVQQRAVETNTEITPEVTSDEKSLGTLLKENEENKVEVEAKEEKATEVKVEAKVEEKVETVEEAKPKAIEEKTEEAKVEN >CAK8562524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506328506:506330731:-1 gene:gene-LATHSAT_LOCUS16015 transcript:rna-LATHSAT_LOCUS16015 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNLYRKPITTAAGSRYCSISVLIIWTLLILGCIFLLHLYSNMIQPQPLASSHFHQLQQIENYNFQIPPPNKKTLPQIKSITPLVDEFLDQDSSLREVFFPMETIGKGNDSYYNYFFPGRIWLDTDGNPIQAHGGCVLFDESSSTYYWYGEYKDGPTYLANHNKGPARVDVIGVGCYSSKDLWTWKNEGIVLTAEKTNKTHDLHKSNVLERPKVIYNEKTRKYVMWMHIDSANYAKASIGIAISDTPIGPFEYLGSQRPHGYQSRDMTVFKDEDGIAYLIYSSEGNNVMHIGPLTENYLNVTSVMRRVFVGQRREAPAMFKHKGTYYMITSGCTGWAPNEALVHASDSILGTWETIGNPCVGGNIMFQVSTFLAQSAFVLPLKTFPGLFIFMADRWNPSELRDSRYVWLPLIVDGHDDDQAIEYGFGYRLWARVSIYWHRKWKLPLGWNTFK >CAK8566253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397887972:397888394:1 gene:gene-LATHSAT_LOCUS19401 transcript:rna-LATHSAT_LOCUS19401 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAGFEHVLRYFDEDGDGKVSPAELRQKLMLMGEDLLLKEAEMAIEAVDSDGDGYLSLEDLISLMEEGGEEQKLRDLKEAFEMYNDSDKCEFITPKSLKRMLNKMGDSKSIDECKAMIKSFDLNGDGALSFHEFTIMMQ >CAK8533663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646712054:646712471:1 gene:gene-LATHSAT_LOCUS3271 transcript:rna-LATHSAT_LOCUS3271 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQGSSTLHPEIVLRRKFVLSDLVTAVVFPTFMVSSTFLLMMGVTKNSYLLMLQRNGRMTDINCFANFIDGISLWRKIFKIIQYAEAFWKMIGLFLFNIGGKKKHRE >CAK8540889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29415285:29415611:-1 gene:gene-LATHSAT_LOCUS9848 transcript:rna-LATHSAT_LOCUS9848 gene_biotype:protein_coding transcript_biotype:protein_coding MMFACTSPGDKLDNKFNNVRGPPTIRIQGQACHHIGSLLPPEGQPPKFANYTYMIPRMTLQIEWTLSETKTTFIPIYSKTC >CAK8540802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22407366:22407887:1 gene:gene-LATHSAT_LOCUS9771 transcript:rna-LATHSAT_LOCUS9771 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNLLSITLFLALAFQVYGQQPCSLSNIEVKQTKTSGSLWNVTVTNNCICTQSNVKFNTKGFKSSTPVDPAIFSQDGLLIQGAPFYGFKSATFTYTSPSEFKFTPISSQVACS >CAK8530992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68329036:68329716:-1 gene:gene-LATHSAT_LOCUS814 transcript:rna-LATHSAT_LOCUS814 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVSTSSTPNNISRTDVSKSPNGDDQTVVYSTPNSDTCTIRATLGVETASVTLKDYTFDKEGTRVNIKVGRQIGTVDLTYGGKALDVRKDAGQFSIGYGVPWVRCGFLVASKHKDTHSSDALFVTHMYAEEKWCIMVVFKVWYDVGKGCFMCLLTGPSRHTSPLEAVVAMRKAYSHVSSGAGDSSTDGGNRTNITGLINNTGGPVYGTLNGSIVNFFNVYMNNK >CAK8531612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130333550:130333960:-1 gene:gene-LATHSAT_LOCUS1390 transcript:rna-LATHSAT_LOCUS1390 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8565027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:28194289:28197257:-1 gene:gene-LATHSAT_LOCUS18268 transcript:rna-LATHSAT_LOCUS18268 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEHVGVERGNESEGGMREERGRDLEKGEVGFDERKTQSNDVDDGENVDHGHGHGHHDDEEFNLSRFHRLNPTNPLRIVINSSTRAARPSPPTQSQRSHANTRSVPTQIPIPTPAPAPAPIQTPQPPPPQPQPVTLNSRRYTNRISLFIFLFHQLLAIAFVCFLVFKGIQGLIQESGSVKRKEKKVLKYFLPQVEAATFMSIILAFIWQGAIRKWPTFMVHFILWFTFVMSLAAGILLICFQKAPTDGVGVCFIAFAIGNGLYGCWASHRIKFCCKILTLSLQPMSKFSDLNRPTYNMLAVGFLWISLWILAVVGALNFYFPPLVIIGLVVSLMWTTEVMRNVVNITVSRVIALYYLRGMQSSTQFCFLRALTRNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFCCARCCLGVMESIFRNGNSWAYVQIAAYGKGFVMASQDTWSLFEKEDMVPIVDADITSSICFLTGVCSGSMCVIVMASWTQSVHQSFTATLSLLTFFIGYLLTRISMAVPHACVSCYYVCYAENPENRLFDKTIKDRLALLKTGREATIPTPRGFRRYTSRS >CAK8542871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554450716:554453253:1 gene:gene-LATHSAT_LOCUS11672 transcript:rna-LATHSAT_LOCUS11672 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNVDSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8543432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607647551:607653143:-1 gene:gene-LATHSAT_LOCUS12189 transcript:rna-LATHSAT_LOCUS12189 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLSLMASHIYPSPLAFPTSHIKDCQTFAPSSFAKPDMLNYQYPFLKASPFVEPMKTQNKWFDDNQFVNVDSSAQWSPVSIYAQESCSNAVLLGFGIVEQCTKQDTVSNLLKSGTAESRTDGANISLLLDLMKLQLSAINEPQQPFSSDSSSLLYLNDKFNIKKPLLYFLQDSALTSKVTVHLDGQITFMGAEIQMKDLLSVVAESYLSKSLHKGEKHSMLVPHFSRVNINEVEVQSHSSAMKMQSTLIAPLRSPEKVKLKPSKKKNKKINRERDIYKNYSHACESLLSLMVNKKQHRKTIIPSLKKSGPELPELLMQISAGIAGTGLAVLLSVMCKLACGRVLVSASSFFNTGLGFGLVWLSWAVSKLRATIFSISKNAGKSGLKEEVMIQKLDKRIRDIYFGSAALLVVAVLSLA >CAK8563031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567805083:567808162:-1 gene:gene-LATHSAT_LOCUS16480 transcript:rna-LATHSAT_LOCUS16480 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSLSSTKSLAGLRLMGQEMKLKRRSSNCVVVACGNNSDKETPTSFLSRTQTYALLKIQMELAAKLEDYKEAARIRDSLRLFEEEEPVLRLRRLIKEAIADERFQDAASYRDELKRIAPHSLLKCSSDATTLGVRVQVRSVYIEGRSQPSKGLYFFAYRIRITNNSDDTVQLLRRHWIITDANGKTENVWGIGVVGEQPVLLPRTSFEYSSACPLSTSNGRMEGEYEMIHVDRVGSRSFNAVIAPFSLALLGDEDCNTI >CAK8532085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200977449:200977931:-1 gene:gene-LATHSAT_LOCUS1822 transcript:rna-LATHSAT_LOCUS1822 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSANTMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8542682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537188660:537192164:1 gene:gene-LATHSAT_LOCUS11501 transcript:rna-LATHSAT_LOCUS11501 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCGENDVVEVEGIDVSVCVAADGDFWVSVGSAGGPIGKFSLESEHDLANMVNDFIENAGSFGAESCCSSDSDSSLSDFAHLAEKIQICKRSVTQHESDLIPVVHSLIRSMKETNLEMMNSGPCYASCIRFYLAKLMRLSGYDAAVCTSKWQRVGIIPGGDHEYIDVLVENNSGESERLIIDIDFRSHFEIARAVDSYDRILNSLPSVYVGSLTRLKQFLGIMEEATRSSLQQNAMHFPPWRSLTYLKSKWLSPHERIIHSDSSINISKGGECFDHKQCRGHLKKLQSCLQAGIEVERVLKARNIESNWRMKPDRWRQTLLRPL >CAK8576800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515842840:515845945:1 gene:gene-LATHSAT_LOCUS28967 transcript:rna-LATHSAT_LOCUS28967 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSKTLTDFFNRAPKRMKPTLTTICKSEDNTNASSTLSVDQKSRIEYNKSLALSKRNLKICTERVSKHKESLVAGCVKLEELLVEESWLEALSGEFQKSYVVNLSKFVETEICSSRDDSVYPPQHLIFNALNTTPFHSVKAVILGQDPYHGPGQAMGLSFSVPEGIKVPSSLVNIFKELKQDLGCSIPSQGNLEKWAVQGVLLLNAVLTVRKHEANSHAKKGWEQFTDAVIKTISQKKEGVVFLLWGKSAQEKLRLIDVTKHHILKAAHPSGLSAHRGFFGCKHFSQTNLHLKKMGLSPIDWQL >CAK8536892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:34387687:34389309:-1 gene:gene-LATHSAT_LOCUS6218 transcript:rna-LATHSAT_LOCUS6218 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRTCSQCGNNGHNSRTCNDGCNDGGGENSIMIFGVRLTGGTNTATNHNNPFRKSASMTNLSQYEQPTPQDSNPADAGYVSDDIVHASGRSRERKRGVPWTEEEHKLFLLGLQQVGRGDWRGISRSFVKTRTPTQVASHAQKYFLRRHNQNRRRRRSSLFDITTDTVIESSTMMEEEQFQPETVAPLPPPTPGVYPSSHYGGIPGTPFPMGLSPVALPAINGERVAKLIRPTPMLPPSSKMANLNLRDKASSSSSFIEPLPLSLKLQAPSKEHSPESSGHSSPSSAFKTMSTGKYSGGGGDSIISVA >CAK8578958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664194408:664195026:1 gene:gene-LATHSAT_LOCUS30936 transcript:rna-LATHSAT_LOCUS30936 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTQVPPFSTQVPPFSTQVGTEKEERVVVKKRSREQFTREEDILLIQSWLNISKDPIVGFDQKAESFWLRIAASYNQYRGQLREKLGGQLKCRWHRINGMVQKFVGCYKISLKGKKSGTSETDVMADAYAIFAQDQVGHHRRTQIQLQVMSLTHHHQWSVQWDKKQ >CAK8540688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16221150:16223231:1 gene:gene-LATHSAT_LOCUS9669 transcript:rna-LATHSAT_LOCUS9669 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEGLDTISLKNLISQLKELGFNCVRYTWATHMFTRYSTYKVGENFDKLNLIDFRLTIRFYNPSLENITVVEAFDLVIDEFGKQDMMVLVDNHVSDPKWCCDDNDGNGFFGDKYFNPVEWLKALSNVAERVKGKSQVVAIGLRNELRGPNQNQNSWHKYMSQGATAVHNANPDVLVFVSGLSYDTDLSFLKTNPLNTNIGNKLVYEVHSYAWSSGSRSDWIQKPLNQKCANVMNGLNDKAGFLMSGSNPSALVMTEFGMNMEALDNMNQRFMSCIMAYLVGNDLDWALWAAQGAYYVRKNETRVSETFGLWNIYFRSLRYTEFPQRFQLLHKKLLEANSNSSKSYIMYHPLSGQCVRVSNNDKLELGECEGPNKWNQEGQQIKLVENGACMEAISEGSQVKLSSNCKSKQSFWKKLSASNLHLSTLDREGNNLCLERESPTSPKIVTNKCICVDDSPSCLDDPQSQWFQLVTTNV >CAK8540689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16221150:16223231:1 gene:gene-LATHSAT_LOCUS9669 transcript:rna-LATHSAT_LOCUS9669-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEGLDTISLKNLISQLKELGFNCVRYTWATHMFTRYSTYKVGENFDKLNLIDFRLTIRFYNPSLENITVVEAFDLVIDEFGKQDMMVLVDNHVSDPKWCCDDNDGNGFFGDKYFNPVEWLKALSNVAERVKGKSQVVAIGLRNELRGPNQNQNSWHKYMSQGATAVHNANPDVLVFVSGLSYDTDLSFLKTNPLNTNIGNKLVYEVHSYAWSSGSRSDWIQKPLNQKCANVMNGLNDKAGFLMSGSNPSALVMTEFGMNMEALDNMNQRFMSCIMAYLVGNDLDWALWAAQEFPQRFQLLHKKLLEANSNSSKSYIMYHPLSGQCVRVSNNDKLELGECEGPNKWNQEGQQIKLVENGACMEAISEGSQVKLSSNCKSKQSFWKKLSASNLHLSTLDREGNNLCLERESPTSPKIVTNKCICVDDSPSCLDDPQSQWFQLVTTNV >CAK8561836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:391770728:391770934:-1 gene:gene-LATHSAT_LOCUS15390 transcript:rna-LATHSAT_LOCUS15390 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGDPGLNPGKPDWKKVVIIFYFLGLLILHLTVQVQFFWQVSEPEKWGYSTDWKKVVVYFFGTQQM >CAK8570704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:91259164:91265095:-1 gene:gene-LATHSAT_LOCUS23437 transcript:rna-LATHSAT_LOCUS23437 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQMKESSEQHLVIKPHLPNTMNQAKKVTKAVQNGKGPPLLSQDLLNQTSPPQGRSGGGRRRSRNGRKADQVDVLMRPSCRPCTAVKSNENGHVVQNGNVISSDVEMSFPTSSKSLSFAQRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVTITPEVSSKAVNRSIIAELVRLYKESDLGTRLPAYDGRKSLYTAGVLPFSWREFKIKLIEEEDGINGPKREREYKVVIKFVARANLHHLGQFLAGKRADAPQEAIQILDIVLRELASKRFCPIGRSFYSSDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVLSRQLSDADRIKIKKSLRGVKVEVTHRGSVRRKYRVSGITSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIKHTHLPCLQVGGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDRENDILRTVQHNAYDQDPYAKEFGIKVSEKLASVEARILPAPWLKYHESGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQDSVARTFCNELAQMCQVSGMEFNLDPVIPIYNAKPEQVEKALKHVYHVSSNKTKGKELELLLVILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLVDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPQRQELIQDLYKTWHDPVRGVVSGGMIRDLLVSFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPDLQETGSTGGRGSKTTRAAGDCGVKPLPALKENVKRVMFYC >CAK8572905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570610994:570625640:-1 gene:gene-LATHSAT_LOCUS25411 transcript:rna-LATHSAT_LOCUS25411 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQVVKRAKYKTTVKDPGTPGVLKLTREKFVFKPNDPTSSNKLEADFRLIKNHKHTKEGSNKPPWLNLAHSQGSYIFEFESFSDLHICRELVGSALSKYVEPPKVVSDEQLSASEMTLRIKLLQEDSKLQKLHKELVASGKLTESEFWATKKKLLDQDESRKLKQRIGFKNSLIFDTKPTSDGRINQVKFQLTPEIKHQIFTLKPAVHQAFLSFVPSKMSEVDFWNKYFRAEYLHSTKNAIAAAAEAAEDEDLAVFLKDDEILENESREKVRRVDPTLDMEADQGDDYTHLPNHGIFRDGSKETSEPQNTMYWRTLLQDLNRQSEVVLEGKTIDKDMEPPRTVAEVLARRKRRFDGVIEEERLIRISKMTPIEDLQAQDDNAFAPLCIKDPRDYFDFQQANAVKTLDDSLAAKMKTRSNLGYEEAYGSLRESISNIVTMGLRDPLLSSDVAIKVLTGLTKNISSTKSNLGKSSKESALDILPNATKEKLLDHWVCSQELLKHFWSSYPVTTQSLANKARRLKDAISQIYAKLEEIKVSSQSDLRHQVSLVVHPMQQALDAALLHYEADLGKRNAKGSKPNGYV >CAK8544465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686586859:686588595:1 gene:gene-LATHSAT_LOCUS13141 transcript:rna-LATHSAT_LOCUS13141 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSVIRTKRDLVRPAKETPLVTLDLSIIDRLPVLRCNVRTLHVFRYGPEATRVIREALSLALVPYYPLAGRLKESKPWCLQIECSGGGVWYVEASTDCSLDSVNFFNDMESIPYDDLLPNDVQKIGQIEPLVKMQVTQFGCGGFVIGLVFSHSICDGLGAAQFLNAVGELARGLDKPTIEPVWYRNFCPSPQAHTLPKLPLTSPPKMPHYKLEHSNIDMTMDQINQLKRQFQQVTRRGCSTFEIVAALFWSSRTRAINFDPNTQVKLVFFANCRHLIDPPLPNGFYGNCFFPVTTTTSCESLGKAVNIIEVVKLIQEAKSRLPLEFDKYLKGDHIKCGNEDEEDPFAPTPNYATVFMSEWGRLGFDNVDYQWGPPVHVVPIQGSVIVPAGIVRSLPLPNRGIRLVTWCVEEAHLLPFIDQIHGLINQQLL >CAK8544365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679926872:679929017:-1 gene:gene-LATHSAT_LOCUS13051 transcript:rna-LATHSAT_LOCUS13051 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKIFSILKLSIFLTLSILASFPLFSLADKPNIPPQEICESTVDPRFCKTLLANQNGTVIDYGRFSVRKSLSQSQKFLNLVLSHLQNKSSLSQTTISALKDCEFLAQQNFEFLSNTHQTANKTSNILPLSQGEEFQTLLSAVLTNTQTCLEGLNTTSSDQTVVNDLSSTISNDEKIHSVSLALFLKGWVGDNKKQTSSSHNGRNLGFHNGRLPLNMSDKVRARYDSARRHGRKLLQTIDENVTVSNIVIVDQDGSGDFTTINDAINVAPNNSVASNGYFLIFVTEGVYQEYVSIPSKKKYLMMVGDGINRTVITGDHNVVDNFTTFNSATFAVVSQGFLAVNMTFRNTAGPVKHQAVAVRNGADLSTFYSCSFEGYQDTLYTHSMRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRLPSTGQFNAITAQGRTDPNQNTGTSIHNSTIKAADDLAPNITTVKTYLGRPWKEYSRTVYMQCFMDSLINPSGWHDWNGDFALSTLYYAEFNNTGPGSNTTMRVNWVGYHVINATDAANFTVFNFLDGDSWLPQTGVPYSSGLI >CAK8530289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11993826:11994983:-1 gene:gene-LATHSAT_LOCUS166 transcript:rna-LATHSAT_LOCUS166-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFKVLFLLLVLNTVNTIVYGQGTRVGFYSSTCPKAESIVKSTVTSHVNSDSTVAPGLLRMHFHDCFVQGCDASVLVAGSGTERTAFPNLGLRGYEVIDDAKTQLETACPGVVSCADILALAARDSVVLSGGLSWQVPTGRRDGRVSQASDVNNLPGPGDSVDVQKQKFAAKGLNTQDLVTLVGGHTIGTTACQFFSNRLRNFTTNGAADPSIDPSFLSQLQTLCPQNSGATNRVALDNGSQNKFDNSYYANLRNGRGVLQSDQALWNDASTKTFVQRYLGIRGLLGLTFNVEFGRSMVKMSNIGVKTGTDGEIRKICSAFN >CAK8530288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11993826:12008882:-1 gene:gene-LATHSAT_LOCUS166 transcript:rna-LATHSAT_LOCUS166 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLFKLVFLLLVLNIINTVVHGQGTRVGFYSSTCPKAESIVKSTVASHVNSDSTVAPGLLRMHFHDCFVQGCDASVLVAGSGTERTAFPNLGLRGYEVIDDAKTQLETACPSVVSCADILALAARDSVVLSGGLSWQVPTGRRDGRVSQASDVNNLPGPGDSVDVQKQKFAAKGLNTQDLVTLVGGHTIGTTACQFFSNRLRNFTTNGAADPSIDPSFLSQLQTLCPQNSGATNRVALDNGSQNKFDNSYYANLKNGRGVLQSDQALWNDASTKTFVQRYLGIRGLLGLTFNVEFGRSMVKMSNIGVKTGTDGEIRKICSAFN >CAK8540975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37560133:37561182:-1 gene:gene-LATHSAT_LOCUS9929 transcript:rna-LATHSAT_LOCUS9929 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNNNRTTTPSPLYGTQWGAPRYNKTPSKVVSIPVHFVGSDRNRTDSVMKIQRVARGYLVRKSVKKMLKMKVELEEIEKKVNNEETVKMMKKEQKERMRMGETIMNLLLRLDSVRVFHCCALRDLRKLLIKRAIFLQEFVDQIQMVVEKEGGCEEELEGGKKIEGLVNEDGEGNYVEEEVNCMEKEEGGCEVENEGGEKMKLSENENIGEGKCVKEEENCLMKVKEEEGGDEDEKKMEEEESVGSSLVKQEIEDDSVDVKEEEGRNVIECEEEEDENREMLRRMMNDNEKMMCMMAQLFERNEKQTSLLTSLTQRVEQLERAFTCDKLKKKNKRRRHVDAKHRYNGCI >CAK8579564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707964360:707968263:-1 gene:gene-LATHSAT_LOCUS31499 transcript:rna-LATHSAT_LOCUS31499 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSCFLFPSFISSSNTKCVPIQICFKFTIPKPSSRIHCQCHSNSNSYTNHSHGIERRWVEAFDQPLASPERFTVASYNILADRNASQHADLYVNTPSRYIDWDRRKKILSDEFFEWKPDIICLQEVDKYCELSDILIKAGYAGSYKRRTGDTSDGCAMFWKADKFRLLDGESIQYKDIGLRDNVAQLLVFEMRGSNSRRLVVGNIHVLYNPNRGEVKIGQIRFLASKAQILSQKWGNAPVILAGDFNSTPQSGIYKFLSSSELNIKLYDRKELSGQKRCRPAQVLDRKTETVGQFISQDGLLNCWTDEEIKTATGDSKHHLAVHPLKLNSSYATVNGSASTRGFNGEPLATSYHSKFIGTVDYLWYSDGIVPTRVLDTVSISDLRRAGGLPCKKVGSDHLALLSEFSFSCPK >CAK8571963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497438534:497442747:-1 gene:gene-LATHSAT_LOCUS24575 transcript:rna-LATHSAT_LOCUS24575 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLAITSTSIFFLPVSSSSIVVTRASAFPSSSSSYKFTSNAGLRRCVNKEKEEVLLEGMPAHYYDDEWQAQQRENTKELHRRRREEEEEEERKIDEYREVGMRLKGYPEEDVRNARKLVSSFIRAVEEVEEKIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREATPAMRLLNDLLIMYDSFNFNEWLNKCKKIMNDTFPREDPYSILVPPGFESFDIDKHHGPLRPSFEIDDNTLLRVDFVREVDELLQEVRSEQEEEENEQEFDPESVANRLKQQEKQQTIRQVEALLDLAISLKW >CAK8572861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567826045:567835900:-1 gene:gene-LATHSAT_LOCUS25376 transcript:rna-LATHSAT_LOCUS25376 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPWLHPAPTYSPIESFWDTDEDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGSTSAPGIRLAGVTNSVHSYDVETKKWTRIKPAGDPPSPRAAHAAATVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVVSDAWTLDTAQKPYAWQKLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGTPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHASVFVGARLHVTGGVLRGGRAVEGEPSIAVLDTAAGVWLDRNGIVSSSRSNKTHDYDPSLELMRRCRHAAAAVGVRVYVHGGLRGDTLLGDFLMAENSPSGSPFASPKLNQPNLNYNGDTPSLDGGPETPSFGGPGLDKYSLEKLREASAAEAEAASAVWQSVQAISSSPADETSVSDDNSHAADTVADGSDTEGDVRLHPRAVVVAKEAIGNLGGMVRQLSLDQFENESRRMLPINSDSPYPTKKFTRQKSPQGLHKKIISNLLKPRNWKAPANRRFFLDSYEVGELCYAAEQIFIHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPDNVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNHLPLAALIEKKIICMHGGIGRSIHSVEQIEKIERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPIPPPLQSPESSPERVMDETWMQELNIQRPPTPTRGRPQPDLDRGSLAYI >CAK8542957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562407880:562408821:1 gene:gene-LATHSAT_LOCUS11752 transcript:rna-LATHSAT_LOCUS11752 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLESQDTWKCQPQMLDFEMNSTDVEDKHDIIGFNQEEKHDISKVPIPIDVEDKHDIIGFNQEEKVLKRKVSEPKVDQEKQLKSSNTTVDFVSLIDFFTHDQITEHIHSLRKESLQIKTEDGTGIDANTCQLCERENLNFEPVPIFCICCGHLIGRRKTYFCRKDEEFDAELCFCSACYNTSKGGCSAFCNTILYPVHVI >CAK8565395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:163401478:163403752:1 gene:gene-LATHSAT_LOCUS18613 transcript:rna-LATHSAT_LOCUS18613 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYKWLTKKYPKVTEDANINTTPTVEYDNLYLDMNSIIHPCFHPNDDNINSCPPTTFMEVFANMFYYIDHLVTIVKPRKLLYMAIDGVAPRAKMNQQRTRRFRTAKDDEMRLRKLFEMEGKQVVPKQECEVSDSNIITPGTQFVHQLSKALKTYISSRISSHSLWKDIIVILSDANVPGEGEHKIMSFIRKQRGLPDYDPNTVHCLYGSDADLIMLGLSSHEPHFSIIREDVPNSYDKVEQNVVKRFKLLHIWLLREYLELDMKIQDPPKNCIVDFERIVDDFIFICFFAGNYFLPQLPSLDIYEGAIDLLMTVYKKEFHKLGGYLVDITKMGEKHSAFVKLSRVEKFVIMVGTYEEKIFNRRSAIRAKKLRRLIIDHENSKQDEQDACNFIDIENENSSDCALRINKAASFRILQNMIVFT >CAK8544137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666618222:666618914:-1 gene:gene-LATHSAT_LOCUS12840 transcript:rna-LATHSAT_LOCUS12840 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQPQLNDSYYGPAIPAARGQSSNRRIRGGSGCCTLFSFLWKLLVALIVLFGLVILIFYLIVQPRPFKFYVTKAQLTRFDYTNNTLHYNMVLNFTAHNPNKKLSIYYDKVESQAFYEGSRFANVDMITHMNSFRQYKKSSDPMSGVFAGQKLLMLDIDQVSEFNKDKNIGVYNIYVKLYFTIRFRLGDSISRKYKPKVKCDLTVPLSNENGTGFTFIRLIPTKCDVEF >CAK8537864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442767050:442767223:-1 gene:gene-LATHSAT_LOCUS7117 transcript:rna-LATHSAT_LOCUS7117 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTVDILLANLLYLFDWEMPEGVKRGDIDLDGLPGLVQHKKHPLCLVAKTRIACV >CAK8576999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530592509:530597337:-1 gene:gene-LATHSAT_LOCUS29149 transcript:rna-LATHSAT_LOCUS29149 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLASSLRALPHTCTTENFNLVLTSRKQRHFLFISSKFRTPNFISCSTSSNGSNSTPPGETFFQNSSFHTELSNPRLGSLNFQVPKFSFSDQAFFLLAFIACTTSVAFTGLVCAAVPTLLAMRKAALSLSKLADTTNEELPSTMTSIRLSVMEMNDLTLELSELSQEITEGVNKSAQALQAAKTGIQHIGSVAQQQTLSMIEERANLPEISLGDVVAGAARKTTRAVGRATKSLLNITSGRENTGEYDDDDDDLTCM >CAK8561142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:103374811:103376130:-1 gene:gene-LATHSAT_LOCUS14756 transcript:rna-LATHSAT_LOCUS14756 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQILSTTTIHAPNHPNDYSIDLTPWDLQYLTFGINQKGVLYHHPPNLDTTNQIQHLKQSLLSTLEYFHPLTGRLNVTNHEDNTVSYSVNCNNEGALFIHAEAKDISVGEILESTYLPVILYSFFPLNGVKNYQGTTKPLFAVQVTELIDGIFIGCAINHSVVDGTAFWYFINTWAKISKGDFEISPVPSFKRWFPDSVQPPIRFQFPKESQNDEEEKLCKPMFERLFHFSKENIAKLKSKANLEAGKTRISSLQAVFTHIWRAIVRSRSVDPQEELKFGIDIGVRPRLTPPRKNDYFANAVVECAVTMKAGELLEDGGLGKGAWEMNQKIALYNDEMVKNLFENWSTTPSFSFLGSNLADSNSVMIGSSPWFDVYGNDFGWGKPVGVRNGGTNKRNWKVYVCAGVEEGSMNLEVCLPYENLEAIGNDSEFMDAASG >CAK8577111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537595525:537596388:1 gene:gene-LATHSAT_LOCUS29244 transcript:rna-LATHSAT_LOCUS29244 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPTGTAMVAHTISSKKRPIKNDKLCLDDYIHLLHSRHAIDLTMNQLNQVIRIHGFKKIHHAPKKVLMDAVDTLDLLDLPRSTLSESVSAFSDLTVEEAIADLTDLNWQECCVTSIQKFGCCVDQRLFPVSSDKHFGVVNHSQSQSYNHQTIRDETGGTIPETLKRRPEVMKMVPRRKRSDIHALHSSSSIVDSASLSSC >CAK8569541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4587268:4591446:1 gene:gene-LATHSAT_LOCUS22383 transcript:rna-LATHSAT_LOCUS22383 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNGSDSTTTQAETNKPPRQPTPQAVAVPQQWVPMQYPTAMVMPHHMIPPQHYAPPAYVPYHHHQYQQQSLHVPHQHVNHHQQHGSNGDNKTLWIGDLLNWMDENYLHRCFASTGEITSIKVIRNKHSGISEGYGFVEFFSHATAEKVLQSYAGILMPNADQPFRLNWATFSTGDKGSDNVTDLSIFVGDLAADVSDNALHEIFASSYPSVKAAKVVYDANTGRSKGYGFVRFGDESERSQAMTQMNGVYCSNRPMRIGAATPRKSSGYQQGGQSNGTSSQSETDSTNTTIFVGGLDPNVTDEDLRQTFSEYGEITSVKIPVGKGCGFVQFANRNNAEEALQKLNGTMIGKQTVRLSWGRNPANKQFRFDFGSWPVPYFPPPIYDGYGYMPSPHHDPSAYPLPYGGYPIYGGHQQQVS >CAK8531498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116417666:116420635:-1 gene:gene-LATHSAT_LOCUS1286 transcript:rna-LATHSAT_LOCUS1286 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLQTSLPPELANNAIRLYRECLRRAKYIGHRQHNTPLLVEMVRQQFKKNMHETDPDKIQKLKDDAARGLINHILYESEKITGRKFSKSPPKEA >CAK8562666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524275580:524277073:1 gene:gene-LATHSAT_LOCUS16144 transcript:rna-LATHSAT_LOCUS16144 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVSNENQGSEEMGFCEMLGKFFPDDFNFIGNVSSKKLDAKFGDPNGFSSKGGSISKLSNSVVEINGWDSLIDLKLGHFGDHGHGGSILSSCESSTPTKRVRVHSLNAYCQVYGCNKDLSSCKEYHKRHKVCEVHSKIVVVIVNGIEQRFCEQSSRFHLLSEFDDGKRSCRKRLAGHNERRRKPQAGVHSANSRRLFQPCGDIRFHETKPPQASFICLEVFSNGFSRPIKSEHETGFRPLSFVPVVNGHHQPRSLLASYNGKHFPLLHENVATSTTCSIMFSENNNHYAHFVGNASLGREDFNAFHVASTVRGLSGILIYWLLWSVKNRSIFGLF >CAK8571641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454371422:454371952:-1 gene:gene-LATHSAT_LOCUS24283 transcript:rna-LATHSAT_LOCUS24283 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGFYHETQILSWVLSYGGSHAPDSLAITAAGIALALSEVPMSKAVAGVRVGLIGDKYIVNPITEEMENSELDLMLAGTDSAILMIEGYGNFLPEEKLLKAVEVGQDVVRAICNEVEVLVKKCGKPNMIDAIKLPPPELHKHEEEALNPSTSDSHPTNPHSHDATHCHCLTTVLN >CAK8569243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686536644:686545690:1 gene:gene-LATHSAT_LOCUS22121 transcript:rna-LATHSAT_LOCUS22121 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLAKKDDDRDDEADYSPFTGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKTEATEVFFAVTKLFQSRDMGLRRMVYLMIKEISPSADEVIIVTSSLMKDMNSKIDMYKANAIRVLCRITDGTLLTQIERYIKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALGLLHQIRQNDRLAVSKLVSSLTRGTVRSPLAQCLLIRYTSQVIHESGNNTQSGDRPFYDYLESCLRHKSEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMIHPTSVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCQKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIREIPDAKETGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRFIYNRVHLENATVRAGAVSTLAKFGAAVDALKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDGSVVETDNAVKDFLFGPFDIPLVNLETSLKNYVPSEEAFDIDSVPKEVKSQPLAEKKAAGKKPTGLGAPPSAPSSTADAYQRMLSSIPEFANFGSLFKSSAPVELTEAETEYAVNVIKHIFDRHVVFQYNCTNTIPEQLLEHVIVFVDSSEADEFTEVFSKPLKSLPYDSPGQIFVAFEKPEGVPTLGKFSNVLKFIVKEVDPTTGEAEDDGVEDEYQLEDLDIVSADYALKLGVSNFRNAWESMGPDFERVDEYGLGPRESLAEAVNTVTNLLGLQPCEGTEVVPPNSRSHTCLLSGVFIGNIKVLVRLSFGLDGPKDVAMKLSVRSEDETVSEAIHEIVASG >CAK8530710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43105485:43109047:1 gene:gene-LATHSAT_LOCUS554 transcript:rna-LATHSAT_LOCUS554 gene_biotype:protein_coding transcript_biotype:protein_coding MASANESFVYTAKLAEQAERYEEMVEAMKKVAKLDVELTVEERNLLSVAYKNVVGGRRASWRILSSIEQREETKGNDVNVNRIREYRKKVESELSDICTDVMAVIDEHLIPNSSGEANVFYYKMKGDYYRYLAEFKSGDDRKEAADQSLKAYQEASTAAETELPPTHPVRLGLALNFSVFYYEILNSPERACHLAKQAFDVAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDIPEEGVEEQKPESARAPVGDNA >CAK8531136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83530185:83534944:1 gene:gene-LATHSAT_LOCUS948 transcript:rna-LATHSAT_LOCUS948-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMHKDANNQMDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEACRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYMKQQIHTASAATTTDNSCDSVVMSGQNQQQNPHQRPQRDANNPAGLLAVAQETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGIAARACGLVSLEPTKVAEILKDRLSWYRDCRCVDVLNIVPTGGGGTIELLYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLNVSTGGPTGPAPTNFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKLTIAALQHVRQIAQESSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLLGNDGVEDVTISVNSSPNKFLGSNYNSSMFPAFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAACLKSSPYAVPCARPGGFPSSQVILPLAPTVEHEEFLEVVRIEGHAFTPEDVALARDMYLLQLCSGIDENSIGACAQLVFAPIDESFADDALLLPSGFRVIPLDPKSDGPATSRTLDLASTLEVGSSNARAAGEGGDGYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSRQGPQLGPKSLPGSPEAHALARWVCRSYRMHTGAELFRVESTASDAILKQLWHHSDAIMCCSVKTTASPIFTFANQAGLDMLETTLVALQDIMLDKVLDEAGRKILCSEFSKIMQQGYAYLPAGICVSSMNRPVSYEQAIAWKVLNDDDANHCLAFMFINWSFV >CAK8531137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83530185:83534944:1 gene:gene-LATHSAT_LOCUS948 transcript:rna-LATHSAT_LOCUS948 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMHKDANNQMDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEACRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYMKQQIHTVSASAATTTDNSCDSVVMSGQNQQQNPHQRPQRDANNPAGLLAVAQETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGIAARACGLVSLEPTKVAEILKDRLSWYRDCRCVDVLNIVPTGGGGTIELLYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLNVSTGGPTGPAPTNFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKLTIAALQHVRQIAQESSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLLGNDGVEDVTISVNSSPNKFLGSNYNSSMFPAFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAACLKSSPYAVPCARPGGFPSSQVILPLAPTVEHEEFLEVVRIEGHAFTPEDVALARDMYLLQLCSGIDENSIGACAQLVFAPIDESFADDALLLPSGFRVIPLDPKSDGPATSRTLDLASTLEVGSSNARAAGEGGDGYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSRQGPQLGPKSLPGSPEAHALARWVCRSYRMHTGAELFRVESTASDAILKQLWHHSDAIMCCSVKTTASPIFTFANQAGLDMLETTLVALQDIMLDKVLDEAGRKILCSEFSKIMQQGYAYLPAGICVSSMNRPVSYEQAIAWKVLNDDDANHCLAFMFINWSFV >CAK8563404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600474409:600475651:-1 gene:gene-LATHSAT_LOCUS16821 transcript:rna-LATHSAT_LOCUS16821 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKLCFLVILVMTLTVKAQLKTGFYSTSCPTAESIVRSTVVSYFNNDPTIAPALLRLHFHDCFVQGCDGSILIAGFSAERNALTNLGLRGFEVIDNAKSQIEAICPGVVSCADILALAARDAVDLTDGPSWLVPTGRRDGRISLSSQASNLPSPLEPVSVHRQKFAAKGLDDHDLVTLLGAHTIGQTDCRFFSYRMYNFTPTGNADPTINQAFLSQLQVICPKNGDGLRKVALDKDTPAKFDVSFFKNVRDGNAILESDQRLWEDSATRRVVQNYGGIIRGLLGIRFDYEFPKAMIKLSSVDVKTGYDGEIRKVCSKFN >CAK8531312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100272739:100274751:1 gene:gene-LATHSAT_LOCUS1114 transcript:rna-LATHSAT_LOCUS1114 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRWCQCKKPLITFLLLPHKPNTFPFSTTPSSLSQHTIATILHTLCDSSRFSEAHQRFSLFLSSGSIPDHHTCNLLLARLLRSKTPFQTWTLVQSLIHTKPGFVPSLVNYNRLMDQFCFIHRPFDAHRLLFDMKNRGHCPNVVSYTTLINGYCSVGGIRDAWKVFDEMIDSGLEPNSMTYSILIRGFLRERDLEGGRELMCKLWERMNLEVELGVKVAAFANLIDSLCKEGLFNEVFEIAEVVPCGSSLYGEVVYGQMIDSLCKVGRYHGASRIVYLMRRRGFVPSDVSYNYIIHGLSKDGDCMRGYQLLEEGAEFGFSLCEHTYKVLVEALCHALDVDKAMEVLKLMLYKEGVDKTRIFNIYLRALCLVNNPTELLNVLVLMLESQCQTDVITLNTVINGFCKMGRVDEALKVLDDMLMGKFCAPDVVTFTTLISGLLDAEKVDEALDLFKRVMPRNGLKPGVVTYNVLIRGLYKLQRPNDAFGIFNNMASDGISPDSTTYTVIVEGLCECDQIEEAKRFWQSVIWPSGIHDNFVYATILKGLCGSGMFIEACHFLYELVDSGVSPNIYSYNILINCACNLGFRREAYQIVREMNKNGVTPDCVTWRILHKLQSKVRKHAPSEDPTFSTIYEGDDIDPKGSQDRRKWNSVCTSNGKPMAYDTCYEKM >CAK8576555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492459215:492459695:1 gene:gene-LATHSAT_LOCUS28732 transcript:rna-LATHSAT_LOCUS28732 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKRPRKLKSLETCNWTTAMDEVLLDAYLHQQTLGNKNGNSMTTSAMDSILKELKTHFPDKPISKEKIKDHMKHIKTKFNSCYDLFQNGLSGFVWDSTTNMWITEDEAKPEAAE >CAK8537101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:94170506:94170940:-1 gene:gene-LATHSAT_LOCUS6415 transcript:rna-LATHSAT_LOCUS6415 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSKTTLSFTFSDRTIETPSSKSTFLTEKVTDLNGLVIIGNASVDWKMSIHKLHLVAEPLGDTSDEIFNVAESGADGGRGFPGTKPCVDLELLLSIIIRDDIKIEVEMLEVTNEFTAWAFDLDHLGVNLDANAVRDVHGLGR >CAK8574929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16071903:16074560:1 gene:gene-LATHSAT_LOCUS27227 transcript:rna-LATHSAT_LOCUS27227 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFVFSLAWSFGLLLASSLASAAIVEHTFNVEEITVQRLCRPQVITAVNGTLPGPTLYAREGDTVIIHLVNKSPYNMTIHWHGIVQFLTPWSDGPEYITQCPIPSGGNYTYKFNITGQEGTLWWHAHTSYLRATVHGAIIIKPRLGHSYPFPRVYQEVPILISEWWSANVVDIERNATDTGNAPQKSDATSINGFLGDLYNCSQDQTYKLKVKQGKTYLLRIINAALDEQHFFKIANHRFTVVALDAVYTDHYETDVVVIAPGQTVDVLLKANQVVGSYYMVATPYRSSSVNTHNVTTRGIVVYDGASASQTQTPIMPILPDSHDTPLAHKFYTNITGLTSGRHWVPVPRKVDEHMFITFGIGLEECINPGPGRCLAFNSRLSANMNNESFVLPEGRGASLLEAFYKNISGVYTKDFPNNPPFEFNYTDPNLRALNGSDPIAFAPKSTKVKTLKWNSTVEIVYQNTAILGTENHPIHIHGFNFHVLAQGFGNYNATRDEPKFNFVNPQLRNTIAVPVGGWAVLRFQANNPGVWFVHCHLETHLPWGLAMAFEVENGPTPETSVPPPPANLPKC >CAK8535708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875788087:875788549:1 gene:gene-LATHSAT_LOCUS5139 transcript:rna-LATHSAT_LOCUS5139-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVKMSEEVWLTCATHGFTTESEEIMGLLLGDIEHSKNGAVTALIWGASPQTRSDRRKDRVETKPEQLAAASALADISFFL >CAK8535707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875788087:875792717:1 gene:gene-LATHSAT_LOCUS5139 transcript:rna-LATHSAT_LOCUS5139 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVKMSEEVWLTCATHGFTTESEEIMGLLLGDIEHSKNGAVTALIWGASPQTRSDRRKDRVETKPEQLAAASALADRMTVSTGRVTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCYNEDANKVGRIQVIAFQSSDGKQNNMSKPIPLSPVNRSSIINIDSSPSTSENVPTRSSYFKADNSEQDTGDSRNVGTSKGGGRSSNLGNFFANADANYQGSGKDEGNYLLTNSDVGFDDPMDMSESMQEAMHRSNLDTSGAEYVRKEIPLHVLPSLSLINLDSPLSSYTDLQHVLFEEERTAYNQAILQNTRDGKTHPLVFIHHTSTYQASLCKLIEYCLSPAINTLQDRLRENEIRLAVLSEEAKSMEVEVSTSRGTEASLGSPRRIASPTHQGSLSPRQRNSQGSGESFGLKSVPSPSSRSKRGQ >CAK8535710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875788723:875792717:1 gene:gene-LATHSAT_LOCUS5139 transcript:rna-LATHSAT_LOCUS5139-4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTGRVTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCYNEDANKVGRIQVIAFQSSDGKQNNMSKPIPLSPVNRSSIINIDSSPSTSENVPTRSSYFKADNSEQDTGDSRNVGTSKGSGKDEGNYLLTNSDVGFDDPMDMSESMQEAMHRSNLDTSGAEYVRKEIPLHVLPSLSLINLDSPLSSYTDLQHVLFEEERTAYNQAILQNTRDGKTHPLVFIHHTSTYQASLCKLIEYCLSPAINTLQDRLRENEIRLAVLSEEAKSMEVEVSTSRGTEASLGSPRRIASPTHQGSLSPRQRNSQGSGESFGLKSVPSPSSRSKRGQ >CAK8535709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875788723:875792717:1 gene:gene-LATHSAT_LOCUS5139 transcript:rna-LATHSAT_LOCUS5139-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTGRVTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCYNEDANKVGRIQVIAFQSSDGKQNNMSKPIPLSPVNRSSIINIDSSPSTSENVPTRSSYFKADNSEQDTGDSRNVGTSKGGGRSSNLGNFFANADANYQGSGKDEGNYLLTNSDVGFDDPMDMSESMQEAMHRSNLDTSGAEYVRKEIPLHVLPSLSLINLDSPLSSYTDLQHVLFEEERTAYNQAILQNTRDGKTHPLVFIHHTSTYQASLCKLIEYCLSPAINTLQDRLRENEIRLAVLSEEAKSMEVEVSTSRGTEASLGSPRRIASPTHQGSLSPRQRNSQGSGESFGLKSVPSPSSRSKRGQ >CAK8536380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936640396:936642963:-1 gene:gene-LATHSAT_LOCUS5755 transcript:rna-LATHSAT_LOCUS5755 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVDRNAKEDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDSQKRAIYDQYGEDGLKGQMPPPSEAFSGGGHSYYSPGDIPSSFRFNQRNADDIFAEFFGVSSPFGGMGGIGRGAGGSGGMGVRLPNGVFVENVFGSFGDGGGSGAHAHHQSAPRKAPSIENKLPCALEDIYKGTTRKMKITREVIDVHGKLMQLDEILTINIKPGWKKGTKITFPEKGNEHPNSIPADIVFVIDEKPHSVFTREGNDLIATQKISLAEALSGCTVNLTTLDGRNLTIVVNNVVHPEYEEVVPREGMPLPKDPTKKGNLRIKFNIKFPSRLNADQKSGLKKFLAT >CAK8568013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562970254:562972934:-1 gene:gene-LATHSAT_LOCUS21015 transcript:rna-LATHSAT_LOCUS21015 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPNPTHATSSPSTSSSYTLTHASPSFKRPLLLPQTICTSQKKSFCSGVHVEAYVNSNPVKSYITAGFSPRRYEPQSLVSNQSLNKHALSAEALVTPTAQNVSDTTLLNADDKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRIFSFLQKPLAQFVSVLRAPKSREGYASIGGGSPLRRITNAQAEDLKKSLSAKNVPAEVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMEHTVLPSWYQREGYIKAMSNLIEKELKSFDSPKEVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELETRKITNAYTLAYQSRVGPVEWLKPYTDVTIVELGKKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIEKWGRVPALGCEPSFISDLADAVIESLPYVGAMAVSNVNARQSLVPLSSVEELLATYDSQHRELPSPVIVWQWGLTKSAETWNGRVAMLAVLLLLFFEVTTPDSVFHQWGILMSSLR >CAK8562023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426728159:426734480:-1 gene:gene-LATHSAT_LOCUS15559 transcript:rna-LATHSAT_LOCUS15559 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMRYTTLTNSVKTLFRFQLRSITTLHSSVSRQSTARNFYYGSFRYISSAPIHADQEASTDNRVPATIVTGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSGENIIMVNNGCLCCTVRGDLVKMLLELVRKKKDKFDHIVIETTGLAKPAPVIETFFSDELVSEYVKLDGVVTLVDCKHAMQHLNEVKPRFVVNEAVEQVAYADRIVLNKIDLITESELNILTKKLKHINGMAQIKQAKFGSVDIDFVLGVGGYDLQRIESEVDGECPSTASHPDDCGNGYKEHHHHHHDHVHDSAVTSVSIVAEGTLDRDEVEDWLERLVEEKGEDLYRMKGILSVDGSEQRYVFQGVHSMLDDSPGKTWEPNEKRINKLVFIGRNLDETALRKGFKGCLV >CAK8539938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531758635:531761959:-1 gene:gene-LATHSAT_LOCUS8988 transcript:rna-LATHSAT_LOCUS8988 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKTRNSRRSSSPSYVSTLVTLGFIGLCVFGVWMLNSNSRLSSESGEEESAVTTTRTAVDTSETRSNDISTSGETAAKNTEETAAKNTEETVETRTVVPKIEEQKETSTAVYGDNPGHLPEDAIKSDDKNINNDQNKQQSAVSDSQISEESSIMQKDQVSAIQESNLENDAKAEKVQPSIIEASEENKKEEENTKPQDVTESNDANAAESQEQSTGQQSFDTQGSKNDEDEANKQQLREDKGENEEKQSSQSSKVESEKNNGKQSEGDETVKQKAKKGGHSKKTWSTQVDQSQNEKKRQKGDESGGSEEKQQDYKWSLCNVTAGADYIPCLDNEKAIKMLRSTKHFEHRERHCPEDAPTCLVPLPEGYKTPIRWPNSRDKIWYHNVPHVMLAEVKGHQNWVKMTGEYLTFPGGGTQFIHGALHYIDFLQQAEAGIAWGKHTRVILDVGCGVGSFGGFLFERDVIAMSLAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPNGVFDLIHCARCRVPWHVEGGKLLLELNRVLRPGGYFAWSATPVYQKLEEDVQIWNEMTSLTKAMCWELVTINKDVLNHVGAAIYRKPTSNECYEQREKNEPPLCKDDDDPNAAWYVPLQACMHKVPTNKAERGAKWPEVWPQRLQKAPYWLNNSQVGIYGKPASKDFVEDSERWKNVRDELTNIGVTWAKVRNVMDMRAVYGGFAAALKELPLWVFNIVNIDAPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADKLFSKLKERCKLVPVIAEVDRIVRPEGMLVVRDEPSIISEVETLLKSLHWEIIYSKEQGNLLSAKKGTWRPVTIASS >CAK8532228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:224366698:224368124:1 gene:gene-LATHSAT_LOCUS1958 transcript:rna-LATHSAT_LOCUS1958 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKAGSKKQTHSFRCEDQISPNHKKKLQDRTNNNNNFVIREIPIKKFFNVEHCANNNANRDFSDFGEGKNYSATGMNGTDYDTPELVVFIQEDRHQQYVKDICIDRRISPERKCDINERSDLNLGIMETMSNNSFRPNCASQHHSFKEAMKVHGFRNLMKSEMELSLGDRFSIEHHHLTKKTTSETLREAFKRERNLTRSFENWQRNSILGTSGSRAGFPPHCRNCLQMNDINMYRPDISYLQSLATISEQRKIDYSQEISNNVSESQSGSTQCICHAKNSSIDSIGSANSFSFPILPVEWVGSPVKMMEADRSQSRKAGWGKIWLPCCCD >CAK8567086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485797746:485798819:1 gene:gene-LATHSAT_LOCUS20171 transcript:rna-LATHSAT_LOCUS20171 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPNVAEQIERLLNESNPSSSSEEKSLDLQFTDDGRSGEFVIGDEHFPAYLLDLPSVVESYKTYDDNSLVKTADISQMIMVRESGDAAPDVIECRHGLTPPMRDARKRRFRREPDLNPELVSRVEKDLLKIIAGGTADNLDVEIAEQEGDENARGATNKKPAATPVSKHDAPESHTNAGDNDRSDSDESDESV >CAK8562396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:483911679:483915020:-1 gene:gene-LATHSAT_LOCUS15896 transcript:rna-LATHSAT_LOCUS15896 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPNSMEAWIKASYVVFAFFSALVLGAIKGFIVGPVAALILIIGNIGVILGLFPAHVAWTVYTLIKIQMFDAALKVAVLIGLPVLFGLWLGLGIVGSVLVAIGYGFFTPWVSTFEAFRYDNESKKFMHCIVDGTLGTIKGSCTVVRDFADLCYHSYPCYLKELRESPCSDECKTLRLIHVPGFVIVGIVGIIVEVPLFTAIAIAKSPYLLFKGWYRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVIASILLAIFSSIFVGLYASIIVYQERSFRRGLAFTLAMVAEFDEYTNDWLYLREGTFFPKPQYRKKMVSQSSEFSTRGNSVSGNRSNTTTEPPAMFMPSLAPSRSVRETIQEVKMVQVWGDMMRLCEIRGKELLDANVLTTADLYEWLRGKNINEAAIVGVGLPSYSLLQTLLFSIKANSNGVLLLDDFEITHFNRPKDKLLDWFFNPIMVLKEQIRVIKLMESEVRYLEKLVLFGVNKQRFEAWDNGSLLVPDSLRAAQIEGISRRMIGMIRGASKLPTYRRKFRQVVKALFAHSLEKDASEKALVTHSLGVDVSEKSLVTRYLEKDPSGRSSSRSIVSVPSDENV >CAK8540206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545069186:545069785:-1 gene:gene-LATHSAT_LOCUS9234 transcript:rna-LATHSAT_LOCUS9234 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLELTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKLLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETNLCHGPIYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPRTTTLVQTNLLTSNVATSRLIK >CAK8561418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203944773:203944997:1 gene:gene-LATHSAT_LOCUS15013 transcript:rna-LATHSAT_LOCUS15013 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTGNNSQYTRPFMFQPPPTIDVVESPNVESESPIGSTTDSQVPGNSTQDGLENITFSGEGERSTQIK >CAK8570168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27345561:27348736:-1 gene:gene-LATHSAT_LOCUS22944 transcript:rna-LATHSAT_LOCUS22944-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFSRDGEEISPAARLFHSPSFNCYVIAILGCKTNINVQVIKEGLCHTLLKHPRFTSKLVKKGRKTRWTQTTVDLDNHIIVPQIDSKPDYPDRFVEDYISNFTKTPLDISRPLWELHLLNIKTSTAESVGIFRIHHSLGDGTSLISLLIAGTRKTSDPNALPTVPTTNKKNVSVSNARRCSTLVSFWMSVLSGFSLIWNTIVDVLFLVLTILFFKDTHTPLKGSHGVELNTKKFVYRTVSMDDIKLVKTEMKTTVNDVLLGLAQAGITRYLNREYVGVEDKNDGTATLRKSNIPNNIRLRASILINIRPSPGIQDLADMMAEKGKAKWGNKMGYVTCPFNIVLQEDPLEYVRQAKATIDRKKHSLEAICSYAFAKLVLNLFGAKVAGAIARRVLFHTTVAFSNVAGPVEEISFYGHPVAYIAPSVYGHPHALTIHFQSYANQMTISLAVDPTIIPNPYLLCDDLEESLKLICDNVVKQRHIAEII >CAK8570167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27345561:27348736:-1 gene:gene-LATHSAT_LOCUS22944 transcript:rna-LATHSAT_LOCUS22944 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFSRDGEEISPAARLFHSPSFNCYVIAILGCKTNINVQVIKEGLCHTLLKHPRFTSKLVKKGRKTRWTQTTVDLDNHIIVPQIDSKPDYPDRFVEDYISNFTKTPLDISRPLWELHLLNIKTSTAESVGIFRIHHSLGDGTSLISLLIAGTRKTSDPNALPTVPTTNKKNVSVSNARRCSTLVSFWMSVLSGFSLIWNTIVDVLFLVLTILFFKDTHTPLKGSHGVELNTKKFVYRTVSMDDIKLVKTEMKTTVNDVLLGLAQAGITRYLNREYGVEDKNDGTATLRKSNIPNNIRLRASILINIRPSPGIQDLADMMAEKGKAKWGNKMGYVTCPFNIVLQEDPLEYVRQAKATIDRKKHSLEAICSYAFAKLVLNLFGAKVAGAIARRVLFHTTVAFSNVAGPVEEISFYGHPVAYIAPSVYGHPHALTIHFQSYANQMTISLAVDPTIIPNPYLLCDDLEESLKLICDNVVKQRHIAEII >CAK8535319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838166191:838167497:1 gene:gene-LATHSAT_LOCUS4786 transcript:rna-LATHSAT_LOCUS4786 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVNSPRRTLSLSRQRRKTVSFLDSDDKNKPASGLSPDHGPKPSEVYGFVGSITTVVATVIFLVWAYVPESWLHSIGISYYPSRYWALAVPTYVMVTIVLMLAFYIGLNFMSTPSPASINTVYDEFSRDPLCHDFSEEGDEKPIDPISDIGIDRINDAMFNNSA >CAK8543396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605063408:605071836:-1 gene:gene-LATHSAT_LOCUS12153 transcript:rna-LATHSAT_LOCUS12153-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQGSLPIYSSRIAVSVVDNVLLIHQVDAKVVILYDLFADSRAPISAPLPLLLRGFPRPRSSSQFNGRESESSDVNVVSSHEAVTYTDTWNFLVPDFVCDVANKLLWKFNLDLEAISASNSDVPSVLDFLQRRKLEANKAKLLCLDITQTLILEHRPVHVVAKAINVLVTSFSHSIKTCSLLKGLKPEMTFNSGAQNADTDVSAIERDAIGKSIIHESTTRVDSGTLDSEDESQLANLKHGSKQAHVEGSVNNENSPRNEAHSSYAMQSSLISAQEESQLTSAAISPDEMYNFVFSPVDEEMVGDPSYLVAIIIEFLHSANLEKIRVLPNLYVLIIQLLVRNERYAELGLFIVNKILEPSKEVALQLLESGRQNTQTRKLGLDMLRQLGLHNDYVLLLVQDGYYLEALRYARKYKVDTIRPSLFLEAAFVSNDSQHLAAVLRFLTDFLPSFKNTSEHNRYYRILNEMNSSMSV >CAK8543395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605063408:605071876:-1 gene:gene-LATHSAT_LOCUS12153 transcript:rna-LATHSAT_LOCUS12153 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVMSFIEVDTHVIFAGIFTNLFQQDCYSRAPISAPLPLLLRGFPRPRSSSQFNGRESESSDVNVVSSHEAVTYTDTWNFLVPDFVCDVANKLLWKFNLDLEAISASNSDVPSVLDFLQRRKLEANKAKLLCLDITQTLILEHRPVHVVAKAINVLVTSFSHSIKTCSLLKGLKPEMTFNSGAQNADTDVSAIERDAIGKSIIHESTTRVDSGTLDSEDESQLANLKHGSKQAHVEGSVNNENSPRNEAHSSYAMQSSLISAQEESQLTSAAISPDEMYNFVFSPVDEEMVGDPSYLVAIIIEFLHSANLEKIRVLPNLYVLIIQLLVRNERYAELGLFIVNKILEPSKEVALQLLESGRQNTQTRKLGLDMLRQLGLHNDYVLLLVQDGYYLEALRYARKYKVDTIRPSLFLEAAFVSNDSQHLAAVLRFLTDFLPSFKNTSEHNRYYRILNEMNSSMSV >CAK8577727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585488187:585489355:1 gene:gene-LATHSAT_LOCUS29808 transcript:rna-LATHSAT_LOCUS29808 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLQLIALLILVHFIEKLYTLLGGGHKTNTLIFEDNLYLAKEAKMLGIFNQKLVNPPQELNCPAPLNSSNKPKLPDEILQHFISLDPSHAFSINFRNDAVLGYSPSNNHQGMFCGLDNIYCAFMGSLNNLSMLIKQYGLSKGTNEANFIIEAYRTLRDRGPFPADQVIKELDGSFGFIIFDHKAETVFVASDCNGQVQLFWGIAADGSVVISESVELVKASCAKSFAPFPSGCMFHGEHGLTSYEHPMKKLKSMPRVDSEGAICGANFSVDSQSRKSMMPRNGSEANWDTWGSQA >CAK8577728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585488322:585489355:1 gene:gene-LATHSAT_LOCUS29808 transcript:rna-LATHSAT_LOCUS29808-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFNQKLVNPPQELNCPAPLNSSNKPKLPDEILQHFISLDPSHAFSINFRNDAVLGYSPSNNHQGMFCGLDNIYCAFMGSLNNLSMLIKQYGLSKGTNEANFIIEAYRTLRDRGPFPADQVIKELDGSFGFIIFDHKAETVFVASDCNGQVQLFWGIAADGSVVISESVELVKASCAKSFAPFPSGCMFHGEHGLTSYEHPMKKLKSMPRVDSEGAICGANFSVDSQSRKSMMPRNGSEANWDTWGSQA >CAK8542866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554316807:554317760:-1 gene:gene-LATHSAT_LOCUS11667 transcript:rna-LATHSAT_LOCUS11667 gene_biotype:protein_coding transcript_biotype:protein_coding MSERISIKNSEDKMLKCKMIEESETSPKKESKNVMTFSEANFEKGKMVEVAESKGNKEPKVITSFIQSKDSFTLKLGSNTKPTSNLLEKDEHSSLSQNIIAELLPKQEVKEFLCLFCNKKFSNAQAFGGHQNAHKRERNLKKIEQKRKEEEMDSTINHRPIHYQGYHYFPDCLQHSIGTQMNNVMPYLHGSPSGGYGGMYMSNTPSSPPPVFMQVPKPPLTPPYLEMTNFLGRNQTLALPILQRPNTIKLKLSSQVNQTSPFGESAERNSDAKFLSHDLPMKTHDFIRGSQLLAEANVSSSSTTESILEELDLDLKL >CAK8537037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:65157622:65161222:-1 gene:gene-LATHSAT_LOCUS6355 transcript:rna-LATHSAT_LOCUS6355 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKQGLRKPVFTKVDQLRPGTSGHTITVKVVDTKMVMQRGRPDGPQSRQMRIAESLVGDETGVIIVTARNDQVDLMKEGSTIILRNAKIDMFKGSMRLAVDKWGRIEVTEPATFTVKEDNNLSLIEYELVNVVVE >CAK8573322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603079085:603080581:-1 gene:gene-LATHSAT_LOCUS25783 transcript:rna-LATHSAT_LOCUS25783 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPLSKSANKYLRKFRKWPHSPYKTSWHHNFGEQQAMQILKQATTTQPQPQNNNDNNHFLLSTLIDSFKAYNTDPTPKAYFFLIKTLTKNNPLQFHEIPHILNHLEHNEKFETPEFIFLYLIRFYGFSDRVQDAVDLFFRIPRFRCTPTVCSLNLLLSLLCRKRECLKMVPDILLKSQPMKIRLEESSFRVLIKGLCRIKRVDYAVKIMNCMIEDGYSLDDKICSLIISSLCEQNDLTSAEALFVWGNMRKLGFCPGVMDCTNMIRFLVKEGKGKDALEILNQLKEDGIKPDIVCYTIVLSGLVKEGDYVKLDELFDEILVLGLIPDVYTYNVYISGLCKQNNFDEALKIAVSMETLGCKPNVVTYNTLLGGLCMKGDLGKAKRVMKEMRLKSVEMNLHTYRIMLDGLVGKGEIEEACVLLEEMFVKCLYPRSSTFDSIILQMCQKGLVTDAVVLMNRIVEKSFVPGATVWEALVLNSESKVGYSETTFVRLLSTE >CAK8568745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638407857:638408360:-1 gene:gene-LATHSAT_LOCUS21676 transcript:rna-LATHSAT_LOCUS21676 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRNDFVFDFQAKSSKNLKRKMKIKVENEKALKDNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNTNIRSQSEVEISNKVSHPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLKSTVDHQVKGSIESQVSKNTSDQTKKVIYSTF >CAK8566887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470277135:470277446:-1 gene:gene-LATHSAT_LOCUS19986 transcript:rna-LATHSAT_LOCUS19986 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPG >CAK8576488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482923024:482923245:-1 gene:gene-LATHSAT_LOCUS28671 transcript:rna-LATHSAT_LOCUS28671 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKYWVWTYNGEELSSNVPETSNMHASSSRSPMEHGENFNMISEMMGDNFGVNLTCDKLADFDGEELSNEEA >CAK8535961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894234932:894235435:-1 gene:gene-LATHSAT_LOCUS5374 transcript:rna-LATHSAT_LOCUS5374 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSASKRVSNSLQNSTQFDSACDSVFSQCLSLTQHTFQGVLPYQLKTASDQIHTILSDSHYPLIHKWLPSPPDRTQVDSALRHVLPPDHDGNNVLPLPLFKEWARYLYTDAVLSSATKALLLRVPVGVAGIVGISAVAHPGPALLGTFVGAYSLGVALSIFLGLSA >CAK8576779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:513058713:513060551:-1 gene:gene-LATHSAT_LOCUS28947 transcript:rna-LATHSAT_LOCUS28947 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTALSPSLTDSKKKKNRNKNGAEEDESTEQQTQPDFMIKPGSRASSIDTSEWPILLKNYDRLNIRTGHYTPLPAGHSPLKRPLIDYLKYGVLNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTVYESKMLEYDAERHLVVFWISCEAGTYVRTMCVHLGLLLGVGSHMQELRRVRSGIMGEKDNMVSMHDVMDAQWVYDNNRDETYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDAGEEVVLMTTKGEAIALGIAEMTTAVMASCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIALGKLDKHGKPNEHTPEEWVRNVEASLPAGWDSAIAAKAAAATETEDGKKAVAEDGEGRKRKKHETPDSPAPAKKSKVAEVEDEEKVKTIKVDEAAADVEDDKKEKKKKKKKKDKESGDAASSDEEKVVKEKKKKNKEKGEDGSPEVDKSEKKKKKQKEKVEDASPEVEKSEKKKKKKDKEAKDNAADISNGKDEGSADRSEKKHKKKKNKDAQEE >CAK8565371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:154417696:154419069:-1 gene:gene-LATHSAT_LOCUS18591 transcript:rna-LATHSAT_LOCUS18591 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLSTKFMNICISSMCKSKQLVKAEVVLIDAIKLGVLPDTITYNTLIDAYCRFVSIDAGYTILNRMKEAGINPDVVSYNSLISGAVRKCLLSKSLQLFDEMLQSGIPPDVWSYNILMHCLFRLGRPEEGYRVFKDIVERAEMYPTMATYNVMINGLCKYGYVHTALMLFRNLQRHGFVPHVLTYNAMINGLCKARRLADARRVLSEFCDYGYEPNAITYTTVMKCCFRCGRLEQGLEIFSEMRRKGYTFDGFAYCTVVAALVKTGRIEEADEIAEKMTSNGLVLDLASYNTMINLFCRQGKFEEALKLVDEIEKQGLKCDQYTHTIIIHGLCKIGNFAGAEKHLDYMKSLGFGFNLVAFNCMLDCLGKAGHIDQAVKVFDSMEAKDSFTYTILVHNLCRAKKFLFASKLLVACLKSGFQILKATQRAVINGLCTAGFVNEARKVKSKIRTARLMR >CAK8534805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769964242:769966099:-1 gene:gene-LATHSAT_LOCUS4317 transcript:rna-LATHSAT_LOCUS4317-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEANNEDDDYMGDLSRFLPTDSIQPPNPNTSSKKISDKKGLSINSSKSQLKALNWQERRKIERERKQIQEDEQTLAKVEAPIPQSNIGFKLLRNMGYTPGSALGKEGSGRAEPVGIEIRRSRAGIGLEDPHKEKKKREEITIHRKRKNEEALMEEFGSRQKSRWKSRRVIVNFNKAKAALDQLENREIVEPPKTEDDAEGEDEEEEEEITEEDLLEVLMKLRDEFNYCLFCGCKYESNSVLLDNCPGINEDDH >CAK8534804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769964242:769966108:-1 gene:gene-LATHSAT_LOCUS4317 transcript:rna-LATHSAT_LOCUS4317 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAESEANNEDDDYMGDLSRFLPTDSIQPPNPNTSSKKISDKKGLSINSSKSQLKALNWQERRKIERERKQIQEDEQTLAKVEAPIPQSNIGFKLLRNMGYTPGSALGKEGSGRAEPVGIEIRRSRAGIGLEDPHKEKKKREEITIHRKRKNEEALMEEFGSRQKSRWKSRRVIVNFNKAKAALDQLENREIVEPPKTEDDAEGEDEEEEEEITEEDLLEVLMKLRDEFNYCLFCGCKYESNSVLLDNCPGINEDDH >CAK8534943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792932383:792933015:1 gene:gene-LATHSAT_LOCUS4437 transcript:rna-LATHSAT_LOCUS4437 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAKKAMKKNLKSINAKIKPFESADFLPLTGGPGRKLPELKPLENTSPVLYIGRIPHGFYEKEMEAYFEQFRTIKRLRIARNEKTGKSKHFGFIEFESPEVAKIAADTMHNYLLFEHLLQVYVVPAENVHPRLWKGFNYRYKPLDSLQIERERHDKERTLEEHKKLVDRVMKRDKKHRKRIEAAGIDYECPEIVGNLHPTPKKIKFED >CAK8542760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543756883:543757782:1 gene:gene-LATHSAT_LOCUS11569 transcript:rna-LATHSAT_LOCUS11569 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKAKKKQTTGTTSETTTTTTTTQEQQQTAWGGRYLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARGMRGSRARTNFVYADTPPGSSVTPILSPDQQQRQEQAFHELSFVLQHEPEMFPVQRNPVQSLDSVSFLTSSGNYGYGYGEENNNSNYFNSINFNKYNLPPQVPLATLEASINNNTHGNENSHFNQQVFFEDVVVSSSSSSNSIELPPLPPDITSSACYDPAFNGGDNDHGYYYSSWEQNVGGDCYNNQMVGTNIGGAENNVVAGSIDGFDFGGSSFFF >CAK8561078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86398330:86398641:-1 gene:gene-LATHSAT_LOCUS14700 transcript:rna-LATHSAT_LOCUS14700 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8572632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552216978:552218361:1 gene:gene-LATHSAT_LOCUS25174 transcript:rna-LATHSAT_LOCUS25174 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSTQLVQSQNLLPRLHAVQGKPHVVCSIGCSKLSSRYHYAPRLSVSQQSKAKSIPSRRITCASSAPGDVAELQAKVTSKCFFDIEIGGESAGRIVIGLFGDAVPKTVENFKTLCTGAKGYGYQGSFFHRIIPKFMIQGGDFTEGNGTGGVSIYGYKFEDENFDLKHVGPGVLSMANAGPNTNGSQFFICTVPTPWLDNRHVVFGHVIEGLDIVQKLESQETSSLDNSPKKPCKIAKSGELPLDG >CAK8578360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623719955:623724918:-1 gene:gene-LATHSAT_LOCUS30377 transcript:rna-LATHSAT_LOCUS30377 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSAGFSPPPQEGEKRVLDSELWHACAGPLVSLPADGSRVVYFPQGHSEQVAVSTNREVDTYIPNPSLPPQLICQLHNLTMHADTETDEVYAQMTLQPLNPDEQKEAYHPAELGTANKQPTNYFCKILTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNDWKFKHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQHMMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFNGLKDDDFGMNSPLLWLRDADRGLQTLNFQGMGVNPWMQARLDPSSMMNLQPDMYHAMAAAALQDMRTLDPSKQHPASMLQFQQAQNFPNGTAALMQSQMMQQSQPQHAFPKNQEHQHPSQSQAQTQQFQQLLQHQHSFTNQSYHQLQQQQHQQHQQQQQQQQQHQQQQQHQHQQHQHQQLQQQQQQQSKQQQVVDHQQISNAVSTMSQFVSAPQSQSTQPMQAISSIGNQQHFSDSNGNPATTAIVSPLHNMLGSFSNDETSHLLNFPKPNSWVPVQSSTAWPSKRVALDPLVSSGTSHYVLPQMEQLGQSQTTMSQNAITLPPFPGRECALEGNTDPQNHLLFGFNIEPSSHLVYNEMSNLKGVNSNCDSSNVPFQSPTYLNNTGADSSLNNGMAHGVGESGFLQTSENGGQGNSPNKTFVKVYKSGSFGRSLDITKFSNYPELRSELARMFGLEGELEDPVRSGWQLVFVDQENDVLLLGDGPWPEFVNSVWCIKILSPQEVQQMGNNGLELLNSAPTQRLSNGICDDYTGREDPRTLSTGLTSVGSLDY >CAK8560383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16831618:16833837:1 gene:gene-LATHSAT_LOCUS14060 transcript:rna-LATHSAT_LOCUS14060 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIAHSIWRNTDLDFSALNSSRRSGGILTLWNRSKVLAISSFCGTGFLGIHFLWNNQSLIGVNVYAPYSSADRRNLWRDLTKIKSKLTGVGWIVGGDFNEVKIGEERKGLSANSLRNMKVFSEFIDELKLTDLPVFGNKFTWFNSNGKSRSRLDRFLADDLAISMLSLINQVVGDRDVSDHRPVWLKSNFVNWGPKPFRSFNYWFSHKDFIPFVKQSWNSYHASGSFCNILIKKFTALKSDLWSWNRNVFDWLDLKEFVSNLNTLEMDSDLVFASHNEDLNKERYRSNCLSAIGVGDGMEEDPEAIKCEAVKYFKERYQSQSSPKLFIYFNNIACFEEEDRLRLETVFCPAEVKDAVFSCDGNTCPSADGFKTNYSKSCLYGIRLDPDFLVAAEDFLHCKFGKLSFRFFGITVGGNHRRYSFRNPVLNCLRNKLSN >CAK8569481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2483149:2485725:1 gene:gene-LATHSAT_LOCUS22328 transcript:rna-LATHSAT_LOCUS22328 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMMETEASSSGQNNDIRELLTLARQLINQGKPSQALQAVVVAVKNRGGDEAVFQSLNRARELYKSRLQQNAAADQLASLFAECAIAEVQPALTEPPATTTITNPPSVTTDANGPSILAESGRMQVVLDAGSDGSSFICLKCGGLVSIQRKDEHYAYWCC >CAK8563152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578453774:578458968:1 gene:gene-LATHSAT_LOCUS16589 transcript:rna-LATHSAT_LOCUS16589 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSTFSTQANALLRKNLTFQKRNKKTNIRLILFPFVLCVFLFLLQPLIDNQFDKPQFKCGCVCINNSTTCNGSEKLCGIEYSDQNQVLACAVPSPPEWPPLLQLPPGKPWYPPLYTMLFTAENHSFGQIVSDNMFPTVLTMEYSDIMASLASNMLGSEAMPESNNFLEPAFTSDLPIYYVQSKCPQDDLLFPPIYSVGEADAQQYMETFPYTIAGFHVDKEIGCADGINLWRKNSSEINNELYEGHERSSPQRINPIFSAFDFLNSNENGFNVTVWYKSTYKDVTNFGPPALLRIPRSVNLISNAYLRFLRGPGTKMLFEFVKEMPKSETPLRIEIASLLGGLFFSWVVLQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMITYGYFLALSVIYMLCFVTFGSVLGLKFFTLNDYSIQFVFYFIYINLQISLAFLLASFFSSVKTATVTAYIGVFGTGLLSGFLFQFFIQDSSFPRGWIIFMELYPGFALYRGLYEFAQSATSGSNVGTDGMRWHDLSDNANGMKEVLIIMFAEWIVVLFVAYYIDQVFSTGSGKSPLFFLKGFQKKPLSSCKTLSFQRQGSKVLVQMEKPDVIQEREKVEQLLLEPTIDHAIVCDDLKKFYPGRDGNPGKFAVRELSLAVPRGECFGMLGPNGAGKTSFISMMIGLTKPTSGAAYVKGLDIKTQMDGIYTSMGVCPQHDLLWESLTGREHLLFYGRLKNLKGPVLTQAVEESLKSLNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPGTGLDPATRKCLWNVIRLAKRDRAIILTTHSMEEAEALCDRLGIFVNGTLQCIGNPKELKGRYGGIYVFTMTTSSEHEKDVENIVQQLTPNANKIYHLSGTQKFELPKEDIKIANVFRAVEVAKRNFTVFAWGLADTTLEDVFIKVAREAHAFDTLS >CAK8577457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565626947:565628350:-1 gene:gene-LATHSAT_LOCUS29563 transcript:rna-LATHSAT_LOCUS29563-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLHIFFFPFLAHGHMKPTIEMAKLFAAKGVKATIITTPLNEPIISKAIQKSKTIGHNIHIQTILFPSSKAGLPNGCENVDSLPSPEWFPSFCLATNLLQEQLEELLLEQNPDCVVADMFFPWVTDSAHKFGIPRLVFHGYCFFSLCATECMRLYQPFRNVSSHTESFVISNLPGEIKMTRLQIAPFENWEEFEGMAKLMYEVKESELKSFGVVVNSFYELENVYADYYRKILGRKAWHIGPLPLWNKEAPVNQPQDMYLKWLDTMKPNSVVYVCHGSMAHFCNSQLREIAMGLEASGQKFIWVVRKNEDDGEEWLPEGFEKRMEEKGMIIRDWAPQVLILEHEAVGAFVTHCGWNSVLESVIAGVPMVTWPMSSEQFYNEKLLTEVLKIGVPVGAKKWVGLVGDEVHWDALEKAVKRIMEGEEVEEMRKRVKVLSELAKKAVEEEGSSYCDLNALIQELSLFRN >CAK8577456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565626947:565655553:-1 gene:gene-LATHSAT_LOCUS29563 transcript:rna-LATHSAT_LOCUS29563 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLHIFFFPFMGHGHIIPTIEMAKLFAAKGVKATIITTPLNEPIISKAIQKSKTIGHNIHIQTILFPSSKAGLPNGCENVDSLPSPEWFPSFCLATNLLQEQLEELLLEQNPDCVVADMFFPWVTDSAHKFGIPRLVFHGYCFFSLCATECMRLYQPFRNVSSHTESFVISNLPGEIKMTRLQIAPFENWEEFEGMAKLMYEVKESELKSFGVVVNSFYELENVYADYYRKILGRKAWHIGPLPLWNKEAPVNQPQDMYLKWLDTMKPNSVVYVCHGSMAHFCNSQLREIAMGLEASGQKFIWVVRKNEDDGEEWLPEGFEKRMEEKGMIIRDWAPQVLILEHEAVGAFVTHCGWNSVLESVIAGVPMVTWPMSSEQFYNEKLLTEVLKIGVPVGAKKWVGLVGDEVHWDALEKAVKRIMEGEEVEEMRKRVKVLSELAKKAVEEEGSSYCDLNALIQELSLFRN >CAK8576443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:478505026:478507466:-1 gene:gene-LATHSAT_LOCUS28630 transcript:rna-LATHSAT_LOCUS28630 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENTVTSNPSHGERPKVVVITGPTGSGKSKLAVDLASHFPLEVINSDSMQVYTGLDILTNKLPFSEQNGVPHHLLGTVNPNTEFTAKAFRDSAIPIIDAILSRNHLPVIVGGTNYYIQALVSLFLLDDSTHELNESYLGDSPGITGCDNGIAAENDCSSNSYDLLKDIDPVAANRIHPNNHRKINQYISLYSRTGVLPSKVFQGQAAEKWGQADNLRYDCCFISVDASLPVLDRYVEQRVDHMMDVGLLNEVYDIYSMNADYTRGLRQAIGVREFEHLLQTCAFKNINQKEKELTDGVSLENGEPVLGGNLMEWFRTSSDTKSIKLLEEAIEKVKVNTRRLVRRQKRMLSRLQTLFGWDIHYVDSTESISSKLS >CAK8570662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83716039:83718322:-1 gene:gene-LATHSAT_LOCUS23398 transcript:rna-LATHSAT_LOCUS23398 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPLVTVQALDSDMVTDSSTTLPIPDVMRASIRPDIVNFVHSNISNNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASAVPSLVLARGHRIEAVPEFPLVVGDSAEGVEKTKEAIKVLKKIGAFPDAEKAKDSHGIRPGKGKMRNRRYISRKGPLIVYSTEGAKAVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFDKGSEKKKGYVLPRAKMTNSDLTRIINSDEVQSVVRPIKKDVKRATLKKNPLKNLNVMLRLNPYAKTAKRMALLAEAERLKSKKEKLDKKRKTVSKEEASAIKAAGKAWYNTMVSDSDYTEFDNFSKWLGVSQ >CAK8531329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100947688:100948329:1 gene:gene-LATHSAT_LOCUS1129 transcript:rna-LATHSAT_LOCUS1129 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYHKATVHPTPPVITDQLSFLPATILTLAAALSTEDREVLAYLIYCSSAVTPTGNPKRSTVRNTDHATLFNCSCFRCYTSYWVRWDESPNRELIHEIIDAFEEWLAQSNKGGKKGKGKKEKRNKKGFGNKRSGHTHSGELNRSVVNESAEMESVGESSNSVISSGGGGGSESGERVVVGGGGGGDDEDEEKGSVRKFVSFIGERIWGVWG >CAK8570552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59493643:59494377:1 gene:gene-LATHSAT_LOCUS23292 transcript:rna-LATHSAT_LOCUS23292 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLHSSLLQSNTCGFLSHNCVQLAVQPEQLDLLDKACFGRPVRIVEKDAYLFQLTFEEAFYLCYSLKCLKINGGAESGPSNDDELWCYFKSKKEAFPFFYKAYSHLRMKNWVVRSGAQYGVDFIVYRHHPARVHSEYGVLVLSHDNDDDLDGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNAHGDESLLCLENYTIEERTISRWSPEQCRERSL >CAK8579005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666777391:666780750:1 gene:gene-LATHSAT_LOCUS30982 transcript:rna-LATHSAT_LOCUS30982 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKYSRIDNKRSTSGYCSTVTIVVFVALCLVGLWMMTSYSVVPVQNVDESSVNNKNEVKERTEVKDQVSEATSDASNSNARQFEDKQGDLPQDATKMDSSVTSENNPVIPEKQVVTDKAPEDTKLVYTDSTQYAKPSDSDGSNNKPVFGEDNKKSDSDEGEKKSNSDESKKSDSDESEKKSDSDESKKKSDSDESEKKSESSGNGQSVSDEKGNSPGSDESENKTDDLNGTTDNKTEEKVDQSDNQGSDGSSNEKKTEENTNNHGSNEMLPSGAHSELLNESTTQNGSFSTQAAESKNENEIQKSSKQSAGFNWKLCNVTAGPDYIPCLDNLKAIKSLRTTKHYEHRERQCPQDPPTCLVPLPEGYKRPIEWPKSREKIWYSNVPHTKLAEYKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDAIQQFVPDIAWGKQTRVILDVGCGVASFGGFLFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPARVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPIYQKLPEDVEIWEEMKALTKAMCWEVVNISKDKLNGVGIAVYRKPTSNECYEKRTKNEPSLCQDSDDPNAAWNISLQTCMHKVPVSSTERGSQWPEKWPARLSQSPYWLSNSEVGVYGKPAPKDFAADYEYWKRVVSKSYLNGMGIQWSNVRNVMDMRSVYGGLAAALRDLNIWVMNVVPVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFTKLKKRCKFEAVVAEVDRILRPEGKLIVRDTAETINELQSLLKSMQWEVRMTYSKETQGFLYIQKSKWRPTELETVEYAIG >CAK8543946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650814673:650816148:-1 gene:gene-LATHSAT_LOCUS12666 transcript:rna-LATHSAT_LOCUS12666-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQENITVPHFVLFPFIAQGHIIPMIDIAKLLAQHGAIVTIFTTPINASRFSSVLSRAVSSGLQINLVTLHFPSTQAGLPEGCENFDMVNISKDTMDRLFHAVSLLHKSAEERLDKLSPKPNCIISDFCIPWTSQIAEKHQIPRISFHGFSCFCLHCFLKIQSSKIFESVNSDSEYITVPGIPDEIQITNAQLPLKILEQQENDFFEKMFEAETKSYGEIINSFEELEKEYVNEYKKEMNGKVWCVGPVSLCNKDGLDKAERGNIASISEHNCLKFLDFHKPKSVVYVCLGSLCNLASSQLIELALGLEETKMAFIWVIRDGVNKSRELEKWISDEKFEERNKGRGLIIRGWAPQMVILSHPSIGGFLTHCGWNSTLEGISFGVPMVTWPLFADQFLNEKLVTQVLKIGVSLGAKVVMEFGEEEKLGIVVKKESIKEAICNVMDEEDQESKERRERASELSEMAKRAVEKGGSSYINMSLLIQDIMQLQS >CAK8543945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650778980:650816148:-1 gene:gene-LATHSAT_LOCUS12666 transcript:rna-LATHSAT_LOCUS12666 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQENITVPHFVLFPFIAQGHIIPMIDIAKLLAQHGAIVTIFTTPINASRFSSVLSRAVSSGLQINLVTLHFPSTQAGLPEGCENFDMVNISKDTMDRLFHAVSLLHKSAEERLDKLSPKPNCIISDFCIPWTSQIAEKHQIPRISFHGFSCFCLHCFLKIQSSKIFESVNSDSEYITVPGIPDEIQITNAQLPLKILEQQENDFFEKMFEAETKSYGEIINSFEELEKEYVNEYKKEMNGKVWCVGPVSLCNKDGLDKAERGNIASISEHNCLKFLDFHKPKSVVYVCLGSLCNLASSQLIELALGLEETKMAFIWVIRDGVNKSRELEKWISDEKFEERNKGRGLIIRGWAPQMVILSHPSIGGFLTHCGWNSTLEGISFGVPMVTWPLFADQFLNEKLVTQVLKIGVSLGAKVVMEFGEEEKLGIVVKKESIKEAICNVMDEEDQESKERRERASELSEMAKRAVEKGGSSYINMSLLIQDIMQLQSKHQS >CAK8537484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:372043793:372045632:1 gene:gene-LATHSAT_LOCUS6774 transcript:rna-LATHSAT_LOCUS6774 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTVPHPIPPVSDDVEQLRKAFSGWGTNEDLIISILGHRNASQRKVIRETYAQTYEEDLLKALDKELTSDFERLVHLWVLESAERDAFLANEATKKWTSSNQVLVEIACTRSSDQLFSAKKAYHVLYKKSLEEDVAHHTTGDYRKLLLPLVSSHRYEGDEVNLTIAKAEAKILHEKISKKAYNDDDLIRILATRSKAQVNATLNHYKDAFGKDINKDLKEDPKDEFLSLLRSTVKCLTRPEKYFAKIIRLSINKRGTDEGALTRVVATRAEIDLKIVADEYQRRDSVPLDRAIVKDTTGDYEKMLLAILGHGDA >CAK8561199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117304934:117305257:1 gene:gene-LATHSAT_LOCUS14810 transcript:rna-LATHSAT_LOCUS14810-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANRFWSQIFGVTFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRATEDPEFETFYTKNILLNEGIRVWMAAQDQPHENLIFPEEILPRGNAL >CAK8561198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117304793:117305257:1 gene:gene-LATHSAT_LOCUS14810 transcript:rna-LATHSAT_LOCUS14810 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGVLGAALLCVIHGATVENTLFEDGDGVNTFRAFNPTQAEETYSMVTANRFWSQIFGVTFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRATEDPEFETFYTKNILLNEGIRVWMAAQDQPHENLIFPEEILPRGNAL >CAK8536465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944155448:944158525:1 gene:gene-LATHSAT_LOCUS5832 transcript:rna-LATHSAT_LOCUS5832 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDIALADSFINFEAAACSPTSDNPPDPIITEFDSSDLDPPVGSSCFEERIENSGLVEKEVNENESGGAGGEIAAIDDDAVGVESEAGEVRGEIVAVDDNAGMESEGGEIRGDVIAYDDEFIGDVLASDDEIRGKIAAVDDAVGMESEGGEIRGDVIPNDDEVRGEIVANDDEIRGEIAANDDEIRGEIVTNDDEIRGEIVANDDLNATVNEGSNRENECSSGGIKIHEEYELEEGEILESDNDIGDDRKYGFDDEESSDNDIGDDRKDGLANELEILPRVPTVNVKLGPHHTMLPLGVVTSIVGARVVVEGSENHDPLDQGSVLWMTGKQTPLGVIDDTFAQVKSPHYVVRYNSEDEIPEGVHEGTLISFVAEFAKHVLDSKELYKKGSDASGMNDEEVSNETEFSDDNETEFSDDVQEAEHMRIQRQAKRGQSSQNSDWLNNDRQQVPLNDGSIPKRLMVAHGYSPPIPSSRQGFFGVGHAHSSPLPGTGQGFSGVSRGHSSPLLPQQAMPPHSPMSPNLPSGVPTNSVAWSQENTQISHQQPMQGIPSQQQFNPSHRFPPPTVYPGGQPNMYAKPMHAQGLMNQNQWTHFPQFQAPTYFQPVNNSGILGAPPHQFNPPTYFQTLPVAGNLGGPLHPFNPVAYFPSPPIAGNQGGPPHQFCPPANIQSPPISSYQSSLSHQFNPPTNFQSPPISANQHPPQFHYQFNPGASDGRERTFAARRRPSHRGGKGWRPAR >CAK8531003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69293167:69297271:1 gene:gene-LATHSAT_LOCUS825 transcript:rna-LATHSAT_LOCUS825 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKVSRPKTPQEVVKSIKESLMALDTKTVVEVKALEKALEEVEKNFVTMRTMLSGDGESEPNLDQVSQLVEEICKEEVLTLVIHKLPILGWEARKDLVHCWSILLKQKVDSNDCCVEYIKQHFELLDVLVVYYDNKEIALSSGIMLRECIKFPNLAKYILESASFVLFFKFVELPNFDVSSDAFSTFKDLLTKHGNVVAEFLTAHYDEFFDQYENLLTSPNYVTRRQSIKLLSDFLLESPNAQIMKRYILEVRYLKVMMTLLTDSSKNIQLSAFHIFKIFVANPNKPRNVKIILGKNQEKLLGLLHNLSPGKGSEDEQFEEEKEFIIKEIERISV >CAK8567624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530489358:530491096:-1 gene:gene-LATHSAT_LOCUS20661 transcript:rna-LATHSAT_LOCUS20661 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIVPRLYSCCNCRNHVSLHDDIISKAFQGKNGRAFLFSHAMNVITGPKEDRHLLTGLHTVADVYCGDCREVLGWKYERAYDASQKYKEGKFILEKSKIVKENW >CAK8563510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611810849:611812021:-1 gene:gene-LATHSAT_LOCUS16910 transcript:rna-LATHSAT_LOCUS16910 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKRKRKTMTPTPQKPYVPQEMITEILLSLPVKSLIRFKCVSKQWFSLISDPNFAENHFQHTPHTRRIEFISNDLQQTTSIDFEERLDLENGSVKRCNFLDPQAESHIQIVSSCRGLLFFHHSLSFCILNPCTKVHRQIPLAPGEFETKLELCYFYYLYGFGYDHLRDDYLVVSMSFTPAEEDLSRLEYFSLKDNKWKEIENTFFHFTSDKIEPRVGSLYNEAIHWLALHSDSLMEVIVAFHLTERKLFEMPYPNGVEHKSDHCELWIYGEFLSLWATDCENSRIEIWLMKEYNVHSSWIKAIILPMEILTPHQFSPICSTKNGNIIGTDGENILLRYNDIGELIEGSSYSHIPDSAVSRGSLSIVYTESLLSLPGGDMQAYEDDSSD >CAK8561085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:89402739:89403346:1 gene:gene-LATHSAT_LOCUS14707 transcript:rna-LATHSAT_LOCUS14707 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8569499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3149451:3153510:-1 gene:gene-LATHSAT_LOCUS22344 transcript:rna-LATHSAT_LOCUS22344 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEPLYKDYLLLAPKEASVLELGCLLWSSNITKRRFIDCSEGLEVREFGQRWLLLISVVAQKVLVAIEPLLKIVGDMLELWLNRIASNGGFIWLFFNILRGKMITPEKSSAEFLSIVGHLDPRVDLDKNIYQNNPKYKGLLSIMTSKFAYENEQFISTIVTNHWGMEFLGQYSFCNDYQKHESTRAMIMKDTKSEPNLIVVAFRGTVPFDAEQWKTDIDISWFDLPNVGKIHGGFMKALGLLKNRGWPKEIDEREAHRHAYYTIREEVRAILRENKDAKFILTGHSLGGALAILFIAVLIIHEEEWLLEKLEGVYTFGQPRVGDQQFGEFMKSKMKMYDVKCFRYVYCNDLVPRVPYDNQSLFFKHFSPCLYFNSLYQGKVLEEEPNKNYYSLLWVIPKMLNAVWEVIRGFLLPFVVGREYRQSWLMVMLRLVGVTFPGVPAHFPTDYITALRLGSFNEHLELQDFFKDD >CAK8532376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:251135353:251136672:-1 gene:gene-LATHSAT_LOCUS2087 transcript:rna-LATHSAT_LOCUS2087 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSLLTPILLYLHLLFVFTSNLMWFGPNKVLAVTLGNQTDHLALLKFKESISSYPYGSLESWNTSIHFCKWYGITCSPLHQRVIKLNLTGNQLHGSLSPHVGNLSFLIYLNLNNNSFFGEISQELGQLLQLQQLHLADNSFTGEFPKNLTYCSNLNGLFLAGNSLIGKIPIEIGSLKKLQTFNFWKNNLTGGIPSSIGNLSFLTILVFDYNNLEGDIPQDICAFKNLTILTGAVNNLSGMVPSCLYNMSSLTALVTTKNFIYGSLPSNMFLTLSKLEHFLIGGNQFFGPIPTSIINASSFVEFDISQNQFVGQVPNLERLKDLQYLNLEYNHLGGNSTNDMEFLHSLANCTKLYMFSIFHNNFHGILPSAIGNLSIELNELYLGFNKISGQIPAKLGHLTGLTLLGLQFNYFEGMVPTTFGKFQKMQRLQLWIETCY >CAK8540762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20019844:20022169:1 gene:gene-LATHSAT_LOCUS9734 transcript:rna-LATHSAT_LOCUS9734 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPLLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVANDPSGKQINALEQHIKNLLCPSTPFFFNTLYEPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIFHSKASNPFVNLRKEYKGIFWQEDIIPFFQNIVLPKEATTVQKCYIELAKEVKDKLSKIDPYFDKLADAMVTWIESWDELNPAGASAANGKA >CAK8578651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643655517:643664868:-1 gene:gene-LATHSAT_LOCUS30643 transcript:rna-LATHSAT_LOCUS30643 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNQTPDISGERQSGQDVRTQNVVACQAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYIDEKLAVKVEKLGKDSLVNCAKTSMSSKLIAGDSDFFANLVVDAVQAVKMTNARGEIRYPIKGINILKAHGKSARESFLMNGYALNTGRAAQGMPLKVSPAKIACLDFNLQKTKMQLGVQVLVSDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVSTFADMEGEETFEPSFLGIADEVVEERIADDAVIMIKGTKTSGAVSLVLRGANDYMLDEMDRALHDALSIVKRTLESNTVVAGGGAVESALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATDLVAKLRAYHHTAQTRADKKHLSSMGLDLSEGKIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDEGQEE >CAK8569257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688130299:688131353:-1 gene:gene-LATHSAT_LOCUS22132 transcript:rna-LATHSAT_LOCUS22132 gene_biotype:protein_coding transcript_biotype:protein_coding METVMEDVEEYNYREVKLPSLIPIVPEPELERETGERRRGRDIVIAIDHGPNSKHAFDWALIHLCRLADTIHLVHAVSDVKNQIVYDLTQGLMEKLAVEAFEVAMVKTVARIVQGDPGKVICMEAERTKPAAVVLGTRGRSLFQSVLQGSVGEYCFHHCKAAPVVIVPGKDLGDASIV >CAK8570990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:241143339:241143695:-1 gene:gene-LATHSAT_LOCUS23693 transcript:rna-LATHSAT_LOCUS23693 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIKLGNESKECLKSEIIFDILSRIPALPLLGMKCVSKRWKTIISNRSFIKAQLLKAELILDGFIVQYGNMLSKQDVKTASYILVESKNEEAPVVHQKIFSFIPEEVLVSGSCKGIL >CAK8534032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:683619542:683622471:-1 gene:gene-LATHSAT_LOCUS3608 transcript:rna-LATHSAT_LOCUS3608-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSNETQSPVSNPTKFSILNLIDLSNPNINQSVHLLKQACLDSGFFYVVNHGMTEEFMDEVFAQSKKFFSLPLDEKMKILRNEKHRGYTPVLDETLDPEHQIHVGDYKEGFYIGVEVDEDDPESSKPFYGTNKWPEPDVLPGWRETMEKFHREALEVGKAVGKIIALALDLDVDFFEKPEMLGEPIATLRLLHYGGQISDPTKGLFGAGAHTDFGLVTLLATDDVAGLQICKDRDAKPQKWEDVSPLKGAFIVNLGDMLERWSNGVFKSTLHRVLGNGQERYSIAYFLEPSHDCLVECLPTCKSETNPPKFPPILCRDYLSQRYNETHADLSIYKKQQS >CAK8534033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:683619542:683622471:-1 gene:gene-LATHSAT_LOCUS3608 transcript:rna-LATHSAT_LOCUS3608 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSNETQSPVSNPTKFSILNLIDLSNPNINQSVHLLKQACLDSGFFYVVNHGMTEEFMDEVFAQSKKFFSLPLDEKMKILRNEKHRGYTPVLDETLDPEHQIHGDYKEGFYIGVEVDEDDPESSKPFYGTNKWPEPDVLPGWRETMEKFHREALEVGKAVGKIIALALDLDVDFFEKPEMLGEPIATLRLLHYGGQISDPTKGLFGAGAHTDFGLVTLLATDDVAGLQICKDRDAKPQKWEDVSPLKGAFIVNLGDMLERWSNGVFKSTLHRVLGNGQERYSIAYFLEPSHDCLVECLPTCKSETNPPKFPPILCRDYLSQRYNETHADLSIYKKQQS >CAK8537762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428892018:428895152:1 gene:gene-LATHSAT_LOCUS7018 transcript:rna-LATHSAT_LOCUS7018 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESVPKPRFVLCPKCWQLLQEAPDLDVYKCGGCGATLQAKKIKSKAANAESSSNEIDAAPRNVSDLSPQENVLREKETSSSADCSSERSEGREQIKNSECNGEQPVTTRVNGLRETTYFSSSGECSLEGNTKRDQIENGEYSEDQPVISQENGLREKEASSSSGECSLDGNNEKGEIENGECDEERIGPLNLPDEEPENAMDINELSDIRTHTASNKGCSNELPQCDIEASAESLADNSVEKENETNLKLEEEEQSNENMPSEGAGNRFISALDREYANDEISDLVGVKSEADIVESDLEVAGEFNNGNLSQEGADQKLIFGSDEQCVNNEKLSLVGESRATDVDGIDSGNLLLQRTEVDPGGNASPAERLSAEYIESEKISVLCVSPRELKGDTSENHASSPENIRHSFDRVMSADTFDDTEVNNIGLKISGSLGDLTKSPTTRSSHAYDGSVSSNDGLDERSLGQNLYSYEGGSRKGKSVVNNMLYEDVQTQYQNEVMETTRHDHAHRMRTKKDEFPFKMPLHGNFSQSGYESGSPSNQIYDELYVSSSYVSPDSVEDPDQEKMKLLRMVYKLQDQLNRTRHVNMETNEKPSVLNHSSSYQSQDFHDGRFYHGLDYPNEDANASYSHGIYMHQRRHNFSGIAPGNARHVDHPSFNYYPQEQQCMGNFPPQFPYQREDLYRPHSAHSHVLSQHSYPSSPQWLMTKHVHGRETKSCDQKYRSPEMNYTRENSNVNKRHYRPVAGGAPFLTCLKCLNLLQLPADFLLFKRVCHKLKCGACQEVLKFSLQNRIHIVSYAPTSSELDVQNMVINGISSHAVDPVSYSDDYGHSASKSYSSEGDPVSVAPFHHSRDGAHGNPRVSPTTVEAITAKEKIASRGPGTSKTPSDKSSEIEEHQSQPKPSALHQLMGYSSPSLVIRGASSTLEGKKAMLSGEY >CAK8537318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:228360451:228360883:1 gene:gene-LATHSAT_LOCUS6615 transcript:rna-LATHSAT_LOCUS6615 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTIVRSFSSGFSFTHSLPPRSSFYTTFSKKKDVQDNTNESQPFSSLRISNSNLVRAAIGVFGLGFIDAGYSGDWSRIGVITQQNEEFLRLAAFLVVPICVLFVFRVPKEPNY >CAK8540554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10635756:10643316:-1 gene:gene-LATHSAT_LOCUS9550 transcript:rna-LATHSAT_LOCUS9550 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSDRYIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDKPDIEMLKMVARTTVRTKLYEALADQLTNIIVDAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINSGFFYSNAEQREAMVIAERRQVDEKVKKIIELKRKVCSDNDSSFVVINQKGIDPPSLDMLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPESLGWAGLVYEHVLGEDKYTFVENVKNPFSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDESVVLGAGAFEVAARQHLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALTGEHDRGNIVGLNQNTGEPIDPQIEGIYDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >CAK8566107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380375512:380380847:-1 gene:gene-LATHSAT_LOCUS19270 transcript:rna-LATHSAT_LOCUS19270 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDIGIVNGSCVHEWKKGWPLRSGGFTQLCIKCGFVEEWKCDIIESLSAYENSVFCNKFHRHQTGWRECNFCNKPIHCGCIVSRSLFEYLDFGGIGCVSCVNTSQHNMMRNTENPNWPVSMTQNNARDMHSAHFDGRVFVSNVDEGKLMQLCRVVEASETIHVNHAPPRDSAITCNGKNNQEVKPSFKEGGDTGFSNMIKPSAQSLTFATFESNRPTWEIKNTHESNVKPSLNMCLGNPSGSNSFTPSVGENIEGRLEGKVSPPFHQGPRTRPILPKLLKTGLTMKVETEKGMVNQPRIARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPHISHSEGLPLRVQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMDLRAGDTVTFSRIDPGGKLVMGFRKSSNSLDTLDVSNGILAKGATFSGGTENLPMGSNCADLLHSTKGNGELHLNGHPRPPHLGTGAGGLLKPENGEMTNNNSLDQKISALEKKRTRNIGLKSKRLLIGNEDAMELRLTWEEAQDLLRPPPRVKPSIVTIEDQVFEEYDEPPVFGKKTIFSASSSGAKEQWAQCDDCSKWRKLPVDALLPPKWTCSENVWDTTRSSCSALEELSSRELENLLKSSKDFKKRRIVENSKSIQEHEPSGLDALASLAVLGENFIDPTDSSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCTTVKRRFKTLMLRKKKRQSDREADADAKKDHIHKPDTEGASRDNVNPLEKQEGINRGQAEVGESSAGQIDLNCDPNRDDSQVDNVAKLSRRLWQDMNMNQNGGVKTINTEVKEDQHSSLVVTKSNGEDKRYLSDERCIASIVRNKEKRDEVYSHSNESQNNLS >CAK8574875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12929173:12929370:1 gene:gene-LATHSAT_LOCUS27174 transcript:rna-LATHSAT_LOCUS27174 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFGEKVKVTAKKAKVKATKAKTSIVKHSVKAAGACKKGWAKLVKKIKDYREKNKRIEYLGDRH >CAK8537109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:98921829:98922293:-1 gene:gene-LATHSAT_LOCUS6423 transcript:rna-LATHSAT_LOCUS6423 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKKNTFLTKVSESDIEGLEFYAIRLPKEIRKPIIRKYEAIFDLVIVHVQKEGLTALAQFYDPELRCFHFHNFQIAPTLEEFHKILGPPKNAKGPFKAIGYCPLIGEIPDYFHIHVDDIQANLRVQEGFKGFSKGFFWKIKLLILFPTRIGR >CAK8565918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353645889:353646335:1 gene:gene-LATHSAT_LOCUS19093 transcript:rna-LATHSAT_LOCUS19093 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTVVEKVPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8573634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626392652:626396570:1 gene:gene-LATHSAT_LOCUS26063 transcript:rna-LATHSAT_LOCUS26063 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSEIQQVPRVKLGNQGLEVSSLGFGCGGLSGIYNAPLSHELGCSIIKQVFNRGVTFFDTSDLYGHKHDNEIMVGKALKELPRERVQLATKFGVTISDGMVFGVKGTPEYVRECCEASLKRLDVSYIDLYYQHRVDTSVPIEDTMEELKQLVNEGKIRYIGLSEANADTIKRAHAVHPITALQMEYSLWSRDIEEEIIPLCRELGIGIVAYSPLGRGFFAGKSVVETLPSQSLLNMHPRFTGENLEKNKCFYERINDLASKHACTPSQLALAWLLHQGNDIVPIPGTTKLKNFENNIGSLNVKLTEEQLREISDAVPVEDIAGNRDYGSLSQYMWKFTTTPPLK >CAK8539892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530478502:530485788:1 gene:gene-LATHSAT_LOCUS8949 transcript:rna-LATHSAT_LOCUS8949 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMVTVAWSLVSWTMKMAGFVEPCMVEIEAGTVMRFWVPSQPFSKPTKPAVVLLHTFCADGLANWMFQIINLAKNYHVYVADLIFFGGSTTDKLDRSPSFQAECLAKGLKKLGVEKCAVVGVSYGGMVAFKMAELYSELVQTVVISGAVLAIEESMVSRAVEDVGFSSCSELLLPSSNEGLRTLLSIGVYRNIQFPNCMLSDFLQVMFSNRKKRSELLEALVISYKDIHVPKFSQRIHLLWGEKDKLFKPEIAHKIKERLGNNTTVEIIKKAGHLVNLERPCVYNKCLNKFLSSVMIDEKK >CAK8541614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:300920085:300920345:1 gene:gene-LATHSAT_LOCUS10521 transcript:rna-LATHSAT_LOCUS10521-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNLAKIPTFSRECLRQHIVIVTVAFPATQLLLVRACICISASHTREDLTHALKVISNVGNLVGIKYFPVEPLKHQQGDKTVKFD >CAK8541613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:300920031:300920345:1 gene:gene-LATHSAT_LOCUS10521 transcript:rna-LATHSAT_LOCUS10521 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFEVPRSLPKFERIAIMLYNLAKIPTFSRECLRQHIVIVTVAFPATQLLLVRACICISASHTREDLTHALKVISNVGNLVGIKYFPVEPLKHQQGDKTVKFD >CAK8561554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:290501625:290502548:1 gene:gene-LATHSAT_LOCUS15134 transcript:rna-LATHSAT_LOCUS15134 gene_biotype:protein_coding transcript_biotype:protein_coding MCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHFPVGANDADLEERIIQHLATAAAMGRARHIARREGQRNRSSAQGRPQYLVFSAHPNSPPMAPASSSPSQRGDGEPTHATGEDTPQLTLMPPVQTDQVSASGSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRHKESISKSTRGWKERWFSRNSPMSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIQGSNDQHLTDSERDSLLRDNDIKTSCTAGSSSN >CAK8571997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500895885:500896400:1 gene:gene-LATHSAT_LOCUS24606 transcript:rna-LATHSAT_LOCUS24606 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVAKANTHNVMQFDRKSFCFMVQEKINQNDGRPTGTFSIDLRNRWFDCGKFQASHLPCSHVIAAYSSIRQDYTIHIPEVFTVLNIFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDDAEKEKRRCGICREICHMRRKCPNVAGPSNRSHR >CAK8560442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19479580:19480212:1 gene:gene-LATHSAT_LOCUS14111 transcript:rna-LATHSAT_LOCUS14111 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIHIILLIFYLLSYTSHATYTNDFCVADFMFPKNPSGYPCKSETNVTSDDFSSSSLVASDTNNIFHTGLTSAFVKDIPGLNGLGISAARLDFEINGTIPMHIHPDASELIIVAQGQIIAGFITPTKLYEKNLKVGDVFVFPTGLLHFQVNTGSTKAIVYAVYGSSNPSIQLLIDLLFRNSLPTQIIQKTTLLDPAQIKKLKAQLGGSG >CAK8530867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:57003968:57005014:-1 gene:gene-LATHSAT_LOCUS700 transcript:rna-LATHSAT_LOCUS700 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRAFSSPQLTANNNVQQELGMEGLATNVRLLLKLIQDHNGATTKENDERKFHRVNGMMFLLDEVRTRVQKVQHSTKKRAELRRCNTDLRPNIPKDKKAPDVPVDDKERLKKELTASLVARQSLQAMCSSLGKEKQIMASELARKAQELTELEELIGDLKAQNDMLMGKLHACSAGGVEMEGNIVLQERNKALSEQLLKSIDGYRSMKRKVKDMQGEKGEMLATIEQMGLEVQSGIDRIHDFKEKMVNTTLETSDVKEEVSVLEHMLESLSMKISKLTEKKT >CAK8535871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887763502:887764760:1 gene:gene-LATHSAT_LOCUS5290 transcript:rna-LATHSAT_LOCUS5290 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLFGMKKEKEQSDKSEPLKERRNKSGPLFVDKKEKKQSCKDHQSRRTYVGEKTNNYNKKAVFSRSLSHGSSRGSWFFDSREWLAALMIQSFFRGYLARKAHRALRGLVKIQALVRGFLVRKRVVATLHSIQALMRAQAVVRARRARRSFDKENVHPSHIRGRKHVPMYDEARNVQHDEWLHNSASSRFDPNRETVAIDPHRTSSRSSISEYRDDMHHHYYGTTSSSLPTYLSHRNSVHECKHSQDFDWCFDNVNDEHKFVTAHCTPRLSNSSQANTPLAKSVSEETGLFLPYSNCPNYMANTHSSKARVVRSHSAPKQRSEVKRRVPLEEIMATRNSTSSVRMHW >CAK8540265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547745573:547746332:-1 gene:gene-LATHSAT_LOCUS9287 transcript:rna-LATHSAT_LOCUS9287 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTPSTLLNPQFLHKNIRHNNIWIFTNKTQFSIHTTQFRFSHIVPIHATLKGSKGFGASPKKKTKTVKNLKKKKEEDNREEEEEEEEERDGVIPEIVTNRMIGRMGFSVGIPLSIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFIFFGTALLGVSYGIVSASWDPLREGSLLGWTEAQKNWPVFWQSLRGDSRKD >CAK8535402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845977754:845979046:-1 gene:gene-LATHSAT_LOCUS4867 transcript:rna-LATHSAT_LOCUS4867 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAEESLFDESSNTKVKKRKANKKKSSEPSNKRKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLTIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8540743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18303152:18304579:1 gene:gene-LATHSAT_LOCUS9717 transcript:rna-LATHSAT_LOCUS9717 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKNNIKIHQHIKVVPPSSSTQTTTTTVPLTFFDIVWLTFHPNERIYFYTLHDPHSHPSFFFQNIVPNLKSSLSLTLQHFLPLAGKIVWPTDSSKTFIQFNSNEDDGVSFLVAESDSDFYHVIGNSPHEASLSRSFIPHLESSDSFASIISLQITLFPYCGFSIGITTHHAVFDGKSLSMFVKAWAYLCNKTIETLEESPTLLPELEPLFNRHIIKDTNENKSIEFLSKTFPNEKPNQRSLKIFASEPKLEVSLRATFKLTREDLNKIKQKVLSKWKILDTNESKPETLSSFILTCAYSVVSIARAIHGVEKEKEKFSFSFPIDCRARLEPQIPNNYFGNCISGDFFDIKPFDFKNKDGVFVVAKCIYEKIKMIKENGGFEEIFFDVLDRHTCLINEGSEAFGVAGSNRYGVYENDFGYGRPEKVEIVSIDRGLSIGFGDSKDGNGGVEIGLVLNKHVMDLFSTLFLEGLCGN >CAK8538415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482068211:482068711:1 gene:gene-LATHSAT_LOCUS7619 transcript:rna-LATHSAT_LOCUS7619 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKAFIILGLLAMVLLISAVVSVRDFTKTFTNTKEKADEKSNEANGAKYYVGGFGGYGSYGCYNGSYCGGYGGYNDGYGGYHGGYDGGGSYGYGGRGGYN >CAK8561364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168839827:168840188:-1 gene:gene-LATHSAT_LOCUS14964 transcript:rna-LATHSAT_LOCUS14964-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMRRGGAWISTSCYLEWETTLLNLLIFVVLPGAMSLSLGLEEVTGGGSDASVTTLLRVWLQFVQ >CAK8561363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168839655:168839876:-1 gene:gene-LATHSAT_LOCUS14964 transcript:rna-LATHSAT_LOCUS14964 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLCSEFGCNLCNNDPMISTCCPNAKFPPIVLFCRFVK >CAK8531416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108131157:108135786:1 gene:gene-LATHSAT_LOCUS1209 transcript:rna-LATHSAT_LOCUS1209 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALIASNTNSWNRCFIHPTTNKPFSFSLSNTNFRTRCSISMANTIKTHHLSNLTHTELLSLKSRPRIDFTSIFNVVNPIVDDVRNKGDAAVKQYTSRFDKVDLDKIVELVSDLPDPVLEPSIKEAFDVAYSNIYAFHAAQKSPEKSVENMKGVQCKRVARSINSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLANPPTQDGSTCKEVLYCAKKAGVTHILKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHAIPSHVAADLLSQAEHGPDSQVVLVIAGDGVDQSAIQEELSKQCQSLPRGEFAAKALSHSFIVHARDMLEAITFSNMYAPEHLIINVKDAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKYITVQSLTEEGLRKLGPYVETMAEVEGLEAHKRAVTLRLQDIEARQVSR >CAK8537415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:346381286:346381887:1 gene:gene-LATHSAT_LOCUS6708 transcript:rna-LATHSAT_LOCUS6708 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLDINFGRFYFHSLNLFREVIYHHDATFNHRLDNVVEDYVLKLKEVGKTRFIGITGLPLEIFTYVLDRVPPGTLDVILSYCHHSINDSTLEGIVPYLKSKGVGIISASPLAMGLLTEAGPPEWYPASPELKSACKAAATYCKGKEKKHFKVSSAVQLVK >CAK8569401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699084611:699085123:1 gene:gene-LATHSAT_LOCUS22257 transcript:rna-LATHSAT_LOCUS22257 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERWRRETNTFHFDCTQITLAPSPQLPPFLSLTLSSNPFLDYCRYFVAKRSSCGGLIFVDKDKTACGGGSVYLLMFIAKLFL >CAK8531466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112301418:112303604:1 gene:gene-LATHSAT_LOCUS1256 transcript:rna-LATHSAT_LOCUS1256 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEGDIGGGSNKSGGGDKLMTKVLGLGLWVRGFRCFPWLAMSYFLKDGLNMNPSTLQILLSSTNLPMVGKPIYGLVSDAVYISGQHRVPYIALGAFLQALSWLAIAISPSSISVFAISIYLLLGNLGASIADVANDAIVAEMDKQPPPSTTEHSQQPSSSGNLQSFVWIASSLGGVLGNLLGGIFIGRLSPQSMFMIFGFFVALQFFVTISVHESSVGLQKNPSAGIKKQLSELYSTLRDPKIACLISWFALSYAVIPLLNGTMFFYQTQYLKIDSSVLGISKVFGQVASLLWGIIYYRYLKSISPRKLISAIQATIVLLMLSDFLFVSDFYRQMGVPDTVYVVIFSGFLEVLLVFKFLPFNVLIGQLSPEGCEGSIMAFSMSAVALAIIVSGYLGVALASYLKITESDFSGFPFGLWVQAACTWLPIFWSSCIPEYVKTKDKRKE >CAK8542649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535084611:535084892:-1 gene:gene-LATHSAT_LOCUS11471 transcript:rna-LATHSAT_LOCUS11471 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKVVCAMMIVAVLMVEMVSIAEGDCNPIQLSPCLPAVRSNSAPSATCCSRLNDQKSCLCGYLKNPLSKPYVNSPGSRRVAEACGVGVPNC >CAK8533710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:652122688:652124129:1 gene:gene-LATHSAT_LOCUS3313 transcript:rna-LATHSAT_LOCUS3313 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFATIKFMTISIIFLLQQNLVCSECSCENEVQDSNHKVSEALKYKIIAMATVLVSSLIGVCIPIFAKTISYLNPENDFYFLIKAFAAGVILATGFIHILPDAFEALSSPCIGEKPWKMFPFSSFVTMVTAIGTLIMEALIMGYKKRSEMKKSQPLNEDDETHEANNTSHVHHLALASDKLDSTNRLRYIIVSQILELGIVLHSIILGISLGVSRSSKTIKPLVAVLTIHQFFEGIGLGGCISQAQFKYSKVAIMILFFCLIFPIGIGIGIGISDIYNENSPKALIVEGFLLSASAGVLINMALVDLVAADFLNSKMLKNLRLQLGACLALFIGLICMSILAVMESS >CAK8577519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570795132:570797615:1 gene:gene-LATHSAT_LOCUS29620 transcript:rna-LATHSAT_LOCUS29620 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHSSFTKDVLLVKGLKNTTFVWRLVVLAFAMVCGVYICSICLKQISTGTKIGFLDINVIQKPCPEPNIEPWEIPYVHYPNPKTYSREECSCHPVRYFTILSMQRSGSGWFETFLNSHPNISSNGEIFSVKVRRSNMTTITETLDTIYNLDWFSSASKNECTTAVGLKWMLNQGLVQHHEQIAEYFRIHGVSVIFLFRRNLLRRMISVLANEYDKNAKILNGTHKSHVHSPKEAEILAKYKPTLNSTLLIASLKQVNDTTTKALEYFKSTRHIMLYYEDVVKNRTKLADVLEFLKVPQMNLKSRQVKIHKGSLSSQVENWDDVSKALTGTQYERFLHEDYRR >CAK8532193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218337441:218337935:1 gene:gene-LATHSAT_LOCUS1924 transcript:rna-LATHSAT_LOCUS1924 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSFPISWLNRFNLKPFVVYSRGDISPNLWCLCDKSIDPDIVDIGDLFISFTFKSNEQTIGITTVYASTCYIARRNLWTGLHRVLNHTSLPWCFIGDFNTINGAHEYNGYYSPARGPMEEFNDSTNFNCLVHIQTSDAKFTWSNGRYGARHTHKRLDRALCN >CAK8533887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667655059:667658097:1 gene:gene-LATHSAT_LOCUS3475 transcript:rna-LATHSAT_LOCUS3475 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRVFGKPKQEISNNALATLDKLNETLEMLEKKENVLLKKAGAEVEKAKEFTKGKNKKAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELESAELEEQLLQPATTAPAATSYVPAGRQPTRPVPAKPTPEEDELAALQAEMAL >CAK8533438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617561535:617563138:1 gene:gene-LATHSAT_LOCUS3061 transcript:rna-LATHSAT_LOCUS3061 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSNTKKPHALLIPYPAQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGSNAFDGFTDFCFETIPDGLTPMEGDGNVSQHVPSLSQSIRKNFLKPYCELLSRLNESANVGHIPHVTCLVSDCLMSFTIQAAKEFALPNVLFFPSSACSLLNVLHFRSFVEKGFTPLKDESYLTNGYLETKVDWIPGLKNFRLKDSIDFIRTTNPNDIMLEFSIDMADKVHRDSTIILNTFEELETDVINVLFSMFPSLYLIGPLNSLINQTPSNHQLASLDSNLWKEDTKCLEWLEPKESGSVVYVNFGSMTVMTLEQLLEFAWGLANSKKPFLWIIRPDIVIGGSVVLSSEFLNEISDRGLIASWCPQEKVLNHHSIGGFLSHCGWNSTNESICAGVPMLCWPFFADQPTNSRFICNEWEIGAEIDTNVKRDEVEKLVNELMVGEKGKKMRQNAMELKKKALENTSPGGCSYKNLDKVIKEVLLKQN >CAK8531785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151241746:151245098:-1 gene:gene-LATHSAT_LOCUS1551 transcript:rna-LATHSAT_LOCUS1551 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSIFFHSCIIFFFFCLFLSTPSRASFFSGIETGDLEKRGDLFPQILRDEAVARLVELGKVSDASGYLERTFLSPASLRAIDLIQTWMEDAGLRTWVDQMGNVHGRVEGANANTEALLVGSHMDTVVDAGKFDGSLGIVSAISALKVMHVNGKLQNLRRPVEVIAFCDEEGVRFQTTFLGSGAIAGILPNTTLAISDKRNVMIKDVLKENSIEATEEMFSQLKYDPKSVWGYVELHIEQGPVLEQVGFPLGVVKGIAGQTRLKVTVKGSQGHAGTVPMSMRQDPMVAAAEQIVLMESLCKHPEEYLSFDGHCSDSSIKSLSSSLVCTVGEISTWPSASNVIPGQVTYTVDIRAIDDLGREAVIYDLSNRIYQICDKRSVSCLIEHKHDAGAVICDPELTSQLKSAAYSALKRMEGDIQDEVPTLMSGAGHDAMAMSRLTKVGMLFVRCRGGISHSPQEDVLDDDVWAAGLATLSFLENL >CAK8536592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:762453:762926:-1 gene:gene-LATHSAT_LOCUS5947 transcript:rna-LATHSAT_LOCUS5947 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKADRLDPVYEKGVLEFVEYADQNVHDNNGIFYFPCANCGNINEGKKDEIFHHLCCDGICQNYTIWTWHDEVEKSETWRHKGDEDGYMDDPLEDMFYDIRESCFKKSHVYDIFYSDKDIPLYKGCTHFTRLSVVLKLFNLKAKNECSDKSFT >CAK8532325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247658462:247660003:-1 gene:gene-LATHSAT_LOCUS2045 transcript:rna-LATHSAT_LOCUS2045-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSIESYVAHVAFLPSAGLGHLNPCLRTAELFLRYGCKLTLITPKPTISLAESDLITHFCSSFPSSQLTQIDLNLIPLDPSINVNSVDPFWIQFETIRRSLQQLLPSILSTLSLSALFYDVSLISPLVSIMESFSFPSYIYFIAPARMFSFFAYLSVLSEKNKDEKHFSFNGDFVEIPGIAPIPRSSLPPLILQPNSLFEKILMEDSPKLTKLNGIFMNSFEELEGEALTALNDGRVVPGLPPVHAIGPLVPCEFEKVRCSANDCTNSILKWLDEQATGSVVYVCLGNKTQTKREQIKDMANGLISCGFKFIWVVKLKVVDKEEEENLEDVLGNDIMKKVNEKGIATNAWVNQMEILGHPSTGGFVNHGGWNSIVEAIWHGKPILSWAHDGDQKIASEALQMSGVGVWPQEWGWGKQDLVKEEEISKRIKEMMSDESLRIKARKMMEVARKAAGVGGSIEIVIKKQIEEWRMNAQDI >CAK8532324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247658462:247660006:-1 gene:gene-LATHSAT_LOCUS2045 transcript:rna-LATHSAT_LOCUS2045 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCSIESYVAHVAFLPSAGLGHLNPCLRTAELFLRYGCKLTLITPKPTISLAESDLITHFCSSFPSSQLTQIDLNLIPLDPSINVNSVDPFWIQFETIRRSLQQLLPSILSTLSLSALFYDVSLISPLVSIMESFSFPSYIYFIAPARMFSFFAYLSVLSEKNKDEKHFSFNGDFVEIPGIAPIPRSSLPPLILQPNSLFEKILMEDSPKLTKLNGIFMNSFEELEGEALTALNDGRVVPGLPPVHAIGPLVPCEFEKVRCSANDCTNSILKWLDEQATGSVVYVCLGNKTQTKREQIKDMANGLISCGFKFIWVVKLKVVDKEEEENLEDVLGNDIMKKVNEKGIATNAWVNQMEILGHPSTGGFVNHGGWNSIVEAIWHGKPILSWAHDGDQKIASEALQMSGVGVWPQEWGWGKQDLVKEEEISKRIKEMMSDESLRIKARKMMEVARKAAGVGGSIEIVIKKQIEEWRMNAQDI >CAK8560706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37174756:37178057:1 gene:gene-LATHSAT_LOCUS14361 transcript:rna-LATHSAT_LOCUS14361 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNSAVFTPLSVLSDRTKNPTKIPCKVSNFSTSTNRKHNLKEFLSKGLQKGLILAATSVVNVNVNHGEFAKALTYEEALGLSPSASNYGGDIDVNGFVDSVVGFATGNPAILAGGVAILAVPLVLSQILKKPKPWGVESAKNAYAKLGADGNAQLLDIRGLSEIRQIGGPNVGGLKKKSVSIVYKGDDKPGFLKKLSLKFKDPENTTLFILDKFDGNSELVAELVTLNGFKSAYAIKDGAEGPRGWVNSGLPWIEPKKALGFDFGSLTDTINDVIGESDGLVVTLGIAAATGLGALAFSEVETILQLVGSAAIVQFASKKLLFAEDRKKTLKQVDEFLNTKVAPQELVDEIKDIGKALLPTSTISDKALPAPTETAPELATASATEQKAEVTPDIVETVQKSEAPPPEIISETKVEAAAEPAPEINSVPKTETVAESIPAQPKPLSPYPYYPDLKPPTSPSPTQP >CAK8544129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:665865494:665865970:-1 gene:gene-LATHSAT_LOCUS12832 transcript:rna-LATHSAT_LOCUS12832 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTTTAVTPSPSLRRHNSITAVTPNKLHRSAKKPPLRTTSLDLELLLLKSPYTSYTSLRDMLPSPHAAVNSPTGSSAAINSGYEISIRNRLVKQAAWAYLQPMSSFPTNSSTPSFLSRLCHRLSSNSIISCFSSMVSGFTRIFHQILHGFGGQVRA >CAK8573133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:588047061:588047849:-1 gene:gene-LATHSAT_LOCUS25615 transcript:rna-LATHSAT_LOCUS25615 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHNNLTTDETTQNSRTTFTRAPPHQRNKSSVWNHFTPDPDLIGIARCNYCDSKLKSNSGTTSMAGHSKIYKSNPNSEANKRLKTTPSSTTNVTSPSTIVLGKFDQEKCRQAMVDMIVEIELPYMHADHKAFRRCMSVLQPRFIPISRSTVARDVLALWDFEREKLKTFLSQHCRSVCLTTYGWTSCQNMTYTCITTHFIDNNWNLHKKILSFVRVLSHSGEVIANTVAKCLDNWGLNNVLSVTVDNAASNDRGIENLKKG >CAK8561333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:154475794:154480299:-1 gene:gene-LATHSAT_LOCUS14934 transcript:rna-LATHSAT_LOCUS14934 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTPTKTPSTSTRSRRYSDSKSRFEAYNRLQAAAVAFGETLPIPEIVAVGGQSDGKSSLLEALLGFRFNIREVEMGTRRPLILQMLHDPSALEPRCRFQEEDSEEYGSPVLSATTIADIIKSRTEELLKKTKTAVSPKPIILKAEYAHCPNLTIIDTPGFVLKAKKGEPENTPEEIISMVKTLATPPHRILLFLQQSSVEWCSSLWLDSIREIDPSFRRTIIVVSKFDNRLKEFSDRWEVDRYLSASGYLGDHTHPFFVALPKDRGNISNEEFRRQISNVDLEVMHHLRDGVKGGFDEEKFKSYIGFGRLRDYLESELQKKYKEAAPSTLALLEQRCTEVTCELAGLDSKIEATSDISHLRKFAMLSAASISNHVGALIDGASDPSPEQWGKTTAEERSQSGIGFWPGVGTDVNPPNASLRLYGGAAFERVMHEFRCTAYSIECPPVSREKVANILLAHAGRGGGRGLTEAAAEIARAAARSWLAPLLDTACDRLAFVLGNLFDLALERNRKHDSAYGIKTGNMDGYVGFHAALRCAYTRFLRDLSKQCKQLVRHHLDSVTSPYSQVCFNDFQPCAGLNASSFNKFPQNSASSFFLELSDANLDVRRDQENIPPEKNALEETTPGKAAKISESLRESQMTIPETPSPDQPGGTRKELGNCNDIGPRKRASRMTGNSKNSDYIRQQNDSIIFGNGDRTGSAYSEICLSAAQHFARIREVLVERGVTSTLNSGFLTPCRDRLVVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLVKRQKILHTCLNEFKNVALSL >CAK8544374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680565554:680565790:-1 gene:gene-LATHSAT_LOCUS13060 transcript:rna-LATHSAT_LOCUS13060 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGVGRPKTQAEARQKGVEREIITQAIVEHVQEVEITKVDEKVQPNMPREVIQLSLMRNEESTPVEMLSELKKPPA >CAK8563203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582543066:582544310:1 gene:gene-LATHSAT_LOCUS16637 transcript:rna-LATHSAT_LOCUS16637 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHLTAVVAGKNEKVNLRKELHIHDDIAFSILSKLCLKSLKRFECVCKSWSLLFDNPNFMTMYRKVFLTKEDPYYDDASLLIYGKFTHSEVSYLDKPFELCSVSGDRFENRVKLRWPCTSNFNFYVRVNYDIIGSGSVNGILCLRRAFACYYFAKLFMVWNPSTDECKVIPLSFLDDISSVSYSGFGYDSRRDDYKLMCLREEFKQVQGTYSNKHYTWEIYCLRKKCWRELDLTLHHISKNCCEQLYVDGLSHWMCESVTQNETYMLSFDWSNECFITTHIDDNFDFHLVPRHLVLLNGSIALILNLPKTTTFHILVLGELGVKESWTKMFIVDAIPFPVYPIGAYPIGAGRNGDMVFRKKDDGRLILFNLTTQTVEELDIKAKGLCKILIHRKNLISFKRKGIPYIFSASDW >CAK8535264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831507267:831510148:-1 gene:gene-LATHSAT_LOCUS4737 transcript:rna-LATHSAT_LOCUS4737 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRRFNTKPLYVSDPDEPEPEPETEPASPSCEAAKIEEPLPKKRREMKKRVVTIPIADVEVSKNKGETYPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTKLLVTYAHDHNHSLPLPKSHSSSSTAVTVTVESPSPSPGDSSGEFNIASPAATPQPENLTVFSTHPEFDLSGDSTVLLSHHQHHHAVFGWFDDVASTGVFVSPICGGMEDITLTMREEDESLFADLGELPECSTVFRKRNIPSAIQCSGITG >CAK8542368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:505599189:505599533:1 gene:gene-LATHSAT_LOCUS11212 transcript:rna-LATHSAT_LOCUS11212 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNKKAMMKLALMVFLLGFTANVVNARFDSTSFITQVLSNGDDVKSACCDTCLCTKSNPPTCRCVDVGETCHSACNKCICTDSIPPRCQCFDTHKFCYKACHNSEKEVLINN >CAK8530569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31748230:31753971:-1 gene:gene-LATHSAT_LOCUS426 transcript:rna-LATHSAT_LOCUS426 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSQIFDSARNFAVMIRIRGPDPKGMKMRKHAFHHYRSGETTLSASGLLVPDSLCDTQVAKRLYGDKFEDRVLVVTVASVVEPFLSPQHRENIPQGRPDLISGVRIDIMTEKTNEESDQGTPSWLEGQLLSLVDIPASALCVQSLVEASLGLSEHEWEVGWSLASLNNESHPSKDNFQTQGRISEGGSGSASVMCKSLTRMAILSVSLSLKDSLNYQKPSMNKRGDFLLAVGSPFGVLSSTHFFNSLSVGCIANCYPPNSSDGSLLMADIRCLPGMEGSPVFSEHACLTGVLIRPLRQKTSGTEVQLVIPWETIVKASSGLLRTCPQNTKEGLHYQEGRKITFIDYEKSETRVPSSNKHEHLNFGSSSPLPIEKAMASVCLVTIGDGVWASGVLLNSQGLVLTNAHLLEPWRFGKTHVSSREYGTNLKFFSSILEGTTSLGNNVESMQVNQTLPSKMTNLYPFAADEQRRYKSNPTYDNHRNIRVRLDHIKPWVWCDAKVIYVCKGPWDVALLQLESVPANLLPIVTNFSRPSTGSKTYVIGHGLFGPKCGFFPSVSSGVVAKVVEAKTPQSYHSVQPEHMHTRGHFPVMLETTAAIHPGASGGAVINSDGHMIGLVTSNARHGGGSIIPHLNFSIPSAALAPIFKFAKDMLDSSLLRILDEPNEYISSIWALTRPSSPKLNPAPDQPQSRLDNKSKEEKGSQFAKFIAERKDIFNSPIHVGKSGVLSKDVIPSKL >CAK8537557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:395897980:395898201:1 gene:gene-LATHSAT_LOCUS6846 transcript:rna-LATHSAT_LOCUS6846 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRHENQRENGSLSHPSDAKTWKHFDETWHDFARDPQNVRLGLCSDGFAPFDKTSKLYSCWFVIITPYNLPP >CAK8530883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59073306:59074091:-1 gene:gene-LATHSAT_LOCUS714 transcript:rna-LATHSAT_LOCUS714 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPYLDEDFVKNNKLKNHSSSHNNKAKNLSFFASIFSLFIYISIFYIFNLSPSSLLNNNIFWFIISNTLILIIAIDYEAFSSSKQKQEHLHEEYVKHSHETRNHVSSIPTYDEVDKQCIINSKLEHVKKDTIVPERVLEIVLQNQPKESTSDDSTNYEKKYSTFHLHVDDDIGHKEHEEKAKFPTRSVFRRSKSYRHNRVKHVVIDERTKSVRRLDCMKIEPKVEEENEFSKMSNEDLNKRVEEFIQKFNKQIRLQASN >CAK8541769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391819200:391821955:-1 gene:gene-LATHSAT_LOCUS10664 transcript:rna-LATHSAT_LOCUS10664 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPTKQQQQHQHLRKQNQHLKHCTSFFCLRTSTNPNPFSILLFWLSKSLKTFCLKLHISHSSQGCHGMVINSTLMYAMEDLSLSENVGCCKKGNFSDLIEEKGCCESSSCSEILSSENIGNEEEHSQSSSTEEDSSSPPSCNSPHGSEDGKKKHSVEKEFLKQVSAIPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRSEKKSMWRREMEWLLSVSDHIVELTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEIQDSFVDTEFWYVDQGVIAPDAGNSPSSFRQALQRQEEKWWLPVPRVPSCGLHENSRKQLQHKRDCTSQILKAAMAINSITLAEMDIPESYLESLPKNARISLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIGNRAEASMYIWRKKPNSKPAGSSGRSSSRTSWEMVKDLMVDADKRELFADRAETLLLSLKQRFPGLPQTALDMSKIQYNKDVGKAILESYSRVLESLAFNMVSRIDDVLYVDDLTKNSDQFSSHSKAGVITRKSISLPYSAPVPTTPYKSAFGTPTRSPAHAISPSKVGKSPLLNNGSKTSQRGVGVKKSLTDFLSIDAKGSSSIEKQVSESKTVDDVPAFDSSDVK >CAK8543968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652822563:652827724:1 gene:gene-LATHSAT_LOCUS12687 transcript:rna-LATHSAT_LOCUS12687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSRKSRRRRRSDESASVSDSDDHRRTSRRSRRESDESSDDDDRSGRKKESSRKITEEEISQYMAKKAHRKATKVAKKLKTNTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVTQGVSIESFSVKAEKKRQVERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVKEMSELRDDIKMHLDLDRATPTHVEYWEALLVVCDWELAESRKKDAIDRARVRGEEPPAELLAEQRGLHSSVEPDVKDLLHGKTRTELEALQVHIESEMRTGTAKVVEYWEAILKHLHIYKAKACLKEIHARMLRKHLERLEQPLEDEDEPESAHDMIPDEAYIEDDAKDESFSPEPIRENQEAEDEAGSFSPQLLHDDENEEAIDPEEDRAILERKRMAVIEEQQRRIQEAMASKPAPSEDNLEMKAIKAMGAMEDGDAMFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLVDKIKAPNYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >CAK8543969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652822563:652827724:1 gene:gene-LATHSAT_LOCUS12687 transcript:rna-LATHSAT_LOCUS12687 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSRKSRRRRRSDESASVSDSDDHRRTSRRSRRESDESSDDDDRSGRKKESSRKITEEEISQYMAKKAHRKATKVAKKLKTNTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVTQGVSIESFSVKAEKKRQVERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVKEMSELRDDIKMHLDLDRATPTHVEYWEALLVVCDWELAESRKKDAIDRARVRGEEPPAELLAEQRGLHSSVEPDVKDLLHGKTRTELEALQVHIESEMRTGTAKVVEYWEAILKHLHIYKAKACLKEIHARMLRKHLERLEQPLEDEDEPESAHDMIPDEAYIEDDAKVRSADESFSPEPIRENQEAEDEAGSFSPQLLHDDENEEAIDPEEDRAILERKRMAVIEEQQRRIQEAMASKPAPSEDNLEMKAIKAMGAMEDGDAMFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLVDKIKAPNYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >CAK8563886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636900691:636901266:1 gene:gene-LATHSAT_LOCUS17248 transcript:rna-LATHSAT_LOCUS17248 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFHSSEACDCCGVTPTTPLFLHTISYRAINRRFCTNCVLKQQQGTFCPICFELFNDSFIPNLHLMCIRCPSIAHRSCVLPSSTPDFAFLCPPCADPNFFYFKIDRRGIDFHANKVLAAAAQISANTLTRAAVAIRLDAERRAMVAVGARKKAIEALELFADVASKEQEEASSEQEDDSGTENSDSESSD >CAK8576618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498271783:498273034:1 gene:gene-LATHSAT_LOCUS28792 transcript:rna-LATHSAT_LOCUS28792 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSSGLSAEAPEFHPPSLTFAPLTHQLSYPFFYYYYPAATRHHFHSSTFFSFRFHTNHHLTTATPTFPPSIPMQKEVAVEAASAEGNYSKQVLSDGQMEDRCSHTLRIPRTEWRRKGVDVSEKESSRKNHHSKHELHSRASTDRKNKESAFPVVPVRPDGDETTVMIKNIPSKYTRDMIVKFLENHCMVENAKDRENGEENTYSFDFVYLPIDFRTGLNKGYAFVNFTEASAAWRFVQTASNQKWELFLSHKIRDVVAARLQGKDKLEKHFVSVNFPCESEEVLPLCFSPPRDGVKKGNQRTLGRLLYKHK >CAK8563165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:579493142:579494491:-1 gene:gene-LATHSAT_LOCUS16600 transcript:rna-LATHSAT_LOCUS16600 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHQHQHHHHHQQQQRQNQNFPFQLLEKKEDQQEGASCSTSSPYPSLAISPTEPSTSNSNRSNQLVPAAAPTTSDPGSKKPPPKRTSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIGLSSSDNNSSNNNNTSMLLNFQSNNLTNMLQAKQELRDGNANTNSNAPSSSTTLDLSETSGEDQSMGGRKRRPSSEQDLSSIQHQMGSYLLQSSAGSIPASHTQMPANIWMVANSNNQIMSGGDPIWTFPSVNNSAAALYRGAVSTSGLHFMNFPQPMALLPGQQLGSSSVGGSGGGGGGSSGGGGGGGNINMNMNMNEGHLSMLAGLSPYRPVSDHQSHQQQHQQPSGSQSHHRGGSHDDRHDN >CAK8542640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534219186:534220055:-1 gene:gene-LATHSAT_LOCUS11463 transcript:rna-LATHSAT_LOCUS11463 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFHSSSFCFFSVSLLMIFVINIPTCLCEDDEYMNCNTAFSCEDNTKDLKYPFWGGNRESYCGGVADGINTELTCENKLSKITINSVKYRILEWENTTQKLTVARDDYASRNVCAVDTNIERSSFEYTQFQLNSNEFANLTLLYGCNVVPGTLPNPFYDIGCGQSKYVVFTVVYSASFPACTPTRKVVIPILGNLAAQLGSGIGILGDVLQDSLQKGFDLKWTGNYSECQSCVASGGACGNGDTQFRCFCDDGAHATSCDSPVLPTSSMSIISLSPLFFSFFESSMF >CAK8577289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552854151:552854553:1 gene:gene-LATHSAT_LOCUS29410 transcript:rna-LATHSAT_LOCUS29410 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHFDSSASASEPVGYPGRPYDTSLLVEYEHHVARHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSGLALLQRTSLTKIDTNLVSAFAER >CAK8561411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203921459:203921974:1 gene:gene-LATHSAT_LOCUS15009 transcript:rna-LATHSAT_LOCUS15009 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQWNPYYNYLQTPSESSQNFQMCLRPSLLIGDGSQNLQPFMFQSPPFFTGNNSQYTRLFMFQPPPTIDVVESPNVESESPIGSTTDSQVPGNSTQDGLKNITFTGEGERSTQKKQRIRFLEEEDKLLIQTCLNISKVSIVGVDQKADSFWGRIKGGYNNYRRPLIARE >CAK8560114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8064411:8067407:1 gene:gene-LATHSAT_LOCUS13825 transcript:rna-LATHSAT_LOCUS13825 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAASAFLQFHSLSSNPQLLFTKLPNFSISLPSSSKRTSNYKRVYAKAVSTDSQKPLTQNDQNTPSSSSSKLVLVLGASGGVGQLVLASLLQRNIKSRVILRDLEKAKALFGEQDEERLQVFKGDTRKHEDLDPSMFEGVTHVICCTGTTAFPSRRWDDENTPERVDWVGVKNLVSALPSSVKRVVLVSSIGVTKCKELPWSIMNLFGVLKYKKMGEDFLQSSGFPFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEASRIVVAEACIQALDLEVTENQIYEVNSVEGEGPGNDPQKWRELFEAARSS >CAK8563403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600458149:600459171:1 gene:gene-LATHSAT_LOCUS16820 transcript:rna-LATHSAT_LOCUS16820 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLSFAPICSLKSSNTPGALIGNSVTRKAFSMKDVCLNSKVRNFESLEVKATGDSTPVTKARSIVCPDCDGNGAILCTQCEGGGVNLIDHYNGRFKAGGLCWLCRGKKDILCGSCNGAGFIGGFMSTFDE >CAK8578815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656000508:656002824:-1 gene:gene-LATHSAT_LOCUS30799 transcript:rna-LATHSAT_LOCUS30799 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQNVVVSETKTGINAGNTSITVAQKPPAAPGGYISIPRRRILKNLEINGGQRINISIDSMRASSPTHVKSTPSLAEEYNSWILHHPSALDMFEQIIDAAKGKQIVMFLDYDGTLSPIVDDPDRAFMSDSMRKTVRKLARCFPTAIVTGRCIDKVYNFIRLAELYYAGSHGMDIKGPTIESKSNQNDKAEEVLFQPAREFVPMINEVYEQLVEKTKSTPGARVENHKFCASVHFRCVEEKRWIELAQQVKSVIKEYPKLRLTQGRKVFEIRPSIKWDKGKALEFLLESLGFANCNDVFPVYIGDDRTDEDAFKKLRDRGQGFGILVSKFPKETTASYSLQEPNEVMDFLQRLVEWKKLSTKSRSRV >CAK8540098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539772792:539793430:1 gene:gene-LATHSAT_LOCUS9135 transcript:rna-LATHSAT_LOCUS9135-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGRIDPKNFGDRVSHDRPPDLSEKLNAAKRKKKERERDPIDSVSSRRSKRRRLQEESVLTATDDGVYQPKTKETRAAYEAMLSVIQQQLGGQPLSIVSGAADEILAVLKNDTLKNLDKKKDIEKLLNPIPNNVFDQLVSIGKLITDFQEVGDAVNGSGGGDLDGGLDDDVGVAVEFEENEDDEDDESDFDVVQEEEEDDDDLAEANGSGGMQMGGGIDDEDMEEANEGMNLNVQDIDAYWLQRKISHAFDQEIDPQHCQKLAGEVLDILAEPDDREVENKLLFHLEFDKFSLIKFLLRNRLKIVWCTRLARAQDQEEREKIEEEMKGSDLLQPILEQLHATRASAKERQKNLEKSIREEARRLKDDSVGDGDKDRDRDRERSRRGVGDRDGESGWLKGQRQMLDLDNLTFAQGGLFMAKKKCDLPAGSYRHLSKGYEEIHVPSLKAKPLDPNEKLLKISAMPDWAQPAFKGMTQLNRVQSKVYETALFKPDNLLLCAPTGAGKTNVAVLTILQQIARHRNPDDGSIDHNAYKIVYVAPMKALVAEVVGNLSNRLQEYNVKVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVIESIVARTVRQIETTKDYIRLVGLSATLPNYEDVALFLRVDLNKGLFYFDNSYRPVPLSQQYVGITVKKPLQRFQLMNDICYEKVMGVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLKEDSASREILHTHTDLVKSSDLKDLLPYGFAIHHAGMTRTDRQLVEDLFADGHAQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIVTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWIGYTYLYVRMLRNPSLYGLAPDVLTRDITLEERRADLIHTAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSMTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFTGIPSDILTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLGVELTITPDFAWDDRIHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIEEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKLPDSVMRVVYVAPIEALAKERYRDWEKKFGGGLKLKVVELTGETATDLKLLEKGQVIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIAQHAKNGKPAIVFVPTRKHVRLTAVDLITYSGTDSGEKPFLLRSVEELEPFINKISDEMLKVTLREGVGYLHEGLNNLDHDIVSQLFEAGWIQVCVLSSSMCWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSEMVENTLSDLEASKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILSSASEYAHLPIRPGEEEVVRRLINHQRFSFENPKVTDPHVKANAVLQAHFSRQFVGGNLSLDQREVLLSANRLLQAMVDVISSNGWLSMALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGRSIETIFDLLEMEDDERRELLNMTDSQLLDIARFCNRFPNIDLSYEIVDNDNVRAGDDVTLQVTLERDLEGKTEVGPVDAPRYPKAKEEGWWLVVGDTKTNMLLAIKRVSLQRKLKAKLEFTAPADAGKKSYVLYFMCDSYMGCDQEYGFTLDVKEADGEDE >CAK8540101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539772792:539782256:1 gene:gene-LATHSAT_LOCUS9135 transcript:rna-LATHSAT_LOCUS9135-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGRIDPKNFGDRVSHDRPPDLSEKLNAAKRKKKERERDPIDSVSSRRSKRRRLQEESVLTATDDGVYQPKTKETRAAYEAMLSVIQQQLGGQPLSIVSGAADEILAVLKNDTLKNLDKKKDIEKLLNPIPNNVFDQLVSIGKLITDFQEVGDAVNGSGGGDLDGGLDDDVGVAVEFEENEDDEDDESDFDVVQEEEEDDDDLAEANGSGGMQMGGGIDDEDMEEANEGMNLNVQDIDAYWLQRKISHAFDQEIDPQHCQKLAGEVLDILAEPDDREVENKLLFHLEFDKFSLIKFLLRNRLKIVWCTRLARAQDQEEREKIEEEMKGSDLLQPILEQLHATRASAKERQKNLEKSIREEARRLKDDSVGDGDKDRDRDRERSRRGVGDRDGESGWLKGQRQMLDLDNLTFAQGGLFMAKKKCDLPAGSYRHLSKGYEEIHVPSLKAKPLDPNEKLLKISAMPDWAQPAFKGMTQLNRVQSKVYETALFKPDNLLLCAPTGAGKTNVAVLTILQQIARHRNPDDGSIDHNAYKIVYVAPMKALVAEVVGNLSNRLQEYNVKVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVIESIVARTVRQIETTKDYIRLVGLSATLPNYEDVALFLRVDLNKGLFYFDNSYRPVPLSQQYVGITVKKPLQRFQLMNDICYEKVMGVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLKEDSASREILHTHTDLVKSSDLKDLLPYGFAIHHAGMTRTDRQLVEDLFADGHAQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIVTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWIGYTYLYVRMLRNPSLYGLAPDVLTRDITLEERRADLIHTAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSMTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFTGIPSDILTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLGVELTITPDFAWDDRIHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIEEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKLPDSVMRVVYVAPIEALAKERYRDWEKKFGGGLKLKVVELTGETATDLKLLEKGQVIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIAQHAKNGKPAIVFVPTRKHVRLTAVDLITYSGTDSGEKPFLLRSVEELEPFINKISDEMLKVTLREGVGYLHEGLNNLDHDIVSQLFEAGWIQVCVLSSSMCWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSEMVENTLSDLEASKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILSSASEYAHLPIRPGEEEVVRRLINHQRFSFENPKVTDPHVKANAVLQAHFSRQFVGGNLSLDQREVLLSANRLLQAMVDVISSNGWLSMALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGRSIETIFDLLEMEDDERRELLNMTDSQLLDIARFCNRFPNIDLSYEIVDNDNVRAGDDVTLQVTLERDLEGKTEVGPVDAPRYPKAKEEGWWLVVGDTKTNMLLAIKRVSLQRKLKAKLEFTAPADAGKKSYVLYFMCDSYMGCDQEYGFTLDVKEADGEDE >CAK8540099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539772792:539793430:1 gene:gene-LATHSAT_LOCUS9135 transcript:rna-LATHSAT_LOCUS9135-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGRIDPKNFGDRVSHDRPPDLSEKLNAAKRKKKERERDPIDSVSSRRSKRRRLQEESVLTATDDGVYQPKTKETRAAYEAMLSVIQQQLGGQPLSIVSGAADEILAVLKNDTLKNLDKKKDIEKLLNPIPNNVFDQLVSIGKLITDFQEVGDAVNGSGGGDLDGGLDDDVGVAVEFEENEDDEDDESDFDVVQEEEEDDDDLAEANGSGGMQMGGGIDDEDMEEANEGMNLNVQDIDAYWLQRKISHAFDQEIDPQHCQKLAGEVLDILAEPDDREVENKLLFHLEFDKFSLIKFLLRNRLKIVWCTRLARAQDQEEREKIEEEMKGSDLLQPILEQLHATRASAKERQKNLEKSIREEARRLKDDSVGDGDKDRDRDRERSRRGVGDRDGESGWLKGQRQMLDLDNLTFAQGGLFMAKKKCDLPAGSYRHLSKGYEEIHVPSLKAKPLDPNEKLLKISAMPDWAQPAFKGMTQLNRVQSKVYETALFKPDNLLLCAPTGAGKTNVAVLTILQQIARHRNPDDGSIDHNAYKIVYVAPMKALVAEVVGNLSNRLQEYNVKVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVIESIVARTVRQIETTKDYIRLVGLSATLPNYEDVALFLRVDLNKGLFYFDNSYRPVPLSQQYVGITVKKPLQRFQLMNDICYEKVMGVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLKEDSASREILHTHTDLVKSSDLKDLLPYGFAIHHAGMTRTDRQLVEDLFADGHAQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIVTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWIGYTYLYVRMLRNPSLYGLAPDVLTRDITLEERRADLIHTAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSMTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFTGIPSDILTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLGVELTITPDFAWDDRIHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIEEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKLPDSVMRVVYVAPIEALAKERYRDWEKKFGGGLKLKVVELTGETATDLKLLEKGQVIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIAQHAKNGKPAIVFVPTRKHVRLTAVDLITYSGADSGEKPFLLRSVEELEPFINKISDEMLKVTLREGVGYLHEGLNSLDHDIVSQLFEAGWIQVCVLSRSICWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSEMVENTLSDLEASKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILSSASEYAHLPIRPGEEEVVRRLINHQRFSFENPKVTDPHVKANAVLQAHFSRQFVGGNLSLDQREVLLSANRLLQAMVDVISSNGWLSMALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGRSIETIFDLLEMEDDERRELLNMTDSQLLDIARFCNRFPNIDLSYEIVDNDNVRAGDDVTLQVTLERDLEGKTEVGPVDAPRYPKAKEEGWWLVVGDTKTNMLLAIKRVSLQRKLKAKLEFTAPADAGKKSYVLYFMCDSYMGCDQEYGFTLDVKEADGEDE >CAK8540100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539772792:539793430:1 gene:gene-LATHSAT_LOCUS9135 transcript:rna-LATHSAT_LOCUS9135 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGRIDPKNFGDRVSHDRPPDLSEKLNAAKRKKKERERDPIDSVSSRRSKRRRLQEESVLTATDDGVYQPKTKETRAAYEAMLSVIQQQLGGQPLSIVSGAADEILAVLKNDTLKNLDKKKDIEKLLNPIPNNVFDQLVSIGKLITDFQEVGDAVNGSGGGDLDGGLDDDVGVAVEFEENEDDEDDESDFDVVQEEEEDDDDLAEANGSGGMQMGGGIDDEDMEEANEGMNLNVQDIDAYWLQRKISHAFDQEIDPQHCQKLAGEVLDILAEPDDREVENKLLFHLEFDKFSLIKFLLRNRLKIVWCTRLARAQDQEEREKIEEEMKGSDLLQPILEQLHATRASAKERQKNLEKSIREEARRLKDDSVGDGDKDRDRDRERSRRGVGDRDGESGWLKGQRQMLDLDNLTFAQGGLFMAKKKCDLPAGSYRHLSKGYEEIHVPSLKAKPLDPNEKLLKISAMPDWAQPAFKGMTQLNRVQSKVYETALFKPDNLLLCAPTGAGKTNVAVLTILQQIARHRNPDDGSIDHNAYKIVYVAPMKALVAEVVGNLSNRLQEYNVKVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVIESIVARTVRQIETTKDYIRLVGLSATLPNYEDVALFLRVDLNKGLFYFDNSYRPVPLSQQYVGITVKKPLQRFQLMNDICYEKVMGVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLKEDSASREILHTHTDLVKSSDLKDLLPYGFAIHHAGMTRTDRQLVEDLFADGHAQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIVTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWIGYTYLYVRMLRNPSLYGLAPDVLTRDITLEERRADLIHTAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSMTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFTGIPSDILTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLGVELTITPDFAWDDRIHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIEEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKLPDSVMRVVYVAPIEALAKERYRDWEKKFGGGLKLKVVELTGETATDLKLLEKGQVIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIAQHAKNGKPAIVFVPTRKHVRLTAVDLITYSGTDSGEKPFLLRSVEELEPFINKISDEMLKVTLREGVGYLHEGLNNLDHDIVSQLFEAGWIQVCVLSSSMCWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSEMVENTLSDLEASKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILSSASEYAHLPIRPGEEEVVRRLINHQRFSFENPKVTDPHVKANAVLQAHFSRQFVGGNLSLDQREVLLSANRLLQAMVDVISSNGWLSMALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGRSIETIFDLLEMEDDERRELLNMTDSQLLDIARFCNRFPNIDLSYEIVDNDNVRAGDDVTLQVTLERDLEGKTEVGPVDAPRYPKAKEEGWWLVVGDTKTNMLLAIKRVSLQRKLKAKLEFTAPADAGKKSYVLYFMCDSYMGCDQEYGFTLDVKEADGEDE >CAK8564098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651583507:651584034:-1 gene:gene-LATHSAT_LOCUS17437 transcript:rna-LATHSAT_LOCUS17437 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCYSCNTSSILKNMIRVVHLDGFVEDFHQPISAYQVIGNQPKHFVCTSIQLLSSSYKPLKRDDSQLQSGQLYFMLPYSVLQADVSPVDLACLAKRLAAKAKNKPCDYNKSPKAIPLLLRSPCRVGMEEKVMMNGGRRSPCRMQSWKPILESIAEKSLNRRSESDLQDIIINAY >CAK8572645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553019317:553021509:1 gene:gene-LATHSAT_LOCUS25183 transcript:rna-LATHSAT_LOCUS25183 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPMISSLFRNTNVNAYLITFTRQKHSLFHHLKSPQNLLQTKTLHALLLFLGFFHSSSPRNSLPSHITNLYVNFGSFHYAFLSFTQLPHKSNLAWNSILRALIGSSHFTVAIQFYHSMIRHGVTPDNYTFPLVLKACSSLHALQIGRWVYHTILSNVEKPNLFVQCALIDMFVKCGSLEDARKVFDEMPVRDLASWTALICGTVRNGDWLEGLSLFRNMRSEGMNTDSVIVASVLPVCGRLMDGLKLGMALQGCSLRSGFDSDLYVSNAIIDMYCKCGYPLEGDRVFSYMVCRDTVSWSTLIAGYSQNGMYQESFELYVRMVNMGLRTNEVIVTSVLPALGKLKLLKQGKEMHNFVLKEGLLTDVVVGSALIDMYANCGSIKEAASIFEYMSDKDIMVWNSLIVGYNLVGDFQSAFFTLREIWVAEHRPNYITLVSVLPMCTQLGALRQGKEIHGYATKSGLGLNLSVGNSLIDMYSKCGFLELGVKVFNQMMVKNVITYNTMISACGAHGLGEKGLAFYEQMKKAGIKPNKVTFISLLSACSHAGLVERGWMLYNSMINDYGIKPDMEHYSCMVDLIGRTGDLDGAYKFITSMPVTPDANVLGSLLGACRLHNKVELAELLAKHIFQLNNKDSGHYVLLSNLYASGKRWEDMSKVRSLIKDKGLEKKPGSSWIQVGRRIFVFHATSIFHPELAKIEETLESLFLVMKSEDYMLDNLGFCSHVNDQNLT >CAK8575134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36780293:36780862:-1 gene:gene-LATHSAT_LOCUS27421 transcript:rna-LATHSAT_LOCUS27421 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKVSLLPLFLLATLVLMFSIRKIEADTCNAYCELEGLKCGGDCECVPIRIPYPCIPPHVASKIVDQHPHICESHEDCTRKGSGSFCGIYPVSDMKYGWCFDSKSDAEASFKNILSSKFSNLLKMPSAVST >CAK8562585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512493757:512494344:-1 gene:gene-LATHSAT_LOCUS16071 transcript:rna-LATHSAT_LOCUS16071 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASVLAESIKYVKELKERLEILEEHNKKTKADSVVVLNKPDICSDEDSSSCDENIEGADGGESESLVQVEARALEKEMLIRIHCKKKKGVLVKMMGEIQSLELFVMNTSVLPFGDSILDITIIAQVGEGYKLSIKELVKKLRMAAMKFMSS >CAK8543546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618567231:618567546:-1 gene:gene-LATHSAT_LOCUS12296 transcript:rna-LATHSAT_LOCUS12296 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDAYASESEPVGYPGGPYDTSLLVKYEQHVAYCIWFSEERGSKKELKVAGHGLKLTQRVPLQLPREMES >CAK8575787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:324860471:324861839:-1 gene:gene-LATHSAT_LOCUS28022 transcript:rna-LATHSAT_LOCUS28022 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMASSLLLPLYSTCGKIPSLTAAPQGTSVRRFVLKVKSSETRKSTSNANDNAMFIINSRREFLGLALGGVSTLFIHSFDAANGAGLPPEEKPKLCDSNCEKELENVPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGLLSMKVGGKRRLYIPGSLAFPKGLNSAPGRPRVAPNSPVIFDVSLEYVPGLEVEEE >CAK8572684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555401647:555403143:-1 gene:gene-LATHSAT_LOCUS25217 transcript:rna-LATHSAT_LOCUS25217 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRHCKSESPFTSILPSNATLRRLFSFNPNDDVRRVYTLLTTTSSPEDLKQSLISSGIFLSNELIDQVLKRVRFGHANPSQTLEFFRYTGRRKGFYHTAFSFDTMLYILGRSRMFDNVWDVLIETKRKDQTVITPRTVMIVLGRVAKVCSVRQTVESFRKFKKIVPDYGTNCFNALLRTLCQEKSMTDARNVYHSLKHSFRPNLQTFNILLSGWKTSEDAELFLTEMKEMGVTPDVVTYNSLVDVYCKGREIEKAYKVLDEMRERDLSPDVITYTSIIGGLGLIGQPDKGRDVLKEMKEYGVYPDVPAYNAAIRNFCIAKRLGNAYELVDEMTKKGLSPNATTYNLFFRVFYWSNDLESSWNLYKRMMVEGCHPNTQSCMFLIRLFKRHEKVEMALQLWGDMVEKGFGSCTLVSDVLFDMLCDMGKLLEAEKCFLEMIEKGQKPSNVSFKRIKVLMELANRHEAIQNLTEKMGVFGRPTQVRERVMKPLAEIGGLDN >CAK8561582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310157087:310157991:-1 gene:gene-LATHSAT_LOCUS15160 transcript:rna-LATHSAT_LOCUS15160 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFCKKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALKIYRANSNSKVPKVSKSKKMSWSKIRCSRQINSIDCGYFVMRFMKEVLMENEIMIPINYFPDHKCRTYSKDKLTEVKDDWATYMVDDIFGKQEAMILPS >CAK8538956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500285310:500285599:-1 gene:gene-LATHSAT_LOCUS8101 transcript:rna-LATHSAT_LOCUS8101 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHKVDKVDAHNYPEQLMAL >CAK8565717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:312439397:312444818:-1 gene:gene-LATHSAT_LOCUS18905 transcript:rna-LATHSAT_LOCUS18905 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLHVLISSILGFLLFMLIKHILILRSKAKKTNSKLPPGPRKLPLIGNIHQLGALPHQSLAKLAHQYGPLMHMQLGELSCIVISSQEMAKEVMKTHDLNFANRPPLLAAEIITYGYKGMTFSPHGSYWRQMRKICTMELLSQNRVDSFRLQREEELAKFVKDIILSEGSSINLSEKIDSLAYGLTSRTAFGAEIEGKEKYRKLMKDVSKMAGGFSVADLFPSIGILQVLTGLRKKIEKLHSEMDEILENVVRSHREKNLERRDEEETGEDLVDVLLKLQKHGDLEHPLTDTVIKATILDIFSAGSDTTFTTLEWAMSELIKNSNTMKKAQAEIRKVYNKKGYVDEKSLHKLEYLKSVIKETLRLHAPVSLLLPRQCSEKCEINGYEIPAKSKVIVNAWSVSRDSKYWNEPMKFSPERFIDSEVDYKGVDFQFIPFGAGRRMCPGITFGIANLEISLASLLFYFDWKIPNGAKADELDMNESFGLNVRRKHDLWLVPTTYHLSG >CAK8536417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939675458:939678750:-1 gene:gene-LATHSAT_LOCUS5787 transcript:rna-LATHSAT_LOCUS5787 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVNSKRSAVVDSKEGLKSSRKRNVDRNVSRLDRKKRVDGVLKKDELLDGVCARVSLNDKEEDGDKNDKNEKKKMEKTELDFAVVVDYPSFGGRVPKGLEGEQVAAGWPTWLSSVAGEAIDGWIPRSASTFEKYDKIGQGTYSTVFKARDLTNQKIVALKRVRFDNLDHESVNFMAREIVFLRRLDHPNIIKLEGLITSPTSRSMYLVFEYMEHDLTGLATAPGIKFSEPQVKCYMKQLLNGLDHCHSRGVLHRDIKGSNLLIDNKGVLKIADFGLANSFCPDQSAPLTSRVVTLWYRPPELLLGSSNYGVAVDMWSTGCILGELYYGRPILPGKTEVEQLHRIFKLCGSPSVDYWRKLRLPHSTVFRPPHHYRNCIADTFKEFPTAATKLIETLLSLDPVLRGTAATALNDEFFSLEPVACDPSALPKYPPSKEIHTKMREESTRRHKALGEKEQKLEPRGRQEKETQSFVLSKANADARISMKHGQRFPNMAGHDGPFSRHRESVSGLLDSPQKQSEDIKETVNYFSGPLYQKPLHSGLLVPGFGRHKVGKEASEQSLPLSNKVNLSKDSKPSPTLFSGNRKENPAPLRPRDTIQEPKSSGLSNGSESKRRHDKNRHSQKTDLSQTKNGKDGRTTCKNNLYMIGPLKFPSNNMHQMIKDRNRKTREYSK >CAK8538732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494506241:494508265:1 gene:gene-LATHSAT_LOCUS7902 transcript:rna-LATHSAT_LOCUS7902 gene_biotype:protein_coding transcript_biotype:protein_coding MFMANRVVPNPPAPHPDAFHVSGPRNFTTLNWRDLITSSWKDAKYKRTVISCFIQAVYLLELDRQEKRTQENALAPNWWIPFKYKLTKTLVDERDGSIFGAILEWDRSAALTDLVLVRPNGAPRAVLVLRGTLLKSPTMRRDIEDDLRFVAWESLRGSVRFKVALEALKSICDAYGSNNVCIAGHSLGAGFALQVGKQLAKQGIYVEAHLFNPPSVSLAMSLKNLGEKAGYAWSRVKSIIPYGKEAQVSNDVEKTTVVESKGWMSRLYGSGLKDPNVVVGMAKWIPHLYVNNSDYICCYYNDPSGTAEKVVDKENVGGQITAKLFVVSKEKQKFLEAHGLEQWWSSDAELQQVIHNSKLISRQLSSLYTYTPSQAVTRVVPQ >CAK8538733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494506247:494508265:1 gene:gene-LATHSAT_LOCUS7902 transcript:rna-LATHSAT_LOCUS7902-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVVPNPPAPHPDAFHVSGPRNFTTLNWRDLITSSWKDAKYKRTVISCFIQAVYLLELDRQEKRTQENALAPNWWIPFKYKLTKTLVDERDGSIFGAILEWDRSAALTDLVLVRPNGAPRAVLVLRGTLLKSPTMRRDIEDDLRFVAWESLRGSVRFKVALEALKSICDAYGSNNVCIAGHSLGAGFALQVGKQLAKQGIYVEAHLFNPPSVSLAMSLKNLGEKAGYAWSRVKSIIPYGKEAQVSNDVEKTTVVESKGWMSRLYGSGLKDPNVVVGMAKWIPHLYVNNSDYICCYYNDPSGTAEKVVDKENVGGQITAKLFVVSKEKQKFLEAHGLEQWWSSDAELQQVIHNSKLISRQLSSLYTYTPSQAVTRVVPQ >CAK8531972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185370566:185386238:1 gene:gene-LATHSAT_LOCUS1718 transcript:rna-LATHSAT_LOCUS1718 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDGPESTGTTATATVTTVQTDSDLKHSSLRRRPSATSSGGLFDAESAAQEAIRDSGSDDSLNGKNSEEVKDRKTNHAEIGNDDQNDVIDREKVADFKFTYRPSVPAHRRNKESPLSSGNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSKSFRDWPLFMCCLSLAIFPLAAFVVEKLAQQKSISEPVVVLLHVVITTVAIIYPVLVILWCDSAFLSGATLMLLTCIVWLKLVSYAHTTYDMRALTVSNEKVAKGETVPNTLNTEEYPHNVNFKSLAYFMVAPTLCYQPSYPRTPAIRKGWVFRQLLKLIIFTGVMGFIIEQYMNPIVQNSQHPLKGNLLYAIERVLKLSVPNVYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAQTVEEYWRMWNMPVHKWMVRHVYFPCIRFGIPKGASALIAFLVSAVFHELCIAVPCRMFKMWAFIGIMFQVPLVLITNYLQNKYRNSMVGNMIFWFIFCILGQPMCVLLYYHDLMNRKGEID >CAK8531971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185370566:185386238:1 gene:gene-LATHSAT_LOCUS1718 transcript:rna-LATHSAT_LOCUS1718-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDGPESTGTTATATVTTVQTDSDLKHSSLRRRPSATSSGGLFDAESAAQEAIRDSGSDDSLNGKNSEEVKDRKTNHAEIGNDDQNDVIDREKVADFKFTYRPSVPAHRRNKESPLSSGNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSKSFRDWPLFMCCLSLAIFPLAAFVVEKLAQQKSISEPVVVLLHVVITTVAIIYPVLVILWCDSAFLSGATLMLLTCIVWLKLVSYAHTTYDMRALTVSNEKGETVPNTLNTEEYPHNVNFKSLAYFMVAPTLCYQPSYPRTPAIRKGWVFRQLLKLIIFTGVMGFIIEQYMNPIVQNSQHPLKGNLLYAIERVLKLSVPNVYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAQTVEEYWRMWNMPVHKWMVRHVYFPCIRFGIPKGASALIAFLVSAVFHELCIAVPCRMFKMWAFIGIMFQVPLVLITNYLQNKYRNSMVGNMIFWFIFCILGQPMCVLLYYHDLMNRKGEID >CAK8572017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501769232:501769834:-1 gene:gene-LATHSAT_LOCUS24626 transcript:rna-LATHSAT_LOCUS24626 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPLSVIHVNKFLLFINRLHILFHSIALSFLFYYRLTFFFQNSKTIQTPFLPYFLVFSSEIILSFIWFLDQAFRWNPIKRTVFPERLPENNKLPNIDVFICTADPTKEPTLDVMNTVLSAMAMDYPREKLHVYVSDDGGSSITLNGMKEAWKFATWWLPFCTRYRISCRCPEAYFSDSENLIVRIFLRMMSLLQIRE >CAK8537655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:416260510:416265072:-1 gene:gene-LATHSAT_LOCUS6921 transcript:rna-LATHSAT_LOCUS6921 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKFLTVPPFECAWHEDLKFQEAGRGCVAFDAFACNDVTLVFRENVGSQGYHYKRDSSPHYTIILGSHRNRRLRIEVNGKTVVDEAGVGLCCSNSFQSYWISIYDGFISIGNGKYPFQDVVFQWSDSHPNCNVQYIGLSSWDKHVKYRNVNVLSLTHSLMPLSKRMLFGDYQIEEDGVAAAYKQLRMDYDKWGLNNFLESWDLSDMIFIVGPEERPVPAHKPILAASGNFNFCSSFVITMPTVSYPLLHALLHYIYTGWTQIPHEQLDFLRALSLHFQVMPLVKQCEEVLERFKVDNKLFDTGKNVELTYPCIGPHRSTLPSLPVSVQRLGQLKLSGQYSDVDIYIESYGFVARVHRIVLSLWSIPFAKMFTNGMSESMSSDVTLRDVPPEAFKAMLDFLYDGQLNEKVIDSGSLLLQLLLLADRFGVTFLHQECCKMLLEGLSQDSVCPLLHVVSSIPSCTLVKETLQRRISMNFDYFISASTDFVLLDDTTFFNIIKHPDLTVTSEEKVLNSILMFGMNAKELFGWEVVDQLIINSKPELLFGERLQLVYDMLSFVRFPLLQHSLLDKLQNSNIVRHIPVLQSFVHEAINFVEHGLGRPENETNARFQHRQSSYRELQYICDGDDHGVLYFAGTSYGEHQWVNPLLAEPKKITITASSPHSRYTDPKVLVSRTYQGTCFAGPRLENGHNCSWWMVDLGQDRQLMCNYYTMRQDGSKAFPRYWNIQGSADGKSWRDLRVHENDRTTCKPGQFVSWPIVAPNALLPFRYFRVVLTGPTTDTTNPWNFCICYLELYGYLL >CAK8568847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647041879:647043375:1 gene:gene-LATHSAT_LOCUS21762 transcript:rna-LATHSAT_LOCUS21762 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRKTLSPISRAGTITNLEVCSVSSPLSKSSSSPQNSLLSEGLLPSSITSLDSRAFLFGGFSPRTLRAIERSNSKHKGQLWRKVLFHSFICFMVGVSIGLIPLASTNLSSKFMANHQGFSFEIISEVDNVKINKTPLVDEDVKFDAAMISALQEQELTDGVSYNISESEFTDETYLESRKLLIVITPTYNCSFQAYYLHSLSQTLKLVQPPLLWIVVEVNSQSEETSDILRSSGIMYRHLVCKMNVTNTSHKSILMRNVAIAHVETHRLDGIVYFADDDNVYSVDLFQQMREIRRFGTWIVAKLSEGTSGGIVFQGPICNGSEVIGWHTNNDSDRKSKRFHAEISGFAFNSTILWERKKWHRPLLERIRQLESAKENLWISMLIEQVVEDESQMEGLMNDCSRVMVWRIDLESSHSFYPRKWIAENNLDVIWNLSLV >CAK8535073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811522261:811522611:1 gene:gene-LATHSAT_LOCUS4554 transcript:rna-LATHSAT_LOCUS4554 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYKKGVKSFLDFAYTTGRPQGHEISCPCAHCANCKWERRHVVRDHLIAVGFVKGYDVWVNHGEDIPSPMKIKEDTKEQENLLDDIGGLLYDTFRNVVEAEESS >CAK8573834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641413612:641414319:1 gene:gene-LATHSAT_LOCUS26237 transcript:rna-LATHSAT_LOCUS26237 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRSLEVWKLGVVNYLDALKLQEKLVLERKSHRICDTLLSLQHPPTYTVGKRQTVHNLLIPQSELEQIGAELHYTQRGGDITFHGPHQAILYPIISLRDMGLGARRFVEKIELTMIELAGIYGVKACPGQRCETGVWVGERKIGAVGVRISNGITSHGMAFNIDPDLKYFRHIVPCGIADKEVTSLRKETGFDLPTEEIIQEELISCFARIFGYDKVIWKEGASILSGKNETE >CAK8539913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530977116:530979663:-1 gene:gene-LATHSAT_LOCUS8968 transcript:rna-LATHSAT_LOCUS8968-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLKTLNPSLFTRQLTTKPAFSSYNYQRLPLRKIPKISSTLIRSSHSPIPGNLDSHKFFSSITSSQNLNFSTPNKILNNPFISHSQSGNIVWNPAFENGNEGFYGSNKNRVVTVVLLGWLGAKTRHLRRYAEWYNSRGVNAVTFVVDVKEILGFDLGHVLEERISMFADQLVSWVSAEEKDGRERCLVFHTFSNTGWFVYGSILGRFMDSHHVMEKVKGSIVDSGGAEPFNPQVWAAGFATAILKKRSSSAQALADVGSKPKPESKIQQNEPSIIEIVVFSLLEKIFSFLLQLPESNQRLNKVFTPLLEHQPCPQLYLYSTADKVIPFQSIEAFIEEQRKIGKNVRSFNFGSSPHVDHYRNFPDVYLSQVAEFLNECFDNTDKQTADAYVKFQPNIKD >CAK8539912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530977116:530979708:-1 gene:gene-LATHSAT_LOCUS8968 transcript:rna-LATHSAT_LOCUS8968 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKHTLSFVVSSSAMEAHLKTLNPSLFTRQLTTKPAFSSYNYQRLPLRKIPKISSTLIRSSHSPIPGNLDSHKFFSSITSSQNLNFSTPNKILNNPFISHSQSGNIVWNPAFENGNEGFYGSNKNRVVTVVLLGWLGAKTRHLRRYAEWYNSRGVNAVTFVVDVKEILGFDLGHVLEERISMFADQLVSWVSAEEKDGRERCLVFHTFSNTGWFVYGSILGRFMDSHHVMEKVKGSIVDSGGAEPFNPQVWAAGFATAILKKRSSSAQALADVGSKPKPESKIQQNEPSIIEIVVFSLLEKIFSFLLQLPESNQRLNKVFTPLLEHQPCPQLYLYSTADKVIPFQSIEAFIEEQRKIGKNVRSFNFGSSPHVDHYRNFPDVYLSQVAEFLNECFDNTDKQTADAYVKFQPNIKD >CAK8540824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23943956:23944832:1 gene:gene-LATHSAT_LOCUS9791 transcript:rna-LATHSAT_LOCUS9791 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKVREKFDKYWSEYSIVLAFGAILDPTKKLKKISFAYKQVDPLEAEEKLKIVKNDLSRLYKEYVKNGSHSSNTRHSEQVNSSYGGSNAKMPQSLYVCEEFEEYESQIVSVAGTSELDVYLSEQRLPSSIGFDILDFWKERSRRCPDLAKMACDVLSIPITTVASEYAFSIGARVLNKYRSSLKDDTVQALMCARSWLHGFVEYDIGSDEDKDKCEVIRQENLGFSDGTNQ >CAK8531174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86834845:86835522:1 gene:gene-LATHSAT_LOCUS982 transcript:rna-LATHSAT_LOCUS982 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEETEELKLLAEWKRKKGGFRATMFIFVLSALDNMGFVANMVSLVMYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYLNRFTTCLIFGSLEVLALAMVTFQAASGHLHPNACGKSSCVKGGIGVMFYASLSLYALGIGGVRGSMTAFGADQFEEKDPNEAKALASYFNWLLLSSTVGAISGVTGVVWVSTQKALALGVFHNNHSFFYWFCHICSW >CAK8537937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448853448:448854667:-1 gene:gene-LATHSAT_LOCUS7185 transcript:rna-LATHSAT_LOCUS7185 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCQETERLDDIAKTNLSSEGEASSQMGRKNFYGPTSGATLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKLLLEVPEGQRADAANSMVYEANLRLRDPVYGCMGAISSLQQQVQSLQSELHAVRTEILKYKYREAASNFISSQVSMPTNANSQQDLSQPLVLPTLAPPSPALPPPQKASASQSIILSSFSSSSGSSVYTPAKTTMSHGSISSENIPYFV >CAK8534559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742253360:742256371:1 gene:gene-LATHSAT_LOCUS4097 transcript:rna-LATHSAT_LOCUS4097 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKPDAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVLERLIAKASESEEECGIPLHDIPGGAKTFELVAKFCYGVKLELTASNVVSLWCAAEHLQMTEEYGEGNLISQAEGFFNQVVLRNWKDSMRALQTCGDVLSKAEELHIVKRCIESLAAKASTDPNLFGWPVLERGGPLQSPGGSVLWNGISTGARPKNTSLDWWYEDVANLSLPIYKRLIAVMESRGIRQEIIAGSHAFYAKTYLPGLNRRKVSGESSTRLIPAALGSPLSEEDQKILIEEIDQLLPMQKGLVQTKFLFGLLRTAMILRVSLSCISSLEKRIGMQLDQAALEDLLMPSFSYSMETLYNVDCVQRILDHFLAMDQVTGGASPCSVDDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNVKLPKFQTLAAAVPEYARPLDDGLYRAIDIYLKSHPWLVESEREELCRLMDCQKLSLEACTHAAQNERLPIRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRNLRSGLVGSNEGGGWASAVKENQVLKVGMDNMRMRVSELERECSNMRQEIEKLGGKAKGSSTSAWGVVSKKLGFKIKSQMCSAQEGSVSKQNNGNNKVEKLKDRHVKHNRNSSISDKGSVSSTVPS >CAK8578720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649801879:649802925:-1 gene:gene-LATHSAT_LOCUS30708 transcript:rna-LATHSAT_LOCUS30708 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGLFLCPDSSGNAIHPMYLVLLDDIDKIRTYSWGSATLAHLYRYLCNNAIANSGNFTGCGVLFQAWGWSRMTNLEPIQQNNFEFPYVTRWSSLGMNYDNCPHFSITQYRNIIDHLGQDDFIWRPYLGLEVIHEVDEHDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKFHIGKVSDQWEYTPWTKYVKHECREWKHRRHFVLSYTVFPYERKQFIQYMNWYRSVFIGFISHPRYLVDPRQQVSSSRPQQPTQPHFQPPTQPPLTQPQLHEHTPK >CAK8574330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675559896:675561849:-1 gene:gene-LATHSAT_LOCUS26685 transcript:rna-LATHSAT_LOCUS26685 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAKLNVLHWHIVDEQSFPLEAPSYPNLWEGSYSKWERYTVEDASEIVNFAKMRGINVMAEVDVPGHAKSWGIGYPDVWPSPSCSSPLDVSKKSTFDVLSGIMTDMRKIFPFELFHLGGDEVNTDCWTNTSRVNKWLQNHNMTAKDAYEYFVLKAQDMALSKNWTPVNWEETFNAFPTKLHPQTVIHNWWSSGVCPKVVTKGFRCIFSNQRVWYLDH >CAK8543996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654866431:654874685:-1 gene:gene-LATHSAT_LOCUS12710 transcript:rna-LATHSAT_LOCUS12710-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLIEEPIRMISILEPSKYSFFPAMTKIVGTLGPKSRSVDVISSCLKAGMSVARFDFSWGGAEYHQETLENLKTAIKTTKKLCAVMLDTVGAEMQVVNKNETAISLQADCQVVLTPDQGQEASSDILPINFDGLAKSVKTGDTIFVGQYLFTGSETTSVWLEVVEVTGQDVVCTIKNSATLAGALFTLHASQIHIDLPTITEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSTLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFYFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEDQLPIHLWESLFTSNARQSLIVRGLFPMLADPRHPAESTSASNESILKVALDHGKTSGVIKPHDRVVICQKVGDASVVKIIELED >CAK8543995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654866431:654874685:-1 gene:gene-LATHSAT_LOCUS12710 transcript:rna-LATHSAT_LOCUS12710 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLIEEPIRMISILEPSKYSFFPAMTKIVGTLGPKSRSVDVISSCLKAGMSVARFDFSWGGAEYHQETLENLKTAIKTTKKLCAVMLDTVGAEMQVVNKNETAISLQADCQVVLTPDQGQEASSDILPINFDGLAKSVKTGDTIFVGQYLFTGSETTSVWLEVVEVTGQDVVCTIKNSATLAGALFTLHASQIHIDLPTITEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSTLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFYFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESILKVALDHGKTSGVIKPHDRVVICQKVGDASVVKIIELED >CAK8542528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523193155:523196307:-1 gene:gene-LATHSAT_LOCUS11362 transcript:rna-LATHSAT_LOCUS11362 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGLTLVIFTLFLVAVDASDLVFPVERKFKAPHQSLDAIKTHDTNRRGRFLSAVEIPLGGNGLPSSTGLYYTKLGLGTPAKDFYVQVDTGSDILWVNCVGCTACPKKSGLGMDLTLYNPKTSQTASVVPCDDEFCTSTYDGPISGCKQEMSCPYGITYGDGSTTSGSYVKDSLTFSRISGNLQISPENSSVIFGCGAKQSGTLSSSSEEALDGIIGFGQSNSSVLSQLAASGKVKRIFSHCLDSIEGGGIFSIGQVVEAKYNTTPLVPRMAHYNVILKDMEVDGDFLDLPTDIFDSGSGRGTIIDSGTTLAYLPASVYNQIMTKLMARHPGLQLILVDEQFTCFHFPNNTLDEEFPVVKFHFEGLSLIVYPHDYLFLYKKDIRCIGWQKSNTQTKDGKDLILLGDLVLSNKLVVYDLDNMTIGWTDFNCSSSIKVKDDQSGTVYTVAAKDLSSASTVFIGRILTFFLLIIAILST >CAK8538639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490224224:490227305:-1 gene:gene-LATHSAT_LOCUS7819 transcript:rna-LATHSAT_LOCUS7819 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFITCVSFFLFLKPFLLLKPLPSWANEMRLISLLSREELCVKSISKLLRKTFVSRMSFVKKRLVSKVENVEEEEEEKDSSQHDLSVLDLPELVLDSILERLPPSSLCQMAGVCHSLRERCVSDHLWERHMKQKWGRVIGSVAYREWKWHVASKKNVGSLIHGKQRSLIKFMSLRWPFTWMRMKLDAAYINGAKKQSSYLLPDSFMTWYLALENGNFSFPAQVYNRENGHVGFMLSCYDAEVTYDPHTDTFQARYPPHGRRPAAVEHGIQWERLRAPPVDSPPHDLYIADCLHDLHPGDHIEIQWRRNKDFPYGWWYGIVGHLESCDGNENYCRCHDSDTVVLEFNQYTPDSRWRKTTISRKDHREEGNEADGFYGGIRKIKSEKEISIWRSIWPSEVLD >CAK8534179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702349941:702355495:1 gene:gene-LATHSAT_LOCUS3743 transcript:rna-LATHSAT_LOCUS3743 gene_biotype:protein_coding transcript_biotype:protein_coding MENKRWLNIVVDGNKVMEQYWTDIVSNYLEKIVRCFIGERQDHENYLGLVFYNANSELVEAGYDMQFINWTKDVNKYMQNLSHLSFNGNDVNQSSLAEGLAEALVMYPKPCDSMTEREYYTAERHCILVAPGDPVPKTMPVCVPMIQRAQVIGQRLQACHIDFLEVAKKCVPLAVSLSVITPNPVPIFGAIFNLGNNVLTLSNAPISSYSTGKFTILLSKNFREAHKALKEKRIVEFPSTSAGSINTALDSSLFSVLNFQEESLSSQAIGAKISEACNDLTPESVSASQPLDYQELIFPNGTAQANVASTNSQVQVNLLEDIMAELNSDNDIFPPTKRSRTFTPLEEDNDLINLLELSENPFDDINHSLGHDQGVSFGKQFSSEALIEFENELEKALNVASHNTPDNQTSNVELTASSSKVVNFTPFEVQAPVPNTGEGSSTGLFYQNNLQSWYDPSAITTNKSTLDSSSQFQASVPNMTFAFPCVTRNSQMQPQPYSSSTSQLTMFPSLARESIGNFSAQPTGQHFQPYNQYMRNNMAPLNLPSRAFGANTWIHSAQTISPSFQNLNSNLYVLPSLDNLQDYIHTWEGNLTGKINSSRVTVIKARAFRKTSTALTLTLRWSNRLEISHLIPFKAVNHTKKFSHPIDNVIFHVLKYSNIDLYKHLKSRFLCAKIDLQYQSIILSPTERENFFVGTVFPGDTLFIEPA >CAK8579699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717427381:717428823:1 gene:gene-LATHSAT_LOCUS31625 transcript:rna-LATHSAT_LOCUS31625 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEHLEYVFVPLGLLVFFFYHMWLLFTILHEPHRTVIGLNAESRHQWVYAMMNDPFKNGVLAVQTLRNNIMASTLLATTAITLSSLIGIFASSSWSSDNTSSILQSTSSIKRISITICFLVAFLCNVQSIRCYCHVSFLITAPTLRDKNAYMEYIAKTLNRASHSWSLGLRAFYLSFPLFLWIYGPIPMFACCCLTSFSLYFLDTTARMARDLHSNSFTKESNDVESAVESDYHPLAGNDLAQNAAVDNV >CAK8566126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382315401:382317485:-1 gene:gene-LATHSAT_LOCUS19287 transcript:rna-LATHSAT_LOCUS19287 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPNRLSLAMERTGQWVFSQDIPTDVIVEVGEARFCLHKFMLVAKSNYIRKLILESDESELTRIDLSDIPGGPGIFEKAAKFCYGVNFEITVHNVAVLRCAAEFLQMTDQYCDNNLAGRTDEFLSKVAFFTLTGSVAVLKSCQHLLPYADDIDIVKRCIEATSAKACSEANFPSRSPPNWWTEELAVLDIKIFGRAITGMKQRGAKPPTIASALITYTERWLQELVRNHSGNGIQSSGYDDSDSRSRQRELLESIVDFFPSHKTAFPVNFLCCLLRCAIHLRASTVCKTELEKRISVILEHVTVDDLLVMSLSYDGEKLFDLESVRRIVSAFMEKQKSTAVFTPVDLRESCSGTMHRVAKTVDMYLAEIAAYGELSISKFNGIAILIPKHARKVDDDLYRAVDIYLKAHPKLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLRLRSGPDNEDTAAMERTRLQTDVSLVRENEELRSELTKMKMYITDMQQKNASQVHGTTSSHSSKKTTFFSSVSKKLGKLNPFKNGAKDTTHLEDGAVDLTKPRRRRFSIS >CAK8575279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:68670791:68671228:1 gene:gene-LATHSAT_LOCUS27553 transcript:rna-LATHSAT_LOCUS27553 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRLCEEGVSDLKLRLISEQRNDGRIYNQPTVSEVAALIVGDVDTAEKRDIIVQKQCGELQRIDEYHTSYLGYQYPLLFPYGEDGYRPNVRHRDKGTNIRHFTDITQLKQNNKDIPWEEATKRNRLTIREWLAFRIQSRSNEA >CAK8563112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574526435:574527937:1 gene:gene-LATHSAT_LOCUS16555 transcript:rna-LATHSAT_LOCUS16555 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKMTEMWTTIGSTLASFMFIWAIIRQYCPYQLLRFFEKYSHRIMDYFYPYIRISFHEFLGDRLKRSDAYGAVEAYLSANTSKSAKRLKAEIGKDSTNLVLTMDEYERVTDDYNGVKVYWVCSKVMAASRSMPYYQEQEKRFYKLTFHKKYRDTITGSYLDHVMKEGKEIRLRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMAMEPEKKKEIIEDLVTFSKSKDFYARIGKAWKRGYLLFGPPGTGKSTMIAAMANLLGYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKGESKFFSDDENDNKGNFDAARKEVKEEGSGGGNSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHIELSYCSFNGFKVLANNYLRVEKHALFESVERLIGEVKITPADVAENLMPKSPMDDADKCLLNLIEALEEVRGRRGLVKENGEFVDDDEKEIDQEMNQNCLS >CAK8566962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476637490:476639844:1 gene:gene-LATHSAT_LOCUS20056 transcript:rna-LATHSAT_LOCUS20056-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNEQSFWQFSDQLRHQASNLANLSLNDSIWSNNKRPDQRRNFDVKTNGVSDINNSFTNFNSKANDFNDGWKMMNSSNGSVFSVPHNNSFAGFNKAVYSSPYLTNNNVNHNNNVNHNNSNNNVNNINLSGYKSGFKVGDEFQLPNKGVKKNLNNNGSNKKHGDNTDVGKTSVDKKFKTLPPSESLPRNETIGGYIFVCNNDTMAENLKRQIFGLPPRYRDSVRTITPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVQVINRKVCEPLEEDSFRPILHHYDGPKFRLELSVSEALSLLDIFADQNSFNDIFKAIPA >CAK8566961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476637478:476639844:1 gene:gene-LATHSAT_LOCUS20056 transcript:rna-LATHSAT_LOCUS20056 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMNNNNNEQSFWQFSDQLRHQASNLANLSLNDSIWSNNKRPDQRRNFDVKTNGVSDINNSFTNFNSKANDFNDGWKMMNSSNGSVFSVPHNNSFAGFNKAVYSSPYLTNNNVNHNNNVNHNNSNNNVNNINLSGYKSGFKVGDEFQLPNKGVKKNLNNNGSNKKHGDNTDVGKTSVDKKFKTLPPSESLPRNETIGGYIFVCNNDTMAENLKRQIFGLPPRYRDSVRTITPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVQVINRKVCEPLEEDSFRPILHHYDGPKFRLELSVSEALSLLDIFADQNSFNDIFKAIPA >CAK8577051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534076877:534089763:-1 gene:gene-LATHSAT_LOCUS29193 transcript:rna-LATHSAT_LOCUS29193 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSGGEEKKLLEEENKVKRKMKSASQLEILEKAYAAELYPSEALRAELSVKLGLSDRQLQMWFCHRRVKDRKASPMVNKVAAASLASMGEEGVEQIAVADVMHAQRVVAQYGVMGFTRMGAGLPALESSSCHEAHQTLQELRAVALVERQLGETLREDGPILGMEFDSLPPGAFGAPLGAVAMGQNSQSEWPVEAKVYEHIDKGISRTLHEYQFIPEQPTVRNEIYERDTTSAHFSSLDGVPHSSGPSFLNGNESAPKVYGVQGQKIPGLNLLSESQQSRPNHLMLSALGGNDDVPRNPFVDVTLDTQRGAHQLTLINTPLVLSDRSVIHEDELSRFQRKRKNEEARLQRELEVQEKRIRKELVKQDILRQKREEQIKKETERQERERQKEKERYLREQKREQERQEKILQKESIRAEKLKQKEELQRVKEAARIKAASERAIARRMVKDAIDLIEDEHLELMELAASKKGLSSILALDYDTMQNLESYGDGLTSFPPKSVQLKRAFSIQPWADSDENVGNLLMVWKFLITFADIFGIWPFTLDELIQAFHDYDPRLLGEIHIALLRSIMKDIEDVARTPTTGLGANQNSVTNSGGGHPQIVEGAYAWGFDIRNWQRHLNPLTWPEILRQFALSAGFGPQLKKHNIEPLHPSNHEGNDGKDIISSLRNGAAVENAIAIMHGKGLSNPRRNRHRLTPGTVKYAAFHVLSLEGSRGLNILEVADKIQKSGLRDLTTSKTPEASISSALSRDAELFEKTAPSTYCVRPAYRKDPADSEAIYSAARERIRIFKSGLVGAEEADDGERDDDCETDVAKNPENDKLRVQTNVKKEVSSHDEFNANTIVKSSEIAVCSNDVANPILKGIDVDESTLGEPWVQGLMEGEYSDLSIEERLHALVALTTVAIEGNSIRVALEERLEAANALKKQMWAEAQLDKRRIKEDYFVKIPPFSNLGNKSEPAVTFPSVGGKDCPVHTVEVENDKALLTPCDQHEQINSLQENQNLMQNLLEANLQRQDCSTGPDNYSLQQSIHVAEKSRSGFKSYIGQLGEQTFTCRSLPLGLDRRRNRYWQFITSASQSDPGCGRIFVESHDGCWKLIDSEEGFDALLASLDVRGIRESHLHMTLQRIEMSFKESVRRNVQNDAKMQNGDTVEKLKTEAVKVATDQDCSTNIYCPTSVCMDDLNTSVASTSFAVQLGRNEAENKDAYMRYWDFEKWMRKECLNSSVSRAMKFGKKRCNELLLMCDLCHHVYFCRGTPCPSCHRTFSTSHGNSSSYEHIARSEDKMKIDPHLFLDSSSSPLRMRLLKILLSVVEASLPQEALQPFWTERYRKSWSSKLEDSSSTEDILQMLTTLECATKREYLASEYETTSELLGSVCSSGCHPNDIIRGERIPMLPWVPCTTAAVTLRLMELDACIFYTSQQNLESEKDKQIGIAMKLPSKSAAAKISYNAGAFESSFQAKHTVENWGALGSGLGSYSRGQRTQQGHSHSHGQRSQGRVASSRSTSRKRSTTSNSRRLGKLLGWKGTPNRQGHVRGRRSIRSRQKPAAAKMNVIIRKEVNEDETEANVLNTSNSERSDYEGDLYRATVDKCDYLVDNNNDGSQGGFNGKSENLIEQSHYNVDDEEDVDMDDNVDDDIEDGQVDLNIIGRDSNIGYNREENAEKAEDPDDVGSTSLDYSD >CAK8562272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463882399:463885507:-1 gene:gene-LATHSAT_LOCUS15783 transcript:rna-LATHSAT_LOCUS15783 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRKQTLNQPENGSSNHDAKVAELRAVIGPLSGRQLMYCTDACLKRYLEARNWNVDKAKKMLEESLKWRSTYKPEEIRWAEVAHEGETGKVSRANFHDRLGRTVLIMRPGMQNTTSAENNIKHLVYLLENAVLNLSDGQEQMSWLIDFTGFSFGTNIAVKTARDIIHILQNHYPERLAIAFLYNPPRIFQAFWKAVKYFLDPKTAQKVKFVYLNNKDSVELMKSLFDMENLPGEFGGKATLKYDHEEFSRLMTEDDVKTAKFWGLNDEKPFTTKNGHGGAEVAPEPAGSIVS >CAK8561420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203947606:203947959:1 gene:gene-LATHSAT_LOCUS15015 transcript:rna-LATHSAT_LOCUS15015 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQWNPYYNYLQTPSDSSQNFQMCPRPSLLIGDGSQNLQPFMFQSPPFSTGNNSQYTRPIMFQPPPTINVVESPNIESESPIGSTTDSQVPGNSTQDGLENITFTGEGERSTQIK >CAK8564101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651761775:651762359:1 gene:gene-LATHSAT_LOCUS17440 transcript:rna-LATHSAT_LOCUS17440 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQIDYFFADETSTSPLFGFIDLFLGLTFFNKIHKFFSSLWFYLFCQLHYGSSSEVRLEKKVSESNEPNAGRESGEMIIERDEVKMVMERMGFFCSSESEELDEKYGSKELCEVFEENEPSLVEVKQAFDVFDENKDGFIDAMELQRVLVILGLKQGSEFENCEKMIKRFDENQDGRIDFIEFVNIMRNHFC >CAK8578577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639783055:639783754:1 gene:gene-LATHSAT_LOCUS30575 transcript:rna-LATHSAT_LOCUS30575 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVQKRVSFRRRLHILRALTNSNNNANINRSSTAKCSFLHIQKLKMALENVKREYENLLATRRYYISLLNNNVNDNKEVKIEKIREGTFMVKVTCVKGGDKLVAILEAFEKMCVNVEQARVSTENGFSMEAIIVAEDQNLDVRDVNEELLKAIGEKGSDEVDKCSNL >CAK8539051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502439303:502442958:-1 gene:gene-LATHSAT_LOCUS8185 transcript:rna-LATHSAT_LOCUS8185 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYTQKERSKVIRELSGVILSRAPKLCNFVEWRGHKVVYKRYASLYFCMCIDDADNELEVLEMIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVETAKEEASSLSNIIAQATK >CAK8568797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641729270:641730365:-1 gene:gene-LATHSAT_LOCUS21721 transcript:rna-LATHSAT_LOCUS21721 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNSTTIKTPSFNYPNSIITKLSSSKPTIKFPFFTNKKPFLQLRTCSVSETSVTTTQIDTEEDKETTQIETEQDKETTQIETEQDKETTQIETEQDKEDPTAETCYLDPETDPAKILTWELDFCSRPILDARGKKLWELVVCDKSLSLQYTEYFPNNVINSITLKDSIVGICDDLDLPLPKNIRFFRAQMQTIITKACKELDITALPSKRVS >CAK8533447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:618964703:618967468:1 gene:gene-LATHSAT_LOCUS3070 transcript:rna-LATHSAT_LOCUS3070 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRTDLSSILQDVKRRGKWIKLKKRWFLGLPITKRERKKLKENRNRYLPELLRKDDIFYESVRTHVERALGAHYIERAHSIPRDDIDFDQIPNLKRLILSCLDNLTTKGLYLLAMIVSGDTAKYERTRGSLKKIIKGSLSIDLSSERHNDQQLETRKQLFQLLNNPQHFRHRCELLPGSESQFYHAAAVKILCGLEKLPSQTLIAMRRKLKGIKAPMPQLQPCKHGWGRRHLVKLVDKICRKMLLKLDEGNELQKPLAKAMSVADLSLKLMFGFGSTFLEELYRFSPEVKSLQSDILNAIWSVEKKEVVPLKVLRDLQLLIEPNATITNKSLRTAFVNLLTEFLFECSDMDITPKSLLQILDVINKCSNKSTHDVTVQKKHIEEEINCILSVSAQTNQIIQDLLPDCEFDQDFTDAYMEQSEESDDSESDSDSDKDVNDNQLFDKRQFKNGSFSQADSNYEGESVGDFIPSQIHPSTTMTEERLNIDSEKLQPMDCDTINLESKIRNTKHINQCQEESAERFCTPMARKNYDSSIVSPDKESGENIVKRHEFHESYIKVDPRDTSNFCEEMEPPPTKHIARKNQYLATQDACDKTAMLAYNLIGHLFEEFAIAEGLNLDLSKRSYLNCDKQIEEAKETNEQSSSKKRTRGPAIVRVIEELVPSFPDSSMERLKILMDL >CAK8576653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:501502627:501503145:1 gene:gene-LATHSAT_LOCUS28826 transcript:rna-LATHSAT_LOCUS28826 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPTSFTSPLLHELLVESHTRKLLFQGPINHQSLTTSHVLTHNNSTNLYFGLSETDANVVMILAVLLCALICSLALNSIIKCALRFSNVAINNNASLSSSSNSSPQLVNKGIKKKALKTFPTVSYSTELTLPGLDTECVICLSEFTAFQVEFHSGCRKEQKRLGFNFLLMV >CAK8543530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:616733481:616733984:1 gene:gene-LATHSAT_LOCUS12282 transcript:rna-LATHSAT_LOCUS12282 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETTKSSTHQVRIFDYANNVFSVKEIMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIVACSNVCHDAYALLSDVYRVTNMFRVYSNSFPMMSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNLGTSNR >CAK8566885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470229675:470233575:-1 gene:gene-LATHSAT_LOCUS19984 transcript:rna-LATHSAT_LOCUS19984 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQYIGSSSSSSKVASLKKFDVFISFRGEDTRKTFTSHLYEALNKKVLTFIDNIELEKGDEISSALNKAIEESDASVVIFSKDYASSKWCLNELVKILECKRDRRQIVIPVFYDIEPSDVRNQSGTYRQAFEKHERDLKHNKDKLQKWKDALTEAANLSGWNSQNYGMESNFVKDIVEDVLKKLYGRYPFEVNKELVGIDTKYEKMESLLKIGSNDVRTIVLWGMGGIGKTTLAKHLYGRLCSQFERTCFIENIREESTKSGLKSVRNKLFSTLLESPLNAPYTETPIFKNRLAHERSLIVLDDVATLEQAENVNIVHKYLGEGSRVIITTRDMQICSQFDECEIYEFDEMNADESLQLFCWNAFGEKCPKDGYDNLSERAILFCRGNPLALKVLGANFRTKKSKEAWESELEKLKKIPNKRIHDVLKLSFDDLDSTQQAIFLDIACISEYSCRCAYLDDKDYKTVVWNACEYFAESGLQVLKDKALIYFEMERFIRMHDLLIEMGKEIVIKESVKDPGRRSRLWDQKDVYDVLKYNKGTEVVEAIEFSIYEGDLYLSSDSFKSMINLRHLYIDIDLQSRLHFLEGLEWLSDKLRCLEWHKFPLESLPSTFYAKFLVKLKMQHSKLKKLWDGIQRLDNLMILNLNYSKDLIEIPDLSRAPNLQVVSLSYCESLCQLHPSIFSAPKLRQLYLPGCKKIKSLKNNIQLESLQMLDLSSSSSLAEFSVTSKEMMELSLWDAVVHGFSSFMLCNKKFTELHLTGCTQINTSSLWFILDGTPSLKVLCLRKCNNLETLSDNIQNNSMLEILDLDDCQKLKSLPKLPVSLHSFTAKNCIHLETNSIQRSILENMLHILRSPENMLYNRSRSWRMNWYMLSYHMGSCLLPGAQVPSNFYFHTTKASIVIPPIPKYGLCGFVICIILSGGLDLRTEIFCTIYQHSEEPMYYWKWDWSGVLISDHVLISDHVLLGCIECYNSDWVKIGSESGGDHYNLSFKFNYKKLGVAKTECIKGCGVIPVYDLKHSFVLDGRISGVEIVEIQSNAQLSHHSDDIQVLDDCHGHSKFDMNESQDQEIRAETDDDQQQPTENTELNDKSSCSCSIGLLLKYVLEESKRLFLKQR >CAK8572598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550444999:550446033:1 gene:gene-LATHSAT_LOCUS25149 transcript:rna-LATHSAT_LOCUS25149 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTHSLFLVTLALLIATTLSSDPDSLQDLCVADLSSGVTVNGFTCKEASKVNVSDFSSNILAKPGSTNNTYGSVVTGANVQKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEVVFVLEGQLDVGFITTANVLISKTINKGEIFVFPKGLVHFQKNNANVPAAVLSAFNSQLPGTQSIAVTLFAATPAVPDNVLTKTFQVGTKEVEKIKSRLVPKK >CAK8560439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19397887:19398516:1 gene:gene-LATHSAT_LOCUS14108 transcript:rna-LATHSAT_LOCUS14108 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHTLLLITFLSFTTSYASVNDFCVANLQAPDTPFGYHCKPLANITSDDFVFHSFVAGNTKNSFNAALSSAFVTDFPALNGLGISAARLDIAEGGSIPAHTHPGATELLIMVQGEITAGFFTTSAVYSKTLKPGDLMVFPQGMLHFQVNSGKGQATAFLAFSSANPGAQLLDLLLFSNNLSSEIVAQTTFLDLAQVKKLKARFGGRG >CAK8578625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642102258:642105696:1 gene:gene-LATHSAT_LOCUS30620 transcript:rna-LATHSAT_LOCUS30620-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPNESMPDSPQRKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVKASIERYKKACSDTSGAKSASETNAQYYQQEAAKLRVQISNLQNHNRQMMGEALSNMNGKELRNLESKLEKGISRIRSKKNEMLFAEIEYMQKRISENDQRNNHNVNVLHGGTNFECIQPQQQFDSRSYFQVNELQPNNQYARQDQMSLQFV >CAK8578624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642102258:642105696:1 gene:gene-LATHSAT_LOCUS30620 transcript:rna-LATHSAT_LOCUS30620 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPNESMPDSPQRKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVKASIERYKKACSDTSGAKSASETNAQYYQQEAAKLRVQISNLQNHNRQMMGEALSNMNGKELRNLESKLEKGISRIRSKKNEMLFAEIEYMQKREIELHNSNQALRAKISENDQRNNHNVNVLHGGTNFECIQPQQQFDSRSYFQVNELQPNNQYARQDQMSLQFV >CAK8578626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642102258:642105609:1 gene:gene-LATHSAT_LOCUS30620 transcript:rna-LATHSAT_LOCUS30620-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPNESMPDSPQRKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVKASIERYKKACSDTSGAKSASETNAQYYQQEAAKLRVQISNLQNHNRQMMGEALSNMNGKELRNLESKLEKGISRIRSKKNEMLFAEIEYMQKREIELHNSNQALRAKISENDQRNNHNVNVLHGGTNFECIQPQQQFDSRSYFQVNELQPNNQYARQDQMSLQFV >CAK8532652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:351680801:351683317:-1 gene:gene-LATHSAT_LOCUS2335 transcript:rna-LATHSAT_LOCUS2335 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNFHFLFLTFLISTTVVTSVNPGSTLSASTNQSWSSPSSTFSLRFLPVDPPTSPPSFLAAIVYSGGAPIVWTAGNSVSVDSAGSLQFLPNGSLRLVNGSGNTVWDSGTANLGANSATVKDTGRLVISNETTEIWSSFDHLTDTLLPSQNFTVGKVLKSGSYSFNLSSHGNLSLFWNDSINFWTQGLNSSVNVSLTSPVLALTPIGILQLYDVKLSTAVVVAYSSDYADAGSGGSDVLRVLKLDTDGNLRIYSTSRGSSNPIVRWAAVQDQCQVYGYCGNYGICSYNNTSPVCGCPSENFEMVSGVRKGCRRKVRLDDCRGNETMLSLDHTQLLTYPPDTQSQGFFIGISACRGNCLSGSGACFASTSMSDGTGQCYIKSVDFVSGYHSPALPSTSYVKVCPPLAPNPPPSLGETVKEKSSRVPAWVVVAVVLGTLLGVVAIEVCFWFWCCRKTARFGVLSAQYALLEYASGAPVQFTYKELQRSTKGFKEKLGAGGFGAVYRGVLVNKTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNSSLDNFLFPKEEQSGKQLNWEYRYNIVLGTARGITYLHEECRDCIVHCDIKPENILLDDNYVAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWIANLPVTSKSDVYSYGMVLLEIVSGKRNFDVSDKTNRKKFSIWAYEEFEKGNIKGILDKRLADIEIDMEQVTRAIKVCFWCIQEQPSQRPVMSKVVQMLEGVKEIEKPPPPKLVAEGPVSGTSTYISSNFSALSTIGASPNVPSSSSSIQTSSVSNFASGRNPEKATSSLLQSDQ >CAK8562170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450652282:450654322:-1 gene:gene-LATHSAT_LOCUS15689 transcript:rna-LATHSAT_LOCUS15689 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSCVPPGFRFHPTDGELVGYYLRKKIASHNIDLDVIKEIDLYRIEPWDLQDMCRIGNEEQHEWYFFSHKDKKYPTGTRTNRATMVGFWKATGRDKSVYEGTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRVETVENAPPQEEGWVVCKAFKKKTNVQTKTNERWEPTNLYDMEQTSGIISRQPQRISSQNFMYKQDIEEDNLIFMHSQQLVPLPQLESLSLPLEKRQISMSIESENNVNNNDVQIGLLSSISKTEKVTDWRDLDKFVASQLSQEDHRYETSSDMSLLLLQSSRDEEIKLSSFLSSSLDCDIGICVFEN >CAK8578742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650833056:650834918:1 gene:gene-LATHSAT_LOCUS30728 transcript:rna-LATHSAT_LOCUS30728 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNDLAAATATGSGFRSPTFSRPVSWTDRSPTSRKPLPTASSASNRPRSLLPPLRPLSINKRSIEEWPSAGSDDLGVWPQAETPRGRGSVTGSEFQFKRDKLAFYDKECSRIAEHVYLGSDTVAKNHELLRKNGITHVLNCVGFVCPEYFKSDFVYKTLWLQDSPTEDIISILYDVFDYFEDVRLQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFHYVKNARGVTNPNMGFASQLLQCQKRVVNANAMPSSPSSVLRMYRMAPHSPYDPLHLVPKMVNRPCAKALDSRGAFIVQVPSAIYIWIGKNCSSVMACNARMAALQVVRYEKANAPIRSIYEDEEPMEFWAAFSNYQLLLGSSGNDGEMVMKDSDERMEIDDDVDMGIHPRKVDDYDLDFGIFNKALAGGVVPPFSVSNTGSETLLPARENSWGRLRRKLAQSIMKGLFTSSKCCDIASPKDEVKANGGSSLPLTPSRKSDSFPCSLSNSPKFSSKSPTFSPSNSDYASSFTLSPTSTHWSELSFMSSRQPSPSSGLESTEQPFYVNKDAPFLERSSSPRNEATVSSSSETLSANRAVVRTKSYKGSNSNRSIAERRGSKPPPQMLVPPFRESSRAV >CAK8544822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707307920:707309902:1 gene:gene-LATHSAT_LOCUS13470 transcript:rna-LATHSAT_LOCUS13470 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYHIGIIFLLQMITSTKPLSFHYKTFKYNDVKLEGDASLLYSYIQLTSTTRYQSNAYSVGRVTCFEPLHLWDKTSRKLTDFTTQFSFIIYSNETGFGDGLSFFFADPKLPLLDHMKEGGGLGLVDKYQILNSSQYPFVAVEFDTHQNPWDPSGIHVGINLNSMMSEKTKPWLIDIKNKKAYYSCKIEYNSSVRDLKVSFTGNIVDGKPVKSHMSYNVDLRDYLPEKVIFGFSAATGLMFEMNTLKSWSFNSSLVNDQKVSSSSSIPSNLEPSPIPSSPKPDSKKNTIWLGLGVGVGIASIFLVLGWVCVLIWKRDSIFDMKMDDEFQKGTGPKRFCYNKLATATNNFEEKQKIGQGGFGGVYKGYLKEIDSNVAIKRISKESKQGIKEYATEVKIISKLRHRNLVQLIGWCHMKKDFLLIYEFMQNGSLDSHLYRGKSVLTWQMRYNTAMDLASALLYLHEEWEQCVLHRDIKSSNIMLDYNFNAKLGDFGLARLVDHEKVSQLETTVIAGTMGYIAPEYFTTGKATKESDIYSFGIVSLELISGRKPIDLYAKEGQVSIFDWVLELYKLGKLVEVADKKLEGVFDEEQMERLVVIGLWCANPNYSFRPCVRQVIQVLKFEAPVPILPQKMFESVYPSAISTIFDPVSFPSQAYNDSS >CAK8538321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477276277:477278345:1 gene:gene-LATHSAT_LOCUS7533 transcript:rna-LATHSAT_LOCUS7533 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRRKTPLFNSEIGAMKARKNSNLSIFVVVFSIFLFGIFMYNEDVKSIAEFPFSRPKVQEAHQENSKKVESLRKETEKVVLVEEDSTVVDDTKKDVVEETVTKNSRTKLEKSEDGDEDSDEQQERVEVKKVVMTEKEDKIELLEEEEEEEEEVELPPKDCDLFNGEWVLDNMTHPLYKEEECEFLTSQVTCMRNGRRDSLYQNWKWQPRDCSMPKFKPRLLFKKIRGKRVMFVGDSLNRNQWESMVCMVQSVVPSDKKTWYKTGSLAILKIEEPENVITTVEFYWSPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKNADYLIFNTYIWWMNTFNMKVLRGSFDEGATEYDEVPRPEAYERVMRTWSKWVDEHIDPNRTKVFFTGTSPLHIKSEDWNNPDGIKCAKETTPILNMSAPLNVGTDRRLFVIADNVTKSMKVPVYFLNITTLSEYRKDAHTSVYTIRQGKMLTPEQQADPTTYADCIHWCLPGLPDIWNEFLYTQIISQS >CAK8576152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416207740:416208288:-1 gene:gene-LATHSAT_LOCUS28363 transcript:rna-LATHSAT_LOCUS28363 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAAESTLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQEAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPGGDWMGRGARALDNPRTFTGEDSLDNLSRLRDGVVSGDATTITTLKQRMLWRRSGGDTESHA >CAK8565280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:96568078:96569310:1 gene:gene-LATHSAT_LOCUS18505 transcript:rna-LATHSAT_LOCUS18505 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEKYATHTRVVMADPPDCCSKPKNKLTSEIILVHRGKCSFTTKANIADEAGASAILIINNRTELFKMVCEVNETDVDIGIPAVMLPQDAGLNLEKHIQNKSIVAIQLYSPLRPLVDVAEVFLWLMVVGTILCACYWSAWTAREAVIEQDKLLKDASEEYVAESVGSRGYVEISTTATILFAVVASCFLVMLYKLMSFWFVEVLVVLFCIGGIEGLQTCLVALLSCFRWFQHPAQTYVKIPFFGAVPYLTLAITPFCIVFAVVWAVKRHASYAWIGQDILGISCEFKAYHGLAHSINNKEQKESPGFRFVKECFAGNETHGSLTIYCQPCFLRLLYGF >CAK8577350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556531853:556532522:1 gene:gene-LATHSAT_LOCUS29464 transcript:rna-LATHSAT_LOCUS29464 gene_biotype:protein_coding transcript_biotype:protein_coding MLDADSSGTITLDELKEGLKRVGSELMESEIKDLMDAEDIDNNGTLDYGEFIAATVHLNKLEREENLLSPFSYFDKDGSGYITIDEIQVACKEFGLNDIHIDEMVKEIDQDNDGQIDYGEFATMMRKDNGGMGRRTMTSRLNFRNALGIIGNGSN >CAK8577762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586746169:586746459:1 gene:gene-LATHSAT_LOCUS29836 transcript:rna-LATHSAT_LOCUS29836 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLQRGKLIDRKCHPSFTYILHHSDEGKHDEKSSSTRIRNFIQTMGVSSSSQYKQLSPLAGYNFCRYMSTINRDLDKITAMTDVTDVLIDF >CAK8570421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44499914:44504837:1 gene:gene-LATHSAT_LOCUS23169 transcript:rna-LATHSAT_LOCUS23169 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSALRSSKLVTWKPTGKLQQTLAGYVERKGISLHSGKASTVRLCPGLAGQGRYFDFRSNLVPASAEFAQVSPLCTTLCKDGLRIRTVEHLLSALEAAGVDNCRIEVENLDDQDNEAEIPIFDGSSREWVEALEEVGLETATDIDGKSCEKIAPHVNQPVHVWRNDSFIAAFPSEVARVTYGINFPQAPAIGCQWFSTTPLDDLVYSIQIASSRTFCIYEEVEQMRSAGLIKGGSLENAIVCSTSKGWLNPPLRFSDEPCRHKILDLIGDLSLLAQFGNQGLPVAHIVAYKGGHALHADLTRQLMGMT >CAK8544257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674216060:674217655:-1 gene:gene-LATHSAT_LOCUS12951 transcript:rna-LATHSAT_LOCUS12951 gene_biotype:protein_coding transcript_biotype:protein_coding MMKENQTVIIVGAGPSGLSVAASLTNQSIPYIILERENCFASLWKKYAYDRLHLHLKKQYCELPHKPFPPSFPSYIPKEMFLQYLEEYVSHFNINPVYHRTVEYAEFDEGCGKWKVKAVNKDSGEVEEYDGRFLVVASGETSDSFVPEIEGLKSFGGKVMHSTGFKNGKEFKDEHVLVVGSGNSGMEIALDLINHGAKTSILVRSPVHILSKGMLNLGLFMMKYLSMEIVDSLMVMLSKIFYGDVTNYGVGRPNEGPFYMKVKYGKYPIVDVGTYQKIKSKELKVLPTEIECLSGGNNVLFKNGELHAFDSIVFCTGFKRSTHKWLKGDDYLLSDDGIPKQSYPFHWKGKNGLYCVGLSRRGLYGAAQDAENVAKDVRSIMQEITI >CAK8574446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682050256:682050471:1 gene:gene-LATHSAT_LOCUS26791 transcript:rna-LATHSAT_LOCUS26791 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLEEKAKEAFVEDHFELAVKLLTQAILLDPTKPKLYNNCAQANNKLNNFTDLFFCLTFLYSILLCCFS >CAK8530373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17395820:17396977:-1 gene:gene-LATHSAT_LOCUS241 transcript:rna-LATHSAT_LOCUS241 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSIKDAFDRVAKKQKLCSSKSQETVDQVGHEIEKALATLQSPQDPSIPADQKSILTELKSKLNAIGSLQQLEGPHKELNSSLLKYQKLLEKSLNPDISKAYRNVDFDTHIINQIIASHFYHQGLFDLGDNILNEAGEPDATALRSQFLEMHRVIEAMRGRNLQPALTWVSANSEKLAQIGSNLELKVHSLHYVEIVQNGTQADALKYSRTYLARFAETYKDDFQKLMGCLMYIRRLEKSPYSELLSPIRWETTTEELARQFCSLMGHSYKNPLSMVFAAGVEGLPTLLKLANVMAAKKQEWQDMRHLPVPVELGKEFQFHSIFVCPVSRDQANEENPPMLLPCLHVLCKQSILKLSKNSTRTFKCPYCPAETTVAHCRQVYF >CAK8560277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12911060:12911371:-1 gene:gene-LATHSAT_LOCUS13965 transcript:rna-LATHSAT_LOCUS13965 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRHGRTLYGFGG >CAK8542976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563524478:563529313:1 gene:gene-LATHSAT_LOCUS11770 transcript:rna-LATHSAT_LOCUS11770-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKFPEANMMPHKSQGGGEQIANVGGLSGSVVKDAAPAGGGGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADDSKDEKRNSGDSISGADSSPGLQINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGSTLAASETLPLPHDKQNHSLSEPSGSSDALASTFPPHKKQRIDEGSKDGFIASQVTRNTTQKNDCNVVCLDPNLYEDDAGFGFDLETENDDDNESEQ >CAK8542977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563524478:563529313:1 gene:gene-LATHSAT_LOCUS11770 transcript:rna-LATHSAT_LOCUS11770 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKFPEANMMPHKSQGGGEQIANVGGLSGSVVKDAAPAGGGGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGKDSKDEKRNSGDSISGADSSPGLQINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGSTLAASETLPLPHDKQNHSLSEPSGSSDALASTFPPHKKQRIDEGSKDGFIASQVTRNTTQKNDCNVVCLDPNLYEDDAGFGFDLETENDDDNESEQ >CAK8565264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:89534585:89536996:1 gene:gene-LATHSAT_LOCUS18492 transcript:rna-LATHSAT_LOCUS18492 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRLTVIISSSVKPGDLPIANEVKAGYDSSRLSESSEMASDGGSNKHADKGPKKKKGKATGNTLANQPESGANNQEHTSTKSKKSQQRGKDTSSQTSDSKQGSRKESLKMKEDNLSNPSEEWIMEKITALIPDFEEEGIDDPETIHRKVLFKDNAERMKQLLDNFQKKLDESFLNMRLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDMLLHDLDEHNKLKNGVEVQESPNSESISFSPGDRAAISKSFPGALANKALAVVEALEGKRVETFMSSFRAVTEESGLPLEKLDKKLERTLLNSYRKLQIDVT >CAK8565266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:89534585:89535195:1 gene:gene-LATHSAT_LOCUS18492 transcript:rna-LATHSAT_LOCUS18492-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRLTVIISSSVKPGDLPIANEVKAGYDSSRLSESSEMASDGGSNKHADKGPKKKKGKATGNTLANQPESGANNQEHTSTKSKKSQQRGKDTSSQTSDSKQGSRKESLKMKEDNLSNPSEEWIMEKITALIPDFEEEGIDDPETIHRKVLFKDNAERMKQLLDNFQKKLDEV >CAK8565265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:89534585:89536630:1 gene:gene-LATHSAT_LOCUS18492 transcript:rna-LATHSAT_LOCUS18492-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRLTVIISSSVKPGDLPIANEVKAGYDSSRLSESSEMASDGGSNKHADKGPKKKKGKATGNTLANQPESGANNQEHTSTKSKKSQQRGKDTSSQTSDSKQGSRKESLKMKEDNLSNPSEEWIMEKITALIPDFEEEGIDDPETIHRKVLFKDNAERMKQLLDNFQKKLDESFLNMRLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDMLLHDLDEHNKLKNGVEVQESPNSESISFSPGDRAAISKSFPGALANKALAVVEALEGKRVETFMSSFRAVTEESGLPLEKLDKKLERTLLNSYRKCILYS >CAK8531112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81731392:81731928:1 gene:gene-LATHSAT_LOCUS924 transcript:rna-LATHSAT_LOCUS924 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFFLLAFLCPISCLAGGFRKFGGSSEELHHLHCDRSAGGNSGAIGGSSGGGEGNGYGGVIGRGNGGSGSGGGGYGGSGGGGIDGSYGHEHVAFGGGGIGGSYGDGNGESGRRGTSSGYGGCNGGVIGGGYSDENGVIGRRGDVGVGSGAGGNGDNDGTGNDDCESETKFFNQLPP >CAK8569214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683022615:683025189:-1 gene:gene-LATHSAT_LOCUS22093 transcript:rna-LATHSAT_LOCUS22093 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVNTQEDVLEKIATFSHSLLKNVIIISTNGKVSKVRFSQSSSSDEIMTYEGRFEILPLKGSAFVGANESEQKRVGRVKGSFISLSNSRVFGGKISNVLIAATPVQIILGSFFSEGREVVLSCPNEPHAEGPSNPPSVVHPSTGVLIS >CAK8561076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86180156:86180650:-1 gene:gene-LATHSAT_LOCUS14698 transcript:rna-LATHSAT_LOCUS14698 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSEMISHNIRKLVNRDVSLKLKVIITHILEKYRYIISYRKAWTIKCKAIKSLYRNWETSYNDLPQWILVIKTYLPGTIIDLQTLPAISNDGSQLSGKRIFRRLFWAFRPCIRGFSYCKPIVQVDETWLYGKYRGTLLMVVAKNGNTNIFPIAFTLV >CAK8574028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654720946:654723069:1 gene:gene-LATHSAT_LOCUS26419 transcript:rna-LATHSAT_LOCUS26419 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRHHDLVPLAALLKREMKSERMEKPTVRIGQAAQSKKGEDYFLIKTDCQRVPGNSSTAFSVFAIFDGHNGNAAAVFTRENLLNHVLCALPRGLGRDEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDTQGGAVTTLTVDHRLEENIEERQRVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSKAGGRLIIASDGIWDAVSSEMAAKSCRGLPAELAAMQVVKEALRTRGLKDDTTCIVVDIIPPDNELPSTPPPKKRNRLRDLFTFRKRSRDAAGKLSKKLSAINIVEELFEEGSAMLAERLGNDENTGQSTSGLFVCAVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCSDCRNKKDAMEGKRPSGVKVS >CAK8579794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722745722:722746540:1 gene:gene-LATHSAT_LOCUS31710 transcript:rna-LATHSAT_LOCUS31710 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEDEEKRLKLKRNSSRFSNFQRDLMAGALMGGAVHTLVAPIERAKLLLQTQESNLAIVASGRRKFKGMFDCILRTVKEEGVVSLWRAGCTSLILVYPLDIAHTRLAANVGRTEVRQFRGIYHFLATIFQKDGVRGIYRGLPASIHGMVVHRGLYFGGFDTIKEKLSEESKPELVLWKRWMVAQAVTTSVGLISYPLDTVRRRMMMQSGMERPVYTSTLDCWMKIYRTEGLVSFYRGTVSNVFRSTAAATSTSTQNTSNMLSVPQSNNPNA >CAK8568225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583454230:583459608:-1 gene:gene-LATHSAT_LOCUS21204 transcript:rna-LATHSAT_LOCUS21204 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRGRDFLFCNLCGTMLAVSSTEYAKCPLCKTKRNIKDIKGKEISYTISAEDIRRELGIDLLEEQTVQLSKVNKTCEKCGHGEAAFYTRQMRSADEGQTTFYTCTRCGHQFQEN >CAK8534623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749985062:749985897:-1 gene:gene-LATHSAT_LOCUS4154 transcript:rna-LATHSAT_LOCUS4154 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRMKETFPNTNQLTIFYNENICIYNGNLAEKVQEKMLMATTTTKSTEMKSIVKQSHVPSPVPSRSSSPHAATENIASSQELCFPAKKSSVCRLQAFPIARRHSLQRFLEKRRDRLGSKAPYPSSPTTKVANNIENNFCSENSPDSVSLKGPNEEFQPTISAS >CAK8536599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1702696:1705319:-1 gene:gene-LATHSAT_LOCUS5954 transcript:rna-LATHSAT_LOCUS5954 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTNPVLISLQKPQISSVFLSPKRVSTSYALLKSPTLQTEAKGSSLVFSPLVKALGVRQRRFPVVVAALAADAGDSEIEISNGSVISSKSFGEKFPALVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLISWGLALPRRAPMNKELLLVLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNASASQFVLGQHIPLSLWLSLAPVVFGVSMASLTELSFNWTGFISAMISNIAFTYRSLYSKKAMTGMDSTNVYAYISVIALAFCIPPAILIEGPQLMEFGFRNAIAKVGLTKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANMEEQKRKAATAAAS >CAK8536767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:16742358:16743608:1 gene:gene-LATHSAT_LOCUS6100 transcript:rna-LATHSAT_LOCUS6100 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGDHQSNGIRSRPNFPLQLLEKNNIIEHVSEEQPCSTTANDGSSTAIVSPDQQKKPPPKRASTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSTMSAPSHYFRGNYFNPSSFSTAAAAAQLRNRVEWDRTMNMNVVAEDSRRANTVLENPSSLSAILNFNNVQNAILQAKQELRDENTTSVGGGSGGFELLGTDSDGSIGRKRRSSSEQELLSQNMGSYLLQSNVGSLPSSHASNTAAFWMVTGNGNQSANSGGGNGNGNGNDPIWAIPSVANNGLYRGSMSSPNGIHFMNFGSPMNLMPASQYGSGIIGGSGGSGGILAESNLNMLSAMNAYRHISANGMSESSGQHHDGGGGGDDDGHDSSSQHS >CAK8543436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607937276:607939595:-1 gene:gene-LATHSAT_LOCUS12193 transcript:rna-LATHSAT_LOCUS12193 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVGDNIGLNEEVIKKSCSLSFKAHKSPKEPYLSENFKTSSDSAAYLVISFPGSWVETDWFVTKPVGETKIDLGHFPLLKSVGNDEIALVNQAFLNRFDSLFKFSSIISEVKKGVAEGKQIVFTGHSSGAVLAILATFWALEENFNQIQHKSPMCVTFGSPLVGNHILSHASNRQNWSRRFVHFVMRYDIVPRIFLAPFSCIEKLLSPVLQLLTPDDNNFKCQDSIRDSVSSEFYSTVMRNAATVTRHVACKLMGSTNLLLETMTNFVELSPYRPFGTYIFCNGNGQLIVVNNSNAVLQLMFHIAQLKDLTQLSEVANKSILQHLAYEAELEESLGMQNVVYLNKLDDLPLSSGDVPNTDIAAALDSLGLSVRARLCLRAAGELEKQKERNEEKIKKEVHEKAVASMRYLEEYKATCEINKGKGYYDAFKVQKETKDFQANVKRLVLAGVWDEIIEMLKRYELPDEFEGKTEWIELGTRFRRLVEPLDIANYYRHLKNEDTGPYMNKGRPKRYKYTQRWLEHANRLPKEDITESSFWAEVEELCSWISNNKPFEDVKERVLKLEHDIKKWTDKRDLTNDVFSKDPTFMKLWETLPHEHKSTSWISTLFTVKG >CAK8531671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137678471:137683273:-1 gene:gene-LATHSAT_LOCUS1445 transcript:rna-LATHSAT_LOCUS1445 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLILISLYALSFLQISYSTDRSQLPMIAFSWWDDIGTFMAGETATIKVKVLENGDKIDRNIFHPILTVNGKEGNSSYISTVLSDFEGDFDSWKISFTPIRVGLFNVLIDEDRYKVSDSSLHFQVEPGNMYPSVCIASWKGMKYEFEAGSKATIMVLLKDAFGNGISKTTQVSYMPDFKLFVSSKSGSIANEPEISNMGWNEFDYIVIEFVVTKAGNFSLRIEGGNQTLNGSPLPLKVNPGVIDVSKSVAKWKIEHHAWQLSSKMEIFINQLDQFGNLVSGLYPFDVEVVERDTNLSIPIADLHFEEVEAGIQLFSFGNWEPGNFLLTIYDAKHNKSISNMPYVYTVFVGYCDGVKSVVNGSGLNDSVAGIRAEFSVYLNDMYQYPSPVEEGILQVQILKNNDSYSVSPIIYPMINNNGSGMDSGVRYDGIDLTETTPSPSPSIDIGSNVRNTNIISSAFQVEYTPEKSGFYDINVYCGNIILNEGHSFRKELVAGEVNISLSSVVRFSSKVPKLSKNEIVVQVLDSYLNPVLSQESKLKLEITSTNHSGFSIWDTINNEDGSYTCSYMVKDVGTYEICASFDGKHFLPCPLGINVYSNEYFPKANDDTLSIWEDESIAFDALENDYFAGDNASIVEFSKSDHGSLIQNGRIFRYTPYEDYYGNDSFWYTISDINGNLATASVYISVLNIPPQFASAPSQLQATEDLISPRFGGFSGFEITYSNLLENISLNLRAQYGSMFLSPVLMQFGEPMWSELTINAGNETASSLILEGSVEVINIALQSLQYLGNENFYGADTIQVSAKNKNGVNSLSVPVFVDPINDPPYIRVPYFIILRSDEDERLIFDKDKDKFEFYIGDPDLLTFPSGEAHFLMSFSMEVSDGLLATNLPFHLINTTEVKHRNNYQWQSLQTYVTISKHFMVKASGIRFQGTVNDCNTVMQQLYYHGDENGATLTLTLNDMGNYGCYPDCDEGMTMPLYTEAMVNLMRKQPMDSFLAHTLGSIIVIEFVIITSLGLLLLYFTCKCAILLVHERRKNKKMSSEPSTDQTSQGQTSSINIPENTTNFTGGCWSSSLLRFRAQPSNFRQRFRAPFEVGEPSMTVNQSSPSTSETLHTVIPTSTSLDFSQHNLN >CAK8531670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137678471:137683273:-1 gene:gene-LATHSAT_LOCUS1445 transcript:rna-LATHSAT_LOCUS1445-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLILISLYALSFLQISYSTDRSQLPMIAFSWWDDIGTFMAGETATIKVKVLENGDKIDRNIFHPILTVNGKEGNSSYISTVLSDFEGDFDSWKISFTPIRVGLFNVLIDEDRYKVSDSSLHFQVEPGNMYPSVCIASWKGMKYEFEAGSKATIMVLLKDAFGNGISKTTQVSYMPDFKLFVSSKSGSIANEPEISNMGWNEFDYIVIEFVVTKAGNFSLRIEGGNQTLNGSPLPLKVNPGVIDVSKSVAKWKIEHHAWQLSSKMEIFINQLDQFGNLVSGLYPFDVEVVERDTNLSIPIADLHFEEVEAGIQLFSFGNWEPGNFLLTIYDAKHNKSISNMPYVYTVFVGYCDGVKSVVNGSGLNDSVAGIRAEFSVYLNDMYQYPSPVEEGILQVQILKNNDSYSVSPIIYPMINNNGSGMDSGVRYDGIDLTETTPSPSPSIDIGSNVRNTNIISSAFQVEYTPEKSGFYDINVYCGNIILNEGHSFRKEVKSGEVNISLSSVVRFSSKVPKLSKNEIVVQVLDSYLNPVLSQESKLKLEITSTNHSGFSIWDTINNEDGSYTCSYMVKDVGTYEICASFDGKHFLPCPLGINVYSNEYFPKANDDTLSIWEDESIAFDALENDYFAGDNASIVEFSKSDHGSLIQNGRIFRYTPYEDYYGNDSFWYTISDINGNLATASVYISVLNIPPQFASAPSQLQATEDLISPRFGGFSGFEITYSNLLENISLNLRAQYGSMFLSPVLMQFGEPMWSELTINAGNETASSLILEGSVEVINIALQSLQYLGNENFYGADTIQVSAKNKNGVNSLSVPVFVDPINDPPYIRVPYFIILRSDEDERLIFDKDKDKFEFYIGDPDLLTFPSGEAHFLMSFSMEVSDGLLATNLPFHLINTTEVKHRNNYQWQSLQTYVTISKHFMVKASGIRFQGTVNDCNTVMQQLYYHGDENGATLTLTLNDMGNYGCYPDCDEGMTMPLYTEAMVNLMRKQPMDSFLAHTLGSIIVIEFVIITSLGLLLLYFTCKCAILLVHERRKNKKMSSEPSTDQTSQGQTSSINIPENTTNFTGGCWSSSLLRFRAQPSNFRQRFRAPFEVGEPSMTVNQSSPSTSETLHTVIPTSTSLDFSQHNLN >CAK8572385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533655946:533656827:1 gene:gene-LATHSAT_LOCUS24956 transcript:rna-LATHSAT_LOCUS24956 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKKLIVKLSYPPGSRKRDSNSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTTFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRVQDTKECNMLL >CAK8570420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44412710:44415131:-1 gene:gene-LATHSAT_LOCUS23168 transcript:rna-LATHSAT_LOCUS23168 gene_biotype:protein_coding transcript_biotype:protein_coding METKHCNFWLPNKKRFCANTLLNGSLFCGNHNSRPEGQWIQCPVDPSHSVLEQNLKWHVKRCPLLKQVQSLSDQPFYQKGINAGSDGEQQEEETSGINDSRLPTMTISSEMKRNALRRMSVPEFCNLIEKVESIHESLCKDIQDSFQMPDVCSLWIKSKEEERKLPFQEKHIMQQASIVGNLESFGVLKNSLSRKQSKREETVEEKEDGVSTVIEFGAGRGYLTQMLADCYGITRVFLVERKAYKLKADRSLRQNESLTLERLRIDIEDLNLNAVESLQGVPFLATGKHLCGSATDLTLRCCFPEYKKDSSEQHTTNISFGGLAIATCCHHLCQWKHYTNKKFFLDLGMTKEEFHAITWFTSWAVDATHDSDLPDTTNCISHLQSIKEQGNGYTDGVEKILSEMEAAKRAALGFKCKWIIDIGRLMWLRKLGLEAKLVRYVEPSISPENHLLLAKPLN >CAK8562126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443308319:443311121:1 gene:gene-LATHSAT_LOCUS15651 transcript:rna-LATHSAT_LOCUS15651 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHAYMDSQNPNHKNSLYPEVIVSNPEDSSAFIFNPNSTSSSLYPSIDDVGDLVTDLFPDNRSPSAPPIAAEDVLIKVPGAILHLIDQQCSFELAIGDLTIIRLSQGDNTVAVYARVADDIQWPLAKDEAAVKVDDSHYFFCFRVPKEREDSDSSDSSDEENKKQIRRKGSRKGKGNDGWDLLSYGLTIASKGQEDLVKELDEVLMECSSFSIQEVSEKAKKKGEALDGSLAMEISPAEMETVKEKKELMEERCAAYWTTLAPNVEDYNGTAARLIATGSGQLVKGILWCGDVTIDRLKWGNEFLKKRMGPPTQAEISPRTLRRIQRAKKVTKMTESVATGVLTGVVKVSGFFTSSVANSKAGQKFFKLLPGEVVLASLDGFSKLCDAVEVAGKNVMSTSNTVTTEVVHHRYGEEAAKATSEGLDAAGHAVGTAWAAFKIRQAFNPKSVLKPTALAKSAAKVAADYKAKKSK >CAK8562127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443308340:443311121:1 gene:gene-LATHSAT_LOCUS15651 transcript:rna-LATHSAT_LOCUS15651-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNPNHKNSLYPEVIVSNPEDSSAFIFNPNSTSSSLYPSIDDVGDLVTDLFPDNRSPSAPPIAAEDVLIKVPGAILHLIDQQCSFELAIGDLTIIRLSQGDNTVAVYARVADDIQWPLAKDEAAVKVDDSHYFFCFRVPKEREDSDSSDSSDEENKKQIRRKGSRKGKGNDGWDLLSYGLTIASKGQEDLVKELDEVLMECSSFSIQEVSEKAKKKGEALDGSLAMEISPAEMETVKEKKELMEERCAAYWTTLAPNVEDYNGTAARLIATGSGQLVKGILWCGDVTIDRLKWGNEFLKKRMGPPTQAEISPRTLRRIQRAKKVTKMTESVATGVLTGVVKVSGFFTSSVANSKAGQKFFKLLPGEVVLASLDGFSKLCDAVEVAGKNVMSTSNTVTTEVVHHRYGEEAAKATSEGLDAAGHAVGTAWAAFKIRQAFNPKSVLKPTALAKSAAKVAADYKAKKSK >CAK8566542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433962481:433966889:1 gene:gene-LATHSAT_LOCUS19666 transcript:rna-LATHSAT_LOCUS19666 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSNARRVSRLLQSPIFLSSHLRSTEEPILSGLTQCNYQQVKSEPLNFFASKAFYSSVADNVEGTPTEAVKDLYDKMLQSVNVKRSMPPNAWLWSMIANCKHHHDISLLFDILQNLRRFRLSNLRIHDNFNCNLCREVTKACVHAGALDFGTKALWKHNVYGLAPSVASAHHLLLFAKNNNDIKLLEEVMRLLKRNDLPLQPGTADIVFSICYNTDEWELINKYAKRFVMAGVKLRQTSFDTWMKFAAKRGDTGSLWKIEKLRSDSLKPHTLATGFSCAKGLLLECKPSDAVAIIQVLNQTLSDAKKSGMKDELQKLVSEWPSEVLKHKQEEERKTLAASLKSDILAMLNDLLDMGLEANVSLEDLNRREGVPQ >CAK8540122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540553714:540557066:1 gene:gene-LATHSAT_LOCUS9155 transcript:rna-LATHSAT_LOCUS9155 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVWLLALMILYNGFSLTVFGIHNSTRPEFVNIGALFSFNTSVGKIIKIAIEAAVEDVNSDPNILGETKLKLSLQEDSKYKGFLSIAEVLQVMARHNVAIIGPHSSVTAHVITHIANELQVPLLSFSALDPTLSSLQFPFFIRTCHSDLFQMTAIADLVDHYGWKEVIAVYIDDDNGRNGIVALGDKLAERRCRISYKAPVSPEATPEEITNVLVQVALAESRVIVVHANTLWGPKVFSAAKNLGMMGTGYVWIATAFLSAILDISSPLPSDKMDEIQGVLTPRMYTPDSELKRRFVLKWKNLTSGNTANGPLGLSFLSLYAYDTVYALAHALDAFFKQGNKITFTNDSKLLSLHGDSLNLEALNVFNEGNKLRKNFYGVNMTGVTGLFKYAPDRNLVNPAYEIINVIGTGTRRIGYWSNHSGLSAVPPETIHSKPGNDFRESKKLLPVIWPGDIDEKPRGWVFPNNGRLLKIGVPIGLSYRQFVSQVPGSDTFQGFCIDVFLSAVNLLPYAVPYKFIPYGNGKSNPSNTELVRRITTGEFDGAVGDIAITTERTKMADFTQPYVESGLVVVAPVRETETSALAFLAPFTPRMWFVTALFFIIVGTVVWILEHRVNDEFRGPPKKQLITIFWFSFSTMFFSHRENTVSTLGRCVLLIWLFVVLIITSSYTASLTSILTVQQLSSPIKGIESLVNSKEPIGYSQGSFSKNYLIQEIGIDESRLVPLITPEQVTKSLEKGPQDGGVAAYIGQRAYIDIFLSSRCQFTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILQMADNGDLQRIHDKWLLSRACLTQGAKLEVERLKLKSFWGLYVICGSACLLTLLIYLIQIIRQYIKHHSEELDSPDQNSGPGSSRLRSFLTFVDEKEETVKNRSKRKKMERISYRSSEGGSISINSNKDYVAQSSSCIADSVSNGGSEQVFVKVV >CAK8533101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577876826:577877341:-1 gene:gene-LATHSAT_LOCUS2750 transcript:rna-LATHSAT_LOCUS2750 gene_biotype:protein_coding transcript_biotype:protein_coding MCNYSSFLLVLFLCATSSYAAKVVPVNVICQKAKNPSFCSNLLNSKSGADLITLAQYTIDVVRTDMSNTVKLINTLIANPGSVKALNHYKFCLKEFVNDGGALFVLENVQRVLKEGNYQLMNVGANDIMTNINNCINDHGFQDTSSLPKSAGDALQADQIIQTLSSFLLSN >CAK8540449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561485882:561486864:-1 gene:gene-LATHSAT_LOCUS9452 transcript:rna-LATHSAT_LOCUS9452 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMYQKKPRVPPREHTNKQENEMDLRTIMKDVENFSNSHMTWKERKRIEDRKVVSLGGKPLKNQRLPLSVARPMMKKQKQREEKMLQEHMILGRFGGKFGGSNSKKPVGKHKPEDRGLKLSEGRFRNGILDVKHLLKSTPTRGHDTGKNMSNTGKRKGGNGKHDKKGGGKKYEMF >CAK8571730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465863310:465863540:-1 gene:gene-LATHSAT_LOCUS24364 transcript:rna-LATHSAT_LOCUS24364 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNMDINNSTTETFSGPHPNLAKRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8565781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:332374440:332377037:1 gene:gene-LATHSAT_LOCUS18965 transcript:rna-LATHSAT_LOCUS18965 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSSNYAIGENSMASSSFQNVPSLSQSQPSFMTRMAMRISRSRWFSFLRRVFHYQNGPRSDLGSNPFNSRTWMMLELVALLVQITSTTFTLVISKSEKPVRPMRVWIVGYDIGCVLNLLLLCVRYCQIGLNHGGGLALSHDVEQQMNNEENSVYRSTHLMSKCKSSLELFFAIWFVMGNVWSFDSRFGTLQQAPKLQILCIILLVWNAICYSFPFLLFLLLCCCVPLITTLLGYDMNLGSSSRGASDDQISQLPSWRFKVDHTKLDLDNDSQCSERLIRDEPECCICLAKYKDKEEVRQLSCSHMFHLKCVDQWLRIISCCPLCKQELQR >CAK8542412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510630086:510632320:1 gene:gene-LATHSAT_LOCUS11253 transcript:rna-LATHSAT_LOCUS11253 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMASALETLCGQAFGAGQVEMLGVYMQRSILILFGSCFLILPLYIYATPILIFLGQERDIAELAGIFTLQSIPQLFSLAINFPAQKFLQAQSKVGVLAWLGFVFLLIHILILILFIKILGWGTAGAAAAYDLSAWGIALAQMVYVVGWCKEGWKGLSWLAFKDLWEFMKLSFASAIMLCLEVWYFMTLIVLTGHLDNPIIAVGSLSICMNLNGWEGILFIGVNAAVSVRVSNELGSGHPRAAKYSVVVTAVEALIIGLVSAVIVLITKDHFAVIFTDSKEMQQAVSKLASLLGITMILNSVQPVLSGVAVGGGWQALVAYINLFCYYIIGLPLGFLLGYKAGYRVKGIWVGMILGTVLQTAILIYVIYKTNWNKEVEQASERMKQWTGQESEMREM >CAK8531399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107391954:107392631:1 gene:gene-LATHSAT_LOCUS1195 transcript:rna-LATHSAT_LOCUS1195 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPFLYGTSCCFIEFASLIGSRFDFDHYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDPISYQRRCFTTNHKFDVGHSTHTGNSNKGLFYQPSSISEITSDTFWKYKKIKYPPTNEKVN >CAK8537664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417949368:417954167:1 gene:gene-LATHSAT_LOCUS6930 transcript:rna-LATHSAT_LOCUS6930 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIIIPALFSFLLLTVIYLSFRIFFHPKQKTNFHKKPPGPPTLPIIGNLHMLGKLPHRTLKSLSKKYGPIMSLQLGQVPAVIISSSKAAELFLKTHDLVFASRPKIQGSELMSYGSKGVAFSEYGPYWRSVRKICTLKLLTASKVEMFAPIRKQELGVLVKSLEKAALVGEVVNVSEAVENLVEDIIYKMILGRSKYEQFDLKKLVQEALVLIGAFNLADYVPWLGVFDLQGLTRGFKKISKTLDEMLEMIIAEHEQTTEVDKTRGEDFVDILLSIIHQTTDHESEQNQVIDQTNIKAILLDMIVAAIDTSATSIEWTLSELLRHPRVMKILQNEIQDEVGSKRMVEEKDLTKLNYLDSVVDEILRLHPVAPLLLPRKSRENITIDGYFIEKKTRVMVNAWAIGRDPNIWSENAEEFYPERFIDKKMNYQGYEFESIPFGSGRRRCPGIQLGLITVKLIVAQLVHCFNWELPYNISPLNLNMEEKFGLAAARAQHLHAIPHYRLVDAKHE >CAK8571330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:382773908:382774598:1 gene:gene-LATHSAT_LOCUS24002 transcript:rna-LATHSAT_LOCUS24002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGSSSTKTVGKRGVTRLQKIHKAKSNGRKIEVKWNARGQPIKHNSKSFASYIGVTVRRLVPISLDNWSATKNKEVVGVYKQNIWDEIEKAFVIGEEHRAFIYKEAGKLHRAFRTKMAKFYLRDSKGGFVKHRPAKYSYCITQKDWDKFVAQRLTEKF >CAK8542584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527457568:527458632:-1 gene:gene-LATHSAT_LOCUS11412 transcript:rna-LATHSAT_LOCUS11412 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTDSNPPSAVPLPLSLPAPPPPTTSRRLPPPCWTPEETSALIDAYRDKWYSLGRTNLKAIHWQEVADAVTARCPNASPTNKTAVQCRHKMEKLRKRYRSEIQRLRSLPIPRSRSSSSWVHFKSMDSMEKGPSPPSPPSPPPNLENHNNLNHRETLVDNDDLDDDDLYEELIPAGRVGNTRNLDKFYRNGSSGVGFRIRIPTGVSVAQPGSKFYGGHHQKMNMNNNQTESCSRGYINGGTRLVKEKIGLGKREREREVERERDPIGEMVNAIKVLRDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILESQQRIVEAFAKAVAEKNKKRKLHTGNSNINNINNIASPSES >CAK8544539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691395121:691395908:-1 gene:gene-LATHSAT_LOCUS13208 transcript:rna-LATHSAT_LOCUS13208 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSCYPNTNTRYGLKFLLYETPAAFKVCLASIHLDPKTFPSVFFWLGLNITPKNPFCLLISPKIDVY >CAK8560562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25661313:25665355:1 gene:gene-LATHSAT_LOCUS14224 transcript:rna-LATHSAT_LOCUS14224 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGPTMNRLVGDYILGPRIGSGSFAVVWRARHRISGLEVAIKEIDQTQLSPKVRDNLIKEITILRTIHHPNIIRLFEAIETNDRIYLVLEYCGGGDLAAYIHRYGRVSESIARHFMRQLAAGLQVLQEKNLIHRDLKPQNLLLESTSATPLMKIGDFGFARSLTPLQLADTLCGSPYYMAPEIIQSQKYDAKADLWSVGAILYQLVVGKPPFDGNSQLQLFQNILASTELHFPPKILKELHPDCVDLCRSLLRRMPDERLTFKAFFNHDFLHDNRSVVNIERFHSHQSESSMVNQLVGSASEKTSQAHSEYHVEKVSHLMESFEKSYVLVNSHFASLEDFSDYFEASAQDNPSSDLSICASKMTNLEIGKQTTDFSLEHPSNMLELLHQYAQILEELSQEKYNTGLYLESLAVELVVLAIWKKALDICSTWLAPISKNELHGSSSVNESVIACGDTSLSHTIDHKINFSDRPSVSLSVKQGFIIAVDRAEKLSCHIQNMDGAVEMPDAIEIIFKQALLIGTNGAVDEYMNNKDKSAVSYSKAMLLLSFIVKEAENLPLNPPFSLLADDHKRILQYIRNLQFRKISLSESFSEEAQ >CAK8564773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9401039:9401350:-1 gene:gene-LATHSAT_LOCUS18043 transcript:rna-LATHSAT_LOCUS18043 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANVSIWEGDETQTKKDSSLVKIKVLFFARARDLTGLTEVPLEVSSGSTTQDCLKKLLVQFPRLEEIQGCMVLALNEEYAMDSTIVKDKDELAIIPPISGG >CAK8572000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501067583:501069836:1 gene:gene-LATHSAT_LOCUS24609 transcript:rna-LATHSAT_LOCUS24609 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFKDKVTQKLSNIFANNSPASSSPQASSYPEEGKPVSSYLSYIIPSIYFDGSNSSKNQHDHKATHSSSGYNYENFEYQDVPSDKYVDCDPECNSTDLAKDEIINEDRTSTRNSSSCSSEVFEEANGQPQNNLKKSPLNLSDDSTFISPELHEFFESCLPNIVKGRQWVLLYSTLKHGISLRTLIRKSAELPGPGLLIAGDKQGAVFGGLLDCPLKPSAKRKYQGTSQTFVFTTLYGEPRLFRPTGANRYYYMCMKDLLGLGGGGSFALCLDEDLLNGTSGPCDTFGNKCLAHSPEFELKNIELWGFTHAMQG >CAK8536084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905953955:905954855:1 gene:gene-LATHSAT_LOCUS5485 transcript:rna-LATHSAT_LOCUS5485 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMNHVAYIASLVMSLTMWTVESRIPGVYNGGAWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNSGLSCGSCFELKCANDKQWCHSGSPSIFITATNFCPPNFAQASDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKSGGIRFTINGFRYFNLVLISNVAGAGDIVRTYVKGTRTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNIAPQNWQFGQTFTGKNFRV >CAK8565055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32105043:32105900:-1 gene:gene-LATHSAT_LOCUS18296 transcript:rna-LATHSAT_LOCUS18296 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIISKGNKGNHPIKFKEHFKRELRQWEDIDRRFSQNFQTIEASTSETAGDTEAPSFETTCDHQSPNIEYSTSGAYGIPMVEETNIQLEQNEVELNDNVEIVNDIGDENAGRDGFRRVRRRGRDIATPPAPLTSDRRERKKPDKFTHSTDHVKAIRAKTKRKSMIQILFSIRKKCGILFRKSNTVLGPGLHRMHI >CAK8570253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31637626:31640905:1 gene:gene-LATHSAT_LOCUS23018 transcript:rna-LATHSAT_LOCUS23018-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDVIFEEDNGEKGNGEGENDEEVNIEEDNGEKGNGEGANDEEVNVEEDNGEKGNGEGANDEEVNVEEDNGVSVDKEVSVDEVDDESETDPDYSMGSEDDEEEDEDLEDEIDVMNLGVDASINWKTVLPNAEKPSKLDDNSDNGSDSDVLQTPPDSDAEGDTTKFPIFREPTQLEVGMMFKDKQQIKDAIKEYAMENKKNLVFKKNDKKRMVVKCVDGCPFHIRFSMRTTNQYWQLVSLTDRHSCHRTAKNRQAKTDWLGHQFVYTIRHTPEIKTKGLIAEAIKKWGVKLSKDQAYRAKKQEMELIQGVGREQFTHLRSYGEELLKSNTNSTVKIKCADSDGGPVFERIYVCLEACKAAFATTCRPLIGLDAYFLKGDFGGQLIGVVGKDRNNKIYPIAYAIVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETSQHVKHRLCVKLLYGNWRKKYSGIFMKEALWRATRATTIPAWEKAMNHMKELNVNAWKDMMDVPAACWTRSHFKTDTQCDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYIGVTSPSIQKVLEKTKRAAEGWIATWHAYDDFAIFGVSNGVETYVVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEDYVSSFYIKSTVLATYSHIIMPTNGPQLWPVNVANPISPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKAKRAAERAIPKGGNTKSIKKW >CAK8570252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31637566:31640905:1 gene:gene-LATHSAT_LOCUS23018 transcript:rna-LATHSAT_LOCUS23018 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRGFKVVDLYVKHKVEEMSIEDVIFEEDNGEKGNGEGENDEEVNIEEDNGEKGNGEGANDEEVNVEEDNGEKGNGEGANDEEVNVEEDNGVSVDKEVSVDEVDDESETDPDYSMGSEDDEEEDEDLEDEIDVMNLGVDASINWKTVLPNAEKPSKLDDNSDNGSDSDVLQTPPDSDAEGDTTKFPIFREPTQLEVGMMFKDKQQIKDAIKEYAMENKKNLVFKKNDKKRMVVKCVDGCPFHIRFSMRTTNQYWQLVSLTDRHSCHRTAKNRQAKTDWLGHQFVYTIRHTPEIKTKGLIAEAIKKWGVKLSKDQAYRAKKQEMELIQGVGREQFTHLRSYGEELLKSNTNSTVKIKCADSDGGPVFERIYVCLEACKAAFATTCRPLIGLDAYFLKGDFGGQLIGVVGKDRNNKIYPIAYAIVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETSQHVKHRLCVKLLYGNWRKKYSGIFMKEALWRATRATTIPAWEKAMNHMKELNVNAWKDMMDVPAACWTRSHFKTDTQCDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYIGVTSPSIQKVLEKTKRAAEGWIATWHAYDDFAIFGVSNGVETYVVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEDYVSSFYIKSTVLATYSHIIMPTNGPQLWPVNVANPISPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKAKRAAERAIPKGGNTKSIKKW >CAK8578715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649118968:649131095:-1 gene:gene-LATHSAT_LOCUS30703 transcript:rna-LATHSAT_LOCUS30703 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWNYVVTAHKPTNVTHSCVGNFTSPQDLNLIVAKCTRIEIHLLTAHGLQSVLDVPLYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDTETSELVTRSMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSHNNLDNGADLLIPVPSPLCGVLIIGEETIVYCSADGFKAIPIRPSITKAYGRVDPDGSRYLLGDHTGSLSLLVITHEKEKVTALKIEPLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGCYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAVHNQLVQVTSNSARLVSSTTRELLNEWNAPSGFSVNVATANATQVLLATGGGHLVYLEIGEGVLQEVKHAQLEYEISCLDINPIGENPNQSHLAAVGMWTDISVRLFSLPDLNLITKEHLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFMLNTSTGELSDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQTRTFAICSLKYSSASAEESEMHFVRLLDDQTFDFISVYSLDTYEYGCFIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFSVEEGKLQLIAEKETKGAVYCLNAFNGKLLAAINQKIQLYKWVLREDGTHELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLMYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENSFNLFTVRKNSEGATDEERGRLEVAGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTINGVIGVIASLPHEQYVFLEKLQSSLRKVIKGVGGLSHEQWRSFNNEKKTVEARNFLDGDLIESFLDLNRNKMDEISKAMDVSVEELAKRVEELTRLH >CAK8574264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672838013:672838813:1 gene:gene-LATHSAT_LOCUS26624 transcript:rna-LATHSAT_LOCUS26624 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHELVVGTPVIYDQFQKPDNRYLLDSASHKIKCFDVSGRVCSNQKKRSRKADTNIGTSISETIKRNLSLGARILQMGGIEKMFMKYFSVVEGERLLKVCHCYLSTTSGPLAGLLFISTEKVAFCSERSIKVFNQKGQMCRIHYKVSIPVKKLKCVRQSENVEKPREKYINIVTVDNFDFWLMGVSKYRKTYKYLEQTVSQA >CAK8577654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581436579:581438458:1 gene:gene-LATHSAT_LOCUS29741 transcript:rna-LATHSAT_LOCUS29741 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQNDEIPIGWPFGLGVLNMRLRVVESLPANNVSAEPYLLSLHIPSTSFSSVSSSNLDTESTASFFKDSSVSLGHLIGIRPGERKRLYFTNQLRFEEKEKKTCSIDASKSQEVNMSCGICITSILDPLLIKISKSKKNLRN >CAK8539284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508334233:508337689:1 gene:gene-LATHSAT_LOCUS8394 transcript:rna-LATHSAT_LOCUS8394 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEATNVVLAKVKNFDPENASKIMGYLLMNLEEYELVRLACCPDHVIHNLAIRVKSHLGMTLSNPSSPSPLNPIGRITSTSTNPFSKSSPRAVANGFDFTRNPASPSSNVWPQPSFQKSPMSPKFNPLLSYENIQTGVGTSAGSSSFSPRVNNGGDCEFVDEQQLNEYFPFLNESSNGDDLVDPRLEMNPGPQNWMSANNGDAQNIHRRSFSASDAGFGVEESGVGFGFKPCLYFARGFCKNGSNCKFVHADSIDVNSAAVVGSPSKFDGMEQHEEFMRFKAAQHQRLLAASQLAAGGTSPSSYDKYIDFLMQQHNDNQRAAAAAAFMMGEEYFNISGRGRPDRNEFLAMVAGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKYGPVQDVRIPYQQKRMFGFVTFVFPETVRNILSKGNPHFICDSRVLVKPYKEKGKVPDKRQHPQQLERSDFSPCLSPSGFEPKEPFDFHPGARMMYNPHDMLLRRKIEEQAELQQVLELQERRLKSLQLPDFKNIPIHHQRSVSVGAPFIFPHQLHGHFNHAGLSPDNIQGDITGYGVSLIPTGSFGAAPEQQLQKEADPSRVDAAAAESGNIINTANSEALDLDTRNVEQTLPESLFASPTKASGDHQSDFSPLEDVNEKQDSTTTAPSNNNASL >CAK8561180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:114073684:114080482:1 gene:gene-LATHSAT_LOCUS14792 transcript:rna-LATHSAT_LOCUS14792 gene_biotype:protein_coding transcript_biotype:protein_coding MENRIYASYSPTNYTVSGAPSTSPARFYNPDTMFLAHYRRTAEAAAAAAAIDIAPPGVSSRISSSSTANFLAHTNPWASAFTAANIASASLGLKRSSDALYHPTILSTIGQNEAWYTTNSLAKRPRYETGSTLSIYPHRPGERDCAHYMLTRTCKFGESCKFDHPIWVPDGGIPDWKEVPNNVPSETLPERPGEPDCPFFLKTQKCKFGSKCKFNHPNVPSENADISGLPERPLEPPCAFYLKTGKCKYGVACKFHHPKDIQIQSSDELSRTVEQTQTNSAVFDGAIGDAQPTKSLISPLLHNSKGLPVRQGEVDCPFYMKTGSCKFGVSCRYNHPDMNAINPAMSALAPSAFASSAAANLNIGVINPAASFYQAFDPRLSNPMAQVGMTESIYPQRPGMIECDFFMKTGICKFGERCKYHHPVDRSTSSLSKLQSNVKLTPAGLPRREDVELCPYYLKTSTCKFGATCKFDHPPPGEVMEKAKSQGTSATNGEEEETKETNVNVAGSAPEQCLDDV >CAK8531812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:155106207:155106515:1 gene:gene-LATHSAT_LOCUS1577 transcript:rna-LATHSAT_LOCUS1577 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNIPDTFNKTKDMIRDLSLDYKKIDACPNDCMIYWKDHENDTSCHVCGAPRWNEDVKGNYHVEKNHKYHKVPSKVLRHFLLIPRLQRLFMCSKTASSLR >CAK8534807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770420916:770424817:1 gene:gene-LATHSAT_LOCUS4319 transcript:rna-LATHSAT_LOCUS4319 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLSFHSKNVFFNFYMILLFSFGVFLVSSINEEVSILLKFKSSLLDSNDNLVNWNSSDLTPCSWTGVYCTDSIVTSVTLHNLNLSGNLSPTICNLPWLTELNVSKNFISGSIPEAFFVNCDKLEVLDLCTNRFHGAFLTLVWKIKTLRKLYLCENYMYGEIPEDIGELTSLEELVIYSNNLTGSIPASISKLKKLRVIRAGLNGLSGKLPSEISECESLETLGLAQNQLTGSIPKELQKLQNLTSLILWQNSFSGELPLEIGNISSLELIALHQNSLIGGIPKDIGRLLRLKRLYMYTNQLNGTISAELGNCTNAIEIDLSENHLIGIIPKELGQISNLSLLHLFENNLQGHIPKELGNLRLLKNLDLSLNNLTGIIPLEFQNLELMEDLQLFDNQLEGVIPPHLGAVRNLTILDISSNNLVGMIPIHLCEYQQLQFLSLGSNRLFGNIPYSLKVCKSLVQLMLGDNLLTGSLPVELYELHNLTALELHQNRFSGPISPGIGQLKNLERLHLSDNYFSGYLPSEIGKLAQLVTFNVSSNRFSGSIPDELGNCARLQRLDLSRNKFTGMLPNSIGNLVNLELLKISDNMLLGEIPGTLGDLIRLTDLELGGNWFSGRIPFHFGRLSALQIALNLSHNNLSDTIPDSLGSLQMLESLYLNDNQLVGEIPSSIGDLLSLLVCNVSNNKLIGTVPDTTTFRKMDFTNFAGNNGLCRVGTNHCHPSLASSHREKPTKDGLSREKIVSIVSGVVGFVSLIFIVCICWTMMKRRRSDSFVSIEEQTKPHVLDDSYYFPKEGFTYNDLLEATGNFSEDEVIGRGACGTVYKAVMNDGEVIAVKKLNARGGEAGNVDRSFIAEISTLGKIRHRNIVKLHGFCFHEDSNLLLYEYMENGSLGEKLHSSSKSCSLAWNVRYKIALGAAEGLCYLHYDCKPQIIHRDIKSNNILLDQSFQAHVGDFGLAKLIDFSFSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGRSPVQPLEQGGDLVNWVRRSIQASTPTSELFDKRLNLDEQRTVEEMSLILKIALFCTSTSPLNRPTMREVIAMLIDAREYVNQTPTSPSSETPLDEEKSSSSKDDGVKL >CAK8543778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639235268:639236305:1 gene:gene-LATHSAT_LOCUS12514 transcript:rna-LATHSAT_LOCUS12514 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVECAELPQEIIESISERLTIYSDYLRFRCVCRTWNYSVPKTPLHLPPQLPWLMLSHKSFFDLTANKLHLLDLPLSSSHATRICGSSFGWLVILNQISEVSLLNPITHITLSLPSLYTLPEFVRKHLDNNNNRFVNKVVLSSSPSHGDDFAAFAILNPNQLAFCRKSYDSWVLLRVNENHLWMDVVSKNGLFYAVSSEGMIAKCDVESSHVSIIKTTDSISLWNAIYYVVFSGEDMLLVYRYLQKENVSQTERFRILKLNWNVLKWEEIHTLGENTLFVGQKSCVSFCAADFVGCRPNCIYFVRKDDISVFSLSDKSIALLPHYPLNLDRQLGCSIWITPNLQ >CAK8537681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420582589:420584088:1 gene:gene-LATHSAT_LOCUS6947 transcript:rna-LATHSAT_LOCUS6947 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLVRSTAQALFRRSRNFSTAAIAQPRHHHGSGAHGSFYLQRMSTLPEKQDQQPEENKNETNSKNSKAVVSSYWGIARPKLLKEDGTEWPWNCFMPWESYSSDVSIDVTKHHVPKTFTDKFAFRSVKFLRVLSDMYFKERYGCHAMMLETIAGVPGMVAGMLLHLKSLRKFQHTGGWIKALLEEAENERMHLMTMVELVKPSWHERLLVITAQGVFFNAFFVFYILSPKTAHRFVGYLEEEAVISYTQHLNAIESGKVENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHHQGKELKEAPAPIGYH >CAK8538050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460298479:460300269:1 gene:gene-LATHSAT_LOCUS7290 transcript:rna-LATHSAT_LOCUS7290 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPHHFHLHHNLVSFSSKPRYKNHDILYTISEAINTIHNNNLHSQAPPDYSLNPSLKRILPSLTSHHITNLINLNPLSLSPHSLFSFFNWLASRPPFRHTLHNYSTMAHFLSSHNMLSQTHSLFLFIISRMGHHSSTSLIASLLQTNPTHHHHHCSGIVFDALIIAYTDSGFIQDAIQCLRLLKKNNFAIPLRGCEYLLRRVMKLKQPEPCWEFYLEVLDYGYPPKVYLFNILMHGFSKVGDAMNAQKVFDEIPKRGLRPTVVSFNTLISGYCKTGNVEEGFVLKGVMESERISPDVFTYSALINGLCKESRLDDANNLFDEMCERGLVPNGVTFTTLIDGQCKDGKIDLALRNFQKMKDQDVRPDLITYNAMINGLCRVGDFKEARKLFNEMIGNDLKPDKITFTTLMDGCCKDGDMESALEIKDRMVEEGIKLDDVAYTALISGLCRDGRVREAEKLLRDMLSAGYKPDDPTYTMVIDCYCKKGDVKIGAKLLKDMQRDGRVPGVVTYNALMNGFCKQGQMKNARMLLDAMLNLGVVPNDITFNILLDGHCKHGGSIDFDVFSGEKGLVSDYASYIALVNESSKISKDRLRN >CAK8574770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8815960:8817222:-1 gene:gene-LATHSAT_LOCUS27080 transcript:rna-LATHSAT_LOCUS27080 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKSNMSRTPLSVFFSADLITEIISLLPVKPLLRFKCVSNSWNTLISDPIFVKLHLKRSTTSPNPQFTLITNHLKPSEGDYSFVSDWSLVPYPISRLLDNPSATFVVDSYYLLDSKESSIAGSCNGLICLVGHNFSNTFTEYHEYWLRLWNPATRKISQKIGYFRELYSFVFNFGWDDSTGSFKVVASRFIRDGHTSEVRVFTIGDNVWRNIQNFPVVPLGLELRGRRIDKGYDYEHGCVFSNTTFNWLAVHKDISFNWSYYVKDMIYDDIVIVSLDLGTEMYNCYRLPPGELPPEEPTIGVLEECLCLCYSYKGTDIVIWQMEKFGVEKSWIQFLKISYHNLQLDYHFSFLPLFLSKDGDTLVLCSSYNEEAVIYNLRDNSMQRIEVKVHKTIIDDETHNSLCLTLAQSYVESLISIC >CAK8574412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680746219:680751192:1 gene:gene-LATHSAT_LOCUS26763 transcript:rna-LATHSAT_LOCUS26763 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRPENIEESVASVLEEAKELQDSVAGHISKSLSDEHPLRQRALALDSKILSLRSSLDSLLNNKLINHSIADKLDEDLQRARCIVVDGDASSLLPGHAHGKFLRMFLGPINVRASRKDVQLKVKEEYNSYRDRTALLFLLFPAALLILRSWVWDGCLPAFPVQIYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMIMAVVSLTWEIKGQPDCAKKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLLLLNTAFAGEISEWQVIFCGLLLVIMAIGNFENTVQTLLVKSRFKAKMRRTKSKQRLD >CAK8571829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:480596049:480597465:1 gene:gene-LATHSAT_LOCUS24455 transcript:rna-LATHSAT_LOCUS24455 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNGEANVNTGNYLAARAHNCVNHGGEMNFVITHEFEWPTLTFQWFPAYNELEGENNSVQKVILGNHDEEYDRNYLMIAEVQIPYRNLDSDYSSNTKCPRNNDMDDDIEFNIIKMINHDGNVNIARYMPKKDSIIATKTNGPQVYIFDTEKQPSRTSDDRARPELSLLGHKTDGYGLSWSNFNSGHLLSGDYDGNICIWDVNATHNNLTLEPLRVFKVNEGDIKDIAWNSKNANLFGSVGKKNFHLWDIRAPIVNNPVQYCNAHSETVNCLSFNPFKEWNIVTGSNDQTIKLWDTRKIGKSNDMYECVHTFKQVDGCVFQVDWNPNNEAMFASGCHLGKVIVWDISKIDDNQNDMDVEEGPAMVYVHHGHLGEISDLSWNPCEDMMIASVEAGNSIQLWKIY >CAK8540428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559839745:559842325:-1 gene:gene-LATHSAT_LOCUS9433 transcript:rna-LATHSAT_LOCUS9433 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTARAVIKKTKNILGGVTLLPRSTSHSFRSFIFQNPSPLSPQNSQSLPFSTPHTSFYRHFSLSHSGETSDDGASTDGWEEEDEAEPKIGDGGSGGGVALQNVPWGQRALSIAEEVLMKFSEDIKLYAFKTSPRGYVYVRLDKLTSEYGCPSMEELESYNQEFKKRLDEVGALGDIPDDLALEVSSPGADRILKVPDDLSRFEDMPMRVCYTENIESNFREVGGVFFLDSIEKDSETCVWKLADVKENRDPTKKGKPLNRKQKDWRLRLPFDLHRMVTLYVDY >CAK8539057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502592584:502595948:-1 gene:gene-LATHSAT_LOCUS8191 transcript:rna-LATHSAT_LOCUS8191 gene_biotype:protein_coding transcript_biotype:protein_coding MRYCCSSYGVPPLQSCKIPTQTHHDTHIIPTNPTHLEISLRSNTLMTFVAGITAAQLVAPVTAKAEMAASVFALADGTLGDWFGGILFTAGQQANEAVLDQLSSLSFTSLAVIYGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSKGQVIGDSIAFSLGLATTLALLGVGASFAGKAYGQIGQGLPLGASGLAVVMGLNLLEIIELQLPSFFDSFDPRSVAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKNPVIGGSLLLTYTTGYISPLLLAASFAGALQSLLSFRKYSAWINPVSGAMLLGGGVYTFLDRLFPATMAM >CAK8571624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:450917484:450917810:-1 gene:gene-LATHSAT_LOCUS24266 transcript:rna-LATHSAT_LOCUS24266 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFVGKVQKSLSLCVPGKHALSYWNEDHATTTTELADDVMKGYFAVLARKGDETRRFIVGLDYLTDPAFVGLLDDAWEEYGFRQKGTLVVPCRPMELQNILDGRKM >CAK8564415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672707607:672717470:-1 gene:gene-LATHSAT_LOCUS17722 transcript:rna-LATHSAT_LOCUS17722 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSHLLLNLQFLSPHSSLPSSKRYTVPFPTPIRRASSSSTLRLRNCTRRIRNSATNDKVNATEQKKPSQQTVNRAYPFHEIEPKWQRFWDQHRTFRTPDDDIDMSKPKYYILDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTVTNINRFTTQLKSLGFSYDWDRELSTIEPEYYKWTQWIFLQLFKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVVRKPMRQWMLKITAYADRLLEDLDDLDWPESVKEMQRNWIGRSEGAELEFCILDGDGKERDMQIIVYTTRPDTIFGATYLVVAPEHPLLSSLVSTGQSNHMKDYIDLASRKSDLERTELQKEKTGVFTGCYAKNPANGEAIPIWVADYVLGSYGTGAIMAVPAHDSRDYEFALKYDIPVRWVVMPDDKSIKESGKAFPGEGIIANSSDTLTGLDINGLCSKEAAQKVIDWAEQGGNGKRKVNYKLRDWLFARQRYWGEPIPVIFLDDSGETVPLDETELPLILPELDDFSPSGTGEPPLSKAVSWVKTTDRLSGRPATRETNTMPQWAGSCWYYLRFMDPNNSKELVDKAKERYWGPVDVYVGGAEHAVLHLLYARFWHKVLFDIGVVSTKEPFQCVINQGIILGEVQYMACRDKDGNLISADSTNMLNEHNLERISEEKVTKSGDSFVLKENPDVRLVARAHKMSKSRGNVVNPDDVISEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVYRFLGRTWRLIVGLPLSDGTFKDRTVSVDEEPTIEQLRFLHKCIAKVTEEIEGTRFNTGISAMMEFLNAAYKWDKHPRSVVEAFVLLLSPYAPHMAEELWSRLGHTKSLAYEPFPKANPAYLKDSIIVLPVQINGKTRGTIQVEETCTEEDAFALASRDEKLSKYLDGQSIRKRIYVPGKILNVVLDSKNIKVGVQ >CAK8537511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:381045171:381046241:-1 gene:gene-LATHSAT_LOCUS6800 transcript:rna-LATHSAT_LOCUS6800 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMDFFNNSQDPFNGDLMEVLEPFMKSSSTTTPSSSFSTSTPSSLNSHLPSTSSPFYSFTPTYFQPSFSSPSFPPPQPNFYTEKGYCSSMMNYQFPSGNSSSTSQNFIGFEQPQPSSAIGLNNLTPSQINQIQTQIQFQSQQINPNLSFLGPKPVPMKQPGVPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDRAAYRLRGDFARLNFPNMKDQQGLFGEFKTLHSSIDAKLDAICESLGSDEAKKSEKVEKKVKLSKKCVKKEAQVQVQVQPIVVENDDNNNNKKVVVESSLCCSSEGSDDSSPLSDLTFGDSGEPQWGNFNLQKFPSYEIDWDSL >CAK8577201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544507860:544511292:1 gene:gene-LATHSAT_LOCUS29330 transcript:rna-LATHSAT_LOCUS29330 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINSSLSPLRNHYYDVFVSFRGEDTRNNFTDFLFHALQTQGIFAFRDDTNLPKGESIAPDLLHAIQHSHIFVVVFSTNYASSTWCLRELEKICECVQVSGKHVLPVFYDVDPSEVRHQKGFYAEAFSKHEHRFQRDSLMVSRWRQALTQVANLSGWDLRHKPQSAAIKEIVQKVINILDCKSSCLSKDLVGMDSPIQELEKLLLLDSVHDVRVVGICGMGGIGKTALATVLYDRISPQFAACCFIDDVTKIYRLHDGPLGAQKQILDQTLGQEHHQISNHYNATNLIRRRLCRQRALIILDNVDHIEQLEKLAVHREWLGAGSRIIIISRDEHILIQYRVDAVYKVSLLDSINSLQLLCRKAFKLDHILNSHEGLVNGILDYANGLPLAIKVLGSFLYGRDVSEWSSALARLRESPEKNVMDVLRLSFDDLRETEKEIFLHIACFFNIHAEKYVKNVLNCCQFHADIGLRVLIDKSLVSTKDGRIVMHNLLKELGRNIVKENTSKEPRKWRRLWFAKQLNDVKLENMEKNVEAIVLNHDYEEYSEVDKDMDAVIFEDFSNLRLLILDYVNVSRSLNCLSNKLRYIEWSHYPFMYLPSSFQPNQLVELILKSSSIKQLWEGKKYLPKLRILDLSHSKNLIKMPDFGEFPNLERLNLKGCIKLVQLDPSIGLLRKLVDLNLEYCGSLVSIPNNIFGLSSLKYLNMHGCSGCCFKEFNNTRHFDISETASHSQSTSSICKWAINTSLLHTPSTNTPMFPSFLSKYCLRELDISFCGLSQLPEAIGFLRCLEMLNVGGNNFVTLPSLRELSKLVYLNLEHCKQLESLPALPFPMTIEQDLRQNKYWKRTGLFIFNCPKISDKELCSRMTFSWMTHFIQVNNDYPAFFNIGIVIPGSEIPSWFNNQSVGSSLPVSPVMQDNGHNITGFLYCVVFSIAPHYPIVTGSSQWIPQMILYAPLSHIAFLPVIAYEDLITIKSNHIWLIYFPWESSFGAVYDGFHVETHRDGGLDIEVKKCGYRWVYKQDLQEFNSTKCT >CAK8540600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12031790:12055039:1 gene:gene-LATHSAT_LOCUS9592 transcript:rna-LATHSAT_LOCUS9592 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGVVRPTKIKIGVCVMEKKVKCGFEVSSAPMEQILQRLQAFGEFEVTHFGDKVILEEPIESWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEPQHLLHDRRKVYERLEMFGIYVPRYALVNREVPYQQLDYFIEEEDFVEVHGMRFWKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPILPWKVNEPAQPTEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAIQLQDLLDATRMLVPRTRPDRESDSEAEGEHGEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSNLKTIHSDESPEFSWMVDGAGLPSNASELLPKLVSLIKKVTEQVRLLAKDEDENLTETNLYDIIPPYDQAKALGKTNIDVDRIASGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGINPSQKLKIGSKIARRLLGKLLIDLRNTREEAISVAELKSNQDHDSSFTKTEMENSDAKPKLNKNDEVRKSITLSDISMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLEEEDSLVCYNALERLYRTKELDYMSYIVLRMFENTEVALEDPKRFRIELTFSRGADLSPLEKNDNEAASLHQEHTLPIMGPERLQEIGSCLTLEKMEMMFRPFAMPAEDFPPPSIPAGFSGYFSKSVLERLVNLWPFHKHAHSNGK >CAK8540601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12031790:12055039:1 gene:gene-LATHSAT_LOCUS9592 transcript:rna-LATHSAT_LOCUS9592-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGVVRPTKIKIGVCVMEKKVSSAPMEQILQRLQAFGEFEVTHFGDKVILEEPIESWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEPQHLLHDRRKVYERLEMFGIYVPRYALVNREVPYQQLDYFIEEEDFVEVHGMRFWKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPILPWKVNEPAQPTEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAIQLQDLLDATRMLVPRTRPDRESDSEAEGEHGEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSNLKTIHSDESPEFSWMVDGAGLPSNASELLPKLVSLIKKVTEQVRLLAKDEDENLTETNLYDIIPPYDQAKALGKTNIDVDRIASGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGINPSQKLKIGSKIARRLLGKLLIDLRNTREEAISVAELKSNQDHDSSFTKTEMENSDAKPKLNKNDEVRKSITLSDISMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLEEEDSLVCYNALERLYRTKELDYMSYIVLRMFENTEVALEDPKRFRIELTFSRGADLSPLEKNDNEAASLHQEHTLPIMGPERLQEIGSCLTLEKMEMMFRPFAMPAEDFPPPSIPAGFSGYFSKSVLERLVNLWPFHKHAHSNGK >CAK8562360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478117657:478120100:-1 gene:gene-LATHSAT_LOCUS15862 transcript:rna-LATHSAT_LOCUS15862 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTCFLYLLHVLALLSVSLVQAEDAYKYFTWTVTYGTLSPLGSPQQVILINGQFPGPQIDLVTNENVVLNLVNKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGTYTYIPSTQLHKAAGGFGALNVYHRSVISVPYAYPDGDFTLLIGDWYKTSHKALAASLDSGKSLAFPDGLLINGQAHTTINGDQGKTYMIRISNVGMSTSINFRIQEHALKLVEVEGSHVIQNMYDSLDVHVGQSAAVLVTLNQSPKDYYIVASTRFSRRILTATAVLHYTNSHSPASGPLPNPPAYQYHWSLIQARSFRWNLTANAARPNPQGSYHYGKITPTKTIVLANSAPLINGKQRYAVNKVSYVNPDTPLKLADYFNIPGIFSVNSIQSLPSNGPASVATSVLPTSLHDFIELVFQNNENSMQSWHLDGYDFWVVGYGFGQWIPAKRRNYNLVDALTRHTVQVYPNSWTTILVSLDNQGMWNLRSAIWERQYLGQQLYLRVWNNQHSLANEYNIPSNVLLCGKAVGHHL >CAK8568193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580926860:580931950:1 gene:gene-LATHSAT_LOCUS21179 transcript:rna-LATHSAT_LOCUS21179 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSSLSSVEAGLCNVYNDGKDFQRDGIPSNETWQVCLKCNEYPIDWCKKANPMEEDRRNADDPYNSNCVRSSGQPSTASIMTEKTAPNLVYRRKKLRKGSTAPLFKLGPTDVTIANIPSVISSTLHLSSGEDQTTGFRVEHQIEMVKEPTLPSVLLEEAAKDITQKKLGIGSVNDSCSSSKSNMALVSDSLDTEMDNSGECSSSGVIGMDAASEDLTEKEFCVNILRSHGLLRGDTLTDNVVSGEDAVTIGNNYCSRSCKICGHLDSSVKMLLCDHCEDSYHPYCYNSRLKKIPIDEWFCHSCLNKRQKILKETITKSPGINSEMRKCRTVSIKGEMNPMLLMLRDTEPYTSGVRVGKGFQAAVPDWSSPVKSDEDDFPEPLEINPSEFYRTQEENMRNPIRLTIGNWLQCQEVIDKTSETICGKWRRAPLFEVQTNGWECFCAIHWDPCHADCSVPQEVETDEIQKQLKYIEMLRPRLAAKQRKIDCTNKGD >CAK8574604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3574204:3575565:1 gene:gene-LATHSAT_LOCUS26933 transcript:rna-LATHSAT_LOCUS26933 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDWRARLLPVTRPRIVSKILDTLQRHLRYSGSEGLLELQKIAQKFEEKIFVSATSQSDYLRKISLKMLTVETKSQITITNSILPSQGNFGVVPISVAVPKALSNQNNIGLNSTYMTNIPAEKEYPLTQRDHFKQKRVSLGNSSYSKRKRVFGEFFIFF >CAK8538615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489328470:489331959:1 gene:gene-LATHSAT_LOCUS7796 transcript:rna-LATHSAT_LOCUS7796 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLDTRFPAGRIKKIMQADEDIGKIAMAVPLLVSKALELFLQDLCDRTYDVTLRRGAKTMNAFHLKQCVQSFNIFDFLNDIVSKVPDLGGSVATGDDQTVTKRRKVAEDDDNDSDEETKRNKMPESAHTSGRGKGRGRGRGRGRGRGNKTVDQEICSHVKFEDDSEALKQNGNHTQSNESSKNVPEPEEVKLSSPVRQPEEVKPSSPVRQPEEVQQSSPVRKPAVVRNFDLNLEPDENMDFLSSPTPVPTCSRPIDSTSEEKIEEYPGWSLSDMEKMAIDPIQLASLNNRIDEDMEDYDEEA >CAK8531256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93724036:93727312:1 gene:gene-LATHSAT_LOCUS1062 transcript:rna-LATHSAT_LOCUS1062 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEANGDGDGEAGVPSPKSQTQDSTENNGNSSEPVLSKSALKKQARQQRWEAKKVEKKAAAKEQKKKETERKRMEWEESLASMPEDERTKFIESRISIRKERVEQQALEKNSKKERLSKGKEHGQNVVIDLQFSHLMTPSEIRSLVQQIMYCYAANGRCESPAHLWLTSCEGEMDNQLKKIPGFDKWIIEKESKPYIEALQDRKDDLVYLTADSETVLEELDLKKIYIIGGLVDRNRWKGITMEKAQEQGIQTAKLPISNFLKMSSSQVLTVNQVVEILLKFIETRDWKTSFFAVIPQRKRSQADSEGNADNNVADEEEEEECEQKGRV >CAK8539991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534223166:534224093:-1 gene:gene-LATHSAT_LOCUS9034 transcript:rna-LATHSAT_LOCUS9034 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSIFKSHTHHRKGPARFRSLDFGERNGYLKGVITDIIHDPGRGAPLAKVTFRHPFRYKKQNELFVAAEGLYTGQFIYCGKKATLVVGNVLPVRSIPEGAVICNVEHHVGDRGAFARCSGDYAIVISHNPDNDTSRVKLPSGAKKIIPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAAATASKAD >CAK8538614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489308224:489310185:-1 gene:gene-LATHSAT_LOCUS7795 transcript:rna-LATHSAT_LOCUS7795 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASRSKSKDKKASKEAQKASAKSTGSGSAASGIPASGYNPLLGTFHSLDISVAPSTSPIHSNGRFRNIDETDEHPGSSVVAGVEYDSVSNNGSWSGESEDHKEKTSNPPVRLEAVPGADNDKREKIRQKNERKHQRQKERRAQELRERCRGYLMSRKLETLAQQFVAMGFSQERATMALVLNEGRVEESVAWLFESGEEDGQNDKTMGRGGNLKIDISEELARIADMEIRYGCSKQEVERVIVSCEGDLEKAAEILRETKHDPPSAPPKPEETGDPSIINNGKQSGVSSQNPRSQTKPVPSPNQHKTDDKDFNYTKAAITNGVSSDSSNKNMQPLKRMPPKSDWVKPQQTTIPTDKRWSGAGSNPSVSYTLTSPLQVSPPPAKTDARFVSVGGDYKNLQPGVAKEPIVVMQRPQTVNAKQIPAASMSSSPPGISASWYQSNSVEAMRSNMFLPQAPSTRSPSPNYRGSNQMYHQLPYQPQQLFAAGSSNSVDPQAANRGNSNWNRAGPSQAIAAASSLGLFSGKGSAATSGASSPVDWSTGGSMQFDYTSIDWSLDRGLSSPKSNTLLLGLSPFTKTRAQMHDSNASGVVALQQTMRPLTSNGSNITAPGLQDGGAAAGESSTAGSREWSSPFEGKDLFSLPRQFVSSPSR >CAK8575932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365612614:365613858:1 gene:gene-LATHSAT_LOCUS28157 transcript:rna-LATHSAT_LOCUS28157 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLTLSSFNSLTYLNRVQPSQNRVGPPRLTVKCGYGLEFGVGSSRCDWQSSCTILASKVISQQNGSPTDGNHHVSAFNGELNAVTDLQLVPVGNKPLPPKPLTITDLSPAPIHGSQLRVAYQGVPGAYSEAAAGKAYPNSEAIPCDQFEVAFQSVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKDCLTRVISHPQALAQCENTLTKLGLNVAREAVDDTAGAAEFIATNNLRDTAAIASARAAELYGLSILADGIQDDPNNVTRFVMLAREPIIPRTDRPFKTSIVFAHDNGTSVLFKVLSAFAFRNISLTKIESRPHRGRPIRVEDDESEGTAKHFEYIFYIDFDASMAEVRAQHALAEVQEFTSFLRVLGSYPMDMTPWSPSSTSH >CAK8577694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583841421:583842206:-1 gene:gene-LATHSAT_LOCUS29776 transcript:rna-LATHSAT_LOCUS29776 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNNLKSPRNGSSLPSPRYSQSSSSSTNSNIINNEPVLNLPKPVTRSEPGNPYPTTFVQADPSSFKQVVQMLTGSAETAKQTSSNSTTTTTTTNSNNNNSRNHIPPIKTIPKKPNQSGFKLYERRNSLKNLKLNPLLPVFSPNNSNPSGFSPRNADVLSPSILDFPALALSPVTPLIPDPFNRNAVPGGGGSGYRCENVSPSPSPVLNTEAEEKAIKEKGFFLHPSPGNTPRDSELRLLPLFPTTSPRASDPSSSTSST >CAK8570144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25697065:25698633:-1 gene:gene-LATHSAT_LOCUS22922 transcript:rna-LATHSAT_LOCUS22922 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKEEPKTLEFKWGKKRGKGGKKRDIQFYESFTLNGVDYALFDTVYLKNGAQPEPPIGKIIKIWETAGEKARKIKIQRFVRPCEISNLLTGIKIYYNELFLACGDSDCTGPAIINPVESVAGKCNVVCISKDSRNPQPSDKAFRKAGFVFYRYFDFGQSKIVEEVDDKIAGIEVKHFFNKAA >CAK8535988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895591636:895596254:1 gene:gene-LATHSAT_LOCUS5399 transcript:rna-LATHSAT_LOCUS5399 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIELDEAMKVLDSCLSQIKWRLKSTSKRRLQLDIIALITRMRPVIMIDYGGIMPQLQYQLSSLLQLAQKESTIFEDLRLMVIQEMIYLIHVTEMNDFVGSSLDSQLLFVDLEHESPKLITEIEKSQLAMQFVSIQKLFSTFFSSNAEAKLVDDANYSAHCSQSSSTECIDLSYCMENTDILVPTLNGWLLGYPVVYLFGKDHIADAVYNLSTKYLHIFQVFVCRNSNLKKGNQAEELLSFSVPYDLSTTGSKEQWAEAFLAQMQAKWERCPNVWKSLKMEVSECNPQAIVL >CAK8530447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22091069:22096248:1 gene:gene-LATHSAT_LOCUS311 transcript:rna-LATHSAT_LOCUS311 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISTEEVPPDDLRCSRTDGRQWRCKRKAMENVKLCEVHYLQGRHRQNKERVPESLKLSRDMKVKKKKKKNDVVEVRSKNKKKKNKHVDVQLELIRMVLEREVDKKKKNGKRNDNEETELHYSEGELRKELPNGVMEIAPVSTPCDVEFQSNGKTFIAPRYFRSKNVDRRVPFDNLQVLKNCGRKKCHCCQSSDSGNLIKCSNCQKEFFCLDCIKRRYSETPNEAKMACPVCRGSCTCKYCRAGLSKDNESKDHLAGRNSVDRILHFHYLICMLLPVLKQISEEQRTELETEAKNKGKNISDILIKQVEFGRNEKNICNYCNSSILNLHRSCLSCSYSLCLRCCQALSQGSPFEHINLTTEIPDKMDACIIDNESYPSDDNTISSDDVTDTSLLSDWTGCNGTTDSVSCPPTELGGCGNTHLDLRCVFPLSWIKEMETKAEEVVCSYEVPEILDKNSSCSLCIDTNHKTNRHKQSQEAAQREDSNDNCLFYPTSFTISSDHFEHFQKHWGKGHPVVVRDVLRSTKNLSWDPLVMFCDHLENSISRYENNKDLLEACLDWCEVEINIRQHFTGSLRCRPQKNDCHEMLKLNGWLSSELFRKQFPDHFDKVIDALPLQEYMNPRSGLLNLAAYMPDGSAKHDKGPYVHISYGCADKETCSVLNLSYEPYDVVDIMAYTTDAPLSPDHLTKVTRLLKKHQTLCQSGSSMITTEHVEDKEQREIDSIAMEGTGFYRRVNRSSYINEVKTIPSQSLEDNTSNNEERVSCSDSDSEKTLSSLPLHKTVRSTEMHSVAQWDVFRRQDEQKLLEYLKRHADEFSCASEHHGKKMVQPILDQSIFLDTTHKMRLKEEFNIEPWTFEQRVGEAVIVPAGCPYQIRNSKCCVHVVLDFMSPENVAECIQLTDEIHLLPEDHIAKVDMLEARKMALNSVETAIKEIHELTSNPKS >CAK8561116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97681475:97685721:1 gene:gene-LATHSAT_LOCUS14735 transcript:rna-LATHSAT_LOCUS14735 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFRVSFEDEKGAETGMPSFNSDDACYTEGNTADAFHVSDFSAFGQPYRLEDAVALSGNSNSIFNSLKVSGQTISPGPVHISTLGKFPTSLDKSPLENQTEPHRLQLTKLQSSNPSSSTILSVHIENQEEFAMADASPRTDISTDVDTDDKNQRFDTNQSLAAVGSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGEQTHSLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVSQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPSSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >CAK8544603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695112918:695114057:1 gene:gene-LATHSAT_LOCUS13263 transcript:rna-LATHSAT_LOCUS13263 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHIAEKGKQGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTEAPASISSYTGFHMVGDIVALIDLLEVEQVFLVAHDWGALIGWYLCMFRPERIKAYVCLSVPYVRRNPKIKTMDGRRAAYGDDYYMCRFQEPGKMEAEMTEVGTAYVLKNILTTRKTGPPILPKGEYGTGFNPDTPDTLPSWLTEDDLAYFVSKFEKTGFTGGLNYYRNLNQNWELTAPWNGVKIKVPVKFITGELDIVYTTPGMKEYVHGGGFKEDVPNLEEGIVQKGVAHFNNQEAAEEISNHIYEFIKKF >CAK8572099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:509535350:509536150:-1 gene:gene-LATHSAT_LOCUS24699 transcript:rna-LATHSAT_LOCUS24699-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAVKGQMKGLLKGLRYISQIFDEDEEKEIQIGFPTDVKHLAHIGCEDGKANPPSWMTEFKDTQQSSPKAKTSEGLDSGNNSDTNSSVKGDGKKSHVRKSRHRSSDSQSSINSPPREEGESVKPSRRRHSRHSTEEEDGTKPPSTKHTHRRKTKTSEDKDKESSSTRKPRRSSKAGSLTDVSFTNLGSGSVSGPLA >CAK8572098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:509535350:509536195:-1 gene:gene-LATHSAT_LOCUS24699 transcript:rna-LATHSAT_LOCUS24699 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGGGAGGGGSVKMSSTAVKGQMKGLLKGLRYISQIFDEDEEKEIQIGFPTDVKHLAHIGCEDGKANPPSWMTEFKDTQQSSPKAKTSEGLDSGNNSDTNSSVKGDGKKSHVRKSRHRSSDSQSSINSPPREEGESVKPSRRRHSRHSTEEEDGTKPPSTKHTHRRKTKTSEDKDKESSSTRKPRRSSKAGSLTDVSFTNLGSGSVSGPLA >CAK8536569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955703831:955706964:1 gene:gene-LATHSAT_LOCUS5925 transcript:rna-LATHSAT_LOCUS5925 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEDDASKQDLFHLIKRFGAYVSFKISDLFSLSFHSLDIRTVGAVAGLAVAIVFTWRLLRSPSDNQGRQRKRQGAASSNPGVSSTHSNASVVPSDACLPSDESRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEENSPEELQKQATVRSSVLEVLLEITKFCDLYLMERVLDDESEKRVLVALEEAGVFTSGGLVKDKVLFSSTENGRSSFVRQLEPDWHIDTNPEIVTQLARFIKYQLHISPHKTERTAANVFSAPTLELFFGSA >CAK8530816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52262047:52262631:-1 gene:gene-LATHSAT_LOCUS655 transcript:rna-LATHSAT_LOCUS655-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSRDSDVCSRSRWKPMCHYGDMVVLQRETTVKNMGKHFWGCPNYKGGMQAGCGFFDWFYEEVGDENEQFWMHRLSVVTKGLAEAKKDIEKETMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVRVS >CAK8530815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52262047:52262655:-1 gene:gene-LATHSAT_LOCUS655 transcript:rna-LATHSAT_LOCUS655 gene_biotype:protein_coding transcript_biotype:protein_coding MKSECSSSMSKTKSRDSDVCSRSRWKPMCHYGDMVVLQRETTVKNMGKHFWGCPNYKGGMQAGCGFFDWFYEEVGDENEQFWMHRLSVVTKGLAEAKKDIEKETMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVRVS >CAK8563842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634629294:634631623:1 gene:gene-LATHSAT_LOCUS17211 transcript:rna-LATHSAT_LOCUS17211 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADTFNGGSVQSVKESVPGASIEAKQEVTEVKAQVDAMWEQMNKGVSNKVISSFTRSTPKSTSKSNPKKRSSNWMSYLGLAPKASESIVQGASQNEPRVLQNSASDEAKKFAAAALAAVKVDAAASASSRGKLVITEVRDFAGQEIEYKKLVDSDSKEAIERAKAPAPSAVDAVLEQIKKKSKLSVLDKTKKDWGEFKEENKGLENELDVYKKSSNQYLDKVSFLQRTDYREYERERDARLALQSRKRPDMQDDPV >CAK8566388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418164889:418166850:1 gene:gene-LATHSAT_LOCUS19521 transcript:rna-LATHSAT_LOCUS19521 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARAVAVLAVARPSAVLTGYGKTQRLLKFKPLCSSSTPSSRKLVLYSKPGCCLCDGLKEKLQAAFLLSGPQSLTDVDLQIRDITSNPEWEKAYQYEIPVLAKVLSDGTEETLPRLSPRLGVELLQKKIAAALGEQ >CAK8572704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557207783:557209395:-1 gene:gene-LATHSAT_LOCUS25237 transcript:rna-LATHSAT_LOCUS25237 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSRLIPGGGSLLRRLCTASPVSTVAELPKKKYNLYQRLSELEKTGGTVSQTLNQYIMEGKAVGKSELDKCVQELRKYRRIHHAFEIMEWMIMRKISFSWDNYAVHLDLVSKVKGLVDAENYFNSLPPSAKNKYTYGSLLNCYCKELMLDKALSHFEKMDELGYVTSLAFTNMMALYMRLGQPAKVPLLVNHMKQRKLRMTGFTYVVWMNSCAALNDLDGVESVYEEMKREDEEKIDWKTYSNVAAIYVKAEQFEKAELMLKKMEEIVKPRQREAYHCLLGLYGGTGNVTEVYRVWRSLKMVSPVINRSYLVMLSTLKRINDMEGIIKCFKEWESRHVNYDSRLAGVAVYAYLSQNMVEEAVSIFEEAIRRCKGPFFRIREMFMMSLLEKRQLDGAMSHLEAALSDVTNDKYRPSPQVVRAFLRYYEEETDLDGVDELSMILRSHNFDESCIKTCITASESSPEIEPVLKEDSYVNQENL >CAK8573312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602386773:602390897:1 gene:gene-LATHSAT_LOCUS25775 transcript:rna-LATHSAT_LOCUS25775 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISSPIAHSATVATPMTAALGGGPFANASLYVGDLEGSVIEAQLFELFRPVAQVLSIRVCRDQTTGSSLGYAYVNFANAQDAANAKEFLNFTPLNGKPIRIMFSQRDPSVRKSGSGNVFIKNLDACIDNKALHDTFAAFGSVLSCKVVLDSSGQSKGHGFVQFDNEEDAKIAIKRLNGMLINDKQVYVGSFVRHQERTRTNGSPKFTNVYVKNLSETINDEELKDIFGPFGTITSAIIMRDTNGKSRGFGFVNFQSPDSAATAVEKLNGTTINHDKVLFVGRAQRKAEREHELRVKFEQERISRYEKLQGANLYLKNLDDSFSDEKLKDLFSEFGTITSCKVMLDSHGHSKGSGFVAFSTPEEASKALNEMNGKLVGRKPLFVAVAQRKEERKAQLQAQFAQIRAPVGMAPLAAGISGYHPGSPRLAPQQLYYGQGTPGFIPPQPAGFGFQQQILPGMRPVVSPNFAMPYQLQRQSIGARRNGNLQHVQQNQQMLQRNSNQVYRYMANNQNGVNTSGMMPRTFDGPVVTAASIDNQRPRSTSLASDLASATPENQRMMLGEHLYPLVKHLTPNQYTAKVTGMLLEMDRSEVIHLIESPDDLKQKVSEALQVLVHDAASRSEVGDQLGSLSLKE >CAK8573311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602386773:602390897:1 gene:gene-LATHSAT_LOCUS25775 transcript:rna-LATHSAT_LOCUS25775-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISSPIAHSATVATPMTAALGGGPFANASLYVGDLEGSVIEAQLFELFRPVAQVLSIRVCRDQTTGSSLGYAYVNFANAQDAANAKEFLNFTPLNGKPIRIMFSQRDPSVRKSGSGNVFIKNLDACIDNKALHDTFAAFGSVLSCKVVLDSSGQSKGHGFVQFDNEEDAKIAIKRLNGMLINDKQVYVGSFVRHQERTRTNGSPKFTNVYVKNLSETINDEELKDIFGPFGTITSAIIMRDTNGKSRGFGFVNFQSPDSAATAVEKLNGTTINHDKVLFVGRAQRKAEREHELRVKFEQERISRYEKLQGANLYLKNLDDSFSDEKLKDLFSEFGTITSCKVMLDSHGHSKGSGFVAFSTPEEASKALNEMNGKLVGRKPLFVAVAQRKEERKAQLQAQFAQIRAPVGMAPLAAGISGYHPGSPRLAPQQLYYGQGTPGFIPPQPAGFGFQQQILPGMRPVVSPNFAMPYQLQRQSIGARRNGNLQHVQQNQMLQRNSNQVYRYMANNQNGVNTSGMMPRTFDGPVVTAASIDNQRPRSTSLASDLASATPENQRMMLGEHLYPLVKHLTPNQYTAKVTGMLLEMDRSEVIHLIESPDDLKQKVSEALQVLVHDAASRSEVGDQLGSLSLKE >CAK8534832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:774175057:774175623:1 gene:gene-LATHSAT_LOCUS4342 transcript:rna-LATHSAT_LOCUS4342 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIFKQYGLVLEVVIHPKHDKWGKRCDYVRFKKVDDERLMEIKLDSIMVNGRKLYANVPKFQRTGMWTRNEERMYQLYKTNIHLERSKESGQNLGQNIRPNITFAQAVSGHKRGRRDNIIGRIIRNKPEDLNKDFLDTKPTFEHLQFNIDKEEIQRFEVHPWSPDDVDNERLTWIRCYELPFHAQCP >CAK8574675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5970228:5973195:-1 gene:gene-LATHSAT_LOCUS26999 transcript:rna-LATHSAT_LOCUS26999 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEALHLRCMLPLSITKKTLFTHQTPTPLSSISNPQLSLTLSSSQTATVRTRAGWFSGLTKDNNNKKMNLPDTVKAGDPVLHEPAQEVDPSEIKSEKVQKIIDDMIRVMRKAPGVGLAAPQIGIPSRIIVLEDTKEYISYAPKEETKAQDRRPFDLLVIVNPKLKNKSNKTALFFEGCLSVDGFRAVVERYLDVEVTGLDRNGEPIKISASGWQARVLQHECDHLEGTLYVDKMVPRTFRTVENLDLPLAQGCPKLGPW >CAK8567225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496064780:496066263:1 gene:gene-LATHSAT_LOCUS20295 transcript:rna-LATHSAT_LOCUS20295 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPYYLSRLPYHDSLQILEADIQQANSLAAEIPRAKGGNLIKMKLVCNQLAPLVLLFLQWMDWSCAGFLHSYLNLFHILIYKEPNDGRSILSSRGRKATIKDFYAVILPSLQRLHGSFDDKLETCEEMNTGFEGLVCGQKVIEGDGKLTDVDLQREDECGICLEPCTKMVLPNCCHAMCIKCYRKWNRKSESCPFCRGSLRRVNSEDLWVLTCDEDVVDAETVSKEDLLRFYLYINKLPKDNPDALFLMYYEYLI >CAK8577724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585386409:585388597:1 gene:gene-LATHSAT_LOCUS29805 transcript:rna-LATHSAT_LOCUS29805 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQWASPCGKQCTNKYAALTKLPWRVFCKKGCGSDGDTWEECLEDCNQLCYKDPVLKDQKWSAYIDRSPGSASYSEECFHACVSGCGYKFEVKPDEADKVSPNRPPKPEPESVQKPKRKHVDHIHPPDIPDTSA >CAK8576170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416300410:416300658:-1 gene:gene-LATHSAT_LOCUS28378 transcript:rna-LATHSAT_LOCUS28378 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSAGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFLQWS >CAK8534561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742389047:742393782:-1 gene:gene-LATHSAT_LOCUS4099 transcript:rna-LATHSAT_LOCUS4099 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEIEKRAVRIFVGGLGEAVTTEDLRRLFESLGTVQSIETIRTKGRSLAYLDFLSDPKSLSKLFSKYNGCVWKGGKLRLEKAKEHYLDRLKKEWEEDKILSIEPPATEISTQKEDLVKEKPNSRRILDSDAKPLNIFFPRLRTVKSIPFSGTGKHKYSFQNIKVPPLPVHFCDCEEHCSPFITKSEKLSMNTAAETGGINDEEINIMNAVMNKLFEKEKVSNTKQLGKKHDSFESPNALQSNKGEVESATDVDDDDDDDDGLIINIATKKRKAALTETQELEKILENQEWSNKSNIAEEEPIDVQRSNKNPDKVKKRKPLPKSESNSNEGVSSAPAGKSKMQTLIDELGSGEQPTEPGYDLGELGNVSWSQKSSWKELVGKGGNASFSASLILPKYDSDKDQQNSDDSCTSSSTNDETEDMESESQPEDMESDESQPEDVESDESQPEDVESDESQSEDVESDESQPEDMESDESQPEDVESDESQPEDVESDESQPEDVESDESQPEDVESDESQPEDVESDESQSEDVESDESQLEDVESDESQLEDMKSDESQPEDMKSDESQPSHAQVTEEPAEAQPTNAHVIEEHAESQPTNSPVLEEPAEAQPTTKQLMTEPAETQDKTGPKITGRGSAWMQKESWTQLVNKDNNSFSISQILPDITFLEQTAKEPILYPANSNDCKHNGADKNTISRTVNDGFNLGEIVPKNSKHSGAYDLVSAPVVEKTVETSSREGSSANVEIGETCLFMRSAASLKEWAKAKAAVSGSLKRKHSEK >CAK8568425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605604617:605606263:-1 gene:gene-LATHSAT_LOCUS21383 transcript:rna-LATHSAT_LOCUS21383 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEDLWQVLLQYLIEKTRFFSEKESFIGLSKSMTNSTCGGAESDLCRDESAAFVLKFIAMGSILLAGMAGIAIPLIGKHRRFLKTDGNLFVAAKAFAAGVILATGFVHMLSDATKALNSPCLPEFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGVNRTVEEQARVGTLEEGIAGKVFGEEESGGMHIVGMHAHAAHHRHNHAHGNEACDGGIVKEEHGHAHAHALTADEETDVRHVVVSQVLELGIVSHSVIIGLSLGVSQSPCAIRPLIAALSFHQFFEGFALGGCISQAQFKSSSATIMACFFALTTPIGVGIGTGIASVYNPYSPGALIAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQLVSYCMLFLGAGLMSSLAIWA >CAK8531636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133388475:133389027:1 gene:gene-LATHSAT_LOCUS1412 transcript:rna-LATHSAT_LOCUS1412 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNFEDEATSVVAPAILYKALVTDADNLTPKVIDAIKSIEIVEGNGGAGTIKKLTFVEDGETKHVLHKVELVDAANLAYNYSIVGGVGFPDTVEKISFEAKLTAGPNGGSIAKLGVKYYTKGDAAPSEEQLNKDKAKGDGLFKALEGYCLAHPDYN >CAK8567514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519941908:519943536:1 gene:gene-LATHSAT_LOCUS20560 transcript:rna-LATHSAT_LOCUS20560 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTVPFVGMIIAECVQVALIILSKQVMAQGMTSFIFIFYSNSIAALVLLPSSFYIHRFQRPPITFSTLSGFFVLGLLGYLAQVFGYAGIYYSSSTLATAMLNLVPGFTFILAVLFRMEQLDWRSYSSLAKSLGTIVSIAGAFIATLYKGAALLKAPSSANLSQQLAFSQDTSWIIGGSFLAADCVVASAFIIVQASILKKYPAGLIVVFFYCFFVAILSAVTCLVVERDINAWSLKPKLRLLSVLYSGVFGSAFQVGVTTWCLHQTGPVFVSMFKPIGIVISVVIGVVFLGDAFYLGSLIGATVIVIGFYSVLWGKSKDIEAISLESRGNQTPLLKENNSEDNI >CAK8541841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413445632:413447719:-1 gene:gene-LATHSAT_LOCUS10734 transcript:rna-LATHSAT_LOCUS10734 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPKSLSPRRLLKLLDSQKNLTSALQLFHAATRLPNYTHSADVFLHILRRISPDPILLHSHVPHIVHTIQKTQNFICPEDIPLSLLKAYAKTSMPDQALKIFQNMGFVFGCRPGIRSFNTLLNAFAESHQWDRAEKFFAYFETAGVSPNVQTYNVLMKVLCKKREFQKARKLVMWMWSVGLKPDRFTYGTLIGVFVKSRDLNTALEVFDEMSERGVEPDVTCYNIIIDGFFKMGDFLKGKEMWERLLRVETVFPNVVSYNIMISGLCNCRRFKESLEIWERMKMNDWKHDVFTYSALIHGLSEAGDLDGAIRVYKEMVVRGVKADVVTCNAMLNGLCKAGKVDESFVLWEEMGKCGSRNVVSYNVFLKGLFDNGKVDEAMNLWEVLGEVDCCVESATYGVLVHGLCKNGYVNKALQVLEEAENRGGDVDTFAYSSMISGLCKEGRLDEAAGVLNLTDKRGCRLNPHVYNALIDGFMKHYKVGSAIQVFREMSTKGCSPNVVSYNILINGFCRAERFPEAYHCVEEMLEKGWKPDIITYSTLIDGLCQGKMNENDIALRLCYQFLAKGFKPDITMHNIVIHRLCSSGKVKYALQLYWIMRKRNCVNLVTHNTIMEGFYKVGDCEKASKIWAHISEDGLKPDIISYNITLNGLCVCGRVTEAVRYLRDALAHGVMPTVITWNILVRAVIFFGEST >CAK8540226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545949994:545950308:-1 gene:gene-LATHSAT_LOCUS9252 transcript:rna-LATHSAT_LOCUS9252 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPEYPEGSASCCFCFSTKKGVASHNKTRGVEWKKNDEILSDMSTFSVKEQERRLKKALEEEKRVEFEAERVVQWVKQESSRIDVSTIDSILSDNKKKEEDVI >CAK8532068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:198311798:198312289:-1 gene:gene-LATHSAT_LOCUS1805 transcript:rna-LATHSAT_LOCUS1805 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVLSLVRSSLRPSPSKSSITASTSRLSSQSRPSPSPSPYFLNRVTEYATAAAAASPVPPPVRKVCGGDGKITDEHTGKGAIGHICAIIGAVVNVRFEDGVPPILTALEVLEGSQQIVLEVAQHLGQGVVRTIARLLKVLLEDGVFSIPNSIYCYFRDFRD >CAK8572307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527948308:527951426:-1 gene:gene-LATHSAT_LOCUS24885 transcript:rna-LATHSAT_LOCUS24885 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLFLFYSYTFVSLFFIEGAQITTNDELSTLLTIKSSFIDPMNHLKDWQIPTQLLHCNWTGVGCNTKGFVESLELYNMNLSGIVSNHIQSLSSLSYFNISCNNFASMLPKSLSNLTFLKSFDVSQNYFTGGFPFGFGRSAELRSINASSNEFSGLLPEDIGNATLLESLDFRGNYFVSPIPRSFTNLQNLKFLGLSGNNFTGKIPEYLGELNSLETLIMGYNEFEGEIPAEFGNMTNLQYLDLAVGTLSGQIPPELGKLKKLATIYLYRNKFTAKIPPQLGNIISLEFLDFSDNQITGEIPEEFAKLENLRLLNLMSNKLTGHVPEKLGELKNLQVLELWKNSLEGSLPKNLGRNSPLQWLDVSSNSLSGEVPPGLCTTGNLTKLILFNNSFSGNIPIGLSKCSSLVRVRIQNNVISGTIPVGFGNLPSLQRLELAKNNLTGQIPIDLTSSTSLSFIDVSWNCLESSLPSEILSIPSLQTFIASHNSFGGTIPDEFQGCPSLSVLDLSSANIFSTIPESIASCTKLVNLNLRNNHLTGGIPKSITNMPTLSVLDLSNNSLTGRIPENFGSSPALETMNLSYNKLEGSVPSNGILMTMNPNNFIGNAGLCGGILPSCSRSSTVTNQKRSSRISHVVIGFLTGILVILSLAAAFFGGKWLYNRCYLHNNFIYDWFKQGNEDWPWRLVAFQRISFTSSEILTCMKESNVIGMGGAGIVYKAEIHKPQITVAVKKLWRSSTDIENGGNDVLREVELLGRLRHRNIVRLLGYVHNEKDVIMVYEYMPNGNLGTALHGEESARLLVDWVSRYNIALGVAQGMNYLHHDCHPSVIHRDIKSNNILLDANLEARIADFGLARMMIQKNETVTMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGKMPLDPTFEEAVDIVEWIQKKRNNKALLEALDPSIAGECKYVQEEMLLVLRIALLCTAKLPKERPSMRDIITMLGEAKPRRKSICGNGRQESSMEKGTVFTTSPVASLL >CAK8572374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532806493:532853900:1 gene:gene-LATHSAT_LOCUS24946 transcript:rna-LATHSAT_LOCUS24946 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNDDILRIFLMACEVRTIKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMGDEGVQLKTLQTISIIFQSRLHPENEDTMSQALGICLRLLENSRSSDSVRNTAAATFRQAVALVFDRVVLAESLPAGKFGYGGQLSRTYSITGDVNRSINISDSLDHESTCRGPPVVRETLTETGKLGLRLLEDLTSLAAGGSAIWLRVNIIQRTFALDILEFILSNYVSVFRTLLPYEQALRRQICSILMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLVTECEVFLSMLLKATFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMNPKNTNVVEGMVKALARVVSNVQAQESIEESLAAVAGMFSSKAKGIEWSLDNDASNSAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWTGKTTVLCLSMVDSLWLTILDALSLILSRSQGEAIVLEILKGYQAFTQACGILRTVEPLNSFLASLCKFTINFPVETEKRSSALPSPVSKRSEIAVDQRESIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTPVTKFARELSTQYSDFNILSSLNSQLFESSALMNVSAVKSLLSALCQLSHQCMLGNSSGLGPTTTQKLGSISFSVERMISILVNNVHRIEPFWDQVVSHFLELADNPNPHLKNMALDALDQSISAVLGSNQFQNYKQFKPLQTSQEKEADLDKLMSLECSVISPLKALYFSTQSVDVRLGSLKILLHVLERYGETLHYSWPNILEMLRYVADVSEKDLVTLGFQNLRVIMNDGLSAIPRDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNEPFEEKETGAGSILKQVDSEKMEDQAPSFPNNARDRPSYIDGVDYEKLLFSVFSLLQNLGADERPEVRNSAVRTLFQTLGTHGQKLSKSMWEDCLWNYVFPTLDRASFMVATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRLFFPFFTSLSNFWSGWESLLQFVENSILNGSKEVALAAINCLQTNVNSHSLKGNMPMRYLISVVDVYEHVLKKPSSYSDKVKQEILHGLGEIYVQAQGSFDDVIYTQMLAIIDLAVKQAMITNDNFEMEFGNVPPVLRTVLEILPLLGPTEHISSMWPVFLREFLQYLPRQDTHLLNDDGKIDRARDSSVNNQPPNGSIPISRDIVAASPDSGLTAAINAVIPSYIFAEKLVPVLVDLFLQAPTAEKYMVYPEVIQSLGRCMTTRRDNPDSALWRLAVEAFNRVLVDYVTKSTKGGPQSGITKPVRTRIWKEIADVYEIFLVGYCGRALSSNSLSAVALEADESLEMTILETLGDTVLKLPIDTPADVVERLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEINWNMTRSEVSKISIMVLMNRCEYILSRFLTDEKGLGEYPLPKARLEEINYVLQELAGLLIHPDVASILPLHPCMRTDLVEDKEKHGSRSHLFVLLPSFCELVTSRELRIRELVQVLLQLVTKELCLEKLSLACEKYTTSR >CAK8572375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532806493:532853900:1 gene:gene-LATHSAT_LOCUS24946 transcript:rna-LATHSAT_LOCUS24946-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNDDILRIFLMACEVRTIKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMGDEGVQLKTLQTISIIFQSRLHPENEDTMSQALGICLRLLENSRSSDSVRNTAAATFRQAVALVFDRVVLAESLPAGKFGYGGQLSRTYSITGDVNRSINISDSLDHESTCRGPPVVRETLTETGKLGLRLLEDLTSLAAGGSAIWLRVNIIQRTFALDILEFILSNYVSVFRTLLPYEQALRRQICSILMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLVTECEVFLSMLLKATFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMNPKNTNVVEGMVKALARVVSNVQAQESIEESLAAVAGMFSSKAKGIEWSLDNDASNSAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWTGKTTVLCLSMVDSLWLTILDALSLILSRSQGEAIVLEILKGYQAFTQACGILRTVEPLNSFLASLCKFTINFPVETEKRSALPSPVSKRSEIAVDQRESIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTPVTKFARELSTQYSDFNILSSLNSQLFESSALMNVSAVKSLLSALCQLSHQCMLGNSSGLGPTTTQKLGSISFSVERMISILVNNVHRIEPFWDQVVSHFLELADNPNPHLKNMALDALDQSISAVLGSNQFQNYKQFKPLQTSQEKEADLDKLMSLECSVISPLKALYFSTQSVDVRLGSLKILLHVLERYGETLHYSWPNILEMLRYVADVSEKDLVTLGFQNLRVIMNDGLSAIPRDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNEPFEEKETGAGSILKQVDSEKMEDQAPSFPNNARDRPSYIDGVDYEKLLFSVFSLLQNLGADERPEVRNSAVRTLFQTLGTHGQKLSKSMWEDCLWNYVFPTLDRASFMVATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRLFFPFFTSLSNFWSGWESLLQFVENSILNGSKEVALAAINCLQTNVNSHSLKGNMPMRYLISVVDVYEHVLKKPSSYSDKVKQEILHGLGEIYVQAQGSFDDVIYTQMLAIIDLAVKQAMITNDNFEMEFGNVPPVLRTVLEILPLLGPTEHISSMWPVFLREFLQYLPRQDTHLLNDDGKIDRARDSSVNNQPPNGSIPISRDIVAASPDSGLTAAINAVIPSYIFAEKLVPVLVDLFLQAPTAEKYMVYPEVIQSLGRCMTTRRDNPDSALWRLAVEAFNRVLVDYVTKSTKGGPQSGITKPVRTRIWKEIADVYEIFLVGYCGRALSSNSLSAVALEADESLEMTILETLGDTVLKLPIDTPADVVERLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEINWNMTRSEVSKISIMVLMNRCEYILSRFLTDEKGLGEYPLPKARLEEINYVLQELAGLLIHPDVASILPLHPCMRTDLVEDKEKHGSRSHLFVLLPSFCELVTSRELRIRELVQVLLQLVTKELCLEKLSLACEKYTTSR >CAK8530668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39092365:39093948:1 gene:gene-LATHSAT_LOCUS514 transcript:rna-LATHSAT_LOCUS514 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGVCKLYQLCRRFHSSLTSRHQPFPQNDDFVPSSTFLSNTLQHYINSQTPSHGQKIHSHILKTGFAPNTNISIKLLILYLKSNSLRYARQVFDGLQDRTLSAYNYMIGGYVKKGQVHESLELFHRLLVSGEKPDGFTLSMILKASTSTLGVDVVMVGDLGRMVHAQILKCDVEKDDVLCTALIDSYVKNGRVGYGRTVFDVMSEKNVISSTSLISGYMNRGSFSDAECIFQKTLDKDVVVFNAMIEGYSKTSECAMRSLEVYIDMQRFNFRPNLSTFASIIGACSVLAAFEIGQQVQTQLMKTPFFEDVKLGSALIDMYSKCGRVVDARRVFDNMLEKNVFSWTSMIDGYGKNGFPDESLDLFRKMQIEYCIAPNFVTFLSALSACAHAGLVDKGWEIFQSMENEYKLKPGMEHYACMVDLLGRAGKLNQAWEFVTRMPERPNSDVWAALLSSCRLHGNIEMAKSSANELFKLNASGRPGAYVALSNTLADAGKWESVSELREVMKQRGISKDTASSWVGANAAC >CAK8538610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489233262:489235738:-1 gene:gene-LATHSAT_LOCUS7791 transcript:rna-LATHSAT_LOCUS7791 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEEGLKSLNDFLSGKTYISGDQLTKDDIKVYGAVTEKPSGSFSNAANWYDAVSSSLAASFPGKAQGVKFTGSGAAPAAEAPAKADAPAAEDDDDLDLFGDETEDEKKAAEDREAAKKPAKKKESGKSSILLDVKPWDDETDMKKLEEAVRSVEIPGLTWGASKLVAVGYGIKKLQIMMTIIDDLVSVDDLIEERLTVEPINEYVQSCDIVAFNKI >CAK8566607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441889346:441891451:-1 gene:gene-LATHSAT_LOCUS19727 transcript:rna-LATHSAT_LOCUS19727 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGCCFSAKVKAESPTHNGLNSKVVEKEEDVLSGLSRRVSSSSHMLLTSRTEGEILQSINLKCFTINEVRTATRNFRPDSMVGEGGFGCVFKGWIDEHTLAPTKPGTGFVIAVKRLNQESNQGHSEWLTEINYLGQLRHPNLVKLIGYCLEDDYRILVYEFVTKGSLDNHLFRRASYFQPLSWKIRMKIALDAAKGLAFLHSDEVDVIYRDFKTSNILIDSNYNAKLSDFGMAKDGPEGGKSHVSTRIMGTPGYAAPEYLATGHLTKKSDVYSFGVVLLEIMSGKRTLDTNRPSGEHHLVEWAKPLLVSKRKISQVMDARIEGQYSLREAVKVAHIAILCLSAEQKYRPNIDEVVRSLEQLQDSNDKTDGHSSGSSGLKHGNRVDESLSGEGTSNPSSSASPLHK >CAK8570567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61263340:61267545:1 gene:gene-LATHSAT_LOCUS23305 transcript:rna-LATHSAT_LOCUS23305 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPIPLTAAQVGTYFVGQYYHVLQNQPEFVHQFYSDASTMLRIDGNARETAAAMLQIHALVMSLSYTGIEIKTAHSLESWSGGVIVMVSGSVQIKDNLRRKFMQTFFLAPQEKGFFVLNDIFHFVEDDLIHHHHHQAVLLAQSNLDSKLNVPSTINKPVSNYMLGGDVQAREFVAANEVKENGVADSYGFSEQQMQRGPDSEQIREDNAGEESNGSLHSSGNAVQDHLPASPEEPAGEPQKHTYASILRVAKGQSSPSAASQPSHKNASPSEWDHTPPSSNQQTTASANVFERSDTDAVEELPAAEYEDEIKSVYVRNLLPTVSPSEVEEEFKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMSGVHNAVKAGSVEIAGRPVYIEERRPNSNIPSRGGRRGRGRGSYHSEAPRGRFNSRNYGRGNGQDGGDREYNKPRGNGFYRPNPRQERGYSGHHVPRNGQNLADSSVTN >CAK8576156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416225808:416226848:-1 gene:gene-LATHSAT_LOCUS28367 transcript:rna-LATHSAT_LOCUS28367 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKTCRLLSGNVRNRELTIIQRRILRRLRNKKRSIKRKIYPRENLNSYIQSQTTRKLPLFHGDLPITEMHRGTERTSYIPFLLNPETRSDVIPVRLHFRETIPQARQPISHRRVCVNNRMVSITRLKVSHGDLISFQENDARIRGEEIRRSFYIEISVDKIIGKFLDHPVRMWRRTKTEWFHLLKTKRGCRLLLKSRFLQQPLHYSMQEEDFERTKKFGSKKVCLGSSFAEHNRMKRNLYHFKSLFLSKRRNEKNRYLPTRTRSPIVYNSSLYSNSTYCSSSPHQFTMKRRIKRIELPIHYSEVNHRTPKAVVFYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >CAK8578279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616910335:616910550:1 gene:gene-LATHSAT_LOCUS30304 transcript:rna-LATHSAT_LOCUS30304 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHERPLKVVAMPETSSDNLNDDQQGDKDLTSKTTMEREKEKTVGAITMDQEIHETLEAEKGINEPRKLG >CAK8570329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37212354:37215628:1 gene:gene-LATHSAT_LOCUS23086 transcript:rna-LATHSAT_LOCUS23086 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVDVNVGLVDAMTDKKRWLWKRKSSEKSPGEAESSGSVSSERYSDEQQEVSKESSNGSNHSPDVTSKAVTCADDVDDCSVINEQLPDEVESTHLPSTRIVNFGSLEMNKNGGNENISNGIEDDLSDRSRDMSEKLSAALVNVNAKEDLVKQHAKVAEEAIAGWEKAENEVAVLKKQLETVTLRNSALEDRVTHLDGALKECVRQLRQTREEHEANIQDAIADKTHELESDNIKLESKLVELQNKLEASNAKSSIDRDMRQKVEWFEKENMALRHEILVQSEELKIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKSMASKALSVTDHKSIASSSFCVESLTESQSDSVERLTAVDCDNYKMSGSELNRCEPSVSDSWASALIAELHQFKNEKCCRQAPSDSVEIDLMDDFLEMERLVALPETKNESVVEESVVDDNQCVDKESALRAEFDIMNQQMDELKGKLEKVEADKAELEIALMKSEEFIEESQHQLREAEQKLEELQIELENAYKSNQKIENLLISMEADTQTLSSKVNSLEAEVDNEKAVSHEVAVKCKNLEEELEIKSSMLSLLEVEVEKERNTSHALEKELESKSSMLSLLEVEVEKERNMSHEFAIKCEGLEEALEGKCAKVELLEAEVVKERAVSDEVATKCKNLEEELEIKSAKVELLKAEVEKERAMSGEFAMKCRELEEEILRPTSSLYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTAGIPSSPSLIAHASGELWKMHSNDTFSPKRDSISSRLPDASSGLSLNKHEESSPLSSSPSNSSVALPNHASSERSRNGFAKFFSRTKSGIRLEI >CAK8570330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37212354:37215628:1 gene:gene-LATHSAT_LOCUS23086 transcript:rna-LATHSAT_LOCUS23086-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVDVNVGLVDAMTDKKRWLWKRKSSEKSPGEAESSGSVSSERYSDEQEVSKESSNGSNHSPDVTSKAVTCADDVDDCSVINEQLPDEVESTHLPSTRIVNFGSLEMNKNGGNENISNGIEDDLSDRSRDMSEKLSAALVNVNAKEDLVKQHAKVAEEAIAGWEKAENEVAVLKKQLETVTLRNSALEDRVTHLDGALKECVRQLRQTREEHEANIQDAIADKTHELESDNIKLESKLVELQNKLEASNAKSSIDRDMRQKVEWFEKENMALRHEILVQSEELKIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKSMASKALSVTDHKSIASSSFCVESLTESQSDSVERLTAVDCDNYKMSGSELNRCEPSVSDSWASALIAELHQFKNEKCCRQAPSDSVEIDLMDDFLEMERLVALPETKNESVVEESVVDDNQCVDKESALRAEFDIMNQQMDELKGKLEKVEADKAELEIALMKSEEFIEESQHQLREAEQKLEELQIELENAYKSNQKIENLLISMEADTQTLSSKVNSLEAEVDNEKAVSHEVAVKCKNLEEELEIKSSMLSLLEVEVEKERNTSHALEKELESKSSMLSLLEVEVEKERNMSHEFAIKCEGLEEALEGKCAKVELLEAEVVKERAVSDEVATKCKNLEEELEIKSAKVELLKAEVEKERAMSGEFAMKCRELEEEILRPTSSLYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTAGIPSSPSLIAHASGELWKMHSNDTFSPKRDSISSRLPDASSGLSLNKHEESSPLSSSPSNSSVALPNHASSERSRNGFAKFFSRTKSGIRLEI >CAK8560819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47119121:47120791:-1 gene:gene-LATHSAT_LOCUS14464 transcript:rna-LATHSAT_LOCUS14464 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSVDNVGTPAQFFKRDFEENVSMERVTLFLNLVNDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSTAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNKQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNRLYANYAIGKDVQAMKAVVGEEALSSEELLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDAGN >CAK8539146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505127400:505128503:-1 gene:gene-LATHSAT_LOCUS8267 transcript:rna-LATHSAT_LOCUS8267-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKKSFHKSPFFLSKIKRKKTCIKSEPQFYLPDECWEHVFKFIINGDDGETEKVKFIINGDDGETEKKHRVLFKSLSLVSKQFLSITDRLIFSISISDHPSHLLPRVFHRFPNLNSLHLCFGLRHLDSTIALALRDRTTLKSLSISNIELKDANCDTSHYIDSFVSLKGLNILKFCYSHISDDLLYSIAREALPLKTFVLNNCSGYSYDGIYSLLSKCQGIQHLDLRQAGIQHLEHQADFLKNHHIALISLFLGGLVSIKLSYCFNLTKSALFALVRNCHSLAEITMERSGIGRQRVESSNSLKDFEVYPQLKFLCLAHNSFIKNNDIILFASIFPNLQYLDLSHCYSITEKSICQILKKVFVKF >CAK8539145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505127208:505128503:-1 gene:gene-LATHSAT_LOCUS8267 transcript:rna-LATHSAT_LOCUS8267 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKKSFHKSPFFLSKIKRKKTCIKSEPQFYLPDECWEHVFKFIINGDDGETEKVKFIINGDDGETEKKHRVLFKSLSLVSKQFLSITDRLIFSISISDHPSHLLPRVFHRFPNLNSLHLCFGLRHLDSTIALALRDRTTLKSLSISNIELKDANCDTSHYIDSFGIQHLDLRQAGIQHLEHQADFLKNHHIALISLFLGGLVSIKLSYCFNLTKSALFALVRNCHSLAEITMERSGIGRQRVESSNSLKDFEVYPQLKFLCLAHNSFIKNNDIILFASIFPNLQYLDLSHCYSITEKIPQLEVLNLSDTSIDDKTLQCHGKGKRQ >CAK8565576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:282091194:282091496:1 gene:gene-LATHSAT_LOCUS18775 transcript:rna-LATHSAT_LOCUS18775 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKGQSSTKYATDDEDDWDFPASKGYVTKNTGVTAAKTTTEVKIKITKKQLEELLRKVDIRELRVDQVLAQLMNHSNGYKSFERSWRPALQSIPEMD >CAK8531802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152677727:152679798:1 gene:gene-LATHSAT_LOCUS1567 transcript:rna-LATHSAT_LOCUS1567 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAAEVAIFADTNLGTCIAFNSPFHITAGTLKRDFEKVHFTCLPDIGEIQVHGLMVRRKSRFYYLPDSFPVKNVFPRMRETWFLHVEVRLLKYLRVPCLPCDAATALKQRNLMTYNCENKTRYDNEEKREEELNLRAYPSQDNEVIDHDLKEKGNSHENSEHGMPERDSCRFGDKPTSTASKSRCAMSETNVEFQVELCASSVQETPSKMLTQVISVSGIINKYFSGFNAIDKFSSSSNSDVTSRAVHSEIEVQSNTKAQSCSKKQVGSLSQLTPKTPPHVPLHVELVSKTSGSKKRISKVEKCSKKRISKVDKCSKNRRSKVGKFLLSASKSLGASNNKQSPALSLCKFKNIKLLEEIPQINRSIFSICDSDG >CAK8542270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496442042:496444442:-1 gene:gene-LATHSAT_LOCUS11119 transcript:rna-LATHSAT_LOCUS11119 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKHITRQNSPTVFFLTRHLSSATSTSTTTTASKLHDRYTFEPPASLSPSPQNPNEPPTKPRRKKSKPRYQPPSSLDRTGKKPIRSSLPFDFRFSYTESSQTVRPIGLREPKYSPFGPDRIDRPWTGVCAPAVDPKVKELDGDEDPKLEEERKKKREHVQGDSLTNAERKALVLQCERGKTKRQVNMGRDGLTHNMLIEIHNHWKYTEAVRIKCMGVPTVDMKNVCAQLEDKTFGKVIFRHGGTLILYRGRNYNPRKRPVIPIMLWKPHEPVYPRLIKTTIDGLSIEETKAMRKRGLAVPALTKLAKNGYYAHLVSMVRDAFLACELVRIDCQGLERSDYKKIGCKLRDLVPCVLVTFDKEQIVIWRGKDYKHLKDEYFLKDRESFDDDDDDDGGDLLMDEDEELNNTNL >CAK8532271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:235279336:235284585:1 gene:gene-LATHSAT_LOCUS1998 transcript:rna-LATHSAT_LOCUS1998 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFDKDEVPILLETRAPRSDELVESNLRRNISRTRSASISISMAASDPYERQPYLVGHTGPLRSARKTPVNQMSGPLYPTPATGNPFQNSIVVAGNKVGENKTEKFDDTDENHWNNKYDRKNEHLVRSGQLGMCNDPYCTTCPTYFKVAQKRKPRASTIFDPKFHNSLYGDAKGFGRKLFSFCSSCIPGVMNPHTKVVQQWNKFLAIFCLVAIFVDPLFFFLIYVERKNKCIRINWAMAITLVVLRSITDLVYLLNILLQFRLAYVSPESRVVGAGDLIDQPRKIALNYFKGYFFFDLFVVSPLPQVMILFVLPTSLGSSGANYVKNLLRLAILVQYIPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSCWYLFGLQRVNQCLRDACHNANLPGCMNLIDCDFKGGMPAKWINDKGADACLNSPSDVFSYGIYTYAVPLTTETRVINKYVYALFWGFQQISTLAGNQVPSYFVWEVLFTMSIIGLGLLLFALLIGNIQNFLQALGRRRLEMQLRGRDVEQWMSHRRLPEHLKRRVRQAERYSWAATRGVPEKMVLENLPEDLVTDIRRHLFKFVKKVRIFSLMDEDEPILDAIRERLVQTTYIKGSKILSQGGLVQKMVFIVRGKLESIGEDGIPVPLTEGDACGEELLRWYLEQSSESKEGKKVKLQGQGLTSDRTVRCLTNVEAFSLRSKDIEEVTTLFARFLRSPRVQGVIRYESPYWRSLAANRIQVAWRYRKKRLSRANTTQ >CAK8533615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641906397:641907692:-1 gene:gene-LATHSAT_LOCUS3230 transcript:rna-LATHSAT_LOCUS3230 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKFSRQVEWWQKNITYRFRRLWVTIATRFGIRKTGLKKLRNDVRTCEYEDIRVMWDMLNRNESLSEFGGNSPNKIKKMHHLKLFKWARCVAP >CAK8532000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188296304:188296944:1 gene:gene-LATHSAT_LOCUS1745 transcript:rna-LATHSAT_LOCUS1745 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESDPLWEGKLTVELPSTAPEQAWSALEDFCNLHKWIPIQTSHYVEGVQGQPGLVRYCSSTVKGVVEDESEATVKWAKEKLLTMDPLQRCLSYEIGENNMGFKSYVAMMKVIPVEGDGETVGCLIEWGFVCDPVEGWSFQEFSSYIEYCLQFMAKKIEIEGSVPG >CAK8542881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555501434:555502704:1 gene:gene-LATHSAT_LOCUS11682 transcript:rna-LATHSAT_LOCUS11682 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVDKARERVGYVKRAIAKQRNKPYCRVKRHVPKSLQQLFHSCKQTFKGPETVPVTQDVHQLCHILDNMKPEDVGLSRDLQFFKPGNIIKENQRVAYTTVYKCANFSVCIFFLPERGVIPLHNHPGMTVFSKLLLGQMHIKSYDWVDPEASHTSKLRLAKLKANKVFTSPCDTSVLYPTTGGNIHEFTAITPCAVLDVIGPPYSKEDDRDCSYYKDFPNEEEIGEVKDEKDSYALLEEIEMPENCQMDGIEYLGPPIDETVF >CAK8535800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882830638:882831420:-1 gene:gene-LATHSAT_LOCUS5223 transcript:rna-LATHSAT_LOCUS5223 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISDQFSATSEENTINNTSTFKFSELKEHDFINSTKNMVVGSSLSNLGNNKSNKEDHAKNKSLKLFSCNNCKNQFSTGQALGGHQNAHKKERALAKHGKEINTGFETRHHFPNYTHYPSLSTFPYHGFRSYNRALAINLESMIHKPRSSYSWIPPSFKYCHTSAWRPMQEMKTYSLLDGLKNESLNVNNGNTATPTLKNLLKNLEVGVGETSINIVNKSNLEEENSIVVGTTDHVNHSLNMEEVSEAQSIELDLSLKL >CAK8568562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:617240160:617242498:-1 gene:gene-LATHSAT_LOCUS21508 transcript:rna-LATHSAT_LOCUS21508 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSPSRIMHGTTNVTSAKFLTHAFTRNFHASSPSYRSAGASQIAQSEFTEMAWEGILGAVDTARVNKEQIVESEHLMKALLEQKDGLAGRIFTKAGLDNTSVLQATENFIVQQPKVTGDTSGPVVGSHLSSVLDNSQRHKKEMGDEYVSVEHLLLAFHSDKRFGQQLFKNLQLSEKALKDAVQAIRGSQRVTDQNPEGKYEALDKYGNDLTELARRGKLDPVIGREEQKTIQLLLGNLVWGKLQLLKD >CAK8567760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542164868:542168094:-1 gene:gene-LATHSAT_LOCUS20783 transcript:rna-LATHSAT_LOCUS20783 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEKELVELFESAKKAADASTSDDSPHEESRCLDALEQLKKFAVNYKILVNTQVGKRLKSLTKHPRQKIRAFAVELIEIWKDIIINETSKNKSGPSDSKVESTNGERAKAGKLQKSPSVKVEKGESVKVEKINGNGTSKLSSGNVKAQNVDLKIEKTDRTSSVKVEKIAKEETHVSVAKKISSSSAAPPKLKTMIKSNDSARDKIRELLGDALSKVFEEADEDMMDEVNACDPIRVAVTVESLLFEKWGPSNGAQKVKYRSLMFNLKDQNNPDFRRKVLVGTVEPHRLIDMTSAEMASEQRKQQIEKLEKKALFECERGGQPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >CAK8536852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28478308:28479021:1 gene:gene-LATHSAT_LOCUS6179 transcript:rna-LATHSAT_LOCUS6179 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSSSMEYPPRTSSAKSSSSHILTFHSTPKWKAHFDASKETNKLMVIEFTAAWCGPCKYMDPIFQDFAAKYINVDFIKIDVDVLMGVAQEFQVQAMPSFILMKKGKIVDKVVGAKKEDLEQLIEKHQN >CAK8576874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521542828:521543230:-1 gene:gene-LATHSAT_LOCUS29036 transcript:rna-LATHSAT_LOCUS29036 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVVLLTTWAFIRIPLVAPVSILHSSFPYAQRWTQRRMNYDANPRFHLQGYRNALDLMQEKDFIWRSYIQFPVPNVRNS >CAK8531152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85214018:85215265:-1 gene:gene-LATHSAT_LOCUS962 transcript:rna-LATHSAT_LOCUS962 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFGLTLTALCCVVVVLGGLPFSSNAQLDASFYRNTCPDVHSIVRQVIRNVSKTDPRMLASLVRLHFHDCFVQGCDASVLLNKTDTVVTEQEAFPNINSLRGLDVINRIKTAVEDACPNTVSCADILALSAQISSILAQGPNWKVPLGRRDGLTANQSLANTNLPAPFNTLDELKAAFAKQGLTTTDLVALSGAHTFGRSHCSLFVDRLYNFSNTGKPDPSLNTTYLQELRKTCPKGGSGTNLANFDPTTPDRFDKNYYSNLQVKKGLLQSDQELFSTSGADTIGIVNKFSADKDAFFDSFETAMIKMGNIGVLTGNKGEIRKHCNFVNKDRIRMASRDSSESGMVSSI >CAK8571401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:399978305:399978658:1 gene:gene-LATHSAT_LOCUS24068 transcript:rna-LATHSAT_LOCUS24068 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCSSTAIAEKISWNCALFVALMLVLSSCESSTRDDDELNVQIQNMLQENDFSNNNYYNNKVCDEIYVVGEGETLQTISEKCGDPYIVEENPHIHDPDDVFPGLVIKINPFFLSS >CAK8571351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:387940977:387941318:-1 gene:gene-LATHSAT_LOCUS24018 transcript:rna-LATHSAT_LOCUS24018 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGSGPKLDLKLNLSPPRVDHRRVESSSPTRSATVSPTSPPSSCVSTELNQEDGTNLQRYSNSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFVHENNKRRN >CAK8578475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631543630:631548783:-1 gene:gene-LATHSAT_LOCUS30482 transcript:rna-LATHSAT_LOCUS30482 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLAVVKPVWMKQAEEAKIKSEAEKDAAAKAAFEATFKGLEKGREKRTTANSDSDSDEYEDLAHKPIGPVDPSKCTAAGTGIAGGTACAASSFGVVTKDSDERKVSTGGAQIKVKVTPGLGVGGSDQEGIVKDMGDGTYTVTYVVPKRGNYMVNVECNGKPIMGSPFPVFFSAGNSNGGLLGLAPPSSFPNLVNQTMPNMPNYSGSVSGSFPGLLGMIPGIVAGASGGAILPGIGASLGEVCRDYLNGRCAKVDCKLNHPPHNLLMTALAATTSMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQSAKDSAGSPDKANKEDVLKKTLQVSNLSPLLTVEQLKQLFGFCGTVLECTITDSKHFAYIEYSKPEEAAAAMALNNIDVGGRPLNVEMAKSLPPKQTTNSSLASSSLPLMMQQAVAMQQMQFQQALIMQQTMTAQQAANRAATMKSATDLAAARAAEISKKLNPDGLENEEKETKQKSRSPSPPPVRSRSKSRSPINYRRRRKSRSYSPPRNSKVNRSRSPMRFHHHSRYERDWRSYRGSREHSDRYRRRDSDRFLDNHSSGSRRNRSRSVSPHSKRSPVSPKRQGGSSSHRGRKQSRADSGSPSNRRGSRSSPKVVEKAIRNRRRSRSRSSDDKLNLDKNEEVLHEKAKQRERRRSRSVSVDEKPHRSRSSPRKVGESRPRNKKRSRSNSVDDKHPLSDKLDENSNRRSISSDDKVNFDKNEEILPEKSKHRERRRSRSVSVGERPQRRRSSPRKVDESRSRHRRRSRSKSVDDKRHLSGNFDENRNRRSRHSDKRHSRSRSMENRDRSDVREDASKHEKSKHHDTKCNRSESDEGKHHSIGKSGENRDKKSKHRDRRRSRSISSEGKHDKGGTSSHRDESNIEQKRFRSKSPNAKHHCSDNYENKDERSEHQEKTLSKSRSENHQQYDGSGPSPRNFKKYESKGKTNFDSAYAEVKHHLSDGENGTGEKKSQHENVTQEPIFCVNGNGVLISENENTKLDGWTENAEADDNPGWTCVEEVGNGKF >CAK8578474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631543630:631548783:-1 gene:gene-LATHSAT_LOCUS30482 transcript:rna-LATHSAT_LOCUS30482-3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLAVVKPVWMKQAEEAKIKSEAEKDAAAKAAFEATFKGLEKGREKRTTANSDSDSDEYEDLAHKPIGPVDPSKCTAAGTGIAGGTACAASSFGVVTKDSDERKVSTGGAQIKVKVTPGLGVGGSDQEGIVKDMGDGTYTVTYVVPKRGNYMVNVECNGKPIMGSPFPVFFSAGNSNGGLLGLAPPSSFPNLVNQTMPNMPNYSGSVSGSFPGLLGMIPGIVAGASGGAILPGIGASLGEVCRDYLNGRCAKVDCKLNHPPHNLLMTALAATTSMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQSAKDSAGSPDKANKEDVLKKTLQVSNLSPLLTVEQLKQLFGFCGTVLECTITDSKHFAYIEYSKPEEAAAAMALNNIDVGGRPLNVEMAKSLPPKQTTNSSLASSSLPLMMQQAVAMQQMQFQQALIMQQTMTAQQAANRAATMKSATDLAAARAAEISKKLNPDGLENEEKETKQKSRSPSPPPVRSRSKSRSPINYRRRRKSRSYSPPRNSKVNRSRSPMRFHHHSRYERDWRSYRGSREHSDRYRRRDSDRFLDNHSSGSRRNRSRSVSPHSKRSPVSPKRQGGSSSHRGRKQSRADSGSPSNRRGSRSSPKVVEKAIRNRRRSRSRSSDDKLNLDKNEEVLHEKAKQRERRRSRSVSVDEKPHRSRSSPRKVGESRPRNKKRSRSNSVDDKHPLSDKLDENSNRRSISSDDKVNFDKNEEILPEKSKHRERRRSRSVSVGERPQRRRSSPRKVDESRSRHRRRSRSKSVDDKRHLSGNFDENRNRRSISSDDKVNSDKNEEILPEKSKHRERRRSRSISVGDRPQRRRSSPRKVDESRSRHRRRSRSKSVDDKRHLSGNFDENRNRRSRHSDKRHSRSRSMENRDRSDVREDASKHEKSKHHDTKCNRSESDEGKHHSIGKSGENRDKKSKHRDRRRSRSISSEGKHDKGGTSSHRDESNIEQKRFRSKSPNAKHHCSDNYENKDERSEHQEKTLSKSRSENHQQYDGSGPSPRNFKKYESKGKTNFDSAYAEVKHHLSDGENGTGEKKSQHENVTQEPIFCVNGNGVLISENENTKLDGWTENAEADDNPGWTCVEEVGNGKF >CAK8578473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631543630:631548783:-1 gene:gene-LATHSAT_LOCUS30482 transcript:rna-LATHSAT_LOCUS30482-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLAVVKPVWMKQAEEAKIKSEAEKDAAAKAAFEATFKGLEKGREKRTTANSDSDSDEYEDLAHKPIGPVDPSKCTAAGTGIAGGTACAASSFGVVTKDSDERKVSTGGAQIKVKVTPGLGVGGSDQEGIVKDMGDGTYTVTYVVPKRGNYMVNVECNGKPIMGSPFPVFFSAGNSNGGLLGLAPPSSFPNLVNQTMPNMPNYSGSVSGSFPGLLGMIPGIVAGASGGAILPGIGASLGEVCRDYLNGRCAKVDCKLNHPPHNLLMTALAATTSMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQSAKDSAGSPDKANKEDVLKKTLQVSNLSPLLTVEQLKQLFGFCGTVLECTITDSKHFAYIEYSKPEEAAAAMALNNIDVGGRPLNVEMAKSLPPKQTTNSSLASSSLPLMMQQAVAMQQMQFQQALIMQQTMTAQQAANRAATMKSATDLAAARAAEISKKLNPDGLENEEKETKQKSRSPSPPPVRSRSKSRSPINYRRRRKSRSYSPPRNSKVNRSRSPMRFHHHSRYERDWRSYRGSREHSDRYRRRDSDRFLDNHSSGSRRNRSRSVSPHSKRSPVSPKRQGGSSSHRGRKQSRADSGSPSNRRGSRSSPKVVEKAIRNRRRSRSRSSDDKLNLDKNEEVLHEKAKQRERRRSRSVSVDEKPHRSRSSPRKVGESRPRNKKRSRSNSVDDKHPLSDKLDENSNRRSISSDDKVNFDKNEEILPEKSKHRERRRSRSVSVGERPQRRRSSPRKVDESRSRHRRRSRSKSVDDKRHLSGNFDENRNRRSISSDDKVNSDKNEEILPEKSKHRERRRSRSISSEGKHDKGGTSSHRDESNIEQKRFRSKSPNAKHHCSDNYENKDERSEHQEKTLSKSRSENHQQYDGSGPSPRNFKKYESKGKTNFDSAYAEVKHHLSDGENGTGEKKSQHENVTQEPIFCVNGNGVLISENENTKLDGWTENAEADDNPGWTCVEEVGNGKF >CAK8574670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5921628:5922147:1 gene:gene-LATHSAT_LOCUS26995 transcript:rna-LATHSAT_LOCUS26995 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLNPLFLITFIILVTYVSIGIAQDNSCINKLSPCLNYLNGTKNPPDSCCDPLKSLIKSDAACLCSLASNKGARQAEKAGINVTEAQSLPARCGQHVNPLSCLTNSGGSGNSAAKLMNMSWLVMMVSVVSCVIHMLLGSV >CAK8541773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392609825:392610651:-1 gene:gene-LATHSAT_LOCUS10668 transcript:rna-LATHSAT_LOCUS10668 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDEDVKLLGMVESPFVSRVDIALKLKGVEYKYEVESLQNKSELLLKYNPVYKKVPVLVHNGKPISESLVILEYIDETWKQNPILPSDPYKRALARFWSKFIDDKFINAARKALYTHDEKEREKGVEEIEEALHLFENELKGKFFGGDEIGLVDITAVLIAYWLPIIQEAFGVKLFTSEKFSKLYKWSQDFNNHSVVKEILPPRETLMAYYKGHYERHVASKNV >CAK8566220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:392948284:392953186:-1 gene:gene-LATHSAT_LOCUS19373 transcript:rna-LATHSAT_LOCUS19373 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLGGSVERSSLKRLNLNVDPPEADDDDSPLLPPPPTEITRLINDENSQDKSLLFKDTDSNEGEVKKLNKPGKYRSKPSKTDCSIDCGVDADGDQHVQGPPSSREEKVSSMKTGLVHVARKMPKNAHAHFILGLMYQRLNQPQKAVLAYEKAEEILLRPEIEIDRAEFLSLVQIHHAQCLIIESSSENSSDKELEPHELEEILSKLKESMQSDIRQAAVWNTLGFILLKTGRVQSAISVLSSLLAITPENYDCLGNLGIAYLQIGNLELSAKCFQELILKDQNHPAALVNYATLLLCKYASVVAGAGANASEGASEEQSTAANVAKECLLAAIKADAKSAHIWANLAYAFSISGDYRSSSKCLEKAAKLEPNCMSTRYAVASHRMKEAERSQDPTELLSCAGNEMASIIRDGDSSLVELPIAWAGLAMVHKAQHEISAAYESEQDGLKEMEERAVSSLKQAITEDPDDVVQWHQLGLHNLCARQFKTSQKFLKAAVARDKGCSYAWSNLGVSLQLSEEQSQAEEVFKRALSLATTQEAHVILSNLGILYRHQKKYQLAKAMFTKSLELKPGYAPAFNNLGLVFVAEGMLEEAKYCFEKALQSDPMLDAAKSNSIKVDTMSKLCKSLSSCILKE >CAK8563284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589845808:589848305:1 gene:gene-LATHSAT_LOCUS16710 transcript:rna-LATHSAT_LOCUS16710 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHQYIVNAHFNGSVVVSDEVGLIFENTDVSRFSVNKRSSFQHFKERLQMKVNAGSMSQITYKNIVHFGDNHFKFVPLKVRDDDDVETMFSNHERFGFQHIELYVTFAQCEETQNSQVINLSIIPHEAVEEEDGEEENKAQVDDLFSTLFEEGNIVNEVNRDKQYIPVENVFTPPTHMTTLPLNVETTSFDWPRTPHIPMEGDIEVGNQFKNKVDCVAAIKQYHMKHCVDYKVTNSNKKRYIICCKNDSCKFRLLASYRKRSDLWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDKDPSIKVSVCISKIVSEYNFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKFVPGTVLEIETLPMYTADGTIVEGKHIFHRLFWAFQPCIRGFSYCKPILQIDGTWLYGKYKGTLLIAVAQDGNNNIFPVAFALVEGETAEGWGFFLRNLRRHVAPQPGLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMANANALRWIDTIPVEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTHHLPITALVRATYYRLGSLFAERGSKWSAVLNSGKTFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVCHDAYALLSDVYRVTNLFGVYSNSFPVMPYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8560448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19898526:19902609:-1 gene:gene-LATHSAT_LOCUS14117 transcript:rna-LATHSAT_LOCUS14117 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQDQALVSIISQLALSFDGAVLGLSLAYVAVRSIQKFTLTSAALRKITHAPSVSVSDLRSLLDETVSEDDGNNSGDGKIVIVRGTVDAKSAVDGSWKALWPGVLISRESGDKGVVLQRTQTCIYSEWKGLFGWTSDLRTLFARSRRPRESTSLRKVPFVLIDVGQQSNTEYVVVSMDGSTHPLPLTTVYHNLQPINPPYTLLQAFFGHEYPVGLLDEEKILPLGKDINAVGLCSLRNGIAEIKSCEDLPYFLSDLSKNQMVVELSFKTRVLFWSGILLGSMSVGIIGYAVVRNWNRWKQWKHRRQLQQQRPTITEVDSQLDDDDIENVPDGQLCVICLTRRRRSVFIPCGHLVCCQGCAVSVESEVAPKCPVCRQEVRDSVRIFEA >CAK8573499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616441625:616447771:1 gene:gene-LATHSAT_LOCUS25942 transcript:rna-LATHSAT_LOCUS25942 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSVSVTANFAVAFALVCIITVEPWRVESQEEQRLLVSMTIVKNARDTGALCLDGSLPAYHLHRGYGAGENNWLLQFEGGGWCNDLQSCLERAPTRRGSTKYMNKFAVFSGILSNNATLNPDFYNWNRVKLRYCDGASFTGNRVFKNGTTTLYFKGQKIWEAIIEDLLPKGLGKAYKALLSGCSAGGLATFHHCDNFAKYLPTNTTLKCLSDAGFFLDGRDVSLNHTMRYLVKSLVTLQGIEQNLNENCTSALSYPDLCFFPQYALKYISTPYFILNSAYDVFQFHHILAPPSADPLGHWNHCRVNKSTCTPAQINTLQGFRLSMLAALKPFYFYSKRGGMFINSCFAHCQSESQETWFGDDSPRINNKTIAEAVGDWYFSRNRSKQIERPYPCDNDKTCHNLIP >CAK8570607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71253418:71255205:-1 gene:gene-LATHSAT_LOCUS23344 transcript:rna-LATHSAT_LOCUS23344 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWIVKMGNQVSSNLKHALLLETLTKKKHSQKRLENKETIGILSFEVANVMSKTVHLHKSLSESEISKLKNEILNTEGVRNLVSSDEGYLLELALAEKLEELNRVASVVSRLGKKCCEPALQGFEHVYGDIVSGVIDVKELGFLVKHMEGMVRKMDRYVNVTMNLYSEMEVLSELEQGVKKFQNNQHVESKKAFEQKLIWQKQDVRHLKDVSLWNQTFDKVVELLARTVCTIYARISLIFGETALRKNSFGSPVMQNECGFVSGNLNVQMNSGRLKRNTSKRNGSTANVSAVERRGTSTSKPRCEMRRGEFASLGPEDFGFPCGTSPGKLFMECLSLSSSVGKFDDVDDSYEDQYSHVSSSHSGVIANHSMKKEHLFHSGALSHVQSSVSFTGELRQAKSGVQSCSTFSPKSRLAFYAPPTTLGGSALALHYANVIIVLEKLLRYPHLVGEEARDDLYQMLPTSVRLSLKTKLKSYAKDMAIYDAPLAHDWKENLDGILRWLAPLAHNMMRWQSERNFEQHQIVSRTNVLLLQTLYFADREKTEESICDLLVGLNYICRYEQQQNALLDCASSFDFEDCMEWQLQCGASFLN >CAK8579262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688827793:688830893:-1 gene:gene-LATHSAT_LOCUS31221 transcript:rna-LATHSAT_LOCUS31221 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNCSTGAGITTSTTTTHSDLPNQKAPTILPQNANPSPPQPPLSSSLGRILGRPMEDVRSIFIFGRELGRGQFGVTYLVTHKVTKEQFACKSIATRKLINRDDIDDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLVMEVCAGGELFDRIIIKGHYSERAAANICRQIVTVVHNCHTMGVMHRDLKPENFLFLGKDENSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRCYGPEADIWSAGIILYILLSGVPPFWAENEQGIFDAILRGHIDFASDPWPSISSSAKDLVKKMLRDDTKERLSAVEVLNHPWMRVDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGSKMSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDQDKSGYITKEELESALKKYNMGDENTIKEIIAEVDTDNDARINYDEFVAMMRKGNPDIITQRRRK >CAK8540013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536015441:536015770:-1 gene:gene-LATHSAT_LOCUS9055 transcript:rna-LATHSAT_LOCUS9055 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSSNFVAEKWLGFVTAIWVQAICGNNYTFANYSDVLKSLMFLTQMQLNNLSVAKDVGKAFGIFSGLVSDRWPTSVILIIGVIMDSLVMVFNGLLFAKESPISLIGW >CAK8536470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944328845:944331584:-1 gene:gene-LATHSAT_LOCUS5837 transcript:rna-LATHSAT_LOCUS5837 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNTSPAKLRQHRNREIASRHFPSPSTSMESTEFHSPNSPSSVIRKSSIRSSDDDGRYKMTEESVLRRQLWPSRSKNSNSGTLADHITEERIIEENEKNKNSKSNYKNSSTISQKSFREFRRFENDESRETGGSVRYTGKFVSSSSLKKLNSNNGSVIVPGRFSLDKNTKLLSSKGNSSLTSSLDTESSDDVLVSVSPARKAVVEAPSRFMTDATMRRSRRGASDSNIGNLSGDLVRPTIKRTNSITAYKSSKSQWALSPGRSEISSSTKAKGVEKLINFGFDLFKSKKPSGLNVSSTVFGNNEDVHKLRLLDNRLIQWRYANAKSEVVNANISHRAESNLISVWDGLTKFRNSVMKKKIQFAKEKLEMKIAFILYYQLKLLETWGSMERQHVSTITSTKDCLHSAVCRVPLLEGAKVNIQSISIAIRHVFDLTTSMKSMLTSFSPAADKTAAILSDLAKVVTQEKQLLEEFYDLLHTISVFELQETSVKCNLIQFEGWKRKYQLQQSVLEHKRLLHNHKFLM >CAK8536471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944328845:944331584:-1 gene:gene-LATHSAT_LOCUS5837 transcript:rna-LATHSAT_LOCUS5837-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNTSPAKLRQHRNREIASRHFPSPSTSMESTEFHSPNSPSSVIRKSSIRSSDDDGRYKMTEESVLRRQLWPSRSKNSNSGTLADHITEERIIEENEKNKNSKSNYKNSSTISQKSFREFRRFENDESRETGGSVRYTGKFVSSSSLKKLNSNNGSVIVPGRFSLDKNTKLLSSKGNSSLTSSLDTESSDDVLVSVSPARKAVVEAPSRFLSDEVLVSVTPARKAVVESPPRFMTDATMRRSRRGASDSNIGNLSGDLVRPTIKRTNSITAYKSSKSQWALSPGRSEISSSTKAKGVEKLINFGFDLFKSKKPSGLNVSSTVFGNNEDVHKLRLLDNRLIQWRYANAKSEVVNANISHRAESNLISVWDGLTKFRNSVMKKKIQFAKEKLEMKIAFILYYQLKLLETWGSMERQHVSTITSTKDCLHSAVCRVPLLEGAKVNIQSISIAIRHVFDLTTSMKSMLTSFSPAADKTAAILSDLAKVVTQEKQLLEEFYDLLHTISVFELQETSVKCNLIQFEGWKRKYQLQQSVLEHKRLLHNHKFLM >CAK8542890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555846133:555854261:1 gene:gene-LATHSAT_LOCUS11688 transcript:rna-LATHSAT_LOCUS11688 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRHILIRTFLHRRPFSHSAAAILHPPEPDLEPLTYLEGFPKPDPKYDETILAIPRRDSGKNISAKERKVGRVPSIVFEQEDGEHGGNKRLISVRSNQIRKLINHLGRSFFLSRLFNLQVLHQFDSDSNTNDNDVIENVRVLPRSIHLKAGTDAPLNVTFIRAPSDAWLKVDIPIVFIGDDISPGLKKGASLNTIKRTVKYLCPADIIPPYIEVDLSELDVGQKVLMGDLNIHPALKLLHSKDEAVCKIMGQRVSEQQPKKSK >CAK8532680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:475743871:475745072:-1 gene:gene-LATHSAT_LOCUS2362 transcript:rna-LATHSAT_LOCUS2362 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGVNLFDIADSYGTGRFNGQREKLLGKFIRDFREKKGSRSEIVIATKFAAYPWRLTPGQFVNACRASLDRLQIEQIGIGQLHWSTANYAPFQELALWDGFLAMYDKDLVQAAGVSSYGPK >CAK8575586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:188525418:188526626:-1 gene:gene-LATHSAT_LOCUS27838 transcript:rna-LATHSAT_LOCUS27838 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVSKSNLSTPSSSSRSNASSFSTTEANDSYNVLDLPHLNGNLPPFTSFSYRSLAILSGHVGSVSCLALCGEFVLSASQGKDIIVWQQPDLRLFAKFGQGDGSVKALATVGNKVFTAHQDSRIRVWKVSRSSENVFKLVDTLPTTKDYLGKFMKQNNYVQTRRNHKRLWIEHADSISCLVVHNGLIYSGSWDKTLKVWGVSDLKCLESIKAHDDAINGLVACKGVVYSASADGKIKAWGKEGESLHCLKGVLEGHKDVSFNSVVISDDGKWVYGGGSDGYVIGYERNNGRENWKMVCERKAHEMAVLCMCLIGEFLCTGSADKSIGIWKRECFGKVCKVGVLTGHEGPVKCLQALLSNRTGGGFLLYSGSLDKSVRVWWVSKYDKTQQVEEKTLSTLNDL >CAK8533223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592505639:592508518:-1 gene:gene-LATHSAT_LOCUS2868 transcript:rna-LATHSAT_LOCUS2868 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAGIDDKLQKFLVSNKDEVDARRQTREAFKDIQLNIDHILFKTPCDGLETKESYEVNSRGIEIFGKSWIPKESKPKAAVFFCHGYGDTCTFFFEGIARKLASSGYGVFAMDYPGFGLSEGLHGYIPSFDKLVDDVIEQYSKIKENPEFKSLPSFLFGQSMGGAVALKIHLKQPKAWNGAILCAPMCKISENMVPPKLVVHMLTAMSNVFPKKKLVPMKDLGDAAFREPKKREQTAYNVISYKDKPRLRTAVELLKITEEIEQKLEEVTLPLLILHGEADTVTDPSVSKALYEKATSSDKKLELYKDAYHALIEGEPDDTIVQVLNDIISWLDEHSLKQNIQSSS >CAK8565888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347361926:347363333:-1 gene:gene-LATHSAT_LOCUS19065 transcript:rna-LATHSAT_LOCUS19065 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIGSHFGFGDDIKMSNHSSIGPPMSAIDRFLCGQRNHLPQNIVDGNCCSFGASTYSYMWPNNNNIMTQEASFVDRLLGEEEVMKWTQQIPSLSVEKEDVINGLLGKGTSKVVGKRPKKVSSLSLIKGQWSDQEDRKLLKLVKQYGVRKWSQIAEKLEGRAGKQCRERWQNHLRPDIKKDSWSEEEEKILVECHGKMGNRWAEIAKKIPGRTENAIKNHWNATRRRQNSKRKNKRPQTKDGKPQSSILQDYIKTLIQKPSTATTTTITTSASAISDDPSADQSHLIFSNMLHENSSSPLIAESYDDELLFMQQLFKVNNNVEPVFSQYYKNQSTKNQILSDVQPNNMYPYLSPMLNGIQNDNLSDGMLELVSSAQFF >CAK8539627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518632829:518638120:-1 gene:gene-LATHSAT_LOCUS8705 transcript:rna-LATHSAT_LOCUS8705 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLVLGNKIDKAGALSKQALTDQMDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >CAK8560647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33871823:33876837:1 gene:gene-LATHSAT_LOCUS14306 transcript:rna-LATHSAT_LOCUS14306 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPADLNRNTEWFTYPGVWTTYILILFFSWILVLSVFGCSPGIAWTIVNLAHFLVTYHFFHWKKGTPFADDQGIYNRLTWWEQVDNGQQLTRNRKFLTVVPLVLYLIASHTTDYEHPMLLFNTFAVIVLVVAKFPNMHKVRIFGINADK >CAK8544069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661095767:661098994:-1 gene:gene-LATHSAT_LOCUS12777 transcript:rna-LATHSAT_LOCUS12777 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLFRRLAPSLAARFQRNENLLTSFSSLSFSSSSSSVLHHATSSSSSSADVEPVHITENCVRRIKELNSNEPSVAGKILRLSVETGGCSGFQYAFNLDDRVNSDDRVFEKEGIKLVVDNISYDFVKGATVDYVEELIRSAFVVTENPSAVGGCSCKSSFMVKQ >CAK8539286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508467762:508470580:1 gene:gene-LATHSAT_LOCUS8396 transcript:rna-LATHSAT_LOCUS8396 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDAAAAAAGGKKRKRYLPHNKAVKKGSYPLHPGVQGFFITCDGGREHQASREALNILDSFYEDLVDDGEHPTVKGLSNRPVNTKITFADSDSSSSDSEEEEDEKEVQVQEGEEKEDKKPKLDVCNADNTDHENGTGEKSDPHKIDDLHAQAEAKADGDKEDVDSPKTIEKTADELPAVKQCCKTNVPKSDLGEKKMEEKSIDKLIEDELVELRDKNKKRFAKLESGCNGVVFIQMRKKDGDKSPKDIVTRIVTSAALTKKHMSRFILRILPIEVSCYASKEEISKAIQPLVEQNFPAETENPHKFAVLYEARANTGVDRMEIINAVAKSIPAPHKVDLTNPDKTIIVEIARTVCLIGVIEKYKELSKYNIRQLTSR >CAK8568541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615040555:615044550:1 gene:gene-LATHSAT_LOCUS21488 transcript:rna-LATHSAT_LOCUS21488 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKFSEDGENHSLRYGLSSMQGWRATMEDAHAAYTDLDESTSFFGVYDGHGGKVVAKFCAKFLHQQMLKSEAYLVGDIGTSLQESFLRMDEMMRGQRGWRELSILGDKINKFTGMIEGLIWSPRSSNGISRTDDWAFEEGPHSDFVGPTSGSTACVAVIRNNQLLVANAGDSRCVICRKGQAYNLSRDHKPDLEIEKERISKAGGFIHAGRVNGSLNLTRAIGDMEFKQNKFLPAEKQVVTANPDINTVELCDEDEFMVLACDGIWDCLSSQQLVDFVHEQLSSETKLSTVCERVFDRCLAPSTTGGEGCDNMTMILVQFKKPAQTSAPAEEQSSSNEQVDSQVEQKLERSDV >CAK8531925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177099360:177102784:-1 gene:gene-LATHSAT_LOCUS1680 transcript:rna-LATHSAT_LOCUS1680 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIVDFTAQKIGDLLIEEAVFLYGVRDKVHQLRTELRMMETYLQDADRKQEEDETLKNWISEIREVAYDSDDVIEAYALKGASRRNNMAGTFNRIKIFISAINRLIEIHQVGSQVDGIISRITSLTGSLETFGIRRDREEASSSIHGRQKALRRSYSHVIEEDIIGVDYDVKILESCLVNNNNKGYKIVAIWGMGGLGKTTLAKKVYHSTKVRQNFESLAWAYISQHCQARDVWEGILLKLLSPSKELREELANLRDDEVAKKLYQVQVEKKCLVVLDDIWSVDSWKNLSPGFPSGRLLSVGSRILLTTRNTDVALHMDPTCYLHKPKCLDEDDSWELFQKKAFPKYDDPDSSITTEMEKLGREMVGRCGGLPLAIIVLGGLLASKPTFYEWDTVRQNINSYLRKAKGKEQLLGVSEVLALSYYELPYQLKPCFLHLAHFPENLEIQTKKLIRIWVAEGIISSVQNAGDSEEALEDVAQRYFTELVERCMIQVVEKSSTGRIRTVQMHNLMRDLCVFKAYEENFLDMIGSRNVDQTSTSKARPTGKVRRIALYLDQDIDKFLPRNLKNHHHLRSILCYHEKTARLSEWSSMKSVFRKCRLLRVLNLEGIQCQMGKLPKEIGFLIHLRFLSLRNTKIDELPNSIGNLKCLQTLDLLTGNSTVQIPNVIGKMEKLRHLFLPESCGNDSEKWCISNLKSLQTLVNFPAEKCDVKDLMKLTDLRKLVIDDPNFGEVFESTNTNVTFIHLESLFYVSSEEISILEVSAGCPNLYKLHIEGPISNFPSPNQISSKLAKLKLQGSGLVVDPMTTLEKLPNLRLLELQLDSFLGKKMVCCSKGFPQLKSLVLSDLPNLEEWKMEKGAMCCLGKLEISNCTKLEVVPDGIRFVSSLKDLEIRSMFAVFRMKLEKGGDEHYKVQHVPSLVFRYCDY >CAK8543032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568421700:568435335:-1 gene:gene-LATHSAT_LOCUS11819 transcript:rna-LATHSAT_LOCUS11819 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNSESISTDDTNPSWIYDVFLSFCDKDAAKSFAYNLRTALPVAEIVVFRDDDKLRNQDQMITPSVLHAIERSRISIVVFSKNYTDSTWCLQELDKIMECYRTRDQMVVPVFHDGEGMLEEAFNDLALRILMKDESMCEGFKISGFDVDSRNESEDIKKVVEHVASLLDKTDLFVADHPVGVDSRVQDVIQLMNSQESKDPVLIGIWGMGGIGKTTIAKAVYNRIRHNYEANSFLLNVSKIWEQDNGKVSLQQQLLSDIYKTKKITMDTIESDKLILQEKLCQKKIFLVLDNVMELDQLNALCRSPKCFGQGSKIIITTRDLQLLRAHCVSHVYQISTMNQYESLQLFSWHAFKEPSPMDGFAHLSRDIIEYSGALPLVLQVIGSFLLTRRSITEWKSVLEKLKRIPHDNIFEKLKISYDGLRDTDMKEIFLDIALFFIGVDQKAATKILKDSGHFVDTGMSVLVQQNLVTVDRKNKIGMHDLLQDLASEIVRRKSESVAKEMTYDVFLSFRGKDCRAKFISHLYTSLQNAGIYSFIDEDGIRRGDQISFSILKAIGQSRIHIVVLSSNYANSRWCMLELEHIMDVRSNSVVVIPVFYEVDPSEVRHQAGKFGEGFKNLISRFSVDEYRKMNWKESLLKVGGTSGVVVINSRNESEDIRKVVNDVTKLLDRTDLFIAEHPVGVESRVQDVIQLLNSQQSEDSLLIGIWGMGGIGKTTIAKAAYNKIRHDYEAKSFLLNVREFWEKDNGQISLQQRLLSDIYKTTKIKIDTVESGKMILQERLRQKRIFLVLDDVNKLDQLNALCGSHKWFGQGSRIIITTRDNDLLHGLKVDHVYRMKTMNDDESLQLFSWHAFKEPSPINGFADLSRDFIKYSGGLPLALQVIGSFLMTKRRKIEWKSVLEKLKLIPNEEVLEKLRISFDGLSDYDMKEIFLDIAFFFIGMDQGDVTKILEDCGHYAGIGISVLVQRSLVTVDTKNRIGMHDLLQDMGREIVNKKSREGNQDPSRLWRYEDVKSVLSKDTRTLDVKGLTLKMSRMDSTTYLDSKTFEKMDKLKLLQLAGVEFNGDYKYISRELRWLCWHGFPLKYTPADFHQERLVSVDLKYSNLERVWKKSQFLKGLKFLNLSHSHNLRQTPDFSNLPNLEKLILKDCPSLSSLSPTIGHLKKILYINLKDCTGLRELPRSIYKLKSLKTLIVSGCTKIDKLEEDIEQMTSLITLVADNTALTRVPFAVVRSKSIGYISLCGFKGSSRNVFPLIIQSWMSPTNGILSLIQTFAGTSSLEFLDSFYGLPSIVKELPNLQRLLLKCESDTQLNQTLASILDNLHTQSCEELEAMQNKPQSSNFVTSESTHYFSQVQISSSQKSLTSLLIQMGMNCHVTNTLRENILQKLPPNGSGLLPGDNHPDWLAFNENGSCVTFEVPQVDERSLKTIMCIVYSSSPDDITSEAVEGLKVLVVINCTKKTIQLYKRDALLASFDEEEWQKVVSSTEPGDIMKVIVVFGSKIVVKKTTVYLIYDEPIDEKTKHSPESDKNIIVSRGDGNIFGRLFFRLPSLVQAALFTRPFWFCLAVMLVCGLAFILTNAEV >CAK8575109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30908304:30908693:-1 gene:gene-LATHSAT_LOCUS27398 transcript:rna-LATHSAT_LOCUS27398 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIQEAIVEDQNNKVKEQSSQQISMENLKNFFQRKYPNESEDEIMVRILDHMKNQFFSTFSTKASKDEDSSMKTSSSMGSIDSHNFVGLAREGQADEPTAEDFWDAMIQSMKVKGKTKN >CAK8566502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429290738:429296337:1 gene:gene-LATHSAT_LOCUS19627 transcript:rna-LATHSAT_LOCUS19627 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTVEPSYSPTSTPKDELEWLPLPKHPLFTTTTTSHDATGNVSRNLLAWDGANRLYFWDSHKNYLHRLSLRLGDPDPTSVLAASPSKVLQTDVVLDFDVHKISINRNGTAILLFGSERLCVMYLYGRTSKTDVNLICRTIAVGSQTHSLGNNDIRLLEASWHPYSDTHLGVLSSDSVFRLFNLAVDPLQPEQEYYLQPAVHGRSRTASSICPVGFSFGGDHLWDRFSVFVAFSDGSIYVICPVVPFGSLFKCESLLDIYNDAHTFGLMSANSVAASNSKLAISWLEATFPELQNQENEGDSLSLLRSHAYLVFDASLVLQGPLQRVGQSGNGDSVGRSAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWSIGSPPRLRLDSHDEILGLAMICESTASSPPEKLDHNNAWLGHPSPLLRLAIVDLALPRRAERGYNISLFIDTLMPERIYSLHDGGIDSIVLHCLPFTSQANGKDDTMRTPSVHPVLNTCQNGSTSEPSLCGFVSLSDSFGYSWSVAVTLSQECVVLEMKTWNLLPQLSIDMEKKHIQSVGQSNERDIQPIISKELLSGPKEVLVPWASQSLRSVAADSIEGRSTLHQYFKLFHETYVEYAHKVHLELKHHAPQLKKIINDQRSRLGDAQQKLLKVEDKECILQKRVDRAIQMHNSLEERLQRLRNLPGAHKKPLSRAERQFKSELDHFKEVELDALHSSVEAVSARLRRHMQASKASQQKKISGKKVCAGDDQISILKSSLEKLSLVNTENSKKVKIVESTLSNKERNRGESSLPMLKNC >CAK8541502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:207129896:207132148:-1 gene:gene-LATHSAT_LOCUS10419 transcript:rna-LATHSAT_LOCUS10419 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPKVKILVDRDPIKISFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIQPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRAFGITSELQLYCTAIGALVFAALMLFVGWFHYHKAAPKLAWFQDVKSMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFDEGATPFFTLNWSKYADFLTFCGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLDMLGSLTIIVAHHMYDMPPYPYLATDYGTQLSLFTHHMWIRGFLIVGAAAHATIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQNMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSINDQGVITHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >CAK8579418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697200954:697204233:1 gene:gene-LATHSAT_LOCUS31366 transcript:rna-LATHSAT_LOCUS31366 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIQEKKLGAGRVVAVAIENNKTSQYAAKWAVDNLLPKDQHLLLLHVRQRASSIPTTNGNLIAVDVNDDVARAYMHQMDNESRELFSSFRVFCNRKNILCKEILLEDLDVSKGIIDGITTYSIELLVLGSPSRSGLVRRFRTTDVPNLVSKGAPAFCTVYIISKGKISSVKTATSPLTVKPVARSNAIQMPQQLQQSPDTFDLQQLMRNQPPQQLQQSPDRFDLQQLMRNQPPRQTSEKPSLLSHLQDKEDEIKSPFMRGGRPVHRPYESTINESDISFVSSGRPSIDRMFPSFYEEMDSVSGMTPRLSGGSDYDIRSFGSSFSGAKSIDQNDYSFCSQDSGMSMSPQLKISSSDEVEAEMRRLRIELKQTMEMYNSACKEALTAKQKAMELQRWKSDEQRKVEDAKLSEETAMAVAEKERVKSKVAMEAAEASRKIAELEARKRVDAEMKSNTGADSFLHGPARYRRYTIEEIEEATKYFSSSLKIGEGGYGPVYRAELDHTAVAIKVLKPDAAQGRSQFQQEIEVLSSIRHPNMVLLLGACPEFGCLVYEHMSKGSLDDCLFRRNNSKFRVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTATAGTFCYIDPEYQQTGMLGTKSDIYSLGIMLLQLITAKPPMGLSHHVAKSIERGTFGEMLDPAVEDWPIEHAMHFAKLALQCSEMRKKDRPDLGKVILPELNKLRDFAEENMPMMMMFGGGGGFNQRNNFSSQSTLSSSSQDSMSDSQSMSGMSGYESRSSSSSVGKM >CAK8574514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1246922:1249359:-1 gene:gene-LATHSAT_LOCUS26854 transcript:rna-LATHSAT_LOCUS26854 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLRQVVAGILTLTMFVMLIHMIKRDHFDSVQDKTFPGSTEDATYETVKMDATHVRKNIGLWKGDTDELKPCWVKPSGDEVEQTEGFVIFSLTNGPEYHISQIADAVIVARNLGATLVVPDIRGSQPGDKRNFEDIYDIDVFTKSMEGVVKVTKELPAQVTTNKIAAVKVPNRVTEDYIARHVEPIYRSKGNVRLATYFPSINMRKSGKKGDTDSIACLAMYGSLELQPEMHDLVDSMVERLRTLSRKSDGQFIAVDLRVEMLNKKGCQGNDDSDGEKSCFNAQEIATFLRKIGIDKDTTIYVTQSRWDDSLDSLKEFFPKTYTKESIMPADKKAKFLDSGDSELENVIDFYISTESDVFVPAISGLLYANVAGKRIGSGKTQILVPSEISDSSASASSFLSPYISKKNHFAYSCYC >CAK8537823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439352934:439356127:1 gene:gene-LATHSAT_LOCUS7077 transcript:rna-LATHSAT_LOCUS7077 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHQFLEHGISHQNLKRTSCANVLTLAYQSLGVVYGDLSTSPLYVYKTSFSGKLSLKEDDEEIFGVLSFIFWTFTVIALFKYVFIVMSADDNGEGGTFALYSLLCRHAKLSMLPNQQPADEDLSAYSTKDSADTWQSSRLKLFFGKHPRFQKGLLIFVLLGTCMTIGDGVITPAISVFSAVSGVQVKINQLHDNYVAIVSCIILVGLFSIQHHGTHRVAFLFAPVVAAWLLCISGVGIYNIFRWNRQVYRALSPVYMYRFLKTNGMEGWLSLSGVVLSITGVETMYADMGHFSALSIKIAFTCLVYPCLILAYMGEAAFLSKHHYDIKRSFYKAIPEAVFWPVFIVATLAAVVGSQAVISATFSIISQCCALNCFPRVKIVHTSSKIYGQIYVPEINWILMCLCLAVTIGLRDTNMMGHAYGLAIMTVMFVTTCLMTLVIIIVWKKGIIKALSCLFLFGSIELLYISASACKIPEGGWIPILLSIIFMGIMFTWNYGTRKKHQFDVENKVSMSKMLSLGPCLGMVRVPGIGFIFSNLASGIPAIFGHFVTNLPAFHQVLVFICAKSVQVPYVSENERLVISRIGPKEFHMFRCIVRYGYKDMQQENYNFENKLVSAIIQFVETEDNAEEQTNQLTIDDGNINININTEESYKAESIQILKAKESGVTYIIGHSYAEAKKSSSLLKKFGIDIVYAFLSKNCRDHDIMLDVAHTSLLEVGMAYHV >CAK8572550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545894431:545895391:-1 gene:gene-LATHSAT_LOCUS25103 transcript:rna-LATHSAT_LOCUS25103 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSAAITIFRPGTLVEISNDVEGYYGSWFTGKIVCCLHHHKFVVEYDKIMVDEEGTMGVQETVNLSQLRPIPPKEIIQDLQVGDEVDAYDRDGWWEGRISGNFENGMWAVYFKDWSEQLAYPEDELRRHHNWVNGSWIPPFPQQDDDSKIKETERVNAAETVTGDKDEFKFEPGTLVEVCSKEDGFQGAWFCATLIEPKAGLKFVVEYESFVDDDDNYKLLREEINMHQIRPRPPKTDDGYQFQFLDEVDAYYNDGWWVGVVSNVTSCKYGVRDIYLIVN >CAK8577250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:548583094:548584038:-1 gene:gene-LATHSAT_LOCUS29372 transcript:rna-LATHSAT_LOCUS29372 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGLLLVCSLTMFSSVHAYAEGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLGCGSCYEIKCAGDHKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLQHFDLAQPVFLHIAQYKAGIVPVSYRRVSCRRKGGIRFTINGHSYFNLVLITNVGGAGDVHAAFIKGSKTGWISMSRNWGQNWQSNNYLDGQSLSFKVTTSDGRTVVSNNVAPAGWSFGQTYTGAQIN >CAK8576680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505389948:505390989:-1 gene:gene-LATHSAT_LOCUS28853 transcript:rna-LATHSAT_LOCUS28853 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWLSKEDTLEFSAVLKLNNVDVTMVYPEPWCMPRLFTSEIASFYEGYYANKGINIIKGTVAIGFTSNSDGEVKEVKLKDGRVLEADIVVVGVAGRPLISLFKGQVDEQNGGIKTDSFFKTSVLDVYVVGDVATFPLKLYNDVRRVEHVDHVRKSAEQAAKAIIAADAGKSVEEYDYLPYFYSRSYGTHCILFHPPSLSFSAFLRV >CAK8577826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591749500:591752383:-1 gene:gene-LATHSAT_LOCUS29896 transcript:rna-LATHSAT_LOCUS29896 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGVIWEIAKSLFSCTKAQAAYVYKLQENLESLMEKWEDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQNFEEKMKDIPNSQEIQSNQCLNGYCAKNCLSSYNLGKKVVKRLNEVNGLLSRAGNLQIALKQPPKPIDEMPSSETIGLDVMVQKVWNSLKDDSVGVIGLYGMGGAGKTTLMKRIHNELGTRDHSFDLVLWIVVSRDCDINKLMNDISNKLGIEEGFWNRSTQDQRVSKIYDRLKGKRFLLMLDDLWEKLELDTIGVPVQKENNKSKVMFTTRFEDVCGKMQAQNKFKVECLSVKEAFDLFCNKVGDETLKCHTEIRNIAHEMAKECGGLPLALITVGSAMAGVDSLEAWMVAKNNLRSSPWTASGLKDKVFGILKFSYNKLPDEAHKRCFLYCALYPEDFEIGIDDLIDRWIGEGFLCRDDMSIYDMHIQGKSIIEKLILSCLLEESIDIESFHYLERNNRTVKMHDVIRDMSLWLARDEDENKDKVLVQGDVFSISKMDSKRLNVVERISIITATKFSENYNLPACPNLTTVCFRLHGVFNTSTNLSSTNFQSLKRLRVLDLSYTRYIVLLPPEIGELINLEFLNISGTFVSSFPIEFKKLKNLRVFLMDDMKSFDGQVSPLLAVIESLEQLKVFRFSRLHGTVQEDISLLEKLESLPKLEELDIQLTGITSMQRLFNSTKLRGCSRRLMLSNYEVCSTLEMSSLLASMSEMTHLDCIHLITMRSLMDGSSVTEKCHLGKLRQVRIHDCSSITHLTWLTYAPLLEYLVVIYCSSIEHVVKEAEDDEEFGSESKNDNIFTNLKDLCLENMPKLVSIHKRALAFPSLKRIRVTDCPNLRKLPFNSTFASKDNLVAIQGSIKWWDNLEWDDTIIQHLLRPKFQHG >CAK8566130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382976915:382977331:1 gene:gene-LATHSAT_LOCUS19291 transcript:rna-LATHSAT_LOCUS19291 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYYLKDKDVMDYEIKFHNTWIFKGILKQRVKIVDMQQHWNVALQQNKFRVTTFHNTMIEDENKVPWSKMVKYNKARPRAVVCLWMACHGKLATRDKLWRFGLIQDSVCVRYVRMKRSQLTIYSSASTKLNQFERIF >CAK8544566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693021476:693023255:1 gene:gene-LATHSAT_LOCUS13232 transcript:rna-LATHSAT_LOCUS13232 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSFLQVPRCRIHSLANNWGKTFPSFGNICQNNHSVRLLGSRIYGLQGGHRKKWTRRPITTTTESSADTKSRSTKQEILSETVSTSSTENVNKTQLRQFQEIQQCSIQQEIAQNKDLSSLVTVIVFDIETTGLHREKGRIIEIALRDLQGGPNSTFETLVNPQCRIENSKIHGITTDMVNKPGVPRMEDLVPILLSYIRSREKPGGYVLWVAHNARIFDVPFIINELRRCSTPIPLNWLFLDTLPLARQLKPKGTKLPSASLDALSKFYDIKVDGSAHRAMVDVNTLSLILSRMTRDLKLTLSGLVEKSFREADMKKNSK >CAK8561190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:116100632:116101104:-1 gene:gene-LATHSAT_LOCUS14802 transcript:rna-LATHSAT_LOCUS14802 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTLSLFILLLSLNVCTSRILVELEEESSTHINISEKVSKNVEKEPLETKTENIKVKNNIGVVQVQHMKQEFSRHVPRKSGVSVSLSMPQRKEGKNPGFYSDYSRPRTRPPSHN >CAK8574371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678276009:678278450:-1 gene:gene-LATHSAT_LOCUS26725 transcript:rna-LATHSAT_LOCUS26725 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDNAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHEYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPMHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAVVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYDKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8535210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:825807960:825808835:1 gene:gene-LATHSAT_LOCUS4683 transcript:rna-LATHSAT_LOCUS4683 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKRGRGRPKATVPPPPETLTSLKTPERESRNTTVVGQTSENASRTTIGEDKAMTNTFVRENKETLTEPTQPQPEERKPWVNVINENRNPARGMAIEYVAPKLINGVFEINIEQEDIETEIQFWDNALILYVVGDDLSMNTMKNFMQRMWNFVKMPDLYYHDDGYFLIIFSSQEDKEAVMMKGSYTIRNMPMILKEWQTGFNLKKDLLRTLRIWVKLPQLPLHLWGAKSLSKIGSSIGKPLVTDECTANKLRISYARLLIEVDITQPLIDEIAIRNVEGDIIVQSVNYE >CAK8539269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507981916:507982491:1 gene:gene-LATHSAT_LOCUS8380 transcript:rna-LATHSAT_LOCUS8380 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGAASSAYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETPEMAAAAYDVAALHFRGREARLNFPELANTLPQPLSNNADHIRMAAHEAALSLRGNMLAPSDNNSRTDIDSGSGLVSSTDMVAPMTVRLSPSQIQAINDSPMDSPPAWMQMSHHFMMDDQSYLFGNNGYDFEENYEWEDMQQSDSLWDP >CAK8565377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156457931:156458266:1 gene:gene-LATHSAT_LOCUS18597 transcript:rna-LATHSAT_LOCUS18597 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGGFSHSVESGAGKRRVFAIGNYVNQRLLRPLQPRNEAIFLLYDHRLKLEDPPEIVLSLTYLDFSGSTNPRRDKSASDQASSQDRSNPPFKPTYKTSFH >CAK8539062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502691595:502703863:1 gene:gene-LATHSAT_LOCUS8195 transcript:rna-LATHSAT_LOCUS8195 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPNVDLFDAYFRRADLDRDGRISGAEAVSFFQGSGLPKNVLAQIWAFANQNQSGFLGRAEFYNALKLVTVAQSKRELTPEMVKAALYGPAASKIPAPQINFSATATPTPAPAPGAVPQVNLGVRGSVPNQNLPASPVNQSPRPLQNFSAAIPTQGLAAVGGARPASSAAFPSYGNMGGAPQQPQTAPSQLPVRGTSPTSTQEGFGLAITTSGSNVAPPTPKQSEYPSSATKPADQVVKVSRSPDTSVNGTASDSFFGGDFFSASSTQPKQNSSPQGFSSTNSLLSSAIVPVSGGNQNSIRTSSLDSLQSSLATQSGSPHLQQPRPHFQQSQPAVKQNQHTPVHTPNMLSSSGLQVRAQDPASGQPQSPWPRMSQTDVQKYTRVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERHREGRALPGVLPSSIVLDLPPTGQPANVHSAVSWGNPPGIQQQQGVAASGARQVNTAAGRPPRPAAVPPPDEGPQNKQQKSKIPVLEKHLINQLSSDEQNSINSKFQEATEADKKVEELEKEIVESREKIEFFRAKMQELVLYKSRCDNRLNEIIERISADKHEVEILAKKYDDKYKQVGDVSSKLTTEEATFRDMQEKKIELYQGIVKLEQDVNTDDTVQGRADRIQSSLDELVKSLNERCKQYGLRAKPTTLVELPFGWQPGIQEGAADWDEVWDKLEDKEFALVTEYTLDVQNTIAPPKQKLPKAVNTKALDIESPKLAASPKSDDDKSEKPQTTNEQGVDNGSPYNKSDDGSAKSAPNSPFASSTIGSPHRDFVDSDIRKNSGEDSSPRDQDAAQETNSDHAGEKSLFSEDKVFDESHWGGTFDATDDIDSVWGFSASSITKEEKDLDGAGDNYFFGSGDLGLNPIKTASPQAGGPFQKTSGFSFDDSVPSTPLFSSGSSPQKPRDWLENAFDFSRFDSFSTHDSASLPAREAPVRFDSFSTHDSASLPAREAPVRFDSVRNSVDYDHGFPAFDDSDPFGSEPFRTSLDSQTPKRGSDHWTLSESQTPKRESDHWTSSENQTPKRESDHWSAF >CAK8579125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677554785:677555204:-1 gene:gene-LATHSAT_LOCUS31093 transcript:rna-LATHSAT_LOCUS31093 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVKKCKRVWRSNNRLYPEETCGGSYGKLSSDKSHKQKDNIKQKKPVNGCVCVYVGPERQRFIIKIKIFNHPLFKTLLEGVENEYGYRNDGPLWLPCDVEFFCETLVEIESADEDDTGFVGCSFPMGHRNIAWFPILA >CAK8579500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704322486:704325179:1 gene:gene-LATHSAT_LOCUS31444 transcript:rna-LATHSAT_LOCUS31444 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESVEAVLNCIDLSNFNIQQSVNLLKQSCTDSGFFYIVNHGISQEFMDQVFDQSKKFFNLPLDEKMKVLRNDHSRGYTPLLDEILDPQNQLHGDFKEGYYIGVEATDPLHHKPFHGPNLWPASDVLPGWRETMEEYQRQALEVGKAVAKIIALALDLDANFFNKQETLGDPIAILRLLHYQDKISDPSRGLYAAGAHTDYGLITLLATDDVKGLQICKNKEAEPQIWEDVAPLKGAFIVNLGDMLERWSNCTFKSTLHRVIGNGQERYSIAYFLEPGHDCLVECLPTCKSDANPPKYAPIYYKDYLSQRYKETHADLLVYDKQQS >CAK8540752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18992117:18994060:1 gene:gene-LATHSAT_LOCUS9724 transcript:rna-LATHSAT_LOCUS9724 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVSKVKNTCSEETATKLSVDDEKQEEENNLCISSIRCSRKKLLVLDINGLLADIVSPPPKNVTRDATISRKALFKRPFYLEFLKFCFERFDVAVWSSRLKKYVDMVVDYLMGDMKQKLIFCWDVSHCTETNFRTLENRHKPLVFKDLRKIWDKYDPNLPWEKGYYNDSNTLLLDDSPYKALLNPPYNSVFPHTYSYENQNDISLAAGGNLRRYLDGVANAENMVKYVEEHPFGQERINERSDSWNFYLNVINSLTVCRHEK >CAK8534782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767063742:767064818:-1 gene:gene-LATHSAT_LOCUS4296 transcript:rna-LATHSAT_LOCUS4296 gene_biotype:protein_coding transcript_biotype:protein_coding MASACINNIGMSPEPFFSWDPEPDFEFRLQDPVTMLPADQLFSDGKLVPLHFKPTTSISATTSPKKATASITTSDPILFSPKAPRCSSRWKDLLGLKKLYSSTNTTTTSTTKTSPATSSSPSNKSFKHLLNRNSKTTSSSDNGSLSLPLLRDSDNESLSISSRLSLSSSSSSHDHDDLPRLSLDSEKPNPISIHRNPNPNPNPRIRLVKPRTGSFDGNKPDQHNNNNRSGRSPIRMESSNTQGCRGASVDSPRLNSSGKIVFQSLERSSSSPGSFTGGPRFKHRGMERSYSANVRVTPVLNVPVCSLRGGSKSGSVFGFGQLFSSPQKRESTSGGNSKSHPHQHQHQHSVRHQQHKHP >CAK8532403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257538050:257539221:1 gene:gene-LATHSAT_LOCUS2113 transcript:rna-LATHSAT_LOCUS2113 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPSEDEKLRELVESYGPHNWNAIAENLRGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLITSHRIHGNRWAVIARHFPGRTDNAVKNHWHVMMARIRRERYKIYAKGPLDHHIIFSQNDHQTNFETRNLHSFVENYHEKNKHPSVSYNPFQFPKFRFQDPSSCSTMLQDKTQSIEFYDFLQVDSNKSEVTDNARREDEEVNQDVVKNKEKHVPFIDFLSTSSC >CAK8535009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802655904:802662349:1 gene:gene-LATHSAT_LOCUS4496 transcript:rna-LATHSAT_LOCUS4496 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAIRQRRSQPSDPPAPSSSYSKLDKAAKSDDKDEGLKWALPFVALGLLRYMSATSNIIHDCDEVFNYWEPLHYLLYKTGFQTWEYSSQFALRSYLYLLFHEIVARPALWLFADEKVRVFYAVRFFLGFLSVVTETVLVVALSRKYGKRLASYTLAMLCLASGCFFASTSFLPSSFSMYAISLASGLFLLDKHAAAVAVSAIGVILGWPFSILAFLPVTLYSLYIKFKRAFIAGAVTSVILLALSAVTDYNYYGRWTSSVLNLLIYNVAGGGESHLYGTEGPLFYLKNGFNNFNFCFVLSMLFLGFWPIVKKKYGRDLLIVISPLYIWLAFMSLQPHKEERFLYPIYPLICVTASAVIESFPDLFRDKYDSFDTSIIVRIAKFLRPVVLSIILYSSHARTFSLIHGYSAPIEIYKILEHHDDVANGSVLCVGSEWHRYPSSFFVPDFVGQVRWIDDGFGGLLPFQFNSTLGGTTAAPPYFNNKNIASKEQFLHDIDDCTFLVELQLKRPYLTRGSDMSTWETIAALPYLDRELSPALYRSFFIPYLWQEKNVFGMYKLLRRVTK >CAK8574715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7236912:7238656:1 gene:gene-LATHSAT_LOCUS27032 transcript:rna-LATHSAT_LOCUS27032 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNGGTVSQGSILCYACQIPAKVGVSSIRSFWGHSLENKPRGMTLTDMSATSSSLLSGGQNLFSRTIPALRTLHKSSTTPRATKDSSGFRFPPMKRKPRWWWRTLSCIPYLLPLHQVWMYAQTAYNLHPFIEFFEPMTLPFLMAIGSLPRWSLIAYFLIAYLTIVRRKEWPHFFRYHVAVGMLIEIALQVTGIVSRWMPLAFYWGQIGMHFWTTAFFVFLFTTAECIRCALVGMYADIPFVCDAAYIQIPHE >CAK8563547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615159119:615162105:-1 gene:gene-LATHSAT_LOCUS16945 transcript:rna-LATHSAT_LOCUS16945 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTNFAFFFLFLSLTSHSFAADTTSWVKAGYYYSGSEISASEIKSTLFTHLLCAFAFINSTNYNIFINSSEEHKFSTFTNTVKLQNPSVITLLSVYTGRGNSSVFNSMINQSSYRKSFIDSSIKTARKYEFQGIDLRGVSPKQGNELANFATFLKEWRIAITSEARNTKKIELVLVMAGYYLKASDSFSYPFESMQKNLDWVHFVAYDYHLPEKDSVTGFHAALYGSSGWENTDSGIKEWRKRGFSSNKLVIGLPYHGYAWKLVKQGEGGVGKPASGPAITMDGSMAYKLIKSYIRSFGDGVVLGYNDTFMVNYFTVASTIWVNFDDVEAIKEKVSYAKKNGLLGYNVFQVGNDENWVLSKAAQEVAEDHHKRRLLITVLLTTLTIALLLGIVFCYYYYYQRTMAILTKMIYRLRLYFSKAEEDLNGNASDLVVFGYLTIKVATDNFSTENKLGEGGFGAVYKGKLRKGQEIAVKRLSENSNQGLEEFKNEITLTARLQHVNLVRLLGYCTKRNEKLLIYEYLPNKSLDHFLFDPRKSILLDWRKRVNIIEGITQGLLYLQEYSNLTIIHRDLKASNVLLDHEMNPKISDFGMARIFGKYEHEANTSRIVGTYGYVPPEYVRKGIYSPKYDVYSFGVLLLQIISGKRTSQYYGPHENMNLLEYAYELWMEGRGVEFLDPSLDDSTSHCKIMRCMQVALLCVQENSADRPSMLEVDSLLKNEGTHVSTPNMPAFSMKKHEDDKGDTSNSGLKFSSINDVTISQMVPR >CAK8572414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535102403:535107469:-1 gene:gene-LATHSAT_LOCUS24985 transcript:rna-LATHSAT_LOCUS24985 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRLQLARTLYRSSQIGQESLPNLLGSCRSYSNALANGSDCSFKGLHPCLFKGNDGFSLASVKSLTLQSTMAAEFSIFMNDRRMSTIVSKTPTAQVRSVGAQIALASPGFIYEPYEPREKIPFWKRCFTRSGWKRTKNDIILELKSAYAIAKLRKTGYSKSQFYKEAISMYKEINTLIANGDKKSLRKAVTEKMFSTLKNEIKQRETTWSGVYWELVEPVVMIRTLRARMIGVDRNDTNKVFYQLTMEILAKQKFEGYDLKGSVVAGDKNKEILVRDIWVFEKSTFHPGAYWRLCGRITPKAS >CAK8576167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416277142:416278722:1 gene:gene-LATHSAT_LOCUS28376 transcript:rna-LATHSAT_LOCUS28376 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGELVEFASGVKGIALNLENENVGIVVFGSDTSIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHKPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTVIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPNSGCAMGEYFRDNGMHTLIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTCAGSLTARLFSFFYGIDLTTFYIIFINIILSIISLKAGGDVATCDGVGGVEGPPSPPVVGIRVAPEVWEVPPAAPEVWEVPLAPPEVPPVLAQQIIPDLQREGELYSRLLVNTFGENPTLRRISETISVQTRIERLIESALVHSGFNPTRIFENRHRIRGMIFYHRGRALSVRQYRSHLQSIYRLGTRDTRAFQRLMTTVRNYDLWL >CAK8541187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84934162:84939710:1 gene:gene-LATHSAT_LOCUS10124 transcript:rna-LATHSAT_LOCUS10124 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNSIANLAFNTVGTLQRDAPPNRLSPNYPKPPPAQPTEDGANFSEEPNLMSASLVKAAKQFDALVASLPIFETGEEAQLKGIAELQAENDAIGQELQKQLEAAAKELNQVQELYSQATDNCLNLKKPDIS >CAK8560793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:43096877:43100184:1 gene:gene-LATHSAT_LOCUS14440 transcript:rna-LATHSAT_LOCUS14440 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFKDPDHTNLHSSITFSKLITFFFLLISISYLFYSLRFVTHSYDCGNNQAHQNPEIIPIIHNSHTNFQPQTQNPFQELETPTNISHIVFGIGASAKLWKKRKEYIKLWYKPNQMRGIVWLEQKVKPDPKDKDLLPVLKISEDTANFKYKNSKGHRSAIRISRIVSETVRVGMKNVRWFVMGDDDTFFVGENLVNVLKKYDHNEFYYIGSSSESHLQNIYFSYNMAFGGGGFAISYPLAVALEKMQDRCIQRYPGLYGSDDRIQACMAELGVPLTKEKGFHQFDVYGNLFGLLAAHPIAPLVSLHHLDVVEPIFPNVSRIQALKRLTVPMTLDPAGLIQQSICYDKSRNWTISVSWGYAVQIFRGIFSAREMEMPARTFLNWYKRADYTAYPFNSRPVSRNVCQKPFIYYLSNAIQDEDTNETASRYVRVQTNPNCKWKMEDPTQIKVVMVYKKTNPHLWDKSPRRNCCRVQDTKMKGSMVIDVGECKEDEVVEL >CAK8575720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:276529693:276533134:-1 gene:gene-LATHSAT_LOCUS27958 transcript:rna-LATHSAT_LOCUS27958-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVATENRSESNEHLLDTAITLLDDLSSSISELQLDALVTPLTNPASHDSIGSSSADDDWEAKADCEPDKLLSPVSSEVLSRVSDLKLENTKSPTPKRRGRGTFSYDNDKLYSDRLLDGSIIDDVEDEETRSGSEDKKGTPNLQYGTSHVLVLSHFSPSTRTTDLEKVFDDIKNCHFVIRWVNDTVALAVFRTPAEALEAQSNARCSFNMTMKILGEEDAHLSSIKPNDLKPPQQRQKTSVAAAQRMIANSMGIKLPSPSRGTGFQQHKREENTRKERIVNRQKLKEDAWGED >CAK8575719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:276529693:276533134:-1 gene:gene-LATHSAT_LOCUS27958 transcript:rna-LATHSAT_LOCUS27958 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVATENRSESNEHLLDTAITLLDDLSSSISELQLDALVTPLTNPASHDSIGSSSADDDWEAKADCEPDKLLSPVSSEVLSRVSDLKLENTKSPTPKRRGRGTFSYDNDKLYSDRLLDGSIIDDVEDEETRSGSEDKKVQYGTSHVLVLSHFSPSTRTTDLEKVFDDIKNCHFVIRWVNDTVALAVFRTPAEALEAQSNARCSFNMTMKILGEEDAHLSSIKPNDLKPPQQRQKTSVAAAQRMIANSMGIKLPSPSRGTGFQQHKREENTRKERIVNRQKLKEDAWGED >CAK8538479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484428916:484429650:1 gene:gene-LATHSAT_LOCUS7670 transcript:rna-LATHSAT_LOCUS7670 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCLPISQESTHQPIPNESGNQSVDAPLPTPNDSMEVDSQTTVSRRSRHRSIVWDHFTKVKVDGKDKAKCNYCSKLLNGSSNDGTTHLKGHMESCPKKKLLKPSDKGQTFLTPKTMQGKQELSTGIFDAENAKKELARAIILHEYPLSIVDHIGFRRYSASLQPLFQVPCRNSIKKEILKEYNLKRSSTLKLLENLEGRVAITSDLWTSSNQKKGYMAVTAHYIDGNWNLQSHILR >CAK8533218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591999656:592001315:-1 gene:gene-LATHSAT_LOCUS2863 transcript:rna-LATHSAT_LOCUS2863 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSCFSHSKIDHLGKNKVWNGAELCNKLIVRNRINNLIKDWSFIRGSRVLVKQKAMKFSSYRKASPVYASLLSGSQLASTAFTIGTTSVLPFYTLMVLAPNSDLTKKSMQSNVPYAILGILYAYLLYLSWTPETVQLIFASKYLLPELSSIGKMFSSEMTLASAWIHLLVIDLFAARQVFVDGQENGIETRHSVSLCLFFCPIGILSHVITKAMTKTIKENK >CAK8578320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620018441:620023040:-1 gene:gene-LATHSAT_LOCUS30341 transcript:rna-LATHSAT_LOCUS30341 gene_biotype:protein_coding transcript_biotype:protein_coding MDETYAPFESAESNGVSRAADHGWQKVTYAKKHKKKEANGVAGSNKLTFNGNDAAFRSLELHSEDRRRRILEAQSAAEADFDDAPVRSKQRSRLNDDDYDEDDEDVDRSAENGKVEEKKVKKEKKPKKPKVTVAEAAAKIDAADLESFLVDISASFEQKEDIQMMRFADYFGRAFSSVSASQFPWVKLFRESAVAKIVDVPLSHISDAVYKTSADWINHRSPEALSFFLLWSLDSILADLASQQTLTKGSKKAVQPVTSKSQVAIFVGLAIVLRRKPDALIAVLPTLRENTKYQGQDKLPVIVWMVAQASVGDLSVGLYAWSRNLLPIVVSKSGNPQSRDLVLQLVEKILSAPKARPVLVNGAVRKGERLIPPPAFETLLRVTFPSSARLKATERFEAIYPTLREVALGGSTGSKAMKQVSQQIFNFAIRAAGEDNPELSKEAAGISIWCFSQSTECYKQWEKVYLDNIVASVAVLKKLSDDWKVQATKLSPYEPLREILKNFRQKNEEALASETGAARFVKDADKYCKILSGRVSQGHGGKACLTFVVLALAVGAAVLYPNMESVDFNKLSVLLNSQF >CAK8559978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2824484:2824871:1 gene:gene-LATHSAT_LOCUS13702 transcript:rna-LATHSAT_LOCUS13702 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCAPGYRTFSYHFEEDDFLWRPYLKLEDEDPTENDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPDQPEMCDLLPVEPTNAYVDRVEIN >CAK8532307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:244820150:244825686:-1 gene:gene-LATHSAT_LOCUS2031 transcript:rna-LATHSAT_LOCUS2031 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTASPTSPPPSMAQDLLNSLPKTLKLKTKQQELLLRVTTLGLIYILAFITRLFSVLRYESMIHEFDPYFNYRTTVYLTEHGFSEFWNWFDSDSWYPLGRIIGGTLYPGLMLTAAALYKILHFLRFAVHIREVCVLTAPFFASNTAIVAYFFGKEVWDSGAGIVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLSWSLASAFGYFYMVSAWGGYVFIINLVPLYVLVLLIRFVGFQHVQSGEHMASMGVFFLLQVFFFLDWVKHLLGDVKLFQAFLRITVTGAVSVGAIALGLGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTRVVRAKSQTLQSGSGKGTSASKGSSKGVIDNSQPFQKNGAIVLLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRVIFDDYREAYFWLRQNTAPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGEMTTEYGKPPGYDRARGVEIGNKDVKLEYLEEAFTTQNWIVRIYKVKPPKNRS >CAK8532308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:244820150:244825686:-1 gene:gene-LATHSAT_LOCUS2031 transcript:rna-LATHSAT_LOCUS2031-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTASPTSPPPSMAQDLLNSLPKTLKLKTKQQELLLRVTTLGLIYILAFITRLFSVLRYESMIHEFDPYFNYRTTVYLTEHGFSEFWNWFDSDSWYPLGRIIGGTLYPGLMLTAAALYKILHFLRFAVHIREVCVLTAPFFASNTAIVAYFFGKEVWDSGAGIVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLSWSLASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSLRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMASMGVFFLLQVFFFLDWVKHLLGDVKLFQAFLRITVTGAVSVGAIALGLGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTRVVRAKSQTLQSGSGKGTSASKGSSKGVIDNSQPFQKNGAIVLLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRVIFDDYREAYFWLRQNTAPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGEMTTEYGKPPGYDRARGVEIGNKDVKLEYLEEAFTTQNWIVRIYKVKPPKNRS >CAK8579010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667179300:667179704:1 gene:gene-LATHSAT_LOCUS30987 transcript:rna-LATHSAT_LOCUS30987 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDPSSGRKFIWIIACFLFISLIAGGACLVAYMYLPESETSSWVPVVGVGLVCLPWAFWFFTCLYRIFSRCLGCRVGLMSGGGGSNQPRNGDVEVAAQSTKGGSSGGGGELNRAYSVASHESEMALAKSMSS >CAK8572036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503548396:503548698:-1 gene:gene-LATHSAT_LOCUS24644 transcript:rna-LATHSAT_LOCUS24644 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQENEECLPPLPPIVPFVYVQVALFYEDGRPVEGKGSGRKILDRVQETYNAELNGKNLAYDGEKTLFTIGSLAQNKIEFTVVLDDVTSSNLKCVNIDF >CAK8563891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637366693:637367976:-1 gene:gene-LATHSAT_LOCUS17252 transcript:rna-LATHSAT_LOCUS17252 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSDDLLIEIWSRVPYKTVVRGKSISKRFLALISQLQFIERFILHHHTLFQQMKDEENQKWNFNFISNRKLLISFSPNLNLSTPQNQISLNFLGRKFDKKLDSIIRKKHFSYSRIVGFSNGLFLCKKTTRGRVYHVCNILTKDWIKLPLPPPPRTGHNKRDRVLEGFVCEPYYHLEENTKKVTLNHHKFRVVRFPCFEGTTSEILWGITKMKFEMVIFSSETGKWSEKKVSCQNDFSFTQSALLLPVVAHEGFLYFMGRTSLLKYDSFNNDEQCDIIRFPSDVSANDILFNGHVGVCYGKIRMSCFCTFRACVKVWELEKDYSWRVLHVTCFPPQYARDCVVDELLMPERRGEIVDMGMQVRAFHPYDGDVVFLQRAHRIFVGDLKSNKIEGVGYGIHGFQSLQMISIDLPLWPTPIPSIIKHEL >CAK8565100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:36109584:36115390:1 gene:gene-LATHSAT_LOCUS18337 transcript:rna-LATHSAT_LOCUS18337 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREDWRSILPYLPVVMRSPSLFWPSQVVEALRELECGRVDSGRLLFIFMTELRNSLSLSSEPLAPSAAYGYALFFDELMYREECRKWFEEVLPALGGLLLRLPSLLEAHYKNADMLIDGEGATIRTGLRMLDSQEAGIVFLTQELIAALLACSLLCLFPVHDRYEKQLQPVNFDELFASLYDDYSQKQESKIWCIIHYFQRISSDMPKGVVSFERKVLHWDDDSVHISYPNSNFWSTSVTPLCRFEVHSSGLIEDHSSEAIEVDFANEYLGGGALRRGCVQEEIRFMISPELIAGMLFLPAMADNEAIDIVGVERFSSYKGYASSFRFSGDYVDEKDVDTLGRRKTRIVAIDALCGPGMRQYREKLLLREINKAFCGFQQHSKYQQYRKIPQEKFDAATSTSMETSEGKNSYQEIRSFQNDYNMIEKSNDIGVATGNWGCGAFGGDPEVKTIIQWLAASQARRPFIAYYTFSSGALQNLDKVTCWILSQRWTVGDLWNMLAEYSTSRSKGETNVGFLRWLLPSVHAHGSRMH >CAK8538393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481176186:481177113:-1 gene:gene-LATHSAT_LOCUS7602 transcript:rna-LATHSAT_LOCUS7602 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPIFIKDLVKGNQVWKMHVRVVDLWVVKEKNGQQHLELVIQDGKGDQIHVVTRNRDFKEWIEQLKERDTYAVYNGESVVNDGSFKVCYNSLKLVFNGGTTTSNISMPKIPSHQFKFKAIGDFLNGLFQIDMLYDVIGILQDVVKTQMGGGGKKSCANITLRDESGNVIEVALWDDYGKQFMNYNNSNKNDGPTILILTHAWCKKNSDSQAFQMLGMALDFTLT >CAK8577103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537170496:537171410:-1 gene:gene-LATHSAT_LOCUS29236 transcript:rna-LATHSAT_LOCUS29236 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDFDWGYDILGDHTNGFQVNSGVNGNGYGSSFSLVLDRERGMLVETPAKMEQKGVSTERTAEALKNHSDAERRRRARINSHLDTLRSVIPGALKMDKASLLGEVIRHLKEVKRTATQACEGLVIPKDNDEISVEEQECGFNGFRYSIKASLCCEYQPGLLSSIRQALEALRLVIVKADIATFGGRMKNVFVVISCEEQNFDDAEYRQFLAGSVYQALKSVVSRFSVSQDMLGARKRRRVSIFSSSSLEDFL >CAK8578189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611652396:611655642:-1 gene:gene-LATHSAT_LOCUS30223 transcript:rna-LATHSAT_LOCUS30223-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDEEMRGEIEERLINEEYKIWKKNSPFLYDLVITHALEWPSLTVEWLPDRQEPPGKDYSIQKLILGTHTSENEPNYLMLAQVQLPLDDSENDARHYEDDRPEVGGFGCANGKVQIIQQINHDGEVNRARYMPQNNFIIATKTISAEVYVFDYSKHPSKPPLDGSCNPDLRLRGHNTEGYGLSWSTFKQGHLLSGSDDAQICLWDINGTPKNKSLDAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPVQSCTAHSSEVNCLAFNPFNEWVVATGSTDKTVKLWDLRKINNPLHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQSAEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDMPEQSAKAP >CAK8578188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611652396:611655648:-1 gene:gene-LATHSAT_LOCUS30223 transcript:rna-LATHSAT_LOCUS30223 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGKEDEEMRGEIEERLINEEYKIWKKNSPFLYDLVITHALEWPSLTVEWLPDRQEPPGKDYSIQKLILGTHTSENEPNYLMLAQVQLPLDDSENDARHYEDDRPEVGGFGCANGKVQIIQQINHDGEVNRARYMPQNNFIIATKTISAEVYVFDYSKHPSKPPLDGSCNPDLRLRGHNTEGYGLSWSTFKQGHLLSGSDDAQICLWDINGTPKNKSLDAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPVQSCTAHSSEVNCLAFNPFNEWVVATGSTDKTVKLWDLRKINNPLHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQSAEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDMPEQSAKAP >CAK8574409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680526876:680528054:-1 gene:gene-LATHSAT_LOCUS26760 transcript:rna-LATHSAT_LOCUS26760 gene_biotype:protein_coding transcript_biotype:protein_coding MNSERMIKEMYVDNSNHSLYNSNSRTNNNDFGSHAPGIKKAMMMLEVQFGRSNASPINNNHINDVINTNKMTMMNAYPNPYHHGLHAGSSSQSRGLTSQKINVDVADGDDVEVGNKNNMKRKKDNIDDGRIHSLPFKKHGPYTCSKCYKVLDTSQKFANHVASAHYKLESEEERKKRYMSRIRKRSNLKIQKLNNGTTTLVPVATSSNRLHASFSNRFNVATSSNQFHASSSNRFNVGTSSNHFHAPSSNRFNVGTSSNQFHSPSSNQFNVGTSSNQFHAPFSNRFHASSSNRFNVATSSNPFHVSSSNRFNVGTSSNQFHALSSNRFHSSSSNRFNVATSSNQFHVSSSNRFNVDTSSNRFSVSSINDDDDKSLVALLPPQVKVKLEPKDN >CAK8567737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540444378:540444734:-1 gene:gene-LATHSAT_LOCUS20760 transcript:rna-LATHSAT_LOCUS20760 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFAASMMAVIGTGGKKQMKNVVLESDSELKKRNQELEKELKESKEREEQMRRQLQSTWERLRVAEEAEERLCSQLGELEAEAVYQARDYHDRIVSLMEQLSRAQNLLREVIPSSST >CAK8569439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1120154:1121616:1 gene:gene-LATHSAT_LOCUS22289 transcript:rna-LATHSAT_LOCUS22289 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGSFPDGEWDFFSKMFASEDHEHYSQQFLDQNSLLLDDGLNNESQSTFCSAEIGEKECMFYSFDHANISNSHYISQSQENSYSSNCSANDDTNYYFSYPNQVLANNMNSSISMDEKFFASFVTPFNEIVMEENAKLNEDAIESGNHILEKNDYINTQIIEEHVGFPNKHYLKRKLDVMEVEVSREEKVNKSENPKKKSRVSKDGMKNARSKKKLIGSNEEENEEINNSSSSNISEDENGSQENSGGTALNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVNYVKFLQVQIKLLSSDDMWMYAPLAYNGLDIGLNLNLNGSSLL >CAK8571282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:360864980:360866354:-1 gene:gene-LATHSAT_LOCUS23958 transcript:rna-LATHSAT_LOCUS23958-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKLGQITTIIISSPELAQEVLQTHDLFLSDRTIPQAVAVLDHQHFSLPFLPASDLWKDLKKICKNHLFSSKTLDASYQLRCNKLREFLCDIDRSSITGEAIDIGRAAFKTSLNFLSNTFFSMDFANSAGETDEYKDIIENLVRVIGTPNLVDFFPVLSVFDPQGIKGISATYLEKLLQIIDSYTTKRLKLREEKNHVSNGDMLDMLLNITQENGQMMDRTKIKHLFLDLFVAGTDTTSYTIERAMAELVHNPHVMSKVKEELGQIIGIGNSIEESDISRLPYLQAVVKETLRLHPSAPLLLPRKARIDVKICGYTIPQGSQVLVNEWAMGRNPSIWDNPNTFFPERFLGSEINFKGQNFQLTPFGSGRRMCPGMPLAERMLHTMLGSMINSFDWKKKNGDRDIDQPLRAIPIRVNKM >CAK8571281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:360864980:360866558:-1 gene:gene-LATHSAT_LOCUS23958 transcript:rna-LATHSAT_LOCUS23958 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEMYFATTMFLLFLSYIAITLFSRTKKHINLPPGPSLLTLVRNVFELGKIKPQHLLAKFSKIYGPIMYLKLGQITTIIISSPELAQEVLQTHDLFLSDRTIPQAVAVLDHQHFSLPFLPASDLWKDLKKICKNHLFSSKTLDASYQLRCNKLREFLCDIDRSSITGEAIDIGRAAFKTSLNFLSNTFFSMDFANSAGETDEYKDIIENLVRVIGTPNLVDFFPVLSVFDPQGIKGISATYLEKLLQIIDSYTTKRLKLREEKNHVSNGDMLDMLLNITQENGQMMDRTKIKHLFLDLFVAGTDTTSYTIERAMAELVHNPHVMSKVKEELGQIIGIGNSIEESDISRLPYLQAVVKETLRLHPSAPLLLPRKARIDVKICGYTIPQGSQVLVNEWAMGRNPSIWDNPNTFFPERFLGSEINFKGQNFQLTPFGSGRRMCPGMPLAERMLHTMLGSMINSFDWKKKNGDRDIDQPLRAIPIRVNKM >CAK8535759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879791957:879793821:-1 gene:gene-LATHSAT_LOCUS5184 transcript:rna-LATHSAT_LOCUS5184 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSLEIEHEFVQNSERVKSVDMHPTEPWVLLGLYSGTISIWNYQTKVEEKSLKISESPVRSAKFIVRENWIIAASDDKYIRVYNHEKMEKIIEFEGHKDYIRSLDIHPFLPYVVSASDDQVLKLWNWKKGWSCDETFEGHSHYVMQVAFNPKDPSIFASSSLDGTIKVWTIDSPTSNFTIEGHLKGVNCLDYFESNENQYLLSGSDDYNAKVWDYNSKECIKTLEGHKNNVTAICAHPEIPIIITASEDSTVKIWDIVSYRLETSLEFGLERVWSIGYKKGSSQVAFGCDKGFIIVTVKLASSQVKKD >CAK8560436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19221478:19222104:1 gene:gene-LATHSAT_LOCUS14105 transcript:rna-LATHSAT_LOCUS14105 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIIFLLSLFLSISNASVQDFCVADLKASDTPSGFPCKPATTVTVEDFAFSGLIEPGNVTNIINAAVTPAFVGQFPGLNGLGLSAARLDLGPAGVIPLHSHPGASELLIVTQGHITAGFVSSANAVYVKTLKKGELMVFPQGLLHFQVAAGKRKAVGFPVFSSANPGLQILDFALFASNFSTPLIAKTTFLDPVLIKKLKSVLGGSG >CAK8539169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505898365:505898745:1 gene:gene-LATHSAT_LOCUS8289 transcript:rna-LATHSAT_LOCUS8289 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGYAKSIFSDGDNLSEEKVDKVLKKFLKDFKEGSLDEDNGWPKTLGAYIVSKAAMNAYTRVLSKKFPTISINSVCPGYVVTDITANIGLLSVEEGAASVVRLALLPNGSPSGSSFTRVKCLPFD >CAK8567515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519981051:519982900:1 gene:gene-LATHSAT_LOCUS20561 transcript:rna-LATHSAT_LOCUS20561 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIRTSELIPFIVMVIMEGCTIGLTILAKTAITNGMSPFVLIVYTNALATIVLFPSSFLLNRQNRKEKPLFTFSLFLRFLLLGFTGITMTQTLLFLGLSYSSPILVCAMSHLIPTFTFLLNIIHRKVKLNLKNSGIQVQVIGVIVSLMGAIVAEFLKGPLIRPSSHHLRYTKQLFVFSSTPEFWVFGGILLAAASFSVSLANFFQKETVKHYQEPMKMVSYYTLLGTILSAIVSCIFESDVNSWKLKHNMELILVVLTGIFGGVIRPNIQIWLSRMKGSLYVPQFKPFGVAFATTFGVCFFPNSLHYGSVIGASVIGMGYYTVLYGEFKGDEDEKSSEESSDSLDKKIPLLQENMQV >CAK8570566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61120747:61123472:1 gene:gene-LATHSAT_LOCUS23304 transcript:rna-LATHSAT_LOCUS23304 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDVMVKRVPLLCLQIAYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQYGILAVETFANLGIMYYVFLSGLEMNADTILRSRKKGTSIAIAGIVTPMLFGIGFLALQQKLIDKNDVFAQTPKENHGEAYLFWCLTLSVTSFPVLARILANLKLLYTKLGKDALTAAMLTDTYGWVMFTLLIPYSSRGGKPYLSVISTLLFIVFCFVVVRPILTPIIEHKTSTNTWRKSLLLDVLTGVFICSYITDCLGTHPIVGAFVFGLILPRGKFADMVLEMSADFVSGILCPIYFAGFGFRLNLPLLLKHKNAGLMLLIMLLLSIPKVLSSLVVTFFFGMPARDGVAIGLLLNTKGIMAVILLNVAWDKRILDPYTFMVMMLAIIVMTVMVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRIVACVHNVKHANNMIHVIEATNATRLSPIHVSVTHLVQLTRHGTAILVSQMDNSNSTVGGTEATNYGSQLEFESITNAFEKLVEQYNGVRFDMSSAVSSYTTIHEDIYNVAEEKRASLILLPFHKEFSTIEDAPEIIHNEYCEINKNVLQQAPCSVGILVDRGLRSLLKTKLRIIMIFIGGPDDREALSIAWRMAGHPGTQLHVVRINLLGKAAEETKQKMEKSKSRHEMLSTVIDNVMQKELDEECIISFRHKAVNNNDSIVYSEKEVHSNIGEEIPMLLNDIDKPGYDLYIIGQGSGKNSVTFSRLLEWCDHPELGVIGDILASSSFGTQSSVLIVQQYLVGRKRVVKKCHEVKSGTENL >CAK8574447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682225173:682235365:1 gene:gene-LATHSAT_LOCUS26792 transcript:rna-LATHSAT_LOCUS26792 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDDPDYDIFRDEDEDPDAQLHTENDSNKEYVVYLVDASPKMFTTTCLSENQDPETHFQIALSCISLSLRAQIINKSYDQVSICFYNTREKKNLQDLNGVYAFHLPEGDYLDRPTARFIKEFDNLHDSFSKSIGSHHGVLPGSRENPLYNAIWVAQALLRKGSAKTVNKRILLFTNEDDPFGCLKGAVKSDMTRTTLQRAKDAQDLGITIELLPLSSPDEMFDLSKFYVDLIGLEGDELVNFMASAGNRLEDMKDQLRKRMFTKRRVKKFKFTIVDGLSIELSSYALIRPTVPGSITWLDSITNRPLKSERTFICADTGALVEESTRRFHPYKNQDIIFSVKELSEIKRVATGHLHLLGFKPLSCLRDYYNLKPSTFLYPSDEGTDGSMCTFIALHKSMIQLNRFAVAFSGSSSRPQLVALIAQDEVIQSGGQIEPPGMQMIYLPYSDDIRLVEERHSDTSGVPKASADQIKKASDLIKRLDLKDFSVFQFTNPALQRHYAVLEALALEEDEIPEIKDETLPDEEGLARPGVVRVLEEFKTSIYGENYDEESEQGTGKPTEASKKRKAHSEFAKKECESYDWGELADSGKLKDLTMVELKYYLTGHNLPVSGKKEAIVSRILTHMGK >CAK8542614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530745580:530745705:1 gene:gene-LATHSAT_LOCUS11439 transcript:rna-LATHSAT_LOCUS11439 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDWLNDCLVTYIERDIFVDVENEKIIQHFQNMKNCREQL >CAK8573976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651139176:651139841:-1 gene:gene-LATHSAT_LOCUS26369 transcript:rna-LATHSAT_LOCUS26369 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHIILQRLQDIPNFNFHSKCDNISIINLSFANDLLLFFRGDPTSVDLLMHKFEVFSKSTGLSINPEKCKILFGNIVDSDRVSIQGIIKFAEGQFPFKYLGIPLSSKKLNISHYLPLIDRILERINHWSSRLLSMVGRRQLINNVILALSNFWLQCLPLPKKVIVKIESACKFFLWSGSDKITIKSLIAWKKLCIPKKHGGLNIIDLSKWNKACLAKLL >CAK8560821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47496277:47498629:-1 gene:gene-LATHSAT_LOCUS14466 transcript:rna-LATHSAT_LOCUS14466 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSNFDSTSSVDQNDHSVSETPEYSPFSGDSFVYCRTNSEVSNLSEAVDDNSYASDPLPSPWMSAKHGAVLSRLGMKQRKYSLDGKSDDLDILESELEMMKERFSKLLLGEDMSGGGKGVCTAVTISNSITNLYATVFGQNLKLEPLKPEKKAMWKREMNCLMSVCDYIVEFAPTAQYLDDGTIVEMMTSRPRSDIYINLPALQKLDTMLIEIFDSFQDNEFWYAEQGSISGNSNRSSHSNAGSFRIIAQRKDEKWWLPVPCVHTGGISDKSRKHLIEKRDCANQIHKAAMAINSSVLAEMDIPETYMANLPKSGRSSLGDSIYRYIHSTDKFSPGNLLDCLKISTEHEALELADRVESSMYTWRRKACLSHSKSSWNKVKAHMADTDQKDKNYVLAERAETLLFFLKQRYPELSQTSLDTCKIQYNQDVGKAILESYSRVLEGLAFNIVAWIEDVLCADKSMRN >CAK8566613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442490319:442490807:1 gene:gene-LATHSAT_LOCUS19733 transcript:rna-LATHSAT_LOCUS19733 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIHNWRTQKATIVLLFIICISFSSSVEETIIASPKKLDYPVVDQPAQYTDVKCGSCPCGYTCADQSPPPSPPPCQPPPPPPPPPPPPPSPPPPPPKYPSCPQNCNPLPPPPPRFIYVPPGQVNQPKPYWIYYYSGAQNKGVSLLVLALGGGLSIATVFG >CAK8534948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:793653649:793654245:-1 gene:gene-LATHSAT_LOCUS4441 transcript:rna-LATHSAT_LOCUS4441 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLIKITNLSLLLWLFMSITILAQSENEQVLDTKGNPLERGKEYYIKPAITDSGGRFTLINRNSSCPLYVGQENTDLGKGLPVIFTPFAKEDKVVKVERDFKVRFSASSICVQSTEWKLGDRDSKSGRRLIIAGTDRYNYFRIAKTQFGGIYNIEFCPSVCDTCRFECGTLGGLRENGKILSALGDNKLPVQFIRA >CAK8567295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502218189:502218497:-1 gene:gene-LATHSAT_LOCUS20360 transcript:rna-LATHSAT_LOCUS20360 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLEALAMAGVSCVKFGMSVEEWERRDLDPYPPPHLLAEEEEGESSIDEEECRVTFTNHEEKGKRKQELRRVEKCSRTIKLMARAFGMLLSLFCLIRTRD >CAK8536602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1781440:1782528:1 gene:gene-LATHSAT_LOCUS5956 transcript:rna-LATHSAT_LOCUS5956 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTFTITLLTFFLLLSLSSSHPSSTILDAAEILSTSGFEAMALNLELASQTLLARRQSRSLTVFAPTNFAFNQIPQLPLSLLRYHLLPHAFSLHSLRSLPYGANIATLLPGHSLTVTTSNHRLSINNVTVDPKPLLADGYLVIFQTDTFFDPYFQLPRPSSSACFSARKSAERNRSDFGSKRLISDSSTFSFMEASSALRSRGSSIMASFLDMQFLGLKERPDQITLFAPMDEAMASHVGNITDYSDILRRHLVPCKITWRHLVTLEEGTLIWTYQRGFTLNVTKTHASSDLFLLNNGVQVIFPDLYNSDWLVIHGIGDILLDTTSTEDSVQQFDHHHHKNHHHHHNPAEHSHFSAFH >CAK8565928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354527053:354528926:1 gene:gene-LATHSAT_LOCUS19101 transcript:rna-LATHSAT_LOCUS19101 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHVFLHNGQKVFEWDQTLEEVDIYINLPPNVHSKLFYCKIQSKHIELGIKGNPPFLNHDLSSPVKTDSSFWTLEDDIMHITLNKRDKGQTWASPILGQGQLDAYSSDLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIRTD >CAK8574346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676535419:676538120:1 gene:gene-LATHSAT_LOCUS26701 transcript:rna-LATHSAT_LOCUS26701 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNFNLPGGSQNQREFDKAAMTPTQNPLSEISPSPSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDVGAVRQILLDIDSQIMGNLSGDDDVDLNAEIAEVRALVVNEENELGETALFTAAEKGHLDVVKELLKHSTLQTVSKKNRSGFDPLHIAASQGHHAIVQVLLDYDPSLSKTIGPSNSTPLITAATRGHVEVVNELLSKDGSLLEIARSNGKNALHLAARPGHIEIVKALLSKDPQLARRTDKKGQTALHMAVKGQSCDVVKLLLEADAAIVMLPDKFGNTALHVATRKKRVEIVNELLLLPDTNVNALTRDHKTALDIAENLPLSEESSDIKDVLSRYGALRANELNQPRDELRKTVTQIKKDVHTQLEQTRRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNNDGSGVVAGYSSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEVINKLMWLASVCTSVAFIASSYIVVGRKNRWAAILVTVVGGVIISGVLGTMTYYVVRSKRTRSMRKKEKQQARRSGSNSWHHSEFSNSEVDRIYAL >CAK8578889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660909208:660912120:-1 gene:gene-LATHSAT_LOCUS30870 transcript:rna-LATHSAT_LOCUS30870 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHVVTVELKSGELYRGNMIECEDNWNCQLESITYTAKDGKTSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKGASLGVGRGRAVAMRAKAQAAGRGAPPGRGVPPVRR >CAK8574160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666551608:666552045:-1 gene:gene-LATHSAT_LOCUS26532 transcript:rna-LATHSAT_LOCUS26532 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPHSCSTTLFNQDHQKLTSQLMSQTLMPFVDKDPSIKVSVCISKIVSEYNFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKFVSGTVLEIETLPMYTADGTIVEGKHIFHRLFWAFQPCIRDFAYCKPILQIDGT >CAK8565527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:261026033:261034306:-1 gene:gene-LATHSAT_LOCUS18733 transcript:rna-LATHSAT_LOCUS18733 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSPSSRRNRSNRSISPPPPREKQHQPSSRAPWPASRSPDPPPSSYHSRSPSPRTKRLKKNQSEREREPKLEHDRSHGSSRGRDSEREPVDRKERRRAENEDDGIRNGRSSRSKHERSPENHRNGRSRHKSRSPPSYHSAQTQPRDEVTNSRGAEMMNEEDDSIMKMKAAEEALEEKQKVKPSFELSGKLAAETNRVRGITLLFNEPPEARKPDIKWRLYVFKTGEMLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQIEKEQPDGTSVKQTRPYIMDLGSTNKTFVNDNPIEPQRYYELREQDTIKFGNSSREYVLLHENSAS >CAK8541605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:296897445:296897873:1 gene:gene-LATHSAT_LOCUS10513 transcript:rna-LATHSAT_LOCUS10513 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSTFIFFGFLLGVVVVVAVEFLAFLWILKRLRCKINSDRDKISSIIRIGSSNSSQFDDSQYSFKNEIVRVIDIGFRFGFGFLQGVVWDLIVVVSYVKK >CAK8540288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548940846:548942090:-1 gene:gene-LATHSAT_LOCUS9305 transcript:rna-LATHSAT_LOCUS9305-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKNVVSWSVIIGAHVCVEMWNECLILLGEMCSEGRCRVEESTLVNVLSACSHLGSPNLGKCIHGILLRNISELNVVVETSLIDMYVKCGCLEKGLRVFEKMSEKNRYSYTVMISGLAIHGHGKEALKLFSEMLEEGLAPDDVVYVGVLSACSHAGLVDEGLQCFKSMQIEHKIDPTVQHYGCMVDLLGRFGMLKEAYELIKGMLIKPNDIIWRSLLSACKVHHNLEIGEIAAENLFALNQNNTGDYLVLANMYAKVQKWDDVAKVRTKMAEKNLVQTPGFSLIEAKRKVYKFVSQDKSIQQWNTIYDMIHQMEWQLKFEGYIPDTSQVLLDVDEEEKRERLKCHSQKLAIAFGLIHTSEGSPIRITRNLRMCSDCHTYAKYISMIYEREITVRDRHRFHHFKDGTCSCKDYW >CAK8540287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548940846:548942141:-1 gene:gene-LATHSAT_LOCUS9305 transcript:rna-LATHSAT_LOCUS9305 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGAVECARDVFDKMGEKNVVSWSVIIGAHVCVEMWNECLILLGEMCSEGRCRVEESTLVNVLSACSHLGSPNLGKCIHGILLRNISELNVVVETSLIDMYVKCGCLEKGLRVFEKMSEKNRYSYTVMISGLAIHGHGKEALKLFSEMLEEGLAPDDVVYVGVLSACSHAGLVDEGLQCFKSMQIEHKIDPTVQHYGCMVDLLGRFGMLKEAYELIKGMLIKPNDIIWRSLLSACKVHHNLEIGEIAAENLFALNQNNTGDYLVLANMYAKVQKWDDVAKVRTKMAEKNLVQTPGFSLIEAKRKVYKFVSQDKSIQQWNTIYDMIHQMEWQLKFEGYIPDTSQVLLDVDEEEKRERLKCHSQKLAIAFGLIHTSEGSPIRITRNLRMCSDCHTYAKYISMIYEREITVRDRHRFHHFKDGTCSCKDYW >CAK8534974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798960418:798961794:-1 gene:gene-LATHSAT_LOCUS4464 transcript:rna-LATHSAT_LOCUS4464 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVWLSTNGKKEMEQELLRGRDMAGQLLEALTYDDKSNIISEVKGSNSKSLSTLIAGDLVRQILKSFTNTLLLLNNNQDSNHVAVTGRDVSDGAYKKLKTLNTEHPKGSNKRKSISATWEKTSSILIDDGYTWRKYGQKKITKAKYYRSYYRCTHMNDQQCEAMKHVQRTQEYPPLYKTTYYGHHTCKNYFQSNINLEPILCSDDSSVLLSFDNNIPSKQEYQVAPPSQLPRTQLLASIKEDPLKEIHDDYFDQNQLFLSENILSCYLKHTAMLLSAESFEFENVYDQFGF >CAK8563750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629163153:629163683:1 gene:gene-LATHSAT_LOCUS17127 transcript:rna-LATHSAT_LOCUS17127 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITLFIRAMLILTNAYLHLATTDAVDHHHLKTTSKEQIQCTMCTSCDNPCNPVSSPPPPPPSSTNNCPPPPAPPTSSGGGGSGGSSYYYSPPPPPNSYTYSSPPPPSSSSSGGGGGTYYYYPPPSNRYYGPAPPPPNPIVPYFPFYFYGPPPPSAASRSLFNPIVLSSFLLLLL >CAK8567266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499271135:499271452:-1 gene:gene-LATHSAT_LOCUS20332 transcript:rna-LATHSAT_LOCUS20332 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNIVYRGWCPSNSYNYEVHEVTEPMLRKRNERPRKHKKGHVAFKTVLEEDITPVEEEAVVAETETVAERVNSFQSIDSKADAFIKMEHRRLEFARLRSLGLA >CAK8579149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679812819:679813352:1 gene:gene-LATHSAT_LOCUS31117 transcript:rna-LATHSAT_LOCUS31117 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKISLLSLFTLCLISAQAARFDIVNQCSYTVWPAATPSGGGTQLTSGQTWSIDVPAGTASGRIWGRTGCNFDGSGRGSCQTGDCGGALSCSLSGQPPTTLAEFTLNGGNNLDYFDLSVIDGFNIPMQIAPTSNGCNNVRTCQQSSCPDAYQFPSDNTKTVSCPGGTNYRVVFCP >CAK8534420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724838712:724845820:1 gene:gene-LATHSAT_LOCUS3967 transcript:rna-LATHSAT_LOCUS3967 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPDQITAFPSTLSDARGAGGKLRKPPPRKPPASPYTRPSLTANRRWISKLVDPAYRIIAGGATRFLPSLFSSNEDQGEPGADEQHSEDNLLITNSNLLPSELSKMASIGDDSSFDTALPRHIEKGEQHENDRFSDIEQLLRGKKFTRDEFDHLVEVLNSRAIDVASVEQGNTNLTPRQDDGGLVVAHKLPKVFNERRHEESNGAIRGSSTPFMSKVRGEIGASPIDIARAYMDSRASEVGPSSKNKIQTVESTMLLNDEAAIKSYDPSPSKRSPTCWPGAVVQDAYATPQSQGSKYGLINYARTPYSRTLLMKSKSKLIHSQGNESRFSSTPLRQSQTSLYLKDKSEVGASASGYGSVGPIRRTRHKVGVQSTSRRPAYSSMNYSQRENASLIERSSPIVATRMDPGGMSSTRKPLGFERSVPTVHTHTSLMAKKILEHIDRNIPTPKQKSDELKLATKWKNPEFSVNTSTIFSKEDNGLVKPKHTSPCKYGELRGTNSTLRNENEGNCHVDIQPRESTDKSVDITKERALASDLNVHSSTPILAKDARTAQNFGSSQMFSMKSTDKDNSIAVPSGGGQYPSVVNQEKKTFANNATGKPVLAPISIKKPESKWTLASDNSSGFTFPVTASSSVFSEPPTPSITPLLFSTGNQHQSEENSTQLSYSFGIKKSNPAVVFSFPSTSNTVHDNAGVTEYNFGSTDKARLQFSFGKTAVNC >CAK8562609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:515086694:515087518:1 gene:gene-LATHSAT_LOCUS16094 transcript:rna-LATHSAT_LOCUS16094 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTAADSGMINIAASAQKLDVDNRIALRFYYRVADNILRQADIFRAEKNIIDLYVLLLRFSSLASETIPRHREYRSSPQTKKQSLKKRLNELEKLKPLAQQKINEIKSRNAHRQNGRGNFHSNNNVDFSSVQKQTLASNGQIKPVRATAMEFAYQGSSGQHFSHVKPVEEHVRRLSLTLPPPKEETLSRHSILGPNGLNGQWRSPTIDTGVRYPSNVDLSPVELPSLQRHLEDVSPSNKDSSIAELHKLDLNSIPTESEVSNPALPKRAY >CAK8540667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15501713:15502150:1 gene:gene-LATHSAT_LOCUS9651 transcript:rna-LATHSAT_LOCUS9651 gene_biotype:protein_coding transcript_biotype:protein_coding MSESFKICCLIIDLVREEVSVAPVIYVSIGVSASCAVCATWFFVSYISRKCGNPNCKGLKNAAEFDIQLETEDCVKNSSSLGKDGGGVKKGLFKIPCDHHRELEVELKKMAPINGRAVLVLRGKCGCSVGRLEVPGPKKNRKIKK >CAK8563198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582436733:582438226:1 gene:gene-LATHSAT_LOCUS16632 transcript:rna-LATHSAT_LOCUS16632 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNMHVLLNSALQRCYLRKTTTHKFQLLSVSLYSTLQPVSTPPELQDLCSVVTGTVGGLDDLELSLNKFKGSLTSPLVAQIIDSIKHEAHTRRLLRFFLWSNKNLRYDLQDKDYNYALRVFAEKKDYTAMDILLGDFKKEGRVMDAQTFGLIAENFVKLGKEDEALGIFKNLDKYKCLIDEFTVTAIINALCSKGHAKRAEGVVWHHKDKIKGVLPCVYRSILYGWSVQRNVKESRRVIQEMKSNGVVPDLVCYNTFLRCLCERNLRHNPSGLVPEALNVMMEMRSYKVSPTTISYNILLSCLGKTRRVKESCQILEAMNKSGVAPDWVSYYLVARVLFLSGRFGKGKEIVDQMIEKGLVPNHKFYYSLIGILCGVERVNHALELFEKMKVSSVGGYGPVYDVLIPKLCIGGDFEKGRELWDEATSMGITLQCSRDVLDPSITEVYKPKRPEKINVVDSSKANSQQKVSNYMERMKMRKSAARKKKMKMKKKSEAS >CAK8561775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:381285590:381286384:1 gene:gene-LATHSAT_LOCUS15334 transcript:rna-LATHSAT_LOCUS15334 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTSSKTQIIFITLISYLIFAQNVNSASFTIIDFDSYQNIIQLEGNAFISSGAVHLTGLAPNSTGRASYTGPVRLWNADNGNLASFTSIFSFVVAPNGPGLFGDGVAFFIAPFNSHLPNNSSGGFLGLFDSKTALNSYQNEIVAVEFDSFGENAWDPDYSHVGIDVNSIASVTTAPWNIRSVPNGITGFAVVKYEAVTKNLSVVVTYPGSYSVNGSVSSSVSFLIDLRTVLPEWVRIGFSGATGQLVELHKILSWSFISTFY >CAK8541037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47050715:47051971:-1 gene:gene-LATHSAT_LOCUS9989 transcript:rna-LATHSAT_LOCUS9989 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLFHLPIRGVFWSPQDISEALAVEWVVDYLGVSWRVAQQQVRDCRGSYYKLEWLYDRFVENKAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFINLDDLSGYSWGAAALVTLYRYLRDASMFSCKQLGGYPTLLQYIPTLGKRGENWIPANNVGLPRAMRWSYRQGALKVDDLRLILDELTPADVIWHPFENHRVCHQFDELCLYRGCLRWGDTIVPYLPGRCMRQFGYRQYVPHPPLDYTMAGDIDVDWISYHQSVQDVIHPTAPTTTPYKTDDGYLEWYYRVSHPLLVSPNRDVTTEMPAPVYEAGPSDLIFARMSSLIHRYLQQAGAEEDDPQFADLFEALHIARSQ >CAK8575503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:141674750:141676417:-1 gene:gene-LATHSAT_LOCUS27761 transcript:rna-LATHSAT_LOCUS27761 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDTNIPTTFDPFAEANAEDSGAGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKLHGF >CAK8541208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89345503:89347744:1 gene:gene-LATHSAT_LOCUS10143 transcript:rna-LATHSAT_LOCUS10143 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALIRNSIKSINPKLLSTLSAHRTIRRFGSVAAADEEQSSSFTFSSEGDSVHLRAPAARRKQTSSVTMPMSFLTGSIVGKRFYKEVKTREADDGNGWTVMLDYRTLKTPAKRPLKLPTLALAKAIAAEWEYQQIDGIRPFTMPLMRLACTALERVPVTRPKIIEQLMKKFNQDLVFCRAPDDNDLTSGVHDRQVEKIDPLLGWLESEFGVKPVVYSSFYGGKQDDGLVIAVENLLKKTDDCELAAIDAIASSAHSLTIAIAVVQGKLQIEEAIELTRLEEDLQVDKWGLVEGGHDIDVADLRVQISSPVVFLGLSRNL >CAK8538690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493041061:493044849:-1 gene:gene-LATHSAT_LOCUS7864 transcript:rna-LATHSAT_LOCUS7864 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIKMNPNSRKGFFYANFDQQSVRSPSVIVIGGGMAGIAAARALHDASFQVVLLESRDRVGGRIHTDYSFGFPVDLGASWLHGVCNENPLAPLIGRLGLPLYRTCEDNSVLYDHDLESYALFDMDGNQVPQELVKEVGKVFETILQETENVRQEYSEDMSILRALTIVFERKPELRLEGLSHKVLQWYLCRMEGWFAADADSISLKCWDQEELLPGGHGLMVRGYLPVIHTLAKGLDIRLGHRVTKIDRRFNGVKVTTENGKTFVADAAIIAVPLGVLKANIIKFEPKLPEWKEAAIADIGVGVENKIILHFKNVFWPNVEFLGVVADTSYGCSYFLNLHKAADHPVLVYMPAGRLAKDIEKMSDEAAADFAFTQLKKILPDASPPIQYLVSRWGTDINSLGSYSYDAVGKPHGLYERLRVPVDNLFFAGEATSVLYTGTVHGAFSTGTMAAEDCRMRVLERYGELDIFQPVLDEGSAIPLLISRY >CAK8537961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450707331:450707707:-1 gene:gene-LATHSAT_LOCUS7207 transcript:rna-LATHSAT_LOCUS7207 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRVSNLNQIFVILVLVVSVWNMELQQVEGTKQCTMHLGACGPAGDCDKRCKAAHVDGSGSCDLGLCTCVHSCD >CAK8537646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415176823:415181018:1 gene:gene-LATHSAT_LOCUS6912 transcript:rna-LATHSAT_LOCUS6912 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDQCLQDMEEKVTDTLRILQNDGDSFSQRAEMYYRKRPELVEFVEEAFRAYRALAERYDHLSRELQSANRTIASVFPDQVPCQIDDDEDDESETGTSSFPSPDLHNQVQKSSTIPKVPKIPKNDFRNPSMLLSRNISMKKTSSSPKYVATISRSGLTKDAAVREIDKLQKEILALQTEKEFVRSVYERAYEKYWQIEDEITGMQKNVNSLQDEFGVGTVIEDDDARALMAATALKSCQQTLSKLQKIQAQSSIEAKVEYERVRKAHEMFENLRDQFITKFMSEKERQQEEKCKSGIREEQKNIDEEIANLEQQEDDVVLLREKIKEKLEQDSGNSLTVTEMAECIDELVNKVVTLETAVSSQTGMVNRLRSETDELQTHVKTLEEDKEMLIAGSAATNKKLKEIEEELLRVKILNKSVRKQDNSIRTHFTEASCNLEHLSGKLNNMKHDIEDESIVLYKKKNASDIDDSVKNDVSTVEKNHGGDGNSDGKTSIMSQNTNFMSERIEKMGEHDKDGMSDVMSSVDTESHDLEIGEDAQPNWRHMFVNGLDDREKILLEEYTSVLRNYKDVRVKLNDVEQKNRDSIFELALQLREMKNALVTRDKEIQFLHQKLNCGDTNPDESPYTTTTEYKYTPHEAILRKSGQGSNMQEIDIASLNTDTNTIATPYAADQRHDQNSTNLGLKMSLEKLMAHQDKQQDLSDLEKKFRSDIDDLLEENLEFWLRFSTSVHQIQKFQNSIQDLKAELKTIKENNNSKSEGHSHSKQHQSMQSQLRPIFRHLREIRTELSLWLEHNAVLQDELQGRYSSLCNIQDEIAKAGNNAESKNTEKPEIISGYQAAKFQGEILNMKQENSKVASELQAGLSLVKGMKSDVEKTLDELDQQIGVSSVHSETKRNTRGNRIPLRSFLFGVKLKRQKHHQSLFACVNPTLSKQNSMHEEAPAPI >CAK8577112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537597440:537598489:-1 gene:gene-LATHSAT_LOCUS29245 transcript:rna-LATHSAT_LOCUS29245 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKHRSSSSSESASYYPATVSKPKLKHRNKIAKQKVLEEDDDNANYSDADAVDSEYKSESQEDDHQQFEYETEIYEEQDEDNDDDAVSESNPLHGDPSSSKEAHSSDVPESASISYSNVPVPPQTMNASSYIKVAPLPIFSGTPKESPITHLSRFNKVCRANNASSDEMQKKIFPVTLEEESALWYDLNIEPYYLSLSWEEIKLSFLQAYYEIEPVEELKSELMGIHQGEKERVRSYFLRLQWILKRWPEHGLEDDVIKGVFVNGLREEFHDWVLMQKPKSLNDALRFAFDFEHVRRIKGKKEMVFTCGFCEGPHEESSCGVRERMRELWKQSRKKEGSDKAAKDLLM >CAK8566129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382958119:382958496:1 gene:gene-LATHSAT_LOCUS19290 transcript:rna-LATHSAT_LOCUS19290 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGRPRTAVPPSPVNHALLENQPKAENVKLKEVERTDDHEDTSDEKADRNNEEQHVPETQIVKESESEILIMKEKHEEWKLWMDVLSDNRNPAKGIYVEYVAPKIANGEMEFEIEEEDVET >CAK8577219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545223209:545229466:-1 gene:gene-LATHSAT_LOCUS29346 transcript:rna-LATHSAT_LOCUS29346 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVVKMVINSVPNSKYCVTGATGYIGSWLVESLLQRGCTVHATVRDPEKSLHLLSLWKGGDQLKIFRADLQEEGSFDEAVKGCVGVFHVAASMQFNVNDKENTEAFVQENIIDPAIKGTINLLKSCLKSNSVKRVVFTSSISTITAKDTNGKLKSVVDESCQIQTDILWNTQASGWVYALSKLLTEEAAFKFANENGIDLVSVIATTVAGPFFTANVPASVEVLLSPLTGETENYKILSAVNARMGSIALVHIEDICNAHIFLMEHADAEGRYICSTQSCTLSNLAAQLSKEYSSPSMQSKTQKSYDKVPSEISSKKLKDLGFSYKHSIEEIVHQTIMCCLDYGYLPSV >CAK8564421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673122664:673123536:-1 gene:gene-LATHSAT_LOCUS17727 transcript:rna-LATHSAT_LOCUS17727 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSKTHSPLLSPTSNRSPKRKSNNACTSPEFEFWMPRNLSLPHPNILSADQLFLDGVILPLHLISTKPDPKPDDSDQVPNSSPAITDCSTITTISKRWKNIFSKKNNNNTEEKVKKKEKNIGKGGCGGDSSSSERYINIWPFSRSKSAKNSVTRPKSAPVSRKVNSAPCSRSNSAGDSDSKSRKFPSSPGRVGVHIGRSSPVWRRGGSIGKNTEPLNMKTNKSHRKRLAASSASGGGGNAKAQVLNLNVPLCGGYSHRLGCRIEESSGSSKLFNLRNFFTKKTVLTV >CAK8569571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5450131:5452695:1 gene:gene-LATHSAT_LOCUS22410 transcript:rna-LATHSAT_LOCUS22410 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFSPSLEPDLSLNISPPSISDSHQINGITKALYHHHHHHNDHNICSISTTSDSASSESELSHENPFIHHHHHHDPTLRLGFGNSDLMNPHHHNHHLHHHQAHGVSRNFIQPHIYGRDFKRNTRTGVKRSVRAPRMRWTTTLHSHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKTTDKSGTGHGMLQTQGINNNVPLHGSACERTNLPQQRTYWQESMETNANNTEEKSEIGLTYSHFKENDTMVKCSDSMIDLEFTLGRPNSEKENGGSSRELPLLKC >CAK8543317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599841662:599842198:1 gene:gene-LATHSAT_LOCUS12082 transcript:rna-LATHSAT_LOCUS12082 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDMFEFVIHHEGGFGEFNRHSYNGLKEIWQVGPDYWSYFEILRGLKDLGYPKVESLWYYDAMDDNELVILQDDARTNRVKTIALINGNVHLYVMHPVYGEKQILPLENNTGPNVENNVGPNIENNVGPTVLEDDSLEDDMLDELNNGVKGIFDDLGTIEELNNIGDNFEEGGSTRF >CAK8577321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555326550:555329403:-1 gene:gene-LATHSAT_LOCUS29437 transcript:rna-LATHSAT_LOCUS29437 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGGSGGGGGSGGGGGGGGGGADVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNPDDQELFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASRLFLLPNQQSSESSERLVGLSDDVGAGFISGHSTQPATSSELNVDRSVDLPTQEETGNLGVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMSVANVRTIDPPQR >CAK8543508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613235741:613239795:1 gene:gene-LATHSAT_LOCUS12262 transcript:rna-LATHSAT_LOCUS12262 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKNPCVFFDVSVDGDPVERIVIELFSSVVPKTAENFRALCTGEKGIGESTGKPLHYKGTGFHRIIKGFMAQGGDFSRGNGTGGESIYGGKFADENFKLKHDGPGVLSMANSGPNTNGSQFFIIFKRQPHLDGKHVVFGKVTEGIEILKKMEQLGTSDGKPTSPIKIVDCGEVSKAKSQHAVEKEKGKKKKSVKSLSSDDSSDADKKISRKRKISSKDRRKRRRRYSSSDSGSDNNSSDSESNSSSDSESDSDSSSSDSSSSSYGKHQKRKRNKRRHGKKRSIGRKQKRSQHSRRRSKHKSKKSSDSSSDTESDSSSASDSSSGDRKADRRVSGRKIQADKAKNNQEIGKPSSSRPLQSQTIPELVVDPKVGKPVDKQSHEEGELSPENGEYMNNGHDTQAEFSKPANLRAYSDDSDHNRDVSPGRSPAKNSRELNRGRALSASPDKKSSDPAAAASKHGQGISKSPSPNGKRVKKGRGFTERYAFVRRYRTPSPERSPRAYRYGDRNVRRNFDRNTSYRSYSERSPPRRFRSPPRGNRPRYQSRRSRSRSISRSPVRGRYRDNGRGRSPKRSLSPEDRRPPISDKLKSRLGARGNQQSPDRQKSKSNSRSNGISRSRSPDITPPKLYNKRTSISRNRSRSSSASGQKGLVSYGDASPDSGAR >CAK8540575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11158445:11160400:1 gene:gene-LATHSAT_LOCUS9568 transcript:rna-LATHSAT_LOCUS9568 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMGICVSSIFKVCYYSHNRAVTVSAQKMSVPYNLKEGQSRIFHELPSGLNMEVIVQKKKKSRNVVEDGNHYNPPLVFLHGSYHAAWCWAQHWLPFFSQSGHDCYALSLLGQGESDEPVDTVAGTLQTHARDVADFIHRNIQSPPVLLGHSFGGLIIQCYISNLGNDILKENLYPELIGAVLVCSVPPSGNSGLVWRYLFSKPVAAFKVTYSLAAKGFQSSLSLCKETFFSDTMEDHVVKRYQELMKESSRMPLFDLRKLNASLPVPSVPNSSLKVLVLGANNDFIVDAEGLKETAEFYSVSPVCVEAVAHDMMLDTSWEKGAEVILSWLKGLNN >CAK8539635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518941680:518942120:1 gene:gene-LATHSAT_LOCUS8713 transcript:rna-LATHSAT_LOCUS8713 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRSKYNVRSLPVRKDDEVQVVRGTFKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGVNPSKVVITKLRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDIMQSID >CAK8543606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:625562009:625564031:1 gene:gene-LATHSAT_LOCUS12354 transcript:rna-LATHSAT_LOCUS12354 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAFANGYHPKQRINGIIDENTLADLFKSQQNHLNFFFDRIDHSQTLAFTRTLLDASGTVFFTGVGKSGFVAHKISQTLVSLGIRSAFLSPVDALHGDIGILSHRDVLVLLSKSGATEELIRLVPCARAKGARLIAVTSVEGNALSAVCDMTVHLPLERELCPFNLAPVTSTAIQMVFGDTVAIALMAARNLTKDEYAANHPAGKIGKSLIFKVRDVMKKDEELPICRESDLIMDQLMELTSKGCGCLLVIDDDRHLIGTFTDGDLRRTLKASGEGIFKLTVGKMCNRNPRTIGPDAMAVDAMKKMEAPPSPVQFLPVIDDDNILIGIVTLHGLVSAGL >CAK8538823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497047837:497048573:-1 gene:gene-LATHSAT_LOCUS7979 transcript:rna-LATHSAT_LOCUS7979 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGRCAACKNQRRKCPSNCIFSPYFPPNDPQRFAYVHKIYGGSNVGKMLKQLPYYVREDAANSLYLEAKSRIQDPVYGCVGIISKLYQQIHDTQVELAKVQTQTQIAFDKLQKQFQDESNNNNVLSTVDAESNLKRLMPSNYMGQFQWPNQTRNWFN >CAK8540477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8513085:8513417:-1 gene:gene-LATHSAT_LOCUS9479 transcript:rna-LATHSAT_LOCUS9479 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGLEKPKSI >CAK8568431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605889956:605897219:-1 gene:gene-LATHSAT_LOCUS21389 transcript:rna-LATHSAT_LOCUS21389 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLIKQVDRHQLEFGKVKDILSRNYLSRNKFDGCSRNGLFVSQERSQSSYLGNLARRLRDSSEAEEASYLKELYRRNDPESVIRAFESQPSLHTNSSALAEYVKALVKVDRLDESELLKTLRRGISNSVREEESSIGGLAALRNVGKTTKDGILGTATAPIHMVAASEGGNFKEQLWRTIRSVAVAFLLISGVGALIEDKGISKGLGMNEEVQPSVETSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQMYMKMTLNQMLVELDGFKQNEGIIVIGATNFPESLDKALVRPGRFDRHVVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARCTPGFSGADLANLVNVAALRAAMNGSKAVSMYDLEFARDKIMMGSERKSAVISEESRKTTAFHEGGHALVAVHTDGALPVHKATIVPRGMALGMVSQLPDKDQTSHSRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLSQATSLAREMVTKYGMSTEVGPVTHNYYDNGRSMSSETRLLIEKEVKNLLERAYNNAKTILTTHEKELHALANALLEHETLTGSQINELLAKVKSQKQQPQPRVVEAQGNSRPNPAAAAAAAAAAAAASAAAKAQGVAPVGS >CAK8578690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647209347:647213133:-1 gene:gene-LATHSAT_LOCUS30680 transcript:rna-LATHSAT_LOCUS30680 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSNYEYPTLRRSEIIQILAQFGIASISENDITNPKSVVVLDIYTRTLNHLGFLLEENEEHLDFDVLQHLDDPDLHLGSVRVLKLFNKIKEVLLILQCPKKFHLGDLALPEPSRTEYFLGAFLNFLVDRDVRMNSISEIVDEVSTLEQKIVDIEENNIAQLNLAIDECKQAREREMPLVQEVDKNVRELRQTVSDMNNKQMSLRTNLKKLKEKIVEMDDKISGAQFSLVQSVQENANLRSKIAQSPDKVQRALEEKKIAREEARNAERLAMHTFHEKNTLINVFSKVNKKMSKHCKQILAIEEQVNSAKSVEKNLKALKAKFGDEEVLEKSLEAQLVERQSKAEHTEGLKKQLEQNYNTVMEEGTKYLSSKKSEVESKRSEIETRERNIENVLSKVDDVKSEITSVKESAAAKVDELDSCRSEVVEAFRKYCDKFARVAESGRLSSIKGAGFDNLVSI >CAK8559945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2103593:2107312:1 gene:gene-LATHSAT_LOCUS13675 transcript:rna-LATHSAT_LOCUS13675 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPNNNNNNFSPVRAASPQIRPSDMDSQYLSDLLAEYQKLGPFIKVLPNSSRLLNQEILRVSRILSNQGFGDLDRLRHRSPSPMASSNLMSNVTGTGMGGWNSFQQEGLCGAPGLAMDWQGAPASPNSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGQGSIKDPDKEEKLKGRPGYEHLNEPLHILIEADLPANIIDMRLRQAQEIIEELLKPVDESEDFIKRQQLRELALLNSNFREESPGPSGSVSPFNSSGTSGMKRAKTGR >CAK8542325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501974407:501975367:1 gene:gene-LATHSAT_LOCUS11172 transcript:rna-LATHSAT_LOCUS11172 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQVKAETSVSSSAPVVVEVPSNDVVPNNASETAKAVVDEPEKAPETPVSANKLSSKGGSLDRDIALAELSRGKKLSYVKAWEDSEKTKADNKAQKHISSIASWENSKKAALEAELKKIEEKLEKKKAYYGEIMKNKIAVIHKEAEEKRAVIEAKRGQEILKVEEMAAKYRATGTTPKKTIGCF >CAK8564730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7731336:7732496:-1 gene:gene-LATHSAT_LOCUS18002 transcript:rna-LATHSAT_LOCUS18002 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRGLTTNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIYEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSLRYQVHSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVKASAIVYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGI >CAK8542203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:488570087:488573751:-1 gene:gene-LATHSAT_LOCUS11062 transcript:rna-LATHSAT_LOCUS11062 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLPDHPKLPKGKTIAVVVLDGWGEANPNEYNCIHIAETPTMDSLKKGAPEHWRLVRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALESGKIFDGEGFNYIKESFETGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGLKRVRLHILTDGRDVLDGSSVAFVETIENDLAKLREKGVDAQIASGGGRMYVTMDRYENDWSVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDESGKSVGPIVDGDAVVTFNFRADRMTMLAKALEYENFDKFDRVRFPKIRYAGMLEYDGELKLPRKYLVSPPEIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDEKLEEYVEIPSDSGITFNEKPIMKAVEIAEKAREAILSGKFDQIRVNLPNGDMVGHTGDIEATIVACKAADKAVKLILDAIEQVGGIYVVTADHGNAEDMVKRDKSGKPQLKDGQVQILTSHTLEPVPIAIGGPGLAPGVRFRNDVPTGGLANVAATVMNLHGFEAPSDYETTLIEVVDK >CAK8544766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704958617:704958931:-1 gene:gene-LATHSAT_LOCUS13421 transcript:rna-LATHSAT_LOCUS13421 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVARRLSSLFKQSGFTTEPFAAQLQQSRGIRVQVYTGNLERALALMQRKMTSSGIERMIKIEQKFHIKNSEKRVLAQKNLQRRLRSQDLARRLKAIMIKKVR >CAK8544383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681006746:681010898:1 gene:gene-LATHSAT_LOCUS13069 transcript:rna-LATHSAT_LOCUS13069 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSSSSPVKITVSSGGKTGGSRRSIGLTSPQPRPSVSNNNNNVNPNSPLTNRSNRLSTGISTGRRLSAGGNYSDATEETTSEYVSYTVHIPPTPDRMPLSSSQTSLPEENPRNNPNYISGTIFTGGFNSVTRGHVIEFSDNRDSQPLKSKLICGMKGCDVDALKGCTCECGFKICRDCYKECCGNGNRGGGNKCPGCKEPYNNVSDSEEEEEEEEEVSECEDQALPLPSMAEFKLDKRLSLVKSFKAQNHPQDFDHTRWLFETKGTYGYGNAVWPKDGYGSNGYEPPPDFGKKSRRPLTRKVGVSAAILSPYRLLILMRLAALGLFLTWRIRHRNHEAMWLWGMSVTCELWFAFSWLLDQLPKLCPVNRVTDLAVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARVWVPFCKKHQIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRAKKKQMETGSDISDLIKVPRATWMSDGSHWPGTWPSAEPDHSRGDHAGIIQAMLAPPNVEPEYGTEADGDNLIDSTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLAIREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRASEHHGWFGRRKIKLFLRKPKVSKKEEDEVCLPINCDHNDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDSKGNGTQGRPAGSLAVPREPLDAATVAEAISVISCYYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRVAYFNVGMYPFTSMFLIVYCFLPALSLFSGQFIVQSLSVTFLVFLLGITVTLCLLALLEIKWSGITLHDWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDGEDEFADLYIVKWSFLMVPPITIMMVNTIAIAVGVARTLYSPFPQWSKLVGGLFFSIWVLCHLYPFAKGLLGRRGKVPTIIYVWSGLISIIISLLWVYVHPPAGGRPQDFMNFQFP >CAK8534860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778708057:778708386:1 gene:gene-LATHSAT_LOCUS4367 transcript:rna-LATHSAT_LOCUS4367 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTSDAAVAAAKFAGHRGTSMSNTVHSEVASCLMLPSLTVLGGASDQDLRLFDSLMQLNRDDILSESSRIAETLRHTDVSYLNLRDGAKVLSRRRSLVAHYLKRSP >CAK8534085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692441273:692442796:-1 gene:gene-LATHSAT_LOCUS3655 transcript:rna-LATHSAT_LOCUS3655 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDFGEGLDQERSVVTPYYTPYLKKVKSDSDLQSVNLDFSPSSGGVSSDNSVKEDSGSPSSPSLGSEPELFTQSVIHNQGMPVNTDDEETPKGKLKEETPDMEIQSQFIGNGENRSYDELLKKFTENEEELRVSNLKLQLSEEEIVKLKNQIEKSEDQLDNVLKELKLNKDELEYKKGQLQELQNQTAELETHVPDYCSKIANLAEQLEVANEHLKISNDDIERLRKELENRSFETHQLQGKLEVAHENVAKLEWQLDSGEKRIRELEDGITCFKANETNHQNEMQRMKDEMLEMQAQFSLEKDQLRSDITSLSEIKMDLTSRLEEWECRGNFLETKFRQCEAENLEQKELYANQKLAVESEISSLKEELRQRRDDVETVNKEFDRHKQKFDKLMTEKDEANAKIDELKAEISFRDNQIENMKRELFQLSTQQLELSLESEMRLTLVDELKVKVERQNVEISDRNEEKREAIRQLCFSLDHFKSRYDELLQAFTGHRRDTTIAS >CAK8575882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:347845694:347847509:1 gene:gene-LATHSAT_LOCUS28107 transcript:rna-LATHSAT_LOCUS28107 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQQHPTMFLDHQNHHHQPQMNSGLTRFKSAPSSYFSNIIDKEFYEHLFNRPSSPETERVFARFMNSLSGSGSGSGGGDAESASVAVAVAAGDDDSLTQNLLTVQQQLPIVKEEIEQQSQTLMNSMNNETVDVQQLQRQQSNMNNYGSSGPQKFYQSSGRPPLPNQMKTGRGSCSNLIRHGSSPAGLFSNINIETGFAVMRGIGTIGAANSTSKEGNFSSSAVLLKNVRAPNYSSVLGGEIGNSSNPQNNLESEGFAETRGNDFIPGFPLGSTWEDTAMISDNITGLKRYRDDDDVKPFSSGLNAADTKNETGGQTPATPLAHQTSMPNTTAELAAIEKFLQLSDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTSDMLDLAVEYIKDLQKQVETLSQNRAKCTCSHHQ >CAK8569956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17341470:17342243:1 gene:gene-LATHSAT_LOCUS22752 transcript:rna-LATHSAT_LOCUS22752 gene_biotype:protein_coding transcript_biotype:protein_coding MINQIAVAAVVILIGWAYMAIKLPPPKICGSINGPPVTSPRVKLDDGRHLAYREFGFPKEEARYKIIVVHGFTNSKDTHFPVSQELIDDLGIYFLYFDRAGYCESDPYPSRSVKSEAYDIEELADKLQVLESGNLAQQR >CAK8539092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503559061:503561087:1 gene:gene-LATHSAT_LOCUS8223 transcript:rna-LATHSAT_LOCUS8223 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAPEEDHPKRRAADHPESPVSSKKREVVGEIANLDIQSPGTKEETIEEPKQPSPCSIYKYLRDIEVDENKRPLGNYIGTVQKRMTNDMREILIDWLVEVTEEFKLISDTLYIGVSCIDRFLSIRPLHKNYLQLLGITAILIASKQADICSPPEERLCFMTDNTYTVSEVTQMEKDVLECLNSDLCYPTSRNFLRIFVGIFHSHTNTLRCTKPFDYLVSKKYDQQMEFLACYLLELCLLSSKCIKFLPSVAAASAIFLSRFILEPKDHPWQTHELECRSGYKASDLQECVLAIHAVHSSISKWPVQAVREKYMKSKFMSMASLTAEEIPANYFEPSDH >CAK8539091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503559061:503561087:1 gene:gene-LATHSAT_LOCUS8223 transcript:rna-LATHSAT_LOCUS8223-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAPEEDHPKRRAADHPESPVSSKKREVVGEIANLDIQSPGTKEETIEEPKQPSPCSIYKYLRDIEVDENKRPLGNYIGTVQKRMTNDMREILIDWLVEVTEEFKLISDTLYIGVSCIDRFLSIRPLHKNYLQLLGITAILIASKQADICSPPEERLCFMTDNTYTVSEVTQMEKDVLECLNSDLCYPTSRNFLRIFVGIFHSHTNTLRCTKPFDYLVSKKYDQQMEFLACYLLELCLLSSKCIKFLPSVAAASAIFLSRFILEPKDHPWTHELECRSGYKASDLQECVLAIHAVHSSISKWPVQAVREKYMKSKFMSMASLTAEEIPANYFEPSDH >CAK8570725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:97592291:97593527:1 gene:gene-LATHSAT_LOCUS23456 transcript:rna-LATHSAT_LOCUS23456 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSLSLIPPIITSPPKLTSKSFSSLSPPNFHGIFTKSNNNSSKFLLNAGFNLVEPDLNEEPIDQFRTNGIAIEDFEFGIFDGHHTFHEGEVERGTFWGGIKEDIEGAGPPTGFQGLISWLFPPAMAAGVFFNVPGEYLFIGAGIFTIVFCIIEMDKPDQPHHFEPEIYNMERVARDKLINEYNSMSIWDFNDKYGDLWDFTIQKDASDIMKR >CAK8570293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34610508:34618375:1 gene:gene-LATHSAT_LOCUS23055 transcript:rna-LATHSAT_LOCUS23055 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKNQYESLSSVDEDDSTSNKCTNFYDVYGPEAKAEAVFMSPEKDRPTLNLPDIQGLVTWVIGQGFMPSWVFIKNKPLIHKVVMLYMPGLDAALYLSLSRMLPNLKKSCGKPRPVLALSCVSDNTQTIDALLTCRQKRKRDLIRSVTPKSTQTSQQEEGRSAMLNLSFKELTKDIPFPVAYYTLTEKDLDENGYPVNKPDFLSTLPAPPGSPFHEMLAVDCEMCITGEGFELTRVTLVDVKGQVLLDKLVKPSNPITDYNTRFSGITAEMLDGVTTSLTDIQKEFQKLVYQETILVGHSLENDLLALKISHDLIIDTAVLYKHPRGSSHKSALRFLTQRFLSREIQQSRNGHDSIEDARATMELAVLKIRNGPDFGAPPSFIRKKLLSILSESGKNSSMIDDVSIVKRFASETSNAIPVTSDEEALEKTIKELKNEKVHFIWTQFSELDSYLKKQAEDSDSLNKKVAEMIALHTCRKNHLNGKGLRPSISSELKEIVTRMDNKIHKLHKALPTNAMMIVCTGHGDTAVVRRLRKMLEEGEGSFGREKILESLQEFQARAEVALCFVGVRH >CAK8576044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:391412553:391419451:1 gene:gene-LATHSAT_LOCUS28262 transcript:rna-LATHSAT_LOCUS28262 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNIDCTGFPARPVSLPFVSSQAMPSFSSIGLAAASVPPSFRPTPPETTVPFSLSGPAVQPGAPCFRPAPPRLNYPTEPLPSPTPSSNVPTMPAVGPFQHFPAPSYSSTAQPPANQAPPLLSSLPPQPQIPNVPMESPPIGSNVPPPQYNPSFPGYARIQPGAEMHAPPMHSSIPANQGNYGTAASSPFLPHQGGYTPSLPMANPLGIQPTQQPGSIPLTGPIQGLTEDFRSITMQTRPGTMDPLFNAKELPRPLDGDVEPTNLTEMYPMNCNPRYLRFTTSGIPNSQSLASRWHLPLGAVICPLAESPDGEEVPVINFAPANVVRCRRCRTYVNPYMTFTDVGRKFRCNACTTLNDVPSEYYAQLDATGQRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPLYFFLIDVSMSAARSGMIAVVAQTIKSCLDELPGLSRTQIGFATFDSTIHFYNMKSSLTQPQMLVVSDLDDMFIPLPDDLLVNLSESRSVVESFLDSLPTMFQDNVNLESAFGPAIKAALMVMSKFGGKLLIFQNTLPSLGIGRLKLRGDDSHIYGTDKEPGLRLPEEPFYKQMAAELSKCQISANVYAFSDKYTDIASLGTLAKYTAGHVYYYPAFQSSIHGEKLRHELRRDLTRETAWEAVMRVRCGKGVRFTTYHGNFMLKSTDLLALPAVDCDKAFAMQLTLEETLLTTQTVYVQVALLYTASCGERRIRVHTMALPVVTDLADIYRMADTGAIVSLFSRLAIEKTLSHKLEDTRSALQLRIVKALKEYRNIYAVHHRSTNRMIYPESLNFLMLYGLALCRSIALRGGYGDVPLDERCAAGHTMMAHPIKRLLKLLYPSLIRLDEYLLEASVQADDFKSIEKRLPLTRESLDSRGLYIYDDGFKFNIWFGTVISPDIAKNLLGSDFAGELSKATLKEHNNEMSRRLIRVLEKLRNSDQAYYQLCHLVRQGEQPKEGFLLLSNLVEDQMGGNVGYADWMLQISRLVQH >CAK8537265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:189332667:189333204:-1 gene:gene-LATHSAT_LOCUS6567 transcript:rna-LATHSAT_LOCUS6567 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFPFSKRWSSLGMNYDNCPYYNITQYRNLIDHLGQNDFIWRPYLGLEAFHEVERQDSAAWSANVPIINFTTVEMHNSDHVKLQFGMLQDIPCPPKCIPDKYHTSKVFD >CAK8567105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487341389:487355971:-1 gene:gene-LATHSAT_LOCUS20188 transcript:rna-LATHSAT_LOCUS20188 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDQKLEEDHPNAMDHSDNEIDDGGTQIDVEDEDENASENEEEGEGEKDTVDEDQFRFDDGVNPLDFVNNNNSGIQLYQKLKELEYQATANKKRKAIQQCPLEDAISGVSPADMMELMNFGRGRRSRKRSKKRGRQKGSKKKIDEKISRMLGDANIHYANRRYEMAIAVLREVVKLEPNLPESYHTLGLVYSALGESEKEMGFYMIAAHLTPKDSSHWKKLFVWSIEQGDIGQANYCISKAIKADPKDSGLRSHHAMLYAESQDYQKAAEVYEQIYQLWPENSDALKAAAKFYQKCGQVERSICILEEHLKSKPDGANASVVDLLGAILMEIKAHDRALQYIEQSQVVGKELPLNLKVKAGICHVHLGNLEMAQVFFNDLKPENANNHVELIAEVADSFMRFGHYNSALDYFKKIEGNSKNENGLLYLKIARCYQSLGERKQAIIFFYKALETLQDDVEARINLASLLVEEGNEIEAISLLSPPKDSDSGEAHSENSNRWWVDVRIKVKLCNIFHIRGMLNEFVDVSFPMVRESLQVATPRRRGNSYRRLTTRDLVDRIRQAEYPETSSFFRAFKPVVPSSDLLKASRAKRLLQKKAIEKERRKAEAVAFGVDWLSDDSDDEPQIPNTEPPLCNFHKDEEYHQLIIDLCNALASLQMSGVALEIINRTLRLAHTFLSVEKIEKLRSLGVQMAYKTTDPKQGFDGVKRIVLQNAQSSAAWNCYYRVISRLETRDTRHDKLLRKMQGRYMDCVPPILMSGHQFTICSHHQDAARKYLEAYKLLPENPLVNLCVGTALINLALGLRLQNKHQCLVQGFAFLYNNARICENSQESLFNIARALHHVGFVTLAAMYYEKVIGINERDYPIPKLQNENIDVNENEKPGYCNLRREAAYNLHLIYKRSGAVDLARQVLKDYCSV >CAK8575341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:88758171:88758864:-1 gene:gene-LATHSAT_LOCUS27611 transcript:rna-LATHSAT_LOCUS27611 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGHRKLDYEIISHNIREHVNSDASLKVKVIQTHIVEKYGYKISYRKSWIAKIKVVELLSGNWETSYNDLPQWLLVMKTHLPGTVIQLETLPIITDEGSQLGDKRKFHRLFWAFEPCICGFTYCKPIVQIDGTWLYENYKGTLLMAVAHDRNGNIFPIAFTLVEGETKDDTLLKTSCVSSETKNYGKQLSTWNMH >CAK8559988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2934818:2936501:-1 gene:gene-LATHSAT_LOCUS13712 transcript:rna-LATHSAT_LOCUS13712 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSKSQTPEYYQNVVVMRHGDRIDNVDPLWTLTAQRPWDPPLVREGRIRSFCTGRKFRNLFKYPLHRVYVSPFLRCVQTAAEAVIALSAVDDCPEALTGESVSFDPSKIKVSVEYGLCEMMSRMAIKLDVAPKDGNWDFNISEREAMLPAGTVDKNVERVYKELPKYEEPIASTKARYEQIVKDLADKHLTENLLLVTHGEGVGVALSSFKKGAEVYEVDYCGYVELRRPIFKKDKSFTAGKFEVITNTGQTGVSYSI >CAK8565424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:188899762:188902033:1 gene:gene-LATHSAT_LOCUS18642 transcript:rna-LATHSAT_LOCUS18642 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTTGSNERNELVRVSHASDSGSKPVKNLNGQFCQICGDTVGLAATGDVFVACHECAFPLCHPCYEYEIKNVSQLCPQCKTRYKTHKDGPRVKGDDDEDDVSGRRNEGKYGPGNNSKTGWQWDEDADLSSSSGHDSQLQNPHLTNGQLMSGEIACATSDTQSAQTTSGPLGQSGIVHSRPYVDQKQPGLESDEEIRRVPDMGGESAGTSASRKGTGSTAGPERAQGTGEGQKKRGRSPADKESKRLKRLLRNRVSAQQARERKKAYLSDLETRVNDLEKKNSELKEKLSTLQNENQMLRQILKNTTASRRGGNSGTNNAE >CAK8568383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600689703:600691266:-1 gene:gene-LATHSAT_LOCUS21343 transcript:rna-LATHSAT_LOCUS21343 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKKLKSESTELPDCIISYIFSKLSLKNLVKTSVLSKQWYHEWGLKKDLKFDLFNMFDYDTIPDSPITLPLFQQLQSQFAASLDTFMHKYPGDTIRSIQVSFPLGVDHTYAIDGLIHNGLLKGVNRIELLLANKTNFKKPYITDLKIEPYKFLFPFLSGPNSLTYLHLQNCHIAATMEFSGLKNLTTLVLTRVPVEQNMLQDLCFNCIRLENFTLNQCCFLSHLKITSPTLLHLNINCKPLITMPWNIDIMSPSLLYIEYSPKFFPNLLPKVKIDSPMLSKLTYRSAKLFNLEFSRLTNVTTIVLDRLCEDDDAITHLFSKCLQLEDVTLNMCRFTSDVNIISAKLRHLSLVHCYHRYSRTCKIDIHAMNLSSFQYRGYKHMRSMVSLEAPKLLKVFWDAGFNEINVYNFSTTSRLHQHENFAMPMTLSQISKLTNEYLIQYPKLTQLELFTGGAYNPNMDSFWILDIAMASQDLLKLSLRVTYSTL >CAK8565666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:301907056:301913010:1 gene:gene-LATHSAT_LOCUS18857 transcript:rna-LATHSAT_LOCUS18857 gene_biotype:protein_coding transcript_biotype:protein_coding MMEINIARCHHLEARGIFFSNILRTKGRENSHKLLCNVVSLNSRWDFHILASSCQMFTAVYPRRPVFCRIHSMATGLEKSESLQGDNPNKDVDPSTDSEDERLSQSLTSEQIKVLLADTQRANLTKKLSEANQQNRFLKRQLYIKEDALVKFKSELAVLELEVQALARLAEEIAKSGIPEGSRKINGKYIHTHLVARLKAVHEQLNEQIKDVDAAQSKEVSVFWVGMAESVQIMGSFDGWSQGEHLSPEYTGSFTRFSTTLMLRPGRYEVKFLVDGEWHLSTELPVTGEGLTKNNLLIVE >CAK8542311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500984068:500989645:1 gene:gene-LATHSAT_LOCUS11158 transcript:rna-LATHSAT_LOCUS11158 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKPGVIALFDVDGTLTAPRKVAPPEMLKFIQELRKIVTVGVVGGSDLVKISEQLGQTVNDDYDYVFSENGLVAHKQGKLIGTQSLKTFIGDENLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVQNIRSKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDNFNEIHFFGDKTYKGGNDHEIYESERTIGHTVTSPEDTIKQCKSLFLEN >CAK8577202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544530053:544533564:1 gene:gene-LATHSAT_LOCUS29331 transcript:rna-LATHSAT_LOCUS29331 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINSSLSPLRNHYYDVFVSFRGEDTRNNFTDFLFHALQTQGIFAFRDDTNLPKGESIAPDLLHAIQHSHIFVVVFSTNYASSTWCLRELEKICECVQVSGKHVLPVFYDVDPSEVRHQKGFYAEAFSKHEHRFQRDSLMVSRWRQALTQVANLSGWDLRHKPQSAAIKEIVQKVINILDCKSSCLSKDLVGMDSPIQELEKLLLLDSVHDVRVVGICGMGGIGKTALATVLYDRISPQFAACCFIDDVTKIYRLHDGPLGAQKQILDQTLGQEHHQISNHYNATNLIRRRLCRQRALIILDNVDHIEQLEKLAVHREWLGAGSRIIIISRDEHILIQYRVDAVYKVSLLDSINSLQLLCRKAFKLDHILNSHEGLVNGILDYANGLPLAIKVLGSFLYGRDVSEWSSALARLRESPEKNVMDVLRLSFDDLRETEKEIFLHIACFFNMHIEKYVKNVLNCCQFHADIGLRVLIDKSLVSTKDGRIVMHNLMEELGRNIVKENTSKEPRKWRRLWFEKQLNDVKLENMEKNVEAIVLDHDYDEYSKVDKDMDAVIFEDFFNLRLLIFKYVKVSGSLNHLSNKLRYIEWNYYPFMYLPSSFQPNQLVELILKSSSIKQLWEGKKYLPKLRILDLSHSKNLIKMPNFGEFPNLEQLNLKGCIKLVQLDSSLGLLRKLVDLDLECCGSLVSIPNNIFGLSSLKYLNMHGCSGCCFKEFNNTRHLDISETASHSQSTSSICKWAINTSLLHTPSTNTPMFPSFLGKYCLRELDISFCGLSQLPEAIGFLRCLEMLNVGGNNFVTLPSLRELSKLVYLNLEHCKQLESLPALPFPMTIEQDLRQNKYWKRTGLFIFNCPKISDKELCSRMTFSWMTQFIQVNNDYPAFFKIGIIIPGSEIPSWFNNQSVGSSLPVSPVMQDNGHNITGFLCCVVFSIAPHYPIVTRSSRWMPQMILYAPLSHIAFLPVIADADLITVKSNHIWLIYFPWESSYDVVYDGFHVETDRNGGLDIEVKKCGYRWVYKQDLQEFNSTTIHPEKMLALKRKFWEIEDEAQPQLHSFI >CAK8573995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653142695:653146772:-1 gene:gene-LATHSAT_LOCUS26388 transcript:rna-LATHSAT_LOCUS26388 gene_biotype:protein_coding transcript_biotype:protein_coding MHTENKVEVKTVFIGAGCNRIVNNVSWGASGLVSFGAQNAVAIFSPKSAQILTTLPGHKAVVNCTHWLPTSKFLFKAKELERHYLLSGDADGAIILWELTLVDGKWRQVSQVPQSHKKGVTCINGIMVSQTEAMFASTSSDGSVCVWELVFPLTSNGDCKLSCLESFSVGSKSMVALSMAELPGCIGQIVLAMGGLDNKIHLYCGGRTGKFVHACELKGHTDWVRSLDFSLPVSINGEVNNLFLVSSSQDKCIRIWKMALRSSIPNGNGIYKKEETSLSSYIEGPVLVAGSSSFQISLESLLIGHEDWVYSVAWQPPLLASTEGDACYQPQSILSASMDKTMMIWQPEKTSGVWMNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWKNVGDDNWLTQKVPSGHFASVTDIAWGRSGDYIISASHDQTTRIYAPWKVEAPHKDGELWYEISRPQVHGHDINCMTVVHSKGNHRFVGGADEKVARVFEAPLSFLKTLSNATLQKSCYSDDDLTNVQILGANMSALGLSQKPIYVQAVHETPDKNGIDGLDTFETVPDAVPTVFTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDHKGELVASSCKAQSTAVAEVWLWQVGSWKAVGRLQSHSLTVTQMEFSHDDNFLLTVSRDRQFSIFTIARTGTDEISYSLLARQEGHKRIIWSCSWNPHGHEFATGSRDKTVKIWAVEKESSSVRQLMTLPQFTSSVTALSWAGLPHRRNDGVLAVGMENGQIELWNLSYNNRQDAAPGSAAALLVRIDPFICHASTVNRLAWRKTEEDNKSLQLASCGADNCVRVFDVTVE >CAK8566062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:375762922:375763529:-1 gene:gene-LATHSAT_LOCUS19229 transcript:rna-LATHSAT_LOCUS19229 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYGEILLKRIAENKKKLEALNLPNLSQSLHKTSSSSSKPSSFVKGRLRFVQPGQLEVNRKRLRLTTTHKSSIIPQPIKTTITLPPIQSKITPLQIETTITHLSIQTAKDVVVADEDDDVVVRNETEDDVVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKSVYWDVNVISK >CAK8564345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669063700:669068013:-1 gene:gene-LATHSAT_LOCUS17660 transcript:rna-LATHSAT_LOCUS17660 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSVQVQQPSRSRDLDKLLLRPGNLVGPRFEPGAELRNDLQAFAKVLVVGAGGLGCELLKDLALTGFRNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERISGVDIVPHFCRIEDKDIEFYNDFSIIALGLDSIEARSYINNVACSFLEYDSDDNPQEETIKPMVDGGTEGFKGHARVIMPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHRGVPFDPDNPKNMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTLSNYLTYNGSEGLHTKVTEFERDRDCLVCGPGIRLELDPSITLQKFMDLLEEHPKLQLSKASVTHRGKNLYMQAPPVLEEMTRSNLSLSLFNLMGKLPKDIVHVNGMTSKNDQNTSCLRKLRVVFKEVDGVTDMDTAGGA >CAK8565285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:100936067:100936644:1 gene:gene-LATHSAT_LOCUS18510 transcript:rna-LATHSAT_LOCUS18510 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTRKRVFIGNRYPIGPESFVYPWIEALAKSRVGLEEIMLKRMVVSTIKLNFVCLQGEVNVGALERQVDGFETWKGYIMGGLKGKQSHL >CAK8536888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33610387:33610812:1 gene:gene-LATHSAT_LOCUS6214 transcript:rna-LATHSAT_LOCUS6214 gene_biotype:protein_coding transcript_biotype:protein_coding MISIGELGLAQPGIQTTNCRGNDINRRNKLRSLCSKTFLEITAPIGKYSISYSTTLYWGKPIIASKASKDSALLGICQPDCGKRNHPAYWGRVQLCGEFQFSRRLQSGLPEAAYLRVVFYENCTLTHKSSLISFCFNAICK >CAK8571615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:449001182:449001610:-1 gene:gene-LATHSAT_LOCUS24257 transcript:rna-LATHSAT_LOCUS24257-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTEFVFDLKSKINDELEVEVCRQNLWYKGIELENDKRIGLYALHGDETEIITLVVDPLPPDLKLHVLVKFLGRGSEGYVRVRETNMVSDLRGKVSRYWGIPLDSFTLRRLNVEMVHDRPLHAYYINEASEIHLSVDFQPR >CAK8571614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:449001182:449001658:-1 gene:gene-LATHSAT_LOCUS24257 transcript:rna-LATHSAT_LOCUS24257 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFKIIGGEIEPVIEMSMTEFVFDLKSKINDELEVEVCRQNLWYKGIELENDKRIGLYALHGDETEIITLVVDPLPPDLKLHVLVKFLGRGSEGYVRVRETNMVSDLRGKVSRYWGIPLDSFTLRRLNVEMVHDRPLHAYYINEASEIHLSVDFQPR >CAK8578652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643925615:643927744:-1 gene:gene-LATHSAT_LOCUS30644 transcript:rna-LATHSAT_LOCUS30644 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPKFSIPPIFTTTQPTNSKCLTTPFTTLIQNPKASSTSLNFTFLNHLKNQRLDSARAIFNKIPSPHVSLYTRMLLAYAHNHNLPEAINLFNQIPSNTKDIISWNSIIKASILCGDFVAAVKLFDKMPHRNSISWTTIIHGFLSVGRVVDAETFFRAMPNADKDVATWNAMVNGYCNNGRVNDALWLFSQMPYRDVISWTSMIAGLDRNGKSSQALVFLQNMMGCSGVETSSTTLVCGLSAAAKILDFNAGIQIHCRMFKFGYCRGFDEFVSASLVTFYAGCKRVDDAFKVFGETAGKNVVVWTALLTGHGLNEKHVEALEVFGEMMRFCVMPNESSFTSALNSCVGLEDLEKGRVIHAVAVKMGLEKGVYVGNSLVVMYSKCGYIGDALCVFNGIGEKNVVSWNSVIVGCAQHGCGLWALALFKQMLMEDVESDEITLTGLLSACSRSGMLQKARCIFGYFVWKRSMKMTIEHYACMVDVLGRCGEVEEAEALAMSMPVEANSMVWLVLLSACRKHSNLGVAERVAKRIFEMEPDCSAAYVLLSNLYASSRRWSEVARIRMKMKHNGVVKQPGSSWITLKGLRHEFLSADRSHPLTEKIYEKLEWLGVKLKELGYVPDQQFALHDVEIEQTEEMLSYHSERLAIVFGLLSTVEGSTITIMKNLRVCGDCHNAIKLMAKIVDREIVVRDSSRFHHFKNGICSCGDYW >CAK8538469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483935678:483937199:-1 gene:gene-LATHSAT_LOCUS7661 transcript:rna-LATHSAT_LOCUS7661 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFPIISLERLNGEERKDTMEKIKDACENWGFFELVNHGIPHDLMDTLERLTKEHYRKCMEQRFREFVSCRGLDAVQTEVKDMDWESTFHVRHLPETNISEVPDLSDEYRKVMKEFAFRLEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPTFGTKVANYPQCPNPELVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWIDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTNGTRMSIASFYNPGSDAVIYPAPKLLEKETEEKNNLYPKFVFEEYMKIYAGLKFHAKEPRFEALKGSNENLGPIAII >CAK8544336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678975641:678977642:1 gene:gene-LATHSAT_LOCUS13025 transcript:rna-LATHSAT_LOCUS13025 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRNIMDEKKGKASTMAIRGRRKAIATDPKSWMVLSETGESITEDLDKHTIMRRTGLPARDLRVLDPTLFNPSSIIGREKSIVVNLEHIKAIITSNEVLIINSSSPFFIMFLQDLQARVSRSNNIHKVTSINNGMNDDCESKNLVEERSSLLQSRVDSMNNASKGNFVDGTLINVVETSDHKRLPFEFKALETCIESACACLEYENQRLEEEAYPALDELASQISTLNLERVRHIKNRLVALSGRVQKVADQLENLLDDDNDMAEMYLTKKNDDCLIDQTSLKKEYNPTIDEDIDESDESNTFQYRCCESKPDVEELEMLLEAYFAQINGILQRLSSLSDYVDDTEDHINIMLDDKRNQLLQVTIIFSTMNVILGAGIAVVGLFGMNIHIDLFDGQPRQFWATVIGVLSGCILLLIIFNWWGKKRFFLSEAR >CAK8561790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385282586:385282972:1 gene:gene-LATHSAT_LOCUS15346 transcript:rna-LATHSAT_LOCUS15346 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIVRQFYYVPRPSIVPRKRGWCVVIKTKSLGHIKIDDLVEDIPYQVDEISQINDVIKIERITSLCDTVVEGHQFDASILLEENNMDEDHEEFGSEDNIGSDDENDMDDGHKEFESIILVILLNDSV >CAK8543251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:592792947:592795219:1 gene:gene-LATHSAT_LOCUS12021 transcript:rna-LATHSAT_LOCUS12021 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAAAITENNNATYDSFCLTNAKNNKMKVNSADPLNWGAAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGMKVELSESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNRTDSNHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLINNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGPSGEILNAKEAFQLAEINADFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAIEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNIVSQVAKRTLTTGVNGELHPSRFCEKDLLRVVDREHVFAYIDDPCSATYPLMQKLRQVLVDHALVNGESENNLNTSIFQKIATFEDELKAMLPKEVESARFEYETGNPTIPNKINECRSCPLYRFVREELGTCLLTGEKVISPGEECDKLFTAMCEGKIIDPLLECLGEWNGSPLPIC >CAK8561398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:191182077:191183840:1 gene:gene-LATHSAT_LOCUS14996 transcript:rna-LATHSAT_LOCUS14996 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPASSATATATAPPRPRSYWRWSKQDFFPEPSFQTSETYRNALANTCPRLKDRLLNRSSDSHELLVLPKASENRMARCLTWWDLTWLAFGSVVGSGIFVVTGQEARVHAGPAIVLSYAASGFSALLSAFIYAEFAVEVPVAGGSFSFLRIELGDFLAFVAAGNLLLEAIVGAAGLGRSWSSYFATMVKNDSEFFRIRIDSFKDGFNMLDPLAVAVLLITNGFAASGTRKTSILTWITSVATTLIIAFIIVVGFIHGKSSNLTPFLPYGVKGVFNAAAVVYWSYTGFDMVATMAEETKKPSRDIPIGLIGSMSMITVIYCLMALVLVSMVKYSEIDDGAAYSVAFVQIGMNWAKYLVSICALKGMTTSLLVGSMGQARYTTQIARSHMIPPFFARVHPKTGTPINATLLTTLSSCVVALFTSLDVLSSVFSVCTLFIFLLMAIALLVRRYYAREATDDKSDFWKVLLCLFVVIGSCIVGTVFWNSSLFGWIGYTTATCVWFLATLALSLLPKKRQPKVWGAPLVPWLPSLSIATNLFLMGSLGYEAFYRFLICTGLMLVYYILVAVHATYDVDHGIGQESKHEELY >CAK8566785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462704161:462705960:-1 gene:gene-LATHSAT_LOCUS19892 transcript:rna-LATHSAT_LOCUS19892 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLELIKDASVNSKSLDLHSDYPVVLNPDKILSNLKYELEDDSSSYPIKPLIGWKISQTDSEIIEINKKFIEELKKKVQSTDNLKRDEFIGSLISYLENIRGKVGVLIEIGDSSGSAHCKILIGKIGSFIGKDVAGLVLDGCISLEIWELVEALIVNGVVVNSCYSNLVAKLVEKKRSDLICLCCKHAFDLGTSEIFSILRYFLSPSKDAYDSMVSIKKEWENQALLAIEKASDSNLKRKNLVVAKEASILFMLAYDGFSAPELCLHYLVSSPNINNAISSPAFNKLNGKELLNLIRYLAKWFKKYERFPQARPCPKASSVLDLEACHWIPKLEDVIKCLGFVLDENFSSLVLHPQFHEELRSIEGLVSCLTAEAKICNMVTAVTEKLKIEVTRGRDKLI >CAK8532284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239596320:239596851:1 gene:gene-LATHSAT_LOCUS2010 transcript:rna-LATHSAT_LOCUS2010 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLETVVSAEYQGTSWKESDPSIFLMNILRTLQGEYKIINGYGPDKAPEVLRDHWSSYITEDDFKFISQNGWNAFTWAQNHGIKVIVDLHAVKGSQNGNEHSGTRDGYIE >CAK8532285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239596401:239596851:1 gene:gene-LATHSAT_LOCUS2010 transcript:rna-LATHSAT_LOCUS2010-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILRTLQGEYKIINGYGPDKAPEVLRDHWSSYITEDDFKFISQNGWNAFTWAQNHGIKVIVDLHAVKGSQNGNEHSGTRDGYIE >CAK8577428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563296684:563297046:1 gene:gene-LATHSAT_LOCUS29539 transcript:rna-LATHSAT_LOCUS29539-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDCNVHAKAFRMSRDILKANSFLELKLKLIAARPEDGRVYNRPSIFEVVVLIVGDIDVGSHREIIIQACDGNLQRVEELHSSYLIYQYPLIFFYGENGYRDNILHKYKNGHLVTRKNL >CAK8577427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563296541:563297046:1 gene:gene-LATHSAT_LOCUS29539 transcript:rna-LATHSAT_LOCUS29539 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFRDSKNVEREVVSKLKSMLDDCNVHAKAFRMSRDILKANSFLELKLKLIAARPEDGRVYNRPSIFEVVVLIVGDIDVGSHREIIIQACDGNLQRVEELHSSYLIYQYPLIFFYGENGYRDNILHKYKNGHLVTRKNL >CAK8540661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15403080:15405185:1 gene:gene-LATHSAT_LOCUS9645 transcript:rna-LATHSAT_LOCUS9645 gene_biotype:protein_coding transcript_biotype:protein_coding MVASYSRYLPSLEDLVKLLKLSADTKNLNFGKTIHTQLLIRHQSSNHHSHIIQTNSLINLYVKCSKLRFARYLFDEMPIRNVVSYNALMGGYLHNGEHFEVISLFKKMVSLFQNDVLVLPNEYVFSTVLSACAHSGRVFEGMQCHGFLFKFGLIFHQYVRSALVHMYSKCFHVDLALQVLDSEHGGSNINDAFCYNSVLNALAESWRWGEAVGVLGRMVSEGVVWDNVTYVSVMGLCGQIRDLQLGLQVHAQLLKGGLVFDVFVGSMLVDMYGKCGEVLVARNVFEGLKNRNVVVWTSLMTAYLQNGDFEESLDLLTSMDREGTMANEFTFAVLLNACAGIAALRHGDLFHARIEKLGFKNRVIVGNALINMYSKSGSIDSSYDVFFDMPCRDIITWNAMICGYSQHGLGKQALLVFQDMVSTGECPNYVTFVGVLSACAHLSLVKEGFYYLNQLMKHFKIEPGLEHYTCQVAVLCRAGLLEDAENFMKTTTHVKWDVVAWRILLNACNVHRNYSLGKRIAETILHMNPRDMGTYTLLSNMYAKARSWDGVTTIRKMMREINVKKEPGVSWLEIRNIVHVFSSDGSNHPECIQIYEKVQLLLEMIKQVGYVPNIEAVLHDVEDEQKESYLNYHSEKLAIAYGLMKIPSPAPIRVIKNLRICEDCHTAVKLISKITHRLIIVRDASRFHHFCDGTCTCADHW >CAK8565870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345619812:345620123:-1 gene:gene-LATHSAT_LOCUS19048 transcript:rna-LATHSAT_LOCUS19048 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8540785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21137544:21138341:-1 gene:gene-LATHSAT_LOCUS9755 transcript:rna-LATHSAT_LOCUS9755 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAGVRNKKVNIDIPNDLVFTILSKLSIKPLKRFECVCKPWALLLTNSIFTRLFCDNFLYNNDCYYHDTSLFLHHVMLINFNLKLVLYSYSDESYENMTRLNWPNPFQEEDPEFDILGSGIISGVLCLISYCHPSVKFVLWNPATEEFKVIPNNPFDFIPSMKHDITEHGFSYDCVRDDYKVIRLVCDIESDYDSEIVSSGKIYHNLFWEIYSLLSNSWKKLELYIHHEYINKRVCLDGMYHWWREGNYNDDKGNRLYLLSFD >CAK8567486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517860646:517861354:-1 gene:gene-LATHSAT_LOCUS20533 transcript:rna-LATHSAT_LOCUS20533 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVEKKNNVEAEKPIALPSNLERTTSIDNEPKTLLQEELNLAGEALKVINTHPKEEALKIFLKGLKPVTISVQTSENDVKYDDEDEDEYNYEDEYELDDEVDDYDE >CAK8562363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478710221:478713386:1 gene:gene-LATHSAT_LOCUS15865 transcript:rna-LATHSAT_LOCUS15865 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAVIGKVVDELLSSVVEMKNRALKFKSTLERLEKTLQSLAPLITQIDELNKKLDRPATETERLIKQMKDGKELVLKCCGQDKIQWWNCCYKKAEYQQELEELDGEIRRFFELDLRAQDARNGLEALLEIKEFHAEFRSVGLRNERIELRGVCLPPQPPGFTVGLDGPLNELKMRLLKDGVSVSVVTVTGSGGSGKSTLVKKFCWDEQVKGKFKDNIFFITFAKTPKLNAIVQKLFQHTGYQVLDFQSDEDMFNQLAQLMKQIVKNGPILLVIDDVWLGSESLVDKFVFEIPNYKILVTSRFAIGRFGHPFVLKPLSDANAINLFKHSASLTKSNSDIPDDVVKEIVRGCSGSPLALRVSGRSLSNEQQIVWLNRARELSAGGTILDSNTDVLSCLQKSLDVLDPKSMDCFRDLGLFPEDQRIPVAALVDMWAELRNDDDATAIERIYKLVNLNLADIIVTRKVARGAIDYNYHYVTQHGLLRDLAICNNSQAPEDKRNRLIIDTSANNLPSWWTSETEYHIAARVLSISTDEAFPSKWCNLQPTEVEAFVLNLREKKCTLPMFMKKMNKLKVLIITNYDFYQAELENFELLNHLSSLRRIRLEKVSIPLLGKTIVQLKNLQKCSFFMCNVNEAFKNCTIQDSEVLPNLVEMNFDYCDMVELPNVISYIVSLKKVSITNCHKLRALNEGIGKLVNLESLRLSSCSGLSKLPDSITNIHRLKFLDISECISLSQLPENIGELEKLENLNMRGCSSISELPSSVMELEGLKHVVCDEETAEKWEPFRTILGDLRIEIVQEDFNLDFIYNQ >CAK8562032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:429210745:429214245:1 gene:gene-LATHSAT_LOCUS15568 transcript:rna-LATHSAT_LOCUS15568 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIQEGVFVVEMENSEEEERLRRSRIRTLKKKAINASCRFTHSIKKTRGKRRIDYRFPIEDVRDEQEESAVFQLRGRLTHMPPNHDHYHTLLRFLKARDFDTEKTIQMWEDMVLWRKQYGTDTILQDFEFEEVEEVLQYYPQGYHGVDKEGRPVYIERLGKAYPSRLMRITTMDRYLKYHVQEFERALHEKFPACSIASKRWISSTTTILDVNGLGMKNFSPTAANLLAAITKIDNSYYPETLNRMYIVNAGPGFRKMLWPAAQKFLDAKTIAKIQVLEPKSLSKLHDIIDSSQLPDFLGGSCKCPGEGGCLRSGKGPWNDPHIMKLVHNVEATFERQIPKVSDEQHKIDHSPNHLPKGSSSDISTAEFCLEMNDSYSPIRQRKLTCPRISSVHEEVSISDSNDSGNDSAPAAEKTLKSGTLQLARKHSLRNDDMDNITCTESFKGTSVRNWFGFAKEKVERPTFIYLSSLLIYLFERLDIFFHGLRLEFRRTQNNIVMQDNFVNLTEDVETPSEEDHIRPCIQRLEKLEKAIEELRHKRADIPIEKEKMLMSSLDRIKSVEFDLENTKRVLHDTVMKQVEITELMENLKASKPQKRRLFCLR >CAK8543124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580546076:580546527:-1 gene:gene-LATHSAT_LOCUS11906 transcript:rna-LATHSAT_LOCUS11906 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSNDIDQLNLDEVDVEDDEQLNYGENNQNNNIIDGENVANAIDFTTEGFDIEEGDPNIEIILPPWN >CAK8537504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:379401658:379401801:-1 gene:gene-LATHSAT_LOCUS6793 transcript:rna-LATHSAT_LOCUS6793 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRRTMDA >CAK8531307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99903276:99903701:1 gene:gene-LATHSAT_LOCUS1109 transcript:rna-LATHSAT_LOCUS1109 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIRIALAINLISSSHTFKILRKYSTHLHVLLKWIFNIFLNYLCYPLSKFQDSHGVFKHVYENHDDVDCAVCLGKVKEKEEIRKLQCKHVFHKSCLDTWISLKYNNTTCPICRVSVGSIMEEDDDELFYDDEFQIPWMR >CAK8543650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628738399:628738724:-1 gene:gene-LATHSAT_LOCUS12396 transcript:rna-LATHSAT_LOCUS12396 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLNNLFKTRVCTIEFRHKLTNTQRHHLQFERHFLEKTLIPSIPYPPQSYTHVFRPIRSVMNTVILR >CAK8534818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771805641:771806384:-1 gene:gene-LATHSAT_LOCUS4330 transcript:rna-LATHSAT_LOCUS4330 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGRRVLLVVDNCPTHPRNIEGLRNVELFFLPPNMTSKIQPCDAGIIRAFKMHYCRRFYCKILEGYEVGQSNPGKINVLDAINLAIPAWTIDVQKETIVNCFRHCKIRSASDVARNLDESIFDEENQDLETMINQCGYHNKMDIDNLMNYPGENEACSKVQSLEDVVGTIIESSEEDDGEDDTVSLEPVTRKEALMASNTLHNFMIQYKNTTPELLDAIRKIRDEVQIDLNFKGKQTTIESYFNRV >CAK8534819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771805641:771806279:-1 gene:gene-LATHSAT_LOCUS4330 transcript:rna-LATHSAT_LOCUS4330-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIQPCDAGIIRAFKMHYCRRFYCKILEGYEVGQSNPGKINVLDAINLAIPAWTIDVQKETIVNCFRHCKIRSASDVARNLDESIFDEENQDLETMINQCGYHNKMDIDNLMNYPGENEACSKVQSLEDVVGTIIESSEEDDGEDDTVSLEPVTRKEALMASNTLHNFMIQYKNTTPELLDAIRKIRDEVQIDLNFKGKQTTIESYFNRV >CAK8536099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906638962:906639399:-1 gene:gene-LATHSAT_LOCUS5499 transcript:rna-LATHSAT_LOCUS5499 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTVDQPIKPSLFIALEESLLHENEYSAEENNNMFDHQLLFNLINETLFQIYEKSPTYFPRPFTFNHWLKPMPKGNYIVKEVWDNVSSYLSLRPELDQTLEDVVGRDLMKRSGGWMNLQQEEECVALDLEEMIIDDLLEVIIFS >CAK8568912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653821154:653821714:1 gene:gene-LATHSAT_LOCUS21820 transcript:rna-LATHSAT_LOCUS21820 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSFHKYTLKFIFISLILFASSSFFTPSIFMAQGRTISNLNEVAKNGVEEHEPIIVEKFQIGSRPPKCEKRCKSKSCIQCEAVQVPIVPSKAQIHRSHYYSAAYSSRGDGLSNYKPISWKCKCGDNFFNP >CAK8577770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587576887:587579082:1 gene:gene-LATHSAT_LOCUS29844 transcript:rna-LATHSAT_LOCUS29844 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDHNYEWPPTGAPFDLHRDEHWTNFDSSVNAVSFGFVATAILISMFLVMAIFERFLRPLSPPMSPSTRRTHRDIESQMGSNGKLSHPSPKMSVYSSEVSVLMPGDVIPTFIAHPAPCCPERITWPSHQHNTLPCSTSNTRPSNINEVRGV >CAK8565939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356483857:356485236:-1 gene:gene-LATHSAT_LOCUS19112 transcript:rna-LATHSAT_LOCUS19112 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPIHLPSSTTRLLRFRFTPFQTHSSFHNNNFTTQNPNPNPVPVHIPAVDHTTVHQTLTSFNNDWKRALEFFNWVETEFKFHHSTETYNLTLDILGKFFEFQQCWNLIHKMRNNPHSFPNHTTFRVMFKRYISAHSIDDAVTTFQRLNEFNLKDETSFYNLIDALCEYKHVLEAQDLVFGKKKTLNLAWNVDGVVSSNNTKIYNIVLRGWYKLGWWSRCWEFWDEMDKLGVQKDLHSYSIYMDILSKGGKPWKAVKLFKEMKRKGIKLDVVVYNIVIRAIGVSQGVDFSIRLFREMKDLGFNPTVVTYNTIIRLLCDNYRYKEALTLLRTMRSNGCSPNAVSYQCFFSCLEKPKFIIELFDGMIESGVRPTMDTYVMLLKKFARWGFLRLVFLVWKRMEELGCSPDASAYNVLIDALVEKGLIDMARKYDEEMLAKGLSPKPRKELGTKALGGEFIEE >CAK8531000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69090269:69090523:1 gene:gene-LATHSAT_LOCUS822 transcript:rna-LATHSAT_LOCUS822 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQEVDIEDGVEVDMTNEIDALWKRFRSLDVAGKRELKSRVCEIAYPITTKMVPPPEKIKTKGKILVRYDVYRDPSYHEYVD >CAK8531550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124529794:124530995:-1 gene:gene-LATHSAT_LOCUS1334 transcript:rna-LATHSAT_LOCUS1334 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHQTPTKLSARSAENRTGKSKDSPANKSKKISNKSSNASSASVFEESSNSSLISEIKEDNLDENVTTFLLDENSSVTLPQPEKLTDTTENSSGSCFDVCEFEGAKFTSVEDQITMNFLKNFKPGENLTFDNADPRYKKLKDEIIEYVLQDLKRNVLPVDGNHTLSGSPSQGLSQKTRLVFLFVIVWFIAILVILFCSPDADCGLVAT >CAK8567214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495469658:495475114:-1 gene:gene-LATHSAT_LOCUS20284 transcript:rna-LATHSAT_LOCUS20284 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSEKPRPIDFYKEEARDMMMEVVTTNDLQPPQLHLQQQQPPPQMILGAESSGEDPEMEIKAPKKRAETWVQDETRSLIGLRREMDSLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHHDRGGSGSGKMSCYKEIDEILRDRNKCTQYKSPTPTSKVEASYMQFSDKGIDDANISFGPVEASGRPTLNLERSLDHDGHPLAIAAADVAASGVPPWNWRETTGNGGESQSCCGRVISVKWGDYTRRIGIDGTPEAIKEAIRAAFRLRTKRAFWLEDEEQIIRSIDRDMPIGNYTLHLDEGMAIKVCLYDESDHIPVHTEEKIFYTEDDYRDFLARRAWTCLREFDGYRNIDNMDDLRPGAIYRGVS >CAK8543930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650240188:650242935:-1 gene:gene-LATHSAT_LOCUS12652 transcript:rna-LATHSAT_LOCUS12652 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQTTGHDLSFKILLIGDSGVGKSCLLLTFISSSSVEDLSPTIGVDFKMKTLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTKRETFTNLSEVWSKELELYSTNRECVKMLVGNKVDRDSERVVSKEEGLALAKELGCLLLECSAKTRENVEKCFEELALKIMEAPSLLEEGSTAIKRNVLKQKKQEPHAPQNGGCCS >CAK8542384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507372433:507373572:-1 gene:gene-LATHSAT_LOCUS11225 transcript:rna-LATHSAT_LOCUS11225 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKKTNPNITHNIIDSLLHTLTFDLKIEILSRLPVKLLVQLTCICKSLNSIIFNPNFVQKHLSISTTRRLHLASYNPLFNLKSYPLQSLFTGITTNFSQLGFPFYNTYVNDFFYYIACSCHGILCLADYNQYTVVLWNPSIGKFKILPPFEYPNYGTKVHVNHGFGYDRVSDHYKVVVRYHKRSTRSGIHEDITTVKVLTLGTDYWKTIPTFPFGTIIDFDGGKCVSGTINWLAYTETYRVGQPFIVSFDLAKESFQKIFLPDHGRRDGCNLTLLVWTDCLGIICDHDVWVMNTYGVPESWTKLFSVSYLEDPRMSSILTKALYIFEDDKLLLELQVEKRRRKLIVYNPKNGTFKVTNFVRLPEVCVESLLSPDII >CAK8542598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528726973:528730389:-1 gene:gene-LATHSAT_LOCUS11424 transcript:rna-LATHSAT_LOCUS11424 gene_biotype:protein_coding transcript_biotype:protein_coding MILFIIFFVLSTLSCPQAVAEVTETEAQALLKWKHSFDNHTQTLLSTWINTTNPCKWQGIHCDKSKSISTINLENFGLKGTLHSLTFSSLPNLMTLNIYSNHFYGTIPPQIGNMSKIITLNFSQNPIEGSIPQEIFTLKSLQKLDFSVCKLSGGISSSIGNLSNLLYLDLGHNDFSGGSIPPEIGKLNKLGYLAIPYNSLIGSIPQEIGLLKDLTAIDLSTNSLSGVIPETIGNLSKLNLLVISNNTKLSGPIPQEIGLLTNLNLIDLSRNSLSGVIPETIGNMSNLNWLVLANNTKLSGPIPQSLWNMSNLNRIDFQNMNLSGSISDSIQNLVNLNELALDHNHLSGSIPSTIGKLKNLVKLFLMSNHLSGSIPASIGNLINLDVLSLQVNNLSGTIPDSIGNLKKLTVFEVANNKLQGRIPNGLYNITNWYSFVVSENSLVGHLPSQICFGGNLRYLNADHNRFTGPVPTSLKNCSSIERIRLEENQIEGDISQDFGVYPKLRYLDMSHNKFHGHISTNWEKSFDLDTFQVSGNNISGVIPLELIGLTKLGRLHLSSNQLTGKLPKELGDMKSLVELEISNNYFIGNIPTEIGMLQRLEKLDLGGNELSGTIPEEVARLPRLRLLNLSSNKIQGSIPHQFGSALESLDLSGNFLNGEIPTAIGDLVQLSMLNLSHNMLSGTIPQNFERGLDFVNISDNRLAGPLPKIPAFLTASFESFKNNKGLCGNISGLDPCPTSRSRKSKNVLRSVLIALGAVILVLCGVGFSMYIFCRKKPAKEESQTEEEAQRGVLFSIWSHDGKMMFENIIEATENFDDKHLIGVGSQGSVYKAELSSDLVVAVKKFHSVTDETKSYFSSKPFISEIETLTGIKHRNIIKLHGFCSHSKFSFLVYKFMEGGSLDQMLNNDTQATAFDWEKRVNVVKGVANALSYLHHDCSPPIIHRDISSKNILLNLDYEAHVSDFGTAKFVKPGLTSYTNFAGTFGYAAPELAQTMEVNEKCDVYSFGVLAMEIIMGKHPGDLILLFLSPSTRPMADNMLLKDVLDQRPQQVMKPIDEEVILIARLALGCLSQNPHSRPTMDQVSKMLAIGKTPLGNQLHMIRLGQLE >CAK8534346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719754272:719758739:1 gene:gene-LATHSAT_LOCUS3897 transcript:rna-LATHSAT_LOCUS3897 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRSRRKRKQLSRIHAFSKASFEGEHSLIGGPGFSTIVYCNEPESGSDNYVRTSKYTAFTFIPKSLFEQFRRVANFYFLVCAILSFFPVSPYSAVTNVVPLVVVVAATIGKEAVEDWTRLKQDIEMNNRKVKVHNGEGVFDYCKWRDLKVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQALEETSKFQQDSTFRNFKAIIKCEDPNAFLYSFIGNIKLEDQLYPLAPQQLLLRDSKLRNTDFIYGVVIFTGHDTKVMQNSMDPPSKRSKVEKRMDKIIYFLFLVLFFISFIGSIFFGIATSEDLENGVMKRWYLRPDDTTIYYDPKKAPVAAMLHFLTALMLYSYLIPISLYVSIEIVKVLQSMFVNHDIHMYHEETNQPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGIAYGQGITEDGNVAEISEAKPSIKGFNFMDERIMNGNWVEEPHANVIQKFLRLLAICHTAIPEVDEENGKVSYEAESPDEAAFVISAKELGFEFYERTQTTISLREFDSISGRIIRRSYKLLNILEFSSARKRMSVVVRDEEEKLLLLSKGADSVMFERLAKNGSEFEKKTKQHIKEYADAGLRTLILAYRELEEEEYTLFNKELMEARSLVSADREQIVEDVLEKIENDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINVGFACRLLRQGMKQIIISSDTRETKSLEKMENKSDADVAIKKSVVCQLMEGKELLGASIENTEALALIIDGKSLTYALEDDVKDLFLALAVSCASVICCRSSPKQKALVTRLVKIKTGCTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISLMICYFFYKNIAFGFTLFLYEIYASFSGQVAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCLKFPLLYQQGVQNVLFSWKRILGWILNGVMSATIIFFFCINAMENQAFRKGGEVVGLEVLGATMYTCVVCVVNFQMALSITYFTYIQHLFIWGGILFWYIFLLTYGTLNPSLSTTAYKVLIEACAPAPSYWLITLLVLVASLLPYLVYASIQMRFFPMFHQMIKWMCREGHATDLEYVDVVRQRSIRHATVGLMARFKASKTSHV >CAK8534347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719754272:719758739:1 gene:gene-LATHSAT_LOCUS3897 transcript:rna-LATHSAT_LOCUS3897-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRSRRKRKQLSRIHAFSKASFEGEHSLIGGPGFSTIVYCNEPESAVTNVVPLVVVVAATIGKEAVEDWTRLKQDIEMNNRKVKVHNGEGVFDYCKWRDLKVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQALEETSKFQQDSTFRNFKAIIKCEDPNAFLYSFIGNIKLEDQLYPLAPQQLLLRDSKLRNTDFIYGVVIFTGHDTKVMQNSMDPPSKRSKVEKRMDKIIYFLFLVLFFISFIGSIFFGIATSEDLENGVMKRWYLRPDDTTIYYDPKKAPVAAMLHFLTALMLYSYLIPISLYVSIEIVKVLQSMFVNHDIHMYHEETNQPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGIAYGQGITEDGNVAEISEAKPSIKGFNFMDERIMNGNWVEEPHANVIQKFLRLLAICHTAIPEVDEENGKVSYEAESPDEAAFVISAKELGFEFYERTQTTISLREFDSISGRIIRRSYKLLNILEFSSARKRMSVVVRDEEEKLLLLSKGADSVMFERLAKNGSEFEKKTKQHIKEYADAGLRTLILAYRELEEEEYTLFNKELMEARSLVSADREQIVEDVLEKIENDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINVGFACRLLRQGMKQIIISSDTRETKSLEKMENKSDADVAIKKSVVCQLMEGKELLGASIENTEALALIIDGKSLTYALEDDVKDLFLALAVSCASVICCRSSPKQKALVTRLVKIKTGCTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISLMICYFFYKNIAFGFTLFLYEIYASFSGQVAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCLKFPLLYQQGVQNVLFSWKRILGWILNGVMSATIIFFFCINAMENQAFRKGGEVVGLEVLGATMYTCVVCVVNFQMALSITYFTYIQHLFIWGGILFWYIFLLTYGTLNPSLSTTAYKVLIEACAPAPSYWLITLLVLVASLLPYLVYASIQMRFFPMFHQMIKWMCREGHATDLEYVDVVRQRSIRHATVGLMARFKASKTSHV >CAK8575326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:79228716:79229264:1 gene:gene-LATHSAT_LOCUS27598 transcript:rna-LATHSAT_LOCUS27598 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFFFVVVLLVYVTSCYSVKVVDINTICKNATNPSFCSNLLNSNPSESRDLVNLAQYTIGVIHSNVTNIIDEINNLIKQSVGNFTAEVHYKACAASFEQKGGALGVVHDVQYFLNKGDHTFLQYLMDFVQIQMSICVSGNAPRDPPFDDTSSLPKHVDVANKLQTFVDTIRTCLRNLHSQ >CAK8563626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621090237:621090857:1 gene:gene-LATHSAT_LOCUS17018 transcript:rna-LATHSAT_LOCUS17018-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTKMGATTKPEEVLKVERERRKKMAQMFNHLASTVPALFPTATREVIINETIGYIKELESKKKMLEEIKESKVEGSLNLLVPCRNRNCSVSVTVSNNVAFFGIESVAKPGLVTVILEVFFNNQTEILAANVSVNDGNLRLAITALVQNGHDHGNAGRVEKIKREIMSL >CAK8563625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621090195:621090857:1 gene:gene-LATHSAT_LOCUS17018 transcript:rna-LATHSAT_LOCUS17018 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSRFSTSIASEMGNTKMGATTKPEEVLKVERERRKKMAQMFNHLASTVPALFPTATREVIINETIGYIKELESKKKMLEEIKESKVEGSLNLLVPCRNRNCSVSVTVSNNVAFFGIESVAKPGLVTVILEVFFNNQTEILAANVSVNDGNLRLAITALVQNGHDHGNAGRVEKIKREIMSL >CAK8562382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480497020:480503694:1 gene:gene-LATHSAT_LOCUS15883 transcript:rna-LATHSAT_LOCUS15883 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAVLVGALNDRLLLASPTEINPRQKKGVEIKSCLVGLLEPILIGFATMQRSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSTVCGNLAVSLSQSGPQFTQVMRGVYAVNALRFSTALSVLKDEFLRSRDYPRCPPTSHLFHQFRQLAYACIRFGQFDSAKETFEVIADYEGMLDLFICHLNPSAMRRIAQKLEDEGLDSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTTVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREGSLVKAFMPAGNDNKVNGLEASSVKSISNLPNVVGDPKGDSLMGLGSLNKQLASSSADEQAKAEEEFKKSMYGAADDGSSSDEEGVSKIKRIHIKIHDKPISSSTVDVNKIKEATRQFKLGEGLPPPMRTRTNSGSQDLGQILSLPPTTTGAASATVSTPVDLFGTEASTQPELISQPTTGLVGGGVTTAPIPEDFFQNTISSVHVAASLPPAGTFLSKFTPGVQTSNTTPNQGAAEAASSFQGGVSTQAIQQPVVPIESIGLPDGGVPPQSMPQAVVTPQSQLQPAQPQFSSQPLDLSVLGVPNSADSGKLPQTGTAPVSVHPGQVPRGAPAAVCFKTGLAHLELNHLSDALSCFDESFLALAKEQSRGSDIKAQATICAQYKIAVTLLREIGRLQRVHGPSVISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLLSKAPSNKQEEFRSLVDLCVQRGLTNKSIDPLEDPSHFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDAIAGPVSSPFG >CAK8538984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500961786:500964804:-1 gene:gene-LATHSAT_LOCUS8127 transcript:rna-LATHSAT_LOCUS8127 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGSSFSFSSSSSSFSLQHTVLPLKRKNCCLQTCFSVSYNKFVDFALDETNLHTPLLPSPLQEKYNSMNSTDGKGELNMLSFEAAKIRLLRSLMIETEKMQVLDFAVFPKAEYDVPIFCANFFTSAKTNIVVLDLNPLHDIINQEDYKEKYFKSLIPLGLKYAEHFPWGGKLTSESIKFFSPIVIWTRFTSSPQKYDILYSAFRDYYKVWLELIDTAVEETDEYQISRNLEAQHRYLTWRTEKDPGHGVLKRLIGDTLAKDLLRSFLFNGVDELGNKTFNDYFSLYNSEEGTLTKKGGVVGKSFENRPWDARGNFLGQ >CAK8579429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:698220758:698221525:-1 gene:gene-LATHSAT_LOCUS31377 transcript:rna-LATHSAT_LOCUS31377 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNRAILEYRDKLIISLLEGIKHYISVRIPAQKEKLSRYTGVTIPSIQKVLEKTKRAAEGWIATWHVDDDFAIFGVSNGVETYAVNLLQQKYGCRKWDLSGIPCCHAIACIWYNKKEPEDYVSSFYRKSTILATYKHIIMPTNDPQLWPVNVANPISPLVMRRSIGRPKKNRNKVNDEPRIRNTLPITLQTVKCKKCGSFGHNKRTCKGKRAAERAIPKGGNKKS >CAK8530632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36663297:36666328:-1 gene:gene-LATHSAT_LOCUS483 transcript:rna-LATHSAT_LOCUS483 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTSSCSIRAFASSPFLRSNHLPFLPSFSPSGKSTLSIQCAVQFRPCIDIHKGKVKQIVGSTLKDLKGDDGSDPITNFESDKSAAEYATLYKQDGLKGGHVIMLGADPLSKAASLEALHAYPGGLQVGGGINSDNCLSYIDEGASHVIVTSYVFNNGQMDLERLKDLVRIVGKERLVLDLSCRKKDGKYAIVTDRWQKFSDVSLDAEVMQFLANFADEFLVHGVDVEGKKLGIDEELVALLGNYSPIPVTYAGGVTVMDDLERIKTAGMENVDVTVGSALDIFGGNLAYKDVVAWHNRQKVFVA >CAK8530633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36663297:36666328:-1 gene:gene-LATHSAT_LOCUS483 transcript:rna-LATHSAT_LOCUS483-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTSSCSIRAFASSPFLRSNHLPFLPSFSPSATGKSTLSIQCAVQFRPCIDIHKGKVKQIVGSTLKDLKGDDGSDPITNFESDKSAAEYATLYKQDGLKGGHVIMLGADPLSKAASLEALHAYPGGLQVGGGINSDNCLSYIDEGASHVIVTSYVFNNGQMDLERLKDLVRIVGKERLVLDLSCRKKDGKYAIVTDRWQKFSDVSLDAEVMQFLANFADEFLVHGVDVEGKKLGIDEELVALLGNYSPIPVTYAGGVTVMDDLERIKTAGMENVDVTVGSALDIFGGNLAYKDVVAWHNRQKVFVA >CAK8533299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601159319:601160014:-1 gene:gene-LATHSAT_LOCUS2939 transcript:rna-LATHSAT_LOCUS2939 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGYINAAFRSSKNNEAYFFINEKYLLIDYAPGTTNDKVLYGPTPVRDGFKSLSQTIFGSYGIDCSFDTENNEAFIFYENFCALIDYAPHSNRDKIILGPKKIADVFPFFKGTAFETGIDAAFRSTLGKEVYLFKGDHYARIDYGSNSMVNKEIKSISNGFPCFSNTIFESGTDAAFASHLTDEVYFFKDDYYARVKVTPGRTDDKLLGGVRKIVDYWPSLRGIIPLEN >CAK8576121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:409301299:409301790:1 gene:gene-LATHSAT_LOCUS28335 transcript:rna-LATHSAT_LOCUS28335 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTLESQQVNEVENAFAQQVGPSSKKRFLDVDLDNLPVDPGERNQMACYHPNDRDEIQIVYLQKGPCQPKDHNFPQRQFGTSLRKFNPDWFLEFGSWLEYSVSKDVVFCLCCYLMRHEIGEHKGWDAFVTEGFSTWKKKDKLNVHVGGPNSAHNQA >CAK8572634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552311081:552317223:1 gene:gene-LATHSAT_LOCUS25176 transcript:rna-LATHSAT_LOCUS25176 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKDLARIFNGLSLIANEFAKRSLPARTSDFETLIKTALISVTNISGITKGKVRQFSQPQPSPSNTTRASSSDSPSIVVFSDHPSSLPDSTPPPPAPATATASATIETIETVTTNDISEANHAVEPSEATVCTVAVNESEVVSSSETLKQSSPKSEEVPLRKRRPRERRVPSTPFTRALGFAGLGAGLAWGTVQESVKRLAYGTPTSQGNQSALSPYLSEKNAERLALALCRMRGAALKIGQMLSIQDESLVPPPILAALEIVRQGADVMPKSQLNQVLNAELGPDWSSKLHSFDYEPLAAASIGQVHRAVMKDGFQVAMKIQYPGVADSIESDIENVKLLLNYTNLIPEGLYLDRAIKVAKEELSRECDYKLEAANQKRFRDLLAGTEGLYVPIVRDDTSSRRVLTTELVHGIPIDKVALLDQETRNYIGTKLLELTLMELFVFRFMQTDPNWGNFLYNEATKTINLIDFGAARDFPKSFVDDYLRMVVACANGDSDGVIEMSKRLGFLTGMESDVMLDAHVQAGFIVGLPFSKPGLYDFRSTNITQSLSHLGATMLKHRLTPPPDEAYSLHRKLSGAFLACIKIGAVVPCRELLLEMYKHHKFGEVNDELLSSGSVST >CAK8564574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:844345:846393:-1 gene:gene-LATHSAT_LOCUS17869 transcript:rna-LATHSAT_LOCUS17869 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVPNKLSIQSQHVKSEKFSTNMKLSSSSSHQQNQDEKSKLFDKKKKMKKSNSINLSDLETLQLHSTPVSRKTTKQDGSPNYMKPTSSSQSKTELFHVSLKKTQSCSVSKNLDRKISSDYSKAACKKPSLSLVRTLTKTTSFKGSRNFPRRATCSSTLKDSNFPSYLELNHGGTELEGTSVMKVCSYSYCSLNGHHHHNHGDLPPLKTFVSSRRRVLKRVKLEALSPRSRRLKTTCEVEKKDCVVERNVFDEKSECDEIDMDFFIEIYASGNKDGKPKGKDEIGQIDFLEEVEEYEDIVKSTCEDDGVEADFLKEVKNQEKNEDADEEEQSSWSHEEMSMEDVDNNTDDSDFEAEADDMKCDEERFFGFDQELDADSSVYTDGENDSKDECLSQSSHDVSVTWLDDIISSYYDDIILFDETLKESKSEEIIYLEEQPDDGMICFVLDDKIGSNETQETGYPTSDIGCDQFSLADEIFDYLTNSEEKVDETSQDNKDFNRGEKNQIQSSPNEFELCPLKLTMTDETLTADVITHGHDNHIPLVDVVEEGIKHIQNQGKGNKRASCIVDEEENARENWKGVIRRKRCVDDDDEMRKFNPKDPNFLPLVPEKEKEKVDLRHQMMDERKNAEDWMVDCALRQVVNKLAPARKKKVALLVEAFETVIPKCESHLRNNSRSVHAC >CAK8530140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2750868:2755347:-1 gene:gene-LATHSAT_LOCUS31 transcript:rna-LATHSAT_LOCUS31 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSPKHTVEFEECSDNRPSKISKIDYPNPIDDGDEEDQKQEMNQHRKIQRYLVAIEYIGTRFCGSQKQLTDRTVVGVLEEAFCKFVGQRVSVICSSRTDAGVHALSNVCHVDIERISKRKPGEVLQPHEPAVVRRAVNHFLQKQNGDLMVIDVRSVPSDFHARYSAQERTYFYRLLSGPEPLSTLEKDRAWHVQEELNLPAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTIDELSIVEVMPSPYFPSLMDRERQIKVADDLHGFHSKSESAIPLSSSARIDEVRTSNEDVGFGKRRYHRCLVVTARARAFLYHQVRLLVGVLKAVGTGNLTVLDVERILNAKSVKAASPMAPACGLYLAEVKYDLPS >CAK8575811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329651436:329651834:1 gene:gene-LATHSAT_LOCUS28045 transcript:rna-LATHSAT_LOCUS28045 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKVQPIKLSNHSNANSSIDTSLQLQVTPNLQLGHGSWSLRGREFWYARRAFLNSYHFNLERENVGFKEKLKRSVREVNEAAMNIVLDMHRGVQKRRLGIRAYRVTVSLPSMFLVTMRCFMPWFNKKEIM >CAK8569172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678510969:678516671:-1 gene:gene-LATHSAT_LOCUS22056 transcript:rna-LATHSAT_LOCUS22056 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLAFNALVSSSLSIATTTTHNSKNKQYLAKQKPVEVTLGYQNPNGCKVCSFGSKGSIYEKVSSGFKGVSIMTDDKSTMPSVEEDFENIGILNVDSSLEPFKDHFKYRLKRYLDQKKLIEEYEGGLQEFAKGYLKFGFNREEDGILYREWAPAAQEAQIIGDFNGWNGSNHQMEKDQFGVWSIKIPDADGNPAIPHNSRVKFRFKHSDGVWVDRIPAWIKYATVDPTRFAAPYDGVYWDPPLSERYQFKHPRPPKPKAPRIYEAHVGMSSSEPRINSYREFADDILPRIRENNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGSPEDLKYLIDKAHSLGLNVLMDVIHSHASNNVTDGLNGFDVGQSSQQSYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRWWLEEYKFDGFRFDGVTSMLYHHHGINMAFTGDYNEYFSEETDVDAVVYLMLANSLVHDLLPNATVIAEDVSGMPGLGRPVSEVGIGFDYRLAMAIPDKWIDYLKNKKDSEWSMKEISLNLTNRRYSEKCVSYAESHDQSIVGDKTIAFLLMDEEMYSSMSCLTAASPTIERGISLHKMIHFITLALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWNLVDTNHLRYKFMNEFDRAMNLLDDKFSILASTKQIVSSTNNEDKVIVFERGDLVFVFNFHPENTYEGYKVGCDLPGKYRVALDSDAREFGGHGRVGHDADHFTSPEGIPGVPETNFNNRPNSFKVLSPPRTCVVYYRVDERQEESNNPNLSKVEETFAAVDTDVAKIHDVSMESENSNLDRKEDNSEDAVDAEILKVESEVVGDKQEDADN >CAK8530778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49261250:49261567:-1 gene:gene-LATHSAT_LOCUS620 transcript:rna-LATHSAT_LOCUS620 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGCGRPRLVAPSTSNPTTAIFEQNATKRETIVDNEVRKDTLESGILAEEEEENITDTDTLGHQSTEERKAVEASQMKKLWADIINENRNSAKGLVTPQF >CAK8532628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:333238479:333238922:-1 gene:gene-LATHSAT_LOCUS2314 transcript:rna-LATHSAT_LOCUS2314 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFLLHETAAGYTLFEAHGNDEIGHNTKAVRNSIFDLTRFGKVVKLYSFNLFTYSLEGLEQINVISKGIMIDELRTVLETNLPKVKEDKKPKFSLGVTESKIGSHIQEATKIPCQSNEFVNELIRGVRLHFDKFGSDHKPGDLEKA >CAK8560969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65081162:65083603:-1 gene:gene-LATHSAT_LOCUS14602 transcript:rna-LATHSAT_LOCUS14602 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHKFNESQREQLVLRRLNPEAKLETFLQWLQANRVELRGCNIKYCDSRKGFGIFSDKDVSDGILLVVPLELAITLMRVLQDPFLGPECRALFEEGDVDDRFLMMLFFTVERLRKGSLWKPYLDMLPTTFENTLWFSEEELRELRGTNLYRATELQKKSLLNLYETKVKDLVMKLLNLDGDSEIEVCFEDFLWANSVFWSRALNIPMPRSYVFPEMQDVHHSCTPEADEKGSEATKIDDLTKETTHSTVHGETVWVEGLVPGIDFCNHDLKPIATWEVDGIGLTTGVPVSMYLLSVAQSPLQIDREISISYGNKGNEELLYLYGFVIDGNTDDYLMVMLHLYLVFTKRKIST >CAK8575201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:51622777:51623288:-1 gene:gene-LATHSAT_LOCUS27485 transcript:rna-LATHSAT_LOCUS27485 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVSCVVALMCMVVITAPMAEAAITCGAVSAALAPCLGYLKGAPGPSPTCCGGVKGLNGSARTIFDRRSACNCLKNSAGSIPGLKPANVAALPGKCGVKLPFTISTSTNCNAIRF >CAK8531469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112739592:112743922:-1 gene:gene-LATHSAT_LOCUS1259 transcript:rna-LATHSAT_LOCUS1259 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEMGSMWVVLVAIGAALFVLRSILKNVNWWLYESKLGVKQYSLPPGDMGWPFIGNMWSFLRAFKSKDPDSFISSIVARYGNSGIYKALMFGNPSVIVTTPEGCKRVLTDDENFEPGWPQSTIELIGKNSFIAMTYEEHKRLRRLTSSSINGMEALSLYLKYIEENVIISLEKWSNMGQIEFLTEIRKLTFKIIMHIFLSSESEPVMEALEKEYTILNHGVRAMQINVPGFAYYKALKARRNLVGIFQSIVDDRRNIRKVYSQKKAKDMMDSLVDVEDDNGRKLSDEDIIDIMLMYLNAGHESSGHITMWATYFLQKHPEYLQKAKEEQEEIIKRRPSTQKGLTLKEIRGMDFLYKVIDETMRVITFSLAVFREAKSDVTINGYTIPKGWKVLTWFRSVHLDPEIYPNPKEFNPNRWNKEHKAGEFLPFGAGTRLCPGNDLAKMEIAVFLHHFTLNYKLEQLNPKCPIRYLPHTRPMDNCLGRVKKC >CAK8574271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673043330:673044505:1 gene:gene-LATHSAT_LOCUS26631 transcript:rna-LATHSAT_LOCUS26631 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGQNDAASSPSLTEETTSIKPLTSPFPHASHLPFDLVPEILCRLPVKHLLRLRCVCQSWDSLISGDSKFAKKHLRLSTSSHDRHHLIWARQILLSHSPISSFFGSHFTITLTHLSHRHSLIAVVNNGNDARRVTTCDGILCFAIDESLALLSNPSIRKYKLLPPLKMINPDQRYFENLYTLVYDRFTSNYKIISVACCNGKKEVNVHTLGTDYWRTIPDFPCPYIIPKPGVFVSDTVNWLACDAFSFAARVIVSLDLENERYQKLSPPFYGMTFEVSATLGVLRGCLSVLSYSNKFSNIWIMKEYGNEKSWTKLFSVPRLEEWGVYVYTKALYILEDEQVLMECLKMGKSSLVVYDSINNTFKIPKFQNNIQGSEMTAEVYVESLISPL >CAK8538219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471449920:471450255:1 gene:gene-LATHSAT_LOCUS7442 transcript:rna-LATHSAT_LOCUS7442 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEDVERKSEVRATLDRPLRNYSSVAILQCYIDSMVDPRGWEEMSGQGTDNVTYVEFENVGPGSNMDGRVESHGVRFLGNHNQALVFTASYFLDVDPWIHTRGVPYDSEI >CAK8538200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:469657492:469662017:-1 gene:gene-LATHSAT_LOCUS7427 transcript:rna-LATHSAT_LOCUS7427 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPSLGPLFLLLGLISLLVKCYSSSEDNMKTYIVYTGKIVNDEASSLIIYQNMLNQVSESNSTSKSILYQYKRSFSGFAAKLTVEEARRMAGLDGVVSVFPNEKRQLLTTRSWDFIGFPEYVEREYNEYDVIIGVIDSGIWPESESFNDKGYGPPPSKWKGTCQSSDFTCNNKIIGARYYRNDYGEDFLKNNILSPRDTTGHGTHTASTAAGNPVSRTSMLGLGHGTTRGGASSARIAVYKVCWSDSCQDVDILAAFDDAVIDGVDILSVSIGGKSADDSIHFMDAISVGSFHAMKRGILTVSAAGNFGPKSSSLANFSPWAISVAASTMDRKFVTKVKLGDNKIYEGTSLNTFDLQGELWPIIYAGDAPNKEAGFNKDSSRNCSANSLDIKMVKGKILLCEDSIESSEAGRVGAVGILVQGQKFIDTAYSYPLPGCYLHSKDAAKVNQYIHSTRNPVATIFRTYEANDTLAPMVATFSSRGPNNATPEILKPDLVAPGVDILASWSPIVPISEIHGDKRKLKFNFVSGTSMSCPHVSGAAAYIKSFHPTWSPAAIRSALMTTAKQLSPDKNPDAEFAYGAGQIDPIKALNPGLVYDANEEEYIRFLCGQGLNMAMLLQITERIINCSEIGYMIPRDLNYPSFAFKVPRPKHHLNANVKRTVTNVGLPKSTYRAFVTAPKGFNISVIPNVLSFTSLGEKQTFTLTVNGKMKKSIGSASLIWGDGKYQVRSPIVVFDERAVNGKGANLYRIDCICIVIFNFLFYIIING >CAK8575568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:173914144:173916367:1 gene:gene-LATHSAT_LOCUS27821 transcript:rna-LATHSAT_LOCUS27821 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSKDQELLEYINEVFCAQRGHRMLVYEYMNRGSLDRNLFGSAGQPVLEWQERLDVALGTARGLAYLHSGCEKKIIHCDIKPENILLHDQFQANISDFDLSKLLSPEQSGLFTTMRGTRGYLTPKWLTNSAISEKTDVYSYGMVFLELISGRKNCSFRSKTHSMDDEHNNSSGNNGNSSNSSTTELVYFPLFALEMHQQKSYMDLANPRLEGRVTFDEVEKLVRIALCCIHEDPTLRPNMVTVVGMLEGGTPLPQPRMECLNFLRFYGQRFSEAFVVVEENEHGPVRVQQPRDLIGLVSGFSYISSQQISGPR >CAK8538207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471259169:471262352:-1 gene:gene-LATHSAT_LOCUS7433 transcript:rna-LATHSAT_LOCUS7433 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEISAVLHYKYLMQQASDSQEPKSVLHSYKPNLGGFVPKLTKAEVDRMEGLDGVVAIFPVKKRPLLTTKSWDFIGLPIKVKRKSYESDVIIGIIDSGIWPESESFNDKGFGPPPCKWKGVCQIINFTCNNKLIGARYYGDIDDKFMNSPIDFYGHGTHVASTAAGNPIKHTSMSGLGEGTSRGGVPSARIAVYKVCGIHACDTRRILDAFYDAVSDGVDIISVSIGGEMENQNKNFNDELSVGSFYAITQGVLTVFSGGNYGPTPASLSNFLPWSIVVGAGTLNRKFVTMVKLGNNKIYEGISVNTFDLLGKMYPIVYGGDVPNKEAHFDGHKSRFCTTNSLDAKLVKGRIVLCEGNLGIQEALRVGAIGILTQGGSYRDVAEGFPLATCYLQPKDGSSIHKYINSARTPMATIFKSHEIEYALALIVASFSSRGPNLVTPDILKPDLIAPGVDIIASWSPISNVRKELTFNIISGTSMACPHVSGAAGYIKSFRPTWSPAAIRSALMTTAKQMSAINNHDAEFAYGAGQIDPVKALNPGLIYDIGKIDHLSFLCNLGYNKSVITFITGNPFICSNVSYTSVRDLNYPSFALKASNPHNIRGTFKRTVTNIGSPSSTYRAFLSVPDGLNISVKPDVLSFTSLGERKTYILTVDGSINEPIRSASFIWDDGEHQVRSPIVVYDKREEKEEKASYFSVRAVVIIVVVSVIVLIFIFILV >CAK8570254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31658200:31658890:1 gene:gene-LATHSAT_LOCUS23019 transcript:rna-LATHSAT_LOCUS23019 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSRPRSTPSASFLNEDWLEHIRTDIFFFHLLPVDHPALSALHQTSLLPQPLLIEYDVDNLSSAREVEFICRSCRYHLTNKPIRNFVEIPSANWREVADNWFGACCCSFEGISEKLVTRYVNSHTCAQGMLSGRPEQPSLSSFLVKLPYIK >CAK8534773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765929572:765931988:-1 gene:gene-LATHSAT_LOCUS4287 transcript:rna-LATHSAT_LOCUS4287 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLNPRVRCSGSTPSEESALDLERNCCGHSNLPSLSPPTLQPFASAGQHCESNAAYFSWPSRLPDAAEERANYFLNLQKGVLPETLGRLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPVPKEQHYTEIVDDLRGGDPCIGSGSQVASQETYGTLGAIVRSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFINDELWYGIFAGINPETFVRADGAFIPFADDFDMCTVTTSVRGVGDIGDVKIIDLQSPISSLIGKQVVKVGRSSGLTTGIVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIMFKGDDGEKPRPIGIIWGGTANRGRLKLKIGLPPENWTSGVDLGRLLNLLELDLITSDEGLRVAVQEQRAASATFMGSTVGDSSTPDGMLPKDRAEDKFEPLGLQVQSIPLGVEPNSQETKPSIMEAEFKLEDGIKVGGPSIEHQFIPSFVGRSPLHKNTVHDRDAAKENLSSLRNGCDEDLCVSLQLGDNEAKRRRSEASTSTEEP >CAK8531672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137683567:137684242:1 gene:gene-LATHSAT_LOCUS1446 transcript:rna-LATHSAT_LOCUS1446 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKKNKKNKKKNSKSPLPTAESISVTPPSAVNPVRITPPLPQSMVKPVSDSPPSPPPRTPLPPVFFENTVFIKIQDMTYKMRYEAFDVADDAFKKHLFKNSYIAEEIKKEFEKRHGLNWHCIVGASYGLSITSIPKRCIYFYMSGKAVLLFKY >CAK8533190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589132702:589173822:-1 gene:gene-LATHSAT_LOCUS2837 transcript:rna-LATHSAT_LOCUS2837 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKEIEKEPKFLKNGDAGMVKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >CAK8533192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589172382:589173822:-1 gene:gene-LATHSAT_LOCUS2837 transcript:rna-LATHSAT_LOCUS2837-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKEIEKEPKFLKNGDAGMVKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >CAK8533191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589132702:589173822:-1 gene:gene-LATHSAT_LOCUS2837 transcript:rna-LATHSAT_LOCUS2837-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKEIEKEPKFLKNGDAGMVKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >CAK8568372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599717759:599723848:-1 gene:gene-LATHSAT_LOCUS21334 transcript:rna-LATHSAT_LOCUS21334 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWKNIRDRESGKSRPHSFANRIKSNRISQLELSNHKELISPHKGAINSLQIDLTEGRYLLSSASDASVAVYDVQRPSECDAIWYPIDTGLFITGSYDHHVNVWDTNTTQVVVNFKMPGKVYKTAMSTLSTSHMLIAVGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSASSEWVLITGGCDGAIRFWDIRRAGCFQVLNQSRTQLGRRPPILRRSATTKESSTKMRAAQKKFVNGSGSGQLSTGRLSSKGPMKQKLHPGMISTQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRLRLWDVESGCDTLVNFETVRLQTSKPIQLATTQDSTVVFVPCMRVVKAFDMWSGNANTILRGHYESVNSCWFNQHDQELYTGGNDRQILVWSPARLIADEMNEGDPDDQDNWSN >CAK8568373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599717759:599723848:-1 gene:gene-LATHSAT_LOCUS21334 transcript:rna-LATHSAT_LOCUS21334-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWKNIRDRESGKSRPHSFANRIKSNRISQLELSNHKELISPHKGAINSLQIDLTEGRYLLSSASDASVAVYDVQRPSECDGLISKHSCLFVVDKQHELAHKFAVSSAIWYPIDTGLFITGSYDHHVNVWDTNTTQVVVNFKMPGKVYKTAMSTLSTSHMLIAVGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSASSEWVLITGGCDGAIRFWDIRRAGCFQVLNQSRTQLGRRPPILRRSATTKESSTKMRAAQKKFVNGSGSGQLSTGRLSSKGPMKQKLHPGMISTQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRLRLWDVESGCDTLVNFETVRLQTSKPIQLATTQDSTVVFVPCMRVVKAFDMWSGNANTILRGHYESVNSCWFNQHDQELYTGGNDRQILVWSPARLIADEMNEGDPDDQDNWSN >CAK8575502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:141493062:141494351:1 gene:gene-LATHSAT_LOCUS27760 transcript:rna-LATHSAT_LOCUS27760 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSRRSRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTAVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQIGEARGFPGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEEVASQDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYTINHTEYNKGYYLSDGIYPEWATFVKSISMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDTLQRIMNTCIILHNMIVEDERATYSGNFDFSYDHLSNDATILSNDSNIDFQEFMCRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8565625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294368907:294369308:-1 gene:gene-LATHSAT_LOCUS18821 transcript:rna-LATHSAT_LOCUS18821 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEIEEVELTSSHGSLRIPRNSSLDIKNDNLHYTSLKDIMYDTPTRWSVYEGNAFDSNIAIRNELVKRAALVYLQSAALLATRNQNCIVAFWERVKGQASSWWRLFGENTFRACLSPVLQFLNHIRRFHSLP >CAK8574123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663876433:663883636:1 gene:gene-LATHSAT_LOCUS26498 transcript:rna-LATHSAT_LOCUS26498 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKGKTNAKGKAPAGKDSDGKRKRGYDDDKTGGLKRKNRGVVQFFDEEAADIDDSEESDCSDLSDDSYEDEFETLSTRNVSDKGQSSFLFSPKQELVDEIEYDRLAEERFGSRSYTFAGDDEFEDKPMDQSSLHHALKESVPTIWKVKCTVGRERLSAICLMQKFVHLKSLDTKLQIISAFAVDHMKGYVYVEAERQCDINEACKGITGIYATRVQAVPRNEVFHLFSFKIKKPEISEGMWARVKAGNYKGDLAQVVAVNNTRKKVTVKLIPRIDLQALAAKFGGGCRQKNSVPAPRLISSSELEEFRPLIQIRRDQDTGKVFQVLDGLLLKDGYVYKKVSPDSLSFWGVVPTEEEQLKFGSSENNESNDMEWLSNIYGDTKKKRVITADKGDGKGEGSSGSGKDFELFALVCFRKKDFGVIISTDKDGTYKILREGSEGPAAVTVERNEIKSALCDLKLSAQDLHNKTIIVNDNVKVLEGPSQGKQGVVRHIYRGIVFVYDGNEEENGGYFTAKAIMCEKVKLAVGDFSGTGKDGEPGPLVFDDQPSSPRSPLSPKKPWETRQSDREFNRGDSDSLFAIGQTLRIRIGPLKGYLCRVTGIRRSDVTVKLDSQQKFLTVKSEHLSVVQGKSTAVSTSDDPDSSSLKPFDLLGGAEGGSGGWMNNNAGTSTGGGGWNAGETSTGGGGWNAGEASTGGGGWNAGQTSTGGGGWNAGETSAERSAWSNTSAPSSVPGSTSDPPNSHGNAEDNGWGTKNTSTPKPSWGAAENTGVTSEPDQSGGWGKDVGSSGQAELNGNQNTAWGTKSTSAPKPSWGATVNTGTTSEPDQSGGWGKGGGSSGQADLNDNQNTSWGIKSTSTPKPSWGAAVNTGTTSEPDQSGGWGNGGGSSGQAELNDNQNTSWGSKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKGGGSSGQAELNDNQNTSWGTKSTSTPKSSWGAAVNTGTTSEPDQSGGWGNGGGSSGQTELNDNQNTSWGSKSTSTPKPSWGAAVNTGTTSEPDQSGGWGNGGGSSGQAELNDNQNTSWGNKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKGGGSSGQAELNDNQNTSWGNKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKKSEWSSGNSGSQASDVNKSKWNSGNSDPQSQNSNWGKNNWNSSSGGASEGTNWSSNEGQNETSDDGGGGRGGYRGRGSSDRGGFRGRGFRGRGERGGFGGRGRSDNEGFGGRDRGFGGGRGRGWNNSRDSGEDGSSGWKNNSGSGAWNQSSGEKKHGGSWSHENADKERSSNAGGGSNKPWQSWTSASGGASGGWNSDGPGQGTENKGGAGWAKGTDSGAGWTKGTDSGAGWAKGTDSGAGWAKGTDSGADWAKGTDSGAGWAKGTDSGAGWAKGTESAPDNGQPSSWNESAANGAAGSWGKKNDGGSKGGW >CAK8574124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663876433:663883636:1 gene:gene-LATHSAT_LOCUS26498 transcript:rna-LATHSAT_LOCUS26498-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKGKTNAKGKAPAGKDSDGKRKRGYDDDKTGGLKRKNRGVVQFFDEEAADIDDSEESDCSDLSDDSYEDEFETLSTRNVSDKGQSSFLFSPKQELVDEIEYDRLAEERFGSRSYTFAGDDEFEDKPMDQSSLHHALKESVPTIWKVKCTVGRERLSAICLMQKFVHLKSLDTKLQIISAFAVDHMKGYVYVEAERQCDINEACKGITGIYATRVQAVPRNEVFHLFSFKIKKPEISEGMWARVKAGNYKGDLAQVVAVNNTRKKVTVKLIPRIDLQALAAKFGGGCRQKNSVPAPRLISSSELEEFRPLIQIRRDQDTGKVFQVLDGLLLKDGYVYKKVSPDSLSFWGVVPTEEEQLKFGSSENNESNDMEWLSNIYGDTKKKRVITADKGDGKGEGSSGSGKDFELFALVCFRKKDFGVIISTDKDGTYKILREGSEGPAAVTVERNEIKSALCDLKLSAQDLHNKTIIVNDNVKVLEGPSQGKQGVVRHIYRGIVFVYDGNEEENGGYFTAKAIMCEKVKLAVGDFSGTGKDGEPGPLVFDDQPSSPRSPLSPKKPWETRQSDREFNRGDSDSLFAIGQTLRIRIGPLKGYLCRVTGIRRSDVTVKLDSQQKFLTVKSEHLSVVQGKSTAVSTSDDPDSSSLKPFDLLGGAEGGSGGWMNNNAGTSTGGGGWNAGETSTGGGGWNAGQTSTGGGGWNAGETSAERSAWSNTSAPSSVPGSTSDPPNSHGNAEDNGWGTKNTSTPKPSWGAAENTGVTSEPDQSGGWGKDVGSSGQAELNGNQNTAWGTKSTSAPKPSWGATVNTGTTSEPDQSGGWGKGGGSSGQADLNDNQNTSWGIKSTSTPKPSWGAAVNTGTTSEPDQSGGWGNGGGSSGQAELNDNQNTSWGSKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKGGGSSGQAELNDNQNTSWGTKSTSTPKSSWGAAVNTGTTSEPDQSGGWGNGGGSSGQTELNDNQNTSWGSKSTSTPKPSWGAAVNTGTTSEPDQSGGWGNGGGSSGQAELNDNQNTSWGNKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKGGGSSGQAELNDNQNTSWGNKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKKSEWSSGNSGSQASDVNKSKWNSGNSDPQSQNSNWGKNNWNSSSGGASEGTNWSSNEGQNETSDDGGGGRGGYRGRGSSDRGGFRGRGFRGRGERGGFGGRGRSDNEGFGGRDRGFGGGRGRGWNNSRDSGEDGSSGWKNNSGSGAWNQSSGEKKHGGSWSHENADKERSSNAGGGSNKPWQSWTSASGGASGGWNSDGPGQGTENKGGAGWAKGTDSGAGWTKGTDSGAGWAKGTDSGAGWAKGTDSGADWAKGTDSGAGWAKGTDSGAGWAKGTESAPDNGQPSSWNESAANGAAGSWGKKNDGGSKGGW >CAK8540696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16306946:16308684:-1 gene:gene-LATHSAT_LOCUS9675 transcript:rna-LATHSAT_LOCUS9675 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKTMFCSSLSSSFKFKSKSTLSLLPPSSLLHLHHHHHKSTSRCYVSSQTAVVSSIAEPQTEEPQSKDKIQNILTNGEGVTAIMKMNRKPLLHEPQARWFPYLDSFSCGNGCELTSDEVIEAVDSCVSESRKLRFGNAVRNRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVVSSEANKRYKDNRHVSMGAEKWLDIELWDSTKECFETLKSRGYRIATTHVGIDAVSIYDMDWSCPTAIVVGNENRGISDEALAMSDLHCSIPMKGMVDSFNVSVAAGILMHHAVCDRISRTGQHGDLTVEERQILLAEFSLRHSNSVISVVEHYAKRKAALLT >CAK8575157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41641214:41643986:-1 gene:gene-LATHSAT_LOCUS27443 transcript:rna-LATHSAT_LOCUS27443 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLTVIKNMKKRYEKSKSQSGSRNEKLSTVLPQLQASRSLHFNPRTPKTSGKSPNPVTRVTNNRTRALSAPSALEAAEQEALASIEQEEREMPKTLARLMWETRVASPVPTPLPLPLPPPPRRGPSKLRMTSDPFYASGSGIDTAERDGFETFQYEARKWSKKRDRSVKKPHPATATPLPLPPIGRYPYKATTSSSKSGTVTDSVRFFLYEEIVAACHNFRWDRCMSECFSYTLYKASFFHEASCKKLKATVARLHPSTQDLREFMNEVSSLSKLQHPNICKLLGFYASDTSETRMLVYERLSNGSLDRLLFGRSDGFSIDWNTRMKIAFSAAQGLSFLHEEGPFKAMYNDFSTANIQIDLDFNAKLSGYGFVGHNAEERFSRSSSAARNLSVETMKKGKLTPKSNVWSFGVVLLELLTGRKNFDLNLPKKDRNLVRWCQPFLADNLFVIMDSQLEGRFSPKAARIVAGVAQRCLQKEPTERPTMRAIVENLKILIDMQYPRWFPLHEPAAVYRRQLAKSPSVDGISSSYGRSLGFSPPWLPSIRASTSHPPRWSSVPVALPPPLAPSSNVITEEIIREESTKQSSSVSEKA >CAK8544723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702233927:702234820:-1 gene:gene-LATHSAT_LOCUS13378 transcript:rna-LATHSAT_LOCUS13378 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNRAILEYRDKPIITLLEGIKHYLTKRISNQKELMVKYASNVCPRVQLALKKNKKFAKSWSPTWYGDDDMVIFGVTNGIETYCVNIKEGTCACRKWDLTGIPCSHAITCIWHNKKHPEESISEYYRKTTFQNTYSHIIYPTNGPQLWHVDGTLIVNPPVMIKAIGHSKNLRNKSNDEPKNPYVFPRKITTVTCTKCGSMGHNKITCKGKRSADGIMPKGGNKKQKITKGRSKGNGKGKGNDKRKKTQTASQPTQEVGSCSQGPPATQD >CAK8567666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534624991:534625663:-1 gene:gene-LATHSAT_LOCUS20698 transcript:rna-LATHSAT_LOCUS20698 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIQVPPFSTQVPPFSTQDGTEKEVRVVVKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAASFWLRIAASYNQYRRQLREKLGGQLKCRWHRINDMVQKFVGCYKIALKGKKSGTSETDVMADANAIFAQDQVGHHRRTQIQLQVMSLTHHHQWDKKQQKGRVRHQKFQMQRKMQRIKEQ >CAK8578811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655695329:655696765:1 gene:gene-LATHSAT_LOCUS30795 transcript:rna-LATHSAT_LOCUS30795 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKLLLFSSKHRSTREALALLLPRCLPSNTIQSVRYTNSTKRGKPRHDLHPVFPAQSENSRSWGAYTASAVALGFAGIAAFFHYNDERRAVPKGYAGDSRNRNVVSGPIIGGPFTLVNKEKQTVTERNFLGNWILLYFGYTSSPDIGPEQVHLMAKAIDILESQQNLKILPVFVTIDPQRDTPSQLGAYLEEFNSRIIGLTGTVTAIRKMAQEYRVYFKKVEEDGADYLVDSSHNMYLLNPDMEVVRCFGVEYNAEQLTDAIGKELNKMPL >CAK8533002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564464867:564467085:1 gene:gene-LATHSAT_LOCUS2655 transcript:rna-LATHSAT_LOCUS2655 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDVSNEPKHDDAFNTFFSEIGTGQYVPRALFVDLEPTVIDEIRTGPYRQLFHPEQLISGKEDAANNFARGHYTVGKQVEELCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHSHIEHSDVVVLLDNEAIYDICRRSLDIERPTYTNLNRLISQSISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEALSVPEITRAVFEPSSMMVKCDPRHGKYMACCLMYRGDIAPKDVNVAVSNIKTKRTVQFVDWCPTGFKCGINFQPPTVVPGGDLAEVKRAVCMISNNTAVSEVFNRIDHKFDVMYAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYDEVGEDGVDEQVEDY >CAK8532021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:193992828:193993227:1 gene:gene-LATHSAT_LOCUS1766 transcript:rna-LATHSAT_LOCUS1766 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSESKKYTDYGKGIWVFYQVYMDVIDKDIATYDHNPRDAYIWQSYDVQGTRRSALAAGSVIVFRASI >CAK8570961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:197589116:197591213:-1 gene:gene-LATHSAT_LOCUS23665 transcript:rna-LATHSAT_LOCUS23665 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCAVSDCKLIDFLPFTCDRCNQVYCLEHRRYIKHQCTKADKQDVTVVICPLCAKGVRLIPDQDPNITWENHVNTDCDPSNYEKATKKKKCPAAGCKEILVFSNTIKCRDCMVGHCLKHRFGPDHKCPGPKKLESSFSFTNLMNRNRKQESKTNSSSTSSSSKWTTSFLNAASNIRASAEAGISKLSGEINQAWGISSDVGGKSSSSGQAEQCPQCGAKFSSVTSLVDHVRKVHERSANRSSEKVTIDACPKCSKGFRDPVSLVEHVEREHGGTSRA >CAK8538780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496247141:496247674:-1 gene:gene-LATHSAT_LOCUS7942 transcript:rna-LATHSAT_LOCUS7942 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLEGMKGKGGSIKLGTIGTISSLMTRELDQISLEPQKQKVSSRSKPRTLHVSVPCSSSSSTGTSANPKRLQPRKSSDEASGNGSGSGSSKNTNHRTKANSSSTSRNTHRIPMLGSADHFSVDRTPIREKKNDKKKPNIVEVVDIKCGNAEKTWATPLATRLKKLGFSKLSESII >CAK8544432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683791573:683796975:-1 gene:gene-LATHSAT_LOCUS13111 transcript:rna-LATHSAT_LOCUS13111 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSFPSSIEKKSRPPKESQRKPDGISREVYALTGGVPPLMPAVDASQLKKKPPTDEKVTWQWLPFTNSARKDDLNLYHWVRIVNGVLPTGDYSFAKYNKSVDIIKYTDEEYEKYLTDPTWTKEETNELFDLCERFDLRFVVIADRFTSSRTVEELKNRYHSVSRAILLARATSSGDVATHPLIKEPYNVSQEMERKRALSMVLSQTRQQEKRDEEVLIEAKRIAELRVTSKVTEQSQTAVASNADAGVTERAVPGETISPSNVQLPPMVVPPLADNASTLASLRMLRVYLRTYALDQMVQASNSSAGLRTIKRVEQTLQDLAVNLKPRVPTKAVCAEHLELRREILTWLNLQKQVQYKEAEGSSFRDGSYGETPGTPKDRTFIPDSLNFGVSGERVSKKDQKRKAHGAPPTAAHKRPRKQKASDL >CAK8578507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634022956:634023402:1 gene:gene-LATHSAT_LOCUS30512 transcript:rna-LATHSAT_LOCUS30512 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQNTFNIIFCLFIFPTIATDIHDLLPDYGFPKGILPNNIASYTISPSGHFTVHLDFPCYVRFSDQLVYYNTLITGTLTYGSVSGVSGIQAKMLFVWLPVTGMEVDSRSGMLEFFVGALSKKLPANQFQNVPGCSSKAGAYFVDLIM >CAK8566136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384311121:384312154:1 gene:gene-LATHSAT_LOCUS19297 transcript:rna-LATHSAT_LOCUS19297 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGIPDPKIRIYDAGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMSKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKSGNGQHAQEALRRAKFKFPGRQKIIVSRKWGFTKLDQEEYLKLKSENRIVPDGVNAKVLGCHGPLANRQPGRAFLPMSA >CAK8571732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465957290:465958816:1 gene:gene-LATHSAT_LOCUS24366 transcript:rna-LATHSAT_LOCUS24366 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTLESQQVNEVENAFAQQVGSSLIKRFLDVDLDNLPIDPGERNQMACYHPNDRDEIRRAYLQKGLCQPKDHNFPQRQFGTSLRKFNPYWFLEFGSWLEYSVSKDVVFCLCCYLMRHEIGEHKGWDAFVTEGFSNWKKKDRLNVHVGGPNSAHNQAWRKCNALMNKKQHIEVAINKQSDLIKREYRIHLTAIVDYIRLLLKLGLAFRGDDESVDSKNKGNFLEILQFLCSHNEDIDKVLKKSRGNLKLVSPSIQKDIVKVVASETTKVIIDDLNNDLFSILIDESRDVSVKEQMAVILRYVHKKGCVIERFLGIVHVANTSVLSLKLALESLLGKYNLSFSRVRGQGYDGASNMQGEFNGLKSLILKENKCAFYVHCFAHELQLALVAVAKKHDDIAWFFLVVNNLSNVIGASCKRRDILRESQILKVMEALESGETLTGRGLNQKTTLTRSRDTRWGSHYGTLLRLVSLFPSVCDVLDMILKDSLSAEKRVETRQLLNTL >CAK8537006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:54775012:54777036:-1 gene:gene-LATHSAT_LOCUS6326 transcript:rna-LATHSAT_LOCUS6326 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMSLLLKYQLFTFIFTIIFIPLTKVSCLYFNFPTFQPSDESKLILSKNSKIYLDAIQITPDIRGEIKNYSGRAFYNKPYTLWNKKNNKIASFNTTFVLNISPQTSPGGEGIAFILTADTALPENSQGEWFGIVNASTNGTSRPGILAVVFDTRQSSTQDSPHNHVGININSISSLKEASLSNTKVNLSSGINVTLRIQYSNDIISVFGSMSENSLDSMETLLVSPPLNLSSYLNQEVYVGFSASTSNYTQLNCVKAWEFNGLDIGDDKKFLVLICIVVPVVIIVCGLVFFLVYFRRNKNTEISEDAYPRIEDQIQHSSMAPKKYELKELIKATGAFSHQNKLGQGGFGTVYKGVLGNNKEIAVKRVSKNSRQGKQEFIAEVTTIGSLHHKNLVKLIGWCYEKKELLIVYEFMPNGSLDKYLFNQSNDEFESRYSKLLDWNTRNSVIRGVAQALDYLHNNCEKRVLHRDIKASNIMLDLDYNAKLGDFGLARTIQKRNETHHSTKEIAGTPGYMAPETFLTGRATVETDVYAFGVLVLEVVCGKRPGNMYAEDDYKNSIVYWVWEQYGKGRIVSVVDKRISGCEKDEIEMVKLEEEVEIVLILGLACCHPNPNERPSIQAVLMVLNGEASPPIVPMERPSFVWPAMPPSSFKEGEDSSFISGTLNPFTQLSGR >CAK8540207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545215460:545215678:1 gene:gene-LATHSAT_LOCUS9235 transcript:rna-LATHSAT_LOCUS9235 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHMKLSSEMISHTIIELVNRDASLKVKVIIAHILEKYRYMISYKKAWIAKCKAIEYLYGNWETSYNDLP >CAK8565365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:152359326:152362971:-1 gene:gene-LATHSAT_LOCUS18586 transcript:rna-LATHSAT_LOCUS18586 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLSSPSHKMATLFFFLLLVVSFFPSISSLSLKNQASTLVSMKQDSELSKTSPSCLNTWNISNYISLCTWYGIQCDKNNNVVSLDISNLNVSGSLSPLITNLSTLVNLSIQGNIFHGEFPSEIHNLQSLKFLNISNNMFSGNMIWEFNKMKELEVLDVYNNGFHGSLPHGVTQLLRIKHLNFGGNYFSGKIPQSYGEMKLLNFLSLAGNDLSGFLPIEIGNLTSLEYLYLGYFNQFDGGIPKEFGKLINLVHLDLANCFLKGLIPPELGQLYKLDTLFLQTNQLTGLIPSELGNLSRLNALDLSLNNLTGGIPDEFSNLRELSLLNLFINKFHGEIPDFVSELPKLEVVKLWRNNFTGVIPPKLGQNGKLTEVDLSTNKLTGVLPKSLCLGKRLKILILLNNFLFGSLPNDLGECFTLQRVRIGQNYLTGSIPHGFVYLPNLSLLELQNNYLSGVVPQGRGKNTTSKLEQCNLSNNRLSGALPTSIGNFPNLQILELSGNRFSGEIPSDIGKLENILKFDISINNFSGTIPIEIGKCTLLTYLDLSQNQFSGPIPIQLAQIHILNHLNVSWNHLNQTLPKELGALKGLTSADFSHNNFSGSIPEGGQISTFKSKFFEGNPQLCGYEFNPCKLDESETQQKNSSRNGFHGKFKLLFALGLLLCSLVFASLAIIKSRKSSRDSNSWKLTAFQKMEYGSEEIVGCIKESNVIGRGGAGVVYKGTMPNGDEVAVKKLLGINNGSSSHQDNGFSAEIKTLGRIRHRYIVRLVAFCSNKETNLLVYEYMENGSLGEVLHGKRGEFLKWDVRLKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSEFEAHVADFGLAKFLQDNGNSECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKRPVGDFEEEGLDIVQWTKMKTNWNKDTVMKILDGRLAQIPLDEAKQVFFVAILCVHEHSVERPTMREVVQMLAQAK >CAK8565366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:152359326:152362929:-1 gene:gene-LATHSAT_LOCUS18586 transcript:rna-LATHSAT_LOCUS18586-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFFFLLLVVSFFPSISSLSLKNQASTLVSMKQDSELSKTSPSCLNTWNISNYISLCTWYGIQCDKNNNVVSLDISNLNVSGSLSPLITNLSTLVNLSIQGNIFHGEFPSEIHNLQSLKFLNISNNMFSGNMIWEFNKMKELEVLDVYNNGFHGSLPHGVTQLLRIKHLNFGGNYFSGKIPQSYGEMKLLNFLSLAGNDLSGFLPIEIGNLTSLEYLYLGYFNQFDGGIPKEFGKLINLVHLDLANCFLKGLIPPELGQLYKLDTLFLQTNQLTGLIPSELGNLSRLNALDLSLNNLTGGIPDEFSNLRELSLLNLFINKFHGEIPDFVSELPKLEVVKLWRNNFTGVIPPKLGQNGKLTEVDLSTNKLTGVLPKSLCLGKRLKILILLNNFLFGSLPNDLGECFTLQRVRIGQNYLTGSIPHGFVYLPNLSLLELQNNYLSGVVPQGRGKNTTSKLEQCNLSNNRLSGALPTSIGNFPNLQILELSGNRFSGEIPSDIGKLENILKFDISINNFSGTIPIEIGKCTLLTYLDLSQNQFSGPIPIQLAQIHILNHLNVSWNHLNQTLPKELGALKGLTSADFSHNNFSGSIPEGGQISTFKSKFFEGNPQLCGYEFNPCKLDESETQQKNSSRNGFHGKFKLLFALGLLLCSLVFASLAIIKSRKSSRDSNSWKLTAFQKMEYGSEEIVGCIKESNVIGRGGAGVVYKGTMPNGDEVAVKKLLGINNGSSSHQDNGFSAEIKTLGRIRHRYIVRLVAFCSNKETNLLVYEYMENGSLGEVLHGKRGEFLKWDVRLKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSEFEAHVADFGLAKFLQDNGNSECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKRPVGDFEEEGLDIVQWTKMKTNWNKDTVMKILDGRLAQIPLDEAKQVFFVAILCVHEHSVERPTMREVVQMLAQAK >CAK8573221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594196366:594197973:-1 gene:gene-LATHSAT_LOCUS25693 transcript:rna-LATHSAT_LOCUS25693 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNIKTTTSIQTHLLPIHTILSNSPPSSSSSPPPLQSPTPFPSLLSQYSPPSAAAPPPTPVVVSPCAACKILRRRCVEKCVLAPYFPPTDPLKFTIAHRVFGASNIIKFLQELPEPQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVSELQTQLAKAQAELVNMHCQQANLVALICMEMSTQSSPSSSSQDHNMIINQPQPHVDMSCFLEDNNFGSAWEPVWT >CAK8572653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553532566:553534571:-1 gene:gene-LATHSAT_LOCUS25190 transcript:rna-LATHSAT_LOCUS25190 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFVLTLIGCSSWAVCSSSSCKPININDFRPHSVSITEFGAVGDGITLNTKAFRNAIFYLNSYADKGGAKLFVPAGKWLTGSFDLISHLTLSLDNDAVILGSTNSEDWPVVDPLPSYGRGRELPGRRHRSLIYGHNLTDVIITGNNGTIDGQGNIWWGKFRNKTLNYTRPHLVELMNSTEVLISNLTFLNSPFWTIHPVYCRHVIVQNVTILAPLSSPNTDGIDPDSSNDVCIEDCYISTGDDLISIKSGWDEYGISFGRPSTNIIIRRLTGRTTSAGISIGSEMSGGVSEVHAEDINIFDSRSAIRIKTSPGRGGYVKNVYISNLTLVNIDIAFRFSGLYGEHPDDAFDPDALPVIERITIKDVIGKNITRAGLIQGIKGDNFVNICLSNITLNVSKKKPWNCSNIKGYSELVSPEACVQLNERILPDHFSDCYQLPDLVKSSSSRYRAAWLLSW >CAK8572194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519025582:519026464:-1 gene:gene-LATHSAT_LOCUS24785 transcript:rna-LATHSAT_LOCUS24785 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQWPQEIVVKPLATNTSEKKPRPEKQQAVNCPRCNSVNTKFCYYNNYSLTQPRYFCKTCRRYWTQGGSIRNIPVGGGTRKNNKVIRSSSNLVSNATKNLVPSILVSSQNQDHNKIHHEQGQDLNMDFTSVSSHSFSALELLTGMTSSTSRNFHSFMPVQLQADSNTIGVPLQDFKQVPMNFCLDGIVGNGYENEGRVLFPFEDLKQDLDQNNSKGDQQGYTTGFWNGILGGGYNGN >CAK8562227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:458976316:458977020:-1 gene:gene-LATHSAT_LOCUS15743 transcript:rna-LATHSAT_LOCUS15743 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKPNAIRTHFAAISKTIRIFELCVLLLLLSWSLTRLPLALSISADFLRKLAANPLFIFAVSNAIIAALLAQSGRFSGGNTDEHAGAGKLYREFMNSRIAASDRDLQPPSAAVESLLVAAVATEMKCQDKEVISETVPAPVVLDREGEAGSEFTNFRRSQSEKWKGEAGKMQRRKQLRRSETEKLRETAKENLYPQDKLSNEEFQRAIDAFIAKQMRFLREDSSAIVVRKTS >CAK8568598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621601243:621604730:1 gene:gene-LATHSAT_LOCUS21540 transcript:rna-LATHSAT_LOCUS21540 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGMFIGFAIGILLIIAFARQGSTRSTRRTDLAKTIARFARMTVEDSRKLLPPNFYPPWVIFARRQKLNWLNVQLDKIWPYVDEAASELIRNNVEPILEQYRPVILSSLTFSKLTLGNVAPQFTGITIIEEDSGPNGITMELDMQWDGTPDIVLDIKTKVGVVLPVQVKNIGFTGVFRLIFKPLVNEFPAFGAVCFSLREKKALDFTLKVVGGDISSLPGISDAIEETIRDAIEDSITWPVRKIIPIIPGDYSNLELKPVGTLDVKLVQAKNLANKDLIGKSDPYAVVFVRPLRDKTKTSKTISNQLNPIWNEHFEFVIEDASTQHLTIRIFDDEGIQAAELIGCAQVSLKDLEPGKVKDVWLKLVKDLEIQRDNKYRGEVHLELLYCPHGVENTFKSPFSANYTLTTFEKTLKSGTSDVEDDDIPVSSSSRPSSRPSSSRRKNSVIVRGVLSVTVISAEDLPVVDFMGKADPFVVLSLKKSEKKVKTRVVNETLNPVWNQTFDFVVEDGLHDMLIVELWDHDTFGKEKMGKVIMTLTKVILEGEYDETFILDDAKSGKINLHLRWTAQHKYREP >CAK8542048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460587555:460587842:-1 gene:gene-LATHSAT_LOCUS10922 transcript:rna-LATHSAT_LOCUS10922 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNTFNVARVMVISSCAMVINEVLRININGVEFHIKIMEDSIGPLRLMVLEKKVVTFGEEEADSGFVSSSDKEEVLVVFLDSNKDDIKEDTELE >CAK8572931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571921804:571924186:-1 gene:gene-LATHSAT_LOCUS25433 transcript:rna-LATHSAT_LOCUS25433-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKSGSELTLADPVLLHTKIAAIRSAGPQKLQVIADFDATLTKFWVNGTRGQSSHGLLQQDNPEYNAKRQQLYEYYHPLEFSPTLELEEKRKLMEEWWGRTHGLLMEGGLTYESIKQSVANGNIAFREGVSELFEFLEEQDIPVLIFSAGLADIIEEVLRQKLNRSFKNVKIVSNRMVFNADGQLVSFKGKLIHSLNKNEHALDMAAPVHEHFGDIDSPTDDNDLLKKRTNVLLLGDHTGDLGMSDGLNYDTRISVGFLNHNVENSLSIYKEAFDVVLVNDAPMWEVIKLVSQMCSSEK >CAK8572930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571921804:571924390:-1 gene:gene-LATHSAT_LOCUS25433 transcript:rna-LATHSAT_LOCUS25433 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLHFQSILTPNFLPRHFSHYTPRVSFSTFSSGEMEDVKSGSELTLADPVLLHTKIAAIRSAGPQKLQVIADFDATLTKFWVNGTRGQSSHGLLQQDNPEYNAKRQQLYEYYHPLEFSPTLELEEKRKLMEEWWGRTHGLLMEGGLTYESIKQSVANGNIAFREGVSELFEFLEEQDIPVLIFSAGLADIIEEVLRQKLNRSFKNVKIVSNRMVFNADGQLVSFKGKLIHSLNKNEHALDMAAPVHEHFGDIDSPTDDNDLLKKRTNVLLLGDHTGDLGMSDGLNYDTRISVGFLNHNVENSLSIYKEAFDVVLVNDAPMWEVIKLVSQMCSSEK >CAK8567114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488664194:488670568:-1 gene:gene-LATHSAT_LOCUS20197 transcript:rna-LATHSAT_LOCUS20197 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIHYLFFCQIPMPPIPICSSNHASSTFFSYSIHSLRRYNFSTTINVSPISSFRVFCQTQSKTEKTEIRRFSPLLESSGNGAVALEDWKAVPDIWKTSAENFGDKIALIDQYHDPPSTMTYKQLEDAILDFAEGLRVIGVKPDEKIALFADNSCRWLVADQGMMAIGAINVVRGSRSSVEELLQIYNHSESVALAVDNPEMFNQIAKPFYSKTSIRFIILLWGEKSSLVSEGNKEVSIYTFMEVIHFGRESRRALSESHDARQQYMFETIKSDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKHLSDVVPTKVGDRLLSMLPPWHAYERACEYFIFSRGLEYIYTTVRNLKDDLGLYQPHLMVSVPLVFETLYSGIMKQVSTSSLFRKLVALTFIRVSLRYMEYKRVYEGKCLTKNQKSPSYLYAMLDWLWARIMAAVLYPVHMLANKLVYSKIRSTIGISTAAISGGGSLPSHVDKFFEAIGVNLQNGYGLTETSPVIAARRHGCDVIGSVGYPLKHTEFKVVDSETGEVLPPGSKGILKVKGPQLMKGYYKNPSATNQAIDRDGWLDTGDIGWIAPRHSAGRSRNSGGVIVVEGRAKDTIVLSSGENVEPGELEEASMRSNLIQQIVVIGQDKRRLGAVIVPNKEEILKTARELSIIDSNSSDVSQEKVTRLIYNELKTWTSGFPFQIGPILLVNDPFTIDNGLMTPTMKIRRDRIMAQYRDQIENIYK >CAK8567115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488664194:488670529:-1 gene:gene-LATHSAT_LOCUS20197 transcript:rna-LATHSAT_LOCUS20197-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPICSSNHASSTFFSYSIHSLRRYNFSTTINVSPISSFRVFCQTQSKTEKTEIRRFSPLLESSGNGAVALEDWKAVPDIWKTSAENFGDKIALIDQYHDPPSTMTYKQLEDAILDFAEGLRVIGVKPDEKIALFADNSCRWLVADQGMMAIGAINVVRGSRSSVEELLQIYNHSESVALAVDNPEMFNQIAKPFYSKTSIRFIILLWGEKSSLVSEGNKEVSIYTFMEVIHFGRESRRALSESHDARQQYMFETIKSDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKHLSDVVPTKVGDRLLSMLPPWHAYERACEYFIFSRGLEYIYTTVRNLKDDLGLYQPHLMVSVPLVFETLYSGIMKQVSTSSLFRKLVALTFIRVSLRYMEYKRVYEGKCLTKNQKSPSYLYAMLDWLWARIMAAVLYPVHMLANKLVYSKIRSTIGISTAAISGGGSLPSHVDKFFEAIGVNLQNGYGLTETSPVIAARRHGCDVIGSVGYPLKHTEFKVVDSETGEVLPPGSKGILKVKGPQLMKGYYKNPSATNQAIDRDGWLDTGDIGWIAPRHSAGRSRNSGGVIVVEGRAKDTIVLSSGENVEPGELEEASMRSNLIQQIVVIGQDKRRLGAVIVPNKEEILKTARELSIIDSNSSDVSQEKVTRLIYNELKTWTSGFPFQIGPILLVNDPFTIDNGLMTPTMKIRRDRIMAQYRDQIENIYK >CAK8560663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34960567:34960833:1 gene:gene-LATHSAT_LOCUS14321 transcript:rna-LATHSAT_LOCUS14321 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKVVFYSKDCFVKDPNIRHEGGEVYALSGQDPDYWSFFEAYDLVNLIEPEFDIGCVKMWWKHDEGSFEQDLKPFRDDGNAYELAM >CAK8569346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695793077:695796354:1 gene:gene-LATHSAT_LOCUS22207 transcript:rna-LATHSAT_LOCUS22207 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTNFWILSFLLFLIASPLLQVVRCQSDEAAENAGEVNDIGIVGDETDDAQDFADGGFPSAPGIDTICVFPKNIARLVKGGEEAELLVGLKNDGHSSLNVVAIRASVHLPFDRHLLVQNLTVQVFNNGSVPSLAQATFPYIFSVSKFLQPGPFDLVGTIVYEIDQHPYQNTFYNGTIEVVEPGVLFSMESAFLFTLGTALLVLLGLWINGQIQNLKKPKRSSKVEVGTKTTDASMDEWLEGTAYTRSTSSKSKKK >CAK8543455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608843126:608853888:1 gene:gene-LATHSAT_LOCUS12211 transcript:rna-LATHSAT_LOCUS12211 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTTDRSGVRVVVAGDLGTGKSSLIAAIASDAFPETVLPVLPPTLLPADFFPDYVPLTVIDTSSRLEKLNKRNEELKGADVVVLTYACNDSHSFSRLSSYWFRELQKLKVTVPIIVVGCKLDLRDESQQVSLQRVLEQLLLEFKNVATCIECSAATLYQVPEVFYFAQKAVLHPVDPLFDHENQALTDQCVRALRRIFVLCDRDMDDALNDAELNDFQVRCFNAPLQSSETADVKTVVQQKVPEGINSHGLTFPGFMFIHSMFLRKGHPETLWAVLRNFGYDNDLKLKDEFLPVPAKIAPDQSVELTGEAVEFLNGIFRLLDTDKDRALRPAEVDKLFCTAPESPWNDAPYSDAAERTDMGYISLNGFLSQWAFMTLLDPQCSLANLIYIGYSGNPAAALRVTRRRTVDRKRQATERNVFQCYVFGSKNSGKSALLDALLRRPFSNNYTPTTVEKFAANGIDLIGGTRKTLVLREIPESEVLKVLSNQDYLAACDVAVFVYDSSDEYSWKKSRDMLEKVAGQGDLTGYRIPCLLIAAKDDLTPYPRAVQDSVKVTQELGIEAPIHVSMKLGDSSNMYHKIVSAAEHPHLSIPETEIGKKRKQFHRIVQNSLIFASVGTAMAIVGLAACRAYAVKRNSST >CAK8571231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:344448607:344473160:1 gene:gene-LATHSAT_LOCUS23910 transcript:rna-LATHSAT_LOCUS23910-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLPITAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSENAAAYLETQQIKAKEQQLQMQQLQLMRHAQLQRRDPNHPPIGSPLNAIATEGVLGQSTATALAAKMYEDRMKNSNPMDTETSQPLLDARMALLKSTNHPGQMGQGNSVNVTAALQQMQHDIKSEVNMGNMQRSLPMDPSSIYGQGGMQSKSGITNAGLNQGVGGLTLKGWPLTGIEQIRPGFGAQVQKPLLQSANQFQLLPQQQQQQLLAQVHAQGNIGNSQVYGDMDPQRLRGLSRGGLNLKDSQPIANDGSIGSPMQSTSSKQINMPQIQQSISQQQQDPLHPQQLGQNNRKRKGPTSSGAANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVTGVSKSLMMFGTEGAGGLASSTNQLDDLEPFGDVGSLDDNVESFLSQDDGDGKDLFGTLKRNPSEHATDASKGFSFNEVGSVRKSNGKVVCCHFSSDGKLLASAGHDKKVVLWNTETLQTQSTPEEHTVIITDVRFRPNSTQLATSSFDTTVRLWDAADPSFSLQAYSGHSSHVASLDFHPKKNDLFCSCDDNSEIRFWNINQYSCTRVFKGGSTQVRFQPRSGHLLAAASGNVVSLFDVETDRKMHSLNGHSADVHCICWDTNGDYLASVSQESVKVWSLASGDCIHELNSSGNMFHSCVFHPSYSNLLVIGGYQSLELWLMAENKCMTIPAHEGVISALAQSPVTGMVASASHDKSVKLWK >CAK8571229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:344448607:344473160:1 gene:gene-LATHSAT_LOCUS23910 transcript:rna-LATHSAT_LOCUS23910-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLPITAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSENAAAYLETQQIKAKEQQLQMQQLQLMRHAQLQRRDPNHPPIGSPLNAIATEGVLGQSTATALAAKMYEDRMKNSNPMDTETSQPLLDARMALLKSTNHPGQMGQGNSVNVTAALQQMQVRTQQIPHDIKSEVNMGNMQRSLPMDPSSIYGQGGMQSKSGITNAGLNQGVGGLTLKGWPLTGIEQIRPGFGAQVQKPLLQSANQFQLLPQQQQQQLLAQVHAQGNIGNSQVYGDMDPQRLRGLSRGGLNLKDSQPIANDGSIGSPMQSTSSKINMPQIQQSISQQQQDPLHPQQLGQNNRKRKGPTSSGAANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVTGVSKSLMMFGTEGAGGLASSTNQLDDLEPFGDVGSLDDNVESFLSQDDGDGKDLFGTLKRNPSEHATDASKGFSFNEVGSVRKSNGKVVCCHFSSDGKLLASAGHDKKVVLWNTETLQTQSTPEEHTVIITDVRFRPNSTQLATSSFDTTVRLWDAADPSFSLQAYSGHSSHVASLDFHPKKNDLFCSCDDNSEIRFWNINQYSCTRVFKGGSTQVRFQPRSGHLLAAASGNVVSLFDVETDRKMHSLNGHSADVHCICWDTNGDYLASVSQESVKVWSLASGDCIHELNSSGNMFHSCVFHPSYSNLLVIGGYQSLELWLMAENKCMTIPAHEGVISALAQSPVTGMVASASHDKSVKLWK >CAK8571230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:344448607:344473160:1 gene:gene-LATHSAT_LOCUS23910 transcript:rna-LATHSAT_LOCUS23910 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLPITAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSENAAAYLETQQIKAKEQQLQMQQLQLMRHAQLQRRDPNHPPIGSPLNAIATEGVLGQSTATALAAKMYEDRMKNSNPMDTETSQPLLDARMALLKSTNHPGQMGQGNSVNVTAALQQMQVRTQQIPHDIKSEVNMGNMQRSLPMDPSSIYGQGGMQSKSGITNAGLNQGVGGLTLKGWPLTGIEQIRPGFGAQVQKPLLQSANQFQLLPQQQQQQLLAQVHAQGNIGNSQVYGDMDPQRLRGLSRGGLNLKDSQPIANDGSIGSPMQSTSSKQINMPQIQQSISQQQQDPLHPQQLGQNNRKRKGPTSSGAANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVTGVSKSLMMFGTEGAGGLASSTNQLDDLEPFGDVGSLDDNVESFLSQDDGDGKDLFGTLKRNPSEHATDASKGFSFNEVGSVRKSNGKVVCCHFSSDGKLLASAGHDKKVVLWNTETLQTQSTPEEHTVIITDVRFRPNSTQLATSSFDTTVRLWDAADPSFSLQAYSGHSSHVASLDFHPKKNDLFCSCDDNSEIRFWNINQYSCTRVFKGGSTQVRFQPRSGHLLAAASGNVVSLFDVETDRKMHSLNGHSADVHCICWDTNGDYLASVSQESVKVWSLASGDCIHELNSSGNMFHSCVFHPSYSNLLVIGGYQSLELWLMAENKCMTIPAHEGVISALAQSPVTGMVASASHDKSVKLWK >CAK8571228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:344448607:344473160:1 gene:gene-LATHSAT_LOCUS23910 transcript:rna-LATHSAT_LOCUS23910-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLPITAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSENAAAYLETQQIKAKEQQLQMQQLQLMRHAQLQRRDPNHPPIGSPLNAIATEGVLGQSTATALAAKMYEDRMKNSNPMDTETSQPLLDARMALLKSTNHPGQMGQGNSVNVTAALQQMQHDIKSEVNMGNMQRSLPMDPSSIYGQGGMQSKSGITNAGLNQGVGGLTLKGWPLTGIEQIRPGFGAQVQKPLLQSANQFQLLPQQQQQQLLAQVHAQGNIGNSQVYGDMDPQRLRGLSRGGLNLKDSQPIANDGSIGSPMQSTSSKINMPQIQQSISQQQQDPLHPQQLGQNNRKRKGPTSSGAANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVTGVSKSLMMFGTEGAGGLASSTNQLDDLEPFGDVGSLDDNVESFLSQDDGDGKDLFGTLKRNPSEHATDASKGFSFNEVGSVRKSNGKVVCCHFSSDGKLLASAGHDKKVVLWNTETLQTQSTPEEHTVIITDVRFRPNSTQLATSSFDTTVRLWDAADPSFSLQAYSGHSSHVASLDFHPKKNDLFCSCDDNSEIRFWNINQYSCTRVFKGGSTQVRFQPRSGHLLAAASGNVVSLFDVETDRKMHSLNGHSADVHCICWDTNGDYLASVSQESVKVWSLASGDCIHELNSSGNMFHSCVFHPSYSNLLVIGGYQSLELWLMAENKCMTIPAHEGVISALAQSPVTGMVASASHDKSVKLWK >CAK8564762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8891640:8892203:-1 gene:gene-LATHSAT_LOCUS18032 transcript:rna-LATHSAT_LOCUS18032 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFSFLFLLITLVSIATSTATNFIKTSCSTTQYPTLCVESLTVYASTIQQDPHQLVQTALSLSLNRTQTTKAFVRKCKYFKNLKPRVYAAIHDCVEVISDGVDRLNSSLKELKLCNINGQDFNWHISNVETWVSSALTDGSTCSDGFGGKALDGRIKTSIRSRMVDVAQVTSNALSLINQYAANH >CAK8576591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496286580:496287689:-1 gene:gene-LATHSAT_LOCUS28767 transcript:rna-LATHSAT_LOCUS28767 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKLIKMAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPQIEEVNIFKDDVVIQFSNPKVQASIAANTWVVSGAPQTKKLQDILPSIIHQLGPDNLENLKKIAEQFNKQVPEAGAGTATAQEENDDDDVPELVPGETFETAAEESKDS >CAK8578825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656988950:656991604:-1 gene:gene-LATHSAT_LOCUS30808 transcript:rna-LATHSAT_LOCUS30808 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFFPKPAGLHFWGKDVPSFGSSIISGKSISMRFISNASHHKLHPVLASISHASVLDHSSTESTLILIRHGESMWNEKNLFTGCCDVPLTRRGVEEAIEAGKRISYIPIDLIFTSGLIRAQMTAMLAMTQHIEKKVPVIVHNESEQATKWSGVYSEKTTKQSIPVITTWQLNERMYGELQGHNKKETAEKYGKDQVYEWRRSYDISPPNGESLEMCSRRAVAYFKDFIEPQLKSGKHVMVAAHANSLRSIIMYLDGLTSQQVTSLELSTGIPLLYIYKEGKFNSRGSPVGPTEAGVYAYTQSLALYRQQLDDMSH >CAK8565505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249104227:249112291:1 gene:gene-LATHSAT_LOCUS18715 transcript:rna-LATHSAT_LOCUS18715 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEHNVAKAEEFKVLANEAFKDRKFSHAIDLYTQAIELNSQSAVYYANRAFAHLRLEEYGSAILDATKAIEVDPKYSKGYYRRGAAHLGLGKFKEALKDFQQVKKMCPNDPDATKKLKECEKAVMKLKFEEAIAVPGSVKRPIAESVDFRSIDVEPQYSGARIEGDVITLDFVKKMMDDFKKQQCLHKRYAFQIVLQTKEMLQALPSLVDITVPNGKRFTVCGDVHGQFYDLMNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSEIRSINRFCEPPEEGLMCELLWSDPQPIPGRGPSKRGVGLSFGADVTKRFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIITFASVPHPDVKPMAYANNFLRMFS >CAK8579294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689950093:689950956:1 gene:gene-LATHSAT_LOCUS31249 transcript:rna-LATHSAT_LOCUS31249 gene_biotype:protein_coding transcript_biotype:protein_coding MIWDFIWVLIFTSCCCSLVHSLNNTASESINSQVQDFAFRSMARHRHQTGALYDTLLPRNLSGMDVSVVRLRSRRLWNEGCNFSYFRIPPRTVAIPRVRRVAIAYQNLGNWSSHYYNLPGYSLISSVVGFMVFDASNVRDKSFRNLTLNTMGQPISIQFPNVIFMSGINSKARCVAFNENGTFQLTMMSSPGVCHSRDQGHFSVVLPLEKRQRWYIWVTGCALGFFGLIILGYVGFSSMRILKTKKIEAMEKQAIEDMVLESRWVGDSKMPSATVTRTQPDLENIAL >CAK8567956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559130112:559132159:1 gene:gene-LATHSAT_LOCUS20962 transcript:rna-LATHSAT_LOCUS20962 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEKRLNELGYKQELRREMTMFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVCFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLVAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIAFIDIISIWWQVIGGAVIVIMLPLVALTTQSASYVFTNFELAPNTTGISSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPKAILGSLAIISVFGWAYILALTFSIQDFAYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDNGVPFSFLWRKLHPKHKVPTNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMPEKNFKAGPFYLGKARRPICLVAFLWICYTCSVFLLPTFYPITWDTFNYAPVALGVGLGLIMVWWVLDARKWFKGPVRNIDAQNDKV >CAK8571630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:451898463:451899991:1 gene:gene-LATHSAT_LOCUS24272 transcript:rna-LATHSAT_LOCUS24272 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTDRRARFLIKGDDMVYDELKKPEEERKALPVDEDLPGMGQHYCLHCDRFFANVAVRDDHFKTKKHRRRVKQMMGDAPHTQLDAELAAGMGMPDNGPKLMSM >CAK8534158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:700555639:700556439:-1 gene:gene-LATHSAT_LOCUS3725 transcript:rna-LATHSAT_LOCUS3725 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTHCNLVSLFSHIFLLFIISYPSNTLSQDPTSSSPTIAPCTSNLLPLIPCIPFMQGAVSAPASDCCSNLKQLYGQEPHCICFLLDDTAFTSFPINKTLALQIPSLCNLQVNNSVCPGVKTHAPPPSSSPDSQVSSETKNNSTVAASPAFSVPPRTSIMGFGFASEAINLKAKNAIMVIMNITFFMFILVP >CAK8542956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562397527:562405732:1 gene:gene-LATHSAT_LOCUS11751 transcript:rna-LATHSAT_LOCUS11751 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAREFSAALFSCSKPHVSLFQRLFLRRKTSKIFVKGLAFSTTKEKLAEDFSQYGNVLKADIVLNKAKNRSKGFGYVTFSEEEEARKAQIDMNGKILHGRVLYVDMDPPDEQKKTYMHATKNENANNADVHMD >CAK8574015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654064435:654064965:1 gene:gene-LATHSAT_LOCUS26407 transcript:rna-LATHSAT_LOCUS26407 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTTKSGGGGGSGGTTRFLVKTYQMVEDSYIDNIVSRSQSNNCFMIKDPDDCVSNLSKYFRHNNFSSFVRLLNTYGFHKINMINGSFLMSTF >CAK8571755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:468480125:468484354:-1 gene:gene-LATHSAT_LOCUS24389 transcript:rna-LATHSAT_LOCUS24389 gene_biotype:protein_coding transcript_biotype:protein_coding MENVPESSSKGTKRRRTESSDAGNTSSSSLENDLTFSDTLIALRIMRAQFPQILNVSVEPFILKSQLYSSVKDRTQVDRELESFRRDKVLRLFKLNTGQDDHAVMFLDDYLKQIDRVFKRMEGKTGAEFEVFEWFKTHVLDSKLETGIEHQELCSLLSLGGKVKDSHISLLINAGVLTRQLIDPNMYWIAIPNIGSLLKGLVQGRKEIISLLSRRQYKEMMLAVLEKKRLRMSPLDMRFHLRDLIGSGHLRSDQTPVGIIIRVSKD >CAK8563185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581280199:581280357:1 gene:gene-LATHSAT_LOCUS16619 transcript:rna-LATHSAT_LOCUS16619 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSNTTDYQVNNNYELPRSLFETGHQFWNSTPGSCGIAMYNENGYHRVSR >CAK8572746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559841620:559842063:1 gene:gene-LATHSAT_LOCUS25275 transcript:rna-LATHSAT_LOCUS25275 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITASAYKGLKEYWERKGYKRINGSGHRRRTNKVELGATQTRKGRFWRWKIKLSPKIRINRIPSPKKLLIRARDVYVNMMMGLANSRVMTVSGSAGGFGGTLSTGPTGDVGFKRAPPKEYDEKTIIQIYKSILMTHGNLEVACRQ >CAK8536694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7792258:7793770:1 gene:gene-LATHSAT_LOCUS6029 transcript:rna-LATHSAT_LOCUS6029-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNNTIQLEESTDLASDRKAEVKAFDDSKVGVKGLVESGVSKIPRIFHTGKLDIDENSACDSNLSVPIIDLQDIRINPAQRVEVIGQIRSACHEWGFFQVINHGIPITVLDEMIDAIRRFHEQDADVRKKFYTRDLKKKVVYFSNGTLFSGQAANWRDTFGFAVAPESFKPEDIPPICRDIVIEYSQQIRDLGFKIFELLSEALGLHPSYLKELNCAEGLFILDQLGGLQVLHEDKWVNVPPIHGALVVNIGDLLQLITNDRFVSVFHRVLSQNIGSRISLASFFVNSSEPIQGASKIYGPIKELLSEENPPIYKGTTIKDFLAHYYAKGLDGTSSLEHFKL >CAK8536695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7792258:7793770:1 gene:gene-LATHSAT_LOCUS6029 transcript:rna-LATHSAT_LOCUS6029 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNNTIQLEESTDLASDRKAEVKAFDDSKVGVKGLVESGVSKIPRIFHTGKLDIDENSACDSNLSVPIIDLQDIRINPAQRVEVIGQIRSACHEWGFFQVINHGIPITVLDEMIDAIRRFHEQDADVRKKFYTRDLKKKVVYFSNGTLFSGQAANWRDTFGFAVAPESFKPEDIPPICRDIVIEYSQQIRDLGFKIFELLSEALGLHPSYLKELNCAEGLFILGHYYPPCPEPELTMGTSKHTDTDFITLLLQDQLGGLQVLHEDKWVNVPPIHGALVVNIGDLLQLITNDRFVSVFHRVLSQNIGSRISLASFFVNSSEPIQGASKIYGPIKELLSEENPPIYKGTTIKDFLAHYYAKGLDGTSSLEHFKL >CAK8544715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701537753:701544345:-1 gene:gene-LATHSAT_LOCUS13370 transcript:rna-LATHSAT_LOCUS13370 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDVKGAKEAVGGVNQKATNNNNDAVDFFYTSQGFQPLFTRIELSFSASDLLDMDITSKSDPMLVVYANKRDGKLEELGRTEVITNCLNPEWIEKINIAFHFEIVQPLVFHVYDIDTTYHGVSTTILKLKDQDFIGEASCNLSEIVTKQNRSLTLKLESKRENIVQRNLGTVTIHAEETVASRNVVQISFRCSRLDNKDIFSKTDPFLRVSRMVETGGSIPICKTEVIDKNLNPNWKPVSLNFQQFGSKETPLVIECFDFNRSGNHAFIGKMQKSIADLEKLYNERKGANFVVPSKRRGQDKVLKGQLFVDQYIEKEQFSFIDYISSGFELNFMVAIDFTASNGNPIQPDSLHYINASGRLNAYQKAIMKVGEVIQFYDSDKMFPAWGFGGTVQSGAVSHCFNLNGGPPGCSEVAGVEGIMAAYASALHTVRLSGPTLFGPVINMAAQMATDSLSSHNSTKYYVLLIITDGIVTDLQESINAVVNASDLPLSILIVGVGGADFSGMEVLDADSGPRLRSSTGRVAARDIVQFVPMREVQRGEISVVQALLEELPDQFLSFMRSRDIKPIHSNFS >CAK8536449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942528812:942529202:1 gene:gene-LATHSAT_LOCUS5817 transcript:rna-LATHSAT_LOCUS5817 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFVYAIAFFLILLYVPIITSSRHISHSNSRHGDGHSYHEVANMRRPMQVAGSRLPDCSHACGSCSPCKLVMVSLVCASLAEAESCPMAYKCMCHNKSYPVP >CAK8571380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395112624:395114349:-1 gene:gene-LATHSAT_LOCUS24047 transcript:rna-LATHSAT_LOCUS24047 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKKRKLKLLSDTIANSKRGATEVKNDNLELQSWSDLPAELLEIIMCSLALDDNVRASVVCKSWNSVANSVRVVNQSPWLMYFPKFGQWYEFYDPVQRKTYSLEFSELNGSRVCYTKDGWLLLYRPRTDRVFFFNPFTREIIKMPRFEMTYQIVAFSCAPTSPDCVLFTVKHVSPTVVAISTCHPGATEWTTVNYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVFDPSERTWSVLSVPPPKCPENFFAKNWWKGKFMTEQEGDVIVIYTCSSENPIIFKLDQALMEWEELKTLDGATLFASFLSSHSRTDLSGIMRNSIYFSKVRFYGKRCVSFSLDDYRYYPRKQWHDWGEQDPFESIWIEPPKDFSGFT >CAK8536103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:907130692:907132257:-1 gene:gene-LATHSAT_LOCUS5503 transcript:rna-LATHSAT_LOCUS5503 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYQQELETSRTSSSVEKTYELPDGQIITIGAERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CAK8575669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:244592612:244592860:-1 gene:gene-LATHSAT_LOCUS27912 transcript:rna-LATHSAT_LOCUS27912 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSQGILYSLHRCKTLHLVRHAQGFHNVKGDKDPKAYLSYALFDASLTPVGWKQVDNLREHVKGSGLSERIELVIVSPC >CAK8530527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27712578:27719291:1 gene:gene-LATHSAT_LOCUS385 transcript:rna-LATHSAT_LOCUS385 gene_biotype:protein_coding transcript_biotype:protein_coding MPAETLSNQNGNVVATSNSSSAPVKKSRESDRRRRRRKQKKNNKASEKTAPNANEDSDGAKENTDPKQVEDVEIDYVTETLEGMSEEFRKVIEKFAFTGAASEETDKKDEAAENAAAKKKADSDSDSDDEENEDEQKEKGGVSNKKKKLQRRMKIAELKQVSSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGELYHEGKEFEVKLREMKPGMLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPNGASFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEEEMEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRNKEPERPLYQVLEEKEEKIGAGSLLVPGHTYVVGTGTQDKSGAKRVDLLKGQKSDKVDVTLLPEELEAMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >CAK8542569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526276101:526280370:-1 gene:gene-LATHSAT_LOCUS11397 transcript:rna-LATHSAT_LOCUS11397 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAEISSPEIRTVHGEGDDQHILSRIEAIVKQIETNSTDKLPPDTATVNLRQCLTHLSQLAPLSNSLKLQIWKLSYRLWNVCVDISNTATIRSSSSTTTAENQAELRHLTADLLSIASDVTGIPSPAIKSASFYYKTGLLWHNLRKFDLAAKSFERATDLISRLDVASITDSGERKLLLDLNLARSRTAWEVRDPNLAVALLNRCKSMLSGSCEDYMELAKQFMAFGKCSLSKNSEDAENRDLSEALKLMNEALENCEKGNGASRTREEKVEIRGLRWKVLRFIAAIHLQKDEFESVIKCVKVLRDSAEGGDDHPSLSVLAMKAWLGLGRHNEAEKELRGMVIDRGIPEGVWVSSVEAYFSAAGTAGAETAKGVFLGLLGRCHVSAGAAVRVASRVLGSGGDGGKVRAKVVSELVSDERVVALFAEKEAAKDRIAMHAVLWNCGADNFQSKDYQTSAELFEKSMLYIPHDTENRILRAKGFRVLCLCHLGLLQLDRAKEYIDEAEKLEPNVVCAFLKYKIYLQKKDIQGAITQIEAMTMCLDFQPDFLSLSAHEAVACSARPVAVASLSTMLNFYASGKSMPTTEVTVMRTLVTVLSQEPDNEQKVLKTLKHAHTRASELGSDCFFGKEEVGRRELNWFAVTSWNYGTKTGHDKNYELSAEFLRLASNFYALVKGSDDENNVMVCKSLVLSVSSMIALEFQRKAAMSETEVKQAVTLLDRAGQMLKSISAGNSVNDSQLNTIAPDLFFMHTFCAYDVQGRLNDLGSQLFTVKSFASSKASKPQHLLQIGLHASQGPQSNHEVATFALNECLSSFLSSPVPDYPNVALVVRKLIAIASIHKGDKDDDLVYSMYKQAYRIMVGLKEGEYPIEEGKWLAMTAWNRAAVPVRLGQIEMGKKWMNAGFDIAKHVPGMEVYKACMEDVLSKLEKKH >CAK8540760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19579522:19582093:-1 gene:gene-LATHSAT_LOCUS9732 transcript:rna-LATHSAT_LOCUS9732 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVKIIKQCQVSPPEGSLPSSINLPITHLDLPWSYCPLIKRIFYYNFPHSTQHFLQTCLPILRNSLSITLQHFFPFCSKSIFPPKPQTPHILYTQGDSISLTICESNSNFNNLTSNAPKDLTIAYPFVPLTPPPTILQDGTLMFPVMAIQITIFPNFGFTVCLTYRHEIADGKAFHHFIKFWSSLSKGNLQVSSLPLPFHKREIIQDPKGFKQNILEQLWNSPPKTFQSTISTHDPVSSRNNFVLYRFNLTRNHVDNLKKWIVTKSQTIGLDLLHLSTFVVTCSLLWVSMEKLRSQDKKNITVDCVADEVTNNSPSENDLEENCDFGFLMDMRNRFELSIPSTYFGNCLMMCGTALPKRKLLGENGICEAANVIAREISLADPLKEVEKFGIKSNNFVCVMGSPKFDVYETDFGWGNPILSEILHLSDSSGFLLSDSPDGYGSIEVSMLQEEAQVKKFTDILEVQLRDIVAFE >CAK8570413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43950992:43952024:1 gene:gene-LATHSAT_LOCUS23161 transcript:rna-LATHSAT_LOCUS23161 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVSRSGREMQRYNSNGGRQVVGCIPYRYRKDIDGNISNELEVLLVSSQKAHTFMFPKGGWEVDESIEEAACRESLEEAGVIGTVEQELGEWSFISKRYGIYYEGHMFPLLVKEQLHIWPEKNIRTRLWMNVVEARDVCEHWWMKEALDILVHRLTLQ >CAK8579304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690625023:690625499:-1 gene:gene-LATHSAT_LOCUS31259 transcript:rna-LATHSAT_LOCUS31259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGNLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFTPRQNPRIPSIFWVWKSADFQERESYDMLGIYYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >CAK8573491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616003349:616005249:1 gene:gene-LATHSAT_LOCUS25936 transcript:rna-LATHSAT_LOCUS25936 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSVEKDVENQGGEFSTNHDIEKWLPISASRKAKWWYSAFHNVTAMVGAGVLGLPYALSQLGWFPGVSLILVSWVMTFYSLWQLVQMHELVPGRRFDRYFDLGEHVFGSNGRFGFWIIMIQQLTVQVSSTIVYSVTGGKSLKKFCDILIPSVFGDIRQTYFIVFFVCIQLFLSQIPNFNKLKGVSILAALMSICYSMVAFGSSLAKGFKNHPTHYGVRSHTPAGKTFDVFNALGTIAFAFAGHGVVLEIQATLPSTEEKPSKKPMWRGIVVAYCIVIMCYLTVAISGFWAFGTMVEDDVLVSLDHPPWVIAIANLMVFLHVIGSYQVFVMPVFDTMESCLVQKFNMKPSRTLRIIARSLYVATVGLIAIIFPFFGGLLGFFGGIAFTATSYIMPCVLWIMAKKPKRWSFHWIASVACIALGIVISIVAPIGGIRTIIVSIKTYKLFS >CAK8566185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388692512:388699933:-1 gene:gene-LATHSAT_LOCUS19340 transcript:rna-LATHSAT_LOCUS19340 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKQDLVRLVFSWSLQDILNDDLFRDKVRQIPTTFSSGSHYLDSFIFPLIEETRTELCSSIKMVSKAPAWEITDIELSNDYEPPLNLLYKIEIRTVADSDENGIIFEPETGQLIALTDRRPTCIDDLSKPGSPYNIASIKRVRKKDNTEDVYEAKILISKPFELEQHWQKDDTFIYGFGVYLCNMTTFIRIWNALNSDPDGSSIHIIKQLFQPNSGVGENCAQCFSSEKCSIDTSRLGAVIRSFDLNDAQEEGVLSCIAARECSHKNTVKLIWGPPGTGKTKTASSLLFSLLKRRCRTLTCAPTNVAVLELTSRFLRLVTKSFDYLTYGLGDIVLFGNRKRMRIDNLDDLLDIFLDYRVSILSKCFAPLSGWKHHLELMIWLLENPEKQYLEYLNSEVKRDYEIDDDDCLKEEKEVLAIASQQTNQERKNIDYQDPNISKQNEWKRIVNKTLRENRLFFKEGNRCKYDKQEKKDCIFHENGIKRLTFHEFVKKELNSTRMQMRTFAVQMCTHLPTSFVSLRVVKSLFECLDWLEVLATILSNNSITDKGFKLALATFYTDECKLSSSTWQYKLDMTRKECLKRLKSLRDLLILPDFFDENSIKSFCFKTSRMIFCTASSSSRLHAEGLGRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISDKAGFGRSLFERLTLLGHKKHLLNVQYRMHPSISLFPNMQFYDNQLLNSLSVKDRNYEKHFLCADMFKSYSFIDVSFGEDELDEGNSRRNMVEVAVVSGIVHDLYKESVSKKHTVSVGVISPYKAQVFAIQDALDKRFGGDVNDCFSVKVSTVDGFQGGEEDVIIISTVRHNNMGVVGFISNIQRTNVSLTRARYCLWIIGNGETLMNSGSIWEVLVVDAIGRGCFHSADEDDRLSHFITTAIVDLGQVLDLLNTNSLLFRKARWKVYFNQSFLNSMARIKSTEDCKKICSLLMLLSSGWHQPHQDINIGVVDDTSSQLLGLCKVNELLYLVWTINILEENSNYVQVLKIWDVLPLSEVSKMVRDINIFCRNYSTDIHRCCKIKCSNGYNSPMKWSTNLTYQTNHNPPQDDAMQFLCNQLASLQLRDV >CAK8573905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645771598:645772293:1 gene:gene-LATHSAT_LOCUS26300 transcript:rna-LATHSAT_LOCUS26300 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSIRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLCIVEALGQYDEYFRMMVDATGRASLSRLQKCTAVIRMLAYGTSVDSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNTEDIERLMQMGEARGFPGMLGSIDCMHWEWKNYSVALKGQYVRGDHGKPIVMLEAVASQDLWI >CAK8535158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818639929:818640363:-1 gene:gene-LATHSAT_LOCUS4634 transcript:rna-LATHSAT_LOCUS4634 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFYRFIGDIACIDVPCVEGLYTWFNSYGSSISRLDRFLVSDNLIDDWKIMAQYIGRRGISDHFSISLKTNNVDWGPRSFNFNNCWLKHSSFLKFVEVEWKFYVVRGRGDYVLKEKLGLLKASLEKWNVYVFGSEGGRGGSRP >CAK8539023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501942593:501945115:-1 gene:gene-LATHSAT_LOCUS8162 transcript:rna-LATHSAT_LOCUS8162 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTKGKIDLAFEYQSQASILRPSIHSRRANLTVKFQDLYGFTVEGNVDDVNVLNDVREKVRQQGRVWWALEANKGVNWYLHTTIGQGSALTSSLKFSALANAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYDDLTKAVEGKVTPATRQIDHDLPRTFPGHAWLDTPEGHAALRRVLVAYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLTKKCPRIATHLESLEFDVSLVTTEWFLCLFSKSLPSETTLRVWDVIFYEGAKVIFNVALAIFKMKEDQLIITHHVGEVINVLHTTTHHLFDPDDLLTVAFDQIGSMTTNTISKQRKKQEPAVMKELDQRIRRLNSLKVDEK >CAK8542732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541684396:541687581:-1 gene:gene-LATHSAT_LOCUS11543 transcript:rna-LATHSAT_LOCUS11543-4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLARELKLNTSNGSSRLFASSVVGGRSRLEGKVAIITGSASGLGKATAHEFIQNGAQVIIADNDTQLGPQVAKELGPSAQYVECDVTVEAQIEEAVNVAITKYGKLDIMYNNAGITGPIFPPSITELDIDEFEKVMRINVTGVIAGIKHAARVMLPKSYGSIICTSSISGLFGGLGPHSYTISKSTIPGIVKSVAGELCKDGIRINCISPAPIPTPMSVSQVGKFLHGFTQEEIREMVSGLSVLKGAKCETIDVARAALYLASDDSKFISGHNLVVDGAYTSFKNFVFASPD >CAK8542730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541684396:541687587:-1 gene:gene-LATHSAT_LOCUS11543 transcript:rna-LATHSAT_LOCUS11543 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFRTLARELKLNTSNGSSRLFASSVVGGRRLEGKVAIITGSASGLGKATAHEFIQNGAQVIIADNDTQLGPQVAKELGPSAQYVECDVTVEAQIEEAVNVAITKYGKLDIMYNNAGITGPIFPPSITELDIDEFEKVMRINVTGVIAGIKHAARVMLPKSYGSIICTSSISGLFGGLGPHSYTISKSTIPGIVKSVAGELCKDGIRINCISPAPIPTPMSVSQVGKFLHGFTQEEIREMVSGLSVLKGAKCETIDVARAALYLASDDSKFISGHNLVVDGAYTSFKNFVFASPD >CAK8542729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541684396:541687587:-1 gene:gene-LATHSAT_LOCUS11543 transcript:rna-LATHSAT_LOCUS11543-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFRTLARELKLNTSNGSSRLFASSVVGGRSRLEGKVAIITGSASGLGKATAHEFIQNGAQVIIADNDTQLGPQVAKELGPSAQYVECDVTVEAQIEEAVNVAITKYGKLDIMYNNAGITGPIFPPSITELDIDEFEKVMRINVTGVIAGIKHAARVMLPKSYGSIICTSSISGLFGGLGPHSYTISKSTIPGIVKSVAGELCKDGIRINCISPAPIPTPMSVSQVGKFLHGFTQEEIREMVSGLSVLKGAKCETIDVARAALYLASDDSKFISGHNLVVDGAYTSFKNFVFASPD >CAK8542731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541684396:541687581:-1 gene:gene-LATHSAT_LOCUS11543 transcript:rna-LATHSAT_LOCUS11543-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLARELKLNTSNGSSRLFASSVVGGRRLEGKVAIITGSASGLGKATAHEFIQNGAQVIIADNDTQLGPQVAKELGPSAQYVECDVTVEAQIEEAVNVAITKYGKLDIMYNNAGITGPIFPPSITELDIDEFEKVMRINVTGVIAGIKHAARVMLPKSYGSIICTSSISGLFGGLGPHSYTISKSTIPGIVKSVAGELCKDGIRINCISPAPIPTPMSVSQVGKFLHGFTQEEIREMVSGLSVLKGAKCETIDVARAALYLASDDSKFISGHNLVVDGAYTSFKNFVFASPD >CAK8542704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539065111:539067509:1 gene:gene-LATHSAT_LOCUS11519 transcript:rna-LATHSAT_LOCUS11519 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISKALIAFLLIASLLLCSHASPDVPFIVAHKKASLNRLKTGAERVSVTIDIYNQGTSTAYDVSLADESWPTDLFSIISGTTSATWEKLDAGGVLSHTFELEAKSKGLFSGEPAVIKFRVPTNAALQEAYSTPISPLDVLADRPPEKKFEWAKRLLAKYGSLFSVISIIVLFVYLVASPSKSGGKGGKKKR >CAK8542705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539065111:539067509:1 gene:gene-LATHSAT_LOCUS11519 transcript:rna-LATHSAT_LOCUS11519-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISKALIAFLLIASLLLCSHASPDVPFIVAHKKASLNRLKTGAERVSVTIDIYNQGTSTAYDVSLADESWPTDLFSIISGTTSATWEKLDAGGVLSHTFELEAKSKGLFSGEPAVIKFRVPTNAALQEAYSTPISPLDVLADRPPEKKFEWRLLAKYGSLFSVISIIVLFVYLVASPSKSGGKGGKKKR >CAK8567636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532817342:532817692:-1 gene:gene-LATHSAT_LOCUS20672 transcript:rna-LATHSAT_LOCUS20672 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVRQVYYVPYPSIVPCKQGWSIVIKTKSLGHIETGDLVEDVAYQVDEVEQINDVIAVEQITSLSDTMVEGHQVDVSILLDENDVDDEHEKFGSKDNITSDDNNDMDEEHEDFE >CAK8537674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420152004:420153608:1 gene:gene-LATHSAT_LOCUS6940 transcript:rna-LATHSAT_LOCUS6940 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAITVLAFLFITFTYFLFTFFSNPKHKKPPGPPALPIIGNLHLLGKLPHRALESLSKKYGPIMSMQLGQVPAVVISSSKSAELFLKTHDLVFASRPKTQATDILSYGSKGFAFSEYGPYWRNVRKLVTLKLLSASKVEMFAPIRKQELGVLVKSLEKAALVGEVVNVSEAVENLIEDIVYKMMLGRSKYEQFDIKILVKESVALLGAFNLADFVPWLVAFDLQGLKRGCKKAGKAIDDALEVIITDHEQVANVDKNRHEDFVDILLSIVNQTIDQESELKDAIGRSNIKAILLDLLMATLDTSSSAIEWTLTELIRHPRIMKILQDEIQNEVGNKRMVEEKDVKKFNYLDMVIDESLRLHSVGPLLIPRESREDITIEGYFIKEKTRVIINAWAIGRDPNVWSNNVEEFYPERFIDKKMNYQGKEFESIPFGSGRRGCPGIQMGLTTVKFVIAQLVHCFNWELPNDISPSNLNMEETFGLSAPRAQHLHAIPKYRLVDVELE >CAK8578412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627882800:627884194:1 gene:gene-LATHSAT_LOCUS30425 transcript:rna-LATHSAT_LOCUS30425 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITNKKEIEKKIDDDYHLHQDQKLKHLGFVRIAAIHAFVCMSSLYDYAKQNSGSLRSAVGTVEGTVTTVLGPVYHKFKPLSQDLLLFLDNKVDDATNKFDKHAPPFAKQVANQAKGLIQEVTHKAEKVVNEAQSGGAKAAANYVVTESKQVVLTNSVKLWSGLNHYALFHAVAEMAIPTVAHWSEKYNHVVKNISGKGYAVSGYLPLIPVDEIAKAFKQGEGNVSVDQQNFLVKDVSD >CAK8537918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447872580:447873727:1 gene:gene-LATHSAT_LOCUS7167 transcript:rna-LATHSAT_LOCUS7167 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKPSFNSVFTNKLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGVFGMNIHIELFDSDK >CAK8560723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38522409:38522784:1 gene:gene-LATHSAT_LOCUS14378 transcript:rna-LATHSAT_LOCUS14378 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYIQCSKNWEKEDQSLLANLQGPLATLQNQNVAIHPYRDCSNCSNRAMDGGGKLKLVADIMKYLKKSENVRQKSPSHTNDNNQLRKRE >CAK8538454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483070354:483073432:-1 gene:gene-LATHSAT_LOCUS7646 transcript:rna-LATHSAT_LOCUS7646 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRNLRSISISNSASAANLKRKSLNSWAAIQETYLSTKDTFERHRVVFTVGTSIASVATAFLGYSLRHVHDSRVDERLQSIEHAMKSNVNLQHSEIKDIVGRPGGCSIPACAATAGTALLIGYGLGWRGGSWYTRKKFKKEQMKLLGQIKPRRWQMLGNIRAKGWKFQFPRRSKGQDTAVKTSETIIKDTSSTHIAGKSH >CAK8530984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67838616:67839272:1 gene:gene-LATHSAT_LOCUS806 transcript:rna-LATHSAT_LOCUS806 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEILLPQDCLHERIRVPSASFSRRRTYGNCHNQYNNYGNSFYGVTNSGRININRRPVNRPEQRKRVAVPERRPSYDDLKVTTERRPSYDDLKAATERRPSYDDLKMAKGGELVMEKVMILRRGESFDSKMNNEGLKKEGDGLVVIGTQRLGPDPNMVPKQIRIVDFKTGCEVYAGSAFSMSPSPSALPIPSFQRKFSPVGVDDSATWDLRRLLRIA >CAK8533731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654832030:654832764:1 gene:gene-LATHSAT_LOCUS3332 transcript:rna-LATHSAT_LOCUS3332 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSYLIFTALLALASCAFAFDPSPLQDFCVAINDTKNAVFVNGKFCKDPKLATANDFFFSVKEGNTSNSLGSKVTAVTVNEILGLNTLGISLARIDFAARGLNPPHTHPRGTEILIVLEGTLYVGFVTSNPQNRLITKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANAVFGSNPKISAEVLTKAFQVDNKIVDNLQKQFWLDNN >CAK8538060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460965190:460967841:1 gene:gene-LATHSAT_LOCUS7300 transcript:rna-LATHSAT_LOCUS7300 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLTDGCKKRKRWPKIFRLQSFADPGCPISPSGPFRENVRFFLQEAGEPEDYTVLGNPLWSTFLIHDKSNLMAPFYTLEEEVYNSSHPFCDHCRCVGWSGHFVSKRRYHFIIPMDNAWHKPLNEEALDNQSHLLHGVIHCNGYGHLVCLNGIEGGSKFLSGREIMDLWDRICSNLRARQIAVEDASRKRSMDLRLLHGVAYGHSWFGRWGYRFCRGSFGATEQNYYEAIETLGSLVLDEIARDLSKTKCHKDIKQMIRFYRDMSETQIITIRELLRFMLTVKSRRPVSKITITCSSGSNSTSASPSYSTTTFLSRNSTKHALSCSRSDSMSKEKSARYKKFSTAVVNMDSRWPTRRLEFAAQVIVDALKEDKATKSGSSGMTRQDVRDAARLHIGDTGLLDYVLKSLNNVIVGNYVVRRMVNPSSRILEYTIHELGKGFKAPEVEHGVMTVADNPQVDSSPSLSSASSLTPGNDVYSDVVYLYKNVLLGYPESEAVELAVQTILDCRHFVKEWELRDEMEQVLTFICRLKPNFVENKDDLKGPTCGEIVTVPLHATVRDLKQAAEAALRDTYCIAERLIVTDIKELMGVDDSEVIFGLIESGVKLCIRGISIDLCTPLKYQGGSDNWKVRCECGAQDDDGERMVACDICEVWQHTRCCGIDDTETVPPLFVCTGCCDSLVPPRIESRSYDMVDCADAFLILPEPTHLLEYGYGY >CAK8535386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845895692:845896309:-1 gene:gene-LATHSAT_LOCUS4851 transcript:rna-LATHSAT_LOCUS4851 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSKLLTLIVSAFVIMQITSAGDPDILTDFIAPIGTQVDGSFFTFTGFRALLPPNTFPSTFKALKASKAEFPALDGQSVAYAALEFPSGSINPPHTHPRSAELLFLATGSLQVGFVDTTNKLFTQTLQTGDMFVFPKGLVHFQFNSDSQKPALAFSAFGSANAGTVSIASTLFNTTIDDNVLALAFKTDVATIQTLKKGFTS >CAK8577495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568583977:568586113:1 gene:gene-LATHSAT_LOCUS29598 transcript:rna-LATHSAT_LOCUS29598 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSVRFLTPPSISRPKNTWKLSAATPSLAPLSTQLDASRLDPRVEEKDGYWVLKEEYRGGINPQEKIKIQKEPMKLFMECGINDLANMSLEDIESSKLTKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKDGCADVTTRQNWQIRGVVLPDVPEILKGLADVGLTSLQSGMDNVRNPVGNPLAGIDPYEIVDTRPYTNLLSQFITANSLGNTTITNLPRKWNVCVIGSHDLFEHPHINDLAYMPAKNEEGRFGFNLLVGGFFSPKRCAEAVPLDAWVSADDVIPLCKAVLETYRDLGTRGNRQKTRMMWLIDELGIEVFRSEVEKRMPMKQLERAPKEELVQKNWERRDILGVHPQKQQGLSYVGIHIPVGRIQADEMDELARISDEYGSGELRLTVEQNIIIPNVANSKVDALLKEPLLKQKFSPEPSILMKTLVACTGNQFCGQAIIETKARALKVTEEVERQVAVTRAVRMHWTGCPNTCGQVQVADIGFMGCMTRDENGKGVEGVDIFLGGRIGSDSHLGEVYKKGVPCKDLVPVVADILVQYFGAVQRNREEVDD >CAK8538344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478314159:478315946:-1 gene:gene-LATHSAT_LOCUS7556 transcript:rna-LATHSAT_LOCUS7556 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHKFLSSLILLSTFSLPSHSQPHHLTLSQHPPLPNVPHQESIEVTTPPFTHQPTPSCSQQILHHSFANTINTPPYSTPYLPPFDCPPPWTRVLLHFHAKTKGEQYDRIAAIWLDGVELLRTSTAEPSDSRIFWNIYKDITKYTSLLVKSNLNLTMMLENYVNAEFTGVYHVTVTLLYYYDNTVTVQFNQSLISNSKSKSRSRSRSLVDEVKVPDSKVLKELPADLIIPVSENGKRGFWFRLEKERELRVKRLRIPRNTYKAVLELYVSFHGNDEFWYSNPPNSYIKTNGLTTRRGNGAYREVYVTIDGEVVGSEIPFPVVFTGGINPLFWEPIVAIGAFNLPSYDIELTPFLGKVLDGRRHVFGIGVSKGLSFWLVNANLHLWLDHESSIVHANRVIHHGPKTDVQRQELFRGLDGEFHVAAEKNTLVKGWVLSSSGNVTTVVSKGFSLTNFIKFKNNGTYKIVKQVFKAKKLVKVSDIRGEVISKLRVKRKYPLRVITVTEPGLGDRYKLVSNFSHGFIERYEGKGIMNSISNVQESKGWVNVKGHSVLHGHASTKQNYSHIDKSNCYNRNVAADNGRIVTDNSNFICENSL >CAK8577893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596207789:596208268:-1 gene:gene-LATHSAT_LOCUS29957 transcript:rna-LATHSAT_LOCUS29957 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNILVIFTIFSLHCFICLASNSPQDFLDAHNKARAEVGVGPLEWSSVLAEYAQNYANTRIENCVFEHSGGPYGENISAGSDPEMNAAAAVKLWVDEKTNYNHETNSCQNGECLHYTQVVWNNTTHIGCGRVNCKNGWTFIICSYDPPGNYVGDKPY >CAK8567919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556891333:556894752:-1 gene:gene-LATHSAT_LOCUS20928 transcript:rna-LATHSAT_LOCUS20928 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSDDEHDEENGKLLEKSKERGSRNARVVKVHNQALLSGLAYCLSSCGMILVNKIILSTYDFNAGISLMVYQNFISVIIVSVLSLLGLISTEPLTWRLIRVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKQHEGRVWAALFLMIISAITGGITDLSFNATGYMWQTLNCFLTASYSLTLRRVMDTAKQYTKSGNLNEFSMVLLNNTLSLPLGISLILVFSEVDYLLSTPLLRLPSFWLVVTFSGILGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIFLFKVPTSLENSASILFGLLAGVLFARAKIRERSQS >CAK8544756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704893121:704893576:1 gene:gene-LATHSAT_LOCUS13411 transcript:rna-LATHSAT_LOCUS13411 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNRLLSKMQHNHNFNHHAKCEKVKITNLDFADDVLLFAMADCKSVELMMEALDLFSKSTVLIVNPRKCKVYFGGVDDDIRNQIISLIGFEQGTFPFRYLGVPLSSKKLNIHHYMSLIYKVVGKIKALEHLASRLCIKYVSFVMANYWM >CAK8563036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568371965:568372643:1 gene:gene-LATHSAT_LOCUS16485 transcript:rna-LATHSAT_LOCUS16485 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGGAIAPTSLVRSWRTSFLTPRDETLTNPPRNSTAQLLQSLIFSHSHNLLSAAPKVSSHEVLSDIAFLMELVAATSSYEEDCVHIYTQTSRLVHNICRQVKFDINSYSFGRFLGSFKKMLDKFLGKDATGDKLTGICRAAAIIYVVCMLLGYFTLLK >CAK8562607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:515042368:515044509:-1 gene:gene-LATHSAT_LOCUS16092 transcript:rna-LATHSAT_LOCUS16092 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSVIHISFVDTKTTRTRFSIASPTLKSHRKFFTTCSKSTPDVPISETAPPNNNTKNSSLSDQLAPLANTTLPTLPEDQPKVSSKPKSTWVNPTKAKRSVLSHQRHKRSSVFYNPQLKEFQRFAQKLNNCDVSSEAEFMACLEEIPSTLTRENALLVLNSLKPWQKTYKFLNWIKSQNLLPMETIFYNVTMKSLRFGRQFGVIEELAHQMIDNGVALDNITYSTIITCANKCNLSDKAEHWFERMYKTGLMPDEVTFSAILDVYARLGKVEEVMILFERGRATGWKPDPITFSVLGKMFGEAGDYDGIRYVLQEMKSLGVQPNLVVYNTLLEAMGKAGKPGFARSLFEEMIDSGIAPNEKTLTAVIKIYGKARWSKDALELWKRMKENGWPMDFILYNTLLNMCADVGLVEEAETLFRDMKQSEQCKPDSWSYTAMLNIYGSEGDVAKSMKLFEEMCKLGIELNVMGCTCLIQCLGKATEIDALVRVFDIYIARGVKPDDRLCGCLLSVVSLSQGSKDQEKVLACLQRANPKLVAFIQLIVDEQTSFETVKEEFKGIVSNAVVEVRRPFCNCLIDICRNKDLLERAHELLYLGTLYGFYPSLHYKSQYEWCLDVRTLSVGAALTALEEWMTTLTKIVKREEALPDLFLAQTGTGAHKFAQGLNLSFASHLRKLDAPFKQREDKVGSFIATKDDLVSWVQSNSAAESVAT >CAK8559960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2545308:2545904:1 gene:gene-LATHSAT_LOCUS13688 transcript:rna-LATHSAT_LOCUS13688 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHGWSNNANAFENFESSITTPFHDTSAPVAAVAAPISRNPSFRSILLAENWAELPLKEDDTDDMVIYGTLREAAATTGWFPGNGVNNVDVGVKIEDQGENSSAAAARVVHAPPSNGKKLGFRGVRRRPWGKYAAEIRDPKRNGARVWLGTYETAENAALAYDRAAFKIHGSKAKLNFPHLIDSDYTESVKITRKGR >CAK8531128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83030361:83036890:1 gene:gene-LATHSAT_LOCUS940 transcript:rna-LATHSAT_LOCUS940 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHHLMELGRPTSGRRRLKDLLIQKDNRICADCNAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEVDAMIEVGGNASANSIYEAYFPEGYTKPGPDASHEQRSKFIRSKYDLQEFLKPSLRIVSGKSSLSSSSKSSFMDSFKSACSQRMEGMVEFIGMLKVKVIKGTNLAIRDIKSSDPYVVLSLGTQTIQTSVVKSNLNPVWNEEHMLSVPEDYGQLKLKVYDHDTFSADDIMGEADIDLQSLITSAMAFGDAGMFGDMQIGKWLKSDDNALIEDSAVMISDGTVKQMMTLKLQNVESGEIDLELEWISLDQ >CAK8573583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:622014000:622015028:1 gene:gene-LATHSAT_LOCUS26016 transcript:rna-LATHSAT_LOCUS26016 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNHSGGDGFSEEKNTPEKTEILTMNQSESLSEAAMKEELSETNNNLDESRSMDVIPMPNPVPKTMTVPAAKKPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEQSEPAIVEATGTGTVPAIAVSVGGTIKIPTSSAARPDGEEAPKKRRRRASNSEFVDLNEHVSMCSGLAPITQTAYGGGGGDSESGGSGGIVPLWPVVSNVGSNTTGPFFMFPNSIMNQPQFWAIPAAPFFNRPISDFVSTLQMQNQNQPSNANGSASSTLAPSLSSASTSTCSSAVTSSSSAGPTQMLRDFSLKAYDKKELQFMGCSSTNLESPPTSNPSN >CAK8538425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482315319:482316123:-1 gene:gene-LATHSAT_LOCUS7626 transcript:rna-LATHSAT_LOCUS7626 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARELAETSTDTKEEVVEKSNEVNDAKYFGGGYGHGGHGYGPGYGHGYGHGGSYGHGGSYGHGGSYGHGGYGGGYGHGGGGYGHGGGGYGHGGGGGYNGGGFSNNEVVETSNEVNDAKYGGGHYYGGGPHYNGGGNRYHGGGGRYHGGGGGYHGGHGGHGGASDNGN >CAK8569737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9482042:9485477:1 gene:gene-LATHSAT_LOCUS22553 transcript:rna-LATHSAT_LOCUS22553-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFTKPHDNRVPISYDYDNPQFPHRNQPRPHHRHYPYHNTGAKSAPSSSSASSSHANSEHQPILGRPYIDMKTLYSIGKELGRGQFGVTYFCTEKATGRNYACKSISRRKLLRKKEIEDVKREIMILQHLTGQPNIVEFKGAYEDRQSVHLVMELCLGGELFDRITLKGSYSEREAASIFKQIMNVVHACHFMGVMHRDLKPENFLLASKDPKAPLKATDFGSSVFIEEGKVYRELVGSAYYVAPEVLKRNYGKEIDVWSAGIILYILLCGVPPFWAETEKGIFQAILEGKLDLESAPWPSISASAKDLIRKMLSYDPKKRITASDALEHPWMKEGGEASDKPLDNAVLIRMKQFRAMNKMKKLALKVIAENLAEEEIKGLKQMFNNIDTDRSGTITYEELKSGLSKLGSKLSEFEIKQLMEAADVDQNGTIDYHEFITATINRHKLEREENLFKAFQYFDKDNSGYVTREELRQALAEYQMGDEATIDEVIDDVDTDNDGRINYQEFVAMMKKGTLDNDEREKP >CAK8569736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9480470:9485477:1 gene:gene-LATHSAT_LOCUS22553 transcript:rna-LATHSAT_LOCUS22553 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFFKALFCCTTKSQDIPIVSSDSECSPQHSSGYGYNQPLLPISPKKSPYSSNPQIGHILGKPYVVINQIYDMRKELGRGQSGVTYLCTERRTGKEYACKSISRSKLLSEQEIDDVKREVMILQHLSGQPNIVEFRGAYEDKQNVYLVMEVCKGGELFDRIIAKGNYTEREAAEIMRQIVNVVHVCHFMGVMHRDLKPENFLLASQDDNAAVKATDFGLSIFLEEGKVYRELVGSAYYVAPEVLKRNYGKEIDVWSAGIILYILLCGVPPFWAETEKGIFQAILEGKLDLESAPWPSISASAKDLIRKMLSYDPKKRITASDALEHPWMKEGGEASDKPLDNAVLIRMKQFRAMNKMKKLALKVIAENLAEEEIKGLKQMFNNIDTDRSGTITYEELKSGLSKLGSKLSEFEIKQLMEAADVDQNGTIDYHEFITATINRHKLEREENLFKAFQYFDKDNSGYVTREELRQALAEYQMGDEATIDEVIDDVDTDNDGRINYQEFVAMMKKGTLDNDEREKP >CAK8579184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682494076:682494903:1 gene:gene-LATHSAT_LOCUS31150 transcript:rna-LATHSAT_LOCUS31150 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYNLEYEFNLIRRAILIRRAIHQNHFIISMDTAFPGVIHSSKIDHRRLQPSDYYRYLKANVDDLKIIQVGLTLSDSKGNLPDFGSNNTYIWEFNFSDFDINHDLCNQDSVDMLRRQGINFERNICHGVDSMRFADLMLSSILVFKESIIWVTFSSAYDFGYLVKILTRMNLPNRLEEFLNIIEILFGRSVYDMKHMMKFCNSLYGGLEQVASILNVNRAVGKSHQAASDSLLTWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8539110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504030135:504030665:-1 gene:gene-LATHSAT_LOCUS8238 transcript:rna-LATHSAT_LOCUS8238 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRKESHEQSKFKHYISTPVRILKKAKDLYVNGLLACSGRIAGSAPVHMSVSHLPADTAKENGGERQSLREIFRTAPINEMRFVTNGERVVPIRNTIGSEMVMQRRQRQWIAGYRYNRSKMSYQTEVRKMGRIDEDKPCCFEEDESDHSISKGNLVYLYPRSRRLNTVVKVPPV >CAK8571444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:420156577:420157686:-1 gene:gene-LATHSAT_LOCUS24110 transcript:rna-LATHSAT_LOCUS24110 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFSEFIEALNVMDLPVFGNRFTWLNSNGKCRSRLDIILVDDRAISLLSLKNQVVGDRDVSDHRPVWLKSNFFNWGPKPFRTFNYWFSHKEFIPFVMKLWNSYLFTGTSCNILIKKLQALKTDLRNWNYIVFGWLELKIKDNIENFNKLELDSVVDLDSQAAELDRERLRYQEEMNRLNRLSAVRMGGRIEEEPEGIKSEALKFFKERYLQLDSSKFRCEFDLVACLEEERKLFLEADFSSAEVRKDVFSCDGNKCPGVDGFNIKFIKSCWDIVGQDFTSCILEFFKTGFILKMFVSSFISLVPKINNPQHFEDFRPITHVSCVLNVISKI >CAK8536174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914290261:914290650:1 gene:gene-LATHSAT_LOCUS5567 transcript:rna-LATHSAT_LOCUS5567 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTIAGEETVHKLASLLLVFLGGMYIVLFLMGKGGHSHLYNQPMKKMAIAGLILVPALSPCTTTLPVFLAVGNSSSMMVLAIIVLLFSIISVMTSLVALSFYGASQLKFHWVERWRACIEGCFPYERV >CAK8543894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:647753854:647754745:-1 gene:gene-LATHSAT_LOCUS12617 transcript:rna-LATHSAT_LOCUS12617 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHFDASASASEPVGYPGGSYDTSLLVKYEQHVVYRIWFGQERGSKKDLKVAGHGLKLAQRVPLQLPRKMMRWISRSGLASLQRTSLTKIDTNLVSSFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWVVDHLGVPQRIAQQQVRECRGSYYKVEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYLTLL >CAK8540082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538614721:538616799:-1 gene:gene-LATHSAT_LOCUS9120 transcript:rna-LATHSAT_LOCUS9120 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFSLDLFQSFSYLGSSSLNPRKNCCFASKLKNWSKSISQICCCSKLEQVLRPKPKKKNGVDEKELVLVEPRVIKRKPLSSSGLCSRIENLVLSNKHMEAMELFDMLEFAYADSPVGASTYDALISACVRLRSIGGVKRVFNHMKNSGFEFDLYMMNKVLHMHVKCFLMREARKLFEDMPERDSGSWLIMIGGLVYSGNYSEAFKMFLCMWEEHSVRNSRTFATMLHASAGLGSIEIGKQIHTCILKMGVKGDQYVDCALIDMYSKSGSIKDAQCVFHRMPQKTTVGWNTIIAGYALQGYSEKALGIYHKMRDSGAKIDHFTISIVIRICARLASLEHAKQAHAALIRRGFGTDLVANSALIDFYSKWGRMKDAQHVFDKMHRKNVISWNALISGYGNHGQGEKAVQMFEKMLQENVIPNHVTFLAVLSACSYSGLSERGWEIFHSMSRDHNVKPRAMHYACMIELLGREGLLNDAVALFRNAPFKPTLNMCAALLTACRMNKNWELGKFAAEKLYVMKPEKICNYVVLLNIYNASGKLEEVAGVLQTLKRKGLRLLQPCSWIEVNKKPHAFLCGTKSHKQMKEIYKKVDSMMVEISRHGYVMEKEMLLPDVDQEEQRAMKYHSEKLAIAFGIINTPDWLPLQITQGHRVCGDCHNAIKLITKVTGREIVLRDASRFHHFKNGSCSCGDYW >CAK8572668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554486259:554487032:1 gene:gene-LATHSAT_LOCUS25202 transcript:rna-LATHSAT_LOCUS25202-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSCFQDEYTFEQRLEESRDIIAKFPNRIPLIVERYSKCDLPELEKKKYLVPRDLSVGHFIHILSSRLSLPAGKALFVFVKNTLPQTASVMDSVYRSFKDEDGFLYMYYSTEKTFGYCT >CAK8572667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554486199:554487032:1 gene:gene-LATHSAT_LOCUS25202 transcript:rna-LATHSAT_LOCUS25202 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIGPINRPELKFEEEISKMGRTSCFQDEYTFEQRLEESRDIIAKFPNRIPLIVERYSKCDLPELEKKKYLVPRDLSVGHFIHILSSRLSLPAGKALFVFVKNTLPQTASVMDSVYRSFKDEDGFLYMYYSTEKTFGYCT >CAK8577351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556541115:556542717:1 gene:gene-LATHSAT_LOCUS29465 transcript:rna-LATHSAT_LOCUS29465 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNYPLPFLFLIITLSCSFNFSTVKSSTFNVINFGAKPDGITDSTKAFLNAWTKACSSPYPAAIYVPQGKFLLGTVTFSGNCANKAISITIDGTLIASSNYRAAGKGSSTWLSFQHVDGVSIRGGVLDGQGTALWNCKMSNKRNCPIGATTLGFTGSKNIMIIGLTSINSQMFHITFNGCQYVKTQDVNIVAPGNSPNTDGIHVQMSSYVTIIHSKIRTGDDCISIGPGTNNLWIENIECGPGHGISIGSLGWELNEAGVQNVTVKTVTFTGTQNGVRIKSWGRASNGFARNIFFQDATMVNVQNPIVIDQNYCPNNKNCPGQASGIKISDVTYQDIHGTSATQVAVKFDCSSKYPCNGIKLKDVKLTYKNQIAEASCNHAGGAALGFVQPESCF >CAK8565959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:359225753:359226973:1 gene:gene-LATHSAT_LOCUS19132 transcript:rna-LATHSAT_LOCUS19132 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVLQKMEKRKTSCKKVSNHIPADLAVSILSKLALKSLFRFRCVRKSWSFLFQEPYFMNMYRINFASNHNYTYVDDSCIMLETLQPYQRGHQVLFSLSGDRLKNKVKLDLPPPFEEDDTCIEMLGSVVDGTICFYQGPVIPKIVLWNLSTKEFKVLPPSPLESVPPDYDRVYCQMHGFGYDHVNHDHKVVRHVAQRVDFANYEGYKGHIPPHDSMWEVYSLRSNAWKKVEVDMPTGYMNTGLRFHTNGVCHWWDYTNDQDCLVSFDLINNKFFRTPPPPDGRDNFDFILVDRRFVGLNGSAACILSYETSGDTPCMFYISILGELGVKESWTKLFTIESLPCLNHSIGAGNKGDIFFKSKEDELVQVDLITQMVKKLGIRDPLQFPTLFYKEVILPMGGEKYVS >CAK8530601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33978103:33983828:1 gene:gene-LATHSAT_LOCUS454 transcript:rna-LATHSAT_LOCUS454 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNESDVNHHIKLNEEFQTIKCPSCDHDIEVKNQGGIHDLPGLPAGVKFDPNDIEILEHLEAKVMSDVCKIHPLIDEFIPTLQGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGGETRWHKTGKTRAVYVNGIVKGFKKILVLYTNYSRQGKPEKTNWVMHQYHLGSNEEEREGELVASKVFYQTQPRQSGNSISVRDSYDKIISKGSGHSNSTTPKNLGLVECYNASYTNYEHVQGDHGSMICLAMDANKV >CAK8565630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:295578663:295585096:1 gene:gene-LATHSAT_LOCUS18826 transcript:rna-LATHSAT_LOCUS18826 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPHYLSMNSAFGGPTAISHSSVANSQSSAGNRDRSMASSEQLVLELSNPELRENALLELSKKREQFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNARACDALRSCLPDMLRDATFSSCLREDPTTRKWLQQLLHNVGGNRVPALQGGGGFDHLMVN >CAK8569068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667817670:667821158:1 gene:gene-LATHSAT_LOCUS21959 transcript:rna-LATHSAT_LOCUS21959 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIFLTIASLTYFTTILITHASPTNNNNNNTTHSEIQALTIFKQNLLDPLNSLTSWKPSSPSPPCDWHGILCSNNRVHQIRLPRLQLSGSISSSLSNLTQLRKLSLHSNNLISSIPSSLSQCLFLRAIYLHNNSLSGNIPPSLLNLTNLQILNFAANFLSGTIPNHLSNSLRFLDLSSNSFSGDIPGNFSSKSQLQLINLSHNDFTGQIPVTVGALQQLEYLWLDNNHLHGTLPSSISNCSSMVHLSVEDNSIGGLIPSTVGTMPKLQVLSLSMNQLSGFVPTTLFCNEDNNNNNNNKNNTNLRIVQLGFNMLTGVSNPQNGKCINNFLEILDLKENHIIHSLFPSWLTNVKSLRTLDLSGNSFSGYLPREIGDLFLLEELRLSDNLLSGVVPSSIVKCRLLKVFDLRRNRFSGFIPYFLGELKSLKELSLGGNFFTGLIPKSYGELYELEMLDLSNNKLNGVLPLEIMQLGNLGVLNLSNNRFSGRVPSQIGDLMALQVLNLSQCGFSGSVPSTFGSLMKLRVLDLSKQNLSGELPVEVFGLPSLEAVALDGNSLSGDVPEGFSSIASLRYLNLSSNDFVGNIPPNYGFLSSLVVLSLSHNFISGSIPNEIGGCSQLEVLQLQSNRLVGNIVSSVIARLSRLKELNLGKNGLKGEIPDEISKCSALNSLDLSGNHFIGYIPLSLSKLSNLKALNLSSNQLTGEIPLDLSRISGLKYLNVSNNNLEGEIPQMLSSKFNDPSVFAMNKRLCGKPLHRDCEKSRRRKRKRLIIIIGVAVAGLCFLALFCCGYVYSLLRWRKKLREGVTGEKKRSSTSAGSNGERNSRGSGENGGPKLIVFNNKITYAETLEATRNFDEENVLSRGKHGLVFKASYQDGMVLSIRRLPNGSTLIEENTFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLGTLLQEASQQDGHVLNWPMRHLIALGIARGLGFLHSVEIVHGDVKPQNVLFDADFEAHLSEFGLDRLTKVSSVPTELAESSSSTTIAVGSLGYVAPEAVLTGQVTKEGDIYSFGIVLLEILTGRKAVMFTGQDEDIVKWVKKQLQKGLISELLEPGLLEIDQESSEWEEFLLGVKVALLCTAHDPLDRPSINDIVFMLEGCRVGPDIPSSADPTTLPSPVS >CAK8532080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200640778:200641258:-1 gene:gene-LATHSAT_LOCUS1817 transcript:rna-LATHSAT_LOCUS1817 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8560564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25892819:25893744:-1 gene:gene-LATHSAT_LOCUS14226 transcript:rna-LATHSAT_LOCUS14226 gene_biotype:protein_coding transcript_biotype:protein_coding MQESMKISSNQRTPSLEKQAHGNRTISNLLVRFNLSLHQVTLIWRVTRGSVATTDAQCYSLFFDESNHVLEMLALNQVVACVFVLRVHDDFATWKVCNSFVYAEHGGP >CAK8533754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657324168:657327385:-1 gene:gene-LATHSAT_LOCUS3354 transcript:rna-LATHSAT_LOCUS3354 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNPTLNSNRNNSNNISSTKNLNTCSNSSFTFTISESTLKVNNRIILSDVPKNIITTTTSSTTTITNGCFLGFKATESKARHVAPIGKLKNINFTSIFRFKVWWTTLWTGSNGKDIETETQFLMLQNSDPNPGSDPNTGRPYVLFLPIIEGPFRASLQSDKNDNVLVCVESGSTSITESQYDTVVYLHAGNDPFKLVKEAMKTVRAHLGTFKLLEEKTVPGIVEKFGWCTWDAFYLKVHPQGIWEGVKNLTNGGCPPGFVLIDDGWQSIARDDDPINTNGGINRTDAGEQMPCRLIKFEENYKFKDYKNGLGLGGFVKELKKDFESVEYVYVWHALCGYWGGVRPGVEGLPEATVEKPKLSVGLETTMEDLAVDKIVNNGVGLVPPHLVEQMYEGIHSLLEDSGIDGVKVDVIHLLEMVCEKYGGRVDLAKAYYKALTTSVKRHFNGNGVIASMEHCNDFMLLGTEAISLGRVGDDFWCTDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCATFHAASRAISGGPIYISDTVGNHNFELLKTLALPDGTILRCEYHALPTKDCLFADPLHDGKTMLKIWNLNKYTGVLGVFNCQGGGWFRDIRSNKCAYEFSHLVSTKINIKDIEWESGKNPISIESVQLFALYFSQAKKLKLFSPSDTAEISLEPFNFELITVSPITLFPKKSLKFAPIGLVNMLNNGGAIQSLEFDEAQDLVQVGVRGSGEMRVFASEKPKICRIDGKEVGFEYEDFMVVIQVPWPSSSKFSSVQYMF >CAK8571968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:498262760:498266860:1 gene:gene-LATHSAT_LOCUS24579 transcript:rna-LATHSAT_LOCUS24579 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNEYSDNNDPDSPIQNPNSPEDSSPPRILPSRSAAPKVDDTMLALTVADPKTLSKPIDPTQHAVGFNPTYDQLWAPIQGPSHPYAKDGLAQGMRNHKLGFVEDANIEPFLFDEQHNTFLKFGYAADPSASNYIGDFDALQKNNAASVYNMPHREQKKRRIEALKKKEENDDQEDDNENENNNAGEEGIEIENPASEAWLLKNKKSPWAGKKEGLQGELTEDQKKYAEEYAKKKGEEKSGFGGEKVEAVKDKSTFHGKEERDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKAGHLILSAGMDTKVKIWDVFNTGKCMRTYMGHSKAVRDICFTNDGTKFLSAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNTGKITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEYGIPVVIKYISEPHMHSMPSISLHPNANWLATQSLDNQILIYSTREKFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >CAK8561774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:381148245:381149033:1 gene:gene-LATHSAT_LOCUS15333 transcript:rna-LATHSAT_LOCUS15333 gene_biotype:protein_coding transcript_biotype:protein_coding MAINNSSRTKILFIAIISFLILSQDVNSASFTISEFEPYQNNIKLEGNAFISNDSVYLTGLVPKSAGRASYTGPVHLWNAENGHLAAFTSVFSFVVAPNGPGLLGDGIAFFVAPFSSHIPKNSSGGFLGLFDSKTALNSYQNQIVAVEFDSFGGNPWDPVYSHIGIDVNSIASVTTASWNTSVAKGFIAIAVVNYEPVTKNLSVVVTSPGSYGANGISSSVSFLIDLRTVLPEWVRIGFSGATGQLVELHKILSWSFNSSFY >CAK8564763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8920003:8920587:-1 gene:gene-LATHSAT_LOCUS18033 transcript:rna-LATHSAT_LOCUS18033 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLLFLLLTLLSMATSIPTPTPTSFIKSSCSTTPYPTLCVQSLSVYASTIQQDPHQLVQTALSLSLNKTQSTKGFVTMCYSFKNLKPIEYDALHDCAEEISDSVYRLSRSLEELKLCNINGQDFNWHISNVETWVSSALTDETTCGDGFGDKALDGRIKASIISRMANVAQFISNALSLINQYAANRMDKN >CAK8565219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:73482657:73483163:-1 gene:gene-LATHSAT_LOCUS18451 transcript:rna-LATHSAT_LOCUS18451 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNRSTPTMSTGSLEQKGQNITESNAGIIQCPLSQQHCSSLDGPVSILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLINVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFASAFIRMSLHAFIF >CAK8530584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32520008:32520445:-1 gene:gene-LATHSAT_LOCUS437 transcript:rna-LATHSAT_LOCUS437 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTWEQKLHALTHILTTPTTTPSLHSQFFIATQIPCYLNWDYPPILCSNPSLVQKWKLSFFLKRVATMGIPETSWRSKCPFQQPLPLILAKGVEEAQWGNEETKGYARKRFSRKRIGRDVNPVLIVWLPSVLLVVVLSRYVFD >CAK8574752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8595595:8597113:1 gene:gene-LATHSAT_LOCUS27065 transcript:rna-LATHSAT_LOCUS27065 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILRWLLKPKLYSKCIYYVKCIKTRLETIQKKRRFVHKFTKSDIAELLKRGRDYDAYKRTEWLLFEERMLSCYEFIEKFIDCISDHLEDLIKQSDCPEECKEAIPSLIYAAARISDLPELRDLRTVFTEKHENSLEPYINKEFVEKLRRDPPTREMKIKLLYDIAQEFSIKWNARGLKKILYEISGGEEYKYESDEFNTSKENEARQQYSSDDETSSTDTSSTHHHGRKSSSSSFGSVSEDDEQKVEEEIKKPRSLLLIPPPYQIKQKINNNSNKTMVSEVLGKNVDSSEMKQTTSVDTTSSSKGNADAWRFPPDYDEFIACFKALTGRS >CAK8536633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3117688:3121695:1 gene:gene-LATHSAT_LOCUS5977 transcript:rna-LATHSAT_LOCUS5977 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGVSLSTLATCGKSRIYGSSPFEPFKRSHVTSAAGKHLIWNKCVQRLVLIGYPFVSRRRSDFKVEAAWMFRGGGEQGLDANVEQSESANEDILMFFFQLDLATRVQCALNMEEYDIAKQLRNKLTEVEEEVIKQQQSKRGLSSKSDAQDKGLSIIRLRSDLQSAIENEDYALAAKLRDEISKLEAESLAVSAKALAHENAQYVFRLGQKVKHKIFGYRAIIVGMDPVCSESNSWMENAQVKKLSHGSTQPFYQVLVDVRAEPDLLVAYVAEENLLIPDKPDKGRFDHPYMSFLFYGMDSAGDFIPIKQLREKYNKPRHEIPFDEDGEKA >CAK8544213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672015681:672016808:1 gene:gene-LATHSAT_LOCUS12912 transcript:rna-LATHSAT_LOCUS12912 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQSNVGKFKKFTWKVENFSRLKSNAVRSKPFILGGYPWRILLFPKGNIVDKNLSIYLEVVKTANMSEGWRRDVKFKLHVFNQVDAKMTVTKESKHDFNACQRNDWGFTSFMTLTDLHDAEKGFIVKDTCIVGAEVFVCKSTHEKPVNQDACLILGCQTSHEKVEIPNPEPEATNLETCSPLSFQPCEQTDEELVYAALGKVILFLKTRKLKDMNEQACKELQVLWDELKKFKIDLAWLEPQVQSAFGMTTYVEKTLEVEKLKENVAVQELKTEMLKATLAAAQVSLDVERDLLKAKGMKERDLDSELGSWSWKP >CAK8563389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599262826:599265768:1 gene:gene-LATHSAT_LOCUS16808 transcript:rna-LATHSAT_LOCUS16808-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSDSTTNKQSRPSQPLYVPQFRSNVPPPYNNRTHFPPSDDSSFNRSAHRPRGRGGYGYGPPRHFSRPEQQPRNFNYNQDPPHRNNRPPPEPRIEPANGVVANFEAYESIPVEATGENVPPPVNVFAETELHEDLKNNIERCNYVKPTPVQRYAIPIAVAGRDLMACAQTGSGKTAAFCFPIISGIMKDRLGSGLASMPRGGGSDVAYPTALILSPTRELSCQIHAEANKFAYQTGVKIAVAYGGAPIGQQLRVLERGVDILVATPGRLVDMIERERVSLKKIKYLALDEADRMLDMGFEHQIRNIVQQMNMPPPGARQTLLFSATFPDNIQKLASDFLSNYVFLAVGRVGSSTELIVQKIESVQDMEKRNRLVDLLRRNVVNGKLALSLIFVETKKGADALESWLCRSGFPAIAIHGDKVQMERERALRSFKRGLTPIMVATDVASRGLDIPHVAHVINFDLPRDIDDYVHRIGRTGRAGQSGLATAFFSSKNMPLAKGLVGLLQEAKQEVPSWLIQYSQSSAPGGRGSSGSQRSPWRGNYGGRDFRTAAEPVKVQNYNYNSTYSNGRDHTANTYTDTSLDIQNSNNNATFDSTNTEIAGGYNYPDVGLCEGSDGINGPCGYASVVPTGWD >CAK8563390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599262826:599265768:1 gene:gene-LATHSAT_LOCUS16808 transcript:rna-LATHSAT_LOCUS16808 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSDSTTNKQSRPSQPLYVPQFRSNVPPPYNNRTHFPPSDDSSFNRSAHRPRGRGGYGYGPPRHFSRPEQQPRNFNYNQDPPHRNNRPPPEPRIEPANGVVANFEAYESIPVEATGENVPPPVNVFAETELHEDLKNNIERCNYVKPTPVQRYAIPIAVAGRDLMACAQTGSGKTAAFCFPIISGIMKDRLGSGLASMPRGGGSDVAYPTALILSPTRELSCQIHAEANKFAYQTGVKIAVAYGGAPIGQQLRVLERGVDILVATPGRLVDMIERERVSLKKIKYLALDEADRMLDMGFEHQIRNIVQQMNMPPPGARQTLLFSATFPDNIQKLASDFLSNYVFLAVGRVGSSTELIVQKIESVQDMEKRNRLVDLLRRNVVNGKLALSLIFVETKKGADALESWLCRSGFPAIAIHGDKVQMERERALRSFKRGLTPIMVATDVASRGLDIPHVAHVINFDLPRDIDDYVHRIGRTGRAGQSGLATAFFSSKNMPLAKGLVGLLQEAKQEVPSWLIQYSQSSAPGGRGSSGSQRSPWRGNYGGRDFRTAAEPVKVESYNNYNSSYSNYGDNGFNNVQEQVQNYNYNSTYSNGRDHTANTYTDTSLDIQNSNNNATFDSTNTEIAGGYNYPDVGLCEGSDGINGPCGYASVVPTGWD >CAK8572902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570418459:570423718:-1 gene:gene-LATHSAT_LOCUS25408 transcript:rna-LATHSAT_LOCUS25408 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEDPGISGNQYRGTVIVGFSDRIPSDKDNKDNICLQTGEEFSAEFLRDRVGLRRFPVITDAEQHMPNRMDFNVNNSKPVYEDQKHVVGLGRMDSDSNLDLLDIAFARGYVAEVDNRACHNNLTRYQCEHGGTRQASSAFSRQLSIGFSDGCDQVALASNTPRSCQPYGTVVSEGSFYQKIKFLCSFGGRILPRPNDGKLRYAGGETRIISIRKNITHEELTRKTSDICNQTHIIKYQLPGEDLDALISVCSDEDLHHMIEEYEELERGGGSQRLRIFLIASNESESPSSNEPRVNQQSDADYHYVVAVNGILDPCPRKNLSGLNLAGHASQFTTASDYNSPHFHRESSTYAFASDFIDCSPTSSNLAGTMSKQSLFVTSMKVAGKSFDQMPPSPICAQPKDPNISNVQLFTDQPYNVVNENIIPYVMEKIPRDNSLYVDSTSYVDPIAYYNNHPQGPPCMNHHPSNQYPLESDQIRKSNANFHFHRRNNSKEFVSSAIFNQTDTKFERPLVNKEGSYHFNKIVSHTHQSSSVFSMSDDKDVSQYRMLHALSDSTLLENSENYKDHLQFLLNVERDKLSSLGTSSSLEECSMQLEEICDGKERTVLHQNWPTFGMTDSCKRLSEIGKENVQCVGKSTDCFVEKVGAVPQQLQYIYYQHGACSSSPDLQSSECSDSAAPLMSSELARCMTEQPNGIPFDTIASEFSMRSQSSPMHYQYAVSETKDSQPPTPGFFEIRPIDSQTDTESVLPISYPDVVSSSLREVLDPDGNPAYYQNRKEESIVTKKQSYEYIDEFCINKAESATVVKESIDYISSSIQSCLQVVSNTDEEGKHTYSEKSGVVCVNPESESKLGKADNENLNKPIGNSETAETESELYGLQIIENGDLEELHELGSGTFGTVYHGKWRGTDVAIKRIKSSCFAGRMSEQERLTKDFWREAKILSTLHHPNVVAFYGVVPDGPGGTLSTVTEYMVHGSLRNVLLKKERVLDRRKRIMIAMDAAFGMEYLHLKSIVHFDLKCDNLLVNLGDPERPVCKVGDFGLSRIKRNTFVSGGVRGTLPWMAPELLDGNSIRVSEKVDIFSFGITMWEILTGEEPYANMHCGAIIGGIVNNTLRPSIPKRCDSEWKKLMEECWSPDPEARPTFTEVKNRLRNMSATLQKKRPNVGIR >CAK8561571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:302392904:302396523:1 gene:gene-LATHSAT_LOCUS15149 transcript:rna-LATHSAT_LOCUS15149 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEISVDQIKHLQILLRKDANLSWYQPEKEENLPLPKLASVAETVAKLDPSPPYLRCKNCNGRLLRGVQSFICVFCGANPHKDLPPDPIKFKNTLGYRWLLESLQLDGSEMVEPIVDEHASNRARSDSNNEIPLSELIDLEIQWHSEAEGTLSSNSDSEAFPGESLLSLSGVDVDSFFDRRESSSNVSELNSVFERNLGAASAASDNTFQANENLSLFQNVQASEALGGSVEDQSGDSFSGWEANFKSASSGPVHEESKSVDHSKVELDTVSGYRNDSVGVTKNDDFNPSASEDDWFHCDGFRTSNSMIDGQSGKLEKTGDLLRMEKEEIVNASSTRNLDWMQNDQWQESDTKIPDIGATNEDDSWNDFTGSASTQDRSGIVSSSTITAQTGNFEFPAVNLTDTKPEEGANSSSNKEFDWMGDNQWQDNDNKATDNVGTKEATVSFDSWNDFTGSAAAQYSSPSVSNAEITDQTGKFEKTEDPNDTKTVESAVGSSSNFDWMQNDQWQGSNNKATGITNTNDVTDSFDAWNDFTGSAISQNPSSSVSHSEIKDQTGISEFTAGLHDTNTVKGGNASKVKSFDWMQDDQWQVSNNRTTDTVTTKDDAGSFDVWNDFTNTASRQEDPFKNVPLQTLYQTHSEKTVEMNLFGSSNNSHDMDFSGFSRHGFLEQFDNPLSIPAATNAQHTSSSLNRVADEDTVRQNPRDVSTADVGSKDDDVEILMSQMHDLSFMLESNLSFPSK >CAK8567686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536382881:536388243:-1 gene:gene-LATHSAT_LOCUS20715 transcript:rna-LATHSAT_LOCUS20715 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENVGTKVDASGENLDKSVVSSDSTEVEKSKPRSDQNLNGNNNTNGGVFDHQPDSVVPAAAMTVPNGNHGFKSHQMGQMHGNGAQNQNQLLVVNNGGESFKREMRDLEELLSKLNPMAEEFVPPSLVTNYQGYLAAAGPNAGFGYPNNFLLPNNSGNANGQNNGQINMRRKNGYNNAKRRVNHKMDMEKREEMIRRTVYVSDIDQLVTEEQLAAIFLNCGQVVDCRVCGDPNSVLRFAFVEFTDEAGARTALNLSGTMLGFYPLRVLPSKTAIAPVNPTYLPRSEDEREMCTRTIYCTNIDKKLTTADVKHFFESLCGEVQRLRLLGDYHHSTRIAFVEFTVADSAIAALSCSGVVLGALAIRVSPSKTPVRPRATRPLMH >CAK8562367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479055645:479058900:-1 gene:gene-LATHSAT_LOCUS15869 transcript:rna-LATHSAT_LOCUS15869 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVEGALLGAVVQEGAKPITNQISKAFHFKTTRKNLDSLVDRLMPFAEEIKLLDEETDPPNKEPERLIQELKQGKELVNKYCKVPWWKFCFLPFYQEKLHAMEQKIVRSITLVTAISTARDVRKANSLVTGMKGRQFNRLCDPPVKPDHTVGLDFLLNHLKNWVLGTDESVRVLTGLPRSGKTTLATLLCWDGQVRGKFGKNILFLSKTSNAENIVQNLFQHCGHDEPCLVDDGDAVKQLSSLLKKIGGNCPVMLVLDNVCPGLESFVETLQVQVPFCKILIISRVVFPRFETLCLRPLGIDDAVTLFRRFALPDDGKRGTYVPDDEYVRQVANSCWGSPGTLKLIGGSLRGQPVAVWKKMVKLLSKGHSIACSNPNLLNLLQKNLEDALENNPIIKECFMDLGLFFEEKKIPVAALIDMWTELNDLDDDNIDGMNFVHELDNMNLANIVVARKVTSHVDNYYNHHFLTQYDLLKEIALIQARKKPYEQRERLIFDINENSWDRQNQQNTNARALSISIDKMFTPDWSNIVKVEQVEVLILNLHTDKYTLPDCIRKMTKLKVLIITNYNGFSFAELDNFEILSCLPNLRIIRLQQVSVPPLCTLNSLRKLSLYNCKTQHDFGVSISKILPNLEELCIDYCKDLVTLPVGLCYITSLKKLSITRCINFLAPPQEIGNLENLKVLRLSSCAELGEIPASIGNLHELHFLDISGCASLHTLPEEFGDLHNLKELHMTGFSTVPMSVTKLESLKYLICDQETAECWEHFKPSLPNLKIEEAEVNLFIIV >CAK8564155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654654546:654655478:1 gene:gene-LATHSAT_LOCUS17484 transcript:rna-LATHSAT_LOCUS17484 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLCKDKRLHEATHLLYSMFWRISLKGNGEDVVIYRTLLDALYDDGKFEEAVEILGKILRKGLKAPKRCYNQLDLSQCGDGKDAEVTKRRIHEALVRGSVPSTASYNAMAVDLYEEGKIDEADKVIVEMKNKGFKPKHFIFEAKVAALCKVDTVDEAIKVIEEDMVEVNCLPNARVYNILLKTLSNVGSSTLVLESLNKMSKKVGCMGDKETYNILLEMLCHERKHLEASKILEQMSIKSYWPCANSYNLLIEGICSLGWQYEAVMWLEDMISQGKLPEISVWSAVASSFCNSDLLKVSVEMFNRLRSL >CAK8573823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640111721:640112272:1 gene:gene-LATHSAT_LOCUS26226 transcript:rna-LATHSAT_LOCUS26226 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGQTMLMALTVTVNKYASSNIQAVHKKQPNQILTSTNVGFGRRGLVLSAVVAAAQIPESRTQLLQKYLKKSEENKEKNDKERVDSYYKRNYKDYFEFIEGSLKGKDGKISEAEKGILDWLEANK >CAK8562267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463678196:463678975:1 gene:gene-LATHSAT_LOCUS15779 transcript:rna-LATHSAT_LOCUS15779 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEINPFTNLLTDEDNKPNAFSTVQDDSPKHELLQKHFLSSIQSTVAIRLLPSEGLSFQLWPAATSLVSLLDSHRINPTNSPLSSVFTTRNSNANANAPLRILELGSGTGIVGIVAAVTLGSNVTLTDLPHVVPNLKFNAEANAGVVGSSGGSVTFAPLRWGHADDVELIGREFDVIVASDVVYHDHLYEPLIETLRLLLVGKKIVFVMAHMKRWKKECVFFKKARKHFSIDVLHVDTPCNGSRVGVVVYRFVGKG >CAK8543297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596850953:596851390:-1 gene:gene-LATHSAT_LOCUS12063 transcript:rna-LATHSAT_LOCUS12063 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKKPYMFLTFLILRPSSPKAGIDVYLQPLIDELKRLWIGEWTYDISCKQKFTLRAALIWTINDFPAYGMLSGWGTHGKMGCLYCMEFTKAFTLEFGGKSLWFNCHRRFLPRDHVFKRNKTNFKKDVRVKDLPPPRLSPEEIWN >CAK8561041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:80327126:80333159:1 gene:gene-LATHSAT_LOCUS14667 transcript:rna-LATHSAT_LOCUS14667 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSVIKDAKVLMVGAGGIGCELLKTLALSGFSDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPKINITSYHANVKDPDFDVDFFKEFNVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKELLFSKLFGDKNQDNDLNVRSSDAASSSKNVDDIFEHNNDEDIAEYGRRIFDHVFGYNIELALSNEETWKNRNRPKPIYSKDVLSDDLAKQNGNLDNYNACGDGLSVSAMSSLGMKNPQDVWSLRENSRILLEALRLFFTKREKEVGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLKNDVNRYRMTYCLEHPSRNLLLMPVEPFEPNKSCYVCSESPVLLEINTNRSKLKDVVEKIIKAKLGMNLPLIMNASNLLYEAGDIEEDMVAIYDANLEKVLAELPSPVTGGTMLTVEDFQQELKCNINIKHREEFDEEKEPDGMVLSGWTQPVSAEETKDKSISNGANTTDAPTAAESENDDDVGIISPVKKRKLPDDSDKPNTADDAKHQKQLQVIDDEDDLVMLDGNLDGFKKRRVS >CAK8565178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:58464564:58464809:-1 gene:gene-LATHSAT_LOCUS18413 transcript:rna-LATHSAT_LOCUS18413 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMTNGIYKAPEHRAVVNKEKERLSIVTFCYPNPSIDIGPVEKLTDEGNQQVYKNMTNAEYFNRFFDRKLYESFIDSLRL >CAK8576985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529604996:529605637:1 gene:gene-LATHSAT_LOCUS29135 transcript:rna-LATHSAT_LOCUS29135 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSDSGIFKAIIIQRDKLMTIQSTWDAMLQMGKFHGRKVYQSLLPITPNVPWAKLILHNRDRPRAIITLWTICHGKLATKSRLFRFGMINNNKCAFCNEEETIDHLFFCCVELKQIWSGILQWLGIQHTPKRWQKEMQWALSNYGGKGWQSDLVKLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPRIARFILP >CAK8579670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715353165:715354700:1 gene:gene-LATHSAT_LOCUS31598 transcript:rna-LATHSAT_LOCUS31598 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNDSPKERNRDWIRELMNSSSGYCDDHHDLRSSENNTFCVDCAVRMCRHCKEAHSMHTMFQIYRYSYQDVFRHSDLHKHFDCSNIQTYISNNERIVHLKPRPPIYKSKPGDQCPESKSKESNLSARFKLGGTTCEECGKHLQDERSRWCSIICKISALPVEPQSQGPNHHTQRSLVFNQSGRCTITPKIEAIDFTMNDNLNSEPESSISEAEPCGRVEVVNFRKRPRKTPPQRPHFVFIS >CAK8560374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16522559:16523597:1 gene:gene-LATHSAT_LOCUS14051 transcript:rna-LATHSAT_LOCUS14051 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNEIKGDYAPLRDPEHPQLGRFDKPLPCFGCGIGWFSFLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTITVVITIVIIFL >CAK8544117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664187039:664194788:-1 gene:gene-LATHSAT_LOCUS12820 transcript:rna-LATHSAT_LOCUS12820 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFFHYKFSLFSLLSLVFITFTSSHIIPKFPSPLIHPEQHIIKSASVQNGLYRTKFFTQTLDHFNYNPQSYQTFQQRYLINDSFWGGAKKKAPIFVYTGNEGHIDWFTQNTGFMFEKAPYFNALLVFIEHRFYGKSIPFGGNKKVAYANSTTLGYLSSTQALADYATLIIDLKKNLSATHSPVVVFGGSYGGMLAAWFRIKYPHVAIGALSSSAPILHFTDLVSPYAFDNIVTQDFKSVSENCYKVLKGSSKLIEETAQKHGGLELLRKKFRICNNYMEVDALEGWLQTAWVYTAMTDYPTPTNFLNPLPAYPIKKMCEAIESSKDRLGKLYEAANIYYNYSGNAKCFNLNDHSDPHGLAQWQWQACTEMVMPMASNNESIFSPYEWSFEDFSASCKNAYNILPRPNWITSEFGGHDIEVVLKRSSGNIIFFNGLRDPWSGGGVLKNITKSIVAIVAKEGAHHVDLRYSTKEDPKWLKDIRKQEVEIISSWIKQYYQDIAKRSSSCVFDYV >CAK8539160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505764206:505764552:1 gene:gene-LATHSAT_LOCUS8280 transcript:rna-LATHSAT_LOCUS8280 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKVWFKLHSTQKKRRGIYILYEDVKSCPYEDVQILWSILVESHPPSISSQK >CAK8568951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659096679:659104644:-1 gene:gene-LATHSAT_LOCUS21857 transcript:rna-LATHSAT_LOCUS21857-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVIGEETRFQPIEDRLTKSASPAEVGLLIGKFSSTLDRVFLFDLIPTPPNDSGDPASSITQPDKKTASKSKSQTPDSSSLFIDKEWVSEHARQVSRMLVGGIKVVGVYVWVSDNAFKNSTIMLCQTVKGVAEAALLSEANWGERLLLHICYGPRRWNCRNCSLSSNITSSSLRPCEFKLGKVLSTFQTFRCMHNFNLRLPILHDGASKFQTLSDVLHHAISLHAKELSDSKALIDGKWVLDNEPCSSDGVHEVELLIPFLNNSSIEAYSQKDVSGILSFSGTICSFAYLNSKEPISQAVTDIKGDIITSLQSRLDIISDETDVDSDNHHDVGRQVSDDDVSVEKPVSQLVLRLLRKECSLPFPRRVFTPWLAGTYVCDYLQPSETVEVLKDHCMELLSMKAPTEVSKILEPEKEVISFKSKSFWDVAVPSYSETHIMENNLKLDGRGEGSSIKSAKSGQISAVAAGLILLLSVLVGFVLFVLKG >CAK8568950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659096513:659104644:-1 gene:gene-LATHSAT_LOCUS21857 transcript:rna-LATHSAT_LOCUS21857 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVIGEETRFQPIEDRLTKSASPAEVGLLIGKFSSTLDRVFLFDLIPTPPNDSGDPASSITQPDKKTASKSKSQTPDSSSLFIDKEWVSEHARQVSRMLVGGIKVVGVYVWVSDNAFKNSTIMLCQTVKGVAEAALLSEANWGERLLLHICYGPRRWNCRNCSLSSNITSSSLRPCEFKLGKVLSTFQTFRCMHNFNLRLPILHDGASKFQTLSDVLHHAISLHAKELSDSKALIDGKWVLDNEPCSSDGVHEVELLIPFLNNSSIEAYSQKDVSGILSFSGTICSFAYLNSKEPISQAVTDIKGDIITSLQSRLDIISDETDVDSDNHHDVGRQVSDDDVSVEKPVSQLVLRLLRKECSLPFPRRVFTPWLAGTYVCDYLQPSETVEVLKDHCMELLSMKAPTEVSKILEPEKEVISFKSKSFWDVAVPSYSETHIMENNLKLDGRGEGSSIKSAKSAAGLEFVLEGMRKILPNRFSIRFAWYQQQ >CAK8569511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3648642:3651443:-1 gene:gene-LATHSAT_LOCUS22356 transcript:rna-LATHSAT_LOCUS22356 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTLHKLVKTAPSKALVVRFNLFCLSIFLIVYATLLLRPSSSVYFENAASLVRCSLRECHHKEENSIKMKAILEELPREKSLSTKKNGTKIEVPIFLGEKIGKGMKIGMVNMNEDDDLSEWDIHGETIPINFEKVSQFFNWTDLFPEWIDEEEESDVPTCPEISMPEFEAYDKMDLIVAKLPCKYPLEGWGREVLRLQVHLIVANMVVKKGKKDWKLKSRVLFWSKCRPMLEIFRCDDLVKHEDDWWFYEVDVKKLEQKVSLPIGSCNLALPLWEQGIDKIYDISKMEQTVRSKTRAKHEAYATVLHSSESYVCGAITLAQSLLKSGTKRDLILLIDNSISARKRHALAAAGWKIRTITRIRNPRAENGTYNEYNYSKFRLWQLTDYEKIIFIDSDIVVLRNLDILFNFPQMTATGNDQSIFNSGIMVIEPSNCTFSVLMSRRYDIVSYNGGDQGFLNEIFVWWHRLPRRVNYLKNFWSNTTVEASVKNGLFGSDPPKLYAIHYLGLKPWNCYRDYDCNWDVEDQRVYASDVAHQRWWKFHDGMDNKLQGFCRLTRQRRTELNWERRRSMKMGSLDYHWKINVTDPRKSGSLLMN >CAK8569512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3648642:3651093:-1 gene:gene-LATHSAT_LOCUS22356 transcript:rna-LATHSAT_LOCUS22356-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILEELPREKSLSTKKNGTKIEVPIFLGEKIGKGMKIGMVNMNEDDDLSEWDIHGETIPINFEKVSQFFNWTDLFPEWIDEEEESDVPTCPEISMPEFEAYDKMDLIVAKLPCKYPLEGWGREVLRLQVHLIVANMVVKKGKKDWKLKSRVLFWSKCRPMLEIFRCDDLVKHEDDWWFYEVDVKKLEQKVSLPIGSCNLALPLWEQGIDKIYDISKMEQTVRSKTRAKHEAYATVLHSSESYVCGAITLAQSLLKSGTKRDLILLIDNSISARKRHALAAAGWKIRTITRIRNPRAENGTYNEYNYSKFRLWQLTDYEKIIFIDSDIVVLRNLDILFNFPQMTATGNDQSIFNSGIMVIEPSNCTFSVLMSRRYDIVSYNGGDQGFLNEIFVWWHRLPRRVNYLKNFWSNTTVEASVKNGLFGSDPPKLYAIHYLGLKPWNCYRDYDCNWDVEDQRVYASDVAHQRWWKFHDGMDNKLQGFCRLTRQRRTELNWERRRSMKMGSLDYHWKINVTDPRKSGSLLMN >CAK8563915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638740568:638743087:1 gene:gene-LATHSAT_LOCUS17273 transcript:rna-LATHSAT_LOCUS17273 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLKKSENLARFLYQKTLHNMAYSIGYDPCSHLTKVYATNVFGVSRRIGFGRKGCGFHSGSSNCSRFFVRANARSRGFASDVEKGSSVGGDATLVKVDDGGLNGSPATPRCFRDRRLSEKVVVAVDVDEVLGNFVSALNKYIADQYSSNYSVSEYHVYEFFKIWNCSRDEADIRVHEFFKTPYFKSGIHPLPGAQMALQKLSRFFNLSVVTSRQNVIKDHTIEWIEKNFPGLFHEIHFGNHFALDGISRPKSEICRSLNAKVLIDDNPRYAIECADVGIRVLLFDYENSYPWSKNELVDEHPLVTKVKNWKEVEQQLMSLIAS >CAK8577657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581886204:581886596:-1 gene:gene-LATHSAT_LOCUS29744 transcript:rna-LATHSAT_LOCUS29744 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVPSNNNPFEGSAFSSSMQELSRENPSFLNEQVDWKETADAHVLKADIPKLKKEELRVEVEDGRVLQIRGERSMEREEANDGCHRVERSSGKFMRSFTLPSNCKLDGVKASMEDGVLTVTIPKDAAQE >CAK8540952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35225995:35228735:1 gene:gene-LATHSAT_LOCUS9906 transcript:rna-LATHSAT_LOCUS9906 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSGEPFKDLVIGNSCNCLSGQSQDEEHNYHQKPNFGIKFTKKPQSNTFRKSFAGLESTREHHEEEFGRESQDDAMFDLFPGFLAIGTLGSDTPTFPNSSVQTITENENEDEVTENDLKLINDELEKVLVAETKDDVISYDSSSRNSHVSTGRSSHVSIITITGKPIEATGTGTGTGTRDSYSNAVCPLQGYLFGTTVEYSETAAASVGGNKKEHRTSLGELFQRSKMAEESCFGKDEKRDAEKYSAMNLMKEKVKKRMFHSCSKNSSSVNGGNGDSVSPETKLNKILHMFRKKVHPENSTSGHKSGKHKKNESKKKMMNDGGFNKGYLVHPDEDSSSYREHWIKTDADYLVLEL >CAK8575464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:127484581:127504944:1 gene:gene-LATHSAT_LOCUS27724 transcript:rna-LATHSAT_LOCUS27724 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPPPPEKDPPPATPSPVSSRANKLQKTPHILSRLTVTSSDHEVADFAEFEDQEESKIHEEDCAVPQRHFERGESSSSSTSSSILLPSSLGLNSIRTRLSPLRHSSSAGAPAFAIKEAAVPVSINNAAKSRSKSSHPRDLGEKFNWNKSKSLKAHHTHLLPVPEGNRAAFAEIQSPRFQEILRLTSRRHRRNPDIKSFSHELNSKGVKPYPTWKHRASWHVEEVMVEIRNKFEKLKEEVDSDLGGFAGDLVGTLEKISGSPCEWKEGLEDLLVVAQQCAKMSAAEFWIKCETIVQKLDDKRQEIPVGILKQAHTRLLFILSRCTRLVQFQKESDQKEQDHVLSLHQLSDLGVYSEQILKAAENCSVPPSGHEMAEKQLPKSHGKEQEKLVTKQSKDDQHASAATDSAEVTTAESVESTPSSYKMASWKKLPSAAEKKRVCQDAVVKDEHAETLDTSSCQPENSQTSSRMRKDSWGFWGDQQNLPYDYSMIICRICEVEIPIVSVEEHSRICTIADKCDLKGLTVNERLERVSETIERLLESWTPKSTPKSTDTHGESFEFARVSTSSLHDEFNELSLERNNLSCRCSEDMLDPAPEPDISFVADDLNHSPEITCDTQICLKPDHSAKVSSPGSLTPRSPLITPRTSQIEMLLGGRRHISELESYDQINKLVEIARAVANVNNCDYSSLEYLLERVEELKYAIQDRKEDALIVETFGRRIEKLLLEKYATLCGQIEDEKVDSSNSMADEESSIEDDTVRSHRASPINTCSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNSVQDILAERDILISIRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSMLRNLGCLDEDMARVYIAEVVLALEYLHTQHIIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTDDLSAPSSFANGFLDDDKQKSRHVSKREERQQQSVVGTPDYLAPEILLGMGHGATADWWSVGVILYELLVGVPPFNAEHPQQIFDNIINREIQWPKYPEEISYEAYDLMNKLLIENPVQRLGTTGASEVKTHPFFKDINWDTLARQKAMFIPSTEALDTSYFMSRYIWNPEDEHCAGGSDFDDITESYSSGSGSHSSDVDEDECHSLTGRFPPSDPLLTGQYSFSNFSFKNLSQLVTINLDVVYKNKESPSDSNPSAS >CAK8535820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883500823:883503567:-1 gene:gene-LATHSAT_LOCUS5240 transcript:rna-LATHSAT_LOCUS5240 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPNWWNMHPQSLNIPQYMLGSSSIPFNSLSENAQVPPQSWSQLLFTGLPGEEERLGFDHFQPKNTENWDVQILNPSSRIPIMDVIKHEVSQSENFYNQGHHHHEEFHTSGLGSSWSHMVPVSSPSSHVTTSLSSDNILDFTYNKLDHSKNQLPDQISECNSSTVGVNKKARVQPSSSQAPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLDTAASKNMMRNQHSVHVERNSVFPEDPGQLLDDTGLKRKGAPIPNQNVEGNKIKDLRSRGLCLVPISCTQHVGSENGADYWAPAFGSGF >CAK8579435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699048678:699050359:1 gene:gene-LATHSAT_LOCUS31383 transcript:rna-LATHSAT_LOCUS31383 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNSPRVTVVGTMEFVGSSTHKKHKAKAKDDIISNLPESLITRILSFLPTKDAVRTSVLSKRWIEKWTLITKVELNDSVLYSSKKKKSSSSEKQCFINFVNRVLLFTGSHSMESFSLVITSKHDLTLLNTLITYILKKRVRRLSISLREGLLFSALTSHYLFNHATCLEQLVLATSHFAAIRIKIPLYKIYGVFLFTNLKLLKLSRVVFTVDKSQGIVFPVLKKFETHNCSWLSAHDVTLELKAPLLESVYITQDYMSLIDQPRLCKIKFSDSNLKEFTYRGDDLSQAIVLSDPSSACNATVSITLSNNRNIVQGSESCVCLLLKQFSQVKRIKFEVYKFWIQPNLASVPKFAMLSYLELVGLVSVEVLLCLIQKCPVLNTLVFKEILEFNHELRNSAAVPDCLASTLQVVKFGDVHGDEHELILAKYLMENGTVLERMSFSFADAPLGKSNSKVIEEFKEKLYSFKKGISFAILEFSYDY >CAK8579436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699048717:699050359:1 gene:gene-LATHSAT_LOCUS31383 transcript:rna-LATHSAT_LOCUS31383-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGSSTHKKHKAKAKDDIISNLPESLITRILSFLPTKDAVRTSVLSKRWIEKWTLITKVELNDSVLYSSKKKKSSSSEKQCFINFVNRVLLFTGSHSMESFSLVITSKHDLTLLNTLITYILKKRVRRLSISLREGLLFSALTSHYLFNHATCLEQLVLATSHFAAIRIKIPLYKIYGVFLFTNLKLLKLSRVVFTVDKSQGIVFPVLKKFETHNCSWLSAHDVTLELKAPLLESVYITQDYMSLIDQPRLCKIKFSDSNLKEFTYRGDDLSQAIVLSDPSSACNATVSITLSNNRNIVQGSESCVCLLLKQFSQVKRIKFEVYKFWIQPNLASVPKFAMLSYLELVGLVSVEVLLCLIQKCPVLNTLVFKEILEFNHELRNSAAVPDCLASTLQVVKFGDVHGDEHELILAKYLMENGTVLERMSFSFADAPLGKSNSKVIEEFKEKLYSFKKGISFAILEFSYDY >CAK8579219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684855257:684856825:-1 gene:gene-LATHSAT_LOCUS31183 transcript:rna-LATHSAT_LOCUS31183 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSNRRNTDMMKLMMANYKVETENDSMHEFFVEFHGPENTLYQGGVWKIRVELPETYPFTSPSIGFTNKIYHPNVDEISGAVCLDVLNQTWSPICDLVIVFESFLPQLLIYPNEFDPLNRDAAALMMQDSAAYDLKVKEYCERYAKPEDIGASEEDSSDEELSEDDDSASNDDGVAGQPDP >CAK8540257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547174936:547177548:1 gene:gene-LATHSAT_LOCUS9280 transcript:rna-LATHSAT_LOCUS9280 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGFSGLYKNSSEELFLKTVMESPIGMPVPTMEMLGFKTVSQSFRADSEELFKRWLTNEEGYNSTSMGLNSRLSKRISTELVNVSNQQHVGVASDGRNNDKSCLQNSFLTNDVSGDFNFPIREPVDRELQSGNLFLAKAWFLTDQRMTRSRSSELRRRYTEMQNTQAPQGLDSMFMVPKHDANIIKEELAHFNGFDYLSMCEIPSQKGSFMSPSNSSSSTFNTQQLVDVDKVSSCVSMLKGTLQRKRLECQVEKDAAEDGLNEIFGIREPLFHSGFNEGQENWNHQKLVNVQGDFTDQVKDTGVIETLEGAANFVLEGFTNQTNQINGGTASGEPSQSESSAAAPVISSGLDACEGPSNSSQTLCDSSWKQVGESTQNRAKGVREQIMDNLKDDRKRKRLERYGSVTSAVSDDKVDTTKKRRVERSRKMAEAKERNLTPTIPSDMQAVMKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEELADEKERLLEEIERLLSETGKI >CAK8567356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508475262:508475684:-1 gene:gene-LATHSAT_LOCUS20420 transcript:rna-LATHSAT_LOCUS20420 gene_biotype:protein_coding transcript_biotype:protein_coding MFASDQIQLSWQHLCLIQLCNFGMLQIQVFSLQAYSGHSSHVASLDFHPCQITIRSQKPIKRYLFEKEIQFKTASRVLYISKQRILINQNILKVITIKSHRKGSLLNRHQHNQTEKEDLQILSITKIEGPILHSWHIIRV >CAK8542485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519750425:519751603:1 gene:gene-LATHSAT_LOCUS11324 transcript:rna-LATHSAT_LOCUS11324 gene_biotype:protein_coding transcript_biotype:protein_coding MANESVYLPPELITNILLRLPVKTLLRCKSVCKLWLSFISNPDFATSHFQFAASPPTHKLLFLQDYSDAPETPFIDFDAPETLSINFDASLNDDSLYSSLSLDFLRSRSCEIGGSCRGFLYLHCDSYFYLWNPSTGIHKNIPASPIITTASIDYFSMLLYGFGYDSSTDDYLLVYGSYNYKTFYDLADTSIDLAIFSLRANEWKLIEYGSHLPYRIIPKCGDGPTAGLLLNEVIHWLVYNDETSSYAILALDLKETKMSEIALPDEFILSVTNTSLIDYDLLVVGGLISAWNVEKYTVEIWVMQEYKLHSSWTKTLNFSFHPGLDFLPLCFTNCGNIVGTVHGGGLVKLNDKGQLLEHHSYGNCYFERSQMTVYTESLLSLPSGTGQALDDS >CAK8536492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946698756:946699184:1 gene:gene-LATHSAT_LOCUS5855 transcript:rna-LATHSAT_LOCUS5855 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNNVTKAMDRIGGNLVTEREFEDLRSLMDHAGLYEKESMGDYYTWTNKHSIGTIYSKIDHVLGNIAWLQENINLKLEILPPSISDHCLLKLNADVVNRTVQKNFKFTNSVVKIEGYHDTVKQSWNKELKADLWQDCGIN >CAK8536544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953729812:953730758:-1 gene:gene-LATHSAT_LOCUS5903 transcript:rna-LATHSAT_LOCUS5903 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFQVILRVSFVAMLIKLVMATNHIVGGPNGGWDTSSDLQSWASSQQFSVGDNLVFQYQQNHDVVEVTKSDYDSCQQTNAIQSYNDGATTIPLTSQGKRYFICGTIGHCSQGMKVEIDTLATQISPVSPAAAASPPIADSPIISITPSAAPEETTTTPPAESPESPSPLFETQTETPTLSPVIPSTEFLAPNSPLPQHSQDASASSTVKGNLQAFISMVLSFAAVFMTFF >CAK8530635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36802116:36802880:1 gene:gene-LATHSAT_LOCUS485 transcript:rna-LATHSAT_LOCUS485 gene_biotype:protein_coding transcript_biotype:protein_coding MENIHVRNSSEDTILENVWAKIISNDDGRRNGNEGECSKSNTWEDLPNLGERGSGSVEILQRLPSLGRWISMGADFWEEVLSNTEDFSSSKSMEKNESVSTSKKKVEEVVVRKERKRDEKHYRGVRRRPWGKYAAEIRDSSKKGARVWLGTFDKAEEAALAYDKAALRIRGSKACLNFPIERVTNTNTNTNTNSERLLDSRKRGLRDSEEFVDVMNIEEPVTKKMVGLEERLENDVFVFQDLGVDYLDSLLSSF >CAK8543621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627245625:627246977:1 gene:gene-LATHSAT_LOCUS12369 transcript:rna-LATHSAT_LOCUS12369 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKKRTCLESMKKKRKSCIKSDFYLPDDCWEHVFTFIINPIDDIKKLNFKSLSLVSKQFLSITNHLIFSMKLDHLHLSYLPCFFHRFSSLNSLDLSFGSCYLDSSHAATALALRDRSTLKSLSISWIMLTDANHTTLHYIASFVSLKGLNSLKFLSSRISDDLLYSIAREALPLKTFVLESCTGYSYQGIYALLSKCHWIQHLGLQGVDFLTNHQFSQLSLLLPDLVSINLSYCFKLPQSTLFAFIKNCHSLDEIKMHYIERRSLENSDTLKDFHVNPPLKFLNLSHNLFINDDIIILLASILPNLQLLDLTCCYHISEKSICQVLSKCCKVRHLYLTDCKNVRELQINSVLHRLETLNLSGTRVNDKTLYDISKTCCGLLKLALAGCKYVTEKGVMRVVEKCRNLEGIYLSGCDNVNVDAMKISMLSSNQSLEKYNCSDFAQLRLMF >CAK8566982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477603845:477604537:-1 gene:gene-LATHSAT_LOCUS20076 transcript:rna-LATHSAT_LOCUS20076 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQQEEPEEQQQQPLLLNTTKVVEYLEPFMSLELLCKFPDNSAYDFDYSQSTIWSPLVPRPYSPMDLDLITPKKLSYDFAIGARCSVNKVGSKVSKKFSSSSFNLKFDFVKKVKNNKKVASDLLPTPPRVKCAWNPIINNKQWGRALKAATKQFKKWKVKRDPVAHVMLQKSFKDGDF >CAK8542847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553439389:553441351:1 gene:gene-LATHSAT_LOCUS11651 transcript:rna-LATHSAT_LOCUS11651 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSPGVARDAFNTFFSETGSGKHVPRALFVDLEPSVIDEVRTGLYRQLFHPEQLLSGKEDAANNFARGHYTVGREIVELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICKKSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQISVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVSNIKSKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVMRAVCMISNNTAVAEVFARIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGEVGDDDDVDDY >CAK8566213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391631777:391632919:1 gene:gene-LATHSAT_LOCUS19366 transcript:rna-LATHSAT_LOCUS19366 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIGVTHSGFTLDEYWFASDTSSGYLEDAITGWDIWCNQHNLPSKEDQLLQDHKKSSSSSENHAVKHDSPQRSCCASKESDENDASISRGQWKKIAYPFELVKPGGVEGETTIRDINHQMMMNPSKPIPHPVVVQDYESSSFINSNRSYGISGKEVAALTRIQTRGRGSITIIRTKG >CAK8562686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:525698115:525698834:-1 gene:gene-LATHSAT_LOCUS16161 transcript:rna-LATHSAT_LOCUS16161 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKEYQLRCELHGHEDDVRGITICGNDDIAASSRDKTVRLWSQENHKFVSSKLLVGHTSFVGPLTWIPPNSDLPQGGVASGGMDTLVLVWDLNTGGKFHTLKGHQYQVTGIAFDDGDLVSSSIDCTLKRWRNGQCVETWEAHKSAIQAVIKLPTGELVTGSSDTTLKTWKGKTCLHTFEGHSDTVRGLAVMSDLGILSASHDGSLRLWAVSGGVLHVHGNTKDSEECTMEQTCGKC >CAK8534488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:734481308:734484397:-1 gene:gene-LATHSAT_LOCUS4031 transcript:rna-LATHSAT_LOCUS4031 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIQILGLFYMVCFSFFVVNTVSESVKKGSVVIDGSSVIGNIDEDFVCATLDWWPPQKCDYGTCSWGLASLLNLDLNNKIFLNAVKAFTPLKLRLGGSLQDKVIYGTDDYDQPCTPFVRNESEMFGFTQGCLPMPRWDELNDFFQKAGAKIVFGLNALNGKSIRSGSAIGPWNYTNAESFIKYTVGKNYDIRGWELGNELSGSGVGTRISADQYASDFASLSDIVQNAYKDVEPKPLVIAPGGFFDATWFKEFITKSGNSLDVVTHHIYNLGPGVDTHLVERILNPSYLDGEKSTFKALKDVLGSTATSATSWVGESGGAYNSGHHLVSDAFVYSFWYLDQLGMSASYDTKAYCRQSLIGGNYGLLNTTNFHPNPDYYSALLWHRLMGRRVLSTTFSGTNKIRAYAHCAKQSKGITILLINLDNSTTIEATFGNTNNLRYRKMSYSSKIMKQPLASASETAREEYHLTAQNGNLHSQIMLLNGNVLAVNSDGEIPPLEPIFVNSSKPIRVAPFSIVFSHIPDAVLSACG >CAK8575085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27842755:27846149:-1 gene:gene-LATHSAT_LOCUS27375 transcript:rna-LATHSAT_LOCUS27375 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSLSLTTPHLTTPSTTTTRLSSLPSTISLTRSPTPTRLSLSQSQTHLRRTAIKASAASTLTTAESSLVEKSVNTIRFLAVDSVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPFWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKVEDLKEFRQWESRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIIDHYTYCILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVTDRPTLIKFTTTIGYGSPNKSNSYSVHGSALGAKEVDATRNNLGWPHEPFHVPEDVKKHWSRHVPEGAAVESKWNAKFAEYEKKYKEEAQVLKSIISGVLPTGWEKALPTYTPEIPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKASGNFQSDSPAERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAIRLSALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYRVAVLNRKRPSILALSRQKLPNLLGTSIEGVEKGGYIVSDNSTGNKPDLILIGTGSELEIAYKAGEDLRKEGKTVRVVSFVSWELFDEQSNAYKESVLPAAVTARVSIEAGTTFGWEKIVGSKGKAIGIDRFGASAPAGRIYKEFGITKEAVIAAAKELI >CAK8563190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581960675:581963380:-1 gene:gene-LATHSAT_LOCUS16624 transcript:rna-LATHSAT_LOCUS16624 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLIKRVTGIKNPRADNNNKLSDLESLADKCATSPLTLMRVMGFFVVSFMLFSVLFSLSVVLRDPPSDAAISSTTTLFQLNQGLGSDDEFDSVELLNDKLLGGLLADGFDVKSCLSRYQSAIFGKGLSGKPSSYLISRLKKYEARHKQCGPYTESYNKTVKELGSGQFSESVDCKYVVWISFSGLGNRILTLVSAFLYALLTDRVLLVDPGVDMTDLFCEPFPDASWFVPPNFPLNSHLNNFNQESNQCHGNMLKTKSITNSTVPSFVYLHLAHDYDDHDKLFFCDEEQLFLQNVPWLIMKTDNYFIPSLFLMPSFEQELNDLFPKKEKVFHFLGRYLLHPTNNVWGLVDRYYNAYLAKVDERIGIQIRVFDTDPGPFQHVLDQVLSCTLKEGILPDVNREQNINSSSVTPKSKAVLITSLSSAYFEKVRDMYWEFPTETGEVVGIFQPSHEGYQQTQKQFHNQKAWAEMYLLSLTDVLVTSSWSTFGYVAQGLGGLKPWILYKPENRTAPNPPCQRAMSMEPCFHAPPFYDCKAKRGTDTGALVPHVRHCEDMSWGLKLVDN >CAK8541641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:324726524:324726836:-1 gene:gene-LATHSAT_LOCUS10546 transcript:rna-LATHSAT_LOCUS10546 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPWASNFVAGSVFLSFIPFQSSNKVLCKSVAAKICFQMHEGLRKWWCHSKCVLYVCDDVTVVDLLVELDVDV >CAK8566271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398795437:398798921:1 gene:gene-LATHSAT_LOCUS19414 transcript:rna-LATHSAT_LOCUS19414 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLLSNHQPDANANSNNSSENQNQQPPQTPVTGRSRSHLGSRRVSPTSITIEFETERIAKKLLPNGDSYMGSFSGNVPNGSGKYSWSDGCVYEGEWKRGKASGKGKFSWPSGAIYEGGIKLGRMEGFGTFTGSDGDSYKGSWSSDVKHGYGQRRYVNGDYYEGWWKKNVQEGNGRYVWRNGNEYIGEWKNGVINGRGTLVWLNGNRYEGQWENGVPKGQGVFTWPDGSCYVGNWNNKDVKMHLLNGTFYSGNGPLFGDDFAITMRKRSSVEVEGEKNFRKICIWESDGEAGDITCDIIDNESILNRIGSESVSDPQEIKQFQRNPCCLASEVKRPGETISKGHKNYELMLNLQLGIRHSVGKEASISRELKPSDFDSKEKFWTRFPSEGSKITPPHQSMEFRWKDYCPMVFRQLRKLFQVDPADYMLAICGNAALRELSSPGKSGSFFYLTQDDRYMIKTVKKSEVKVLLRMLRSYYQHVSQYENSLVTKFYGVHCVKPIGGQKTRFIVMGNLFCSEYPIHRRFDLKGSSHGRTTDKTEEEIDETTTLKDLDLNFVFRLQRNWFKDLIKQMERDCEFLEAEGIMDYSLLIGIHFRDDNTYDKMGLSPFLLRTGKQDSYQSEKFMRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERMARRSDFDQYTSGGISHFTPYRIGETYDIVLYCGIIDILQDYDISKKLEHAYKSWQVDPSSISAVDPKLYSKRFRDFVGRIFSEDR >CAK8536042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902007229:902007999:-1 gene:gene-LATHSAT_LOCUS5444 transcript:rna-LATHSAT_LOCUS5444 gene_biotype:protein_coding transcript_biotype:protein_coding MASANESFVYTAKLAEQAKRYEEMVEAMKKFAKLDVELTVEERNLLSVAYKNVVGGRRASWRILSSIEQREETKGNDVNVNRIREYRKKVESELSDICTDVMSVIDEHLIPNSSGESNVFYYKMKGDYYRYLAEFKSGDDRKEAADQSLKAYQEASTAAETELPPTHPARLGLALNFSVFYYEILNSPERACHLAKQAFDVAIAELDSLNKESYKDSTLIMQLLRDNLTLWTSDIPEEGVEEQKPESARAPVGDNA >CAK8562003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424743288:424743791:1 gene:gene-LATHSAT_LOCUS15541 transcript:rna-LATHSAT_LOCUS15541 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGDDQPFTLFSSKFGVILIAMGSASFVVTMYHLIVICCQNSSHQARRHGQLTTQTPSATEEGGFVPHQIPSHKYEKKNNEDECVTCAVCLGDFEEGEELRTMPSCMHSFHVPCIDMWLHSHPNCPVCRADATPSPVMPCRSPEVGSVEVNVDQGIDMIQIIVVR >CAK8536097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906526135:906528342:1 gene:gene-LATHSAT_LOCUS5497 transcript:rna-LATHSAT_LOCUS5497 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSISGSLSSPKVDVVIDTGNPFLNLTVDGFLKIGAVAVTRSVAEDTYNILRKGSISSNDFEKSLKKLCKEGAYWGTIAGVYVGMQYGSERILGTRDWKNAMVGGAVTGALASAVSNNKADKIAIDAITGAAIATAAEFINYLT >CAK8561602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:316466608:316474182:1 gene:gene-LATHSAT_LOCUS15179 transcript:rna-LATHSAT_LOCUS15179 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQADLERQIEHLMECKPLTELEVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPHTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHETRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIHEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLVMEGYNWAQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >CAK8577525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571095989:571096651:-1 gene:gene-LATHSAT_LOCUS29625 transcript:rna-LATHSAT_LOCUS29625 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGDNSDEDNEDIVVQAIRAQPISLYNPPVHMQNIDDEHDDTTSVFGSAIHNHIGDEIEIGMEFKNKEACVLALHHWHIIHSVDYWVYHSDNQRYVIKCKKQDCSFKCRASLRKRNSKWVIGKLSGSHTCSSTSMAQDHRKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKVWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLP >CAK8569392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698824161:698827606:1 gene:gene-LATHSAT_LOCUS22248 transcript:rna-LATHSAT_LOCUS22248 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYKRTFGLGLGLKPRFTNSIRHVSQAVDSTVKRAFLVDTLALVRNLESNGLPSKQAEAITFAITEVLNDSLENVAQSFVTKSDMLKSEMTQETNLSKFKSEVQSSQEHHFSLLQRETEKLRNDIDKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVIRVIL >CAK8530841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54868098:54868651:-1 gene:gene-LATHSAT_LOCUS677 transcript:rna-LATHSAT_LOCUS677 gene_biotype:protein_coding transcript_biotype:protein_coding MILELCDKMPNPMGQSSVDCAKIPSMSNVSFIIGGKMFDLALQVYILKVGEGPQAQCINGFTVLDVSLPRGLLWILGDIFMGRYHTIFDYGKLRVEFVKAA >CAK8540840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24976308:24976713:1 gene:gene-LATHSAT_LOCUS9806 transcript:rna-LATHSAT_LOCUS9806 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSILLLVVALISLFNLSYGSGIEPNVKFCPKVLTGLSGDCLHAQRDCNAEMNARFKGGQARRCRCDTTVNTHTCSCCILCGLNEHENNELDDDTQCY >CAK8542159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:481909942:481912077:-1 gene:gene-LATHSAT_LOCUS11024 transcript:rna-LATHSAT_LOCUS11024 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIGLVFVVILGVVALDCDARGLSSPYQLGIFAANSASSELVRIPDVCALCEEYTTKALDYINENKTQSEVIDILHNTCHQLHTFERKCVSLVDYYLPLFFLEMTSVQPGDFCNKVNLCQNIANISLQFQENSCEFCEDTVSKLLDKIKDPDTELEIIETLLKVCSSLDKYASKCKRVVLEYGPLVFENAEKFLEKTDICTALHACKDSNVVGRGFLSDLLGIYYGSNIFMRMVHLLKIALF >CAK8575463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:126685921:126687929:-1 gene:gene-LATHSAT_LOCUS27723 transcript:rna-LATHSAT_LOCUS27723 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNILRMQLHKDPNVLFAGYKLPHPLQYKIIIRIHTTSQSSPMQAYNQSINDLDKELDTLKSGFEAEMLKFSKDY >CAK8570716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:95277481:95279751:-1 gene:gene-LATHSAT_LOCUS23449 transcript:rna-LATHSAT_LOCUS23449 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQNHSFRTFSFERRKLPNFLISVKLKYVKLGYHYLISNAMYLILIPLLGVTSAHLSTFSLKDLIQLYETLKFNFVSMALCSSLVVFLATLYFMSRPRGVYLVDFACYKPQQDLTCTREVFVERSNLTKAFTDENLLFQKKILERSGLGQKTYLPKAILSVPPNPCMAEARKEAEEVMFGAIDEVLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYNLRGNVLSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKPSDRRRAKYQLLHTVRTHKGADDKSYGCVFQEEDETKRIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFMTLVARKIFKMKTKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALKTINPAKEKSPWIDEIHEFPVHVPKVSKVIES >CAK8571424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404681995:404682901:1 gene:gene-LATHSAT_LOCUS24090 transcript:rna-LATHSAT_LOCUS24090 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTIIESCVSRSTTRVCSTLPHTKYPCNITRRPLSLSLISTTFSALIFSLPPPSSSSSPLPLPSAKRPIADFSELPNSGGVKALELLVGSGEVPSDGDQVEIHYYGRLAAKQGWRFDSTYEHKDENGDPSPFVFVLGSGKVIGGIDVAVRSMKVGGIRRVIIPPSLGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRHL >CAK8534302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714133850:714135439:1 gene:gene-LATHSAT_LOCUS3857 transcript:rna-LATHSAT_LOCUS3857 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGAVGFQNKRLEIPEEMDPVVAQIKLSRFRRTCVATLIAVVVVSINHNLFFFMKTSDIVAKFKAEASLLRLTNEDLSKQVEGLQTSRLNEVEELAYLRWVNSCLRTELKNSCSALDSDKPSSPQSVVSSSGDSIISFSDQCGNANSFNLVKKLKKWPITSSDNSSQVESTSSTSSNLFEKNWVESISEGSNRRRHSISGSNSSEEDIVVLNKRRQSNCFDSLECLKETEKELVSLSSLFVQQSVMEKRPLRIPNPPPRPSSSSISSKTKQENPAQVQPPPPPPPPPPPPPMSFASKSNTAMVKRAPQVVELYHSLMKRDSRKDSSNGGLVDAPDVADVCSSMIGEIKNRSSHLLAIKEDI >CAK8533554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636069081:636069635:-1 gene:gene-LATHSAT_LOCUS3174 transcript:rna-LATHSAT_LOCUS3174 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNYIIHDHFNGYTYFSESSGFGFQNTGVTRLTMSRKSKFLHFKERIESKILSGPISQIIYRSLVFFENSQVKYFQQNIQDNNDVQQMFDSHEHSGFDYIERYLLLCQTQQSQMFGESQVIDQSQSLEQDEVDAVDKEEEEPEAMVDQMVNLFGTRDYTVITPLEGIDEEALPLSHMYCPP >CAK8543068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573829469:573832585:-1 gene:gene-LATHSAT_LOCUS11855 transcript:rna-LATHSAT_LOCUS11855 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIAGRPLNEDDEEDEVNEDGLEAWERAYTEDRSWESLQEDESGLLRSIDTTTMQHAQYRRRLRALASNAATARIQKGLIRYLYIVVDLSKAASERDFRPSRMAVIAKQVETFIREFFDQNPLSHVGLVTTKDGVASCLTDLGGSPESHIKALMGKLECSGDASLQNALDLVHSNLNQIPSYGHREVLILYSALSTCDPGDIMETIQKCKKGKMRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHCPPPPAIAEYATANLIKMGFPQRAAEGSVAICACHEEAKTEGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVPFVEISASIQNDPSHTFPNTCFGCQESLVSQGNKPELSVYCPKCKQQFCFDCDIYIHESLHNCPGCESFRHSKSITTAQ >CAK8576547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:491091394:491093621:1 gene:gene-LATHSAT_LOCUS28726 transcript:rna-LATHSAT_LOCUS28726 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYGAGKSVVLDDDDLQILETPPLQVNQSPMANTQDTTMTQREKKKKRALTSDVWNHLIKIGIGIDGKEKCKCKGCGSILGCKATGGTTHLIRHVDSCKFLLEKHGNVGDMLLDMEGKLKRRKFDQKVNREIIAEMIITHGAPFNIVEWQAFRKYQKIMNEECRWISRNTIKADVMEIYKVEKERLRSQLAQISGRICLTSDCWTSCTNHGFISLTAHFVDMNWNLNNKILAFSHLKPPHSGPQLALKVMELLREWGIERKVFSLTLDNASANDNMQNYLKEHLGLSSSLLLNGEFFHIRCCAHVLNRIVQDGLKVASDVLHKIRQSVHYVRASESRTIQFFNCVNNVDGIDTSIGLRTDTPTRCNSTYIMLESAINYQRAFYTLSLCDPNYKLCPSIVEWKRAEVICEVLKPFYNITNLISGSSYPTSNLYIREIWKIECLLKSNLTSEDCVIKSMTIKMREKFDKYWSEYSTVLAFGATLDLTKKLNFFSFAYKQVDPLEAEEKLKIVKNDLSRLYEEYVKNGSHSSNIRHSQQVNSSYGGSNAKMPQSLYVCEEFEEYESQTVSAAGTSELDVYLAEQRLPPSIGFDILAFWTERSRRCLDLAKMACDVLSIPITTVASEFAFSIGVRVLNKYRSSLKDDIVQALMCARSWLHGFVEYDIDSDEDEDKREVIRQENLG >CAK8570265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31970656:31971907:1 gene:gene-LATHSAT_LOCUS23028 transcript:rna-LATHSAT_LOCUS23028-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGSGSGRFSNMEMEFIRRHHRHQPGHNQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHVLSIKIIGGDHRLTNYSSVVSLHPEIIDGRPGTLVIESFVVDIPDGNTKDETCYFVEAVIKCNLKSLADVSEGIALQDRTERIDRI >CAK8570264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31970551:31971907:1 gene:gene-LATHSAT_LOCUS23028 transcript:rna-LATHSAT_LOCUS23028 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLNIQSHTLLLKSTRDSAERGETVRFGLGCEKMNGIGSGSGRFSNMEMEFIRRHHRHQPGHNQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHVLSIKIIGGDHRLTNYSSVVSLHPEIIDGRPGTLVIESFVVDIPDGNTKDETCYFVEAVIKCNLKSLADVSEGIALQDRTERIDRI >CAK8574133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664364760:664365425:1 gene:gene-LATHSAT_LOCUS26507 transcript:rna-LATHSAT_LOCUS26507 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRGTGLDEIQQYVDARWICAPEALWKIFKFTLYKLYPSVERLQIHLPNHHQVRFYKHQRITDVLNDNQNAVTMLIEFFALNQMDPHATNYLYREIPEHYCWLKGVKKWQRRQRKRKVIGRIYTVSSSEGEKPYLRVLLSHLRGPTSWEYLLTHNGASFFTFKKSVEDWGLLESDNSIRECLFEASNMRMPYALRRLFVTILIFCEPTDVRGLFNEFYP >CAK8533020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566407334:566407630:1 gene:gene-LATHSAT_LOCUS2672 transcript:rna-LATHSAT_LOCUS2672 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITNTENRLYIGWFGVLMIPTLLTATSLFIIAFIAAYFTETILFLVPLFLLLRLSVCTFTRYGKLYPLMNGYTMAVLMN >CAK8561277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135114291:135115124:-1 gene:gene-LATHSAT_LOCUS14885 transcript:rna-LATHSAT_LOCUS14885 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQSLVIFTLFTILFVNVMALIPIDPRWYDAHATFYGDMQGGETMQGACGYGNLFQQGYGLETTALSTALFNGGYTCGACFEIKCVNDSQWCIKNVNSITVTATNFCPPNYSKPDGNWCNPPQRHFDLSMKMFTTIAIYKAGIIPVQYRRVPCVKRGGVRFELRGNPYFLMVLIYNVGNAGDVQRVYIKGSNTVWVRMTHNWGQVWHTGLNLVGQTLTFYVTTSDKKSLEFISVVPSNWQFGQTYESMLNF >CAK8563247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585759145:585759570:-1 gene:gene-LATHSAT_LOCUS16677 transcript:rna-LATHSAT_LOCUS16677 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIEEFCCRMTGTMKEWYHNLGAFKQDELHRLETTANVLGVLHQEFIGDMEIFDRKNRQEFFEMKCCSLKTKDLDRHYHRMAQRYYVLNGYNDPSLKNTYVSSLPQELQPEIHRMLATTQKDIKTMSLGQIH >CAK8577445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564671695:564673129:-1 gene:gene-LATHSAT_LOCUS29553 transcript:rna-LATHSAT_LOCUS29553 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFGSKKSIKIMKIDGETMKLKTPIKAEEVLKDHPGLVLLDSEAVKHYGVRAKPIEGHKELQPKRLYFLVELPKEIRPRRVRSGIVMSAKERLDNLVLTRRSASDLSIMKQRKVDDDDDNDSGRGGGRGDRDGGDVRVDENGGGVRLKVRLPKAEVEKLMRECKDEAEAAEMIMRLYKANGSRENKISEVNEKVNTPRQKRVSFMPSNEGGIQVAVAS >CAK8543345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601944198:601947078:1 gene:gene-LATHSAT_LOCUS12107 transcript:rna-LATHSAT_LOCUS12107 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKRGRPEHGFNTNGGFKKSKPEMQSLSTGVGSKSKPCTKFFSTSGCPFGETCHFLHYVPGGFNAVAQMMNLTPVAPPAPRNVAAPPHAHAPNGSAPSAVKSRICNRFNTAEGCKFGDKCHFAHGEWELGKPVALSFDDHRHGGPPNVGRMGGHRMEPPPGPTTSFGATATAKISVEASMAGAIIGKGGVNSKQICRQTGAKLSIRDHESDQNLRNIELEGTFEQIKDASNMVKDLLLTLQMSAPPKTTQGPPGAPGHHHGNHGSNFKTKLCENFTKGSCTFGERCHFAHGAAEMRK >CAK8568215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582516282:582517868:-1 gene:gene-LATHSAT_LOCUS21195 transcript:rna-LATHSAT_LOCUS21195 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLFCNAESAIATCDPHYWDLKKRNKSITNGTHTIKEFLYIDLVAATNGFSDDSYLGKGSHGRVYRAKLNGGNLVVAVKTTKQTTKITHSHAVTCTGCGNCTSPAENEIEILSQVPSPRLVNLIGYCTDPNGNKLIVVEYMPNGSLHDLLHSASKPPGWTRRVRFALQVAKAVRTLHGSNPPVIHRDIKSSNVLIDQDWKARLGDFGLALRGHVEDVRVKCTPPAGTLGYLDPCYLAPGDLSAKSDVFSFGILLLEIISGRNAIDVNYSPPSVVDWAVPLIRRGDFAGICDRRIGTPSDMSVMRQIAVLAARCVRSTAEKRPAMVEVVECLKLAGKKIQSSPIWNSFRWRVTRVESAQPLMKWEAYDQDYDPDYDYNYDYDYHNDCNDWDNKSDEVVVKIIKSGSSSRRKSKVSSVASAESVSKHSKKVARSKPSKKVTRSKTVGSSSGFASSCSRKSGIQIQIVSEKTRAMKLKKSKSTGMLQEPHLGETETIALTMSKLVIRDNKKLEKKMLEKPLVYSHGWESE >CAK8544087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662277747:662278167:-1 gene:gene-LATHSAT_LOCUS12793 transcript:rna-LATHSAT_LOCUS12793 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSPAVFVNAELLPFCVGRRVRTVIQVVRSEGVLVIGKSPDEKQITVKTSPDSPLPDPFTAFVEVVGIVSSDKSIKAELWTNFGDEIDMLSYHKLCQLANGEFKYLFL >CAK8537234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:169912583:169913228:-1 gene:gene-LATHSAT_LOCUS6539 transcript:rna-LATHSAT_LOCUS6539 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKIYGNAALRQIKDLSKLISAYHQYVGEGCWRIDYGCLADKCVVTSIDEGFYLASEKLGRKVKMRLLFSFFEGVIMLRKSIEAWQI >CAK8536559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954220578:954220943:1 gene:gene-LATHSAT_LOCUS5917 transcript:rna-LATHSAT_LOCUS5917 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGAEPKEHKFFPTTSFDHKTRDSKCCELCGLQASLYCQADDAYLCTKCDEKVHEANFLALRHIRCFLCNTCQNLTRRYLVGTSMEMILPANVSWNKGNLPHYSNLIKCSTTHNSSSMFP >CAK8536772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:18125645:18126946:1 gene:gene-LATHSAT_LOCUS6105 transcript:rna-LATHSAT_LOCUS6105 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVVDDCLNDNTEEDVIRLVREAQQQANNTSKHRKRRTMIDRSREEGHHRLFNDYFSENPVYMEAQFRRRFRMRRHVFLRIIEALGNHDEYFQRRIDAVGRMGLSPLQKCTTALCILAYGSPADSVDDYVRIGESTTLECLDRFVIGVCTIFGAQYMRRPNNEDIARLLQINVTRDFLGMLGSIDYMHWEWKNCPVTWKGQFSRGDHGKPTIMLETVASQDLWIWHAYFGTAGSNNDINVLNTSDVFNDVLNGKAPAVQYSVNRTTYHMGYYLADGIYPEWTTFVKTITMPQGEKRKLFAQRQESARKDVERAFGVLQARFAIVRGPTRAWHVNTMKQIMLACIILHNMIIEDERDTYVGNFDYDHVDNNFSTTEVSTGPIPNLTTMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8543826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641730884:641734285:1 gene:gene-LATHSAT_LOCUS12557 transcript:rna-LATHSAT_LOCUS12557 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNKKLNHDEEANPLLHHHHHQQPPKAAEQPTQEELFHLWTADGVPIAHGSVMGQPIPRTPWNSSVCACLGQSDHFCSSDLEVCLLGSVAPCVLYGSNVERLGSNNPGTFASHCLHYSGLYVIGNSCCGWNCLAPWFSYPSRTAIRRRFNLEGSCEALNRSCGCCGSFLEDEAQREQCELACDFATHFFCHACALCQEGRELRRRVPHPGFNAQQVLVMIPPAEQAMGRGA >CAK8565762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:328626597:328629645:1 gene:gene-LATHSAT_LOCUS18947 transcript:rna-LATHSAT_LOCUS18947 gene_biotype:protein_coding transcript_biotype:protein_coding MGMANQDAIKQLQSLMDNVDEQKKITFKNMHQGYPTETLGRFLKARDGNVAKAHKMLIDCLHWRVENEIDKVLAKPIPTDLYKAVRDSQLIGMSGYTKEGLPVIAVGVGLSTYDKASDKYYIQSHIQVNEYRDRVILPNATKKHGRYSGTCVKVLDMTGLRFSALNQLRLLTAISTIDDLNYPEKTDTYYIVNAPYVFSACWKVVKPLLQERTRKKIQVLQGCGKDELLKVMDYGSLPHFCKKQDSKSSRHNAVAAITENCFSFNHAFHQQLYNHIKQQANSAESTPLMRQGSFYVDIPEPDPDDAKIAKTIEVEFHKLENQNNGTVEVEFHKLEIQKKGFTNSRTGLAVNGRH >CAK8569471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2103370:2103576:1 gene:gene-LATHSAT_LOCUS22319 transcript:rna-LATHSAT_LOCUS22319 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEKWKNIPLSKEKEDDVVVAGEEVIGEEIFQITLAGKLWTNINFKTRTFLSTMFSAWKLKNAVET >CAK8565846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343139463:343142706:-1 gene:gene-LATHSAT_LOCUS19025 transcript:rna-LATHSAT_LOCUS19025 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYSFKNLHSREYSGHKKKVHSVAWNCIGTKLASGSVDQTARIWHIEPHHGHGKVKDIELKGHTDSVDQLCWDPKHPDLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHRRKFNYEVNEIAWNMTGEMFFLTTGNGTVEVLSYPSLRPLDTLMAHTAGCYCIAIDPTGRHFAVGSADSLVSLWVISEMLCVRTFTKLEWPVRTISFNHTGDLIASASEDLFIDISNVHTGKTVHHIPCRAAMNSVEWNPKYNVLAYAGDDKNKYQADEGVFRIFGFENA >CAK8578338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621880057:621883052:-1 gene:gene-LATHSAT_LOCUS30356 transcript:rna-LATHSAT_LOCUS30356 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVTNASEYEAIAKEKLPKEIYDYFASGAEDQWTLKENRNAFSRIMFRPRILIDVSKIDLTTSILGFKISMPIMISPTAAQKMAHPEGEYATARAASAAGTIMTLSSWATSSIEEIVSTGPGIRFLQLYLLKDRNMVTQLVRRAENAGFKAIVLTADSPVIGRKEAGIKNRFKLPSYLRMKNFEVRDLEKLYKTKDNGGHTSVVNGLYDQSLTWKDVKWLQTITPLPILVKGVLTAQDARLAIQAGASGIIVSNHGGRQLDYVPATIMALEEVVQAAEGRVPIFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLAAEGEAGIRNVLKMLHDELEITMALCGCPSLKDITRDHVVTEWNLPRIAPKL >CAK8578339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621880057:621883049:-1 gene:gene-LATHSAT_LOCUS30356 transcript:rna-LATHSAT_LOCUS30356-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTNASEYEAIAKEKLPKEIYDYFASGAEDQWTLKENRNAFSRIMFRPRILIDVSKIDLTTSILGFKISMPIMISPTAAQKMAHPEGEYATARAASAAGTIMTLSSWATSSIEEIVSTGPGIRFLQLYLLKDRNMVTQLVRRAENAGFKAIVLTADSPVIGRKEAGIKNRFKLPSYLRMKNFEVRDLEKLYKTKDNGGHTSVVNGLYDQSLTWKDVKWLQTITPLPILVKGVLTAQDARLAIQAGASGIIVSNHGGRQLDYVPATIMALEEVVQAAEGRVPIFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLAAEGEAGIRNVLKMLHDELEITMALCGCPSLKDITRDHVVTEWNLPRIAPKL >CAK8534310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714792011:714793519:-1 gene:gene-LATHSAT_LOCUS3863 transcript:rna-LATHSAT_LOCUS3863 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRVLNPTQLSQIIRTQKNPLKALEIFNIAKSKYPKYSHNGPVYATMITILGSSGRLKEMSDLIEQMKQDSCECKDSVFVSAIKTYAKQGLVDEAISLYKKIPQFNCVNWTQSFNTLLEIMVNEGKLEDAHGLFVESSCGWEVKSCVRALNLLMYALCRKSRSDLALQIFQEMDYQGCYPDRGSYLVVMKGLCKDKRLHEAIHLLYSMFWRISLKGNGEDVVIYRTLLDALCDDGKFEEAVEILGKILRKGLKAPKRCYNQLDLSQYGDGKDAEVTKRWIHEALVKGSVPSTASYNAMAVDLYEEGKIDEADKVIVEMKNKGFKPKHLIFEAKVAALCKVDKVDEAIKVIEEDMVEVNCLPNARVYNILLKNLSNVGSSTLVLESLNKMSKKVGCMGDKETYSILLEMLCHERKYLEASKLLEQMSIKAYWPCANSYNLLIEGLCSLGRQYEAVMWLEDMISQGKLPEISVWSSLASSFCNSDLMKVSVEMFNRLRSL >CAK8573140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589184018:589193119:1 gene:gene-LATHSAT_LOCUS25621 transcript:rna-LATHSAT_LOCUS25621 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEIPNWLEELPLAPEFRPTDTEFSDPIAYISKIEKEASNFGICKIIPPLPKPSKKYVFSNLNKSLLKRPELGPGNSSLGVDNTWKSGSWDGSTDGISRAVFTTRQQEVGQNVKKTKGTDQKPLSCVHKQVWQSGEVYTLEQFESKSKSFARTVLGMTKDVSPLVIEAMFWKAASEKPIYVEYANDVPGSAFGESQGQFYRSHRRQRKRTYYKSRVDSSVCKQTEMGGVKDTQNDQSNGVATPSLAVSCLEMSKSAMTTSTENEASQSSKERTSDANNDLQGTSGWKLSNSPWNLQVIARASGSLTRFMPDDIPGVTSPMIYIGMLFSWFAWHVEDHELHSLNYLHTGSSKTWYSVPGNYAFDFEEVIRTEGYGGDIDQFAALKLLGEKTTLLTPEIVVGSGIPCCRLVQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLGVAKEAAVRRATMNHLPMLSHQQLLYLLTMSFISSVPRTLLPGVRSSRLRDRQKEEREILVKQAFIEDMLQENKLLSTLLGKEATKQIVLWNADLLPDSGKYRQLPDLASTSETYMTDMLNDNISSADKSSHCLLDDMSLYMENLSNLYDGCDDGLPCHFQTDSGALACVGCGILGFPFMTLIQPTENSIMELLPDNHHLVEDSSPNSVASLHSVVSRDLSVSELAMADDSQNQSLIKCNKYWNTSSKFMKPRIFCLEHAVQIVDMLQSKGGANVLITCHSDYPKIKAHARAVAEEIKTDFDYNEIPIDIASQENLALIDLAIDGEELDEYEDWTSKLGLNLRFCVNSMNKSPCEQVPLTLALGMQLYHKHPGLSINWHSQKTRSKRSNRLAQSKPDNIQIKKDDPLQIDGSIAKKKLIQYSRKKFKSKQGCFSAASMVRESHEKSKNASAVLCGDCYKRVSKDELDTDNFRSDCALSHDSASAAISPMHHEIQNAEAPTSMRLSTNVSEICGKEREDCQDKKYSHSLTSGIDGNIDLLGNNQITEAFVVDSNCNGVDLDGEGYPENQSACKSNSEAVLSASFKNQLALATVDGSFESPNNTYAEERVNSSMSLKVTTEGESKSLNEMDKEPLNDDKTISEHTPIADVCEVPRKVYASADFRDTVSLDGEIHHEGQVGKSSEKEILQSNHISAKQMCESTTGEYVEGLQDEVVLESAKQSLIQNKSRTNEEPVSSYVAKGNNRGVSVSEIGCSEVSVETYPKEDSCIQFVPSTETEMEIQPINRIDEELSASTQECSQTEKKACGGENINGSEVDLSQDIGELESCDLTTAAPKSNPAKKKKRKIEDPAKNQIDCYDFIRSPCERLRPRTGKIATTSKSGGVISQNDEENPVAKRTRKPLEASDPCKDKKVNVKRPHKCDLDNCRMRFSTKAELQLHKRNLCPHEGCGKKFSSHKYALIHQRIHEDDRPLKCPWEGCFMSFKWAWARTEHLRVHTGEKPYQCKVEGCGLSFRFISDYSRHRRKTGHYVKSPA >CAK8573141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589191332:589193119:1 gene:gene-LATHSAT_LOCUS25621 transcript:rna-LATHSAT_LOCUS25621-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRESHEKSKNASAVLCGDCYKRVSKDELDTDNFRSDCALSHDSASAAISPMHHEIQNAEAPTSMRLSTNVSEICGKEREDCQDKKYSHSLTSGIDGNIDLLGNNQITEAFVVDSNCNGVDLDGEGYPENQSACKSNSEAVLSASFKNQLALATVDGSFESPNNTYAEERVNSSMSLKVTTEGESKSLNEMDKEPLNDDKTISEHTPIADVCEVPRKVYASADFRDTVSLDGEIHHEGQVGKSSEKEILQSNHISAKQMCESTTGEYVEGLQDEVVLESAKQSLIQNKSRTNEEPVSSYVAKGNNRGVSVSEIGCSEVSVETYPKEDSCIQFVPSTETEMEIQPINRIDEELSASTQECSQTEKKACGGENINGSEVDLSQDIGELESCDLTTAAPKSNPAKKKKRKIEDPAKNQIDCYDFIRSPCERLRPRTGKIATTSKSGGVISQNDEENPVAKRTRKPLEASDPCKDKKVNVKRPHKCDLDNCRMRFSTKAELQLHKRNLCPHEGCGKKFSSHKYALIHQRIHEDDRPLKCPWEGCFMSFKWAWARTEHLRVHTGEKPYQCKVEGCGLSFRFISDYSRHRRKTGHYVKSPA >CAK8541781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393727955:393728651:-1 gene:gene-LATHSAT_LOCUS10676 transcript:rna-LATHSAT_LOCUS10676 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDVLCSDKTRTLTLNKLSVDRNLIKVFSRGMDKDMVILLAARASRIENQDVIDAAIVRMLSDPQEARASINEVHFLPFNPADKRTALIYVDTEGNWHRASKGAPEQILDLCNCKENVSRSVHAMIDKFVERGL >CAK8567106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487447643:487449901:-1 gene:gene-LATHSAT_LOCUS20189 transcript:rna-LATHSAT_LOCUS20189 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSEWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALEAFHLDAANWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSSTGFIDYDRLEEKALDFRPKLIICGGSAYPRDWDYARFRQVADKCGALLLCDMAHISGLVAAQEANNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQATTPGFKAYAKQVKANAVALGNFLISKGYVLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGVFLHRAVTLTLEIQKEYGKLLKDFNKGLVNNKAIEDLKADVEKFSASFDMPGFLVSELKYKD >CAK8534886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:786542677:786543000:-1 gene:gene-LATHSAT_LOCUS4388 transcript:rna-LATHSAT_LOCUS4388-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLDCSERYLILLNGHVAMISSYAIEVRVSIAILIELGVKESWTKLFDFIPSSNMYYPIGASKNGDLLYKHEDQFLNIDLNKDIKDVLGEKESRTHMLVYKKDILY >CAK8534885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:786542677:786543342:-1 gene:gene-LATHSAT_LOCUS4388 transcript:rna-LATHSAT_LOCUS4388 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEFRCYKEFHINTIIHGFGYDQIKDDYKIIQYVKGRGYWKDRLPYSLREIYSLKNKSWKRLYLDDMPTRRGMWDPLSFISVCHWCRYTRDETYVVSFYFNTETHVTTLLPKNMQDLDCSERYLILLNGHVAMISSYAIEVRVSIAILIELGVKESWTKLFDFIPSSNMYYPIGASKNGDLLYKHEDQFLNIDLNKDIKDVLGEKESRTHMLVYKKDILY >CAK8540783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21059595:21061103:-1 gene:gene-LATHSAT_LOCUS9753 transcript:rna-LATHSAT_LOCUS9753 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFILLSTLLLGCLLVMTVQSNTSTMVVSDGERNKNKSEMGEVTAISAQKELFERTFGASPMRKLGFGTIMHHEEKSVDSENIEKGETSKISGKENGGLKKSFRRLFQLQKSDVHEKHMIMRPKVYLKVTTKVAISRNSLTANTNTKCSQDCDDAKEKSSRNEQEISKQAQDIDAAKEIESLMYKDYNNKGKPSHRPPINNHEPNNP >CAK8532103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:204029601:204030446:1 gene:gene-LATHSAT_LOCUS1839 transcript:rna-LATHSAT_LOCUS1839 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGSKLTGIKQIARLKEIIHKWRSVTIGSKTPRLKMEETNGSISPIIHRRITHIINCDSDEESCKSPEPPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKMLLEKAAEEFGFDQSGGLTIPCEIETFKYLLKCIEAQEKEEQHDDSLSGNSETGESLEE >CAK8571209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:337625078:337625362:1 gene:gene-LATHSAT_LOCUS23893 transcript:rna-LATHSAT_LOCUS23893 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSYNIRGSGSRAKRREICKLLNSEKVDLCMIQETKQVEISGKFVHSIWGNREVNWSFKSAIGSAGEMLLCWNSQSLEVISSFREKVLLESK >CAK8564609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2156507:2160256:-1 gene:gene-LATHSAT_LOCUS17897 transcript:rna-LATHSAT_LOCUS17897 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAGEKLMSASVKVILDKLFSPEFTDYYQSIKLDDSVLINLKTTLFNLQSALVHHHEEKQIANPTFNKWLDLVRYAIFQLHSLLDQINTEALLCKMKAQSETLTPSTKVRNFFSSRFKQIHDLINFEMQRLIQRLEYLILRQQQPGLDFSDYTNAFHGTPTSYILEDESSVIYGRESDINRLKHLLLSSDSKIGMVSIVGMGGIGKTTLAKLLYNDPQVKDKFVFKVWVYISKDFDVYRVFKTILESITSQSIVNDNLGSQIVESDNTRGSYTNGMTTYCPNLLFVLLKQILSTSKFLLVLDDVWDTKSVDWIYFMDIFNAVETDSRIIITTRDERVARSMQTFLFVHYLRPLGSEDCWYLFSRYAFGACDNPQQYYLEEIGREIKKKCDGLPLVAIELGALLHSKLFSDDWTYVLESNIWDPTNHEVHTSLESSYHYLSTPLKRCFAYCSIFPKKSILEKQTIVQLWIAENLVELYPGQESLEEVGEEYFDILVSRSLIQRRSISDEKSNFEMHNVIHDLATMVSSPYCMRLDEHNLHESVHYLSYNRGLYDPFNKFDKLSGLERLRTILALPLQTQLPCCLLSNKVVQELLPTMKQLRVLSLSNYKSITEAPNSIGNLFYLQYLNLSHTKIERLPSETCKLYNLQFLLLAGCRRLVELPEDMGKLVNLRHLDVSDTALREMPLQIAKLENLQFLSDFVVSKHNDGLRVAELGQFPHLHGKLSISQLQNVNDPFEVDQANIKMKEQIDELVLRWDYGSTFPDSQIKSVVLEHLQPSTNLKSLTIKGYGGISFPNWLGDFLFSNMVYLKISNCDDCLWLPPLGQLVNLKELIIEGMQSVHTIGTEFYGSDNSSIQPFPSLEILHFADMHELEEWKLTGGTATKFPSLKTLSLSKCPKLIVGNIPEKFPSLIELELRECALRVQLMPPSNNVFRQLTFPLNSLGQLTIDGFSSLMSFPVDSLPKTLKIFIINNCENLEFLPCEYLSSYTLLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSISIAEGASQKSLSFLRSIKIWDCNVLESFPLGGLATQNLVYFALWKCEKLPSLPGAMHTLTNLQEVEIDNLPNLQSFFIDDLPSSLQELTVGSVGGIMWSARSTWEHLTCLSGLRINGDNTVNLLLMPLLSVSLVKLCICGLNDTNIGELWLQHLTSLQNLEIINAPKLKLLPKNGFPSSISVLSVTRCPLLEASLRRKRGINWLKIAHIPAIIINDELIT >CAK8564357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669668070:669671431:1 gene:gene-LATHSAT_LOCUS17671 transcript:rna-LATHSAT_LOCUS17671 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCMSMPKIELHAHLNGSIRDSTLLELAKALGHKGLIDFSQVEHVILKNDRSLSEVFKLFDVIHILTTDHATVTRITKEVVEDFASENVVYVELRTTPKKNEAKGMSKRSYIEAVLEGLRAVSSVDVCFIPHNEELQIHSNPIHSVLATNDKINENTRKQIFVRLLLSIDRRETSEAAMETVMLALEMRHFGVVGIDLSGNPAVGEWVTYFPALKFAREQGLYVTLHCGEVPNSREIHDMLDFLPGRIGHACFFEEEHWRKLKSSKIPVELCLTSNIRTLSVPSIDAHHFVDLYKAKHPVVLCTDDSGVFSTSLSNEYKIAASSFGLGRKEMFELSKNAVEFIFADNTVKEDLRNFFSLAAKNLEL >CAK8579263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688875948:688877999:-1 gene:gene-LATHSAT_LOCUS31222 transcript:rna-LATHSAT_LOCUS31222 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFSHLGFPVNNSANAFKILGSSMQVEGNRAGYYGTDTVLRLDSPGSSIPSHKPSFRGTKRKWDLIDRSIGQTVGSSLSLGLGRSTSSSDSKGSSAVACTAMSSGKDIEEESSMDIELDFTLNLGCEKLHSLKKPVDFNMKTLELQPKFDLELSLSTRPCESDITSVHLNPSPLQLNMETASVFSGAQHPDEGSTSCSWKPGIVLPPLKASLNTETSFLFNQAPKQFDHSPIVLDHSSSGPKSSVTCVSGLTHQQQPAHRHGNSKICQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEYLGCTKSAEGRTDFCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQVSGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCTYQGGGICSKSVHGGTNFCVAHGGGKRCAVAGCTKSARGRTDHCVRHGGGKRCKFDGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYAIQPDGPCTSFARGKTGLCALHSGLVHDRRVHGGLSLGSVQDPRSSKPDQLRQVLLDKDMEGAMMKIGSSFGTTPRTSHMNQHENANTGASAEEGGHYPMSVSVPEGRVHGGSLMAMLTASSGSGTSNGKGLAGMDPSMPIKGYNIMPQSWI >CAK8571861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:484785731:484786042:1 gene:gene-LATHSAT_LOCUS24484 transcript:rna-LATHSAT_LOCUS24484 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQQMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNIRTIMPFQDLKNVNLTSQLFPMAHDSEAGPSNAHVSRISSPG >CAK8573872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643521763:643522455:1 gene:gene-LATHSAT_LOCUS26272 transcript:rna-LATHSAT_LOCUS26272 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCEREFYDFWVKWSNVCRHKKSGGLGVRDLRMVNLALLDKWRWRLMSGASGLCFDILFSMYDYSVVTSLCKGQSVKSHSISSWWKEVSLLGSKKDNPPIWFEIGIVKKVGPGLQTSSWKGSWIEGIPLKTRFQRLFTISKRQDDTVGEIGKWIDERISLNHCWRRSFIVYEESMVADFFLVLQEFQFSEENDRCVWRHTRDRLFSVAFTCQIQAGICPSPKDSLSREN >CAK8561595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:312957376:312958283:-1 gene:gene-LATHSAT_LOCUS15172 transcript:rna-LATHSAT_LOCUS15172 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVYDHLCSKSVFGKKRPGRVRCYGRNITKTSLKRKAEINALKQVHNKEFITLRHEFQDQIDRLQNAFKTVIQQCNPQINLESIEDLLGLSHSDANSSPKEMRPQIHSSTSTHAPCQGKQGINEDVEKDDINDEIQEDDVDDGF >CAK8562867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550959184:550961223:-1 gene:gene-LATHSAT_LOCUS16330 transcript:rna-LATHSAT_LOCUS16330 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSTLLSPTHRLRSEASSAQFQACHFQIPSMSAQRLDLPCSFPRKDGSSRSQQQLRPVGISVEKPEVKNSNNGSSACSLQQNIRLPPLATTAQTLGEIKDEEFWEKGGRSLKRLAEHGSLEESFANRVKRKKGNGGDENFEEFERDSFSLAQFGAGNFWSVHSFGGFNPSLPFSLNCSGDEERVCFAPAEVVSAPLPLSNNPWIESAVTTITNFGEGSHQHQHDHHVNEGSVSNDSSESRSLSLRLNENASEHGDGSGSGNPYQHEGTEVETGEEDEEEEHRGFELLSLLTGCVDAIGSRNVAAINHFIGKLGDLASPRGTSISRICAYFTEALAIRVTRLWPHVFHITATSRDFDRVVDDDETVTALRLLNQVTPIPKFLHFTSNEMLLRAFEGKERVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRITGVGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEHETVAVNCVLQLHKTLHDGSGGALRDFLGLIRSTNPTIVVMAEQEAEHNEARLDSRVCNSLKHYSALFDSIDHSGLPLESPMRMKIEEMFAREIRNIIACEGRDRLERHRSFGNWRKMIVELGGFRCMGVTDREMIQSQFLLKMYSCDNYNIQKQEKEGATALTLGWLDQPLYTVSSWAPVDVARSSSSFSQPA >CAK8568688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632365982:632368973:1 gene:gene-LATHSAT_LOCUS21623 transcript:rna-LATHSAT_LOCUS21623 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNFIFFFSVLFLHRVADSTTIGSCDQCHRPKEFDVTTSRNRNYPDEKDIDIIATYSDASGHIRLARLKLRDLSDSWVWENPSNANSEQQNYSQGGMESVQTDSRFEDNLKHSADEHDPEEGFARIPHSSPMTPAKIKRRIMRLERRKARAAELSQQNKEMDNRIVSAAIERSKGFDTTITGKYSIWRKEYENPNSDSTLKLMRDQIIMAKAYSNIAKSKNKTTLYEALVKHSRDSKLAIGDANSDAELQTGALNWAKAMGHVLSVAKDRLYDCIVVARKLRAMLQSTENRVNLQKKRSASLIQLAAKTVPRPLHCLPLQLAADYYLQGYHKKGNLGKEKIEDPSLYHYAIFSDNVLAASVVVNSTVQNANKPEKHVFHIVTDKLNFPSMRMWFLINPPSKATIEVQNIDDFKWLNSSYCSVLRQLESARIKEYYFKANHPSSLSAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLNKILFLDDDIVVQKDLTPLWSIDLKGMVIGAVETCKESFHRFDKYLNFSNPLISNNFSPDACGWAFGMNVFDLKEWKKRNITGIYHRWQDLNEDRTLWKLGTLPPGLITFYNLTYPLDRGWHVLGLGYDPALNLTEIENAAVVHYNGNYKPWLNLAVSKYKSFWSKYVMFDNPYLQVCNLSE >CAK8574043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655196026:655198347:-1 gene:gene-LATHSAT_LOCUS26427 transcript:rna-LATHSAT_LOCUS26427-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLPIQNCDDAMKPKRIQFQDKGKWFEVGIVNSLEFRGSSNATLSFVFRDNNLYQLLQNKSCEALRYSYILPPTSHFVSLHLVTHATLFMCNRSLHLNPPKYMHKYIKCPLYDLYYQPYSYADKTSRSPFTACTNALLPAKDFADIDNPFTFITSDIITQVKITEECVSCHYNQKGQCQLDSNERFYCANGSISVAPLPLKKVMNARRKGMRWNVKLGIAIMVTIGVAVLMLLAYCLRTKISTPLFLFFRKGNSTQLIIEEYLKEHGPLPAAASYSYSDIKKITNSFKNKLGQGGYGSVYKGKLHDEQPVAVKILSESKGDGEDFINEVASISRTSHVNVVRLLGFCLEGSKKALIYEFMPNGSLEKFIYEEKNILQNDIQLDCKTLYDIAIGVAHGLEYLHRGCNTRILHFDIKPHNILLDADFCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLRCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHFSSEIL >CAK8574042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655196026:655198392:-1 gene:gene-LATHSAT_LOCUS26427 transcript:rna-LATHSAT_LOCUS26427 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVYKFLFLLFSQLMLLGNGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLPIQNCDDAMKPKRIQFQDKGKWFEVGIVNSLEFRGSSNATLSFVFRDNNLYQLLQNKSCEALRYSYILPPTSHFVSLHLVTHATLFMCNRSLHLNPPKYMHKYIKCPLYDLYYQPYSYADKTSRSPFTACTNALLPAKDFADIDNPFTFITSDIITQVKITEECVSCHYNQKGQCQLDSNERFYCANGSISVAPLPLKKVMNARRKGMRWNVKLGIAIMVTIGVAVLMLLAYCLRTKISTPLFLFFRKGNSTQLIIEEYLKEHGPLPAAASYSYSDIKKITNSFKNKLGQGGYGSVYKGKLHDEQPVAVKILSESKGDGEDFINEVASISRTSHVNVVRLLGFCLEGSKKALIYEFMPNGSLEKFIYEEKNILQNDIQLDCKTLYDIAIGVAHGLEYLHRGCNTRILHFDIKPHNILLDADFCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLRCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHFSSEIL >CAK8578193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611847861:611850139:-1 gene:gene-LATHSAT_LOCUS30227 transcript:rna-LATHSAT_LOCUS30227 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVDARYLSLFIDLDGLPGYSWGAAALVTLYKYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYETGPSDPSWARVSSLIHRYLQQVGAEDDDPQFADLFEALSIARSQ >CAK8534661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753673858:753676323:1 gene:gene-LATHSAT_LOCUS4188 transcript:rna-LATHSAT_LOCUS4188 gene_biotype:protein_coding transcript_biotype:protein_coding MINILLLVMCLVTIITGQTDHGMQRVSKEEDLELERQLHIINKPPIKSIHTKSGYIIDCVDINKQPAFDHPLLKNHKLQRKPIFEEKVIETRIQNSPIKPKSILILEKFNCPKGTVPIRRTTKYDLIKKKSLFNGYNLTQNDSINHFARVYLSIVGSPYYGVSGTSSVWNPKVYKGQSSSSNLYVQKGYGDNYNKVSVGWHVFPELYNDNQTHLFSYWTSGKNGCFDMLCKGFVQVDKSYTFGTRVSTTSTYGGKIIEAPFQISQDNVKNWWLKVVDKDIGYFPAALFSNLNGADQVGFGGYTVTPAGTASPAMGSGHKPDKDFTHASYFRFVKQLDIVRNPFDPLPFEVQSYNDAPNCYGVMNYEDKIKGEGYSIQFGGPGGKCST >CAK8542174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485888095:485888571:-1 gene:gene-LATHSAT_LOCUS11038 transcript:rna-LATHSAT_LOCUS11038 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSGRPFLVVFNIPILERNFFYKWWNKMSSEDVQNKIKLIQEAIAEDQNNKVQEQSSQQMSMENLKNFFQRKYPNELEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSYNFDGLTGEGQADEATAEDFWDAMIQSIKVKRKSKN >CAK8542175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485888095:485888484:-1 gene:gene-LATHSAT_LOCUS11038 transcript:rna-LATHSAT_LOCUS11038-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIQEAIAEDQNNKVQEQSSQQMSMENLKNFFQRKYPNELEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSYNFDGLTGEGQADEATAEDFWDAMIQSIKVKRKSKN >CAK8571984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500338718:500341664:-1 gene:gene-LATHSAT_LOCUS24593 transcript:rna-LATHSAT_LOCUS24593-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFKARSIRQVEAFTRTCISSRSSIFHYCYSDSTHNKSKLAPLQERRMIDKVKIFAKAGDGGNGCTSFRRSRQESKGVPDGGGGGIGGDVILECSRRVWDFSGLKRHLIAERGGHGSSKNKIGTRGADKVIRVPIGTVLHLVSGDIPSVVKTQSLADLDPWEVPGALVDDHSDPHDGSIPNVTTHENVNAIHPTGCPSSETSKANVEKSVKSTYIESTDAFSQLSSFNETPKIGTEDIGERQEILSNVAELTEEGQQLIIARGGDGGLGNISMYKDSRKPTTTKAGASQPTSNLQDADSVNLSLGLPGSETVLILELKCIADVSFVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNMNYDDMSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDVAAALPGRKGISPWEQLKDLILELEYHLDGLSNRPSLIVANKIDEEGAEEVYEELKRRIQGVPIFPVSAVLEEGIPELKAGLRMLVNGETSCTLCLDQILLD >CAK8571983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500338718:500341664:-1 gene:gene-LATHSAT_LOCUS24593 transcript:rna-LATHSAT_LOCUS24593 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFKARSIRQVEAFTRTCISSRSSIFHYCYSDSTHNKSKLAPLQERRMIDKVKIFAKAGDGGNGCTSFRRSRQESGGGGIGGDVILECSRRVWDFSGLKRHLIAERGGHGSSKNKIGTRGADKVIRVPIGTVLHLVSGDIPSVVKTQSLADLDPWEVPGALVDDHSDPHDGSIPNVTTHENVNAIHPTGCPSSETSKANVEKSVKSTYIESTDAFSQLSSFNETPKIGTEDIGERQEILSNVAELTEEGQQLIIARGGDGGLGNISMYKDSRKPTTTKAGASQPTSNLQDADSVNLSLGLPGSETVLILELKCIADVSFVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNMNYDDMSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDVAAALPGRKGISPWEQLKDLILELEYHLDGLSNRPSLIVANKIDEEGAEEVYEELKRRIQGVPIFPVSAVLEEGIPELKAGLRMLVNGETSCTLCLDQILLD >CAK8543219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589838194:589848972:-1 gene:gene-LATHSAT_LOCUS11991 transcript:rna-LATHSAT_LOCUS11991 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSATPISMASTGPHSIQLGGATNSTRPPKSTITRKKKSNKLAFKETKDGFVDYNRGQHEVSTKIDGLRKDDIPAHYRLRVAGDRFQKDWTVSEVADSVLSLTLRDDDIEGLLNRWIGRYARKNFPFLIRELTQRGSIEHCNLVFSWMKNQKNYCARTDIYNMMIRLQARHNRTDQARGLFFEMQNCRCKPDAETYNALINAHGRAGQWRWAMNIMDDMLRAAIPPSRSTYNNLINACGSSGNWKEALNVCKKMTDNGVGPDLVTHNIMLSAFKSGAQYSKALSYFELMKGTHIRPDTTTHNIVIHCLVKLKQYDKAINIFNSMKEKKSECHPDVVTYTSIIHLYSMCGKTENCEAAFSMMLAEGLKPNIVSYNALLGAYAARGMENEALLVFNKIKQNGFRPDIVSYTSLLNAYGRSRKPQKAREIFKMIKINNMKPNIVSYNALIDAYGSNGLLEDAIEILREMEQDTIHPDVVSICTLLAACGRCCQKVKIDTVLSAAQMRGIKLNTAAYNSAIGSYINMGEYDKAIDLYKSMSEKKIKYDSVTYTVLISGCCKMSKYGEALSFMEEMTHLKIPMSKEVYSSVICAYSKQGQIIEAESTFNLMKSSGCNPDVVTYTTMLDAYNAAEKWEKAYALFDEMEANGTKLDTIACAALMNAFNKGGQPRRVLSLAQSMREKAIPLSDTIFFEMVSACSLLHDWRTVVDVIKFMEPSLPIISSGCLNLFLNSLGKSGKIETMLKLFFKMLTSGAEVNFNTYSILLKNLLSSGNWRKYLEVLQWMEDAGIRPSSEMYRDISSFSQKHCGAENAAVIKERLESLQRKPDDQISASKPCESHLS >CAK8543220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589838194:589848945:-1 gene:gene-LATHSAT_LOCUS11991 transcript:rna-LATHSAT_LOCUS11991-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGPHSIQLGGATNSTRPPKSTITRKKKSNKLAFKETKDGFVDYNRGQHEVSTKIDGLRKDDIPAHYRLRVAGDRFQKDWTVSEVADSVLSLTLRDDDIEGLLNRWIGRYARKNFPFLIRELTQRGSIEHCNLVFSWMKNQKNYCARTDIYNMMIRLQARHNRTDQARGLFFEMQNCRCKPDAETYNALINAHGRAGQWRWAMNIMDDMLRAAIPPSRSTYNNLINACGSSGNWKEALNVCKKMTDNGVGPDLVTHNIMLSAFKSGAQYSKALSYFELMKGTHIRPDTTTHNIVIHCLVKLKQYDKAINIFNSMKEKKSECHPDVVTYTSIIHLYSMCGKTENCEAAFSMMLAEGLKPNIVSYNALLGAYAARGMENEALLVFNKIKQNGFRPDIVSYTSLLNAYGRSRKPQKAREIFKMIKINNMKPNIVSYNALIDAYGSNGLLEDAIEILREMEQDTIHPDVVSICTLLAACGRCCQKVKIDTVLSAAQMRGIKLNTAAYNSAIGSYINMGEYDKAIDLYKSMSEKKIKYDSVTYTVLISGCCKMSKYGEALSFMEEMTHLKIPMSKEVYSSVICAYSKQGQIIEAESTFNLMKSSGCNPDVVTYTTMLDAYNAAEKWEKAYALFDEMEANGTKLDTIACAALMNAFNKGGQPRRVLSLAQSMREKAIPLSDTIFFEMVSACSLLHDWRTVVDVIKFMEPSLPIISSGCLNLFLNSLGKSGKIETMLKLFFKMLTSGAEVNFNTYSILLKNLLSSGNWRKYLEVLQWMEDAGIRPSSEMYRDISSFSQKHCGAENAAVIKERLESLQRKPDDQISASKPCESHLS >CAK8577207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544759926:544760647:1 gene:gene-LATHSAT_LOCUS29336 transcript:rna-LATHSAT_LOCUS29336 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSDLMKLPERRNYYDVFVSFQGKDTRLNFTDHLFTAFKKKHVSAFRDESDLQQGESIAPELLRAIQDSRVFVVVFSRNYASSTWCLQELEKICECAQVSKKYVLPVFYDIDPADVRHQRGIYRKAFAKHVQRFQQQSEKVRRWRKALTHLANCSGWDLCHKSQSAAIRKIVGRIKIYYIADLPVF >CAK8576190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:421449314:421453025:1 gene:gene-LATHSAT_LOCUS28396 transcript:rna-LATHSAT_LOCUS28396 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGGKAAVAAASSKAADKVADKIADIRISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIHHLSREIEASDMSALEAVIACDEERLKLEREAETLAAQDDGGGEALERVYERLDALDAATAEKRAAEILHGLGFDKQMQAKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEESLKKFERILVVISHSQDFLNGVCTNIIHMQSKKLKMYTGNYDQYVQTRGDLEENQMKQYKWEQEQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDQVLVFRFTDVGKLPPPVLQFSEVSFGYTEDNLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLFPSDGMVKRHNHLRIAQYHQHLAEKLDLDMPALQFMMREYPGNEEEKMRAAIGRFGLTGKAQVMPMNHLSDGQKSRVIFAWLAWRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAKEIWVCADQKVTRWEGDIMDFKKHLKAKAGISD >CAK8572649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553243411:553243750:-1 gene:gene-LATHSAT_LOCUS25186 transcript:rna-LATHSAT_LOCUS25186 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVRSPNTWRFHLASISKHEHLHEVREEDVAVWTACVPIIRFTTVKMHNSYRVKLQFRMHQNISDPPVDLSKWHLKRVNDQWDYPD >CAK8531836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:161602752:161603249:1 gene:gene-LATHSAT_LOCUS1601 transcript:rna-LATHSAT_LOCUS1601 gene_biotype:protein_coding transcript_biotype:protein_coding MQIADLIRTSTSPTSRPQSCTVPQPYYTNSAAKTLPANKSQYKSHVYANPDNVTPAIVESRYALTKRKGARYLPAAFLTGLLDPVTSREEFLQLFADLEGKVPVFVVSSKGSPKRSKAEMEALKGAKWVTKFVEVPGALLPQEEYPAIVAEELYQFWQQYFGSVA >CAK8571595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446147016:446147667:1 gene:gene-LATHSAT_LOCUS24240 transcript:rna-LATHSAT_LOCUS24240 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVVRFETSPILSKREVNWSERFSSVDANESVVAPTCKCGKKCILYISKTSKNPNTQIFRCPYFKQHNRPHCNYFMQKDKFMEAQTGTVGLQSKATMVELLEAKINQMEKDVKDMKIKIENDIEAKIIQLERDVEVKINQFERDIEIIKTQIIEMQVRMEEGKNWKRCVKAVGVVIVIWLYPFVFGSRKRLCK >CAK8568551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615638643:615639608:-1 gene:gene-LATHSAT_LOCUS21498 transcript:rna-LATHSAT_LOCUS21498 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCVDTSLNLNLVPSQETDVAVVAKVLVEELQRLSNENNKLTERLNLMCDNYIALQKHLNEFKSQESVITPSRKRKIECIENCTTTSKEETFKHNSSPKISKFLVRIDASNTSLYVRDGYQWRKYGQKVTRDNPSPRAYFKCSFAPCCPVKKKVQRSVEDPTVLVATYEGEHNHAHHQAQTSSSNQSETSTHNFVTSPLASTHDLVVPRKLVVENVAQRSSIHQLLVQQLTTCLTRDPNFKTALASAISGTILDAEKP >CAK8562724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531332316:531334269:1 gene:gene-LATHSAT_LOCUS16196 transcript:rna-LATHSAT_LOCUS16196 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSGMIEDVIIIGGLIGVQFVYAGNAMLMSYLMSLGLQSFTIVIFTAFATFILLLPSVLYYERSKWPKKISFKLFLKFLLLALGGVTLFQSLFLKGINLTSAAMGTAMPNLAPGFIFIIAWIFRLEKVDLNCTFSRVKIIGTLLCVLGALTMSLLQSISTPIPMEKLTIPPQPVVLFDRDKIIGCLYLLVSILLLSSTVVLQAFTLGEFPAPMTLCAITSFLGGVITTAAQLIGYHEIKTGWPLVRVGDMIGYSVLSGAVTGICLSTSAWALEKRGPVFVSMFSPVGTVCSVIFSIVTAGDSTVNIGSIAGMVLMFTGLYFVLWAKGKEDCLESEFVDAEKPLLS >CAK8540116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540294875:540296662:1 gene:gene-LATHSAT_LOCUS9149 transcript:rna-LATHSAT_LOCUS9149 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKCLIVTGPPGVGKSTLIMRVFDTLKASNPTLKVQGFYTREVRLAGERVGFEVVTLDGRTCPLASSTFSSQESQRWPNVGKYKVDVASFESLALPELQVREDTDLFIIDEVGKMELYSSSFFPAVLKVLESNIPILASIPVPKFGRDITAVATLRNHPGASLFTLNVSNRDAVQEQIRSLLEDLLIKH >CAK8530376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17646759:17647976:1 gene:gene-LATHSAT_LOCUS244 transcript:rna-LATHSAT_LOCUS244 gene_biotype:protein_coding transcript_biotype:protein_coding METWFIALLSLFIIFLIKATVSTLITTAKTAALPPGPLHIPVFTSILWLRKSFAQLEPFLKTLHTKYGPIITIRIGSRVSVFISDRSLAHGALIHNSSIFSGRPKALPTGKLMSSNQHNISSASYGDTWRTLRRNLASEMLHPSKIKSFSEIRNWVLDILVNHLKTASESESFPVVPHFRYAMFCLLVFMCFGERVNDEKISEIENEERALLLSISRFTILDFWPEVTKILLRKRWEELLKLRKDQENVLLPLIRARKQNKESRLSDVNSVVSYADTLLELELKEENRKLSEDEMVTLCSEFLTAGTDTTTTALQWIMANLVKYPNVQRKLVKEIGEVIGGDDNGVKKEVKEEDLQKLPYLKCVVLEGLRRHPPAHFVLPHAVTEDVVLNGYLVPKDGTVNFMVA >CAK8568419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605125229:605129717:1 gene:gene-LATHSAT_LOCUS21377 transcript:rna-LATHSAT_LOCUS21377 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDNILDVIYDEDNLDDDDDVDMVDVEEGELVEPDSNNVVGQSSAGDVIEAKHESHGENSKCGASKKKRKRNKKKRKGLGSKVINIDRFVSDICYRLKEKKRYMVYIAAGCLGISALSDIVKEVDAIQTCGGQKTADGRRFRTGGGILWNIIKVREPNAYKEIMKKTKEAEKQFGQPIFKQLNVPKQEDSSQGVAFSFAGSDQGNVSNSACHASEVQNQHEPVTPKEKSISVHDRLRIPVSYDDDLLGENPVNHAT >CAK8576040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389688552:389689028:1 gene:gene-LATHSAT_LOCUS28258 transcript:rna-LATHSAT_LOCUS28258 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDRERFCFMVQEKINYNDGRPTGTFSIDLRNRLCDCGKFQAFHLPYSHVIATCSSIRQDYTIHIPKVFKVLNVFKVYQESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDGEKEKRRCVICREIGHMRRKCPNVVGPSNRPNR >CAK8541094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:64003183:64005237:-1 gene:gene-LATHSAT_LOCUS10045 transcript:rna-LATHSAT_LOCUS10045 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGPAIGIDLGTTYSCVAVWQGQNNRAEIIHNEQGNRITPSCVAFTNSQRLFGDAAKNQASSNPSNTVFDAKRLIGRNYSDPIIQNDLKMWPFKVIAGADDKPMIVVKYKGEEKHLFPEEISSMVLTKMREIAEDFLESPVQNAVITVPAYFNDSQRKATKDAGAIAGLNVIRIINEPTAAAFAYGLQKRGNCVEARNIFIFDLGGGTFDVSILTIKGDVFEVKATAGDTHLGGEDFDNRMVNYFVEELKRKNKMDITRNAKSLRRLKTSCERAKRTLTFAVDTTIEIDALFDNIDFYSSITRARFEELNMDLFTKCMETVNMCLKDSKIDKSNIHDVVLVGGSSRIPKVQQLLQEFFKGKDLCKSINPDEAVAYGAAVQASLLCKGDSSSPNLVILDVTPLSLGILLYGGLMGVVIPRNTTIPTKKNKACIKSAENQSSTPICVFEGERTRASDNNLLGSFYLNCNPSYPRGHPLNVYFDLDADGILTVSAEEESSGNKNEIIITNGTGRLSTEQIMKLIQEAEKYKAEDEKYRKKAIAVNALDDYVYKINKALKDIDKSSKLSRQDAFMINLEILKVKSILEASQQKETEVFWDCLNKLKSVVTPLFQID >CAK8579225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685111866:685113867:-1 gene:gene-LATHSAT_LOCUS31188 transcript:rna-LATHSAT_LOCUS31188-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCAFKETELSAPPAYYYQYPRASDEHVPLSSHQGAPSAFSGRLLVDTNLDTSSPDTYTPPPAPIPFNVTLDATQTAPVAHEISCDKNNTNSNSVQEPSGDNHGTSPKSEELKESECKGQTDLELDSAKDSEIELPKLGEPINLVEEEDGCPICLEEYDAENPKLSTKCEHHYHLACVLEWMERSETCPVCAQALAFSPPIE >CAK8579224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685111866:685113867:-1 gene:gene-LATHSAT_LOCUS31188 transcript:rna-LATHSAT_LOCUS31188 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCAFKETELSAPPAYYYYPRASDEHVPLSSHQGAPSAFSGRLLVDTNLDTSSPDTYTPPPAPIPFNVTLDATQTAPVAHEISCDKNNTNSNSVQEPSGDNHGTSPKSEELKESECKGQTDLELDSAKDSEIELPKLGEPINLVEEEDGCPICLEEYDAENPKLSTKCEHHYHLACVLEWMERSETCPVCAQALAFSPPIE >CAK8543202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587734917:587737541:-1 gene:gene-LATHSAT_LOCUS11975 transcript:rna-LATHSAT_LOCUS11975 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFLFDMANSLLGKVASYACQEASLAYGVYDDLQRFRDSLTIVSGYLLDAESKKDQSHALREWLKQIQNICFDADDIFDRFETQHKRKQIVKSSGSTRVKVSHLFSKYNPIVFLSTIRHKMKDISDKLDKKAADVIMVGLGFSSILEPVARERELTYPIVNVSIVIGRDNDKDEIIKLLMQPFPQGDGDKSMCVIPIVGMEGLGKTTLAKLVFNHEIVDQLFQLKLWVCVSFNFDIKQIIIEIIKAASTSDSKTASVPPMSLTPQENINNLDIGQLTDRMRQKLSSKFFLLVIDDMWNEDPENWIELEDLIKAGAPGSKILVTTRSISIASMTGNVPSYDLKGLSSTDSLSLFVQWAFREGEEKKYPNLVEIGKEIVKRCHGIPLVLKTLASSLFSNFDINKWKFVRDSEMCNYEQKKNDILPALKESYDQMPSMLRQCFAYFSLYPKDHIFNSYDMCNLWVSLGLVQPRNGSEKLEDTARKYIDELHLRSFVQNVDDRGAFCNFKVHDLIHDLALYVAGEDFEAVKSQTRNIPWQARHLSFVEDESSGHALFPESRSVRSIQFPISGIGLESQSLLNTWLSKYKYLRYLDLSDSSFETIPNSIAKLEHLRSLDLSYNDKIRTVPYSICELLNLQVLWFHGCTKLEKLPKGLGKLINLRSLTVTTKQSMLPQDEFSSLNNLQSLRFYFCGNLKFLFKQPLPSVEELYFESCGSLECLPLYIFPKLKTLSIRDCQMLNLSLDNENSIQKLRMKHFYLFDFPKLLTLPRWIVCAVDTLETFAIVNFPNLQMLPEYLSTMTRLKRIFISFCSQLSTLPSDLHRLTALEDLRIFDCPELYRKCQPHSGDYWPMICNIKTIHIEEGEEEEEEEEEEP >CAK8532099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:203033093:203038669:1 gene:gene-LATHSAT_LOCUS1835 transcript:rna-LATHSAT_LOCUS1835 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKPKAIFMAFGTKGDVYPLAAIAAAFASDQKQYNVILITHSAHESLSSHLGEKHVEFCAVSSPPVLSADQNDDIEGEAKSSFLLQKKKITRDHRRECFSLIERIFGDEPSLDGDLIVINFFALEGWSLAECFSIRCIVAAPYVVPYSAPATFERQFQREFPLLYKYLIEAPAGKVCWKDVDHWMWPLFTENWGAWRNDDLHLSPCPFTDPVTGIPTWYDRPQSPLVMYGFSKEVVECPAYWPSRVRVCGFWFLPIEWQFSCTKCRETLLYNSARHQYEKDTLCPRHLELQNFVKATPIFIGLSAIGSMGFLKDPHSFISVLRTVLSTTNYKFILFTGGYEPLESVVRTIASEATLDQNSLSEDCLSLYNGRLFCFFSSIPYSWLFPKCAAVIHHGGSGTTAAALQAGTPQVVCPFVLDQFYWAERMHWLGVSPEPLSRNHLLPDKNDDRSIQEAAHVLSLAIHDALSSRVKTRAAEIAEKIFLEDGVSETIKYLKEELSLN >CAK8565211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:69946427:69947521:1 gene:gene-LATHSAT_LOCUS18444 transcript:rna-LATHSAT_LOCUS18444 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFEMPAGFALSKFLNEGKLYEIQDLSLVFSSADAARKVVTLKVFPKFENTIESLEAASCLIDGKSSTRLRKFLHAHCDNEILVVADSKMGYIIKVKLKIDCVHKNAAIELMRGVRYRYQLTKLISGLVVQDMAPMSLGLYHSLSRYKLKFSGDKMDTMIVQAIGLLDNLDKELNTYAMRVREWYGLHFLELTKIIQDDTDFAKSTFKILHPPKAPPPKPPYFIFDYANCIIPPPPPTMVLNFFNSILLLGQLNQVVVIAISCNSCSYVYGSSSNKNHTSTNDTMPAFYSNLLHNLDKFIGNHKQLTIAHEPGTVPSSLLFGSFSKALYYRRRTFCLGPMYPQPWILCLQGSLMGLNNTWQS >CAK8565844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343006767:343007600:1 gene:gene-LATHSAT_LOCUS19023 transcript:rna-LATHSAT_LOCUS19023 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFSITLTTKIPKFASSTHHKSHNSQITHLRFKPSPIRTLLHSPRKPINLRTKAASVQCLFTGLVEEIGTVKQIGASPDGGFDLKVNATTVLDGINLGDSIAVNGTCLTVTEFDTKTSDFTVGLAPETLRKTSLSELEAGSQVNLERAVTPVTRMGGHFVQGHVDGTGEIVSMVPEGDSLWVKIKVEKELLKYIVPKGFIAVDGTSLTVVDVFDDEVCFNFMLVAYTQDKIVVPLKKFGQKVNLEVDILGKYVERLLSSGFVSSIATKVDALKL >CAK8574796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9555319:9555903:1 gene:gene-LATHSAT_LOCUS27103 transcript:rna-LATHSAT_LOCUS27103 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAFQNFFFFLIISCYILTIASEQEETGFVSSINPKLFKKKEAFSHFKFYWQDIVGGNNATSIPIIQPLPKFNNDYSVFGLVRIFDNALTLGPKLSSKLLGRAQGFLASTSQTELDFLMVMNFALFEGKYNGSAITILGRDVAYNETREMSVIGGSGVFRFAKGYAVANTISYDPITINTIVEYNIFVSHRY >CAK8570931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:175936627:175938841:-1 gene:gene-LATHSAT_LOCUS23639 transcript:rna-LATHSAT_LOCUS23639 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEDRPDGTNVHNWHWSETNCLEWSRTFFNKLFNNLKILEGEGNFHATVKKVEKLDGEAYVNVRKGKIIPGYEISAVISWEGEARDSDGKILHKVTGSFEIPYISDENANENPEVRVSVKDEGVIGKSLREAVVVKGKPLILEKVRVWVESMSKGGPVKDELESKKVAPPQRSNLVVAAVAAKKKETAEVVAVAKKEEKGKKGCKTISLSEKFNCRAKDLFEILMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGENLELQEGKLIVQRWRFGSWHDGKQSMVRLVFEEPESGVTVVKLTHTDVPEEDRYGNATVVENTERGWRDLIFQRIRSVFGFGM >CAK8544457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686177188:686181874:1 gene:gene-LATHSAT_LOCUS13133 transcript:rna-LATHSAT_LOCUS13133 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMATIMNRQHLLTYIYLLVYISLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGVAFFLIRVLKVVAPIKMTFHIYTTCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLVAVTLGTERLRCDVFWNMVLVSVGVVISSYGEINFNVLGTVYQVSGIAAEALRLVLTQVLLQNKGLTLNPITSLYYIAPCSFVFLFIPWYIFEKPEMEAPHMQFNFWIFFSNALCALALNFSTFLVIGRTGAVTIRVAGVLKDWLLISLSTVLFPESKITGLNVIGYAIALSGVVCYNYLKIRDVRTSLQIITDESPKELHMEKKADDDVNTNENSQWDDSVLDSPNNHFDEEAPLMYSSRISHLGRKPA >CAK8574139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664823514:664826951:-1 gene:gene-LATHSAT_LOCUS26512 transcript:rna-LATHSAT_LOCUS26512 gene_biotype:protein_coding transcript_biotype:protein_coding MATHASSFSSLRTYLRAVSHTPSRFARRGFSVSTSHEEMSRVRARSGTSMRKTLRWFDLVGFGIGGMVGAGVFVTTGNATHNFAGPAVVLSFAIAGFCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLVMDYVMSNAAVARGFTAYVGTTIGVSSAKWRIIIPSFPKGFNEIDMVAVAVVLLITIVICYSTRESSVVNMILTALHILFIAFVIVIGFWKGSWKNFTQPANPENPSGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVKEPVKDIPIGVSGSVIIVTVLYCLMAASMCMLLPYDMIDPEAPFSAAFKSDGWGWVSRVIGVGASFGILTSLIVAMLGQARYMCVIGRSNVVPAWFAKVHPKTSTPVNASAFLGIFTAAIALFTDLEVLLNLVSIGTLFVFYMVANAVVYRRYVAAGTTNPWPTLSFLLSFSFTCIVFTLIWKIVPTGVAKAAMLIACGVFAVAILQFFHFTVPQARKPEFWGVPLMPWIPAMSIFLNLFLLGSLDGKSYIRFGVFTAVTVLFYVLYSVHASFDAEGDGSQNVESKESVDQSFKV >CAK8543809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640856269:640857510:-1 gene:gene-LATHSAT_LOCUS12541 transcript:rna-LATHSAT_LOCUS12541 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAARKLRRLRIKQRWADKQFKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >CAK8530185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4782304:4783512:-1 gene:gene-LATHSAT_LOCUS73 transcript:rna-LATHSAT_LOCUS73 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSNYIPNDLIISILSKLPLKSLKRFECVQKSWTLLLKDSHFVSVFRKKFMSKTLFGFDDTSYLMRYYMFGPPNVRSYFYFLFGERLENKVKMDYSLPFVDCGQDFIVVGSCSINGILGIVSQNEGFALWNPTIDEYKVIPPSPAESVPYRNFSWLIHGFGYDHVKNDYKVLRRIDFYQLNRHDCECLGLDEENVPWKDVSYEPVWEIYNLRSDSWRKLNINIPMTIPYIFLIPNNDDGIDRCYTKGMCHSLYKVSEYIFQTCLMSFDVCNEVVFTTPMPSYMNDNMDPEWNYKHLMILIKGFIALSSHHGETNTIHISILNEIGVKESWTKVFILGPLACVAYPFAGGKNGDLFLRKENGELACFDLDTQMINELGVEAYKSHIIVYNKSLLSIRSIHD >CAK8533291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600729386:600732639:-1 gene:gene-LATHSAT_LOCUS2931 transcript:rna-LATHSAT_LOCUS2931 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATDFRRPVRKRVPDVLWWALCCAVLLIFIYILTRGTQIESRPVLSKRTYKNDRIMEGLNITEEMLSSDSVTRQLNDQISLAKAFVIIAKESNNLQFAWELSAQIRNSQILLSNAATRRSPLTTRESESAIHDMALLLYQAQQLHYDSATMIMRFKAKIQALEEQVSSVNEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFKNLNLQKKSKNNGVLEMKINDRNRYHFCVFSDNIIATSVVVNSTAKSSKNPNMIVFHIVTDEINYAAMKAWFAINNFRGVTVEVQKYEDFTWLNASYVPVLKQLQDSEIQSYYFSGNSDDGKTPIKFRNPKYLSMLNHLRFYIPEVFPALKKIVFLDDDVVVQKDLSDLFLLDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKKNVTGIYHYWQEKNADRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIERGAVLHFNGNSKPWLKIGIEKYKRIWEKHIDYSHPLLQQCNFH >CAK8536566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955188337:955190047:1 gene:gene-LATHSAT_LOCUS5922 transcript:rna-LATHSAT_LOCUS5922 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNHNNCYISPLSDNQNKPIIHEFDFFSLNNTNHASTSAPPYQHHTPSSPTEIKVNTSLNLLTTNTSSDESKVEANTSTNSEDKRDNLELDVLQAELERKKAENQHLRNMFDEAKMHYEHLLSLMQERKVRKVEDGKEEEQEEKPNGNSGVLIQRQNVDEEGSNDDEELVFDHDKEESDHGNQVVAANNNNANNVSNFSPQTHVEEAEATMRKAKVSVRVRSEANMINDGCQWRKYGQKMAKGNPFPRAYYRCTMALNCPVKKQVQRWAEDKSILVITYEGNHNHALPPAAMEMVRQTSSAARMLLSGPMTSPDGLMNPNFLTRTVFPSSSSIATISSSAPFPTITLDLTQSPNNPLQFPTHTLFNQSRFSGLQMSQDAETSQSQQTSQNLAADPDFAAVLVAALTRMIGGAQPNNNDTKTNNSNGNVI >CAK8572920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571669282:571676268:-1 gene:gene-LATHSAT_LOCUS25425 transcript:rna-LATHSAT_LOCUS25425 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRASFSLTFSSISNKTPPTLSPSSSSLRPNPLKLLRSHFSHRGHFIRIPPPPFSRQNNLPLLHTRVSDPTQIDGFVLEDVPHLTNFLPDLPSYPNPLQKQQAYSIVKNTFVSPEDAVAQTIVVQKDSPRGFHFRRAGPREKVYFKAEEVRACIVTCGGLCPGINTVIREIVCGLNNMYGVQDVLGIEEGYKGFYSKNTMKLTPKVVNDIHKLGGTFLRTSRGGHDTNKIVDNIEDRGINQVYIIGGDGTQKGASLIYEEVEKRRLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVNSVENGIGIVKLMGRYSGFIAMYATLASRDVDCCLIPESSFYLEGRGGLFEFIEQRLKENGHLVIVVAEGAGQEFVAAEVHAVGEKDASGNKLLRDVGPWLSNKIKDHFTKFPKMAVNMKYIDPTYMIRAIPSNASDNVYCTLLAHGAVHGAMAGYSGFTVGPVNNRHAYLPIARVTERPNTVQVKGRMWARLLESTNQPSFVTPPDQQRVDKEMIKDTNKINITST >CAK8532511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:274756100:274771675:1 gene:gene-LATHSAT_LOCUS2209 transcript:rna-LATHSAT_LOCUS2209 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPNEASLSGVEPLMQKIQNEIRTVDAGILAAVRQQSNSGTKAKEDLAAATRAVEELMYKIREIKTKAVQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKIIELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQQLSDACLVVDALEPSVKEELVNNFCNRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRSNEEIWKIFPSSWHVSYRLCILFCKKTRKQLEDILSNLKEKPDVGTLLLALQRTLEFEDELAEKFGGGTQNREIGNEIEEIGRGANSSNNASDIRKKYEKRLAAHQGSESEEKNGTKDLAVPGAGFNFRGIVSSCFEPHLTVYVELEEKTLMDSLEKLVQEETWDIEEGGQSSVLSSSMQLFLIIKRSLKRCSALTKSQTLFNLFKVFQRILKAYATRLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTAGELAESVSKIIDHQFADGVDMSEVQDEFSAVITKSLVTLVNGLETKFDIEMAAMTRVPWGTLESVGDQSEYVNAINLILTTSIPTLGSLLSPVYFQFFLDKLASSLGPRFYSNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKEGPGIKQTQITPTIAPAPPVAPVIPSPTAALGLVGSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >CAK8539463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513289085:513291461:-1 gene:gene-LATHSAT_LOCUS8554 transcript:rna-LATHSAT_LOCUS8554 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKFIMSTATPLLKPRHVAVIGAGAGGLVAARELRREGHQVVVFERGDQLGGSWVYTAEVESDPIGLDPKRRIVHSSLYNSLRTNLPRESMGFRDYPFRRKEGKERDSRRFPNHREVLKYLQDFAVDFEINDSVRLQTEVVFAGVDEDGKWTVRSRSTDSDCVDEIYDAVVVCNGHYFQPRLPHIPGISSWPGKQMHSHNYRTPEPFQDQVVVLIGGAASAVDISRDVATVAKEVHVAARSVEEDKLGKLPGHDNIWLHSMIDSVHEDGTVVFLDGNAVIADFIVHCTGYKYEFPFLETNGVVTVDDNRVGPLYKHVFPPVLAPWLSFVGLPWKVAPFPLFELQSKWIAGVLSNRITLPSEEEMVKDIEDFYMSLEASGTPKSHTHNMGKNFAQWDYNNWIADQCGVPAMEEWRKQMYIATSKNRLMRPETYRDEWDDDDLVLQAEHEFANYVI >CAK8564960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19079428:19079976:-1 gene:gene-LATHSAT_LOCUS18209 transcript:rna-LATHSAT_LOCUS18209 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHSFIFLFSITLINGVFSEQSNIILPSEQQPTEKLTHIHFYYHDIRNNKNPTIVQIIDTPKNVPNGFGSTFMMDDAMTEGPELSSNHIGRAQGLFGLSSLQDLGMFMLTNFVFKEGEYAGSTLSMLGRNPISEQNREMAIVGGTGVFRFARGFAIANSVNDISTPEHFVVEYNVTVSHP >CAK8571976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499872805:499873170:-1 gene:gene-LATHSAT_LOCUS24587 transcript:rna-LATHSAT_LOCUS24587 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNTSVWIYFKLVEHLMIKKFTPAYLQSAGINVRQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIIFKTPVSPVNALGTAVGLAGVFLYSRVKQIKSKPKAV >CAK8563828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633094356:633095693:1 gene:gene-LATHSAT_LOCUS17198 transcript:rna-LATHSAT_LOCUS17198 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDCIISHIFSKLSLKTLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCNPELPKTPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGVNRIELLFAYPKPFAYPKPKRLPYDEETDFEIEPYDEETDFEIEPYNFFLSDSHNSHTYLHLQNCRIMEFSGLKNLTTLVLHLLPVEQNMLQDMCLKCIHLHNLTLNECTFRSDLKITSTTLLHLNINCGYIIGKKINIDIIASNLSSIRYSSDCFSEFLLHKLNIKSHKLSNFSYACSQISNLVHFSGLKNVTTIVLDGLDEGNVITRGLMEGDVITRLFSKCLQLQHVTISKCWLTCECKIISAKLRHLSILHCFNTEVLEVLEASNGSLIENRGLRSILSIHALNLSSFEFRGNSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8542984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564226621:564232215:-1 gene:gene-LATHSAT_LOCUS11777 transcript:rna-LATHSAT_LOCUS11777 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFESFTLSDWCYSNQHCSKFAEKHMSSTRETASFTLGLISVIVWVVAEIPQIITNYKTKSTDGLSLTFLITWIIGDLFNLFGCILEPATLPTQLYMAVLYTFITVALGSQSIYYGYIYPQSQYKRQLKVETPTKAEHVEKISDAEQSHGFDDFSLSSPIPLPRHLPSISTGRELFYQSARSLTTTHTPTTGSLLAQRMTPNSPFLDSMKEDLLGSAFARQSAPSLKIKSTLCLVSTLSFFGVINLYKSLDKGINPMVANPRQQFVVYVGRKLFQVSGDQLLENGASGNSSIGTFLGWAMTFLYLSGRMPQIWLNIRRGHAEGLNPLMFAFALIGNSTYVASILVSSLNWSTIEPNLPWLVDAGGCVLLDFFILMQFLYFRCRTSPGL >CAK8542985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564226621:564232215:-1 gene:gene-LATHSAT_LOCUS11777 transcript:rna-LATHSAT_LOCUS11777-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFESFTLSDWCYSNQHCSKFAEKHMSSTRETASFTLGLISVIVWVVAEIPQIITNYKTKSTDGLSLTFLITWIIGDLFNLFGCILEPATLPTQLYMAVLYTFITVALGSQSIYYGYIYPQSQYKRQLKVETPTKAEHVEKISDAEQSHGFDDFSLSSPIPLPRHLPSISTGRELFYQSARSLTTTHTPTTGSLLAQRMTPNSPFLDSMKEDLLGSAFARQSAPSLKIKSTLCLVSTLSFFGVINLYKSLDKGINPMVANPRQQFVVYVGRKLFQQLQVSGDQLLENGASGNSSIGTFLGWAMTFLYLSGRMPQIWLNIRRGHAEGLNPLMFAFALIGNSTYVASILVSSLNWSTIEPNLPWLVDAGGCVLLDFFILMQFLYFRCRTSPGL >CAK8574254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672480548:672481660:1 gene:gene-LATHSAT_LOCUS26614 transcript:rna-LATHSAT_LOCUS26614 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGNRRKESEAIVTSLHLPFDLVTEILCRLPVKHLVPLRCVSKSWNSLISADSKFAKKHLRLSTSSHDRHHLILTPTHSSPEFPVLCFPISSIFSSTFTTTVKQFSYSIREILIKGAYANRASTCDGMLCFKIDASSALLCNPSIRKLKILPPLNLPKQLFTLYTLVYDRFTNNYKIIVIYSTLGRKYEVNVHTVGTDYWRKIQDFPNPLFAPVPGIFVSDSVYWLVYDGGADVTPLIVSLDLEKESYQNLSLPFKHAHFTTSLGTLRGCLSLLSQRDKFYDVWIMKEYGNEKSWTKLLSVPYMKECEVTRALDISKNDQVLMEFHKDGKFNLVVYDFINNIFKTSKFQYSREYATTLQVYVESLISPF >CAK8536249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921770943:921771470:1 gene:gene-LATHSAT_LOCUS5637 transcript:rna-LATHSAT_LOCUS5637 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARLSFLSLMLLSLSLHVNTDSSPSPSPESPSPSADTPSPPPLPESRSPSLSSADTPSPSPSPESLLPSSADTPSPYYPPSNSPLDSSPPAPPPLNPSPVSTPAPSPEDSTLINHIGLDEKTDDSSAEGMSGSKKAGIAIGIIVAASVLMLAGMVYKKRKQNLRRNQYNYAVY >CAK8566685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448910704:448911888:-1 gene:gene-LATHSAT_LOCUS19797 transcript:rna-LATHSAT_LOCUS19797 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDTVRLGILGCANIARKLARAIALAPNATVSAISSRSLEKAKKFAAENNLPASVRIYGSYDEIMVDSGVDAVYIPLPTSLHVLWAVTAANKKKHVLVEKPAALNMAELDRILEACESNGVQFMDGSMWLHHPRTAHMEQLLSLSNSTGIGPVHFIHSTSTMPTTQEFLENNIRVKPELDALGALGDLAWYCINASLWAKGYKLPTTVTTLPDVTRNSARVILSITTSLQWDKPNQTVANIHCSFLSHTSMDLAICGSNGSMHVKDFIIPYKETSAKFDFTFGAKFADLHIGWNVKPEEVDVANKLPQEALMVQEFARLIASIRDCGSHPSPKWPEISRKVQLVVDAVKMSLELGCKPVAL >CAK8563383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598856324:598856620:1 gene:gene-LATHSAT_LOCUS16802 transcript:rna-LATHSAT_LOCUS16802 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQKSLASFRRQGSSGLVWDDKFLSGLNQNQQQQQEESNTVGSSATLDRSRSAGAQPYRTVNVSPPSKDPPSPKVATCGFCGFFRESNKPKSGKKR >CAK8540285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548901606:548904733:1 gene:gene-LATHSAT_LOCUS9303 transcript:rna-LATHSAT_LOCUS9303-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLINANPVIYEKKQRQQRSTPSLPADEYAVEPIDQLEIFDHIRDIKDPEHPYSLEELKVITEEAVEVDDQNSHVRVTFTPTVEHCSMATIIGLCLRVKLLRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLVEMVDECLAPSYD >CAK8540284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548901606:548904733:1 gene:gene-LATHSAT_LOCUS9303 transcript:rna-LATHSAT_LOCUS9303 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLINANPVIYEKKQRQQRSTPSLPADEYAVEPIDQLEIFDILFLTDIKDPEHPYSLEELKVITEEAVEVDDQNSHVRVTFTPTVEHCSMATIIGLCLRVKLLRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLVEMVDECLAPSYD >CAK8577446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564678269:564679081:-1 gene:gene-LATHSAT_LOCUS29554 transcript:rna-LATHSAT_LOCUS29554 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVTSIRSLVHLYSISYMSEDQHSPRFMCYLSILTFFMPMLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKPATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARTSALINSWISCNMRLNAITLICILLLIGDVGKYAQIGSHTWSPDAMEGPTPISALIHAATMVTAGVFMIARCSLLFEYPSTTLIVITSAGATTSFLAASTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFNKLPA >CAK8566395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419125931:419127486:1 gene:gene-LATHSAT_LOCUS19528 transcript:rna-LATHSAT_LOCUS19528 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLRNAKPYLLLLAVQFGSAGMFIFAMDAIKKGMSHYVFIVYRNALATVTLVPFAFYIERKIRPKMSLRVFSEIMLLAFFEIILDQCFALLGMKLTSASFLSAVMNSAPSITFLFAVILKLEHMKIKEVACQAKMIGTAITFAGTLLMALYKGPVVSVVKSSISDATSQPEIVNDSGNRWIIGTCFLLIGCAGFSAFYILQAITLRKYPAPMSLATWVCFVGALQSFVVTIFAERHNSHAWALGWDSRLFAPAYAGIVTSGVQYYIQGVVMKAMGPVIVTAFNPLRMIIVTALACIILSEKLFLGSIIGAIVVVLGLYLVVWGKSKEQKARDDIHMTESPMKENPLQEQHQLPVTAPKNENSDSNKAQLVMQGEETCYNCGRI >CAK8542317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501336630:501338211:1 gene:gene-LATHSAT_LOCUS11164 transcript:rna-LATHSAT_LOCUS11164 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKLLGSIATDIRTRNRSYYTSRTKTPSLHSKISPFGNPTTSVVPQLDDWVFNGNKVSVGELQRVVRDLRKRSRFPQALQVSEWMNKNGVSIFSPVEHAVHLDLIGKVHGFVSAQTYFNSLKEQDRNEKTYGALLNFYVRQHHVDKSLSHLKKMKELGYASSPLTYNNIMCLYTNIGQHENVAGVLSEMKENHVLPENFSYRICINSYGVRSDIEGMEMILKEMENQPHIMMDWNTYSVVANFYIKAGLSGEAIDALSKCEARLEDKDGEGYNHLISLYARLGKKNEVLRLWEMEKNACKRCINRDFITMLESLVKLEEFDEAEKILKEWESFGNCYNLGVPNVVIVGYSKKDFLERAEAILEDLRNKGKAINPNTWTLVASRYLHKGEIEKAFGCLKIVVSLYLENKKWKPNPRVIATLHSWIGDNACVEDAEALVSLLENVQKNIHMYHALIKAYVRADKEVDGVLDRMINLYVVFNYFNCTFFKRIGSIF >CAK8530210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7742472:7742915:-1 gene:gene-LATHSAT_LOCUS96 transcript:rna-LATHSAT_LOCUS96 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSAIRMLAYGSPADIVDEYVRIGERYLN >CAK8538223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471688406:471691475:-1 gene:gene-LATHSAT_LOCUS7446 transcript:rna-LATHSAT_LOCUS7446 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDINHLSHPCIYGDYVSSHPERKSGFMKWLSKLFKGGPNRGRSGRHLHDSSEESVSWRAPSRALDDRGRAQKEKEDLGHAMSLSSSEDLKRPNAHQGYRWGEGNGEDYGKGLHDNFNSSAHPPYAPAPFYPNEYRRICGGCNQEIIYGNCLGCMDTYFHPDCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPCHEYDNTARCCSCERLEPRNTKYYGLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMHMRIDQQVPMLLVEREALNDAIVGEKNGFHHLPETRGLCLSEEQTVTSIHRRPRIGGHRFIGMRTQHQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLNPEVEEGICQVLSYMWLETEVMPTNYQNMPSTSTASSSSSSSSSSKKGAKSHVENKLGEFFKNQIVNDSSPAYGGGFRAANEAVNKYGLRSTLEHIRLTGFFPV >CAK8542954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562354322:562354936:-1 gene:gene-LATHSAT_LOCUS11749 transcript:rna-LATHSAT_LOCUS11749 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVQNGAQVLPGSWAHNQFTRYENNQGNWMEQMRGSLMIVATVIASLTFQIAINPPGGVWQENSTIQQGCAPDQTCKAGTSVLAFGDSDQKIRYELFLLLCTISFSASQAIIVLLICGFPLRNKFVMWFLILVTCLSVFCTAGAYVIAIWMILNPLNGTFYRVTIYYVIFWVGLIVLLFVILLCRLVFWILKKFFRFICCC >CAK8535434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847963880:847965402:1 gene:gene-LATHSAT_LOCUS4898 transcript:rna-LATHSAT_LOCUS4898 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSKEAHMSIAASSMFPGFRFCPTDEELISYYLRKKLDGHEESVQVISEVELCTYEPWDLPAKSFIQSDNEWFFFSPRGRKYPNGSQSKRATECGYWKATGKERNVKSGPNVIGTKRTLVFHLGRAPKGERTEWIMHEYCISDRSPDSLVVCRLKRNTEFRLNDSSNRASSSQINQVHSHESDCAVSEGATDQREIGACEQEKEVDGSSKRGSSSYGSPSLEQIDSVSESNQRPATDATLTDSSGHLKMEEEDCYAEILKNDIIKLDESSMSQGAQTRPNDLPRTHPCQGTAQRRIRLRVPKSTHAQQRVRFSRTKHESKSSFLDSVSNPMMIFVFFVFTLMAIFFWSLLDGHKHVRNIVGKFPA >CAK8534770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765695841:765698037:1 gene:gene-LATHSAT_LOCUS4284 transcript:rna-LATHSAT_LOCUS4284 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSTLLSLAGHAVPASFSGETCHCETKCSPKPRKILSSFSKKVLPLQRYSINGSNLKRSNSILTFAIGKEANDNFLSDVGEDTEDMYDELLNNYGKVVYKKKDQKPASEEIDDDSESLSFAVEMATVASEVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRIRDRAEKKYGKIPNGDSKPNSWTLLDFGDVVVHLFLPPQRAFYNLEEFYGNATAVELPFENESPFPDERGAFDLLDDAES >CAK8544477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687869977:687877968:-1 gene:gene-LATHSAT_LOCUS13153 transcript:rna-LATHSAT_LOCUS13153 gene_biotype:protein_coding transcript_biotype:protein_coding MYIELNCPSSLRKQLAKLFGESLKSTFPGESDVLPMIDACVAKNGVKFADYQCNNAMSLWAKVKGKQTDFKNPRSVGEGIVKNLPPSEMVESCSVAGPGFVNIVLSKKWIAQSIQRMLTDGIDSWAPRLPVKRVLIDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFCQADSLIRRNHIGDWGTQFGMLIAYLFEKYPNPEDVNESAIGDLQAFYKASKLKFDSDPDFKLTAQQNVVKLQSGDPKYRAAWQQICDISRTEFNKVYKRLGIHLEEMPESFYNPLILPTLEKLEKLGLVEDSEGARVIFVEGVDIPIIVVKRDGGYNYSSTDLTSIWYRLNVENIEWNIYVTDVGQWQHFDMLFKAYRRAGWLPKDENEYPKCTHVGFGLVLGDDGKRFRSRSSETVRLVDLLDEAKRRCKASLLERDNAKDWTEEEIEKTSEAIGYGAVKYADLKINRLTNYTFNFDQMLNDKGNTAVYLQYAHARICSIIRKSGKNIEELKRNGNLVLDHEDERTLALHLLQFTEVFVESCSNLLPNVLCEYLYNLAEIFTKKFYSNCLVVGSPEETSRLLLCEATLVVMRKCFYLLGIEPVYKL >CAK8533714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:652616626:652619487:-1 gene:gene-LATHSAT_LOCUS3317 transcript:rna-LATHSAT_LOCUS3317 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTALKDLNTIPVTERKSEGSGKTCLTKPPVDNANENIEEYQKKKNGPALVSPHVNGNQAVPVDSVVEIGIVEIEYIESENLNDVEDIDTCLKTLLAALDSKDWVLVCDTLNNVRRLSIFHKEAMLDILGSVITHIAKALKSPRSAVIKTAVMTSADIFCAYNDLMIDSLDPLLLQLLLKSSQDKRFVCEAAEKALISMTTWISPISLLPKLQPYLKHKHPRVRAKASMCFSRSVPRLGAEGIKTYGIDKLIQVAASQLSDQLPESREAARTLILELQNVYEKFHNFETETASEDPKTETASEDPKTETASEDPKIKTASENPKTETASENPKTETASEDPKTETVTEDPDTDSESWENFCQSNLSPLSAQAVLRVTSIAREGLVS >CAK8576853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519626633:519629314:1 gene:gene-LATHSAT_LOCUS29016 transcript:rna-LATHSAT_LOCUS29016 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRQFRRAPLYFSLSNPLLQRNFCTSKFNDENDTRFVSLISDIVRGNQSWNVAFNDPSISSTLKPHHVERVLINTLHDSKLALRFFNFLGLHKNMNHSTTSFAILVHALVQNKLFWPANSLLQTLLLRGSDPKFVFDRFLESHRQCKFSSTLGFDFLVQSYLQNTRVFDAVFVLKLMLANTLLPEVRTLSTLLNGLLRIRKFILVWELFDESVKAGVKPDPYTCSAVIRSLCELKDFFRAKEKILWMESNRFDLSIVTYNVLIHGLCKGHRVLEAVEVRKSLREKGLKEDVVTYCTLVLGFCRVQRFEDGICLMNEMIELGLAPSEAAISGLVDGLRKKGKIDSAYDLVVKLARFGFLPSLFVYNSLLNSLCKCGDLNKAELFYNNMRPMNLLPNDVTYSILIDSFCKRGRLDVAASYFDRMIEDGIRESVYPYNSLIHGQCKFGDLSAAESLYTEMINKGVEPTATTFATLISGYCKDLQVQKAFKLYSEMNEKKIPPTVYTFTALIYGLCSTNEMAEASKLFDEMVERKIKPTEVTYNVMIEGYCKARNIDKAFELLEDMVRKGLVPDTYTYRPLITGLCSTGRVSEAKDFIDDLHKKNLKLNEMCYSALLHGYCGEGRLTEALSASCEMIQRGINMDLVCHGVLIDGALKQPDMKMLFSLLKKMYSQGLRPDSVIYTSMIDAYSKEGSFKKAAECWDLMVTEKCVPNVVTYSAFMNGLCKAGETDRAGLLFEKMLAANIHPNSISYGCFLDRLTEEGNMKEATELHRAMLKGLLANTATYNILIRGFCKLGRLIEASEILSEMTENGICPDCITYSNLIYEYCRCGDVGAAVKLWDTMLKKGIEPDLVAFNLLIYGCCVNGELNKAFELRDDMLRRGLKPRQNLQLPNG >CAK8538776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496149867:496154563:1 gene:gene-LATHSAT_LOCUS7938 transcript:rna-LATHSAT_LOCUS7938 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPPRLFSNNNDESFMASLRASAQTSATSQRLAYVERLILDLQKSDLRENALHMLSKNTESFKDLAPLLWNSFGTIVILLQEILSIFPNISMQNLTPAQSTRACHVLALLQCVASHPDTKMLFLDANMPLYLYPFLQTKNESPQFEHLRLASLGVIGALIKVSTKEVITFLLSSEIIPLCLCNMEIGKEVSKTVATFIIQKVLAEEDGLAYVCATAERFFAVARVLDKVLNSIEKQHSPRLLKLIISCYSRLSDSHRAGVVLANCLPKMLTDGTFNNYFRDDPTTWRLVEHLYENLGMNQVPLVPGGPLVPGGE >CAK8578264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615724523:615727119:1 gene:gene-LATHSAT_LOCUS30291 transcript:rna-LATHSAT_LOCUS30291 gene_biotype:protein_coding transcript_biotype:protein_coding MASADITELEQGFLQDERSKQHTGDGSVDFRWKPAIKHNTGNWRACPFILGNECCERLAFFGIATNLVTYLTTKLHEGNAAAARNVSIWQGTCYLTPLIGAVLADGYWGRYWTIAVFSMIYFVGMCILTVSASVPSLKPAECLGSVCPPASPVQYYPLYFGLYVIALGTGGVKACVLSFGADQFDDTDSKERDKKASFFNWYYFSINLGAIVSCSFIVWVQDNSGWGLGFGIPALFMGLSVGIFFSGTSLYRFQKPMGSPITRMCQVVLASVRKQNLVVPEDSSLLYEMPDKISGVERSHKLMHHDDLRYFDRAAVVSDSENRSGDYSNPWRLCTVTQVEELKILIRLFPIWATGIIFSAVYAQMSTLFVEQGTMMDTNIGSFKLSPASLSIFDMVSVALWVPIFDRILVPIARKCTGTKRGLSVFQRIGIGHFISGLCMLSAAIVEIKRLQLARKLDLVDKPVAVPLSVLWQTPQYFLLGASEVFTFIGQLEFFYNESPDAMRTLCSSLPLFSISFGNYLSSFILTIVTYFTTQGGRLGWISDNLNNGHLDYFFLLLSGLGFLNMTVFIIAAKMYKQKKVS >CAK8566922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472781932:472784165:1 gene:gene-LATHSAT_LOCUS20018 transcript:rna-LATHSAT_LOCUS20018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSSFQISNFKSVFVGNKSRLRVSSAPTTHVGFSRKIIECKDSRIGKQPIPVPSNVTIKLEGQDIQVKGPLGELSLTYPREVKVERQEEGILKVSKAVETRRANQMHGLFRTLTDNLVVGVSKGFEKKLQLIGVGYRAMVEGNEVVLNLGFSHPVRMTIPNGLKVKVDENTRITVSGYNKSDIGQFAASIRKWRPPEPYKGKGIKYEDEVVRRKEGKAGKKK >CAK8578884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660863586:660864023:-1 gene:gene-LATHSAT_LOCUS30865 transcript:rna-LATHSAT_LOCUS30865 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKGIQGCMQRRSDGAGLVKLEKKLQKELNYILGKEEIMWHQRSITEWLKGGDRNTKYYHFKTINRRRRNRITVLKDDNGVWIEDNEQLKELVNSFYRKLFALKYNWKVLHNTSISFLRLQESDLNNLKMHINDGEVKKRFLQ >CAK8564231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660115793:660119439:1 gene:gene-LATHSAT_LOCUS17554 transcript:rna-LATHSAT_LOCUS17554 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAASVLNHSIAEAGRRNHGQTTPLHVAATLLASPSGYLRQACIKSHPNSSHPLQCRALELCFSVALERLPTSQNTAPAAAMEPPISNALMAALKRAQAHQRRGYPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSINSVPPPAVNSSPMGLGFRPGMVTPGAVPARNLYMNPRLQQQGSVAQSGTQRGDEGKCVVDILMRAKKRNPVLVGESEPEVAIREILRKIENKELGEGAFSNAHVIHLEKELPSDRAQIPGRIKELGDLIESRLENSGSGGVFINLGDLKWLVEQPVGFGLGNMQQPALAEAGRAAVAEMGQLVAKFGEGGAGRLWLLGTATCETYLRCQVYHPSMENDWDLQAVPITSRSPLPGMFPRLGTNGILGTTLESLSSLKTFPATTITPLRRASENVDPAAASICCPQCIQNCEKEVSDMLKETEKSDTELTSDAARPPLPLWLQNARPNNDNAKVMEQAQSNGQEGNVKKRTQEIQKKWNDSCLNLHPKFHQQNVSTERIAPVPFSMTNLYNMNLMGRQFQPKVLPNKNLGCSLQLSTASPRRSTVTTELVLGQTKPCDTIPEETQKERINEFLSSLSSESQDKFDELQSKKLLDVDSFKRLLKSLTEKVWWQQDAASAIATTVTQCKLGNGKRRSKGDTWLLFTGPDRIGKKKMAAALAELVSGSNPIVISLAQRCGDGDSDAHHIRGKTVLDRIVETIRRNPHSVIMLEDIDEANILLRGNIKRAMEQGRFPDSHGREISLGNVMFILTSNWLPEDLGYLSNGTPLDEEKLANLASGSWQLRLSVTKKVSKRKPSWLSNEDRSLKPRKEVNLGLSFDLNETADVEEDRADGSHNSSDFTVDHEDNNHNRGSPSKPREFLDSVDDAIVFKPLNFDLIRRNFSGTIAKRFSAVVGNRISIEVQEDALDKITSGVWLGQTTIDEWMEKVLVPSFLQLNKNFNSTYHDDHESSLLVRLEDDGYSDHRSSQELLPASVRVVSE >CAK8565738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:315832583:315836052:-1 gene:gene-LATHSAT_LOCUS18925 transcript:rna-LATHSAT_LOCUS18925 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIEIGGFIYDTTYTSEENNLRGFTIEGKWDEVMKLYKSDPKFSTININESRGTALHVAVNDDNEEVVRNLVDSIISHKNEKALECKNEKGDTPLHLAASKGFKDICEYIIGENGERKYLIDIDNKDGETPLFLAALSWQKQTFVYLFNFKSREGDGNYTYSKDLIRNNGDSILHCAIKREFFDLALIITSKYPDLISIRNRHGFSPLKLLATRPSAFKSGCKMIWWKRLLYSCIPVANLNVKEAVEYYAMKQSNSSSNKKCPKNYDTCYQFIYLTRRQVMKKTTSTAAYGFENIEQRNIPMQSEHKFLPENYTMCLWFMWFMKFACIYILGLSGVGIEEITKMKQKHKWSGQLLKRFMENPCQSYLGTGTKPIQYVIGTDFLSAYKPNQEDNNSEEPKISTALENETTILTTAKNGLVEIVNELITKISKISTTLESEAAILTKAKNGIVEIVNELITKIPSSIYDVNLENKNELLVAVENRRTIEALKKRFEESCNKAIFDNLIQDMDMKENTMLHLAATISDRDWHISGSALKMMWHIKWFEYTKGLVPEHFIVRTNKEDKTAGELFNNSIATLVPDGSAWFKYISESCSVVAVLLAGIAFARSSTVPGGNKSDTGEPILKGKPAFDTFAISSLIGLCFSVTALIMFLSILTSQKEANDFRIDLPRKLLLGLSSLFLSMVTLFVAFCSSNFFVIDDKFKHIVFLICSVTCFPLTLYAVSQLPLYIELLRGIFAKVPKTSDKEKVF >CAK8568657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629339395:629340354:-1 gene:gene-LATHSAT_LOCUS21596 transcript:rna-LATHSAT_LOCUS21596 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSWSSSSRVYDAINECLTLAKSDSHEAQEKGLQTLAAITKVSPQNRTLVAQTDGAIPTLATLTNASSSIIQTLSLLILFNLSLNPDLKQSLADMETIYHLNSLINSSSSSPCSLDSCKLASSLICSLAMFDKNKAKFGVAGTVQLLVKAIEDSLIDSADAHHFLSSLAELVQFHGNCTLAVRGGAVPVLLQVVKSTDNINEDLAGTSLTVLGLLVRFDEGLNVVKKTNEIISSMLSVLKGRSLLCKEGAVDILVRLFDESDESVREALMLSEFSSVLADLSVRGSARVRDKAELLMRKIAKMSLETNMELVCSLYD >CAK8540361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554607691:554608182:1 gene:gene-LATHSAT_LOCUS9371 transcript:rna-LATHSAT_LOCUS9371 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKPNLIFAYGTLKQGFPNHGLMQDLKTNDDAVFIDTSHTEDSYPLVCGPHGIPYLINLPGSGHRVKGEVYAVSDDAVVRLDEFEGVKNGYYERIPVVCVTDGGEKVKAEGYFGHRSFGEILWKKKGEVGLIEYGENEAKEYVRKEDRPGCKNSILDILIR >CAK8572959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573046492:573047577:1 gene:gene-LATHSAT_LOCUS25458 transcript:rna-LATHSAT_LOCUS25458 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASDHHHHHHQNHQQLSLASKELSNIIKGKRTKRVRPQSPIPFSITANSSTGEGGEREDCYNGDDVVNNNNININIIHNNNNTSPTTSYVEEKQESVMDDEEHDMANCLILLAQGQSKESPKTTDELDGGMMNYTKYSSRKFMEAATLDSGRAGFYVYECKTCNRTFPSFQALGGHRASHKKPKALAIAQERKQFFDDDQEFQFKPNHKPVSLQLNNNGKGNLYGGNNNNKSKVHECSICGSEFTSGQALGGHMRRHRAPVGSSTANTTLSLTPMALEPDEDHQPRKKRNVLSLDLDLNLPAPEDDHKESKFAFASKQQHQQQHGQKQHQQQQQQQQQQQQPQQTNLVFSAPALVDCHY >CAK8565612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292784009:292784828:-1 gene:gene-LATHSAT_LOCUS18809 transcript:rna-LATHSAT_LOCUS18809 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLANMSFSAPTSSCRMNKISNLKLTTLSGTRNTTFYNNVKAMATGDDSSLQRAKLPPKMKLSQASPSVLLNQFPVARALQQRMETMDGIVEDPLVGNDGSRRIVLDNECSRSIVLENNEHARRKNPWLIKEGQYDYKVRFNMPGMNKNDVKVWIEEKVLVVKAEKIATEQHEGQPNGSGEFSEEHEDWPANIYGRYNHRISLPDNVEFEKIEAQVKNGVLYIRIPKAKSNAKVIGIDVQ >CAK8575278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:68669441:68670583:1 gene:gene-LATHSAT_LOCUS27552 transcript:rna-LATHSAT_LOCUS27552 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEELHFKTILRRKNAAKARIYRKGVIDDKKSKRLKTALKENGTFDKRRGNDSTNLRIPLSELSPNILNDGRGIANVKVSRQLHSSLKTKPSTNNNIRSKRISSRNITKLGVNLSKRFDNTFAATTSNQDPIPELQLNELFASDSGDDNMNDESDGGYYDIGDPVIECQYYGANMWYSERKNKCCHASNPKFSMCCGSGKVQLPLLKSAPKVLQHLLFDNESCESKNFQQQIRMYNVMFAFTSPGAKVDNQFNNGICPPNFRIQGQSCHRIGSMLPMPGQNPRFAQLYV >CAK8568892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651658774:651660123:-1 gene:gene-LATHSAT_LOCUS21801 transcript:rna-LATHSAT_LOCUS21801 gene_biotype:protein_coding transcript_biotype:protein_coding MANFKKHSLLLISTLLMTLQIQFSPTNAAVKGGYWYSDSGLAVSDINPSYFTHLFCAFADLDPSTNTVTISSANAARFSTFTQTVQAKSSSVKTLLSIGGGGGSALADKFASMASQASSRKSFIDSSIQLARSNNFNGLDLDWEYPSTDTAKTNFGLLIKEWRAAVAKESSSSGKAVLLLTAAVGGSDQITGLKFYPGPDIANNLDWVNVMTYDLFISDSYPTSTQPPAPLKNPTGQFSVDEGITKWIGLGVPKNKLALGLPAYGYKWSLSDPNKHGLFDKATKGLGAVKYKDIKNAGAQVVYNSTYVTNYAFKGTDWYGYDDTQSISTKVSYAKQNGLLGYFFWHIEQDSNWALSSTASQTLG >CAK8541272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:101656751:101657545:-1 gene:gene-LATHSAT_LOCUS10204 transcript:rna-LATHSAT_LOCUS10204 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKISAASARSHTRRANKSSSFHLPSGILRTTVAVLVIGFLAWAYQVTQPPPPNICGSPDGPPITVPRIKLRDGRHLAYKEHGVPKDEAKYKIISIHGFTSCRHDTVVADTLSPDVVKDLGVYFVSFDRPGYGESDPDPNRTLKSIALDVEELADQLGLGSKFYVVGVSMGGQVVWNCLKHIPHRLAGAALLAPVVNFWWPDLPANLAAEAYSQYKLRDRWAIRVAHYTPWLTYWWNTQKWFPICSAISRSPDILSKQT >CAK8531086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:79251729:79253585:1 gene:gene-LATHSAT_LOCUS900 transcript:rna-LATHSAT_LOCUS900-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNMLLLFILLLCSRDSHGSRVDHAQGHIQLDNRVGSMFPQIAPVGAPQGLQPFLPLIAPSPLTPFTNITTPKLSGLCMLNFTAAKSLLSVTAIDCWGSFAPVLANVICCPQLEATLTVLIGQSSKHTDILALNGTAAKHCLSDVEQILMGQGANGDLKQICSVNSLNLTEASCPVKHVNDFYDMVNTSKLLKACENIDPVKECCYQYCHSAILEAATKIASKGSNVLDSDASRILPEHSIRVNDCRNIVLRWISSKLDPSHGKKVLRGLSNCNINKVCPLVLPDAKHVAKGCGNGISNKTACCDAMLSYVSHLQKQSFITNLQALGCAETLAMKLKKSKIVDDVYSLCHISLKDFSLQGLFFFSLHITMRHNNT >CAK8531085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:79251729:79254775:1 gene:gene-LATHSAT_LOCUS900 transcript:rna-LATHSAT_LOCUS900 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNMLLLFILLLCSRDSHGSRVDHAQGHIQLDNRVGSMFPQIAPVGAPQGLQPFLPLIAPSPLTPFTNITTPKLSGLCMLNFTAAKSLLSVTAIDCWGSFAPVLANVICCPQLEATLTVLIGQSSKHTDILALNGTAAKHCLSDVEQILMGQGANGDLKQICSVNSLNLTEASCPVKHVNDFYDMVNTSKLLKACENIDPVKECCYQYCHSAILEAATKIASKGSNVLDSDASRILPEHSIRVNDCRNIVLRWISSKLDPSHGKKVLRGLSNCNINKVCPLVLPDAKHVAKGCGNGISNKTACCDAMLSYVSHLQKQSFITNLQALGCAETLAMKLKKSKIVDDVYSLCHISLKDFSLQVANQEYGCLLPSLPSDAVFDQTSGVSFLCDLNDNIPAPWPSTSQGSSSSACNKSIINIPALPAAAASGQSCLYSHDVLIFLLVNLFFLVMALV >CAK8530454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22500213:22500747:1 gene:gene-LATHSAT_LOCUS317 transcript:rna-LATHSAT_LOCUS317 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQPYKFCLQTPFLCYPSNVGINQHKQTQTSLVAQRKIKVHRREVKCNAGEKKGERRTFLTLEEAGLVEMSGLSTHERFLCRLTISSLNLLKVISEQEGCPIEELNAGKVCDWFLKDKLKREQNIDSAVLQWDDSDFQF >CAK8536320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931196731:931197127:1 gene:gene-LATHSAT_LOCUS5700 transcript:rna-LATHSAT_LOCUS5700 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIENRYNVVLVCLGIECWTFFPMASSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQH >CAK8569739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9514048:9514365:-1 gene:gene-LATHSAT_LOCUS22555 transcript:rna-LATHSAT_LOCUS22555 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNFLIRARVFKLYRQALRVAGRAPPPAKGELRQMIRQEMENNRNCNDKQRIRYLISEGLEKLKRLDEMLDMQGH >CAK8530261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10736719:10737562:-1 gene:gene-LATHSAT_LOCUS141 transcript:rna-LATHSAT_LOCUS141 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEFRCFIGGLAWSTSDRKLKEAFEKYGKLLEAKVVVDKFSGRSRGFGFVTFDDKEAMNEAIEAMNGIDLDGRTITVDKAQPQGNRDDDDRRGGRDSRDRNRGRDYGGGRGSNGGECFKCGKPGHFARECPSEGGERGGRYGGRESRYSGGYGPERNGGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDRAGPYERRGSYERR >CAK8564728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7676655:7677494:-1 gene:gene-LATHSAT_LOCUS18000 transcript:rna-LATHSAT_LOCUS18000 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNLVAEKVWKEIESTNTVNGDQLYILHFLFGKNFEGAARIVDQRGVKRISGEPSGRFIFQVTGESRKKDRYLCFAENFCACYSFFYDVVNRGEQLCCKHQLAARLAASLGSYVEVKVSDEELALLLSKI >CAK8533451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:619473499:619475319:-1 gene:gene-LATHSAT_LOCUS3074 transcript:rna-LATHSAT_LOCUS3074 gene_biotype:protein_coding transcript_biotype:protein_coding MASESAELPVAPEVTTSPVVEKRRYSMGKAGSGKSNEKVVPHYLRASTSSCHDICKYGGNHSFNPKERRSIPNRATRKQLYQSSEASIGVIVAKPKLSVDSKPTKMSTVVLKEAVDSEACTSDALDAKNRKKTSFVEDKPSLLLKSHTSPSRSQEISSSMDKEMQSQSKSASRKVETPSKSTSKTVKTPSKSTPKKVESISKPTSDVKTSSKSIADLVEALPKSILKKIGNSSNSSASPGEAVPKSIIKKVENPSKSTSKVKISSIDGTSLQLPSSIGTEMKLFTKPSSSLNSIRVARNKASSSMNSFEGVSGKTNNKIKMEKKVASFKASPRKLIVPIKALSSPRKLIVPIKALSSPRASKKHKNLKIVSPLKNQKAAKKLELEEHENLRNQETLKKVELEESKIHEIKEKTLYVIEMETENKTLQSNQNASYFDESYLPQLSTPESSSTSVTQSVSEENQEEYEYTTSEYELDSLSGNSEIECIVNNETSMVEKTGKLRKVKEVEDKDSEMMKLKFRRGKVVDDQSEKNTPRKLKFRRAKTLAEKANFKEKVVLRHQGFEDKKDAQGLFNNVIKETASKLVEARKSKVKALVGAFETVISLQEK >CAK8575744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:297605526:297606510:1 gene:gene-LATHSAT_LOCUS27980 transcript:rna-LATHSAT_LOCUS27980 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLNHFQIWLKCGNVGVRWLSELKACNKRHHITYGCMLFGITTARWRYTDSVRNVPAGDLMFCPTNSFFHNSRIPSCHEAGSLLARIGVVMGITNGPSLSLFFFK >CAK8565172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:56514818:56515978:1 gene:gene-LATHSAT_LOCUS18407 transcript:rna-LATHSAT_LOCUS18407 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNHIDNKDRISDLPDSLILHILSFLNTKQAIHTCILSTRWNNFWKYIPNLTLISSQFTSVEIFTEFVSQILSLRDNSTELDTLVFRRHEVLEPSLLEKILKYAVSHNVQRLDICVTCDIPRLPTCLLSCRTLKSLDLYVSHPTLYGTTKLFPSSLNMPLLTSLDLRHFAFSVGNDGRVDPFSALTSLKSLRIVYCEVMDEQNLCISSAKLVNLCIYMVHYAPKSYFGIELSAPNLCSFAFSGIPVQKLSWSKSKLFSIKKVRIHIIEFWKSEETSLVLLDWLFELANMESLIISSTALEVLSLVPNLSFELPSLCNLKSLGVEKKQIASIPYGIVDILIQNSPSPKVYIRD >CAK8538353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478717067:478718035:1 gene:gene-LATHSAT_LOCUS7565 transcript:rna-LATHSAT_LOCUS7565 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSISDHAMLVLHDGIEAQRPKKQFRFINCCAEMDNFQEIVKNSWDMPLAGNPMFVVWKKLQRLQPHIGKLSKPLAEIHKKIARARNDLNKVHDTLMTDRLDAGKIHMVKKCSDNLIRLQELDDSMVRQRAKVDWLRLSDDNNKYFHASIKMRQQLNNMTQIQRIYGTFITDQLGMENEVISFYRKLMGTKLNYLEGIDTTAMRNGNQLNAAQRDMPTGHVTEEEITTTLQGIGNDKALGIDGFEAYFYKKVWNIIKVDVIAAVQEFFKHNCLYRAANCSAVTLVPKHKGAEKIKDYRPIACCSTLYKIISKILANRLSKV >CAK8565980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364252665:364255307:-1 gene:gene-LATHSAT_LOCUS19152 transcript:rna-LATHSAT_LOCUS19152 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQGDRCSYSSIEAPLLNDEKVNDSNTKDQQHLTVHHVANASSFKTCFHLLNAISGVGIVSIPYALASGGWLSIFLLFTIALACCYTGTLVKKCMDKDPNIRTFPDIGEHAFGSKGRFIVSIIMNSELYLAVTGFLILEGDNLNKLIPNVEIHIGELSIGGTTMFTMVTCLVILPTVLFEDMSLLSYVSAGGALASSIFIVSLLWNGAIDGTGFHGKGRVFNWSGVPSAVSLYAFCYSAHPVLPTLYNSMKNKSHFSGVLIVSFSACTFGYAAAAVLGYLMFGQEVESQVTLNLQTGKLSSRVAIYTTLVNPIAKYALMLTPVINAIKMKVSCNKRVTHMIISTSLLVSSLIIAVTIPLFGYLMSLVGALLSVSASILVPSICYLKISGDYKRFGSGMVINYSIIVMGVAIAVFGTYRSLKDIIQNI >CAK8540314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551723426:551723815:1 gene:gene-LATHSAT_LOCUS9328 transcript:rna-LATHSAT_LOCUS9328 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWIRNYLMGRFATLREKVDGYKRQIMTKPLRRLDSEIEKKNASWTATYVGRLTFQVTNILFTDSFVVDLEKQTCSCNYWKLVGIPCRHDVVAIHRKVPVKKIVLVPYGIPPRPVKGCPPRPEGCPYR >CAK8576875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521546428:521546919:1 gene:gene-LATHSAT_LOCUS29037 transcript:rna-LATHSAT_LOCUS29037 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFGKRGYKWTKMLATGKVFTNGCSKGMDDNVTKANKHNVIQFDPERLCLMVQDKINQNYGCSTGAFSVDLKNRWCDCGKFQAFHLPYSHLITTCSSIGQDYFIQIPEVFTVLNVFKVYNKSFLGLPHEENWPKYEGFTLCHDDSMKRNKKGHTTSGRIRT >CAK8530511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26772259:26772462:1 gene:gene-LATHSAT_LOCUS369 transcript:rna-LATHSAT_LOCUS369 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSGQIRKELCEYKRDDPTSTQKDLQRWLEEKFQLKVSQGTISNTLNGQMIISLLK >CAK8575453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:122017305:122023205:1 gene:gene-LATHSAT_LOCUS27714 transcript:rna-LATHSAT_LOCUS27714 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNDFTFCQVSTPVVETKELVSNIADISIKEESSNASSSNHNNGGFLWKDGLANDSSNSKKEGTVGSLSFSVISTATPSTQSDTKNLPKQKNSLKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISMDEVRKHKLEGEMWTVLKGRVYNISPYMKFHPGGVDMLMKTVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDESH >CAK8532055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196960834:196966373:1 gene:gene-LATHSAT_LOCUS1793 transcript:rna-LATHSAT_LOCUS1793 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSKSVEEGLKLSKRIYFGNDRAVSAPKPPTSMLKSNTAFLPPAPMVYAVIHDPAIVDNPDVPSYQPHVHGRCDPPALIPLHMINAVDLRVDCWLNTAVVEVSGLWRLHCVSGNRSCDCVVAVPMGPQGSILGVEVSVHRKSYSTQLVDMEDKTDGKENVVRTQDGGFLKSNVFTLTIPQIDGGSNLSIKINWSQKISCCNDVFSVNVPFTFPDFVNPAGKKMPKKEKIQLNVNAVNGSEIMCKTASHPTKEIRRNAGSIGFSHETDVLSWSKFDFNFSYTVSSIQTNGGIILQPAYVDDDDQREMFCMYLSPGNLQSKKVFRKDIVFVIDISGSMRGKLINDTKNALTAALSKLDPDDSFSIIAFNGEIYQSSTSMELASSDAVERAIEWININFVAGGDTNLMRPLNMAIEMLSDARRSVPVIFLVTDGTVEDERQICDMIKNGIRGESIFPRIYTLGIGSFCNHYFLRMLAMISRGHHVAALDVDLVEPQMLKLFNKASSLVFANITMDILDDLDEVEVYPSHIPDLSSNCTSVLFGRYKGSFPEVLNVKGILADFSNFVINLKIQNAKDMPIERIFAREQIDYLTSQAWLTQNKQLEQKIAKLSLQTGFLSEYTSMGILEIDHLKKSKQSDGKKVSKNKGENVQGERTLLLPKLGIGFGNLTATAENTPPGAEETKLPDGAEVFVKAATACCSSLCNNCCSMCCIQVCTKMNNQCAIAFSQLCIGLGCYSCLNCCSEMCCSGNES >CAK8562265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463563600:463565840:1 gene:gene-LATHSAT_LOCUS15777 transcript:rna-LATHSAT_LOCUS15777 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLRLRRIIKITSNSNSPKLHSTLEQQQQPTEPLTTSQLKTLVLNNYTNATFTNLIQNVLASPAVLFTASHNISTTPFHPHPDRFFNINVLAQQLRQNRFDVASCCVSLKSSASPLVLPNLKLKVVIEALRMVLEAVYDGRFVTFSYGGRVGMGRHTAIRYLKNTVQNPTWWFTVRFKPHKFESAHMDNLCFFIQRKVKDYGFIHLIKKLFECKVLVIEFGMNSLGKGFPQECGLSSILINIYFDGFDKEIQETRLRENQQSPKMVDSGLGSDVLYKPVKVYAVRYLDEILVATSGGSKLMAMDLKVKVVKTLELDLSLHVDKLNTAIHSAVSEKIQFLGMEFQAVPPSVLRPPMSEKAIRARKKYLRQKEVRAFEFQNARARNRRILGLKIFNHVYKKMKLSDGFKFDFSIENEVREIFKSWADEVVQEFLGSVDECQEWHRSLTAGDFLSLRHIRNQLPPELVDAYDNFQEQVDKHLNPVKLRKVIEDKERRETQKEEQKYSKGTVEDLTRFCTKVDAPVLLIRKAVRLGAFTNHMGRPRPIEFLVALEDADIIKWYAGIARRWLDFYCCCHNFKVVKTIVSYHLRFSCILTLAEKHESTKREAIKHFSKDLKVYDMNGNDEVNFPTEREVKMMGDRNLSDPKPVDGVLSLAIVRLASDESPTHCIAHFCDKTTTVFYRVRLLQNTSNVNPLENEKWVQGMGVIHESLNQKCFPLCTDHVHDLYLGRITLQDIDCTSCVDVE >CAK8568406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604160074:604161072:1 gene:gene-LATHSAT_LOCUS21365 transcript:rna-LATHSAT_LOCUS21365 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMNFDISLCLGLGLHEQSERKKMEDPYTNSKAYQYSLTLGIGSLNNIDEKNNQVTAKIESDASNSTAMQVSSFSNSINIIKRERDDHQVHDLAEKVPFVDFDVDENGNSTKKKLRLTKEQSMVLEDTFKDHSTLNPKKKLELAKKLNLRTRQVEVWFQNRRARTKLKQTEVNCEALKKCYETLTKENKRLEEELKELKMMKTMAEPFNYTQLPVAGLTVCPSCKTICTGNSSVNGTSHTAAQIRFYTKTNNYMFAQSSAAIAS >CAK8575773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:321681261:321704195:-1 gene:gene-LATHSAT_LOCUS28009 transcript:rna-LATHSAT_LOCUS28009 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLQQQQQQLAALLSVALPNQKPDTPDDDSSSRLSAINSLHRAILHPHNSLLITHSATFLTQGLSQLLSDKSFDVRQAAVTAHGALCGVICSIPVPSNGRQNHGILSNLVDRFIGWALPLLSNVTTTVDATELALQGLREFLNVGGTERYALPILKACQVLLEDDRTSLALLHTLLGVITLISLKFPRCFQPHFHDIVDLLLGWALMPDLVKSDRRVIVDSFLQFQKHWVGGLTMSLRLITKFLGDMEALLHEGTLGTPPQFRRLLALLSCFSTILQSTASGLLEMNMLEQIIEPLSGLLPRLLRCLPLIGQKFGWSEWIEDSWKCLTLLAEILREQFSSFYSPALDILFQSLEYQTGFGKIISVQVHGVLKTNLQLLSLQKHGLLPSSVRKLLQFFAPVSQLRLHPNHLVTGSSAATYVFLLQHGNAEVVDEAVALLIEELEMLKSVIGNDTADSDQYTFDIDSKTFSRLELFALIKFDLKVLLACVSMAGDSSLIGQTQTTKLYLRRLEKLVSFITEKMNPFELPIQAFMVLQFAAVKTLERLNSVEFLIKCSLNEHNRDRDSVEFQTEKEDDDYQFSNGLSAVITANLEKYSKLLVKALNVSSPLAIKIAALDWGQKLCENVNKISSTKGFSYEASGNAGVVMSLVFSLLSGTFEREPEVRSNVAITLEMFVQAKLLHPVCFYPLAEVILEKLGDPSTEIQDAYVRLLALILPTTVYTCGLYDYGRFRPVDPGFGDTTKMHWTQLFALKQMPPQLQSQHLVSILSYISQRWKAPLSSWIHRLIHSCERLKDAILNQPEETGNFGTNFPWLDIQVDEGILERICSINNIAGAWWAVHEAARYCISTRLRTNLGGPTQTFAALERMLLDVAHLLQLDNEQNDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPSATRQSSVFFRANKKVCEDWFSRICEPMMNAGLALHCNDAVIQYCTLRLQDLKNLSVSALKEKPRAQVADNLHSIRGRNKGDVLKVLRHISLALCKSAEPDSLIGLQKWVSVTFSSLLGDENQSANECRTVGPLSWINGLVYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARVIESYAAVSDWRSLETWLLELQLLRAKYTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSYLDLTPKSNSELTLDPKLALQRSEQMLLQSLLFLKEGKNDKVFHDLQNARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEEDYKLKSTDEKVEQPHQSLINSLQSFPFSISKIRQDCNPWLKVLRVYQTISPTSLVTLKFCMNLHSLARKQKNLLLANRLNKYIKDNISACPEEKHHNLLLNLQFESILLQYAENKFEDAFTNLWSFLCPFMVSPTSRIFDVEERILKAKACLKLASWLRRNYSDWNLESTVLKMLADFDMTESTSISKDGNSKNINSKKNLGSITEEIIGTTTKLSSRICPTMGKSWISYASWCFKQAGGSLPVQNETSLDSCLFSPILVPEILPERFRLTKDEVLRIKSLLLCLLQDNIDVEGFRDEQEEERSLHDSAEHSSTNNPLQKLVSHVVNIIETVAGAPGAETSGGERLLATVSSQLRVCLLNANFGLGESDIVPTLDNFVDIWWSLRKRRVSLFGHAAHGYIQYLSYSSSHLGHSHMPGSENDTFKQKTGNYTLRATLYILHILLNYGVELKDKLASSLLVVPLLPWQEVTPQLFARLSSHPEQLIRKQLEGLLIMLAKNSPCSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLGTLQDLHTDVMRRINVLKEEAARIAENATLSHNEKRKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDPLKSAIVSFKTPPSSSSALGDVWRPFDSIAASLASYQRKSSISLQEVAPRLALLSSSDVPMPGLEKQMKIPDSVKATDLQGVVTIASFLQQVTILSTKTKPKKLAILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLRSSSTCSKSLGIRYYSVTPISGRAGLIQWVDNVVSIYSVFKSWQTRVQHAQFLALGTANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKYKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKMKRYSGSLAAMSMVGHVLGLGDRHLDNILIDFCGGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQMIEAALGLTGIEGSFRENCEAVIGILKKNKDILLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESVLERFAEALNQYEIASSIYCRADQERSSLTLHETSAKSIVGEATRNSEKIRASFEIQAREFAQAKTMVAEKAKETMTWAEQHGRILDALRCNLIPEINSYVKLSNMEVALSLTSAVTLAGVPLTIVPEPTQAQCHDIDREVSQFIAELDDGLTSAINSLQAYSLALQRILPLNYLSTSAVHGWAQVLELSVNALSSDILSLARRQASELVAKFHVDSTDSIKSSHDDLCFRVEKYAMEIEKLEKECTEIESSICLESESITKDHLLSAFMKFMQSIDLLKREGGMSSLQSRCHGTNSTRLLGELEEEREKVLTILNIALSSFYNDVKHRILNIYSDLSGGRNQYNMLRNDSGTIFAWFEEQVEKCNLLTEFVNDLRHFIGNDIHSIDTSKDNSKSSSESNWVSIFKTILTSCKGLISQMTEVVLPDVIRSAVSLKSEVMDAFGLISQVRGSIETALEQVVEVEMERASLFELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAQLDELHQTWSQRDVRTSSLLKREADIKNSLVSVNSQFQSLVGVEEESEPHILRSKALLAAHVKPFLELESCDIMLSPADCSVVIPSSKFHTLADFINSGNSISEYIWKVGGLLDDHSFFIWKVGVIDSFVDACIHDVASAVEQNLGFDQSLNFMKKKLEIQLRKHISHYLKERVAPSLLASLDREKEHLQQLTDSSKELALDQVKKDGAVKKVLHMLEEYCNAHETARAAKSAASLMKRQVSELKEALRKTTLEAVQMEWMHDASLNPSYNRRITYEKYLDTDDNLYPIILNLSRSKLLENLQSAISKITSSLDSLQSCEQPSLIAEGQLERAMGWACGGPSSSSSGNTSTKNSGIPYEFHEHIKKRKEILWESREKASDIVKLCMSVLKFEASRDGHLLIPGQPYPSRSGVDGNTWQQLYLNSLTRLDVTLHSYTRTEQEWKLAQCTVEAASNELYAAANELGIASLKAKSASGDLQSTVLSMRDCAYEASVALSAFAQVSRMHTALTSECGSMLEEVLAITEDVHDVYNLGKEAASIHLSLMENLSEVNAILFPLESVLSKDAAAMADAIARESETKEEISHIHGQAIYQSYCLRIRDSCQTFKPLVPSLTSAVKGLYLLLTRLARTANLHAGNLHKALEGIGESQEVKSQDIALSTSDAGGGDAVEFDGKEGESLSRSDDDKTDDIIGFSRLSLEEKGWISPPDSSFCSSSGSDITSAEVSLSDCFNDSAENTDMLSQVSSSRNPTSDLHTTSLSQTDVEEISLFEVPKSFPLEADLNDADSVKLTYEATELPATPFPSHKSVARSAVSHNPSTENLDKFDGKDDLLSTNKAKNGTEHLETPDADINTSTRIGRGKNAYALSVLRRVEMKIDGRDISERREISIAEQVDYLLKQATSADNLCNMYEGWTPWI >CAK8541470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:194279687:194282199:1 gene:gene-LATHSAT_LOCUS10389 transcript:rna-LATHSAT_LOCUS10389 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDDGSFIVELENGLNNGCSEVGKDASAIEDAVKVLLLGLGEDINREGIRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLDNRVGHAGGAGGLVIVRDIDLFSYCESCMLPFQVKCHVGYVPSGERVVGLSKLSRVADVFAKRLQDPQRLANEVCSALHYGIKPAGVAVILQCTHIHFPDIESIFLESNHQGLVKILVSSGSGVFENKNADEWADFFNLLKFRGISMEKIHVRGSLDSCWCPCQSAKVSSKIVPVNPAMVTAVASIIKSLGEDPLRKELRGTPTRFVKWLMNFQNSNFDMKLNGFLNGGIDSLTANEKVDMENKKICSELNLSFWSQCEHHLLPFHGVVHIGYLLSDGFSPIGNSLLQSIVHFYGFKLQVQERLTRQIAEMISPLIGGDVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLKTRASFLQGIPNPTYFSEQ >CAK8575115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:32327223:32331230:1 gene:gene-LATHSAT_LOCUS27404 transcript:rna-LATHSAT_LOCUS27404 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLFLALCLILPLLIFFHKQKTTKNFPPGPKGLPIIGNLHQLDISNICLQLAQFSKIYGPIFSLKLGSRQAIVVSSSEIAKEVLKKHDHLFSDRPQLYGQQKLSYNGSEIIFSQYNDFWREIRKICVIHIFSSIRVAYYSSIRSFEVKKMIKKISEHANSSSVTNMSEVLISLSSTIICRIAFGKSYEDEGHERSRFHEMLHEFQALLMEIFVADYIPFTSWIDKLRGLHGRVDRNFKEFDELYQEIIDEHLDPNREHGDEEVIVDVLLQLKKKRSFSFDITFDIIKGVFMDMLVAATDTTSATSVWAMTALIKNPRVMRKVQEEIRNVGDKKDFLDEDDIQNFPYLKAVIKETLRMHLPAPLLVQREAREKCTIKGYDIPAKTILYVNAWAIQRDSNVWENAEEFYPERFLDSSISFTGQDFELIPFGAGRRICPGMPMAVASLELFLANLLYSFDWELPDELKKEDIDTEMLPGITQHKKNPLCLVAKIPM >CAK8530399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19243433:19244773:1 gene:gene-LATHSAT_LOCUS267 transcript:rna-LATHSAT_LOCUS267 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAPINRNSFTFPYANKWSVPGMNYQRCPRHSIIQYRNLIDHLGPDDFVWMSYEGLDHEPSPDDAAVWTSKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGQWHQKRVDAQWSVSDWKEFAKELHIHWKRRRQYVLTDRIVHGARPSLQYMTWFRSVTTSQPLLSQPTYLADPRECSSSSNPEQQLSAQTQPYKNSYMPTNTPYQQRQPYMPPPTQSQPQPPYHYNPDISFEPTPSTYSPDNSFDPTPSNYTSNYTSNYPSNDPPNYPLFDYYTPQQPTHLDQPNSMYTFGQSYRPYSTQPPRQSFENMGIELDYGSAVDSGPPGYWGQMMQTLSDTSGPSQPYPPPQLNTQRPDTPQQPRRRPRCNARPPQCGTGGHLDRAGH >CAK8530400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19243754:19244773:1 gene:gene-LATHSAT_LOCUS267 transcript:rna-LATHSAT_LOCUS267-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEGLDHEPSPDDAAVWTSKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGQWHQKRVDAQWSVSDWKEFAKELHIHWKRRRQYVLTDRIVHGARPSLQYMTWFRSVTTSQPLLSQPTYLADPRECSSSSNPEQQLSAQTQPYKNSYMPTNTPYQQRQPYMPPPTQSQPQPPYHYNPDISFEPTPSTYSPDNSFDPTPSNYTSNYTSNYPSNDPPNYPLFDYYTPQQPTHLDQPNSMYTFGQSYRPYSTQPPRQSFENMGIELDYGSAVDSGPPGYWGQMMQTLSDTSGPSQPYPPPQLNTQRPDTPQQPRRRPRCNARPPQCGTGGHLDRAGH >CAK8540216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545605838:545608819:-1 gene:gene-LATHSAT_LOCUS9242 transcript:rna-LATHSAT_LOCUS9242 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKGASSCSSSSSCSLRKNRSKRLRGFPSYCLGTTSGSRDSDNDERVCDQNKVNGSDVTYSSSNEIDSDEAKSESFRKVKPDEIPCMPSNIDLDEWSHAESRTASISAHGSSTQSTNSTSRFLSRFSLIPGNISFRLSRTTSLGSSRPCPDSSAGLSIFNNDEAELSLHNRNETQQYNGGDLLNGSFVNPVPIQYHGDASNSLSSSAPIFGLASNLSSSPTLSPLENTVRDEYATRDMPGMNMFSPRINTEAGNAMDRRNGAREPVDRNVRFSRTLSVGRLRDRVHRRSGLADFTFCPLQQERDASEDNGRQVGERSTGVTPSDRNALNSRTTSGYPLPNRSSSPFSTQDHEVEASRSRETRYQDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGRCTCRISSRENNSNSNDDTNARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPAEVVESLPVKLYTKPHKHQEEPVQCYICLVEYEDGDSMRVLPCHHEFHTTCIDKWLKEIHRVCPLCRGDICISDSLPTEN >CAK8543051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571925203:571925561:-1 gene:gene-LATHSAT_LOCUS11838 transcript:rna-LATHSAT_LOCUS11838 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTLVEEVNSSYRFFGRLGPPSGKTSVHWLSEKEMQSAHVHLLINCIEVKPYLEAFNTYYFQSTGEQPSTGYTHVYFPAWFKQQLHCIVA >CAK8532573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:300237374:300238934:-1 gene:gene-LATHSAT_LOCUS2265 transcript:rna-LATHSAT_LOCUS2265 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEDGGDKTRRMVFVTVGTTSFDALVRAMDSDHVKKELLAKGYTNLLIQMGRGSFLPTKCEREGSLVVDYFTFSSSIADHIRYASLVISHAGSGSIFETLRLRKPLIVVVNEDLMDNHQSELADELANRKHLYCANPHTLHQTISDMDLNSLLPYTPGDATPVANHINRFLGFPDD >CAK8575196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50479629:50479979:1 gene:gene-LATHSAT_LOCUS27480 transcript:rna-LATHSAT_LOCUS27480 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFKLASVIIMFMALMYAQNGDAVPCGRISNNLVPCLSYVQNGGYVSPRCCYGIRGLVKAARTTADRRATCACLKSAAVSLKRINVGYAAALPGKCGVNIPYKISASTNCARYMV >CAK8565167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:53657993:53658187:1 gene:gene-LATHSAT_LOCUS18402 transcript:rna-LATHSAT_LOCUS18402 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSFFYCFGLSSNLSSQVSNYDEKSSQTKVSSSEKPKRKEKSKGAPIIVSYFPVNSNLSRL >CAK8576249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435630221:435630610:1 gene:gene-LATHSAT_LOCUS28454 transcript:rna-LATHSAT_LOCUS28454 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRLSPHETRKFQFPSLDMAYEFYNQYAKVNGFAVRKSKILQSKKGEILQQTFVCHRQGFREDRGLTIENRKRECKPETRCGCEAKLRVHIDMVSQCWWITVFNDQHNHDLLDEEYHGMLASHRKMK >CAK8539413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512207286:512209241:1 gene:gene-LATHSAT_LOCUS8512 transcript:rna-LATHSAT_LOCUS8512 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQDVVQGTGGLFWGSVIPCALFYFLQLFLKTRHHNRNRQPPPSNNLPLPEASALSRSLSQRVRGSTASVYISARANIAVADSPYYVGLNKVADNPYHPIHNPDGVIQLGLAQNTLSVDLIQEWFRHHGNASILGTSANCGCLNFTDHGFMEFKVVVAGFMSQVLEKPIFFNPSHMVITAGANPANEILSFCLADHGNAFLVPTPHSPGFDEDVKWRTGVDIVYVPCRSTDDFNLSITSLDQAFNQAKKRSQKVCGVIISNPSNPTGKFLNRETLLDLLDFAREKNIHIISNEIFAGSTYGNKEFVSMAEIMDAEDHDQDRVHIVFDLANELNLPSFNVGVIYSCNENVVAASKKLAKFSSVSAPAQRLIISMISDTMFFQDFIKSNKLRLQKMYKAFVAGLEQLGIECTRSNGGFCCWADMSRFIRSYSEKGELELWDRLLNVAKINVTPGTSCHSIEPGWFRFCFTTLTEKDIPIVMERIEQILKTAG >CAK8537427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353027914:353032872:-1 gene:gene-LATHSAT_LOCUS6719 transcript:rna-LATHSAT_LOCUS6719-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPFSFSRDNHASMRKFSSPLNVNTTSFHFSKKAPTSFSFSYKSFYPYAIQSVSAKTSSPFFDNIKDNESMNKFLDVELEVRDYELDQYGVVHNSVYSCYCQQGMSDFMKSIGINTNEAVENGDAWAVLELSLKFVAPLRKTWNQSGDKFVIRMRLLSFSAACLCFSCFIYKKPNQEPILKANVKAVYLDKSYRPIRIPADMKFKMINFIDVNDI >CAK8537426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353027914:353032872:-1 gene:gene-LATHSAT_LOCUS6719 transcript:rna-LATHSAT_LOCUS6719 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPFSFSRDNHASMRKFSSPLNVNTTSFHFSKKAPTSFSFSYKSFYPYAIQSVSAKTSSPFFDNIKDNESMNKFLDVELEVRDYELDQYGVVHNSVYSCYCQQGMSDFMKSIGINTNEAVENGDAWAVLELSLKFVAPLRSGDKFVIRMRLLSFSAACLCFSCFIYKKPNQEPILKANVKAVYLDKSYRPIRIPADMKFKMINFIDVNDI >CAK8575352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:91977228:91977638:-1 gene:gene-LATHSAT_LOCUS27622 transcript:rna-LATHSAT_LOCUS27622 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8575990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380692998:380693444:-1 gene:gene-LATHSAT_LOCUS28210 transcript:rna-LATHSAT_LOCUS28210 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTIAEKSPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8536287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:926151817:926154185:-1 gene:gene-LATHSAT_LOCUS5671 transcript:rna-LATHSAT_LOCUS5671 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTIRNIPISSPSKFSPSSNSSLPPLAPQTVMSPCAACKTLRRRCAEKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICHLQKQVNELQAQLAKSQVELMNMQLQQSNLLAMICMEMNETPQESPQQSMNNFISSPTYSSDYQNNLNFFEDNAIYSPNSLWEPLWT >CAK8570686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88206047:88209709:-1 gene:gene-LATHSAT_LOCUS23421 transcript:rna-LATHSAT_LOCUS23421 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTAFTPALEELQHVKSEQGEILTKPFLDSCRHILPVIDKFGPAMTLVKSDIGGNITRLETLYSSNPSRFNILYSLVQVEIESKTAKSSSSCTNGLLWLTRAMDFLVALFQNLIVHKDWHMSQACTDAYNKTLKKWHGWLASSSFTVVMKLAPDRKKFIEVIGGTGDINADIEKFCTTLSPLLLQNHKFLARFKLDDMKAS >CAK8542474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518686238:518687377:-1 gene:gene-LATHSAT_LOCUS11313 transcript:rna-LATHSAT_LOCUS11313 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSVHLPDELIIEILLRLSVKTLLRIKCVCKSWLSLISLPYFATSHFQLAVSRPTHGLVLLKTYSSDDHKALIVDFDASLKDDSSYAPLTHHFQPPGISCRKVKIGGSCRGFIFLHTHTDFYLWNPSTRVHTKIPASPVVFVSKSNIHPYTFLYGFAYDHKTDDYLIVLGSSYSPASSIDLEIFSLRANKWEQIEIGSHFPYITRVIYGEVGLLLNNTIHWVVFNYETSRDVIIAFDIKERKMSEIALPCDVCATDCPHDFSLSVLGGLISASVVEMQTVKIWVMQNYAMHSSWTKIIEFSFGFVPLHSLAIAFFTNCGDIIGTDNRGGLVKFNDKGQQLEHRKCGKGYDADQRFKRSQMVVYTESMLSLPCGTGEA >CAK8533024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566938655:566942561:-1 gene:gene-LATHSAT_LOCUS2676 transcript:rna-LATHSAT_LOCUS2676 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVLWAQRSNKLYLTVSLTDAKDVSVKCEPHGLFTFNASKLQHESYSFTLELYGSIEPEGCKIKSSSRNILCSIQKGQRGWWKRLLKSEEKPAPYLKVDWNKWCDEDEESDSELISDDDGRFAGEDDESSDDEGMLYLPDLEKARGK >CAK8576938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526286450:526296721:1 gene:gene-LATHSAT_LOCUS29092 transcript:rna-LATHSAT_LOCUS29092 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHKLNSNSSGNSSVSACSQADNGFISSFSLFPEKAVQELLESPIQGSDDHLIEFSESLRTVAKALRKVAEGKASAQAEAAEWKRKYELERNRNLQFEDRGKSSLEPCADLDDLKTNNIAKQPTLYNNAANGLSEECCSSNGICSHEVLRDGKPDSDSKMVKKASFKLQWCCKGEKSDQHKHDVVSFERGNITTAERSSKQISLKWESSPQTVLILTKPNSISVQILCAEMVRWLRQHKKLQIYVEPRVKVDLLTESSYFNFIETWSDDKEILMLHTKVDLVITLGGDGTVLWTASMFKGPVPPIVPFSLGSLGFMTPFYSENYKECLESILKGPISITLRHRLLCHVVRDAAKDEFETEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSPAWASFDGKDRKQLAPGDALVCSMAPWPVPTACLVDSTNDFLRSIHEGLHWNLRKTQSFDGPRES >CAK8568332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596294309:596296127:1 gene:gene-LATHSAT_LOCUS21297 transcript:rna-LATHSAT_LOCUS21297 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSMKRTNSNESPSVLVHKRQRVVLEEFPDHSIPPGYQPTQNLTESASSSTISSLPISNLDEPICNKSNVKRDNQQIDEPYVSDIFLYLRMMEIEEKRKPAMDYIEKVQRYITTNMRGTLVDWLVEVADEYKLLSETLHLAVSYIDRFLSIHSVIRSKLQLLGVSALLIASKYEETNPPKAIDFCQITNHTYELHEVLEMEAKILKSLNYEMGNPNVITFLRRFVVIASDNRKTSNLQFEYLCNYLADLSLLDYECLQFKPSTVAASVIFLAKFIVRPRVNPWTLYLYDSLEYGSDDLEDCVIILHGLYLSRRAASLKAVRDKYKKKKFKCVANLPSRPELPERYFEEVHV >CAK8536778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:19409166:19412211:1 gene:gene-LATHSAT_LOCUS6111 transcript:rna-LATHSAT_LOCUS6111 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDGYAVEVTGLSPNATDKDVSEFFAFSGAIQHLEIIRSGDYACTAYVTFKDAYSQETACLLSGATILDQRVCITRWGQYAEEFDFWNTSSRGHEEDSYSTTHHSSQFVSSAGEAVSMTQEVVKTMLAKGYILSKDALAKAKDFDESHGVSATATAKVSELSQRIGLTDKISAGYEAVKSVDQKYNVSETTMAAASATGRSVAAAANSVVNSSYFSKGALWMSGALTRAAQAASDLGNRGARQ >CAK8571825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479695907:479696603:-1 gene:gene-LATHSAT_LOCUS24451 transcript:rna-LATHSAT_LOCUS24451 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSKPLASHPTYEEMIKEAIVGLKERTGSSQYAIAKFIEEKHKQLPPTFKKLLLQNLKKSVASGKLVKVKGSFKLSPATKPTPVAKKPAVAKPKTKPASKVTAAKAKPAAKPKVAAKAKAVTAKPKATVAKPKAVVKAKAKTARTSTRTTPGKKVAIAKAVVKKAVAAKKAPVKSVKAKSVKTPVKKVTTKRGGRK >CAK8573600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624242292:624243290:-1 gene:gene-LATHSAT_LOCUS26031 transcript:rna-LATHSAT_LOCUS26031 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRFSQPQTNDPFLHLKILSNSDGTITRLRGDPHTPPSPNPNLPISVLTKDIPINASHNTSARIFLPRRTLNPSSSNKLPLIVYFHGGGFIFFSAASDFFHDFCSNLANDVNSVVVSIDYRLAPEHRLPAAYDDAMEALHWIKTKPDVWLKSYADYSNCYIMGGSAGANIAYHASLRVAAEINLNSDYINQLNIRGLILSQPFFGGTERLPSELRLINDAVVPPHVCDLMWELSLPFGVDRDHEYCNPTVGDGVGVLDRVRELGWRVLVSGCEGDPLMDHQMAAARVMEEKGVVVVRSFTAGGCHGVEVRDLVKQKQLHNLIKEFISFHL >CAK8532245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:229527678:229530790:-1 gene:gene-LATHSAT_LOCUS1975 transcript:rna-LATHSAT_LOCUS1975 gene_biotype:protein_coding transcript_biotype:protein_coding MLANSLSPSSLPSSEPFSCNENGTSSNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGRIHNSESQPIQTPTACLSRTASSPSPSSETNFNNCPWQTRLQVMQNSIKEKPIFMNPIITPITTITPSSRITLSNNNKLVLHPNLELQLSTTNNNNNISVSLAPDTPSIRSISATESIQKANRSTQLHLAIGTSEMSHQERNETNNFRNNSYSSPKESSNSNEKVQPTNNMALFKVQEQTKEQLRIAMAEKSYAEDARNQAKKQIEMAEQEFNNAKRIRQQAQSELDKAYGLKQHAIRKINSTMLQITCQACKQQFQHEDNSLVFSYVSSAITTEGGEVENDDGKGKTTTN >CAK8571515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434886252:434887231:1 gene:gene-LATHSAT_LOCUS24173 transcript:rna-LATHSAT_LOCUS24173 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDWRTGTNRSTSDRDTSPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSRQYLALDGIYRPIGAAFPNNPTRRQRLVVRQGPSTTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDMLKFSGRALNLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLSRVILYNVSKHSPHGNRLRCHADALRAKLKFLDAFSAGV >CAK8562175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450944796:450945469:-1 gene:gene-LATHSAT_LOCUS15694 transcript:rna-LATHSAT_LOCUS15694 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEITGSYEAPLPLLSLNHVSILCRSVLDSMRFYEQVLGFGLIKRPSSFKFNGAWLYNYGFGIHLLENPNYDAFDDAPMDEPRPINPKDNHISFQCTDVGLVKMRLEEMGMKYVTALVEDEGIKVEQVFFHDPDGYMIELCNCENIPIVPISSSSCSFKARSPSIKKAPTKCGFIMENVMMRSLSMDMMNFAF >CAK8575969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:375969658:375984650:-1 gene:gene-LATHSAT_LOCUS28191 transcript:rna-LATHSAT_LOCUS28191 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKSSDSSNTEPSSFKLLVSFPSGLSRSQVSVEFGDTYDRIPHSDLTLENTISEIWEQRTVQNKSLFNGNKFRYARHVLRTGGESDNEPHVCLNLGLTDYRTFVGTNLSPLWEKFLVSSEDDFVLCQHTSSPLGNGAVVETIDNKILVLQRSNNVGEFPGYFVFPGGHPEPQEIGITSHQNVKELPELININVSREMFDSIVREVVEEIGVPASSLNIPAFIGISRRDLNVRPTAFFFIKCNLDSKEVQQFYSSALDGYESTQLYTVPMIELENMASRMPGCHRGGFALYKLMVGARKIT >CAK8578678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646493058:646496071:1 gene:gene-LATHSAT_LOCUS30668 transcript:rna-LATHSAT_LOCUS30668 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSSSPATEEEITLKVKWTGKEYTVRVCADDTVGELKRRICEVTNVLPIRQKLLYPKLASKLNDDSLLLSQLSIDLNKSSLKFTMIGTTEEDLIVDPVDSPEILDDFELAQEEAIDTKDMQVNKQKLTRRINHFKVEIQNPCRQGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTAVYAEYDIMIWSATSMKWITLKMSQLGVLDNPNYKITACLDHLAMITVQTASRGVFDCKPLGLIWAQFPEFYSASNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRDSDQELVKLTQYLLAIAELDDLSHLDHNKWESFSEDTGKRRRHK >CAK8542172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485831525:485836484:1 gene:gene-LATHSAT_LOCUS11036 transcript:rna-LATHSAT_LOCUS11036 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRVHGYVLVLSFCFITFMVTSQKTDPSEVRALIDIKNSLIDPMGKLRNWNKGDPCAAHWTGIWCFDKKGDDGYFHIRELYLMTMNLSGTLAPQLGMLSQLNIMDFMWNNLTGTIPKEIGRITSLKLLLLNGNKLSGSLPDELGNLRNMNRLQLDENQLSGSVPESLANLVNVRHLHMNNNSFTGQLPSKLSNLSNLMHLLLDNNNLSGYLPPEFSKLHSLEILQLDNNNFSGNGIPSTYERLPKLVKLSLRNCSLQGAIPDLNSIPSLKYLDLSWNQFTGPIPSSNLSTNMTTVDLSHNKLNGSIPRGGIYPYLQRLQLENNLLSGSFPATIWQNISFSKKAKLIIDFHNNLLSDVFGDLNPPANVIIRLSGNPVCENSTIQRIGQYCGKEGREVDEDFTTSTAVCPVQACPVDNFFEYAPSPSSPPDPCFCAAPLRIGYRLKSPSFSYFPPYKTSFKTYLTKSLNLDFNQLSVDSYEWEEGPRLRMNLKIFPSYNDSRSHIFNTSEVLRIASIFSSWRFPHTILFGPYELLNVTLLGPYANIIINTDSRKRKTGIIVAIIIGAVAAVLAMTATIMLLIFRRNSKYMHLISRKRMSSNVCIKIDGVKAFPLKELTHATNKFDISTKVGEGGYGNVYKGILSDETFVAVKRAGENSLQGQKEFLTEIELLSRLHHRNLVSLLGYCNEEGEQMLVYEFMPNGTLREWISGKNKKFNKGLSFCMRLRIAMGAARGILYLHTEANPPIYHRDIKASNILLDSKFTAKVADFGLSRLIPYSDEEGTVPKYVSTVVKGTPGYLDPEYMMTHKLTDKSDVYSLGIVLLELLTGMHPISRGKNIVREVNLACQNGTMDSIIDTRMGEYPSECLDKFLALALSCCHDRPDERPSMMDVVRELEDIIALLPETESEICFSDVSLDNSGKMVPSSSSSATTSGNIMTRKDQQHMSADVSGSDLISGIDPTIVPR >CAK8570286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34272100:34273407:1 gene:gene-LATHSAT_LOCUS23048 transcript:rna-LATHSAT_LOCUS23048 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQTCHSVKTLPLTWNIPKTMNNPTHRHLLPPPKFTVSAAPPPLKLSKTHSMPPEKLEIFKSLETWASESVLPLLKPVEQCWQPQGFLPDPSLPFDEFTEKVKALRDRTKELPDEYFVVLVGDMVTEDALPTYQSMINGLDGVGDESGASPSPWAVWTRAWTAEENRHGDLLRTYLYLSGRVDMEKIEKTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHENAYSKIVEKLLEVDPSGAMVAIGDMMEKKITMPAHLMYDGQDPKLFEHFAAVAQRTGVYTANDYADILEFLVERWRLEKLEGLTSEGQKAQDYVCGLAPRIRRLQERADARARKMKPHGVKFSWVFNKEVIL >CAK8577588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576433828:576439439:-1 gene:gene-LATHSAT_LOCUS29680 transcript:rna-LATHSAT_LOCUS29680 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLINPLTFTSRTPTPHYFTRRTPTISLRFANLPRPISTRISSPSPVLCKAVSVESQTTLEGLNIAENVTQLIGKTPMVYLNSITKGSVANIAAKLEIMEPCCSVKDRIGHSMILDAEKKGAITPGKSVLVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGAELVLTAAAKGMNGAVQKAEEIVKNTPDAYMLQQFDNPSNPKIHFETTGPEIWEDTRGKIDILVAGIGTGGTISGAGRFLKQQNSKVQVIGVEPLESNILSGGKPGPHKIQGIGAGFVPGNLDEEVVDEVIAISSDVAIETTKQIALQEGLLVGISSGAAAAAALQVAKRPENEGKLIAVVFPSFGERYLSTVLFQKIREECENMQPEP >CAK8579375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694595821:694598092:-1 gene:gene-LATHSAT_LOCUS31326 transcript:rna-LATHSAT_LOCUS31326 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSPGGPPPRITLPETPPQRSDSAKTPPSQSPLPKKPPSPSPSSRSKKKTPETPTNSNTLLSEASLDNPDLGPFLLKVARDTIASGDGPAKALDYAIRASKSFERCAVENEPSLDLAMSLHVLAAIYCSLSRFEEAVPVLERAILVPDIERGADHALAAFSGYMQLGDTFSMLGQVDKSISCYDKGLQIQIQTLGETDPRVGETCRYLAEANVQAMQFDKAEELSNKTLEIHRAHSEPASLEEAADRRLMALICEAKGDYEPALEHLVLASMAMIANGQDTEVASIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGENHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYSKPVPGTTAEEIAGGLTEVSAIFESVDDPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMYYMTGKYDEARSAFESAVLKLRTSGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGHCHQDTLGVYSNLAATYDAMGRVGDAIEILEYVLKLREEKLGIANPDFEDEKRRLAELLKEAGKTRDRKAKSLENLIDPNSKRTKKESTKRWPGLGFRI >CAK8568862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648403364:648404464:-1 gene:gene-LATHSAT_LOCUS21773 transcript:rna-LATHSAT_LOCUS21773 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSNTNHFLILIMVLSLLLNIQSQETRQNQNMPEIPQGVTPSKGVVIIVLSVMFFITFILLVYVKFCRVTPLHLIHQNPLNQGTTRSRSIVFGVEKKVIETLPFFKFSSLKGSKQGLECTVCLSKFEDEETLRLLPKCKHAFHMSCIDKWLESHSTCPLCRYMVEENDIRNFTFSFSSRFLRVPSNLSEDPNLEIFIQRERSQRKSKREEQELVLDHEESGSSHVTKCNHHHQQQQQQQQQQTLHMINHRILISDVVTRSRWSDLNSSDLLSLKSEMLHDVSSARFSPDEDENSFTALNPGEKRSMSEISNVPRFVEINNRRRNGNDERLWRIWLPIARRTVQWFARQETNSVQLLQHKHLASNV >CAK8568863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648403364:648404368:-1 gene:gene-LATHSAT_LOCUS21773 transcript:rna-LATHSAT_LOCUS21773-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIPQGVTPSKGVVIIVLSVMFFITFILLVYVKFCRVTPLHLIHQNPLNQGTTRSRSIVFGVEKKVIETLPFFKFSSLKGSKQGLECTVCLSKFEDEETLRLLPKCKHAFHMSCIDKWLESHSTCPLCRYMVEENDIRNFTFSFSSRFLRVPSNLSEDPNLEIFIQRERSQRKSKREEQELVLDHEESGSSHVTKCNHHHQQQQQQQQQQTLHMINHRILISDVVTRSRWSDLNSSDLLSLKSEMLHDVSSARFSPDEDENSFTALNPGEKRSMSEISNVPRFVEINNRRRNGNDERLWRIWLPIARRTVQWFARQETNSVQLLQHKHLASNV >CAK8534313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:715078279:715086450:-1 gene:gene-LATHSAT_LOCUS3866 transcript:rna-LATHSAT_LOCUS3866 gene_biotype:protein_coding transcript_biotype:protein_coding MASESAEEDKSWEHILRRMLPAGAPLPDEDHLDYSIAVEYEGPPVPYDVPRVDPLEIGTSTTSIRTASISSDRSTSSIPVAMPVYPRFSRFSRVPNGGFSREFRSPVESQRSSSVSRTQSQFDSRSGEVEVDRFDFSGEVAAVDDGSSVSSPTVPSSAPIPSPAVEVKRPTVVTFNEPRDSESDGGDSYLSPRSVATEPVGSPVSAAARKNAQVKRGVCSRCGNKNRLKEKEACLVCDARYCSNCVLKAMGSMPEGRKCVSCIGQPIDEGKRSTLGKCSRMLSRVCSPLEINQIMKAEKECAANQLRPEQLIVNGRQLRQEELAEILGCPVPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQPEASNGNTRVYMNGREITKIELRMLKLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPSTNPLGVKDNPTNYSTRSVPEYLEQGRVQKLLLFGMEGSGTATLFKQAKFLYGNKFSADELQDIKLMIQSSMYKYLSILLEGREQFEEEALEEKESTSLEGEGSGPETATDENKPSIYSINQRFKHFSDWLLDIMATGDLEAFFPAATREYAPMVDEIWKDPAVQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSDKDILYAEGVTQSNGLAFMEFSFDDRSPMSEIYSENLNNPAPQTKFQLIRINSKGLRDGCKWLEMFEDVRVVIFCVALSDYDHMWPTSTGKLQNKLLASRDLFESLVRHPCFKDTPFVLLLNKYDAFEDKINKAPLSTCEWFSDFCPVRPHHNNHVLAHQAYYYIAVRFKELYYSLTGQKLFVGQTRGRDRVSVDEAFKYVREIIKWEDEKDELYEINPEESFYSTEMSSAFIRQE >CAK8534314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:715078279:715086450:-1 gene:gene-LATHSAT_LOCUS3866 transcript:rna-LATHSAT_LOCUS3866-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESAEEDKSWEHILRRMLPAGAPLPDEDHLDYSIAVEYEGPPVPYDVPRVDPLEIGTSTTSIRTASISSDRSTSSIPVAMPVYPRFSRFSRVPNGGFSREFRSPVESQRSSSVSRTQSQFDSRSGEVEVDRFDFSGEVAAVDDGSSVSSPTVPSSAPIPSPAVEVKRPTVVTFNEPRDSESDGGDSYLSPRSVATEPVGSPVSAAARKNAQVKRGVCSRCGNKNRLKEKEACLVCDARYCSNCVLKAMGSMPEGRKCVSCIGQPIDEGKRSTLGKCSRMLSRVCSPLEINQIMKAEKECAANQLRPEQLIVNGRQLRQEELAEILGCPVPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQPEASNGNTRVYMNGREITKIELRMLKLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPSTNPLGVKDNPTNYSTRSVPEYLEQGRVQKLLLFGMEGSGTATLFKQAKFLYGNKFSADELQDIKLMIQSSMYKYLSILLEGREQFEEEALEEKESTSLEGEGSGPAETATDENKPSIYSINQRFKHFSDWLLDIMATGDLEAFFPAATREYAPMVDEIWKDPAVQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSDKDILYAEGVTQSNGLAFMEFSFDDRSPMSEIYSENLNNPAPQTKFQLIRINSKGLRDGCKWLEMFEDVRVVIFCVALSDYDHMWPTSTGKLQNKLLASRDLFESLVRHPCFKDTPFVLLLNKYDAFEDKINKAPLSTCEWFSDFCPVRPHHNNHVLAHQAYYYIAVRFKELYYSLTGQKLFVGQTRGRDRVSVDEAFKYVREIIKWEDEKDELYEINPEESFYSTEMSSAFIRQE >CAK8544040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659641276:659643902:-1 gene:gene-LATHSAT_LOCUS12750 transcript:rna-LATHSAT_LOCUS12750 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFYFLLSFIFLILHLSGQRVVQGEVDFQRHHHHHKERITKLFVFGDSYADTGNIKRGLAFSWKHPYGVTFPGKPAGRFSDGRVLTDYIAMYLKLKSPASYRIRKHLAPHHLKNGMSFAFGGTGVFETLYPGPNMTTQISFFEKAIQDKVFTTSDIRKSVALVSVAGNDYTRYNVKNGSIQGLPSFISSVVNQTITNVIRIKELGVKKVIISNLQPVGCLPSLTASSSFKQCNETSNTLLVNYHNILLTEAVTKLNQQNNDHSSAPFIVLDLYNSFMSVLKHPSTHNIKNELEPCCVGESSKYFCGSVVKKVKKYKVCENPKSAFFWDMVHPTDAGWRAVYTRLRITNALEQIHH >CAK8571928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494327298:494328199:-1 gene:gene-LATHSAT_LOCUS24549 transcript:rna-LATHSAT_LOCUS24549 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPQRPRADQFPEQQPIKYGDVFDVSGELASQPIKPRDAALMQATENQTLGQTQRGSPASVMQSAAAVNTATGLVHRDDISDIARNQGVSISETKVGGNRLITESVGSQVVGQFVEPDVPMNDPGLVLDKNAITIGEALEASALTGAGDKPLDQSDAAAIQAAEMRATGKNQTEPGGLGAIAQSAATRNTRTMPDLQKTTLADVVSVAREKLGADKAVTREDAEGVIGAELRNKADMRTTPGGVAASMAAAATLNQNKLEFL >CAK8541074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58622264:58622620:-1 gene:gene-LATHSAT_LOCUS10025 transcript:rna-LATHSAT_LOCUS10025 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFAILNDEPESEIEFQHLLVSNYHLEDDDDEPVSFSVLPIQWSDSEVSNVDDDERVKIFLHGSSDSGLQKIFMQVTAWKFDISSLKPELLLLSKDERWIKLQKPRKSFQETVKTV >CAK8577914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597240351:597242133:1 gene:gene-LATHSAT_LOCUS29977 transcript:rna-LATHSAT_LOCUS29977 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLANRNEPNWPQHRGRGAGFMGKVPYANPNPKFGNNKRTQSPSDDASSINRRSNDVVADHSQYLTFNIASYTKTELKELKNRLVSELEQIRQLKLRIESGNFKPRPSHNGGGPPKKSSSKKVSGNKRPFPARELKRPQPEVGDLMKACGQILLKLRKNKSSWIFNNPVDVTAFGIHDYFEIIKHPMDLGTVKDKLAKNAYALPEDFAYDVRLTFNNALTYNPKGHDVNTAAMQLLMKFEELYRPIHEKFEERGFDDELQASSWNHVEPEREKVKKTDNPIPIPPPVAKLQEPPPELASTSNQPSTSNPPLVQSPVRTPSPMRALPVKPLKQPKPKARDPNKREMNIEEKHKLGLGLQILPPEKMEQVVQIIRKRNGHLEQDGDEIELDMEAVDTETLWELDRLVTNWKKMVSKIKRQALMDNNNVPSNKGNGELADREKVDLAVPAEGKKQKKIETVDEDVDIGDDMPMNNFPPVEIEKDRDMGGAGGHASSSSSSSSSSGSDSSSSSDSDSGSSSGSDSEADNGHL >CAK8572798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564163724:564164140:1 gene:gene-LATHSAT_LOCUS25320 transcript:rna-LATHSAT_LOCUS25320 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVTNKKGRVFFLHGYGSPDKIYTWRTLATSLRAEHKIVLIVASSGIASLLLPGGRTAHSKFKIHVPTLENSTCKVDFSDDHTELLRQTKLIIWDEAPMASKFCFEALDKTLRDIMSNYSNSDQVFRVQVVVFGGDF >CAK8568726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635876691:635877258:1 gene:gene-LATHSAT_LOCUS21658 transcript:rna-LATHSAT_LOCUS21658 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRTKRKKEKSLEVIWQGSKSLGSSAQIFAGAFPKNYEPPSGFYFEVNDDPDEG >CAK8570064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22804048:22805575:1 gene:gene-LATHSAT_LOCUS22848 transcript:rna-LATHSAT_LOCUS22848 gene_biotype:protein_coding transcript_biotype:protein_coding MARQNPPSSIPTSDPEVPEITPTLPTNPSQTSFTAKYGVQKLKNNLIFRSKWAELNGAMGDLGTYIPIILALTLAKDLNLGTTLIFNGVYNILTGVLYGIPMPVQPMKSIAAAALSDKEFNVPEIMTAGILTGGVLLVLGITGLMQLVYKLIPLSVVRGIQLAQGLSFAITAVKYVRKVQDLPKSKALGPRPWFGLDGLVLAIVCACFIVIVNGAGEKSRGCCGAPEDGCLDETESGRKSKMNKLRKVVFSLPSAFLVFVLGIVLVFIRRHEVVHEIKFGPSSIEVMKFTKQAWKKGFIKGAVPQLPLSILNSVIAVCKLSTDLFPEKEFSVTSISVTVGLMNLVGSWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGAAKLILGLVLGTSLAHILKMFPVGILGVLLLFAGIELAMCARDMNSKEDSFVALICTAVSLVGSSAALGFLVGMIVYVMLKLRNWSNDKPLSTIWNQKSPN >CAK8541538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234837354:234838031:-1 gene:gene-LATHSAT_LOCUS10453 transcript:rna-LATHSAT_LOCUS10453 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQKNKNSVISNTLNDLSNWSRLSNLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQEYLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDVITKLRKKISREIYEDPISYQRMCFTTNHKFDVGHSTHTDNSNQGLFYQPSSISEITSDTFWKYKKIKYPPTNEKVN >CAK8536887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33446404:33448042:-1 gene:gene-LATHSAT_LOCUS6213 transcript:rna-LATHSAT_LOCUS6213 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVEGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVLAFVERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVGWAVQYLGVSQRVVQQQIRECRGSYYKLEWLYDLFVEHKVASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGATALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDIVVPYLPDRCMRQFGYRQYIPHPPLDHTMAGDIDVDWISYHQSIQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSQ >CAK8539095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503576964:503577298:1 gene:gene-LATHSAT_LOCUS8226 transcript:rna-LATHSAT_LOCUS8226 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVRAYAEVLRLVRRLPKDSRGYYAKYARENFVNYREVDPSDSTTLHDLFQRTYTHSIWVLHKYSVDESAADKLKGICRG >CAK8538183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467789175:467794185:1 gene:gene-LATHSAT_LOCUS7411 transcript:rna-LATHSAT_LOCUS7411 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAKLQMQTGIDTMEEEAGQCGAGYPSRTTVACMINAEIGAVLAVMRRNVRWGVHYMSDEDQLEHSLVQSLKNLRRQIFSWQSHWHVIDPVLYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVINQNTVNVGDAMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASVMLSNQHICTIVNTCFRIVHQAGSKSELLQRIARYTMHELVRSIFCHLQDIDVTEHAFVNGSTVLKEEIDGVKNEHHSTNTQLENGSLISASDSQSVSTGIASNIVSDAAAILVDVNTAASSGKETDLNEQLMNEPHGIPCMLEIFHFLCSLLNVAEHMGMSPRSNTIAFDEDVPLFALTLINSAIELGGSSFHRHPRLLSIIQDELFCNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQEVAMEALVDFCRQKAFVVEMYANFDCDTTCSNIFEDIANLLSKSAFPVNSPLSSMNILALDGLIAIIQGMAERIGKGSLSSAPSIVNPEEYTPFWLEKCENFTDPNNWVPFVGRRKHFKKRLMIGADHFNRDAKKGLQFLQEVHLLPDKLDPQSVASFFRHTTGLDKNLIGDYLGNHDEFCVQVLHEFARTFDFKDMALDTALRIFLETFRLPGESQKIQRVLEAFSDRYYEQSPHILANKDAALLLSYSIILLNTDHHNSQVKKKMTEEDFVRNNRQINGGNDLPREVLSGLYHSICKSEIRTTPEQGSAFPEMTPSRWVYLINKSKDTAPFIVSDCRAHLDYDMFAILSSPTVAAISVVFDNAETEEVYQTCMDGFLAVAKVSAYYHLDSVLDDLVVSLCKFVTILDPLSPEESILAFGEDTKARMATETVFTIANRYGDYIRTGWRNILDCILKFHKLGLLPAQMANDAAEESETSTETGNGKRNANSSSSSQFLSVSTPKRSSGLISRFSQLLYLGAEETRSVPSEEQVAAQQCSLQTIQKCRIESIFTESKFLQADSLLHLAKALKSAGVKPKKGNSTFEDEDTSVFCLELLVAITLNNRDRIELLWPDVYEHISNIVQSTVMPCAQVEKAVFGLLRICHRLLPYKENITDELLRSLQLVLKLDARVADTYYEQITQEVSNLVKANASHIRSQLGWRTITSLLSITARHLESSEAGFDALFFIMSDGAHILPANFVLCVDAAKQFAESRVGQVERSVVAIDLMAGSVNCLERWTNDVKQAMTEEEVEKMLQDIGDMWLRLIQGLKKLCLDQREEVRNHALLSLQNCLTGSVGIHLPHGLWLQCFDQVIFTVLDDLLEISQTHSQKDYRNMEGTLILALKLLSKVFLLLLQDLSQSTDFSKLWLGALNRFEIFMKVKIRGRRCEKLQELVPELLKNTLLVMKAGHILERSSSNGDGNSLWELTWLHINNIAPSLQSEVFPEQDSKQLEQKQIEQVGDRGSAENVSVHSNEAADKDGTGNGIG >CAK8540642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15116169:15118238:1 gene:gene-LATHSAT_LOCUS9628 transcript:rna-LATHSAT_LOCUS9628 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNSVEGSSSSLRKGAWSQYEDELLKDCVQRYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLKPNIKKGDFSEDEVDLMIKLHNLLGNRWSLIAGRLPGRTSNNVKNYWNTHMRSKEHSSKKEKDKTKEEIEKVTKSHEVIKPQPRTFTKSLLEVNKFIRSNDNSSKVSMSEGETSSKTSNWWETFLLDDNDDNNHLLQTGFWDDQEFNSITTNDFNFFNEDQT >CAK8573489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615786879:615790694:-1 gene:gene-LATHSAT_LOCUS25934 transcript:rna-LATHSAT_LOCUS25934 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEEENQDFPPKTKTSLEEHGCAMDFDLDLETSWPLDHMSFISNPMSPFLFSTISDQPSSPLWVFSDGEDDKHAKVAASAFSDCHKIFSCDSNSITEKPVENDNKTFLPPLMPPIENLDGYCAIKEKMTQALRYFKEWTELNILAQVWAPVRNGNRYELTTSGQPFVLDPHSNGLNQYRTASLMYKFSVDGENDGTLGLPGRVFQQKLPEWSPNVLYYSSKEYPRRDHAQHYNVRGTLALPVFEPSLQSCIGVIELIMTSLKINYAPEVEKICKALEAVNLRSSEILDHPFTQICNEGRQNALSEILEILTVVCETHNLPLAQTWVPCRHRSVLAHGGGFKKSCSSFDGSCMGQVCMSTTEVAAYIIDARLWGFREACVEHHLQHGQGVAGRAFLSQNMSFCTNITKFCKTDYPLVHYALMFGLTGSFAICLRSFHTGNDDYVLEFFLPPAITAFHEQKTLLGSILATMKQHFQSLSIAVGVELEENGPVEIIEATGEGIRLRIESIPIAQTIKSPRIPDASPNMEEEELPHDPLEIHGENVGGSIDPVSSLGNKNIKKPSERKRGKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFDLNSLNANQLPVAGSFPDHSTPNKSSQQASLNISPSEPQMKENEFYAPKVLETNIPIVMEDQLLEGRKHGLGKDRKRTRSRSISSEDSINPTSHGSCHDSPPNEISTIKDPFIPSNNDQYVVLRGSPESRMQPTYAFNSPTAHRLPDNILAELQEPFGGMLIEDAGSSKDLRNLCPSVAEAILEDLAPEPYGNNLPCSYLAPKQCMEATNKSVTPFAARKEMKTVTIKATYREDIIRFRVSWNCGIVELKEEVAKRLKLEIGTFDVKYMDDDNEWVLIACDADLQECMYVSRSSGEGKIIRVLVHDVTSNLGSSWESSGE >CAK8576308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:452219099:452219994:1 gene:gene-LATHSAT_LOCUS28506 transcript:rna-LATHSAT_LOCUS28506 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMSNVSATGIGAWNNLQQEMHNSMTLCARCFVRGSYKVGTSNTDFKRVEISEETKPDWTEKETLKLLECITNFGDDWKRVSHHVIGKTDKECVARFLKLPFGDQFMHSERFESAHLADDSCSDLLKPSVNAGCESETAGLGKSSKRMRLTPLADASNPIMAQVAFLSALAGTKVAQAAAQAALRSLSDVYKSS >CAK8566419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:421195244:421198283:-1 gene:gene-LATHSAT_LOCUS19551 transcript:rna-LATHSAT_LOCUS19551 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAGVHIPLLPRPKSAAEHGAVPGAVFNVINTIIGSGIMSIPAILKVLGVFPSLVVILIVAVLAEVSVDFLMRFTDAGIKPTYASVMKEAFGSAGALITKVCIIINNFGGAILLLIIIGDVLSGKKSGDEVHLGILQQWFGIHWWNSREVALLITLVFVMLPLVLYRRVESLKYSSAVSTLLAVSFVAICSGLAIAALVQGKTETPKLVPRLDNQTSFFDLSTAVPVVVTAFTFHYNVHPIGFELAKPSDMKIAVRLALLFCVVIYFTIGLFGYLLFGDSTQSDILVNFDQSADSAVGSLFNSLIRVSYALHIMLVFPVLNFSLRANIDELFFPKKPLLATDNKRFVILTLLLLLFSYLAAIAIPDIWYFFQFLGSTTALSLAFIFPGIIVLRDALRISTRKDKIIALVMIILAVLTSATAISTNIYNAFK >CAK8569642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7138936:7140978:1 gene:gene-LATHSAT_LOCUS22470 transcript:rna-LATHSAT_LOCUS22470 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRKPSFRFRIPWLTGTQSFSRNPKDASKSSTQSDINVPIQRSSNRPSVITPAESPPGPTKTQEATRTEPQNQSPPHPTPLSTSVVVETTHSKPLSPSKSPNHVNSPPPYSSAASQFHVFSTPPQSPSQYDTMKVPKSMPSSTEENTHPTSSVSEPMPHKAEAEPEMKVKVSSPLKEFTKSPETSYQQAIGFEQQASSTPSLPEVSKIESASQHHPLSPLAPERKNEVLKANHEENTLPTSSTFQGEKDKMTMSVSDSVPNDAEPRTKSPLKTIPLSQPENPSKHIATEFDEERLTSKTTPKSPLETLSKFLKHKGKANHEENTPHSSSTSQEQKVQIPVLVSDPMLDEAKPKMKSPLKTTPMSQPKNLSKHTKTKLDEERLISKTTPKSPLETQSKFLQHKEKEKVVHETKKIGKSKDKSPSQPNQHDRPSSSGTKDKKHHGVRETVERKIMFATSNSSGKDIGVMSSKDPSSSISHERTAPSSEERDKRNEKAPIQKGIKDDITKFVHKISASVHPRQPMDDKKFSVITLTGDNRGATMHVGSESDKKDGSIHIHRDYKTESEESIEVSTDGEGNSNNEEDSMEHGEVGKAYVNSNIQSINNSFMFHGSISERDPGVQVTLPQKPLEPVNHDDDKDSHNQRTEFNMSRSQKSTFQPTVRRYGCKFSRGDNNEDIDIM >CAK8543964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652629151:652629801:-1 gene:gene-LATHSAT_LOCUS12683 transcript:rna-LATHSAT_LOCUS12683 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAITLMFIAMLVCCTNNTLVSAWNEAAEEVIHVGGKVMCQDCSQGWKEWVKGYKPIKGAKVSLTCWDKRNRAVYYTSDTTDVLGLYDMSVDKFVNGKELYVKGCYVRLVSSPDDVCNILTDFGGGKSGFKLSNPTLVYRSLTKYVAATFYYTTPMCDMPETDNSYGSEAKDSQGQRQGGY >CAK8570226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30347519:30347836:1 gene:gene-LATHSAT_LOCUS22997 transcript:rna-LATHSAT_LOCUS22997 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKALEKGAPFSLFLTNKYFSAVASTIEKSNGELSTFTGVMKTEYRIALRSSLRHDFAEGVKAVLIDKDQNPKWKPPRLEEVDLSEVEVVFKPLGAEARELSV >CAK8544942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713851320:713852184:-1 gene:gene-LATHSAT_LOCUS13582 transcript:rna-LATHSAT_LOCUS13582 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISNRIETHEIKPGDHIYTYRAVFTYSHHGVFVGGSKVVHFRPDRNFKSITETSSNFDDPTPCPTFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFEYGVSPTVFLTRIRGGTCTTALPDPPETVIHRAMYLLQNGFGNYDVFQNNCEDFAMYCKTGLLIVEKQGVGRSGQASSAIGAPLAAMLSSPLKLLMPSPVGIATVTAGMYCVSRYATDIGVRSDVIKVGVEDLAVNLGWTCSEEEEEVVHDETSTSLITL >CAK8579582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:709586235:709589701:1 gene:gene-LATHSAT_LOCUS31516 transcript:rna-LATHSAT_LOCUS31516 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPLSDDTINSIKDIRQQLEARIETQHKSHMDMLSSVQSIIPNLVSSLDLSLKVLSSFNNRPFAPTPPLPPAPPSFNPLKSSVQLPQNPSNDSNTNLQNAKATLVATNPELEEVSPLSIVRSMVAVCLLGRVPFSPIDSSTVLRKLENDQTVTPQEKAALQELGGDLGGPTLAVEIALRSMADDNGAVELEDFVVSGKARIMVLNIDRTRLMKELPEAAMSNQQNESNFGDGNTNQNQQQVSTGSANMNGVMMGRQVLRPMSDMWMSHGDPHMSGLQPMFSGGGPRGTPRVMGMLAAHRGIGIPTMHRLPMGPNASGSSFNAMPQKPKTLEEDNKDLEALINKKSFREMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQTSSFVACDKVHFRRIIALHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVPPTMMGAPPPPKPLKQQRAEYCSEVELGEPQWINCDIRNFRMDILGKFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTHGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPASPPRASAMEVDSSAAAQISTESKSTITQFTEPAAPATISASVEKAMAIDVDTN >CAK8568788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641220462:641221653:-1 gene:gene-LATHSAT_LOCUS21713 transcript:rna-LATHSAT_LOCUS21713 gene_biotype:protein_coding transcript_biotype:protein_coding MEESIGKEKTLPPGFRFHPTDEELITCYLINKISDSSFTGRAITDIDLNKFEPWDLPEKAKMGEKEWYFFSMRDRKYPTGVRTNRATNTGYWKTTGKDREIIDSVTSELVGMKKTLVFYKGRAPRGEKSNWVIHEYRVHSQSTFTTNKEEGWVVCRVFKKSGSGKKYPSNNSNPTKAGVNPYNHMMLGDSSAPHHNFLYGWNHNCNSTAAPTVQYSHLNYPANILSATTGGDGGFSISGLNLNLGNGVATTMLPMQHSHATMDDHVNSINMNMMNAANNMNCVGADQNINNIVGYGIGMEHCTDLDTYWPCSY >CAK8530429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20629977:20634589:1 gene:gene-LATHSAT_LOCUS295 transcript:rna-LATHSAT_LOCUS295 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGKLAFDIDFHPSDNLVATGLIDGDLHLYRYSPDNTNSDPVRVLEIHAHTESCRAARFINGGRALLTGSPDFSILATDVETGSTIARLDNAHEAAINRLINLTESTVASGDDDGCIKVWDTRERSCCNSFEVHEDYISDITFASDAMKLLATSGDGTLSVCSLRRNKVQAQSEFSEDELLSVVLMKNGRKVVCGSQTGILLLYSWGCFKDCSDRFVDLSSNSIDTMLKLDEDRIITGSENGMINLVGILPNRIIQPIAEHSEYPVERLAFSHDRKFLGSIGHDQMLKLWDLDNIIQGSRSTPRNENGVVDNDVDSDDDEMDVDHNPSKFTKGKKSKNASNGHAVGDSNNFFADL >CAK8563876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636658233:636663136:1 gene:gene-LATHSAT_LOCUS17239 transcript:rna-LATHSAT_LOCUS17239 gene_biotype:protein_coding transcript_biotype:protein_coding MATDIVLEGDIASIQPVEDHDSKKDSEKSKEKDETTNTVPLYKLFSFADPLDRLLMFAGTIGAIGNGISIPLMILIFGNLINAFGDSTSSKVVDEVSKVSLKFVYLGAGTFVASFLQLTCWMITGERQAARIRGLYLKTILRQDVSFFDKETNTGEVVGRMSGDTVLIKDAMGEKVGQFIQFVSTFIGGFVIAFTKGWLLTVVMLSSIPLLVLSGAMTGTIIAKASSTGQAAYSKSAGVVEQTIGSIRTVASFTGEKQAIAKYNQSLIKVYNSSVQEALASGVGFGALFFVFISSYALAVWFGGKLIIEKGYTGGDVMTVIFAVLTGSMCLGQTSPSLSAFAAGQAAAFKMFETIKRNPEIDAYDTTGRKLDEVRGDIELREVCFSYPTRPDELIFNGFSLAIPSGTTVALVGQSGSGKSTVVSLIERFYDPQGGEIRIDGVNLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYAKDDATDEEIRAVAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTIIVAHRLSTIKNVDTIAVIHQGKIVERGSHVELTKDPNGAYSQLIRLQEMKGSEHIQNDANDTNKSNSIVHSGRISSQSSISLRSIIQGSPGNSNRHSFSGAYVAPASDGFLETADGGRQASPSKKSSPPEVPLYRLAYFNKPEISVLLMGTIAAVLHGVIMPVFGLLLSKMISIFYKPADELRHDSKVWAIVFVAVAVASLLVIPCRFYFFGVAGGKLIQRIRKTCFEKVVHMEVSWFDETDHSSGALGARLSTDAASVRALVGDALGLLVQNIATAIAGLVIAFGASWQLALVVLALAPLLGLNGYVQIKVLKGFSADAKKLYEEASQVANDAVGSIRTVASFCAEEKVMELYKQKCDGPIKKGIRRGIISGIGFGLSFFMLYAVYACCFYAGARLVEDGKSTFSDVFLVFFALSMAAMGVSQSGTLAPDSSNAKSAVASIFAILDQKSQIDPSDESGMTMENVKGEIEFNHVKFKYPTRPDVQIFTDFCLNIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGIEIQRMQVKWLRQQMGLVSQEPVLFNDTVRANIAYGKEGDATEAEIVAAAELANAHQFISSLQKGYDTIVGERGIQLSGGQKQRVAIARAMAKNPKILLLDEATSALDAESEKVVQDALDRVMVERTTIIVAHRLSTIKGADIIAVVKNGVIAEKGKHEALLHKGGDYASLVALHTHKGASSS >CAK8539878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530094632:530095308:1 gene:gene-LATHSAT_LOCUS8936 transcript:rna-LATHSAT_LOCUS8936 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAWQVNKIIKIRFVGFLGEIARGFCPGSLPCVVCALLLSLLLFTLCYLALDKSTISGTVPHRGISPTSLVNYHKSGRFWITRPLGHSNNE >CAK8538097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462473253:462477722:-1 gene:gene-LATHSAT_LOCUS7332 transcript:rna-LATHSAT_LOCUS7332 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQETFESFLTWTSQLGISDSTTTHQSHSSLGHSLCVSIFPNSGGRGLGAVRDLRRGELILRVPKSALMTTDSVIMDDKKLSVAVNRHSSLSSVQILTVCLLCEVGKGKTSRWHPYLVHLPQSYDLLAMFGEFEKQALQVDEAIWVTEKAVQKAKSEWKEAQALMEDLMFKPQLLTFKAWVWAASTISSRTLHIPWDEAGCLCPIGDLFNYDAPGEDLSGIEDIVVDEEQMDFHSQRLTDGGFDEDANAYCLYARENYKKGDQVLLCYGTYTNLELLEHYGFLLQENSNDKIFIPLEPAMYTSTSWSKDSLYIHHNGKPSFALLAALRLWATPHTKRRSVGHLAYSGSQLSTANETIIMKWLSKTCDTVLKNLPTSIEDDTILANALDSNQNFLTFIEITKLMSSRDEVYNFLEAHNISDPLSFSDVFLSKRGRRSMERWKLAVLWRLRYKKVLVDCISYCNGILDSLLR >CAK8572913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571393950:571394571:-1 gene:gene-LATHSAT_LOCUS25419 transcript:rna-LATHSAT_LOCUS25419 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLLLSLLFSMAIITCSATTYTVGDNSGWDISSNLETWVADKTFKIGDALLFQYSSTYSVDEVTKQNFDTCNTTKVLANYRNGNTTVPLTRGGDRYFVCGNKLFCLGGMKLHVHVDDDGKWISPALAPKAVAGSDQRTGTFQESPSGKKSTPFSNGVANCADIVYIAVATILYGMLQI >CAK8536463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943282926:943283330:-1 gene:gene-LATHSAT_LOCUS5830 transcript:rna-LATHSAT_LOCUS5830 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNFSFSSTNQSGSGIRRRSNRCWCELESPLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSLMFVFLVVFALVATHVLN >CAK8560648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33919298:33920137:-1 gene:gene-LATHSAT_LOCUS14307 transcript:rna-LATHSAT_LOCUS14307 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGPNSCPRQGLEKKARPQEQINCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKVPSSSSLANPNNSNSSKIPDLNPPTLQVSHLSSQNPKMHGGQDLNLAFPSMENYHHHPHHHNHHGMSSSYIEMHNNNESSSSSALDLLRSSMASRGINPYGNNTNNSLMPNSNAIYPSGFPMQEVKPSLGFSIDGMNGNRTYDHVQVQEGGDGGGAGGRLLFPFGEVNKQLSTSGVEVEHNKDQQGNSTGYWNGMIGEGSW >CAK8542743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542277252:542278341:1 gene:gene-LATHSAT_LOCUS11553 transcript:rna-LATHSAT_LOCUS11553 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETSQKLPAIDFTNINLSNREFLKSQVYEALVEYGCFEATFDQIPLDLCKAMLGAIQELFDLPLEIKTLDACKMNHQGYVGQSPVIPLFESIGIDYANIFERVKTITDIWWPQGNPSFSKTINSFTVKLVELDEMIRKMVLESLGVEKYMQEHMNSTEYLLRVMKYKSPQTSEKKLGLLTHTDQTLVTILYQNQVGGLEVMTKDEKWISYEPSSPHSFLVIVGDSFNAWSNGRLDSPFHRVMMRGSETRYSLGLFTLPKKGCIVNAPDEMVDEEHPLLFKPFDFAEFLDYRYSEKGLRDPFSLRTYCGV >CAK8569643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7141367:7142908:-1 gene:gene-LATHSAT_LOCUS22471 transcript:rna-LATHSAT_LOCUS22471 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVREIKEMKEGIGNMYRKRVEAKNMYRHGKSHIAPECSSPISISLSPIETPSSSSSSWSQSKWASLPPELLLDIIQRVEASETSWPSRRALVACALVCRLWREITKSVVKTPEQCGWITFPISLKQPGPRDSPIQCFIKRERVSSTYSLYLGLSPALSGDMSKLLLAAKKIRRATCTEFLISLVSNDFSQASNTYIGKLRSNFLGTKFTILDGHPPHASLLPSSCKLQQKANQKQVLPRAASANYKVATVSYELNVLRTRGPRRMRSTMHLIPISSVQEGGTAPTPMEFSNFCNERESSKGKKPEVVEFGSTCTDSAREPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPCHNISAAEQEKVILQFGKIGKDIFTMDFRYPLSAFQAFAICLSSFDTKPACE >CAK8533221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592493067:592495087:1 gene:gene-LATHSAT_LOCUS2866 transcript:rna-LATHSAT_LOCUS2866 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQGRFLLNTPFLPNFFFRGSLQQNQRIRRGFSKNMAWSMERCDLGLDVKIGVVHPATDAYAAEAVQALKVGKVIAVPTDTLYGFACDACQLEAVNRIYEIKGRKHTSPLAICVSDVSDIDRFALTDNLPHGLLDSLLPGPVTVVLKRGESSALERSLNPGFDSIGVRVPDCNFIRLIARGSGTALALTSANLSGQPSSVCIKDFEKLWEHCASVYDGGTLPSGRAGSTVVDLSTPHKYKILRPGSAKEETVAVLEKHGFVETM >CAK8530217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8120603:8121863:1 gene:gene-LATHSAT_LOCUS102 transcript:rna-LATHSAT_LOCUS102 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFGIVSSSENENGVQISDSNLLYEEAELPWKMIQIGENKVNIPIINLDIMKGGDEAILAVVAEIVRDSCMKHGFFIVSDHGVDQNLINDTYQEFDNIFKLPLLMKVGSMRYPWGYLGGHAWRFSSNLPWKESFTFQYKHYDESNSQIVEFFKSVLDDDHQQTGLVCQKYCDAMKKLSGLILELLAISLSVDPLHYQNFFKDAEAMMRCSSYPSNIGVKVGTLGVGPHCDPSSITILFQDQVGGLEVLIDKIWYEIPHIPNTFVISIGDTFKVLTNGIYKSCSHRVMTNKEMERKTLAFYLCPKGDKVLRAPKNILGKEEPNIYPDFTLSQYFEFTQKHQYMVDPETLMKFVSWLCASSPPNI >CAK8536531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:952807100:952807975:1 gene:gene-LATHSAT_LOCUS5890 transcript:rna-LATHSAT_LOCUS5890 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFPGDTSSSSVDPMLSNFSLQSLLTFNPSFFTDTFHTLTDHPPQLPNLPQSITDQAPYANNNKTALIVPKTEHPLNLPPLQDYLPLQQQPFNFFPQYYPPFETFHRLPQLHSLQHSNRKRLHHPFTEETTPPPQKQPHFVRGKSPSLIPQSKLARQRRQTLSEKTRCLQKLMPWDKKMDQATLFKEAHKYVKFLQAQISALQSMPSHSTTIYRGSNGNGGFGELKKLNRNQTLQVLVNSPVVQTKLCSQGYCVFSMEQFSQLRKLSERRQQQQQQNMSDNDSSKTFFH >CAK8577319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555256447:555258272:-1 gene:gene-LATHSAT_LOCUS29435 transcript:rna-LATHSAT_LOCUS29435 gene_biotype:protein_coding transcript_biotype:protein_coding MERATFLLLLCLLVGLTSTVKGEDPYLFFTWNVTYGTISPAGVPQQVILINNEFPGPNINSTSNNNVVVNVFNNLDEPFLFTWAGIQQRKNSWQDGVAGTNCPIKVGTNYTYKFQVKDQIGSFFYYPSLGMQRAAGGFGGLRINSRLLIPVPYADPEDDYTVLIGDWYTKSHSSLSKLLDSGHSIGRPQAVLVNGQNAKGDGSDKPLFTMKPGKTYKYRICNVGIKNSLNFRIQGHSMLLVEMEGSHTVQNSYDSLDVHLGQCFGVLVTADKDPKDYYMVASTRFTKYHLTGKGIIRYTNGKGPASPELPAAPEGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTVKLINSVSRDNEKLRYAINGVSHVDTETPLKLAEYFGIADKVFKYDTIQDNPAGVPVTIKMQPNILRLPHRTFIEIIFENPEKSVQSYNFDGYAFFAVAIEPGTWSPDKRKTYNLLDAVSRHTVQVFPKSWAAIMLSFDNCGVWNLRSELAENRYLGQQMYISVLTPERSLRDENNMPDDHLLCGLVKGLPKPAPYINN >CAK8568722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635657754:635695645:1 gene:gene-LATHSAT_LOCUS21654 transcript:rna-LATHSAT_LOCUS21654 gene_biotype:protein_coding transcript_biotype:protein_coding MNNETEILCRLVANHLHLAQFEPLRGVLLALRTRNRDLARHILQSIVARSGRFPNVAWSDSCSSPALLTYLSTLELLQLDDSSSVWKFDSETLRLRAEFLLLVQHLIDLVSDGGDELELCRKVLDRVLEIGVKRLRVDEDGEVESSGTLVEESELMSLRKLVLDSGDVFEALSGNIEKQIRRWECEDKGLAGSVKGGGDDDLEEDVIVKVLFGIQKMTQVVHLNAIRENLEGGDAEGAISHLRFLHFDYGLDQSEYRIVLKDLLKDILSRSKDFGESWLIMRNQMLKIYSEALSSNCRDIVQMMQSIHDEVLSEEIEIDRGQTDDFTPRPLARLQNYLAELKPGQNLDDKTLSLNEVIRLCKTEMYHYARVSGLHVLECIMDTALSAVKREHLEEASNVLQLFPQLQPLVAAMGWDLLAGKIAARRKLMQLLWTSKSPVIRLEESSLYGNKSDEMSCVEHLCDTLCYQLDLASFVACVNSGQSWSSKFSLARSGKGQAAFSDEDVYSDHFVENFVLERLSVQTPIRVLFDVVPGIKFREAIELITMQPIASTLEAWKRKQDVELMHMRYALESVVLALGAMERSISDEIETHQNVPLVHLKDMRSHLEAISNLPRKIFMVNVMISLLHMDNISANSMRCGSRGSDSKLSNASSLESNCSTRSEEGNKMVISFISLLLDILRQSIPSSVVELENTLDGGVSTASRQALEWQMSISARFIEEWEWRLSILQHLLPLSERQWRWKEALTVLRAAPSKLLNLCMQKAKFDIGEEAVHRFSLSAEDKATLELAEWVDSACKKASVDDVVSRVQDLDFSSLRSQLGPLSTILLCIDVAATSAKSAGMSQQLLNQAQIMLSEIYPGGSPKVGSTYWDQILEVGVISVSRRLLKRLQEFLEQDNPPTLQEILSGEIVITSSKESHRQEQRERALALLHQMIEDAHMGKRQFLSGKLHNLARAVSDEETEPSTTRGEGLYSERIVISNSDKDIVLGLGLRVVKPIPLSTVGGETGLQSSGFDIKDSGKGIFSPLSSKPMTYLSQFILHVAAIGDIVDGTDTTHDFNFFSVLYEWPKDLLTRLVFERGSTDAAGKAAEIMCADFVHEVISACVPPVYPPRSGHGWACIPVVPSFPKSSSENKVLSPSSKDAKPNCYSRSSATPGVSLYPLELDVVKHLAKISPVRAVLACVFGSCILYDSSSSSISSSLSDGLQQAPDIDRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTEDDGNLEARSSVKRVREHDTETELDADDINSSTVPGALTDLNSQGVEAADFWHDSSKSEASQLDSTVFLSFDWDNEEPYQKAVERLISEGKLMDALALSDRFLRNGASDQLLQLIIERAEEMHSAQRQGYGGRNIWSNSWQYCLRLKDKHLAARLALRYVHTWELDAALDVLTMCSCHLHQNDSTREEVLQMKQDLQRYSHILSADDHYTSWQEVEADCKEDPEGLALRLAGKGAVSAALKVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLADAEISRLNSWALGLRVLSVLPVPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNHVVTTYATKAIAVSISSPPREHRISVSGSRPKQKARPGAPPKLSFTSSLSNLQKEARRAFSWAPKNAVEKNAPKDVYRKRKNSGLSPSDRVAWETMTGIQEDHISTFSADGQERPPSVSIAEEWMLTGDPLKDESIRSSHRYESAPDITLFKAMLALCSDELVSAKIALDLCINQMKNVLSSQQMPENASMETIGRAYHSTETFVQGLIYAKSLLRKLTGGSEFSYNWERSRDADDTSSDAGSSSVGSQSTDELSEILSQADVWLGRAELLQSLLGSGIAASLDDIADGESSARLRDRLVVEERYSMAVYTSKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLYKGDPGPVVLEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQVSANNSSTYNRDFEDGPRSNLDSVRYTECVNYLQDYGRQHLLRFMFRQGHYLDACYLFFPLDAVPPPPQPSIMIGVSSSSPQRLDSLATDYGTIDDLSELCVSYGAMPILEDVISTRMSSTTSQEAAVNQYTVTALARICLYCETHKHFNYLYAFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGLRGKNAAITEEGLVKFSTRVSIQVEVVKSFNDSEGAQWEHSLFGNPNDPDTFRRRCKIAEVLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGASINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >CAK8561456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:226304753:226306087:1 gene:gene-LATHSAT_LOCUS15045 transcript:rna-LATHSAT_LOCUS15045 gene_biotype:protein_coding transcript_biotype:protein_coding MELENKNNQIQVTNADQQNGGTNFFKTCFNVLNTLTGIGILSMPYAVYQGGWLSFLLLIIFGMKCFYTALLLDRCMSTNARIKSYADLGEVAFGYKGKAILSIFIYIELFLVAVELLILEGDNLEKLYPNMKFTIFGLRFGGKSGFVILTAFAILPTTWLKNYGVLAYVSIGGVFTCIILIGSVVWVGEVDGVGFHERGVLLNLGGLSTSLSLFAFCYNAHALMPTIRNSMSDRKLFSKVLIVCFGASAIIYGTIAILGYMMFGDNLKSQITLNLPTNTISTKIAIYSTIINPFTKYAIIISPIIIAIEEKWDLCMNRPISIVIRTAIVASSVLVALYIPFFAYIMAFIGAFLSVAISLLFPCLCYLKINKAAMRFGLEMMIIMGILIIGTLIGILGTYISVEKIVNQMKH >CAK8565184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:60826106:60830831:1 gene:gene-LATHSAT_LOCUS18419 transcript:rna-LATHSAT_LOCUS18419 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNQDLQKQIGCMTGIFQLFERHQILTARQKRLASGNLHFSDGSMERDSDSNHSLQHRQIANDTSLNRGVNEKQRVSTESSRASFSSCSSSLSSLDFKAEVETSFEIPSRETFMNQPSISPRFGRHSLDLRDVVKDSMYRDPRALSSKSTSKEQSSVHAMKHRDSPRPLHQSKYVDRSYGVEIDEKQILPIDLKESIRVLSKLREAPWHYGDTTRELPRSLHEVKDGHWNSVSKDAPWLAYDGREMSRLSFESRETIKSTPKLKEFPRHSLDSKEGSWRTYNSDSKPNHGSRNVYGATSTSNEKFSSPPQSSSTQNRLPSVVAKLMGLEALPDSSSVGETQSGSTETYSVQGNGQIPRLSKNGFIKPLRVSNSPKMSSKDPISPRRKNPDVVMRPVSSSKFPIEPAPWKQHDANRNSQKPSLRTTKAQARTPESFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQEKGLLESRKDDQVPNAGGSRGDYEPKPTNLNHNSRSGKQQNHQRNNFLSSTIKGGDSARAFDSPIVIMKPAKLVEKSEISASSAIPIGGFSASHNRNNISSPLKDQSPKNIRRDASPSSTEKKTSITKTTGLSHSQSRSQKFPKESNQSPVKNSGSVSPRLQQKKLELEKRSRIPTSPSDPNKSRRQSGKKAPESVSPGGKVRHKDKVVNSQHSEEQMSEISNDSRNFYQGDEISLPSDGITVNSKVDIEVTSSLRTNVTDVSQSPSLKAMKQLVSETVQKKSTPMLDEDDTIQELATKSLEHPSPISVLDGSVYTDDAASPVMQISKDPKAGNDQESKDNDVKDQWKPDDGLSFNSTGSGEINRKKLQSIDHLVQKLRRLNSSHDEARNDYIASLCENSNPDHRYISEILLASGLLLRDLSTEFLTFQLHSSGHPINPELFLVLEQTKASSLLSKEETTTLEKSAFSKQNAEKFHRKLIFDSVNEILGAKLGYSSEPWFQPNKLTKKNLSSQKLLKELCFEIEKVQAKKTETCLEDDEEDDGLKSLLCEDVIHGSECWENFNGEIPGVVLDVERLIFKDLVNDIVIGEAAGLRVKSSVRRRKLFGK >CAK8566169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387099810:387106257:-1 gene:gene-LATHSAT_LOCUS19327 transcript:rna-LATHSAT_LOCUS19327-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLRNHVSVILLSLIVFSAITWNLTLVSASSGGVMGGSFFDSDSSSSESFTTESESEYAKEQRHRHYVPSHDADDEVASGGRGPLLFFVIFAFGVFLVGFCNKDGDGNAVSVFKLQVGMSGETGCTVQRDLTRIAQAADTSSREGVSCLLKDTIQTLDQHHGYCAAGYSSVDHKRGKEDGEKYYNQLSNEERAKFDEETLVNLNNNNKTIRRSHSYDEYFTLESDKTEKFEKEKLLSGLNNKYTVVTVLVAAKGAHKLPNINGAEDLKNVLQKLKSLISSKYLFAGEVLWTPQEEDEILSDRKLLKDYPELAKSMKIFLVKKHE >CAK8566168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387099810:387106275:-1 gene:gene-LATHSAT_LOCUS19327 transcript:rna-LATHSAT_LOCUS19327 gene_biotype:protein_coding transcript_biotype:protein_coding MILKPQMIFLRNHVSVILLSLIVFSAITWNLTLVSASSGGVMGGSFFDSDSSSSESFTTESESEYAKEQRHRHYVPSHDADDEVASGGRGPLLFFVIFAFGVFLVGFCNKDGDGNAVSVFKLQVGMSGETGCTVQRDLTRIAQAADTSSREGVSCLLKDTIQTLDQHHGYCAAGYSSVDHKRGKEDGEKYYNQLSNEERAKFDEETLVNLNNNNKTIRRSHSYDEYFTLESDKTEKFEKEKLLSGLNNKYTVVTVLVAAKGAHKLPNINGAEDLKNVLQKLKSLISSKYLFAGEVLWTPQEEDEILSDRKLLKDYPELAKSMKIFLVKKHE >CAK8561385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:182504343:182504659:1 gene:gene-LATHSAT_LOCUS14985 transcript:rna-LATHSAT_LOCUS14985 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYHIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMDI >CAK8542879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555261207:555269108:1 gene:gene-LATHSAT_LOCUS11680 transcript:rna-LATHSAT_LOCUS11680 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNHHLSDLSTSTQSIPSSDDFRFHYNFPEFRHLIEEIARKSQSMLNLIGSAENIWGLGSSFPADIDDAYEWIVNANDDVLELVDEIVDEFHRVLKEQDMEEGEDGFFKFKKGKKMIGYLGDEANGKKQKVSFHVHTLRKPQYHYNLAVDNSNQPFEHVWLEKSEDGQRFIHPLEKLSVFDFVDKDDIESLVPVMPPPLKCTPFKLVEDVNGLKELAAKLSSVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRDHVGTHLREFFKDPTKKKVLHGADRDIVWLQRDFGIYVCNMFDTGQASRVLKLERYSLQHLLQHYCGVTANKEYQNADWRARPLPDVMIKYGREDTHYLLYIYDLMRIKLFELSMESEGSDDPLLEVYERSYNVCMQLYEKELLTESSYLNLKGLRGAGFNGQQLAVVSGLFEWRDVLARSEDESTGFILPNKVILEIAKQMPVTTSNLRRLVAERSRLPYVERNHDIIINIVRHSMQKAAAFEEAALRLKEEHAAFEEAARRLKEENAASENGANVQVLKLPPGACDATLPGHSAPEGNGFPDKKGKKEIKVEQIVASETSDLKKPLSATVSTSTLNANIILDLDSDSDDMM >CAK8572961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573091577:573094136:-1 gene:gene-LATHSAT_LOCUS25460 transcript:rna-LATHSAT_LOCUS25460 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPHMEVVSCAIVFLVVFVTIATSADIYLDWHVSLNFNINPVSANQPVITINGMFPGPLINATTNDYIHVNVFNDLDEPLLFTWNGIQQRQNSWQDGVSGTNCPIQPGTNWTYVIELKDQIGTFFYFPSINFLKGGGAFGSIRVNNRAVISVPFPKPEAEFDLLIGDWYNSSYKDIRNRLETMDIESPSWMLINGKGPYMNTLSKSYESFKVTQGKTYLLRISNVGTAWSFNFRIQNHRMRLVETEGSYVNQIDLDSLDVHVGQSYSVLVTADQDAADYYLVASPKMSNATFNNSLVGIAVLHYDNSTTQANGSLPSGPDPFDLEFSINQARSIRWNLTAGAARPNPQGTFNVTNVTISQTFILEASTATIDRLSRYTVNNVSYLTPDTPLKLADHFSDNSGIYKLDAYSKNTSNVNAVHDVFVASALYKEWTEIVVKNTLTTIDTWHLDGYSFFVVGFGEGEWNEESRLSYNLFDPVVRSTVQVFPGGWSAVYVYPDNPGMWNLRSQNLQSWYLGEELYVRVYDPNPNPAKEKPPPQNLLLCGKYQPSAPPPAPSGSPPPPQSPNAPSSKAYNLDTTRFQFAMITTAMCYLYIGFH >CAK8572962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573092004:573094136:-1 gene:gene-LATHSAT_LOCUS25460 transcript:rna-LATHSAT_LOCUS25460-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPHMEVVSCAIVFLVVFVTIATSADIYLDWHVSLNFNINPVSANQPVITINGMFPGPLINATTNDYIHVNVFNDLDEPLLFTWNGIQQRQNSWQDGVSGTNCPIQPGTNWTYVIELKDQIGTFFYFPSINFLKGGGAFGSIRVNNRAVISVPFPKPEAEFDLLIGDWYNSSYKDIRNRLETMDIESPSWMLINGKGPYMNTLSKSYESFKVTQGKTYLLRISNVGTAWSFNFRIQNHRMRLVETEGSYVNQIDLDSLDVHVGQSYSVLVTADQDAADYYLVASPKMSNATFNNSLVGIAVLHYDNSTTQANGSLPSGPDPFDLEFSINQARSIRWNLTAGAARPNPQGTFNVTNVTISQTFILEASTATIDRLSRYTVNNVSYLTPDTPLKLADHFSDNSGIYKLDAYSKNTSNVNAVHDVFVASALYKEWTEIVVKNTLTTIDTWHLDGYSFFVVGFGEGEWNEESRLSYNLFDPVVRSTVQVFPGGWSAVYVYPDNPGMWNLRSQNLQSWYLGEELYVRVYDPNPNPAKEKPPPQNLLLCG >CAK8530785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49804748:49807645:1 gene:gene-LATHSAT_LOCUS627 transcript:rna-LATHSAT_LOCUS627 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKFIGSIEEMKEPYILAKRYDWKGFLEFFLKHKELLNKQIDLHQSTPFHYSAHCGSSEMYNEMLAAMADPLGMQCVLRNQDDMGNTPLHEVAFTGEVEMTKSILQKDNESVSEEFPFPLLRMRNKLGETPVYRAAALGKTNLIKCFVEELGVDLRDHFHRSGDWMSILHTAVIDQFFGTALWLLKRYEFELANEREDNGLTVLQLLAKMPSAFKSQTQMGAFKNFIYPLLPDYQDYAYYLPDEDNTIEVQDLETGQKGSNESYQTQWKPPPQIHQTKLSGFSWMWYTMWKVLSKEWKGIDKLWRKKEKHNLVQELVHLLAKNDESWKFSSTAWDRPVSMGRALSIVEGKQKEQQEEQDVTTFRPIIYTPLLMAACNGIIEIVEVIIHFHPQSIEHVSEDEQNILYMAVKHRQLGIFLMLKKLNMVGRLAGKIDKESNTVLHNTADFKGGSQPGYAMQLQEELHWFERIEKQLPYHYIIHKNNNDQTARDLFEEKHEHLLKDARKWIKETAQSCSAVAVLVATVVFAAAYTVPGGTDDSGFPRLLHHPIFVVFTVMDVVALASSLASVVMFLSILTSPCELWDFRRSLPRKLMAGFAFLFFSMATTMLVFSATILVNIKLDKSKWTSSLTYCAAFFPVSIFAMMQFPLYVAMKGCVKTLLKRLKKLVPRFFLNLVKRSKRNRLWDI >CAK8530786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49804781:49807645:1 gene:gene-LATHSAT_LOCUS627 transcript:rna-LATHSAT_LOCUS627-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPYILAKRYDWKGFLEFFLKHKELLNKQIDLHQSTPFHYSAHCGSSEMYNEMLAAMADPLGMQCVLRNQDDMGNTPLHEVAFTGEVEMTKSILQKDNESVSEEFPFPLLRMRNKLGETPVYRAAALGKTNLIKCFVEELGVDLRDHFHRSGDWMSILHTAVIDQFFGTALWLLKRYEFELANEREDNGLTVLQLLAKMPSAFKSQTQMGAFKNFIYPLLPDYQDYAYYLPDEDNTIEVQDLETGQKGSNESYQTQWKPPPQIHQTKLSGFSWMWYTMWKVLSKEWKGIDKLWRKKEKHNLVQELVHLLAKNDESWKFSSTAWDRPVSMGRALSIVEGKQKEQQEEQDVTTFRPIIYTPLLMAACNGIIEIVEVIIHFHPQSIEHVSEDEQNILYMAVKHRQLGIFLMLKKLNMVGRLAGKIDKESNTVLHNTADFKGGSQPGYAMQLQEELHWFERIEKQLPYHYIIHKNNNDQTARDLFEEKHEHLLKDARKWIKETAQSCSAVAVLVATVVFAAAYTVPGGTDDSGFPRLLHHPIFVVFTVMDVVALASSLASVVMFLSILTSPCELWDFRRSLPRKLMAGFAFLFFSMATTMLVFSATILVNIKLDKSKWTSSLTYCAAFFPVSIFAMMQFPLYVAMKGCVKTLLKRLKKLVPRFFLNLVKRSKRNRLWDI >CAK8563178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581083666:581084865:-1 gene:gene-LATHSAT_LOCUS16612 transcript:rna-LATHSAT_LOCUS16612 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAATFSIGTTQTGRSLPQSNPFGFKFNSQVNFRTFSGAMSSFSGNETGAALRATFAPKAVKENQNLNHNFQPQASYKVAVLGAAGGIGQPLALLIKMSPLVSDLHLYDIANVKGVAADISHCNTPSKVVDFTGAAELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVTAVADNCPGAFIHIISNPVNSTVPIAAEILKQKGVYDPKKLFGVSTLDVVRANTFVAQKKNLRLIDVDVPVVGGHAGITILPLLSKTKPSASFTDEEIEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALGGDADVYECSYVQSDLTDLPFFASRVKIGRKGVEALITTDLQGLSEYEQKALEALKPELKASIEKGVAFAQKQTVAA >CAK8533274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599192777:599193103:-1 gene:gene-LATHSAT_LOCUS2915 transcript:rna-LATHSAT_LOCUS2915 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRPIISDPEEVERHLKMKGFIENYWVWTYNGEQLPSNVHAKTTNTHASSSR >CAK8543793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640130054:640131758:-1 gene:gene-LATHSAT_LOCUS12528 transcript:rna-LATHSAT_LOCUS12528 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRFVKRTTPFYSLARGFSSTNSHAPPRENRNVQWVFLGCPGVGKGTYASRLCNLLGVPHIATGDLVRHELSSKGPLSSKLSEIVNQGQLVSDEIIINLLSKRLADGQAKGESGFILDGFPRTINQAEILEGVTDIDLVVNLKIREEVLLAKCLGRRTCSQCGGNFNVASINVKGENGSPGMSMAPLLPPEHCMSKLITRSDDTEPIVKERLRVYNELSQPVEGFYSSRGKLLEFELPGGIPESWPKLLQALNLDDYEEKQSVAA >CAK8561892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406159984:406161138:1 gene:gene-LATHSAT_LOCUS15442 transcript:rna-LATHSAT_LOCUS15442 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAMDQIVDWSQLPIELWPMIGKYLDNHIDVLRFRSVCESFRSSIPPSLPNSPSFPLQIPHPIHISLITHLNQSTIYLIEPTDASFNSNSPSSSSKGWLIKVQESKNQPLSLLSPISDRNFSYPPSSDSTSLMPLNLLRYRVIEICKSYTIENITRFASSVRKVVFYPNSPWTNVEDCVSFCIFQEGKLGFLKHGDEKWKLVDDKNFYYDDVIVFKGQFYVTDKWGTISWIDVSSLKLIQFSPPLCGLGNKKHLVESCGSLYVVDRYHESSENMRRNYAGRQRNRDEIVECFKIYKLDEEWGTWVDVKNLRDRAFILSKGCNFSVSTKELIGYEGNRVYYRDHFDVRMYNLDDHRITMVNFNPCIDNILWSHSPWLRSWFKA >CAK8561893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406159999:406161138:1 gene:gene-LATHSAT_LOCUS15442 transcript:rna-LATHSAT_LOCUS15442-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVDWSQLPIELWPMIGKYLDNHIDVLRFRSVCESFRSSIPPSLPNSPSFPLQIPHPIHISLITHLNQSTIYLIEPTDASFNSNSPSSSSKGWLIKVQESKNQPLSLLSPISDRNFSYPPSSDSTSLMPLNLLRYRVIEICKSYTIENITRFASSVRKVVFYPNSPWTNVEDCVSFCIFQEGKLGFLKHGDEKWKLVDDKNFYYDDVIVFKGQFYVTDKWGTISWIDVSSLKLIQFSPPLCGLGNKKHLVESCGSLYVVDRYHESSENMRRNYAGRQRNRDEIVECFKIYKLDEEWGTWVDVKNLRDRAFILSKGCNFSVSTKELIGYEGNRVYYRDHFDVRMYNLDDHRITMVNFNPCIDNILWSHSPWLRSWFKA >CAK8569759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9841329:9842869:-1 gene:gene-LATHSAT_LOCUS22573 transcript:rna-LATHSAT_LOCUS22573 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGDTTVPLLTPYKMGNFHLSHRIVMAPLTRSRSYDFVPQPHAVVYYSQRATQAAFLIGEASGVSPTAQGYPNTPGIWTREQVEAWKPIVHGVHEKGAIFFCQLWHAGRVSKYEFQPEGKPPISCTDKRLRKDISNDAAAADKYPPPRRLNTDEIPIIVNDFKIAARNAMEAGFDGVEIHGGNGYLLDQFLKDKVNDRDDEYGGNLENRCRFPLEVVKAVADEIGVDKVGVRLSPFADYNDCADTDPQGLGIYMAESLSQLGILYCHMIEPRMVTQFNKSDTSKWSLTPIRKVFKGTFIVAGGYDRSEGNRAIESGAADLVAYGRLFLANPDLPKRFELNVDEYNHPDPTTFYSHDPVLGYTDYPFLQNTTSTI >CAK8536732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11788444:11791745:1 gene:gene-LATHSAT_LOCUS6066 transcript:rna-LATHSAT_LOCUS6066 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRCLDVGREKRSLESSSVDDGQPDRKRPALASVIVEALKVDSMQKLCSSLEPILRRVVSEEVERALAKLGPTKLNGRSSPKRIEAPDGKYLQMHFKTRLSLPLFTGGKVEGEQGTVIHVVLVDANTGHVVTSGPESCAKLDVFVLEGDFNNEDDDNWGEEEFESHIVKEREGKRPLLSGDLQVILKEGVGTLGELSFTDNSSWIRSRKFRLGLKVASGCCEGMRIREAKSEAFTVKDHRGELYKKHYPPALHDEVWRLEKIGKDGSFHKRLNKAGIYNVEDFLRLVIRDPQRLRNILGSGMSNKMWDILVEHAKTCVLSGKLYVYYPEDARNVGVVFNHIYELSGLITNDQYYSADSLSENQKVYVDTLVKKAYDNWMHVIEYDEKSLLNYNQDKSLDTAHPQALMGSHEYSNSIQQFSIPCLPQPVHTGQTSMDTGGTVGGYHDGTSTRFSMQSQDANLSSSIKYDDNAFSLQSQLMSVPQQAQLPKNENGPTLGLAETATHGFQTASISNSTYRGVEDFFPEEEIRVRSHEMLENEDMQHLLRIFNMGSHASSSFNAQEDGYPNTSAYMPANHMSYNVDNEPSSSSGKAVVGWLKLKAALRWGIFVRKKAADRRAQLIELDDS >CAK8563858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635396804:635397802:-1 gene:gene-LATHSAT_LOCUS17223 transcript:rna-LATHSAT_LOCUS17223 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKSFKQEFSLDERRKESQSILVKYPDRVPVIIEKYSRTDLPELDKKKYLVPRDMSVGQFIHILSSRLNLTSGKALFIFVKNTLPQTASLMNSIHQTYKDEDGFLYMCYSSEKTFG >CAK8544494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688387006:688393153:1 gene:gene-LATHSAT_LOCUS13167 transcript:rna-LATHSAT_LOCUS13167 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVPVFFYVIAFFCTVGAIGLAILHIYKHLLNYTEPTYQRYIVRIVFMVPVYALMSFMSLVLPGSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGSVVISLSGRVMKPSVCLMTCCIPPIPLDGRFIRKCKQGCLQFVILKPILVVVTLILYAKGKYKDGNFSPKQSYLYLTIIYTFSYTMALYALALFYVACKDLLQPFNPVPKFVIIKSVVFLTYWQGVLFFLAAKSGFIKDADEAALLQNFIICVEMLIAAVGHFYAFPYKEYAGANIGGSRGFSASLGHAVKLNDFYHDTVHQFAPTYHDYVLYNHSEGEEGTRKYRSRTFVPIGPEMDNVRKNKHMIGNKVDDIQLVSLSSASSTPSNSVSLPDTSNSDALKSSLLLDVSNSGSIPYDLTLIDLDVSSYPEKVPAADKADAR >CAK8564223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659283606:659284181:-1 gene:gene-LATHSAT_LOCUS17546 transcript:rna-LATHSAT_LOCUS17546 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRQPMTISDRAPTSPQTPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGNGESERDLEAGQATNDTDEKPQKPYEEKILVIMAGQEKPTFLATPRMSSSVGTSRSSSFGDNTSTCTCDQNQKSKENLNDDADNDNDEGDDGDSSVKQGSGGENRVGRTESVERTATETTTITTDQNV >CAK8560171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9557415:9558353:-1 gene:gene-LATHSAT_LOCUS13871 transcript:rna-LATHSAT_LOCUS13871 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLEIMMKKQVTAVEKIDAYMEKLKTMKKKFDPLPHFTLHRVLSQVSPQFYTKDELTQIEKTNQVLFQKINHSLISDNKVERVEVNDLKSCQPSKEEKIVKPKLAIKRKSRNQGKEILPSPPPELPNHINILVEVLDGTDVKYIMCKTLYSCDLTQNHNRLSMPISQIKCDFLTEIEKKTLEENDEEKKPKSLDVIVLDPDFNEFSVCLKKWDMNSTSTYNLAKDWTKVLSKNNFKKYQKIDIWSFRVDGKLHFLLDNNEPEEIENTNEPEIEDTNEPEEIEESGEPKNSIIISNMEEKQSEEMKIDDSK >CAK8578216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612958679:612959112:1 gene:gene-LATHSAT_LOCUS30249 transcript:rna-LATHSAT_LOCUS30249 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRTPAATSWMIGFAGRLQHWQQLTPITNAF >CAK8569482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2493843:2500246:-1 gene:gene-LATHSAT_LOCUS22329 transcript:rna-LATHSAT_LOCUS22329 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEDCCVKVAVHVRPLINDEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVASLVGGLFQGYNATVLAYGQTGSGKTYTMGTGSKDYYQTGIVPQVMSALFSKIETLKHQIEFQLHVSFIEILKEEVRDLLDPSSMSKPDTANGQAGKAPISGKPPIQIREASNGVITLAGSTEVSVASLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNNPSENSLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCTRSGGSSEEVQVLKERISWLEAANEDLCRELHEYRSRCSIVAQSEKDAYDGSMCNVKTDGLKRSLPITTPDYPMSETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGSSDAETLKQHFGRKIVELEDEKRTVQQDRDRLLAEVENLAAGSDGQTHKSDDIHAQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQQKIKQEAEQFRQWKASREKELLQLRKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSCSRDTSVNGSGTNKQSNEKSLQRWLDHELEVMVKEHEVRFEYEKQSQVRAALGEELAMLKQVNEFAASGLSPPRGKNGFARAFSMSLNARMARIASLENMLSISSNSLVAMASQLSEAEERDRAFSNRGHWNQLRSMGEAKILLQYMFNSLADTRCQLWEKDMEIREMKDQIRELVGLLRQSEVKRKEVEKELKVREQDVATTLAKPASGNPPNSLKHYAEEIKEPLSPESVPASKQRKYTPGIANGQTRESAAFIDQSRKMVPIGQLSMKKLAIAGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSERIRHSDETIMRAKPRSQALPQIM >CAK8569483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2493843:2500246:-1 gene:gene-LATHSAT_LOCUS22329 transcript:rna-LATHSAT_LOCUS22329-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEDCCVKVAVHVRPLINDEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVASLVGGLFQGYNATVLAYGQTGSGKTYTMGTGSKDYYQTGIVPQVMSALFSKIETLKHQIEFQLHVSFIEILKEEVRDLLDPSSMSKPDTANGQAGKAPISGKPPIQIREASNGVITLAGSTEVSVASLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNNPSENSLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCTRSGGSSEEVQVLKERISWLEAANEDLCRELHEYRSRCSIVAQSEKDAYDGSMCNVKTDGLKRSLPITTPDYPMSETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGSSDAETLKQHFGRKIVELEDEKRTVQQDRDRLLAEVENLAAGSDGQTHKSDDIHAQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQQKIKQEAEQFRQWKASREKELLQLRKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSCSRDTSVNGSGTNKQSNEKSLQRWLDHELEVMVKEHEVRFEYEKQSQVRAALGEELAMLKQVNEFAASGLSPPRGKNGFARAFSMSLNARMARIASLENMLSISSNSLVAMASQLSEAEERDRAFSNRGHWNQLRSMGEAKILLQYMFNSLADTRCQLWEKDMEIREMKDQIRELVGLLRQSEVKRKEVEKELKVREQDVATTLAKPASQGNPPNSLKHYAEEIKEPLSPESVPASKQRKYTPGIANGQTRESAAFIDQSRKMVPIGQLSMKKLAIAGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSERIRHSDETIMRAKPRSQALPQIM >CAK8566028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368894184:368894807:1 gene:gene-LATHSAT_LOCUS19196 transcript:rna-LATHSAT_LOCUS19196 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFVYFGVGKTEAAEWKNKPILFYDKLAKLFGKDRATREHEGTAAEMRAKKAANVEKSHGTTIEEIDHLVETNEVILEGFDDDEHHSNNSSTRPSITNSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKKMVDVFEMNSMELVKQSKNANGGDIWDELVEIGVEPSSLPLVYMYLVKNADALKAFNEIPIDKRKEMLHLIVPDYPF >CAK8579038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668890688:668891095:1 gene:gene-LATHSAT_LOCUS31013 transcript:rna-LATHSAT_LOCUS31013 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLSLLNILLMIISTLALTQGQQVDWSPTKTTVRVGNELDFNVNMHCKSSDDDLGNRDIPPTTYTEWSFRANFRGTTLYTCVFQWDSVRKNVVIYDAKKDEDLCISQCWRILRTDGIYFYNQYKKSWEKRYSW >CAK8534775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766483499:766489860:1 gene:gene-LATHSAT_LOCUS4289 transcript:rna-LATHSAT_LOCUS4289 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGFGGGDDVGGLPNKLDLHQLEDIPKEGAVFDATQYAFFGQNARVEEVELGGLEDDDCLPESNEEEFLLNNREEVEDVKSLSDIDDLSTAFWKLNKVEIGPQSTTVIGAQGSRENSTAEWTRRNDDLNWFDQNPYDSEGSLDGIRLSSQPYSSLAPLQESNSLYRTSSYPEQQRQQQPYLQLGSNESVPNWFDQSFRDSETQDGKRWSSQPHSSIAHIEESKSLYSTSLYPDRQQDLHFSNDSILAPNSSFTSYPPPSGRSQQASPSHNTGPINIPYHAVGAQMALSPQNRSHLSNSALQLGGLNHGSPFGGNIRQFPMGSPLNQRIPNHLVNQAGLYNGVHPNISSGLPMINKYDQMLGMMELRDQMPKPAPMGRQNLRFSPQGFDASNHNKFNNGWPRFRSRYMTTEELENIFRLQLAATHSNDPYVDDYYNQGCLAKKSSGAKLRRHFSPAQIREIPLRASANEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSRAVGPDQNISEKPLEQEPMLAARVTIEDGLCVLLDVDDIDRFLQFNKQLQDNGDHLKRKRKGLLEGLSASLQLVDPLGKNGHAVELAAKDDFVFLRIISLPKGRKLLARYLQLLFPGGELMRIVCMTIFRHLRFLFGGLPSDPAAAETVINLANVVSKCIRGMDLVSLSVCLASVVCSSEPPPLRPLGSPAGDGTSVILVSVLERATELLTDPHAASNYNIRNRSLWQASFDEFFGLLTKYCVNKYDSIMHSFLSQGTSNVAVIAPDVARAISREMPVELLRASLPHTDDRQKKILLDFAQRSVVGYNSNSGGNGHHVNS >CAK8538525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486141718:486144888:-1 gene:gene-LATHSAT_LOCUS7713 transcript:rna-LATHSAT_LOCUS7713 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSYSNRIIKLLFFIISFLHAASALTSDGFTLLSLLRSWTFVPPPINSTWRASDSNPCSWIGVQCDQNNHVVSLNLAAHGIFGQLGPQIGNLYHLHTLLLLDNGFSGKVPSELSNCSLLENLDLSGNRFIGNIPYSLNKLQNLRFLRLSSNLLTGDIPDSLFEIQSLEEVNLHSNLLSGPIPTKIGNLTQLFRLYLYGNMFSGTIPSSIGNCSKLQDLELSFNSLRGEIPVSIWRIQSLVHILVHNNSLSGELPAEMTNLKYLKNISLFDNEFSGVIPQSLGINSSLVKLDCMNNKFSGNIPPNLCFGNNLLLLNMGINQLQGGIPSDVGRCETLRRLFLNENNFTGSIPDFESNLNLNYMDISKNNISGLVPSSLGNCVNVTYINLSWNKFGGLIPSDLGRLVNLVVFDLSHNNLEGPLPSQLSNCTKMDHFDVGFNFLNGSLPSSLRNWTVLTTLILRENHFTGGIPQFLAELINIRELQLGGNLFGGKIPRSMGRLRNLFYGLNMSANGLTGGIPSEIMKLRQLQSLDISLNNLTGSLGALEALGSLIEVNISYNFFKGCVPPSLMKLLKSSPSSFMGNSLLSLNSCVDKSQKSTYHKGINISNVQIVMIAIGSSILISSVLVIIIQRCLLRKESGMEYDLKQQYYIDGGAGRIGLGYVHEFNSSGKSFSLKNLVLQATENLSDQYIIGRGAHGIVYKAMIGEQFCAVKKFEFGVNKKKRLNIMRNEIELLEIFRHRNLIKSAGYWIGDDYGLIFYKFIENGSLYDVLHEKEPPPPLSWNVRFNIAVGIAQGLTYLHYDCVPPILHRDIKPKNILVDENMEPIIADFGTALCMKVYEDSYSHSETRKMLSSRVVGTPGYIAPEKAFDIVAGRKSDVYSYGVVLLELITRRKLLVPSLYDESVETHIVTWARSIMMETGKIENVVDPYLVSAFPSSIALVKQVNAVLSLALQCTEKDPRKRPTMKGVIEFYNKSLFKLRCDEVQYCDGSWWFQVLQL >CAK8577589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576457966:576468864:1 gene:gene-LATHSAT_LOCUS29681 transcript:rna-LATHSAT_LOCUS29681 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGGLRDTSVVVVTLDSDEVFIIVSLCTRTDTQVLYVDPTTGILRYEAKRGFDLFKTQKEAYEFVTNGSRCGCKSRILGRAILGYAALGNFAFLLIATRLIASIPNLPGGGCVYTVGESQWIKISLQNAQSQGKGEVKNILELTELDIDGKHYFCETRDITRPFPSRLPVNQPDPEFVWNAWFSTPFVNVGLPTHCVNLLQGFAECRSFGSSGQLEGVVALTARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKRAGQSVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDCDPYKGSVQYYQRLSTRYDTRNLSIRAGENSNRKALVPIVCINLLRNGEGKSECILVQHFEESLNFIRSTGKLPYTRVHLINYDWHASVKLKGEQQTIEGLWKLLKAPTISIGICEGDYLPSRQRINDCRGEVICNDDFEGAFCLRTHQNGTVRFNCADSLDRTNAASFFGCLQVFTEQCRRLGISLDSDVALGYLSMNNNYGGYTAPLPPGWEKRSDAVTGKTYFIDHNTRTTTWTHPCPDKPWKRFDMAFEEFKRSTILSPISQLADLFLLAGDIHATLYTGSKAMHSQILNIFNEDTGKFKQFSAAQNMKITLQRRYKNAIVDSSRQKQLEMFLGMRLFKHLPSISLQPLHVPSRPSGFFLKPVANLFPISGGEASLLSFKGKNVVWISPQPADVVEIFIYLGEPCHVCQLLLTISHSADDSTYPSTVDVRTGRHLDGLKLVLEGASIPQCASGTNLLIPLPGAISSEDMAITGANSRLHAQDTPPLSLLYDFEELEGEWDFLSRVVALTFYPTVSGRRPLTLGEIEILGISIPWRDAFTNEGPGAKLIGHVKKFQEESNPFLSGSDMNPFNSSSAENVSLPDQKGASPDFLIDLLSGNDPLSHPLAQPVTENFTHMESDTLDFLDQDVDYSSQSDCKILSEDMRHLDTSTEQYLKCLKSLAGPNLQRKLDFMEAMKLEIERLKLNLSAAERDKVLLSVGMDPATINPNALLDEAYMGRLSKVASNLALLGEASLEDKLIAAIGLGTVDDNPIDFWNVIRIGETCSGGKCEVRVEIKKSVHSSNTMSSGEASEPVFLCSQCERKVCKVCCAGRGAFLLVGYNSRDVMNYNGASSQSGPIDLPINRLLARDGIICKRCCQDIVLDTLTLDYVRVLLSLRRKDRVEKAAYNALKQTIGSSWDCLLEKNQVPDRQSAGKAVQLLLNGHESLAEFPFASFLHPVETAANSAPFLSLLTPFNSGSRLSYWKAPSSVTSVEFGIVLGNVSDVSGVTLIVSPCGYSVADAPIVQIWASNKIHKEERSLMGKWDLQSMIKASSELCGPENPGTEYKVPRNVKFTFKNSVQCRIIWICLRLQRPGSSSINIGSDFNLLSLDENPFAQETRRASFGGIAESESCLHAKRILVTGIPIRKEIDLNLNSYQSPDKLSLTGFMERAPQLNRFKVPIEAERLMDNNLVLEQYLSPASPLLAGFRLDVFSAIKPRVTHSPLSDVHSPQFSSIFDDRYINPAVLYLQVSVLQENHTMVIIGEYRLPEARAGTPMYFDFSRQIQARRISFKLLGDVAAFTDDSSEQDDSGTRISPLAVGLSLSNRIKLYYYADPYDLGKWASLTAV >CAK8564282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665698246:665698718:-1 gene:gene-LATHSAT_LOCUS17604 transcript:rna-LATHSAT_LOCUS17604 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCEICCEIMIAVLIPPLGVCLRHGCCTVEFIICLLLTILGYIPGIIYALYAIVFVDRDQYFDEYRRPLYAQTQY >CAK8539983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533793917:533798748:1 gene:gene-LATHSAT_LOCUS9027 transcript:rna-LATHSAT_LOCUS9027 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGDEVIEEAVENYEERIQVSVRIRPLNDKEIARNDVSDWECINDSTIIYRNNVSASERSLYPTAYSFDRVFRSDCDTRKVYEEAARDVALSVVGGINSSIFAYGQTSSGKTYTMSGITECTVADIFNYVEKHMEREFILKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWDHFIEIISFCETQRQIGETSLNEASSRSHQILRLTVESSAREFLGNDKFSSLSASVNFVDLAGSERASQTNSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVETNAQVNVVVSDKALVKQLQKELAKLESELRNSGSARPNNSDSSALLREKDHEIEMLRIEVKELTLQRDLAQVQIKDILQEAGDNMSSLIGVESLGPRYPELRIRNTWNLENLKEEPNVLSINCEESVRSFDASQYSDGHSISSDDNLFQLPDLEKNFMVKNSSPRLSVSSINNVVQNDLDQKNIEDQHEENYCQEVRCIELEEPITNTHTHTNSEDLRSNTYTNSSASSPRAKTDTLESIVVNNGDKNNKDLCSPGIKEDNRPNNLHEHFVLPTPENRTPCMAEDDRKSSSRLLKVSRSRSCKGSLMRNLPSDWFVDGDVIQNTPPPVGNEKDFFGRPGFFLRKVHTLSYDLNAERNSVGSSVDDDTQNVKSFNEKKRESIDPLTPNKNETEHLKRLNLLDHHEVHGTGLDAIMSAKNVKDVGLDPMQADGESHSDWPSKFNRLQKEIIELWDTCNVSLVHRTYFFLLFKGDPLDSIYLEVEHRRLSYLKQTFSLGKNTLEHGRTLTPESSTRYLRKERQMLCKQMQKKLSKGERENLYTKWGLLLSSKHRRLQLAHRLWTDTNSIDHIRESAAVVVKLVGPVEPEQALKEMFGLNFVPRPTSRKSFSWSFTNSMRQIL >CAK8532706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495263009:495263461:-1 gene:gene-LATHSAT_LOCUS2385 transcript:rna-LATHSAT_LOCUS2385 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCRKHCRFGTLDVEKVKGKIVICLEDEYLRTFHAGAEAFSAGAVGMILATEIDSLYDSTAYPHILPTSYVNYTDSHIKSEKNPVAYITKVVTETLIIPVPVIASFSFHNTYKLVMLLT >CAK8567511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519920594:519921835:1 gene:gene-LATHSAT_LOCUS20558 transcript:rna-LATHSAT_LOCUS20558 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDTEKRSEINSAIEELSVLVIVKPGENHEAPAARIPTKPFLSLCYMILQVLDKVGPTMVVIRQDIDQNIKRLEAMYESNPLINSNLVEILKSETSKGIAKKRISGSKSFVWLTRSLDLTSALLQALLVKDPKKNMEQAVQESYDATLKPWHGWISSAAFRVAIKLVPDTKTFMDLIGEKDEDCDTLMEKMQILVSLLVPFLEDIHCILKAYKLDRLKSN >CAK8577195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544223504:544226614:1 gene:gene-LATHSAT_LOCUS29324 transcript:rna-LATHSAT_LOCUS29324 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAPRTGDAIFANIERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFRETADVIAKVGFKMFLGVTASVTNWDAEGTCCSIVLEDNPLVDFVELPDNYQGLYYCNILSGVIRGALDMVSMKAEVTWLRDALRGDDVFELQVKLLKQVPEEYPYKDDE >CAK8566563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436289819:436292515:-1 gene:gene-LATHSAT_LOCUS19685 transcript:rna-LATHSAT_LOCUS19685 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMEFSEEWKSIFPIGGSTVSPLLFSNPDSLGPVFFNPNPNSLTHLFSSTIPSLQLPHHLLTERYLVTSDPSILPSTASNIAPLFDSPHEVIDHNVSHFLYNRIQLLKCQGSPNVVVFFPTGGNDENIGFFMLRVKDSVLDTQLDVKGGVFRASTGSKSRIFRISVNPVTYSGLGGASNSSLVIGYLLASSLYSVCWFTVNHNLSLDSPSMSYLGRSKVFKEIVVHACWNPHMLEESLVLLESGRLFLFDLGSQGSMNIFKGTRLRVPWSDWTSSENKAWLCCEFSWHARILIVARDDAVFLVDLRLKECNVTCLMKIETLRMYAPDVNDRFLALSRAGPNDFYFTVASSSLLFLCDVRKPLMPILQWKHNIDEPCYMNVLSLSMLRSHSKVDNFKLASEMGFCIILGSFWNSEFNIFCYGPTFPFRKGSITSKLSKISTTFCAWELPSEINLSSRECHCGICLFREELSKDALPEWIDWQLKKEMVLGFGIISNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSLDRKLEDCHKANLCMESHLLCPRSVKENKSVELHYLKLDYLCAYANGNLAQVLATKLDKTYSNDQEEAPFCLEVHELLCKKLNACGLGHSRSSPTITSIFNDVKLPASFHEVALRKLWTDLPLELLQLAFLSYSECREVNGFNQTRVPLEFLAVPDLPQLPPFFTRKPSPHDNNDIVGPVIPYPVLLVINEVRYGYSDLGNDGFSVEAELGLKYKEVIQVANEIAVSSHGSTRPDYHAVSLADEEGDPWADSSKPKPFLTYRPIALTFSDTDSEQGKRLCPDNIYESFIFHLSEKSCEQTESVGAEMFDDLCPIELRFDAPAKKFEDQSLKAYTLLKKKMSIWHKEFHLYKEFCIQSGFEKAVNS >CAK8566564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436289819:436292503:-1 gene:gene-LATHSAT_LOCUS19685 transcript:rna-LATHSAT_LOCUS19685-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEEWKSIFPIGGSTVSPLLFSNPDSLGPVFFNPNPNSLTHLFSSTIPSLQLPHHLLTERYLVTSDPSILPSTASNIAPLFDSPHEVIDHNVSHFLYNRIQLLKCQGSPNVVVFFPTGGNDENIGFFMLRVKDSVLDTQLDVKGGVFRASTGSKSRIFRISVNPVTYSGLGGASNSSLVIGYLLASSLYSVCWFTVNHNLSLDSPSMSYLGRSKVFKEIVVHACWNPHMLEESLVLLESGRLFLFDLGSQGSMNIFKGTRLRVPWSDWTSSENKAWLCCEFSWHARILIVARDDAVFLVDLRLKECNVTCLMKIETLRMYAPDVNDRFLALSRAGPNDFYFTVASSSLLFLCDVRKPLMPILQWKHNIDEPCYMNVLSLSMLRSHSKVDNFKLASEMGFCIILGSFWNSEFNIFCYGPTFPFRKGSITSKLSKISTTFCAWELPSEINLSSRECHCGICLFREELSKDALPEWIDWQLKKEMVLGFGIISNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSLDRKLEDCHKANLCMESHLLCPRSVKENKSVELHYLKLDYLCAYANGNLAQVLATKLDKTYSNDQEEAPFCLEVHELLCKKLNACGLGHSRSSPTITSIFNDVKLPASFHEVALRKLWTDLPLELLQLAFLSYSECREVNGFNQTRVPLEFLAVPDLPQLPPFFTRKPSPHDNNDIVGPVIPYPVLLVINEVRYGYSDLGNDGFSVEAELGLKYKEVIQVANEIAVSSHGSTRPDYHAVSLADEEGDPWADSSKPKPFLTYRPIALTFSDTDSEQGKRLCPDNIYESFIFHLSEKSCEQTESVGAEMFDDLCPIELRFDAPAKKFEDQSLKAYTLLKKKMSIWHKEFHLYKEFCIQSGFEKAVNS >CAK8569761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9870940:9873672:1 gene:gene-LATHSAT_LOCUS22575 transcript:rna-LATHSAT_LOCUS22575 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGKTFVVTGGLGFVGSALCLELIQRGAKQVRSFDLRESSPWSHILKQKGVNCIQGDVSRKEDVERVLRGAECVFHLAAFGMSGKEMLQFGRVDEININGTCHVIDACLDLGIKRLVYCSTYNVVFGGQKIINGNETLPYIPIDTHVDPYGRSKSIAEQLVLKNNARPLKNDAGNHLYTCAVRPAAIYGPGEDRHLPRIITMARLGLILFRIGDETVKSDWVFVDNLVLALILASMGLLDDNNEKGKRPIAAGQAYFICDGSPVNSFEFLQPLLKSLDYELPKTSLSVEHALVLGRICQGVYTILYPWLNQRWLPQPFILPSEVHKVGVTHYFSYLKAREEIGYVPMVTPREGMDSTITYWKQRKRQILDGPTIYAWLFCVIGMTSLFCAAFLPDTIWIVSLLRGICLFVFGSMWMTRLVFLLATAAHAIEGSYAWYLAKKVDPANATGWFWQTFALGYFSLRFLLKRARE >CAK8575948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:370206454:370207141:1 gene:gene-LATHSAT_LOCUS28173 transcript:rna-LATHSAT_LOCUS28173 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAPHIMLCFRNFLVEKNYTFGYWYNQCDKSLSPFSSKSSPPWSDVVLCTTWCGRLCCRLKWCAGLWPLQLTNGYCFRVMNQTMATSIDVEESLKGFVLSQGDRCEFLV >CAK8575949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:370206454:370207049:1 gene:gene-LATHSAT_LOCUS28173 transcript:rna-LATHSAT_LOCUS28173-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAPHIMLCFRNFLVEKNYTFGYWYNQCDKSLSPFSSKSSPPWSDVVLCTTWCGRLCCRLKWCAGLWPLQLTNGYCFRFVFVG >CAK8543830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641957808:641960181:-1 gene:gene-LATHSAT_LOCUS12561 transcript:rna-LATHSAT_LOCUS12561-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHIYNASSPMFEGEADQNWNHMHTDQHHVNHEGTSSSENGSFIYPVENISIDNIYFPSHWNSNSRSNGYASSGSSIEVPPPPPPPLPQQLDTAGTSNDHFMHTSNAGPFFAVSENYVHPPPSSSNYDRHAYHVDNGFIDLTMGSGRGHHKRKSPGIPSVYERGSSSGYFNAGSSTDLPTPPESWPEKPNMNSQYMPWDHVAMTPTFRGAGLTMKGESSVRNVRSRSALDLESNLPRTHLSINHSLNSYLTASPFGHCSLADLSAQVTTPLTRDWSQMNVTPANGRGLLPDSSTSGLETSHFPVGNAAAASNAPVDVGSFHHEFSTSRNPTTAQSFQNLTQTARGTRSNYSQRSTPAFRASSNLRLGQAAPSDIGLPMVAEGYSSRHPRPLATVGWRNGDRNGRSRISSERYRSLADEAGLHARFSSEVPGFMIVERASLYGSRNILDQHRDMRMDVDNMSYEELLALGERIGQVNTGLSEDVLSKCLTETIYCSSDQCQEDEGSCVICLEEYKNMDDVGTLKTCGHDYHVSCIKKWLSMKKLCPICKSSVLPEDKKDK >CAK8543831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641957808:641960181:-1 gene:gene-LATHSAT_LOCUS12561 transcript:rna-LATHSAT_LOCUS12561 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHIYNASSPMFEGEADQNWNHMHTDQHHVNHEGTSSSENGSFIYPVENISIDNIYFPSHWNSNSRSNGYASSGSSIEVPPPPPPPLPQQLDTAGTSNDHFMHTSNAGPFFAVSENYVHPPPSSSNYDRHAYHVDNGFIDLTMGSGRGHHKRKSPGIPSVYERGSSSGYFNAGSSTDLPTPPESWPEKPNMNSQYMPWDHVAMTPTFRGAGLTMKGESSVRNVRSRSALDLESNLPRTHLSINHSLNSYLTASPFGHCSLADLSAQVTTPLTRDWSQMNVTPANGRGLLPDSSTSGLETSHFPVGNAAAASNAPVDVGSFHHEFSTSRNPTTAQSFQNLTQTARGTRSNYSQRSTPAFRASSNLRLGQAAPSDIGLPMVAEGYSSRHPRPLATVGWRNGDRNGRSRISSERYRSLADEAGLHARFSSEGFMIVERASLYGSRNILDQHRDMRMDVDNMSYEELLALGERIGQVNTGLSEDVLSKCLTETIYCSSDQCQEDEGSCVICLEEYKNMDDVGTLKTCGHDYHVSCIKKWLSMKKLCPICKSSVLPEDKKDK >CAK8561748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:373539916:373541844:1 gene:gene-LATHSAT_LOCUS15309 transcript:rna-LATHSAT_LOCUS15309 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYRSLPTMNSIWTDENSSVMEAFMSSSDLSSLWLPTPNSAASTTTPGPDTTKLPSQQQPLFNQETLQHRLQALIEDAKESWTYAIFWQTSYDYSTSRQLLGWGDGYYKGEDDKEKAKKVILPEQQAHRNKVLRELNSLISGSSGSDDVVDEDVTDTEWFFLTSMTHSFVNGSGLLSQAYFNSSPVWINDRLSMSTCERTRAAHVHGLQTLVYIPAPSSNGVVELASTEIIPHSAGIMEKVRFLFDFNNPEARSWPLNSGDNDPSSMWLDIPGGIEIRDSVNTVSAVSVTTSANTTITKKLPFEIHGASSSVPETSTAVNISTGHRENQNQNQNQTFFPKEFNFSGSFKPESGEILNFGESKKSSYSSANGNFFPGPSPFAANEENRKRRSPVSRSSIDDGILSFTSGKLLQASGIKSGGGDSDHSDVEVSVVKEAVSCRIMEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQGLESSKGELEKELDTTRKELEIATKKPVLLNEEEKEKTNNNSKLIDLDIDVKIMGWDAMIRIQCSKKNHPAAKLMAALKELDLDVNHASVSVVNDLMIQQASINMGSRFYTQEQLLSVLSSKIGDTQ >CAK8575439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119616658:119617703:-1 gene:gene-LATHSAT_LOCUS27706 transcript:rna-LATHSAT_LOCUS27706 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEFERLDTKKVKKSGEENHMMKMMSKLMENVNTDLYVEIFKHIDIFESTFAVARFLEAWGKANNELWQTLDFSMLKSDFVRTGSAPFVWVHSGFDNVLYNLLFIALNSSRGNIKNLIFHHDLYLADDQFMYTAKRCPLVRRLVFLSWNRVKKISMRMAIRGWKDLESMTMPSIADPKYVFEEISENCKNFRELKVMGRFHLGFAKSLTMYLPKLRVLSIRCSELDKEALILILDKLEHLQVLNISHSCFVNDSNKSYEGYRFISESDCSISDKVSRLREFLMCSKESCIMCQRTKIDGGRPRWFKYEEGSWKDDEVSSLAL >CAK8575440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119616658:119617637:-1 gene:gene-LATHSAT_LOCUS27706 transcript:rna-LATHSAT_LOCUS27706-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSKLMENVNTDLYVEIFKHIDIFESTFAVARFLEAWGKANNELWQTLDFSMLKSDFVRTGSAPFVWVHSGFDNVLYNLLFIALNSSRGNIKNLIFHHDLYLADDQFMYTAKRCPLVRRLVFLSWNRVKKISMRMAIRGWKDLESMTMPSIADPKYVFEEISENCKNFRELKVMGRFHLGFAKSLTMYLPKLRVLSIRCSELDKEALILILDKLEHLQVLNISHSCFVNDSNKSYEGYRFISESDCSISDKVSRLREFLMCSKESCIMCQRTKIDGGRPRWFKYEEGSWKDDEVSSLAL >CAK8577852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594238591:594241025:1 gene:gene-LATHSAT_LOCUS29921 transcript:rna-LATHSAT_LOCUS29921 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKVYIVYYSTYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLPEDVLGKMGAPPKSDVPIITPNELTEADGLLLGFPTRFGMMASQFKAFLDATGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTSITQLVHHGMIFVPIGYTFGAGMFEMENVKGGSPYGAGTYAGDGSRQPTDLELAQAFHQGKYFAGIAKKLKGSQ >CAK8532042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196317745:196319519:-1 gene:gene-LATHSAT_LOCUS1781 transcript:rna-LATHSAT_LOCUS1781 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTPTKHPSSNVMSLPFPSPKPPPDYPDLYGKRREMARVQMLEREISFLEEELKSSEGFQPSSKCCKEIADFVTANSDPLLPMRKKNRKSCCLRKWLRRMRCLNLSWICCWCCDCFSGFCNRKQNNCKCNSCRPSINCSVTNWCYCCDKKSHCCKDFCGCNNCCCILPGCNFRWPFSSCCVCKCSCSCTCPSFPKFPSCCCCTKC >CAK8534147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699161167:699164157:1 gene:gene-LATHSAT_LOCUS3714 transcript:rna-LATHSAT_LOCUS3714 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKEEALIRLFYNSSSSFKLLFLFIFSSLTLLLKILNFTLFQRDQQYEYVSSEYDYEEEEEREEEVRESYFYGDSIEKDHLVADIISGGEALVFLHSNNKSQRNHNFSFEEDEEFITPQETLIEEFSEEEKNSSENLHVLHKSPIVSDFETEINETEFQEEEDADSVPDYVPDSVQDSVPIENRTTCHITTNLYKSDDLVQNDKNYDVIKNKKVDESNVERDERLSVIGATHLERNKKLIFEEKDDEEIYGDSCTVGSTSKSSSDWRSSILCRDSGTDDPFSSSSRGSCPKWESYTVFQKYDEEMSFLERISAQKLHETESLRSIKVAPRSISERIVYKFSSMNKKSEDTSNHNPYCELESAYVAQICLTWEALNWNYKNFQSKRASNVDVGCPATIAQQFQQFQVLLQRYVENEPYEYGRRPEIYARMRHLAPKLLLVPEYRESDDDQKENVGFNSKISSASFLVIMEDGIRTFMNFLKADKEKTCEILASYFRRNRRTLIDPILIRLMKKVNQKKKMKVKDLKRSHKCLRKRKLKEEEEMEILMSLIDLKVVSRVLRMSEMNENQLHWCEEKISKVKVIDGKLQRDSTPLFFPSH >CAK8578814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655963867:655964670:1 gene:gene-LATHSAT_LOCUS30798 transcript:rna-LATHSAT_LOCUS30798 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRASQINHGTTTITTTDTVDNNNDNDVVSPPPSLPSPPGRARYENMYIVQFPKDQVYRVPPSENALIVERYRNIPKTKDEKKRRFCCCCFSLRCFLTIAIILITIFAIVGIAIALLFLIFNPAGPTFAINHFELKNVTGPPHYEISLRAKNPNQRLGIIYQSSDVSLLFEDNEVATGKFPSLSEQGRQAMTQFKADVTGKHPLPKTKNTPLNLELDMNLRVRMTALRLRTWIMNANVVCKFKVTNLGSDTRILSQRCDTNFRQH >CAK8569814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12576644:12581328:1 gene:gene-LATHSAT_LOCUS22623 transcript:rna-LATHSAT_LOCUS22623 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDSYNNHDNNNNTSSLRDGDRDKNIRRDVSGSLLLRASSDGLGQSTTSDLGLQWGNKKRLRFMKVQNKQDSLNPVQRTTVRVDRRVVRTEKDTSNRFATSLADNSIINNHNHHNHNNQNQSNGYPSLRQRAPSPQQPLPRILRNTEISGAMRGTQTQINGSVRGIASPERGAHDNKRGTHLNDNNNKSAGSSDNALDGKKGGGSSSGSGDATPAVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKLIQRTLNLVSPGTWLSDLTLERYEVREKKITKKRPRGLKAMGNMDSDSE >CAK8560022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5094049:5094579:1 gene:gene-LATHSAT_LOCUS13741 transcript:rna-LATHSAT_LOCUS13741 gene_biotype:protein_coding transcript_biotype:protein_coding MYILEEAINYVKQLEEKIKELENQNTMKNKGSKILIKKSQACTKKNDIDEDKYYSKKELPRVKARVIDKEIMIGIHCEKQKNTMVKIMSLLQNLHLSVASSSILPFGNSTLKVTIIAQMNDKYCMNVTDLVKNLRRDLLESSDNQN >CAK8567716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538670324:538670925:1 gene:gene-LATHSAT_LOCUS20740 transcript:rna-LATHSAT_LOCUS20740 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRIVQDESPIRAIACLKKIDDIKRFEETDDCFILGFDPTETTPIKDADVKVSSKSYGKDADDDICVLAEKGKIALRDYPHSRHLCLKFPFKTTPSESYCEKCYCYVCDSAAPCMYWTASRHCAAENSGHWKDKRKVRKRVY >CAK8565854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343769804:343770238:-1 gene:gene-LATHSAT_LOCUS19033 transcript:rna-LATHSAT_LOCUS19033 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRTTTGRTTNKTSGTTKTSTGRRTRTRQPRRTCCKKNKKQEVINKVLPSSCLRKSSEESSKEEREENNEISEVIDVSVSNSACSTPKGQKFRIPEISTCPPAPKKQRVVSNCSLRRSPLSFFVPPDLDNFFLVTLPDVSV >CAK8533511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:628548136:628549092:1 gene:gene-LATHSAT_LOCUS3133 transcript:rna-LATHSAT_LOCUS3133 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVLTTTTTTATNTTPLTTISKQSEPDSENPTRIQPINTTTTTSNITKPLSFSNGVLKRHHPNTHNHHSNNNNVVAVTYKECLKNHVATLGGHALDGCCEFMPSPTATSDDPASIKCAACGCHRNFHRREPEEPISTVFEYQPHHRHHPPPPPLFQSRSPSSPSPPPISSYPSAPHMLLALSGAGLSIPPENTAAPLNLGSPMGASRKRFRTKFTQEQKDKMHEFAERVGWKMQKRDEEMVNGFCNEVGVDRSVLKVWMHNNKNTLGRKLSDHGNGDGVAVRSAVDGVSGGDFGAHENDNDGINVAACATNGSSSSS >CAK8574345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676523001:676527196:1 gene:gene-LATHSAT_LOCUS26700 transcript:rna-LATHSAT_LOCUS26700 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTDCLEHAAASTSAADKSHPAPPAPSNIVISYRNNDSLSSGGGISILSGSRNGKISYGYSSFKGKRASMEDFFEAKISEVDGQMVAFFGVFDGHGGSQTAEYLRNNLFKNLSSHPDFIKDTKTAIVEAFKQTDVDYLNEEKGHQRDAGSTASTAVLLGDRILVANVGDSRVVASRAGLAVPLSIDHKPDRSDERQRIEQAGGFVLWAGTWRVGGVLAVSRAFGDKFLRPYVVADPEIQEEDIGGVDFLIVASDGLWNVISNNEAVSLVQNITDAEAASKALIKEAYSRGSSDNITCVVVRFDPS >CAK8578540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636643397:636645444:1 gene:gene-LATHSAT_LOCUS30542 transcript:rna-LATHSAT_LOCUS30542 gene_biotype:protein_coding transcript_biotype:protein_coding MLILQTAIVPGTFSPLRTTKFDTCFLSCSTISTHRNVKPISISCSVSTNSSSKPEPESNPNSKLRAKNKGKSSKPENAVCVDKGGGNSDVIFPTTIPRKPRRGRKSEAMKVEDFIRDRLENTFAAIRQQKRDALKNHKNIMKDSVDDDSASRCSDEDSDEEEEDEEGDGGEKKMVIEEESESWPLDTDVGWGVRASEYFEKHPIKNVVGDDGVEIDWEGEMDDNWVQEINCLEWESFAFHPSPLIVLVFERYNRANDNWKNLKELEKAIKVYWSAKDRLPPRAVKIDINIERDLAYALKVRECPQILFLRGDKMVYRERELRTADELVQMIAFFYYNAKKPAWIDDKALYLRY >CAK8568774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639942966:639943493:1 gene:gene-LATHSAT_LOCUS21699 transcript:rna-LATHSAT_LOCUS21699 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHHARTTGSYSYGGGGSPYDIHTSSLSQPSRQTVKFITAATIGVTLVFLSGLILVGTVIGLIIATPLLVIFSPILVPAAITLSLIAGGFVFSGGCGVAAIAALSWIYNYVSGNRPAGSDNLDYAKGFITDKAREVKERAKDYGNYAQARAQEATQGTGNYSQARGQEGTQGTY >CAK8532603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:316299367:316303379:-1 gene:gene-LATHSAT_LOCUS2291 transcript:rna-LATHSAT_LOCUS2291 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGQMGLIGENFDAGLIGRMREDEYESRSGSENFDGGSGDDLDAGDDQPRNKKKKYHRHSPQQIQELENFFKECPHPDEKQRSDLSRKLGLEIKQVKFWFQNRRTQMKTQLERHENMLLRQENEKLRAENSLMKDAMANPTCSNCGGPAIPGQISMEEHQIRIENARLKEELGRVCTLSNKFFGRPLSALASMSMQAQNSGAEFGMGRNGIVGSSNYNMSLPMGYDMGDGVMGTPPPYPGSRTPMGMMGNDAHQERSMLLELAFAAMDELIKLSQPDSSLWIKVSDGMKEVLNYEEYARIGSPFNDPKPTGFVTEASREIGVLIISSADLVETMLDADRWSEMFPCMIARANTLDVISNGMDGSRNGFMQVMQAELQLPSPLVPVRQYTFLRYCKKHAEGVWVVVDVSIDIGRNAANGNHFMSCRRLPSGCILQDMPNGLCQITLVDHSQYDESVIHQNFRPLVNSGIAFGAHRWVATLQRQCEALAIVMSAAPTEDPTALSPAGKKSMLKLAQRMSDYFLSGICLSAACNWDVLQIGNMGNSDMKIMSRKHMDGPAECIVISASTSVWMPVSRQRVFDFLIEARLRSEWDSLSNGETMQEMVHIAKGQALGNSVSILRASNAVGNGNEDMLYLQDSWTDSSGSMIVYSPVNLQSLNVVMNGGDSSFVALLPSGFSILPDGHSNRSNIIGASSDGSSNFGGDNDNSGCLLTVGLQMLLKNPQTGKMTKETVDTVNSLIAGTIEKVKDALGVA >CAK8540476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8508987:8509364:-1 gene:gene-LATHSAT_LOCUS9478 transcript:rna-LATHSAT_LOCUS9478-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGVEKPKSI >CAK8540475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8504890:8509364:-1 gene:gene-LATHSAT_LOCUS9478 transcript:rna-LATHSAT_LOCUS9478 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGVEKPKSI >CAK8573443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612718482:612718734:-1 gene:gene-LATHSAT_LOCUS25890 transcript:rna-LATHSAT_LOCUS25890 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKSLKERQVQEWQQYYINYKLVKKRVKQYAQQIELGTQDRQHVLKDFSRMLDN >CAK8540874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27524962:27526935:-1 gene:gene-LATHSAT_LOCUS9833 transcript:rna-LATHSAT_LOCUS9833 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVRPQPGVPQFYSQPPTSSQPNVLGNAFNAAGSGLIRGGLGAYGEKIFGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPVHDINAPDLYIPLMAFGTYVVLAGISLGLRGKFSPEALNWLFIKGLVGWFMQTALLKATLLSLGSGEAPLLDIIAYAGYTFAGISLAVIGKIISGYSYYVLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIAFAQFPLFIWLGNITVNWFI >CAK8544039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659617137:659620753:-1 gene:gene-LATHSAT_LOCUS12749 transcript:rna-LATHSAT_LOCUS12749 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIKMLQNWLLLLLLPIFLHCFPILIQGSNQHERKPYIVYMGALPAARTYTTMEQHHHNILESAIGNKQLARESKIHSYGKSFNGFVARLLPHEAQKLQEEENVVSVFRNTYHKLHTTRSWDFMGMPLKVKRNSNIESNIIVGVLDTGIWVDCPSFNDAGLGPPPRRWKGKCVTGANFTGCNNKVIGAKYFNLDPSGPVIPNPSPADDQGHGTHTSSTVAGSVVRGASFYGIGKGNARGGVPSARIAIYKVCWSFGCSDMDMLAGFDEAIADGVNLISVSIGGPSRQFFSDPIAIGAFHAMRRGVLTACSAGNDGPRPRSVENVAPWILTVAASTVNRMFTTQVAFGDGKRVTGLSINTFSPEKKMYPLTSGLLAANLSGNEYGYPKGCEYGTLSKDKVMGRIVYCAGGTGNQDVVIKELGGAGTIIGDEEQEDGSYTTVIAAAIVDMNTVGKNIEIYINSTKNPQAVIYKSVTKKFPAPYLASFSSRGPQHINRNILKPDLAAPGVDILAAYSKLTSVTGYSEDTRYNVFNIISGTSMACPHAAAAAAYVKSFHPDWTPAAIKSALMTTATPIKVKASDSFTELGIGSGQISPVKALHPGLVYDIRMNSYIAFLCKEGYNSTNIGILIGTKSFNCSGVEPATGTDGINYPTMHIQLLSASSTISAVFYRTVKSVGYGASTYMAKVTAPKGLSVEVIPNTLTFTQTHQELSFKVVLKGPPMPQETLILSALLEWNDSKHNVRSPIVVVRPSSRY >CAK8538514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485741750:485743750:1 gene:gene-LATHSAT_LOCUS7703 transcript:rna-LATHSAT_LOCUS7703 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLFVLPCFSIHLFLLLLTLFISKSFSLCNPHESSALLQFKNSFSLNASSKPDYPWFTSISSFSESWKNNTDCCEWDGVTCDDVSDYVIGVDLSCNNLKGELHPNSTIFQLTHLQQLNLAFNDFSWSTLHDGIGDLVSLTHLNLSYCDLTGNIPSTISHLSKLVSFDLSSYTSKLKFNPFTWKKLILNATNLRELNLDRVDMSSIEGSSLSILKNLSSSLVSLSLSDTGLQGYLSSEIPYFIDQLKSLTFLDLSWNIFNGMLPPSLWNLTQLTYLNLAGNNFEGQIPSLLSTLTNLTFLHLGFNKFSGNIPNVFENLIKLEYLSLSGNKLVGPIPSEIKKKSKLSIVYLRHNMLNGTIPHWCYYLPSLLELSVNDNQLTGFIGDFSTHSLEYLDLSNNNFHGHFPNSIFKLQNLTILNLSSTNLSGVVHFHQFLTFKNLEFLNLSHNSALSINIDSKAKTLPPHFQYLSSTNSNRFPKFLAPLPNLEVLDLSNSNIHGKISKWFQSHLLSSLKKIELIDLSFNKLQGDIPVPPNGIQYLLLSNNNFTGDIPLSLCNASSLEVLNLAHNNLTGTVPQCLGTFPFLSVLDMQMNNFNGSMPRTFSKENSFETIKLNGNQLEGPVPRSLARCSNLEILDLGDNNIEDAFPNWLETLQELRVLRLRSK >CAK8560883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51861384:51863225:1 gene:gene-LATHSAT_LOCUS14523 transcript:rna-LATHSAT_LOCUS14523 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSTTTTTSTAVHESSLPEMDSKHTSETLLFFKLMGIGKPFPENCNTDGFFDSFLRNFIKVDQIKPGRISCTVVAKPPICNGYGTLHGGAVGSFVEVLSTACARTVVAEDKQLFLGEISISYLSATPMDEEVVANASVVKSGRNLTVVALEFKLKKTGNLLYLTHATFYNMPLSSL >CAK8539609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518246689:518248220:1 gene:gene-LATHSAT_LOCUS8690 transcript:rna-LATHSAT_LOCUS8690 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVILPNECWESIFALLNDGDDDGNNRCLHLQSLSAVSKQLRALTGLQKIHFTISTQAAPFVPSLLRRFPNLTSIDLTRFRGNLDAILYQIANVQLPLKSINISNRPTIPANGLRVLGRKIKSLTSLICSHVASIFKSDLIIIADSFPSLEELDLSFHQIIDIVGFDINSLSLALLKLRKVNLSGSSYINEISVFYFFKNCKFIEEVVMTRCTYVIKDGVSYKLGDKPKVIQYPGWFGEAFPPRGRRTSFLEACPPRINCPPKIHNSPIIEIGRSFMIEFVLQSSLRDNVSL >CAK8570816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:119578751:119580584:1 gene:gene-LATHSAT_LOCUS23535 transcript:rna-LATHSAT_LOCUS23535 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNNVFFFILICCSYLFENAASTASSDYETALTKSLLFFEGQRSGMLPKNQRLNWRGNSALKDGQDVGMDLVGGYYDAGDNLKLGFPMAYTITMLSWSAIEFKDKLVSKNELDNALYAIKWGTDYLIKAHPEPNVLYGENGDPDSDHECWERPEDMGTPRTSYKIDEQNPGSDLAAETAAALASASIAFQSVDSKYASTLLTHAQQLFDFANNHQGIYHNSIPPAAKIYSSSGYKDELLWAAAWLHRATNMKKYLDYIGNSGDNGGVRSMFSWDDKYVGAQVLIAKLVLEGKAESSGIWAEYKANAEQFICSCAQKSNQNIQKTPGGLLWFLPWANNQYVTSATFAISTYSQYLSSNKASLQCSGGAVSPSDLASLVKSQVDYILGSNPQKMSYMVGYGSNYPQQIHHRGASIDSPVGCKDGFEKWFNKNAPNPHVLEGAVVSPDQNDGFSDNRNNYQLGEPTTTSVAPLVGVLAFLA >CAK8571268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:358479886:358480533:-1 gene:gene-LATHSAT_LOCUS23946 transcript:rna-LATHSAT_LOCUS23946 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDKFLISEEWLRRWPASSRWALEKGLFDHFPILLADDMKKRGPKLFQMLDCWKNIDGYHNFVREQWLNFKVEGWRCLFLKEKLNMIKNKLKEWHRWHTQNLEGMIKETKEELNIIKIKEESMKLNPVEMDPKKELTANLHKLMNLNGNIQCQRSFNRWLKEGEANTKFLHGCINKRRKVNDIVELELNGRMSKKANEIKEGIADHFRKKFEG >CAK8571936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494617140:494617468:-1 gene:gene-LATHSAT_LOCUS24554 transcript:rna-LATHSAT_LOCUS24554 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRDTILVSTINDADQGSADVAFRTPLAPYEKSKSLGSGGSMVARLKLKGIDGRAPPGVEPAA >CAK8563347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594289059:594292143:1 gene:gene-LATHSAT_LOCUS16768 transcript:rna-LATHSAT_LOCUS16768 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIIFIIFHLFLQLSFRVNSEPTQDKQALLAFISQTPHSNRVQWNASDSVCNWVGVQCDASSSYVYSLRLPAVDLVGRVPPNTIGRLTNLRVLSLRSNGLTGEIPSDFSNLTFLRSIYLQKNKFSGGFPSSLTRLTRLTRLDLSSNNFSGSIPFSINNLTHLSGLFLENNTFSGSLPSVTANLNGFDVSNNNLNGSIPKTLSKFPESSFAGNINLCGPPLKSCSPFFPAPAPSPGSIPPVIKPGKKSKKLSTGAIVAIVVGSVLFIALLLLLLLLCLRKRRRQPAKPPKPVVAAARAAPAEAGTSSSKDDITGGSAEAERNKLVFFEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFEMQMEILGKIKHENVVPLRAFYFSKDEKLLVYDYMPAGSLSALLHGSRGSGRTPLDWDNRMRIALGASRGLACLHVSGKVVHGNIKSSNILLRGADNDASVSDFGLNPLFGNGSPSNRVAGYRAPETLETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDAELMRFHNIEEEMVQLLQIAMACVSVVPDQRPSMQDVVRMIEDMNRGETDEGLRQSSDDPSKGSEGHTPPQTRTPPRSRTP >CAK8574184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668000196:668002942:-1 gene:gene-LATHSAT_LOCUS26552 transcript:rna-LATHSAT_LOCUS26552 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVCIKGEGGGESWIEMAQNPRVMPNCVYASNPYHECTSNCLQKIKESNSKPPKSKKSFAYRKSVTDLGKTKKTNEEKRTLSGCPKASNPYHQCDVNCQKIKSGADSGVISSKFDRRITIGSKPELPVLDNIPDSKVGAIYLRDAASPISKYYETKKLEPKSNEIVPASDVKPGNGKVQPKDGSDHLAGTIPTKQAGDKNSSTKVVPIACIDDIGEGLTSSSGASKHFSYSDIVCDNEDSDEEESDSVVSERRVPVGKYHVKESFASILLSIIKKYGDIGESCHLESVVMRSYYIECVCFVVQELQSSSIMHLTSSKVKELLAVLKDVESAQLRVEWLRTIVNDIAENIELINEHQTVETEKAKSDKEMKSLKKELESKTEILVQKEQEVADIKTKINGIRERLGELEVKSSDLEKNMLSIKSKVDNLDSRSLLDELL >CAK8574185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668000196:668002888:-1 gene:gene-LATHSAT_LOCUS26552 transcript:rna-LATHSAT_LOCUS26552-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPRVMPNCVYASNPYHECTSNCLQKIKESNSKPPKSKKSFAYRKSVTDLGKTKKTNEEKRTLSGCPKASNPYHQCDVNCQKIKSGADSGVISSKFDRRITIGSKPELPVLDNIPDSKVGAIYLRDAASPISKYYETKKLEPKSNEIVPASDVKPGNGKVQPKDGSDHLAGTIPTKQAGDKNSSTKVVPIACIDDIGEGLTSSSGASKHFSYSDIVCDNEDSDEEESDSVVSERRVPVGKYHVKESFASILLSIIKKYGDIGESCHLESVVMRSYYIECVCFVVQELQSSSIMHLTSSKVKELLAVLKDVESAQLRVEWLRTIVNDIAENIELINEHQTVETEKAKSDKEMKSLKKELESKTEILVQKEQEVADIKTKINGIRERLGELEVKSSDLEKNMLSIKSKVDNLDSRSLLDELL >CAK8562319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:472851832:472858208:1 gene:gene-LATHSAT_LOCUS15826 transcript:rna-LATHSAT_LOCUS15826 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYQHQHPHHQSHHQQGIFGFSNRFERSNTPVEDNSPHHHQQQQIQRDKVRLQGFDSQQQPPLQPPPSSLIDNIEEEQEALPVYETTGMLSEMFNFPHGASPAAELLEQQQQMASSASFRSSSRTPPPPTPHGIANSSDWYGNRQNILTDSKNHLHNNRDSSSSSSIFHHQNHQISNINAESAAAMQLFLMNPQTTRSPSPPPPQPHHPSSTNSSTLHMLLPNPSTTNLQGFNSGAGGSFGQFTWGHGSTQEGGATTSHHQLNNNQPEIGSVVEGQGLSLSLSSSLQQHHSDELRMGEAAGFLYYNQGGPGPGNSYKNLQHHHHLQGGGLGQISHIHQGHIGFGSSSSSSFGVVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKFSRQLSNPNSNQGGGSIGGGASSSSSKDVSPLSPADRIEHQRRKVKLLTMLDEVDRRYSHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDGVGSSGLTKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEAEGSSEGEEDRENKNQSSPSNTNTNTNNNTSGHISTTTTIAQTPTPSTTTASPTTTTPQPTSNNNNKRSNIMNANIENDPSLTPIINRQGFSENQAILLQQQSTTKTTVSQVPPPISDSMAIDDTCRHGSFVTAEYGTTPASSSDNIIRFGTTTSGDVSLTLGLRHAGNLPDKTTFSLTDFGGI >CAK8573851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642455846:642458109:1 gene:gene-LATHSAT_LOCUS26252 transcript:rna-LATHSAT_LOCUS26252 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKTDTFQTDGNNNVRFVASELASDIVVRIDDMKFHLHKYPLISKSSHLQKLISLGNEENVDEIQISDIPGGASAFEICAKFCYGMTVTLNAYNVIATRCAAEYLGMHETIEKGNLLYKIDVFLTSSIFRSWKDSIILLQSTKSMLPLDEGQKVVNRCIESIANKACVDVSKVDWSYTYNRKKLLEENGVESNQTGVRTRNVPKDWWVEDLCELEVDMFKSVITNIKTKEIQSNDVIGEALKAYAYRKLPNFSKGMIPCGDVSKHRLIVETIVKLLPAEKGSVSCRFLVKLLKAAIFVESEDRIKEELVKRVGQQLEEASANDILIRAPDGETTMYDVGIVQKIVREFLMKDYNSEIESVGGGELEGIRKSGILSDASMLMVAKLIDGYLAEIAKDPNLPLSDFINLAELVSGIARPAHDGLYRAIDTYLKEHPGINKGEKKRICKLMDCRKLSVDACLHAVQNERLPMRVVVQVLYFEQVRTAASSGTSTPDITTKGIKDLSIGSNGSSRSGTTNPEDDTDAVATAEELKALRKELASLRLSNGVGNGYKDGDAKPSMDKAVIGKMKGLLKSKKSFIKLWASKGGHGENSGSDSSESIGSANPEEVKSTPSRNRRHSVS >CAK8537017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:58114647:58125749:1 gene:gene-LATHSAT_LOCUS6337 transcript:rna-LATHSAT_LOCUS6337 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLIRLRRNSSSTSFLHHLTNRFYSPSAAMVVHAKDESYLNATIPKRISLFEAIQAEQKTHRLSLSPDPIKVTLPDGKVKEGKKWQTTPFDVAREISKNLANNALISKVNGVLWDMNRPLEEDSLLQIFKFDDDEGRDTFWHSSAHILGQSLETEYGCKLCIGPCTTRGEGFYYDAFYGELGLNDDHFKQIEAGALKAVAEKQPFERIEVTRDQALEIFSDNKFKVEIINDLPADKTITVYRCGPLIDLCRGPHIPNTSFVKAIACLKASSAYWRGDKDRESLQRVYGISYPDQKSLKEYLHRLEEAKKYDHRILGVKQDLILHHEWSPGSWFFLPHGTRVYNKLMNFIRNQYRDRGYHEVISPNMYNMELWKASGHAEKYKDDMFVLEVDKQEFGLKPMNCPGHCLMFKHRVRSYRELPLRFADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCMESQVKDEVRKALDFIDYVYQIFGFTYELKLSTRPENKLGDDETWDRAESALKEALNEFGKPWQLNEGDGAFYGPKIDISVSDALSRKFQCATLQLDFQLPDRFKLEFSAEDEAKIERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAILCPVSEKSQAYALKVRDQIHQAGYHVDADTTDRKIQKKVREAQLAQYNYILVVGEEEANSGQVSVRVRDKADHSVMSIENLLKHFNDEVAAFH >CAK8543651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628738831:628740632:-1 gene:gene-LATHSAT_LOCUS12397 transcript:rna-LATHSAT_LOCUS12397 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCCSFFLFISLLLQLYNLCSSLLEQVPTPPLPILPLPTYSQLKWQQREIIMFLHFGVNTFSGREHGTGHENPSIFNPIRLNTTQWASVAEEAGMSLMILTAKHHDGFCLWPSKYTKHSVISSTWKNGKGDVVQEFVNAATHKGIDVGFYLSPWDRHDSRYGHDSLYNEYYLAQLQELLKNYPNLREIWFDGFKEPKAQNVSYYFSDWFSMVKELQMSINIFSDAGSDVRWVGNEKGIAGDTCWSTINRSSLTIGSPDIKQYLNTGDPRGTDWLPAECDVSIRRGWFWHKSESPKTLSELLDIYYTSVGRNCVLILNVPPNTTGLISENDAHRLKEFRTAINTIFHNNIAEGCYVKVSSQRGGKEGGFGPENMLDSDHLGSYWAPREDDKEKEDHWVEIWSNDGDLRFNVIRIQEAIGFGQRIKSYEIYVNGKLRIQGTTVGYKRLHRLDGDVVHAQVVRIRFIEARGVPLISSIGLYFDPFWYSKFNATRRRQAI >CAK8543895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648123237:648127675:1 gene:gene-LATHSAT_LOCUS12618 transcript:rna-LATHSAT_LOCUS12618 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEGEKGCITVTWENLEAIVTKGKNRKLILQGLTGYAQPGKLLAVMGPSGCGKSTLLDALAGRLRSNIQQSGKILINGKTQTLAYGTSGYVTQDVAMLSTLTAGETLYYSAQLQFPNSMSIAEKKTQANITLREMGLQDAINTRVGGYGSKGLSGGQKRRLSICIEMLTRPRLLFLDEPTSGLDSAASYYVMSRIASLSLRDGIQRTIVASIHQPSSEAFELFDDLCLLSSGETVYFGPASEANQFFASNGFPCPTLYNPSDHYLQIINKDFEQNTEEGFEKGVITEKAIGILVNSYKASEMKSQVQIEVTNISERDLGAPRNRKIHAPFLAQCMVLIKRSSLQLYRDISNYWLRLVVFIAISISLGSVFYHIGSSNQSIQVRGSLIAVWMTVVTFMTLVSGFSPLIEEMKVFEPERLNGHYGITAFLIGNIISAIPYMIMISLISGVIVCYLSGLQKGLEQYLYFASILFAIMMWVESLMMVVGSIFPNFVMGVIVAGGVQGLSILTAGFFRLPDDLPNPLWKYPGYYISFLTYAFQGSFKNEFEGLTFVWNQDGGTITISGRDFLTDTLHVQMGHSKWVDLAIMFGMIVVYRVLFLVIIKVKEQSKPTLPTIN >CAK8563814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632521879:632523159:-1 gene:gene-LATHSAT_LOCUS17187 transcript:rna-LATHSAT_LOCUS17187-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHKYVAVLAFIFALVIGTFECRTLKKEESIDTFSGGGLGGGFGGGSGGGLGGGFGHGGGVGVGRGFGGGAGGGSGGGGGIGGGHGGGAGGGFGGGHGGGVGGGIGGGHGGGLGGGGGAGGGFGGGSGGGAGGGFGGGHGGGAGGGGGAGGGIGGGHGGGLGGGGGAGGGIGGGSGGGLGGGSGSGGGVGGGIGGGSGGGAGGGIGGGHGGGLGGGSGGGSGAGGGIGGGSGGSAEGGIGGGHGGGLGGGSGSGGGAGGGFGGGHGGGLGGGSGAGGGFGGGSGGGVGGGIGGGHGGGAGGGFGGGNGGGVGGGNGGGGGIGGGGGSGGGIGGGSGAGGGVGGGSGAGGGVGGGFGGGHGGGAGGGIGGGGGSGGGAGGGFGGGSGGGAGGGFGAGVGGGFGGGHGGGAGGGFGGGAGGEAGGD >CAK8563815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632521879:632523159:-1 gene:gene-LATHSAT_LOCUS17187 transcript:rna-LATHSAT_LOCUS17187 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHKYVAVLAFIFALVIGTFECRTLKKEESIDTFSGGGLGGGFGGGSGGGLGGGFGHGGGVGVGRGFGGGAGGGSGGGGGIGGGHGGGAGGGFGGGHGGGVGGGIGGGHGGGLGGGGGAGGGFGGGSGGGAGGGFGGGHGGGAGGGGGAGGGIGGGHGGGLGGGGGAGGGIGGGSGGGLGGGSGSGGGVGGGIGGGSGGGAGGGIGGGHGGGLGGGSGSGGGAGGGFGGGHGGGLGGGSGAGGGFGGGSGGGVGGGIGGGHGGGAGGGFGGGNGGGVGGGNGGGGGIGGGGGSGGGIGGGSGAGGGVGGGFGGGHGGGAGGGIGGGGGSGGGAGGGFGGGSGGGAGGGFGAGVGGGFGGGHGGGAGGGFGGGAGGEAGGD >CAK8534369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720665126:720666190:-1 gene:gene-LATHSAT_LOCUS3919 transcript:rna-LATHSAT_LOCUS3919 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKSFKIGKEALQNGDRNRALKFLNKARRLDPALPVDDLLSTIQTDEGDPPPPVAAPSDQPSIRRRSSAPAPAPGPSASSSISYSQEQVSIIREIKRKKNYYDILGLEKSCTVDDVRKSYRKLSLKVHPDKNKAPGAEDAFKLVSKAFQCLGNEESKRKYDVSGEDEVVYERRAARPASARGFNGYYDADVDAEEIFRNFFFGGMAPAANFGGFSFGGPGMAHRQPAADNGSGGFNVRALIQVLPVLLILLINFLPSSEPVYTLSQNYPYEHRLTTPKGVNYYVKSTKFEQDYPLDSRERLTLEEKVEREYFGILRQNCQFEIQRRQWGYIRETPHCDMLRKFDSVR >CAK8568719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635402190:635406559:1 gene:gene-LATHSAT_LOCUS21651 transcript:rna-LATHSAT_LOCUS21651 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPLIATSTTPASLYVGDLHPELSDAQLREVFSDFNTLVSVRICRDSSTGNSLCYGYVNFLSPQDAIRAIELKNHSILNGKAIRVMWSRRDSDARKSSIGNVFVKNLAQSIDNSGLEDMFKKYGNILSSKVVMSEDGKSKGYGFVQFETEESANTAIEKMNGSTVHDKQIYVGKFIKKSDRVMSGPDARYTNLYMKNLDLDITETLLHEKFSSFGKIISLAVAKNNNGMSKGFGFVNFDKPDDAKRAMEAMNGLQLGSKILYVSRAQKKAEREQILRQQFEEKRKEQVLKYKGSNIYVKNINDTVSDEGLRDLFCACGTITSAKVMRDDKGISKGFGFVCFSTPEEANKAVNIFHGIMFHGKPLYVALAQKKAVRQAQLLLQYTQQSPGLAGPSTAIVPGGYPPFYYPATGVVSHVPPRAGLMYQPMALRPELRANGSAPPARSFQQSPAPVVSNNTRQHRQNRGRMNGHAVSQGKTYSGAFIQTQQVSQSAISSRESSTQQKTKQAKYVPSGRPHEMEKRSGFSSGCSNPGRGLQVSEMLPLMVAKSTPEQRKEILGEHLYMLVQKIKPNLAAKITGMLLEMDSAKLLVLMESPESLSAKVEEAFQLLKNSKAKVSGQDVLHSNFLSSEVAVN >CAK8572726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558371501:558372067:1 gene:gene-LATHSAT_LOCUS25256 transcript:rna-LATHSAT_LOCUS25256 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVSFRPSDSRGKVVLLDGSVHEFEEPITAAELMMDHPQKVVVEFHSAVNQKRPTPLPADKKLEMNKIYLMLPVKQGKAVGLSGEETRRVLLMVHSNYVLSSTKIFPWFSSLCHSSEIVEPRRKEEMKEEEGGRCDFSESLPEILEGRPDYLNRQISGKGWKPSLDTIKEKNIEKKHTHWLFLKSS >CAK8561661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:342655906:342658792:1 gene:gene-LATHSAT_LOCUS15230 transcript:rna-LATHSAT_LOCUS15230 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMRISRLISRTVSSSSLFSRGLSARVYKYSTNASSIDEPIKPKIHVDHTQLFIDGKFVDSASGKTFPTLDPRNGQVIAHVSEGDHEDINRAVAAARKAFDHGPWPKMTAYERQKIMLRAADLIEKHTNELATLETWDNGKTYEQAAEIEIPMVVRFIRYYAGWADKIHGLTIPADGPRQVYTLYEPIGVVGQIIPWNFPILMFAWKVGPALACGNTIVMKTSEQTPLSALYAAKLFQEAGLPPGVLNIVSGFGATAGAPLASHMDVDKIAFTGSTVTGKTILELSAKSNLKTATLELGGKSPFIVCKDADVDQAVELAHFALFFNQGQCCCAGSRTFVHESVYDEFVEKAKARALKRVVGDPFRAGVEQGPQIDSKQFEKILKYINSGVESGATLETGGEKIGNKGYYIQPTVFSNVQDEMLIAKDEIFGPVQTILKFKEIDEVIRRANNSHFGLAAGVFTKNIDTANTLTRALRVGSVWVNCYDIFDATIPFGGYKMSGQGREKGEYSLKSYLEVKAVATTLQNPAWL >CAK8560566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26078528:26079645:-1 gene:gene-LATHSAT_LOCUS14228 transcript:rna-LATHSAT_LOCUS14228 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSSSLTITSSSNLFDCKASSPQCMRLTLPSSLPLLSQNHAVKTNYHYRKIGRDVMVMATGDTPADVDSTELPEFVKSLQETWDKVDDKYAVSTLIVASVIALWSSTGLISAIDKLPLIPGVLELVGIGYSGWFAYKNLVFKPEREELVQKVKETVNEILGSK >CAK8577629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579189811:579191468:1 gene:gene-LATHSAT_LOCUS29719 transcript:rna-LATHSAT_LOCUS29719 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELALALLVIALFIHLRPTPTAKSKALRHLPNPPSPKPRLPFIGHLHLLDNPLLHHSLICLGERYGPLYSLYFGSMPTVVVSTPDLFKLFLQTHEASSFNTRFQTSAIRRLTYDNSVAMVPYGPYWKFIRKLIMNDLLNATTINKLRPLRTREIRKVLKVMAHSAETQEPLNVTEELLKWTNSTISTMMLGEAEEVRDIARDVLKIFGEYSLTDFIWPLNKLKLGNYEKRIDDIFNKYDPIIEKVIKKRQEIVNKRKERNGVIEGEQSVVFLDTLLEFAQDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWTLAELINNPRVLKKAREEIDSVVGKDRLVDESDVQNLPYIRAMVKEAFRMHPPLPVFKRKCSQECEINGYVIPEGALILFNAWAVGRDPKYWKRPLEFRPERFLENAVGEGEAGSVDLRGQHFTLLPFGSGRRMCPGVNLATAGMATLLASVIQCFDLQVAGPDGKILKGDDAKVSMKERAGLTVPRAHNLICVPLARTDVAAKLLSS >CAK8566926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473106127:473107062:-1 gene:gene-LATHSAT_LOCUS20022 transcript:rna-LATHSAT_LOCUS20022 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMQGGSTSKLPRRVKGKKKRGLRVKDAKVAKTPSEFSFDIAKVAVSQICQSVGYKRSKYDALEALTNVTTKYLEAIARSAASFTNASNRTESNLFDLINGIHDMYSVRGVPGGSKMHTSNLLSSGALKDIMSFVKFSKEVPFSKPIPSKNPEVITDSGTSTCCLEEAKPKGLHIPSWLPDFPKESLYKKSEGGLVKERKCGEKLWEHLLAMEACTGNKEESSDMLKSNDINKKEEKDTATELAKGRGRVKFKIGKEEEKQIGLGMNMNMMNGVCKGRKRVSWSHYKINDCISKADENEDERSALKREMS >CAK8572586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549563404:549568637:-1 gene:gene-LATHSAT_LOCUS25139 transcript:rna-LATHSAT_LOCUS25139 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLELAADPTHQEFVKQEKDEAIAEKINSLANEDGDKPDDAQGQATPPSTTEEDEVKAELAAAEIKKEDNSSLKEFVKHEKDADIAEKINSLANEGDQPNDAQHQATPSSTTEGDEVKAELASEAIEKGDDSSALKEFVKLENDAAIAEKINSLANDDGDQPDEAQGQVTPSFTTEGEEVKAELATEEIKKGDDSSALKEFVKPEKDEAIAEKINSLANEDEDKPADAQGQATPSSTTEDDVKAELASAEIVKDDDSSKQFVKLENNEAIEEKINSLANEDGDKPDDAQGQATPTSEAGDEMKAELTAAEIKKGDDPSALKEFVKLEKDEAISEKINSLANDDGDKHEDAQGQATPSTTEGDEVMAELASEPIEKGDDSSALQDFVKLEKEEDIAEKIDSLANENGDKADDTQGQATPSTTEGDEVKAELASEAIEKGDDSSALKEFVKLEKDEAIAEKINSLANEDGNKSDDAQGQATPLSTTEGDEVKAELAASEIEKGDDSSELTVSVEDSLKPDIVDDAQNSAAEKRDEEKAELAAAEFEKSDDSSALKASIGDSPKPDIVDDAPNSAVEKVDEENTELPVAVEKTDNSVPLEPVAVVGNVEEVKDLIPESQPISVAEPVLDAALSQQDEPLSTKPDVKETQQTIEKEPVETDEENQSKTENVPEAEIIEKSVDTTESSSDTTETIQSEVNEVKQAEISETHFEKESEPVATEEREVTKEPEQESQEKSEEAEQPSTIAIVEPSAEANEEKTSTYIAEETNNTNDEIEPTEKAKAESVVTEVELTETKRELVVAEIEPMGTVKDEPAVTEVEENKKEPEQQLTETREDEQPNTSSIPEQSAETNDVVSVEEKTRELEFEALLLKDTNNDNAGPTETEKAEPVVTQVEPTETVKEEPAVTEIEENQKEPEQQSTEPREEEQPNTSSIPEQTTETNDVIAIEEKTRELEFEAAILKDNAGPTETEKAEPVVTEVDENRGTQEIQSSKQEEEEKPKEASDTAEVHQPKDSDIEAVKETGNSVSEAIHVQEENIDPLSNGVEEKPREELQINNEVVETIKEVEPEKATEKSEESIDDTIKNSTLKEEESVTNTKVNTQQVSLNDSANLVEPSPEVEEKVVVEDVKKEVVGDTENPTSVEEKVDVAAENVKKEPEAPDAVQVSSREAEVEIKKAEEQNEAKTVDTKVDEISKAVSEPVRETLASKFEEKDEEEKTIQTEEDSSKKKQIEEPVKTEVEASKENDTTTVSKDLPKETPTKPAQKQSSNIISKVKQSLVKAKKAITGKSPSSKNLNSDQKGDIKVK >CAK8543802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640586902:640590218:1 gene:gene-LATHSAT_LOCUS12536 transcript:rna-LATHSAT_LOCUS12536 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPASPGGGSHESGEHSPRSNIREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSGKGGDSSAKKDLQQGSNSQLVHQGSFSQGVSYTNSQGQHMMVPMQGPE >CAK8565425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:189577801:189586464:-1 gene:gene-LATHSAT_LOCUS18643 transcript:rna-LATHSAT_LOCUS18643 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESDEPRSERKVEESSEEEGQFVRYREEKEEGRKRGRRDRGSEKKDRHRRREKDRGEDLDLEYEDDRKRINDKDRVRRHREGGNEKEKDSRHRHKEDSDENRDRKGIRDRERRHRVDSDIDNEKERERGRQSRYEDNSDEERDRKRNWDSDRDRRVDRDGDNDKERDRRGDDDRRKGERSQRVEKNGDNEREESRKKEEEGAAIPEINRDASKLGKSGGVYIPPFKLARMMKEVDDKSSPEYQRLTWDALRKSINGLVNKVNAANIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFSALVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFVAHLVNQQVAHEIIALELLTVLLEKPTDDSVEVAVGFVTECGSILQDLSPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRRAKFQGYPAVRPELDLVEQEDQLTHEVSLDEEIDPETNLDIFKPDPNYMENEKRYEELKKSLLGEEEESEGDDDEGSDAESDEDDESDEENEESMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIHLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFETCFVKQYSMIHRLETNKLRNVAKFFAHLLGTFALPWHVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQDSFESIFPKDNPKNTRFCINFFTSIGLGGLTENLREYLKNMPRLIMQQQKQVLDSDTDNESASSGSSDSGTSSESESDSASSDESDRKRSKRRRK >CAK8533398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612575934:612579589:-1 gene:gene-LATHSAT_LOCUS3026 transcript:rna-LATHSAT_LOCUS3026-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMNENESIELFCWNAFKQATPREDFVNISKIIVEYSGGLPLALKVLGSNLFNKKISEWKFVLDKLKRIPNCEVHKKLRISYDDLNDDDEKEIFLDIACFFIGMDRNDVILVLNDRGLSAEIGIRVLVERSLVTIDDKNMIGMHDLLRDMGREIIREKSPRVPEKCSRLWFQEDVINILSRQTGKKSVMGLTLKLSRENAKCFSTKAFQKMKRLYLLQLAEVKLDGDFEHVSRNLRWLLWDGLSHIPTNFYRENLVFIELKNCNVELQWNKTLMMEKLKILNLSHSHHLTQSPDFSNMPNLEKLILKDCPLLSEVSPSIGHLKKILLINLEDCISLCSLPRSIYKLESLKTLILSGCLKIEKLEDVEQMESSTKVPFSVIGSKSIGYISLWNYERFSCDVFPSIIWSWVSPTYSLPSQFQTSTIMSSLDSSQELSTFSNYLPRLRSLWVDFKSEDQLALHAKIILDALCVTVSKDLESTATTSQLSSSSQVHVLGSKHCLKSLLIQMGMNCQVTNFLKKKILQNMDVNESDGCFLPGDNYPNWITFHSETSSVTFQVPRVEERNLNTMMCIVYTSTPDDIASDGHLKSVLVKNYTKSTIQLYKRETLAPLEDEEGRRIVLSMGPGNKVEVVFVFENGFIVKKIAVYLVYDEPVGEKLELTSST >CAK8533397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612575934:612579628:-1 gene:gene-LATHSAT_LOCUS3026 transcript:rna-LATHSAT_LOCUS3026 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEGRVDKLYKMTIMNENESIELFCWNAFKQATPREDFVNISKIIVEYSGGLPLALKVLGSNLFNKKISEWKFVLDKLKRIPNCEVHKKLRISYDDLNDDDEKEIFLDIACFFIGMDRNDVILVLNDRGLSAEIGIRVLVERSLVTIDDKNMIGMHDLLRDMGREIIREKSPRVPEKCSRLWFQEDVINILSRQTGKKSVMGLTLKLSRENAKCFSTKAFQKMKRLYLLQLAEVKLDGDFEHVSRNLRWLLWDGLSHIPTNFYRENLVFIELKNCNVELQWNKTLMMEKLKILNLSHSHHLTQSPDFSNMPNLEKLILKDCPLLSEVSPSIGHLKKILLINLEDCISLCSLPRSIYKLESLKTLILSGCLKIEKLEDVEQMESSTKVPFSVIGSKSIGYISLWNYERFSCDVFPSIIWSWVSPTYSLPSQFQTSTIMSSLDSSQELSTFSNYLPRLRSLWVDFKSEDQLALHAKIILDALCVTVSKDLESTATTSQLSSSSQVHVLGSKHCLKSLLIQMGMNCQVTNFLKKKILQNMDVNESDGCFLPGDNYPNWITFHSETSSVTFQVPRVEERNLNTMMCIVYTSTPDDIASDGHLKSVLVKNYTKSTIQLYKRETLAPLEDEEGRRIVLSMGPGNKVEVVFVFENGFIVKKIAVYLVYDEPVGEKLELTSST >CAK8574326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675386921:675387794:-1 gene:gene-LATHSAT_LOCUS26681 transcript:rna-LATHSAT_LOCUS26681 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSTKVSMKLLIDTKNNKVLFAEASKPVIDFLFSLLCLPISTVVKLLGTKGMVGSLGNLYESVENLNQNYMQPFQTKDVLLNPKAQSSSTVISGFLTQNAVNDIKTKLYMCPNKCAFEVTYDNTTPCSTNSDEFDSEDESDSEDESDPEDDYTPCGKKMNHEVAFVRNSKVGKKKVSTNIKNGFVKEVVTFVVMDDLVIQPMSTISSIKLLNKLNVKEIGTLQEKVVEMGMEEGIKLLKASLQSKMVLTTVFIKKGC >CAK8530918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63103068:63107841:1 gene:gene-LATHSAT_LOCUS745 transcript:rna-LATHSAT_LOCUS745 gene_biotype:protein_coding transcript_biotype:protein_coding METGEQGLPSAIATAPTAGDPTASPPLIGDAVISPDPAVDFDYVAETEIHALISDEEHDHVHEHELDHDFDHDHEHVHEHDHHDEGHERGHVAETVVSLDDLKLKIIKQVEYYFSDENLPNDKYLLSLVRRNKEGFVPIQVIASFRKTKKLTRDQVLIAAALKESSLLVVSGDEKRVKRLNPLRLNEVKDHKLFTVLAENLPEDHSKENIRQIFQIAGNVKKVTINDPRSTAASAKHIKQDKFLGSKLHALVEYETVEAAEKAVALLNNEQDWRNGMRVKFLNRMDKYAHKKQAWKGSNSEKNCSSHASEKIRDEENHVSHANKKQAWKGSNSEKNSSSHVSEKARDEENHVSHEHHEDTLEEKDGEHLSKDKGGQRYPKQARSRKHKYRATNGMGHGGTSSIHTAEASKPPPGPRMPDGTRGFAIGRGRPIVPASI >CAK8530917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63103068:63107841:1 gene:gene-LATHSAT_LOCUS745 transcript:rna-LATHSAT_LOCUS745-2 gene_biotype:protein_coding transcript_biotype:protein_coding METGEQGLPSAIATAPTAGDPTASPPLIDEEHDHVHEHELDHDFDHDHEHVHEHDHHDEGHERGHVAETVVSLDDLKLKIIKQVEYYFSDENLPNDKYLLSLVRRNKEGFVPIQVIASFRKTKKLTRDQVLIAAALKESSLLVVSGDEKRVKRLNPLRLNEVKDHKLFTVLAENLPEDHSKENIRQIFQIAGNVKKVTINDPRSTAASAKHIKQDKFLGSKLHALVEYETVEAAEKAVALLNNEQDWRNGMRVKFLNRMDKYAHKKQAWKGSNSEKNCSSHASEKIRDEENHVSHANKKQAWKGSNSEKNSSSHVSEKARDEENHVSHEHHEDTLEEKDGEHLSKDKGGQRYPKQARSRKHKYRATNGMGHGGTSSIHTAEASKPPPGPRMPDGTRGFAIGRGRPIVPASI >CAK8574224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670897056:670911360:1 gene:gene-LATHSAT_LOCUS26585 transcript:rna-LATHSAT_LOCUS26585 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEDSIPDHREKFDTSQTMPFKLDDVAADVNVVSETASLKEAESFPDREKQTMNIGVETASLIGSVEGDDVAADSSSSVKPTVVEPIIYCRRKNKHGGKSNVALKKPLEDETNEGTGKGDDVVADGGSDSVPPEKTKRGVKRKTEKEDEVGEGDDVVAGGSGLGSVNVTVRKSERPRKIKSVPEGFVSEMDVALMKNETRERKKAIMDVFNAVIAAGKEEDVMKEEKKPGRKRKNDYSSEEEYKRKNDYSSEEEYKPELDEENVKKEKKKSSRKRKNDYSSEEDYKHELDRENVKKEKTKPIRKSKKVSSSEEENKAVLDKKNVKKEKKKPGIKKKVVSSSEENEAAKEREIGGFIKKEKKTPGGKIKIEKNDLVSEKQSESDVVKVEKQDSPEIVTETETPLSRDNKGYSLRGTRNKKPTIVEHVVPKFNKRNAKWVEEESLMCHQCQRNDKGRVVRCTSCKRKRFCIHCINNWYPNWKEDEIAEKCPVCCGNCNCKACLRSCVLVNEIKEKKTKTNKDHEVGPSKYMLKELLPHLIRLDEEQMTEKEIEAKRQGLPLSELKIKVADYSKDERVYCDNCKTSIFDYHRSCTKCSFDICLLCCCELRGGKLLGGDDPIEFEFIFRGRDYLHGGDEERQDRKKKPCSATQPEIREWSKSRWHADTDGNIPCPKTNNDCDHGFLELRSILQPNCISELVCKAKELVEEATKLQDAEETLDNWCSCLKSRDSDDIHTNTRKAAFREESSDNFLYCPRAVDLHHEDLRHFQLHWSKGEPVIVSNVLECTSGLSWEPLVMWRAFRQISNTKHKTLLDVTAIDCLDWCEGEINVHKFFTGYTEGRKDWSNWPQVLKLKDWPPSNLFEESLPRHCAEFIYSLPYKEYTDPFKGALNLAVKLPEGVLKPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDAVNVLTHIAKVELKPESINAIKKLKQKHLKQDQRELHLHGDNQDGQTNVSMLDNSSSSKNASDEQNRVMDNENGLCNEKAADPVHQHSGADNDGLSSASKLKEVDKVIVNKDNSLLVGDDSLDGALWDIFRRDDVPKLEEYLKKHFREFRHAHCSPIKQVVHPIHDQTFYLSIEHKKRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECFRLTEEFRKLPINHRSTEDKLEVKKMIIYAMLDLVDTLEKARFLCKL >CAK8535630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870855426:870866185:1 gene:gene-LATHSAT_LOCUS5073 transcript:rna-LATHSAT_LOCUS5073 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNWRPNQGAEPNMDNSDWRGQLQQESRQRIVNKIMDTLKRHLPVSGAEGLHELRKIAQRFEEKIFTAATSQSDYLRKISLKMLTMETKSQGTMANNITSNLVGPSNKPPDPGLGMQSQVHNQGQQHPIPLPNQPQSRQQLLSQNIQNNIASQPNLPPVSGLTQASIPNVGQNSSIQNSNIQNSNIQNSNIQNMFNASQRQMQGRQQVVPQQQQQQSQNPQQYLYNQQLLRHKLQMQSQQQQQQQQQNLLQSNQLQSSQQSVMQQPSMIQTSSLSSIQHNQQSNNGQQSTQSMLQQHPQVIRQQQQQQQQQTSIIHQQQTPMAQQSILPPQQQPQQQLIGKQGNANNMQHSQMLGQQNNVGDLQQSQRLLAQQNNLSNLQQQQLINQQNNLSNIHQQLGNNVPGLQPQQVLGSQSANSGMQTSQHSSHVLQQSKVPIQQQSQQGASNLLPSQAQQSQQQVPQQQLMPQIQSQPAQLQQQMSLQQQPNPLQRDMQQRLQTPGSILQQTGVLDQQKQLYQSQRPLPETSSNSLDSTAQTGQPSGAEWQEELYQKIQVMKESYLPELTEMYQKIATKLQQHDSLPQQPKSDQLEKLKVFKMMLERLITFLQISKSNVTVSLKEKLGSYEKQIINFITTNRPRKISSLQPGQLPPPHMHSMSQTQSQVTQVQSHENQMNPQLQTTNMQGSVATMQHSSLSGVSTAQQNIMNTMQPSASLDSGQGNMNSLQQNPVTAPQQTNVSSLSSQAGVNVIQQNINSLQPGSGMLQHQQLKQQEQQMLQNQQYNNQYQRQLMQRQQMLHQQHQQQLHQPAKQQLPAQLQTHQMQQLQQMNDANDMKLRQGIGVKPGVFQQHLASSQRSAYPHQQLKQGPFPVSSPQLLQTPSPQIPQHSSPQIDQQNHLQSLTKVGTPLQSANSPFVVPTPSPPLAPSPMPGDFEKPISGISSISNVGHQQIGGAGAAAPAQSLAIGTPGISASPLLAEFTGPDGAHGNALAPPSGKSTVTEQPIDRLIKAVSSLTPAALRAAVSDIGSVISMNDRIAGSAPGNGSRAAVGEDLVAMTNCRLQARNFITQDGANGTRRMKRSANATPLNVASSAGSVNDSFKQLSAMEASDLESTATSNIKKPRIEANHALLEEIREVNQRLIDTVLSISDEEVDPSAAAAAAEGAEGTIVKCSYIAVALSPSLKALYNSAQMSQIQPLRLLVPTNYPNCSPIFLDKFPVESSMENEDLSAKSKSKFSASLRSLSQPMSLKDIAKTWDVCARTVISEYAQQRGGGTFSSKHGSWEDCSAW >CAK8578150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610003153:610005027:-1 gene:gene-LATHSAT_LOCUS30188 transcript:rna-LATHSAT_LOCUS30188 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTISKLVLPKQHTRCFSTLYDIGTCITSLQSCAHNTNLSKGKELHSHLLKNGFFSSPLAITSLINMYSKCTLIDYALKVFNYPTYRDKNVFAYNSIIAGFVSNGLPRYAVGLYKQMRHLGIVVPDKFTFPCVIRACGDSTEVLEVKKIHGLLFKLGLELDVFVGSALVNTYLKFGLVVDAHEVFEELPVTDVVLWNSMVNGYAQIGYFEEALWMFRRMGENGVVPCKYTVTGVLSIYSVIGDFDNGRVVHGFVTKMGYGSSVVVSNALIDMYGKCKCASDALSVFEVMDEKDIFSWNSIISVHQRCGDHYETLGLFDRMLGNRIQPDLVTVTAVLPACTHLAALMHGREIHGYMIVNGLGKEGGTNEFDDVLLNNALMDMYAKCGNMRDARMVFDIMTEKDVASWNIMITGYGMHGYGDEALDIFSRMCQAELVPNEISFVGLLSACSHAGMVKEGLEFLAVMDSNFGVSPSIEHYTCVIDMLCRAGKLMEAYDLMLTMPFKADPVGWRALLAACRIHKDTDLAEIAASKVIEIEPGHCGNYVLMSNVYGVVGRYEEVSELRHTMWQQNVKKRPGCSWIELMNGVHVFITGDRTHPQTDFIYAGLNSLTTVLQEHGYVPMV >CAK8560713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37514070:37514381:-1 gene:gene-LATHSAT_LOCUS14368 transcript:rna-LATHSAT_LOCUS14368 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKFEKEEEKANAMWDCGSPLYDSYELVSLDHHINKNLMSFPSHHGSKFTNPRLVHNYEDMIHVKGNNVGSLEKTKEFIWLTSFNKFLLKIMKKGERRTKK >CAK8561735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:369422999:369424300:1 gene:gene-LATHSAT_LOCUS15296 transcript:rna-LATHSAT_LOCUS15296 gene_biotype:protein_coding transcript_biotype:protein_coding MALETIIYDTISATPFSSTSSYHHDTIESCCFLENAMSYEQQQYHEGVIFDQNNRKREFMEQDETMSSHQVVEGRKKRRRKPRVCKNKEEAETQRITHITVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHILQSLEARKLQLFQQELALQQNNIEETSNVSKLNNMKPPFAQFFVYPQYTWSQTTNNKYTSKTKAAIADIEVTLIETHANLRILTKTRPGQLTKLVAGFQTLFLSILHLNVTTIQPLVFYSISAKVEEGFQLGSVDGIATAVHHLLGRIEEEASLCC >CAK8574942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16407401:16412431:1 gene:gene-LATHSAT_LOCUS27239 transcript:rna-LATHSAT_LOCUS27239 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQPTVTAVHDGSGDDGVIALGEGSVSNENSRDRVKGPWSPEEDAILSGLVSKFGARNWSLIARGISGRSGKSCRLRWCNQLDPAVKRKPFTDEEDRIIVAAHAVHGNKWAAIARILPGRTDNAIKNHWNSTLRRRGVEGKIKLESGNMVEDISLEKARASSEETLSCGELNSLKSSEGRDFSSKEVVDDRYEEKARTDPQSYHEVTDLPIVEVRDPPTLFKPVARVSAFNVYHTCNGSQPSSSVPRPVPMQGPILHSPKSDMEICKMLNRTYGDRSVPQQCGHGCCSSLNGNNSKNSLLGPEFIEYSEPQSFPSFELAAIATDISNLAWLKSGLENNSVKMMGDTAGRVNI >CAK8578833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657603404:657605551:1 gene:gene-LATHSAT_LOCUS30816 transcript:rna-LATHSAT_LOCUS30816 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVQSPPKLLALCFFLILANFYIAEAGIRHYKWEVKYDFRSPDCYKKLVITINGKTPGPTIEAKEGDTVIVEVNNSLLTENLAIHWHGIRQIGTPWFDGTEGVSQCPILPGDTFVYQFVVDRPGTYLYHAHYGMQREAGLYGMIRVASKDPEPFSYDFDRSIILNDWFHKSTYEQSAGLSAIPFQWVGEPQSLLIHGKGRFNCSSLTADVCNSTNPQCSPFVQTVVKGKTYRIRVASLSALSALSFQIEGHNMTVVEADGHYVEPFVVKNLYIYSGETYSVLVKANQDPSRNYWITSNVVSRNRTTPPGLAIFNYYPNHPMRSPPTSPPPPPAWDNVESRRVQSLSIKAHPNYTIKPPTTSDRVIVMLNTQNTIDDVRHWSVNNVSFFLPHTPYLVALKENINGVFNQTPPPDGYDFNNYDIFSVANNTNATSSNGIYRLQFNTTVDVILQNANTMNKNNSETHPWHLHGHDFWVLGYGKGKFDVNNDPKNYNLVNPIMKNTVPVHTFGWTALRFRSNNPGVWAFHCHIESHFYMGMGVVFEEGIDRVGKLPSSIMGCGKTKNLLRP >CAK8567554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524731265:524735821:-1 gene:gene-LATHSAT_LOCUS20597 transcript:rna-LATHSAT_LOCUS20597 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHEETLVEAALRVLNTADPFEKARLGDSVASRWFDGSIAEPYKPSIDLHVPDRPARLSSVKLVAPGLMPKLGKAGSLQSRVNIVHSLTHTESWAVDLSWDIIARFGKQEAMPREFFTDFVKVAQDEGRHFTLLAARLEKLGSKYGALPAHDGLWDSASATSNDLLSRLAVEHCVHEARGLDVLPTTISRFRNGGDNTTADLLETVVYPEEITHCAAGVKWFKYLCQRSRNPSSEQENCAVENETATEENEVIPKFHSIVRTYFRGPLKPPFNEAARKAAGFGPEWYEPLAVKLPNAQ >CAK8573828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640746667:640749703:-1 gene:gene-LATHSAT_LOCUS26231 transcript:rna-LATHSAT_LOCUS26231 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGGGGGGGGGGGGGKVDEFQPHPVKDQLPGVDYCVTSSPSWPDGILLAFQHYLVMLGTIVIVSTILVPLMGGGNLEKAKMIQTLLFVGGIKTLLQTWFGTRLPVVVGASFSFLIPAISVALSTRMSLFADPHERFRRSMRAIQGAMIIASFVQIMIGFFGFWGIFARFLSPLSAVPLVTLTGLGFFVLWFPRLADCVEIGLPALVILVVLSQYIPQKMRSKGVDRVAIIVTIGIAWLFAEILTAAGAYNKRPQLTQFNCRTDRSGLITAARWISIPYPLQWGTPSFNAGDIFAMIAASLVAIVESTGTFIAASRFGSATPVPPSVLSRGVGWLGIGTFLDGIFGAATGSAASVQNAGLLGLTRVGSRRVIQISAGFMFFFSIFGKFGAVIAAIPLPIIAAVYCVLFAYVASAGLGFLQFCNLNSNRSMFIIGFSLFIGLSVPQYFNEYLALSGHGPVHTGSTSFNNIVQVIFSSPATVAIIVAYLLDSTMSRAHASTRRDSGRHWWEKFRNFNQDIRSEEFYALPFKLSSFFPSY >CAK8560389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17102366:17103596:1 gene:gene-LATHSAT_LOCUS14066 transcript:rna-LATHSAT_LOCUS14066 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLMTWGTLSESDVQQSSMSENLTLKMSVLPQQCHKTKALDFQFQDQDSSSTQSTDQSYVEVGSGKSGPISIMYSNSSALPHAKACFSGPLAAPNGSQINIHHAQSVGMAPVRVPLPFDLCEEPIYVNAKQYHAIMRRREYRAKLEAQNKLIKNRKPYLHESRHLHALKRARGSGGRFLKTKKLQDLKLTSADDSLNVSSSTRLNPSGNVPGSKVNRVENYRDGASATTCSDATSASNSDDMIQQHESDFRSCGYPSQMQGFSADVGGGCGGNKHHLSVLM >CAK8567847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550590633:550591331:-1 gene:gene-LATHSAT_LOCUS20864 transcript:rna-LATHSAT_LOCUS20864-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMLLIIHQTSITSSSLDLTQGFTLVSLNNSNFDIQKPYNLPINQRYIFTNGVHQFWIYPTDKPFTNETNTQPRTEVRISGHDYTCGTWQFEGYGYIPSGTSGVCIMQVFGGSPYATTTQLRIYNGSLTYYESPVLSQNIYDRWFKMNAIHDVGANNVRVYIDEVLTYDGVGRGVNTHYFKFGVYLQNDPSSCAESRWKDIKVLRK >CAK8567846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550590633:550591355:-1 gene:gene-LATHSAT_LOCUS20864 transcript:rna-LATHSAT_LOCUS20864 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQILLMSLMLLIIHQTSITSSSLDLTQGFTLVSLNNSNFDIQKPYNLPINQRYIFTNGVHQFWIYPTDKPFTNETNTQPRTEVRISGHDYTCGTWQFEGYGYIPSGTSGVCIMQVFGGSPYATTTQLRIYNGSLTYYESPVLSQNIYDRWFKMNAIHDVGANNVRVYIDEVLTYDGVGRGVNTHYFKFGVYLQNDPSSCAESRWKDIKVLRK >CAK8576829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517298732:517301812:1 gene:gene-LATHSAT_LOCUS28992 transcript:rna-LATHSAT_LOCUS28992 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSISSLQIAMSRSCIPSSQKFADVSTVIFGGKSKAGSWNKLASACHVASVHPFQRSFTSSSAKSVKFATKAVSESSETSPISGLPINLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILLGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLEDTPEDIKSNKRYAGSSKWTVQEVAESVKEDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKRKIRVNTISAGPLRSRAAKAIGFIDMMIDYSIANAPLQKELSAEEVGNAAAFLSSPLASAITGTVLYVDNGLNAMGVGVDSPIFNDLNIPKEQH >CAK8536612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2359264:2363477:-1 gene:gene-LATHSAT_LOCUS5965 transcript:rna-LATHSAT_LOCUS5965-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRAPPDPATAGEFIGNSSWSLGVDESDGSHFFSGDRDRTMFGDIGWDLVPDQVGASEGSLPPVQPGSIEPVPTSSYRSNNQSISSSSSEDPPEKSTVSDEKPPEIPSKSKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSCEDPTIVVTTYEGQHCHHTVGFPRGGIISHEASFTGQFAPTMPHFYYPIQIPTESNTCTTPVSSQPLDDHEAGRSSSATMAVADASLPQHPTDEGLLGDIVPRGMRSRDERNI >CAK8536611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2359264:2363477:-1 gene:gene-LATHSAT_LOCUS5965 transcript:rna-LATHSAT_LOCUS5965 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRAPPDPATAGEFIGNSSWSLGVDESDGSHFFSGDRDRTMFGDIGWDLVPDQVGASEGSLPPVQPGSIEPVPTSSYRSNNQSISSSSSEDPPEKSTVSDEKPPEIPSKSKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSCEDPTIVVTTYEGQHCHHTVGFPRESNTCTTPVSSQPLDDHEAGRSSSATMAVADASLPQHPTDEGLLGDIVPRGMRSRDERNI >CAK8538701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493347011:493347379:-1 gene:gene-LATHSAT_LOCUS7873 transcript:rna-LATHSAT_LOCUS7873 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIEEFCCRMTGTMKEWYHSLGAFKQDELHRLETTTSVLGVLHREFIGDMEIFDRKNRQEFFEMKCCSLKTKDLDRNYHRMAQRYYVLNGYNDPSLKNTYVSSLPQGTSARNS >CAK8541343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:129379138:129381858:1 gene:gene-LATHSAT_LOCUS10272 transcript:rna-LATHSAT_LOCUS10272 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVSLVIDQLLPLLREEAKLLRGIHKEFADIKDELKSIQAFLKDADKRASTAEGVKTWVKQVREAAFRIEDIIDDYLIHVGQQPPHPGCVFFLHKLKTIIPRRQIASEIQNIKLSVRRIKERSERYGFQYSLEQGSSNSRESQNSKWHDPRVAALYIEEVDVVGYEPQRDGLTDWLVKGRDERTVVSVVGMGGQGKTTLAKKVFECKNVIRHFDCRVWITVSQSYSVEGLLRDMLQNFYKQKGEDPPEDIFRMDKGSLTGEVRNYLQKIRYVVVFDDVWSEHFWDDIEFSVGDNKNGSRIFITTRNLDVVMSCKKSSFVEVLELQPLTQEQSLELFNKKAFKFDYGGVCPKELNDIAYEIVSKCKGLPLATVAIGGVLSAKEKNVFEWQKFNENLSIELLKSTHLIGIQEILGLSYDDLPCHLKSCLLYFGIYPEDYEVKTRRLIQQWIAEGFVKEERGKTLEEVAEGYLTELIHRSLVQLSSVRIDGKVKGCRVHDLIRDMVLKKFEDLNFCNQISEDEQSSLSGTVCRLSITTTSDDFVERTETSHVRSILVFTNKESNVYSVRRFPTKYKRLKVLDYKFSRLLNVPKELGSMVHLKYLSLGYITEGKIPNSIGMLQNLETLDLRAANVNELPKEISKLRKLRHLIGSGLSLIRLKNRVGEMTSLQTLCYVNLSMDGAVEVIKELGKLKQIREIGLLNVRREDYKVLSSSIAELKHLEKLHVKLSSTASNEFIDLNLISPPSKLQKLTLRGRLQKLPEWILELQNLVVLRLKLSCLTKDPMESLNSLQHLLILSIGVGAYGGSNLHFQDGWFQKLKEMDVGSSNELKEIIIDKGALPSLKKLQLYGLPRLKNIPTGIQHLEKLEVLHFRSMHVDFLQQNSSDDWNWIMEHVAVVEISPVDG >CAK8561150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:106681186:106681698:-1 gene:gene-LATHSAT_LOCUS14763 transcript:rna-LATHSAT_LOCUS14763 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSYVIATCSSARHDPFLQLSEVYKVVNLFGIYNNNFPVVASEEYWPTYHGDIIYHNENTRRNKKGRPKSTRITTEMDTTEKMERLCRICRLPGHTRNNCPNVGTSSR >CAK8533479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:622076759:622078716:-1 gene:gene-LATHSAT_LOCUS3102 transcript:rna-LATHSAT_LOCUS3102 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKTHLEETIPEWRDKFLCYKPLKKLLKHHLPSTTTTTPTPIPINLHLHFLQQPFSPNILQAWFLRILNQELEKFNDFYVDKEEEFVIRFQELKERIERLKEKSSKSVKYTSDCEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLIKILKKYDKRTGGLLRLPFTQIVLRQPFFTTEPLTRLVHECEENLELLFPLQEEVIQSTSHPEHESRPPGDNTTNTLPESSSTLGEEPMYLYRSTLAAMRAIKGLQKASSTCNPFSFSSLFSNQDDDSNGAVTAENSAANSPDTVQNEEGTGKEDTD >CAK8578879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660313347:660315129:1 gene:gene-LATHSAT_LOCUS30860 transcript:rna-LATHSAT_LOCUS30860 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMFLQLQLHHHHLPTPLLHRNNPFSPQLSFLSPNLFPFSYFSPSPCSFSINLSTSHTSPFCLRPSSTLDSTVTTDDPPVRLVAVVGHGAVSPLNSASWEQVMLHTAKRLKWVDEGYELLVFTDESIRSNDRMATRLEGELLKTHILVIVAVTNKESVNWITIRTKTIENVICFDSSPDLKNKLGGYDVHNDVKGSIFGNFLGSSELDKTKDSYEVVQTVAEAWDRRSSDDIRFCLLLLINAYIRPVPILKNLRAKGFSTLNCMLKNCGKQVLNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESENLEAFSLCVLQKNNCLELEAEVPTKPYVPAMVQFRGQDLSHEIAEDLFVGWLGSLQWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTFEGEMVWRRRKYRVKRGKTQGTFYFSVLDNGVVSNEFWTVVDVADDLSWGLFHYHGAARAAGQSYTGAVLVSPDGAFPDERERRRIVGALDKCEIKEWELFFVDNCSCIDPPLGTPEGSSLHAVVQIDDPKWMHL >CAK8577303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553847735:553849261:-1 gene:gene-LATHSAT_LOCUS29423 transcript:rna-LATHSAT_LOCUS29423 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQRKFVCKYCFKRYPCGKSLGGHIRTHMSEQRTHNAAAAAVATVAAADARVFKVDGVRNKRDSETVGGGADGNNSYGLRENPRKTMRFVHSHSHQQHPRHDDHAAAPAATAVMEHEMIRFCKDCGKGFSSSKALCGHMASHSEKEKKINRFDQVMEDSQSDDETDDDAATMNLRKSKRRIRFKSLTLSNQNRNQIQNQPSSCSVNGSSSMSEMEQEQHQEVAMCLMLLSRGFFSHKGRFVSESSDNNSSVVLETKPYVSDKKIVIRNFNKFVSSNDHHEAANKKLKLKSVGIGVSNKSDSRDFRYASKIKIVDSKDSNFEFKRPKDEEDKSGFVDCKSKYNVTTTVVKKPGMNKDLDHDSRKKSNYEGFGFNNNNNKNEEIHEDVRNCLKYEFYGSEKDNDSSYVSTTDEESDEENSSESDSFPAAKSHNSKKKSSNKAKKKLKSKKSKEYECPICYKIFRSGQALGGHKRSHFVGGSEENTTFVIKQNAGPCLIDLNLPAPVDE >CAK8537344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:294501358:294502615:1 gene:gene-LATHSAT_LOCUS6641 transcript:rna-LATHSAT_LOCUS6641 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTVVKGPTTYDNIHKVGGTQYFSFRDACFAMGFLEDDNEFILAIKEESEWGSSEILRKLFFIMLLSGVVNRLCHVLNNTWTWISNEILHEHRILSCNSGLILNDEEIQNLALLKIGKILQGNRRTLKDFKPIPYPNGYVLEQLGNRLIYNEQNYDIVALKTKFATLHASLTDDQNLIFSKIIKVVTEQKGGVFFLHGHGGTGKTFMRRTLASYLRSRNQIVITVPSSGIATLLLPGGRTAHSKFKIPIPTLENSLCNVKPKDDLHYAI >CAK8537345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:294501358:294502615:1 gene:gene-LATHSAT_LOCUS6641 transcript:rna-LATHSAT_LOCUS6641-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTVVKGPTTYDNIHKVGGTQYFSFRDACFAMGFLEDDNEFILAIKEESEWGSSLILNDEEIQNLALLKIGKILQGNRRTLKDFKPIPYPNGYVLEQLGNRLIYNEQNYDIVALKTKFATLHASLTDDQNLIFSKIIKVVTEQKGGVFFLHGHGGTGKTFMRRTLASYLRSRNQIVITVPSSGIATLLLPGGRTAHSKFKIPIPTLENSLCNVKPKDDLHYAI >CAK8538421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482278449:482279083:1 gene:gene-LATHSAT_LOCUS7624 transcript:rna-LATHSAT_LOCUS7624-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARDLAETSTNAKEEVVEKSNEINDAKYYGGGYGGYHNGYGGYHNGYGGYHGGYGGYHGGGYHNGYGGYHGGGGYNGGGFDDRN >CAK8538424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482306209:482306907:1 gene:gene-LATHSAT_LOCUS7624 transcript:rna-LATHSAT_LOCUS7624-4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLVISSEVSARDLAETSTNAKEEVVEKSNEINDAKYYGGGYGGYHNGYGGYHNGYGGYHGGYGGYHGGGYHNGYGDYHGGGGYNGGGGYGGYHGGGGGYGGGYGHGGGYNGGGGGGYGHGGGGYNGGGFDDRN >CAK8538420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482278449:482306907:1 gene:gene-LATHSAT_LOCUS7624 transcript:rna-LATHSAT_LOCUS7624 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARDLAETSTNAKEEVVEKSNEINDAKYYGGGYGGYHNGYGGYHNGYGGYHGGYGGYHGGGYHNGYGDYHGGGGYNGGGGYGGYHGGGGGYGGGYGHGGGYNGGGGGGYGHGGGGYNGGGFDDRN >CAK8538422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482278449:482279083:1 gene:gene-LATHSAT_LOCUS7624 transcript:rna-LATHSAT_LOCUS7624-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARDLAETSTNAKEEVVEKSNEINDAKYYGGGYGGYHNGYGGYHNGYGGYHGGYGGYHGGGYHNGYGGYHGGGGYNGGGGYNGGGFDDRN >CAK8536311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930310383:930315272:1 gene:gene-LATHSAT_LOCUS5692 transcript:rna-LATHSAT_LOCUS5692 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPRTRPSPSTGAGDGWSEEATFTLIDAWGKLYKTLSPKNFRQYHWKEVAKAVNDNHGFVRKARRTYDQCKSRINSLKKTYTTERARVSDSSDYDDAWPFFDKINSIIGDLFPAKKLSLSPPSVTPVKASAWALAPVGRRSRTQSQSTQKRPVLEITSPSESLDESRFHQNLAAFAAAAAAAAEEEVDESNSDNSDSDEWELSYESKKRKKGRNDVELGHREVASAAEKFSEIHERVDASKQRKNVALEKQKMHFSKDSQRMQLLKTNHSKHMDDLLAHSRSGLDTLSTNRKRKRGPTQMKKLAPIDGQRIPIEFDQLTGKPSGENKTKFKSYLGFLSRSKISILPNEWDSVDENVKDDIWTTILEVWDVPNSGFLRKKLMSYVGERWRGFKTHLTSKYVHGDHSDKSPLEVYSFLTEEIWEAFVEMRLDPSFQEKRKKAQISSALNKHPHRLSRGGYELLQEKIMQEKLKQNYESLGDTVATPPSPPTRHEQWKKARQNASGDFITEDTRIIAEKIDSLVEKTSQGAFIPQGRKDILAEAIGRPEHSGGVRGVGRGIGIRQYFGPHSREASTPPVFSSLQLETIKVELTQQIREQLMQDLSSMGFSKNFPTYSPNTTVPASPKGNHSIELPIPEEDEIPKQCELYVDNLIHAVAFGNVYKLGPAIHNQMLENDMVRVVVNEVLDANVPVPMPTDEVETVGHALNNFIQWPKRLVQIIIDKDADGSAEEDLSAKRLDPLLDSIQQLVLKAMCMSESIKLELEHDRMKFLWLSQRDIMELCMGKQELSITILRLWLTYLNRLSINAGKSDLYGFIDPCFIQSPHDPTKAVAYVQNKLYDDKKECYLAPYHNNRHWQLLVICPRKNHVVFLCSKERKPDNNIIHTIDSALDGYHKLQGLQKKKPTWNVPVCQRQSGIYESGYYIMIHILNIVSDGIIDPWKKKVFGNSEPFHEDELINVRQRFASFILEYIL >CAK8536312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930312427:930315272:1 gene:gene-LATHSAT_LOCUS5692 transcript:rna-LATHSAT_LOCUS5692-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLAHSRSGLDTLSTNRKRKRGPTQMKKLAPIDGQRIPIEFDQLTGKPSGENKTKFKSYLGFLSRSKISILPNEWDSVDENVKDDIWTTILEVWDVPNSGFLRKKLMSYVGERWRGFKTHLTSKYVHGDHSDKSPLEVYSFLTEEIWEAFVEMRLDPSFQEKRKKAQISSALNKHPHRLSRGGYELLQEKIMQEKLKQNYESLGDTVATPPSPPTRHEQWKKARQNASGDFITEDTRIIAEKIDSLVEKTSQGAFIPQGRKDILAEAIGRPEHSGGVRGVGRGIGIRQYFGPHSREASTPPVFSSLQLETIKVELTQQIREQLMQDLSSMGFSKNFPTYSPNTTVPASPKGNHSIELPIPEEDEIPKQCELYVDNLIHAVAFGNVYKLGPAIHNQMLENDMVRVVVNEVLDANVPVPMPTDEVETVGHALNNFIQWPKRLVQIIIDKDADGSAEEDLSAKRLDPLLDSIQQLVLKAMCMSESIKLELEHDRMKFLWLSQRDIMELCMGKQELSITILRLWLTYLNRLSINAGKSDLYGFIDPCFIQSPHDPTKAVAYVQNKLYDDKKECYLAPYHNNRHWQLLVICPRKNHVVFLCSKERKPDNNIIHTIDSALDGYHKLQGLQKKKPTWNVPVCQRQSGIYESGYYIMIHILNIVSDGIIDPWKKKVFGNSEPFHEDELINVRQRFASFILEYIL >CAK8568185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580486213:580492820:1 gene:gene-LATHSAT_LOCUS21173 transcript:rna-LATHSAT_LOCUS21173-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCFNSSISTMVYPCSMQFSCDVRLKTNNNGPGSFQHHNLARVHRLHLVTMNLLPRTLKRDSLGLHFSSGVHAPIRQTPSRCSVFVCRSAMLPGGGSGTALVKSASVFLTRSYDSLLGSPVLLRLIPALGIIAFAVYGIEPLLRLSRILFLQRTDSNWKKSSSRYVMTSYFQPLLLWTGAMLICRALDPIVLPSPNSQVVKQRLLNFVRSLSTVISFAHCLSSLIQQAQKLFLDANESSDARNMGLDFAGKAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFVLNEWIQTKIDGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLTQKSHWRIKSYISISHLDVNKINSIVADMRKVLAKNPQVEQQRLHRRVFLEDINPENQALKILISCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKIYSEPASENIPFGDGIFTRSRAAVNRPFLLIEPTYKVNGEDKVKPSTRSTRGNEEKDAKIEEPVASDSKSDENFAGTSSSPPGVNSKDRSKSTSDAQIQNMGSEGSMEKTTKTMQPKKESAGDVGKGSTIPVSKNLAQSTVSETSVTSPESSREDTSSANDDKSSVSSSPVRPPLDENILLGVALEGSKRTLPIEDEVSPSPNSAESQEFAAQRNGNGAPANKDKKDGPIS >CAK8568186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580486213:580492820:1 gene:gene-LATHSAT_LOCUS21173 transcript:rna-LATHSAT_LOCUS21173 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCFNSSISTMVYPCSMQFSCDVRLKTNNNGPGSFQHHNLARVHRLHLVTMNLLPRTLKRDSLGLHFSSGVHAPIRQTPSRCSVFVCRSAMLPGGGSGTALVKSASVFLTRCLCRSYDSLLGSPVLLRLIPALGIIAFAVYGIEPLLRLSRILFLQRTDSNWKKSSSRYVMTSYFQPLLLWTGAMLICRALDPIVLPSPNSQVVKQRLLNFVRSLSTVISFAHCLSSLIQQAQKLFLDANESSDARNMGLDFAGKAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFVLNEWIQTKIDGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLTQKSHWRIKSYISISHLDVNKINSIVADMRKVLAKNPQVEQQRLHRRVFLEDINPENQALKILISCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKIYSEPASENIPFGDGIFTRSRAAVNRPFLLIEPTYKVNGEDKVKPSTRSTRGNEEKDAKIEEPVASDSKSDENFAGTSSSPPGVNSKDRSKSTSDAQIQNMGSEGSMEKTTKTMQPKKESAGDVGKGSTIPVSKNLAQSTVSETSVTSPESSREDTSSANDDKSSVSSSPVRPPLDENILLGVALEGSKRTLPIEDEVSPSPNSAESQEFAAQRNGNGAPANKDKKDGPIS >CAK8569804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11787431:11788450:1 gene:gene-LATHSAT_LOCUS22613 transcript:rna-LATHSAT_LOCUS22613 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLDLGLGLALHDQYSYENFDGHKKRENKKKMESKNYLSLSLGPSKDDDEENTITQNSLTSPSIESSFSNSMNMMKKEIGDEFEVENEKVRITRIGNVDEDGNSRKKLRLTKEQSQVLEENFREHSTLNQKQKQALVERLKLQARQVEVWFQNRRARTKVKQTETDFEVLKKCCETLTEENKKLKKEVQELKSMQIVAATPSFYMQIPAATLTMCPLCGNNKNGSKAR >CAK8534328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717386080:717389403:1 gene:gene-LATHSAT_LOCUS3880 transcript:rna-LATHSAT_LOCUS3880 gene_biotype:protein_coding transcript_biotype:protein_coding MISASPPPHLSFSFHNDNLTLHNRTIRSLNINNNNKTKNPLGSFTPPCSSLLSAPTISTHENAPKQPFPLQHLRNLCNTGNLNEAFNFLQSNLNDVVSPSNIKSQKAIAIILQACGRHKNIELARRVHAFVSTSSVFRNDVVLITRILTMYSNCGFPSDSRFVFDESRKNNLVLWNALLSAYSSNELFRDAVLLFVELISSTEFLPDNFTLPCVIKACAGLSDVKLGEAIHAFALKTKLFSDVFVGNAFVAMYGKFGFVDSALKVFDRMPERNLVSWNSIKHSYSTPTISTHENAPKQPFQHFHNLCNTGNLNQAFNFLQSNLNDIISSSNLKPQETIAIILQACGRHKNIKLARRLHTFVSASSVFRNDIVLITSILTMYSNCGFPYDSRYVFDALRRKNLVLWNALLSAYSRNELFRDAVLLFVELISLTEFLPDNFTLPCVIKACAGLSDVKLGEVIHAFALKTKLFSDVFVGNAFVAMYGKFGFVESALKVFDRMPERNLVSWNSIMHAYSENGVFEESCGLFKRFMIGDEGLAPDVATMVTMIPLCAAQGEVELGMLLHGLALKLGLVGELKVNNSLMDMYSKCGYLCEARVLFDFNKEKSVISWNSMIGGYSKEGDFRRTFELLRNMQMEEKVRVDEVTLLNVLPVCVEEIQFLKLKEIHGYSLRHGFLRSDELVANALVAGYAKCGSLDYAEGVFAGMELKTVSSWNAVIGGHAQNGFPGKALDLYLLMRDSGLDPDCFTIGSLLLACARLKSLSYGKEIHGFMIRNGFELDEFIGISIVSLYVQCGKMLQAKLFFDDMEEKSLVCWNTVITGSSQNKLPCDALDMFRQMLSSKVWPDEISIMGALGACSQVSALRLGKELHCFAMKAHLTRDNFVTCSLIDMYAKCGCIEQSQNIFDSVNQKDNVLWNVLIAGYGINGHGPKAIELFKSMQSAGCRPDSITFIGLLTACNHAGLVAEGLEYLAQMQSLFGIKPKLEHYACVVDMLGRAGRLNEAMKLVNELPDKPDSGIWISLLSSCRNYGDLDIGEEVAKKLLELGPGKPKNYVLISNLYARLGKWDEVRKVRQRMKDIGLQKDAGCSWIEIGGKVYRFLAGDESLLE >CAK8560644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33429647:33430024:-1 gene:gene-LATHSAT_LOCUS14303 transcript:rna-LATHSAT_LOCUS14303 gene_biotype:protein_coding transcript_biotype:protein_coding METNKNMKQTSPTTMEPEFEDLLPVMAEKLDVEAFVSELCNGFRLLADQEIGLITSESLRKNSAMLGMEGMSKEDAEAMVQQGDLDGDGKLNETEFCILMVRLSPEMMQDAETWLEKAIEEQLRN >CAK8572312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528259746:528260213:1 gene:gene-LATHSAT_LOCUS24890 transcript:rna-LATHSAT_LOCUS24890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8536591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491837:493427:-1 gene:gene-LATHSAT_LOCUS5946 transcript:rna-LATHSAT_LOCUS5946 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDSYLDFLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTMEKHDAN >CAK8570809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118648658:118649053:1 gene:gene-LATHSAT_LOCUS23529 transcript:rna-LATHSAT_LOCUS23529 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKRKALRIKAQMSDVQSLEVLQDLIPGSIQKKFILKYGRILDLLGVPVKVEAITALAQFYDPLLRCFLFRDFQLVPTLEEFGMYHDFSKDIKGPYIGIGQKVKLKEMAMTLGISVEDLMLHYKEDKDI >CAK8569142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675254858:675255937:-1 gene:gene-LATHSAT_LOCUS22026 transcript:rna-LATHSAT_LOCUS22026 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLFCTGALLAGGLYWFVCILGPAERKGKRATDLSGGSISAEEVQDSYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSLPGKSHRDATRLHEEMAVDLIEVKSGDKILDVGCGVGGPMRAIASHSRANVVGITINEYQVKRAKIHNKKAGLDSLCDVVCGNFLEMPFPDNSFDGAYSIEATCHAPKLDEVYAEIFRVLKPGALYVSYEWVTTEKFIPENSEHVEIIQGIERGDALPGLRSYADIAETAKKVGFEVVKEQDLAEPPAEPWWSRLKMGRIAYWRNHVVVTVLSALGIAPKGTVDVHEMLFKTADFLTRGGDTGIFSPMHMILCRKPKEQEK >CAK8540969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36862140:36863333:-1 gene:gene-LATHSAT_LOCUS9923 transcript:rna-LATHSAT_LOCUS9923 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMQIFGQNPTRIIEGYTEEFETTFLEHMKRSHRFSRVAATVVYNEYINDRNHVHMNSTEWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRIKTDLVDEEKQEKEIQKQIERAEQLMQLSNPESEKPSHVETTRELNAEDGIKIGFSLGSSAKPISKDKGEASRMAFDEVDEEKYEEKNLVNNLKRKESGGGKSTLDEMISEEERKKEKINRKDYWLHEGIIVKVMSKVLAEKGYYKQKGVVRKVIDKYAGEIEMLESKHVLRVDQEELETVIPQVGGPVKIVNGAYRGSLSRLLGVDTDRFCAKVQIEKGAYDGRVLKAVEYEDICKIA >CAK8540485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8982906:8983360:-1 gene:gene-LATHSAT_LOCUS9487 transcript:rna-LATHSAT_LOCUS9487 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKKFLCPKLEEQVPVPETGGTVDVFTISTRLDSLQVRSRQGKRWNI >CAK8562768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534288008:534290294:1 gene:gene-LATHSAT_LOCUS16237 transcript:rna-LATHSAT_LOCUS16237 gene_biotype:protein_coding transcript_biotype:protein_coding MNALPQTQAQTVPDAWDSNGHPADRSKTGGWASSAMILGSEVCERLTTMGIAVNLVTYLTGTMHLGSAASSNIVTNFLGTSYMLALFGGFVADTFLGRYLTIAIFGTIEAIGVILFAITIKIPNLHPPTCSQNSPNSCEPANNLQLMVLYTALYVIALGIGGIKSSVSGFGSDQFDESNEGEKKQMVKFFSWFFFFISMGSILAVTVLVYIQDHLGRVWGYGLCACAIIVALLVFLSGTKRYRYKKLMGSPLTQIAAVFMGAWRKRKLELPSDSYLLYNVKDIKDPQDARNKKLMLPHTKQFRFLDKAAIKDPNTDGNMVRKWELSSLTDIEEVKLVIRMLPIWATTIMFWTIHAQMVTFSVSQATTLKRHIGKSFQIPPASLTTFLIGSILVTIPIYDRIILPIRRKLFNKSQGLTPLQSIGLGLVLSTCGMVAAALIDLKRTRMAHLHDLTHNTSTLVIPMSVFWLVPQFFIVGSGEAFIYVGQLDFFLRECPEGMKTMSTGLFLSTLSLGFFISSLLVFLVQKVTGHHHPWLTDDINQGKLYNFYWLLAFLSAINLVIYLFCAKQYVYKDKRLDEQVIELEKDLDNVDHA >CAK8535558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863504013:863504558:1 gene:gene-LATHSAT_LOCUS5005 transcript:rna-LATHSAT_LOCUS5005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKKALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPIGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKELKLSQSSQKKSQPSQASKKLKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIVSLQGLGEDGWSMIR >CAK8573351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605076455:605077886:-1 gene:gene-LATHSAT_LOCUS25810 transcript:rna-LATHSAT_LOCUS25810 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSNEEFQHILRVLNTNVDGKQKIMFAITSIKGIGRRFANICCKKADIDMNKRAGELSAAELDNLMTVVANPRQFKIPDWFLNRKKDYKDGKFSQAVSNHIDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >CAK8533506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627891875:627892096:-1 gene:gene-LATHSAT_LOCUS3129 transcript:rna-LATHSAT_LOCUS3129 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNVFIEKMELLDPLPLGRIFTWYRLDGKAMKRLDRILLLRGLLEAWKVGGLVAGPRDLSNHFPIWFKSNS >CAK8577249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:548476886:548478275:1 gene:gene-LATHSAT_LOCUS29371 transcript:rna-LATHSAT_LOCUS29371-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVYANMDSLSLNYAASSSQDFYVSKEGTNTNWSSPLMRECYLTRNFEENNHSDDAGESNGSDSGDGVEENSDKIDLNEDPNPNENNSGHSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLNQSVYRRIEDNATSFVSRDIDPVSSSYCAFNFPNGNNITSFPYPYFHGGVECGLNGSSSMNGVKETISSYKQSLSPIDFFSGADRNLYNQPMSFCHNYPQYMMPMQQQQESNIHNIHSTNIYSFSSNSTTAATKAQILNSEVSLSSVAAVERDQVLMSNPPPPSDATPFIDFLGVGAT >CAK8577248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:548476826:548478275:1 gene:gene-LATHSAT_LOCUS29371 transcript:rna-LATHSAT_LOCUS29371 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASVTDNTHYQSCYSSPSMGTVYANMDSLSLNYAASSSQDFYVSKEGTNTNWSSPLMRECYLTRNFEENNHSDDAGESNGSDSGDGVEENSDKIDLNEDPNPNENNSGHSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLNQSVYRRIEDNATSFVSRDIDPVSSSYCAFNFPNGNNITSFPYPYFHGGVECGLNGSSSMNGVKETISSYKQSLSPIDFFSGADRNLYNQPMSFCHNYPQYMMPMQQQQESNIHNIHSTNIYSFSSNSTTAATKAQILNSEVSLSSVAAVERDQVLMSNPPPPSDATPFIDFLGVGAT >CAK8533321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602773681:602774631:1 gene:gene-LATHSAT_LOCUS2961 transcript:rna-LATHSAT_LOCUS2961 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMNYNAGQAQGQAEEKANTMIDKANNVAQSTKESVQEAGQQVREQAQAAAEAVKNATGMNK >CAK8568810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642980569:642982170:1 gene:gene-LATHSAT_LOCUS21733 transcript:rna-LATHSAT_LOCUS21733 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAPQPQSSKTESYTDNKRKEDVRQANIIAARSVANAVRTSLGPKGMDKMISTSSNEVIITNDGATILNKMQVLQPAAKMLVELSKSQDSAAGDGTTTVVVIAGALLEKCLLLLSHGIHPTVISDSLHKASVKAIDVLTAMAVPVELSDRDSLVKSASTSLNSKVVSQYSSLLAPIAVDSVLSVVDPAHPEMVDLRDVKIVKKLGGTVDDTERVKGLVFDKKVSHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGFADLVEEVSLGDGKIVKISGIKDMGKTTTVLVRGSNLLVLDEADRSLHDALCVVRCLVAKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCIRAFAEALEVVPYTLAENAGLNPIAIVTELRNRHAKGEINTGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >CAK8564245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662101509:662103049:-1 gene:gene-LATHSAT_LOCUS17568 transcript:rna-LATHSAT_LOCUS17568 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTRLRVQNKKPIKRSGKKPLVKKILDYLKSDTFLYAPLISPQPCGFPSSNSFKVVELKKPIKKRHWLKEYVKSHGYMYDTVLELPLSPQEPLPNGEVIRRDVSAGSSPMNVNKQQDNALGNVNQRSKNYLSPTRLPDRPTRGQNETVKHTVYQTCRTTSASGNATLNSQLRAHT >CAK8568738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:637293170:637303638:-1 gene:gene-LATHSAT_LOCUS21670 transcript:rna-LATHSAT_LOCUS21670 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEESMRKRQRKNPFSGEYSRKFEQYAERPYGAMGDGNFIQDDDNRLEITRAKFGSLLKRHGDLAERISRDSDKIAFERLQKEFEAARASQTEEIYLDGEQWNDGLLATIREQVHIETDRKAMSGDTDILTSPQEKITYKTGNKVICCLEGARIGIQYETSFAGESCEFYHCVLESKSFLEKMTVLEHTLPYFLPIHQIENDLLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQIGELYHSLPHHMIEFVLEDFDCKVTVSLRYTDLISVLPTRVTVLAWPMLNKNSTTTLNRMEDGVSGNHPLPVRLSYAEDALRTMSLPEAYAEIVLNLPQALQKMYH >CAK8568739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:637293170:637303638:-1 gene:gene-LATHSAT_LOCUS21670 transcript:rna-LATHSAT_LOCUS21670-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEESMRKRQRKNPFSGEYSRKQFEQYAERPYGAMGDGNFIQDDDNRLEITRAKFGSLLKRHGDLAERISRDSDKIAFERLQKEFEAARASQTEEIYLDGEQWNDGLLATIREQVHIETDRKAMSGDTDILTSPQEKITYKTGNKVICCLEGARIGIQYETSFAGESCEFYHCVLESKSFLEKMTVLEHTLPYFLPIHQIENDLLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQIGELYHSLPHHMIEFVLEDFDCKVTVSLRYTDLISVLPTRVTVLAWPMLNKNSTTTLNRMEDGVSGNHPLPVRLSYAEDALRTMSLPEAYAEIVLNLPQALQKMYH >CAK8576010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384463370:384476921:-1 gene:gene-LATHSAT_LOCUS28229 transcript:rna-LATHSAT_LOCUS28229 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKEELPRSGEQTLNLMSTLKELHKLNSRDLYRLLKDSGSGNLSVHYLTEKGVLLKVDMGKLAQSLPLHLTTILIFSRRDEDVFQYVLCGIRLLHSLCDLASRHPKFDQIFLHDLKVVEQLIDLVLYILTILSTYRQEDHAFRLMYLSHSALLACSLHLITGFISTQFQDIANVLLAHPKVDLFMDAAFGSVRVVVRSLEITLIACYKDFSMESNLSSEQVVYFLCQQCEASLQFIQSLCQQKLFRERLLNNKELCGKGSILFLAQSILKLNTQSSFPTRIVAGISRLKAKIISILLSLCEAENISYLDQVATSSQSLDLAKSVALEVLDLLKTAFGRNPGHLTTTDRRYPIGLLQLNAMRLADIFSDDTYFRSCIIVYFTKVLTAVLSLSHMDFLSSWCSSNLLEMEEDASVEYNIFATVGWILDNSSSMDLQNSTVLELNMKRNIMPSASYAHHRTSLFVKVIANLHCFVPDCCEEKERNLFVRKVLECLQMDLSNLLPGFSFASDTPKADTISKNLRSLLNHAQSLMPNFLDPEDLQILRVFFSEMQAQFSSGSGENRVQEAQRIGIHPTHLQVKEPAELDKLGNLKEGMSENSAFPSIDQHNIRVENTKLGEDINRPHQVGGKSMGSKTVLLTGARDTHKDAQNAETSGSDTSSVKGKNVLNHADNGESKSMEHLRKVVVDETPVDEKVEPLQRRDRKRTMMNDKMAESMESALLDEPEDEKVQKRRRRTIMNDKMVELMERALLDEPQMQRNAASLQSWAEKLSHHGSEVTPSQLKNWLNNRKAKQARTSKDNPVLDKQKGPVRVSPDLHDSLCPLEVVRCNVGQSAVLVNSRGEEIGKGKVVQVNGKWYGKSLEELEAYVMDVYELHADKGMKLPFTSEATGTSFAEAERELGSMRVLWDSRRILVLQSE >CAK8544902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711130347:711133018:1 gene:gene-LATHSAT_LOCUS13546 transcript:rna-LATHSAT_LOCUS13546 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSHRMYNLFVKHLNGKTLTLLFPSPILYATSIKDRLFHLTGIPIQHQRLVTGCRHLNDDKSAIWCSPEGGNMFPSVRLLLRLKGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWREGEEDRKLEKVAEDFLKKQMKKNKGKGKNGGVDGEAQKYVAKYREQSERCVADVALSVQESLRNSKRKSSTISEAPHPRNQKKLKIWMGKRPLDESDYSDDSDEDVNDVEEETEKSVLLNSQNESGLNKAEDSSDSVTGLKRDEVSSGVGSCESGSEEEKETVVEEGKVETVVEEGKVETVEGSPQSNEAIEAKLSVVAESMINDDMADANAVPCSEMLDSGISAQDIVCQDNKVDDTVTQASDLVSSEIVPNDMEIDGSLEHKPAVIEESLASTIVPAKEEPLNFDAFNSAAELEVLGLEKLKTELQSRGLKCGGTLHERAARLFLLKSTPLDKLPKKLLAKK >CAK8559929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1790602:1792386:1 gene:gene-LATHSAT_LOCUS13661 transcript:rna-LATHSAT_LOCUS13661 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNPSNIMLTGNEDNSAAASDQTHRQIFDHYASQAMLSENYLNGSGPAINMNHCDFNHHNQQQQQQHHFSPQAQQHHMHHSFFDPRAFHGGSSTNSYPPPQPSQHPSLLSLDPLPQNCGHGHGHGPGLLLVPKSEDINRPMDFVGSRIGLNLGGRTYFSSSEDDFVSRLYRRSRPPEPGSHGSSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQHPNTQEIHKPHNTLETAATRSPPESGTQSSSSVTVAVSPPDYFRQRSYQSPSPSTNSSSMFFSTG >CAK8571085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:286815984:286816391:-1 gene:gene-LATHSAT_LOCUS23778 transcript:rna-LATHSAT_LOCUS23778 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTDMGYMIANRYNVVLVCLGIECWTFFPMTTSFSPNAAIYCIGFVNGNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFTPRLQHWQQLTPILPTHYTL >CAK8530413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19692626:19698894:-1 gene:gene-LATHSAT_LOCUS279 transcript:rna-LATHSAT_LOCUS279 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQNRPPRSPSTMQKKGVGAEEIPSDKRRRIGGEKLVGLGRGRVPFGALNNKSEVNEVSGAGGGSSDGSEGSLVDFTEEEVDALLEEKMKKGIPRDTKKKMENMVDLIKRLKQCVRWFKGKKEKLQTDLESAEKKCADTENEMKTKIDELNEAISNLRMTISSLEEKVAKEESDKQEVIECYQKEKEARSAAEQTNISLSTELEKVCDEKSAIERKAIATEDLYKRSQEYNISLQQYNSRLQSNLETVNEAHKRLETEKSSIVENLSNARGHNKALQEQLTSLKASQDEATKGKDMLATELKFLRDELKQIREDRDHQLGQVQALTGEVAKYKEYTGKSSEQLDTLTVKTSALEEACTSQRQQIDMLQQQLTAEREKLKMADLSFSETRTVFEKQETVISQLRERLAEKELQVIEGESLRKKLHNTILELKGNIRVFCRVRPLLPDDGPAADMVVSFPSSTEALGRGIELAQSGQKYSFSFDKVFNQEASQEDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMVGRPGLPELKGLIPRSLEQIFIVSQSLKDQGWKYTMQASVLEIYNETIRDLLAPTENPGKKYNIVHDANGKNTYVPDLTIVDVCGADEISTLLQRAAQSRSVGRTQMNEQSSRSHFVFTLRISGINENTEQQVQGVLNLIDLAGSERLSKSGATGDRLNETKAINKSLSCLSDVIFALAKKEDHVPFRNSKLTTLLQPCLGGDSKTLMFVNISPDPTSTGESLCSLRFAARVNSCEIGIPRRQTSTKSSDSRLSYG >CAK8569705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8730618:8733011:-1 gene:gene-LATHSAT_LOCUS22525 transcript:rna-LATHSAT_LOCUS22525 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPKALNYIPEVILKKRKHSEAWALRKKDQFKKKSFQIRKSKDFIKKPEDFIFEFRNREIDLVRMKRRVKRKRAEKTTTINKPIIVIRIQGKQDMHATTRKHLFSLGLRRIFSAVFLKPTDGVMAKLARVEPYVTYGYPNLKSIKELIYKKGNAKLDKRKVPLTDNNLIEQELGKFGIVCIEDIVHQIENVGPHFKEVVRFMWPFELNKPADGLKGLKNRFKNGGDSGDREDLINELINKMN >CAK8532149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213366009:213366356:1 gene:gene-LATHSAT_LOCUS1882 transcript:rna-LATHSAT_LOCUS1882 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAATLMLLLLLVSSSDSDSEAMLNCKAVTAYLTPCLTYLTGMVETPRAFCCKGARNIVSDASTNMENKKMACNCIKTLAYIVKPRPENAIDLSSKCRIHFPFEISTNIDCSR >CAK8569387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697955319:697958112:-1 gene:gene-LATHSAT_LOCUS22243 transcript:rna-LATHSAT_LOCUS22243 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSLFILLLLILPTTTTAHDYTDALSKSILFFEGQRSGFLPYDQRQKWRANSGLGDGWTYNVDLTGGYYDAGDNIKFNFPMAFTTTMLSWSVIEFGDNMPPNELRNALVAIRWSTDYLLKTVSQPNRIFVQVGDPNSDHLCWERPEDMDTSRSVYAVDAPNPASDVAGETAAALAAASMAFRSSDPGYSETLLRNAVNAFQFADSYRGAYSDNADVKNAVCPFYCDFDGYQDELLWGAAWLRRATQGENFLNYIQSNGKTLGAEDNINEFGWENKHAGLNVLVSKEVLDGNVESLESYKTSAESFLCTLIPETSSLHIEYTPGGLIYRPGGSNLQHATSIAFLELVYANYLSRTSQTINCGNVQVSAQSLRERAKRQVDYILGDNPLGLSYMVGYGNNYPQRIHHRGSSLPSVNDHPQKIECHEGTIYFNSTNPNPNVHVGAIVGGPGEDDVYGDDRADYRKSEPTTYINAPFVGVLAYFAANP >CAK8566305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404688133:404690096:-1 gene:gene-LATHSAT_LOCUS19443 transcript:rna-LATHSAT_LOCUS19443 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINTESEDPCITDNSSSSSISVKSTPEIEKKFVHSVYDAIAPHFSSTRFAKWPKVAEFLSSLPSGSLILDAGCGNGKYLGFNQDCFFIGCDISPSLIKICLDRGHEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRRKAIEELVRVVKKGGLVLITVWAVEQEDSSLLNKWTPLADKYVEEWQGSGSPRAARLTSSPSLESIPEIEESGFGGEERKVCNVSEVLGDLKEEENVKNQQEYFVPWHLPYHRAEVSGASAHALATGLATKDDIKGAVVYNRYYHVFSEGELESLTNGISNARVVDQFFDKSNWCIILERTL >CAK8579449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700086284:700087819:1 gene:gene-LATHSAT_LOCUS31396 transcript:rna-LATHSAT_LOCUS31396 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEDPGISGNQYRGTVIVGFSDRIPSDKDNKDNICLQTGEEFSAEFLRDRVGLRRFPVITDAEQHMPNRMDFNVNNSKPVYEDQKHVVGLGRMDSDSNLDLLDIAFARGYVAEVDNRACHNNLSRYQCEHGGIRQASSAFSRQLSIRFSDGCDQVASASNTPRPCQPYGTVVSEGSFYQKIKFLCSFGGRILPRPNDGKLRYAGGETRIISIRKNITHEELTRKTSDICNQTHIIKYQLPGEDLDALISVCSDEDLHHMIEEYEELERGGGSQRLRIFLIASNESESPSSNEPRVNQQSDADYHYVVAVNGILDPCPRKNLSGLNLAGHASQFTTASDYNSPHFHRESSTYAFASDFIDCSPTSSNLAGTMSKQSLFVTSMKVAGKSFDQMPPSPICAQPKDPNISNVQLFTDQPYNVVNENIIPYVMEKIPRDNSLYVDSTSYVDPIAYYNNHPQGPPCMNHHPSKTNPIMKLARQTSSAQAVPACYRPTLLQIHKQLLQQNKKHNNLL >CAK8576907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523572288:523578724:1 gene:gene-LATHSAT_LOCUS29064 transcript:rna-LATHSAT_LOCUS29064 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFVGGHIWEYTFIGVYSPVALIVFILYARCTAINPADPGIMSKFDPRVRNTFNPAHGLLGKHQSSERGDVAAGEHSSLSSAASKRSMTNMSKKSSVEDPDRLDDLRNQYKPSSCDVIGGICCILFSHEDCRKQETADEQGGGEDALFCTLCNSEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGQKNYHSFISLMAFSLTWLVIEAGVGIAVLVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTAVSVLACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPPGPSVDGDLPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGFAERGQKMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRPPDAELSSSGNMSIRSSMSIDTGNNKEVNKLRLSPVRNLIAPSQGSRDEYETGTQSMSSFSSPSHAQEAVTLSPLPQGRTLGGFRAGISVPSLVPERPLTSKATLPNFRNPISNPSLGFDATMMLKGASNDPLLLSASSTSILRDVKRTSVVWDQEAGRYISVPSLPSEARNRSSLQIEVPNLNTETSSIGRKPVIPPQEPASSAPKSPRQHAQNLTYTGESIFFGGPFLSVAAKDGLKNERHLGSVEAHDSVAVNLPQEPRYRRDSHSNQLPVFVPGGFDNALQPRSGMN >CAK8561197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117304196:117304771:1 gene:gene-LATHSAT_LOCUS14809 transcript:rna-LATHSAT_LOCUS14809 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRDRFFFVGWSGLLLFPCAYFVVGGWFTGTTFVTSWYTRGLASSYLEGCNFLTAAVSTPANSLTHSLLILWGPEAQGDLTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIDVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFIIFFQGFHN >CAK8566112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381230837:381232156:1 gene:gene-LATHSAT_LOCUS19275 transcript:rna-LATHSAT_LOCUS19275 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSLQYMTWYRSVSIGFISHPRYLNDPRQQDSSSRPQQPSQPYFEPPTQPQCQPSTQYYFQPPTQPNFQPPFQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQSFTPIPPYDQAGYRPEIASSSQPPQTNYEGMGNSFDLDDLTDMDPSAWAEVIQMLDDDTVDPTPPQRPPRNVRNRGCGTGGHLNRPSRRN >CAK8560009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4879381:4880577:-1 gene:gene-LATHSAT_LOCUS13731 transcript:rna-LATHSAT_LOCUS13731 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >CAK8531988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186511978:186514798:1 gene:gene-LATHSAT_LOCUS1734 transcript:rna-LATHSAT_LOCUS1734 gene_biotype:protein_coding transcript_biotype:protein_coding METNRIQQLQNEGLISCFWNRVDNPGTVWNSENVLIFYLPNLVLQIFLVVFATRLMFWILRPLHQPHFVAELLAGFMLNIYVPILNSTLFGIALPTIKGLLGFESIAQFGVIYYVFVTGLEMNLDTIPRARKKASTIAIAGTLIPMILGFAIYVLVAKTFIKNGEFNTVSAYFLWSLTISMTSFPVVAHILSDLKILYTGLGRVALTAATINDFINWAMFIFLIPLIINGKRGILSVISTVFFILFCHFVFRPPLNKILIQKTNQNEWDLYQLSYVIFGVVACATVTEFLGTHSVVGALVFGLILPRGKFAELLIEQLDDIGSRYLAPLFFASIGLRAEVLPVLNGNFILVIFIMIVLISTKILSTIVATRFYGMPIRDSIALGMLMNTKGVLSLIILSIGWDRKVISKEAFTVMVFSIFLMTVIVAPIINAMYKPRVIYEQNKLRTIENLKSDSEIRVMVCVHNARQANGMINVLEACNGVNVSHLRVFALQLVERKGRSAALMVAQLDQQQQKESQILDQSSETDSYLSNHITNVFEEYSSNNANTLVENLVAISSYSTIHKDIYNLALEKQASLVLLPFHKQNTMEGSLEVAERAVKDINRNLMKDVPCSVGIFVDRGHHAALSKIKMHIMMIFIGGPDDREALAIAWRMSKHPWTRLNMVRIFLCGKAAVVDSSRYTEEHGLLAAVLDSGKQKELDEEYVGSFRLKAVNNEDTITYAEREVHAGEDFLEVLNELDRVGCDLYILGHGKGRNSLVLSNLLEWADCPELGVIGDMLASNSFGSNSSILVVQQYGFGGVEFNTSHSSHKGHSTYDDLESFSQR >CAK8573435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612151660:612153723:1 gene:gene-LATHSAT_LOCUS25882 transcript:rna-LATHSAT_LOCUS25882 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLERYQKLALTESLPINYRYPIACKELSFILREAFHQFPKNLQTIVYQDTLSAFRLLPQMQTENAVSAVHLLLQSVEAALPKQKKNMAVTEFKHAMVAHKRRAKAHQVEEGSVQLPQDILVHIFSFLDMQSLVSAGIVNWSWNMAANDNHLWESQYSVLYDSAAKQRPKRPDDKLLHKPPDTRTVTDWKEAVKGAYTGALSKKLATNRGYCEHCKAIVWLNNSKCPNVHGGTISEIHDTKPVTPFQVVEYLLDDSLCIASSSDSDSDSEEGSVSKLWAYPKHLRKY >CAK8535566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863744508:863745190:1 gene:gene-LATHSAT_LOCUS5013 transcript:rna-LATHSAT_LOCUS5013 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGGYFISYLGKPGPFFPCSEKNTYAMEVEKGKTYLLRIINATLNDELFLSIAAHNMTVVEVDAVYTKPFTTQSILLGPGQTTNVLVKTNQLPSRYFMATRTFMDAPVSVDNKTATAIFQYKGVSNTIIPSFPQLPNANDTSFDLNYSKKFKSLNSAKYPANVPLKVDRNLFYIIV >CAK8534416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724798844:724800925:1 gene:gene-LATHSAT_LOCUS3964 transcript:rna-LATHSAT_LOCUS3964 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATQVVVLDNGGGLIKAGFGGERDPSAILPNCLHKPLASKKWLHPNPLNTPASAEQDLTSASVRRPMDRGYLINPDLQREIWSHLFTSILRINPSQSSLLLTEPLFSLPSIQHSLDELVFEDFNFTSLYVADSPSLVHLYETSRSPNGLLSKAQCSLVVDCGFSFTHASPVFQNFTLNYAVKRIDLGGKALTNYLKELISFRSVNVMDETFIIDDVKENLCFVSVDVPRHLNIARKSGKDNLLRCTYVLPDGVAHTKGFVKYPEQANRYLADGKEMKENVDDHEEILQQQHENNRQKVDLTKNEFDLTNERFLVPEMIFRPADLGMNQAGLPDCIVRAVNACHPHLHPVLYESIILTGGSTLFPHFAERLEKELRPLVPDDYDVKITTQEDPLLGVWRGGSLLASSPDFDSMCVTKAEYEELGSARCRKRFFH >CAK8536634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3256372:3257961:-1 gene:gene-LATHSAT_LOCUS5978 transcript:rna-LATHSAT_LOCUS5978 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADSFVKVSTIISHVFARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQFPQYLLALQKYVPGTVVILESLPAYTPKGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLINLRTHVAPQPNLCLISDRHAFIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMREIKDKNLRKKIVSAGYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRETYYRLGSLFAARGKKWSDVLQSGRIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNKGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKCRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8576228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:430845217:430846892:1 gene:gene-LATHSAT_LOCUS28434 transcript:rna-LATHSAT_LOCUS28434 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHASVHPVEEVPNTEGGVAVAEQNVNVPLVVRMKDIQGMPGTVGGLALRVSQFIFGAAALSIMASTSDFPSVTAFCFLVAAAGLQTLWSISLAITDIYAILVRRSLQNYRLVSSFTIGDGVTSTLMFAAACASAGITVLIDNDLGNCSENHCVQFETATGMAFICWFTTVPSFLLNFWSLASR >CAK8544399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682275513:682280505:-1 gene:gene-LATHSAT_LOCUS13085 transcript:rna-LATHSAT_LOCUS13085 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKGVDFASDKVLASRFLSEFADANGEAKYINILQDVANHKTRSVEIDLDDLMSYKDLDEEFLTRVTENTRRYIGIFADAIDELMPEPTEAFVDDDHDILMTQRSDEGTEGADGSDPHQKMPPEIKRFFEVYVKASSKGRPFTIREVKASNIGQLVRLAGIVTRCSDVKPLMQVAVYTCEDCGFEIYQEVTARIFMPLFECPSRRCVMNKSKGNVILQLRASKFLRFQEAKIQELSEHVPKGHIPRTMTVHLRGELTRKVSPGDVVELSGIFLPIPYVGFRAMRAGLVADTYLEAMSVSHFKKKYEEYELRGDEEEQIKRLAEDGDIYDKLARSLAPEIFGHEDIKKALLLLLVGAPHRQLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLSDMGICAIDEFDKMDESDRTSIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDNDLEMARHVVYVHQNKESPALGFTPLEPSVLRAYISTARRLSPTVPRELEEYIASAYSSIRQEEAKSTTPHSYTTVRTLLSILRISAALARLRFAETVAQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARSHRMDVNYRDALNWISRKGYSEAQLKECLEEYAALNVWQIHPQTFDIKFIDA >CAK8577790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588595349:588596116:-1 gene:gene-LATHSAT_LOCUS29861 transcript:rna-LATHSAT_LOCUS29861 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFVAPNIVNGEIEILIEDTDVVEKLEFWDNASILFALGQNLSMNAVRIFMEKTWNFVAMPELYYNDEGYFVLRFKNNEDKEKIMEQGPYFIYGKPIFLRYWTTDFEIKEDLLHVLPLWITLPNLPLHLGGEKSISKITSAVGKPITTDKCTAKKLRISYARVLVEVDITQKMRDKVCIKDHNGRILEQKIEYEWKPVYCQSCLRISHDCTLKKNAQQKPPPTKLWKPINSNKPMEETQVIDNIQPEDIQNNA >CAK8544556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692489513:692491558:-1 gene:gene-LATHSAT_LOCUS13222 transcript:rna-LATHSAT_LOCUS13222 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLVLGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNMSDSNVRPMEVFMCSIVKKMGYGEGFKWVSQYIK >CAK8572211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521525926:521528465:-1 gene:gene-LATHSAT_LOCUS24801 transcript:rna-LATHSAT_LOCUS24801 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTSVMGKSRIILTMSARFINEAISFLVFCFLDLVDFLLCFLFKSVDMLFDSEFRPCYCSSSAKEAITSSGKILVSEQGGESKIVSLSSTKLQLEDISDTLYSRPSLVAEVSRLTMNELKRLKLEDPVLAQSKRGNSRCSSFTVNATIVEMLQGKIGKHDVHNQIPRWSDCDCKECCSWIQPSSKTTLYVKSQFPTSGEAREDVLFIHGFISSSLFWTETLFPNFSSEAKSKFRLFAVDLLGFGKSPKPSESLYTLREHLDMIEKSVLEAHKVESFHIVAHSLGSILALALAAKYPHYVKSITLLAPPYYPVPKGEAQATQYVMRQIAPRRVWPPMAFGASLVCWYEHITRMICFLICKNHRLWIFLTRLITRNRVRTFLLEGFFSHTHNAAWHTLHNIICGTAAKLGTYLETVKENPNCKVTIFHGKNDEVIPIECSYDVVKMIPSAELRVIDDKDHITIVVGRQKDFARELEEIWNSTNKNN >CAK8574382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679163392:679165723:-1 gene:gene-LATHSAT_LOCUS26735 transcript:rna-LATHSAT_LOCUS26735 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPARNRLLARTDSIRIFPSRSVVITFTYAFTLTSIVFMLVLVNTFHPSSRRYHFTKVIAHIFTANSSQPSSYPPQYSPTHHKTSHKDDGLVDHRIVASPSPSQISTKEDDGLVDHRIVASPSPSQTFGKEDGGLLVHRIIGSPSSSQTSKKEDGGLLDHRIVGSPSPSQTSKKEDGGLVDHRIVNSPSPSQISRKEDGELVDHRIIVAPSPSQTSRKEDDGLVDHRIVNSPSPSQISRKEGSGLARKQSKIHHTAYPPIGATTKPQQEKSFAPMPSPNVVQSDQQHLLELTKNCNMYEGSWVFDDSYPLYKAGSCPNIDEPFDCFHNGRTDNLFEKFRWQPKNCNMPRFNGSDMLELLREKRLVFVGDSLNRNMWESMVCVLRNSVENKSRIFEASGREEFRTEDSYSFIFQDYNCSIEFFRSPFLVQEWEIPTQKGSKKETLRLDLVENYYDKYKNADVLIFNTGHWWTHEKVREGKEYYQEGDHIYGQMDVDEAINKALLTWSHWVDTHVDPKKTTVFFRGYSPSHFRGGEWYSGGQCENITEPMKDDSDLPENPSSMMKTIESVIAGMKTPVFYLNITRMTDYRVDAHPSLFRFPNMTEETKRFTLTHQDCSHWCLPGVPDLWNELVYVHLLQRLKTNQGNL >CAK8533343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605191201:605193149:-1 gene:gene-LATHSAT_LOCUS2980 transcript:rna-LATHSAT_LOCUS2980 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTLAPEAENKNIRKKREVSNENAPLLPKSQETDGEFNEFNGASFSGAVFNLSTTVIGAGIMGLPACVKKLGMVPGLLAIILTALLTGKSIEFMIRFSRAGNLSSYGNLMGDAFGKYGKALIETCVVVANVGILIVYMIIIGDVISGTASSEIHHSGILEGWFGVHWWTGRKFVLVFTTLAVFAPLVSLKRIDSLRFSSALSIGLAVVFLIIAVGISVFKIISGGIGMPRLFPIITDVASVFDLFTVTPVVMSAYICHYNGTEMFETFS >CAK8562743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532757885:532761474:1 gene:gene-LATHSAT_LOCUS16214 transcript:rna-LATHSAT_LOCUS16214 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDLLSVEPLELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVMVTMQAQKEAPADMQCKDKFLLQSVKTNDGVSAKDISAEMFNKEAGHVVDESKLRVVYVSPPQPPSPVPEGSEEGSSPRGSVSENGNANGPDFAQVTRGFAERPEAQDKSAEARALISRLTEEKNNAIQQISKLRQELELLKREGNKNRGGVSFIFVILIGLLGIIMGYLMKKT >CAK8541378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:142719784:142720008:-1 gene:gene-LATHSAT_LOCUS10303 transcript:rna-LATHSAT_LOCUS10303 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRAEKNEALCMCKERKRFIKVAIDSRYDLAASHVSYIQSLRNVGIALRRYAEAEVLVESSLSISDKTPS >CAK8572683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555385895:555386896:-1 gene:gene-LATHSAT_LOCUS25216 transcript:rna-LATHSAT_LOCUS25216 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVHAKLMITTLVLLILSVSTLASSSSLKFGFYKKTCPSAEAIVRRAVNKAVSSNPGIAAGLIRMHFHDCFVRGCDASVLLESTPANPSERDHPANNPSLRGFEVINEAKAQIEAACPKTVSCADILAFAARDSTRKVSGGMDYSVPSGRRDGRISIMEEVTQNLPPPTFKAEELIKRFSIKGLSADEMVTLSGAHSIGVSHCSSFSNRLYSFNATFSQDPSMDPNFAMMLKSKCPPPQSQTRDPTVVFDGSTPNDLDNMYYMKLKNKRGLLTSDQTLADSDLTKRMVLKNAKNGTIWRVKFAKAMVHMGSIQVLTSSQGEIRERCSVVNFH >CAK8572831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566514882:566515190:1 gene:gene-LATHSAT_LOCUS25350 transcript:rna-LATHSAT_LOCUS25350 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKDLASKKAAVVFTKSSCYMCHSITQLFYELGASPAVHDLDIEPYGREMERALRSLGCNPSVPAVFIGGKFVGSSKDVISLHVDGSLKQMLMAARAIWF >CAK8579175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681967172:681968370:-1 gene:gene-LATHSAT_LOCUS31141 transcript:rna-LATHSAT_LOCUS31141 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLRFQELKSKRSYRFIVFKIEEQQVVVDKLGEPTESYDDFMASFPEDECRYAVYDFDFTTDENCQKSKIFFVAWSPDTSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKARAL >CAK8574517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1384937:1386631:1 gene:gene-LATHSAT_LOCUS26857 transcript:rna-LATHSAT_LOCUS26857 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNVYIPDECWECIFKFLINHDADDTHRRYCHLKPLSIVSKQFLSVTNRLRSSLTFYDPTCQLFHSLSLFRRFSNISSLNLSSYYDGDLDVLLVQISRFPLKITSLNLSNHPKIPLKGLGIFSENIITLTSLICSNIGTFYDTDVLFIADCFPLLQELDISNPTQFQFNETAFSSAQDLSLALSKLRKVNLSHNYYISDELLFHLFKNCKLLEEISINNCQSITLSGIAAALLERPTLRSLSFCNYFAARFSKPVTSPFIDSLVRLKSLTCLKLLSINISNDLLSSIAMAGLPLTRLELRNCVGYSYTGIFSLLSKCPSIQHLNLKRAYCLNDQHVVELSLFLGDLMSINLNHCKRLTESALFALVSKCPSLIEIKMESTSIGKSVRNSNFLMDCIVNSQLKSLYLANCKGLKDETIICLSSFFSNLQLLGLNSCQSISDKGIGQALRRFCNIRHLNLANCSRGKLLVGMNFEFPKLEVLNLSYTRVHDKTLYAISKGCRGLLQLHLVNCCYVTWKGVKYVLENCTQLREINLWNCNKVDVNVTSMLQLSLSLRKIIAPLSF >CAK8568292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590942159:590943463:-1 gene:gene-LATHSAT_LOCUS21264 transcript:rna-LATHSAT_LOCUS21264 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPSTDHIVSWGEDDSTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEYFKKGEKHLLCEIHRRKTTQPQQTGIMNLHHQHQHHQHNVPSSFFPFSNTRVSISPPNGYSDEQLNNWSCDSPPLTSPSFINGGPTATAATTTSTNYNTSVTALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYSSSYLLPQASSNPNPGGSVSMMQRPMNQLLGYYSNNNNNSTNPKHVTQFQHQTQSHHHQHHHGANVNVNSPTTTSRSSITMVEGHNSNNCSKTKLFGVSLQSKKRVHPECGSNNPTNLETNKTRLVLDQKDDFDFDLGLNLMPPSTC >CAK8567410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512694814:512696267:1 gene:gene-LATHSAT_LOCUS20467 transcript:rna-LATHSAT_LOCUS20467 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSSNRQVTYSKRKNGILKKAKEISVLCDAQVSLILFGASGKMHEYISPSTTLIDVLDRYQRASGKTLWDAKHENLSNEIDRIKKENDSMQIELRHLKGEDITSLNYKELMSLEDALENGLTGVRDKKMEVHRMFKRNGKILEDENKELNFLLQQHLALEGVGNMHGQWI >CAK8537503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:378979086:378981270:1 gene:gene-LATHSAT_LOCUS6792 transcript:rna-LATHSAT_LOCUS6792 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVLGKQRVNERLIEIAMSFSNSNVLPATAVPELMALFDEFLAQQKNNVIPPLEDENGKRTTKTNKWIDSMRASSPTRTRNTSENRDQIHWKLFHPSALNMFDQIMLKANGKQIVVFLDYDGTLSPIVADPNKAYMTKKMRMTLKDIARHFPTAIVSGRCLDKLFSFVRLTELYYAGSHGMDIKGPTKKGSNDGVLLQPASEFLPIINEVYKILVEKTKDVPGAMVENNKFCLSVHFRCVDEKIWAALAEQVSLVLNNYPNLKLTQGRKVLEIRPTIKWDKGRALEFLLESLGFANSNNVYPIYIGDDRTDEDAFKVLSNRGQGSGILVSKIPKETNASYTLQDPSEVGEFLQHLVEWKRTNSHSHKL >CAK8566686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448988480:448990024:1 gene:gene-LATHSAT_LOCUS19798 transcript:rna-LATHSAT_LOCUS19798 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGMFTVQRTIGSVKCCKCGILMQPNAANMCVKCLRSEVDITEGLLKRLVLVHCPDCESYLQPPRTWVKLQLESKELLTFCLKRLQKNMNINKAKLVNAEFIWTEPHSKRVKVKVSVQKEVYHGAILEQSYLVEYVQQDHMCESCSKVAANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHGAAARAVRIKQMDHGIDFYFTSRSHGNKFVEFIGKVSPIRSRSDKQLVSHDVKSSIYNYKYTFSVEISPICREDLICLPPKAAGTLGNIGPIVICTKVTNSIALLDPHTLKFGFLDADQYWRTSFKSLLTSRQLVEYIVLDIEPVSPEVIIGGTKYVLADAQVARMSDFGKNDIIFNIKTHLGHLLKPDDLALGYDLYSANTNDMELDNYRGDVPEVVLIKKSYEEKRQKRRSKPRAWKLKSLVMEVDEKVNEDKMASEYEQFLKDIEENPELRFNISLYQNKDYQPSEVTSVADGEELPSVPFNELLAELDDLELRDDDDDEEEDKMAE >CAK8539504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514299736:514308877:1 gene:gene-LATHSAT_LOCUS8590 transcript:rna-LATHSAT_LOCUS8590 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGEGSSFLVSIIENRAKEVGLAAFDLRSASLHLSQYIETSSLYENTKTLLHFYDPIVIIVPPNKSASNSTSAVTELVDRFYGSAKKVLLSRGCFDDTKGAILIKNLAVRDPSALGLDTYYKQYYLCLAAAAAVLKWTEAEKGVVVTNHSLSVTFNGTFDHMNIDATSVQNLEIIEPFHSALLGTSNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMNNEQLFFALCQVLRKFPKETDRVLCHFCFKPKKVTIQAMSVDRAKKSQVLVSSVILLKTALDALPLLSKALKDAKSLLLSNIYKSVCENEKYDLIKKRIEEVIDEDVLHARVPFVACTQQCFAVKAEIDGLLDISRRSFCETSEAIHTLANNYREHFKLPNLKLTFKNRQGFHFIIPQKNIQGKLPSKFIQIVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDAIRENVSALTLLAEMLCLLDMIVNSFSHMISTKPVDQYTRPEFTENGPMAIDAGRHPILESIHNDFVANNLFLSEASNMVIVTGPNMSGKSTFLQQVCLIVILAQVGCYVPARFSTLRVVDRVFTRMGALDNLESNSSTFMTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGFAIAWSCCEHLLSLTAYTIFATHMENISELATIYPNVKIHHFHVELKNNHLEFKFQLKEGPKHIPHYGLLLAEVAGLPSSVIETARMITSKISEKEKKRMEINCLQNHSIQNAYHVAQRLLCLKYSNQDEDTIRQALHNLKEYCVKQKL >CAK8539503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514299736:514308877:1 gene:gene-LATHSAT_LOCUS8590 transcript:rna-LATHSAT_LOCUS8590-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGEGSSFLVSIIENRAKEVGLAAFDLRSASLHLSQYIETSSLYENTKTLLHFYDPIVIIVPPNKSASNSTSAVTELVDRFYGSAKKAVLSRGCFDDTKGAILIKNLAVRDPSALGLDTYYKQYYLCLAAAAAVLKWTEAEKGVVVTNHSLSVTFNGTFDHMNIDATSVQNLEIIEPFHSALLGTSNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMNNEQLFFALCQVLRKFPKETDRVLCHFCFKPKKVTIQAMSVDRAKKSQVLVSSVILLKTALDALPLLSKALKDAKSLLLSNIYKSVCENEKYDLIKKRIEEVIDEDVLHARVPFVACTQQCFAVKAEIDGLLDISRRSFCETSEAIHTLANNYREHFKLPNLKLTFKNRQGFHFIIPQKNIQGKLPSKFIQIVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDAIRENVSALTLLAEMLCLLDMIVNSFSHMISTKPVDQYTRPEFTENGPMAIDAGRHPILESIHNDFVANNLFLSEASNMVIVTGPNMSGKSTFLQQVCLIVILAQVGCYVPARFSTLRVVDRVFTRMGALDNLESNSSTFMTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGFAIAWSCCEHLLSLTAYTIFATHMENISELATIYPNVKIHHFHVELKNNHLEFKFQLKEGPKHIPHYGLLLAEVAGLPSSVIETARMITSKISEKEKKRMEINCLQNHSIQNAYHVAQRLLCLKYSNQDEDTIRQALHNLKEYCVKQKL >CAK8565564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:279034038:279047180:1 gene:gene-LATHSAT_LOCUS18765 transcript:rna-LATHSAT_LOCUS18765 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRNKSSVTKDQTISSSSSNRESLSDAMLVFTMNIIGLPVDVHVKDGSVFSGIFYTASVDDRFDIVLKQARMTKKGIGHSNVGKDAVIDTLVVQSKDLVQVIAKGVALPGDGDNGKITGEDEEAITPKVCCSENPSMDAEQVNQSRQAEDNNSKGKPNDCRQKFEFHSEKNDEKIQSLDSGHEIITCLGQVKADKANDQGSERSTSPDSTSTHSTLSEDLSEASHNIPAKIIEKSAPRGADCTRNAKEFKLNPAAKIFSPSFVYPISAPSVVPTAANMVYMPNSTPAPNMVYMPNSSPPGHVATLQPEVGFNTFASRPSVPVKVSQYGNLTVGNAGSGSQFSQPIVGQLAHRAQPLQYAAHYTPVLSEPAYLQSSSPAVMAGRSTQLVYVQQVSHDLVHGVTAPFPTRPLLNHVQFPKHQGGTFSQAIPVVMPPSVITSPQQQTFAFQNHIPVLQPGFSPPRPISIPGSNGFYGTKFS >CAK8565565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:279034038:279047180:1 gene:gene-LATHSAT_LOCUS18765 transcript:rna-LATHSAT_LOCUS18765-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRNKSSVTKDQTISSSSSNRESLSDAMLVFTMNIIGLPVDVHVKDGSVFSGIFYTASVDDRFDIVLKQARMTKKGIGHSNVGKDAVIDTLVVQSKDLVQVIAKGVALPGDGDNGKITGEDEEAITPKVCCSENPSMDAEQVNQSRQAEDNNSKGKPNDCRQKFEFHSEKNDEKIQSLDSGHEIITCLGQVKADKANDQGSERSTSPDSTSTHSTLSEDLSEASHNIPAKIIEKSAPRGADCTRNAKEFKLNPAAKIFSPSFVYPISAPSVVPTAANMVYMPNSTPAPNMVYMPNSSPPGHVATLQPEVGFNTFASRPSVPVKVSQYGNLTVGNAGSGSQFSQPIVGQLAHRAQPLQYAAHYTPVLSEPAYLQSSSPADLVHGVTAPFPTRPLLNHVQFPKHQGGTFSQAIPVVMPPSVITSPQQQTFAFQNHIPVLQPGFSPPRPISIPGSNGFYGTKFS >CAK8567960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559435066:559437318:-1 gene:gene-LATHSAT_LOCUS20965 transcript:rna-LATHSAT_LOCUS20965 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESIENNPSHSIDGVPSELDPQYFDDDGRPKRTGTIWTTSSHIITAVIGSGVLSLAWAVAQLGWIAGPITMILFSLVTMYTSSMMAECYRYGDPIFGKRSYTFVDAVRNILGGLQCKFCGIIQYLYLYGSAVGYSIAAPISIMEIIKSRCLHKSGGKDPCRISTNPYMMGFGILQIFVSQIPEFHETWWLSVIAAVMSFIYSIIGVFLVTVQVAANGTVKGTLTGGGAEIVSTTGRVWRIFQAIGNIAFAYSYSQILIEIQDTIKNPPSEVKTMKAATVLSVIVTTSFYMLCGLMGYAAFGEQAPGNLLTGFSMFNPSWVIDAANAAVVIHLVGAYQVYSQPVFAFIEKGAAKRWPQTKVEHKIFSGYNLNLFRIVWRTIFVIVTTFVAMLIPFFNDVMGFLGAVGFWPLSVFFPVEMYIVQRQIPKWSSKWIWLEIISLLCLIASAMAAIGSIASILRNLKTFKTFNSEY >CAK8533587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639418092:639421395:1 gene:gene-LATHSAT_LOCUS3206 transcript:rna-LATHSAT_LOCUS3206 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSPPTHSPLLLNTKTNLSSSSSPSSPSYLLPLLTFPSKRSSSLTLFSSSKSGDGLSSKDKKVLLEQYGYDIDADDLFSQSSRKSKRKKDQQKTRGGKQVQDPPEEPKSPRTTHKLLQVIGGTARRKKLLSPNSMDVRPMMEVVKSAAFDILQAACGSPASLRPGRWLDLYSGTGSVGIEALSRGCSEVHFVEMDPWVVSDVLRPNLEVTGFVDGSVIHTVRVEKFFERAEQFVGNKGMFDYISVTPPYEQVDYAVLMRLISESPFVGEDTFILVEYPSKTDMQESCGSLVKITDRRFGRTLLVIYGPAWAQKKRK >CAK8560386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16888831:16889649:-1 gene:gene-LATHSAT_LOCUS14063 transcript:rna-LATHSAT_LOCUS14063 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSAIATPIIQRDYVLKHFPTTVSSTYNSVDFIIGFATEDYNQNGEGKGDFHSTWDLATFSPEKVKELKKNYPDVRVVISIGGYIGTYSPFNPIEKKDVWISTAVYSLKKIIHIYDDKYHRNMIDGIDIHYGNVKSDDFSYCIGEVIKSLKTDPQLTIKVVSITAGEYTQSDYLKLYVENQEYIDIVQYLFTNWRYCKEDLLDFYNKLIASYTPAQVLPGYLNPSFSGDKAKETVMYLVKQYLAPGFFTYPSYDSPSPFSSEEDASKNI >CAK8578497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632933521:632934318:-1 gene:gene-LATHSAT_LOCUS30503 transcript:rna-LATHSAT_LOCUS30503 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKLTMSDQIRKELCEYKRDNPASTQKDLQRWLEEKFQLKVSQGTISNTHKRSNDYLSAEIEKGRVEIKRHKPTKYPDMEKVVYEWFLQHQERVNITRELILQKARDTMKLVYPHDDLDFNFSIGWLGRFKNRHGIKSFRHFGESGYVDVQDMEQKLVSIREKIDQFPMKDVFNMDETGLFYRLQVDHSLATKQLEGRKQDKERLTEVICCNEDGSEKIPLWIIGKYAKPRCFKNVNMNSLNSQYRANKKVWMTSVFF >CAK8564298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666695715:666696009:1 gene:gene-LATHSAT_LOCUS17619 transcript:rna-LATHSAT_LOCUS17619 gene_biotype:protein_coding transcript_biotype:protein_coding MHVISFSSLGGFFIRIALIFSVIFFMHSSPVNADMEIEIMRKLGLIERQREFAPPPPELADAPQPGFVL >CAK8573549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619247228:619248305:1 gene:gene-LATHSAT_LOCUS25985 transcript:rna-LATHSAT_LOCUS25985 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAEHNDDSSTVESQRSTPTPFITKTYQIVDDHTIDDVVSWNDTGTSFVVWDPTVFARDLLPKYFKHNNFSSFVRQLNTYGFKKVVSDRWEFSNECFRRGEKRLLYEIQRRKIVSKSKPAVTNAGATATVAVSSPLHSVSIPPTKSIASPSISGEEQVISSDSSPFNQAALIEENERLRKENMQLRTEIVDMKSLFSNIFNLMSNYGKFQAESGAQGKECCSTATKTLHPLPEKHRRRCGPEKRCDGEDAAEIVVEDNCPKLFGVAIGTKRAREEGRGDEDNTMLSLRQPVHVDWKSESLDLQNGVKRKTMWLNKWFRGNQSVCN >CAK8563831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633641735:633649086:1 gene:gene-LATHSAT_LOCUS17201 transcript:rna-LATHSAT_LOCUS17201 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVAPFTPGQVSFLLGIIPVFIAWIYSEILEYRKNSLMKSRHSEINLVELSNDVVKDEDRAVLLEAGTQQPASPTPKARSLSTSPSIIRFLLMDEHFLIENRLTLRAMSEFGFLLTYYYLCDRTDFFGSSKKSYNRDLFIFLYFLLIIVSAITSFTIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRLFIAAYVWMTGFGNFSYYYIRKDFSMARFAQMMWRLNFLVLFCCVVLDNSYMLYYICPMHTLFTLMVYGALGIFNKYNEIGLVIAAKFFACFLIVILVWEIPGVFEWVWSPFTFLLGYTDPDPSKSQFPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEETEIKRRISIKAAVVLISSVMGYFWFEHIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQSFRSFSLTLFAWLGKVTLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFLLTTSIYVAISYRLFQLTNTLKIAFVPSKDDKRLIHNIITGTTISVVLYSLSFAFLTIPQILV >CAK8563832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633641735:633649086:1 gene:gene-LATHSAT_LOCUS17201 transcript:rna-LATHSAT_LOCUS17201-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVAPFTPGQVSFLLGIIPVFIAWIYSEILEYRKNSLMKSHSEINLVELSNDVVKDEDRAVLLEAGTQQPASPTPKARSLSTSPSIIRFLLMDEHFLIENRLTLRAMSEFGFLLTYYYLCDRTDFFGSSKKSYNRDLFIFLYFLLIIVSAITSFTIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRLFIAAYVWMTGFGNFSYYYIRKDFSMARFAQMMWRLNFLVLFCCVVLDNSYMLYYICPMHTLFTLMVYGALGIFNKYNEIGLVIAAKFFACFLIVILVWEIPGVFEWVWSPFTFLLGYTDPDPSKSQFPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEETEIKRRISIKAAVVLISSVMGYFWFEHIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQSFRSFSLTLFAWLGKVTLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFLLTTSIYVAISYRLFQLTNTLKIAFVPSKDDKRLIHNIITGTTISVVLYSLSFAFLTIPQILV >CAK8561111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:96658772:96660915:-1 gene:gene-LATHSAT_LOCUS14730 transcript:rna-LATHSAT_LOCUS14730 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGDRIDPRSGFCSSNSIFYSKRQPISLPPNHSLDVTTFISSHAHDGNTAFIDASTGRHLTYTQLWRCVEALTSSLSNMGIKKGDVVLLLSPNSIYFPVVCLSVMSLGAIITTINPLNTAREIAKQIADSKPVLAFTISPLVSKINEASPTLPITLMDVDGESSSSSSSSSSNLNTLEEMIKKEPELRTVRERINQDDTAILLYSSGTTGPSKGVVSSHKNLIAMVQNALTRFRKQETFICTVPMFHIYGLAVFAMGLLAVGSTIVILSKFEIHHLLSSIERYRVNFLPLVPPILVIMLNNADALKRKYDLSSLHTVLCGGAPLSKEVIEEFIEKYGNVVIRQGYAMTESSGVGSSTDCLEESQKYGTAGLLACSTEAMIVDTETGEPLPVNRTGELWLRGPSIMKGYFNNEEATKSTLTSDGWLKTGDICYFDCDGFLFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDEATGQYPMAYVVRKDGSHISDGQIMEFVAGQVAPYKKIRKVAFISSIPKNQSGKVLRKDLIKLATSKV >CAK8578228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613469448:613471473:1 gene:gene-LATHSAT_LOCUS30258 transcript:rna-LATHSAT_LOCUS30258 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIHGLFILCITLILINHNLKNVEGRSHFHKEKKVSSPIPSESSNSSPSVPSDPYPNDPHDSSSDCVFDVRYFGAVGDGLADDTPAFKKAWKEACAVESGTILVPENYTFKITSTIFSGPCKPGLVFQVDGVLIAPDGPDSWPEADSRNQWLVFYKLDQMSLNGTGTIEGNGDQWWDLPCKPHRGPDGKTLSGPCGSPAMIRFFMSSNLMVSGLQIQNSPQFHMKFDGCQGVMIDRLSISAPKLSPNTDGIHVENSKDVGIYNSLISNGDDCISIGPGTSNVEIAGVTCGPSHGISIGSLGVHNSQACVSNLTVRDTVIRESDNGLRIKTWQGGMGSVSNLKFENIQMENVGNCILIDQYYCLTKECLNQTSAVHVNDVSYKNIKGTYDVRTAPIHFACSDTVACTNITLSEVELLPFEGELLDDPFCWNAYGVQETLTIPPIGCLREGDPEAVGDLSTYQCSN >CAK8572570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547396616:547397299:1 gene:gene-LATHSAT_LOCUS25123 transcript:rna-LATHSAT_LOCUS25123 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSETALTPQRLRSSGSVPSSAHHFASSSNHIDTQTVAHHSRNRSSKTTSDFVSPRSVFLEWKFSSNSRRGTTNGFAKNSYSSFNRNHRDKDRDRDKDRSNGLDHWDRKCSEPLADLFLVRTKRDPLRRSHSLVSRKQNELANHIGAVDTKSAGNFNQSNGSDALSGGSISSSYHKAVFDKDFPSLGGDERPGSAEQGTFSGQVQCKFMRKSINGLVLIPSNLKQS >CAK8572298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527508550:527511745:-1 gene:gene-LATHSAT_LOCUS24877 transcript:rna-LATHSAT_LOCUS24877 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNQKSIERVVSQRALQMASSFSCQICVVGLLSGVCLTSLFLAALTSFGTFHFGPILFSSMSMDDNSTSHTNINMVTESKCNVKHKETKRLLDLKSTVERDNNERISSLYSVWSAVLNESTNEDNWDLWSSLPKAPHLENCKLKTQLYRNFDNRVGNETFPLWTSWKGFLQNLPVVPDEHIQNPKHDEAVSEGAYPPWIVGSDEDNYPLTRKVQRDIWIHQHPLNCNDPNVKFLVTDWERLPGFGIGAQIASMSGLLGIAINEGRVLVANHYNRADHDGCKGTSRSSWSCYFFLETSLECRQRAFELMKSEEARSKGVVTIKENYTSKHIWNGPTPRKWGLPWIYLQPTTYVNGSLLISHRKMDRRWWRAQESSDKPRSEIDEYVWSNHKPWVPRPLLSMHVRMGDKAVEMKVVEFEEYMQLADQIRSHFPNLNSIWLSTEMQQVIVKTREYSHWNFYYTKVGRQARANMSMADYEARLGRETSTNYPLVNFLMAADSDFFVGALGSTWSFLIDGMRNTGGKVMAGYLSVNKDRFW >CAK8572297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527508550:527511745:-1 gene:gene-LATHSAT_LOCUS24877 transcript:rna-LATHSAT_LOCUS24877-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNQKSIERVVSQRALQMASSFSCQICVVGLLSGVCLTSLFLAALTSFGTFHFGPILFSSMSMDDNSTSHTNINMVTESKCNVKHKETKRLLDLKSTVERDNNERISSLYSVWSAVLNESTNEDNWDLWSSLPKAPHLENCKLKTQLYRNFDNRVGNETFPLWTSWKGFLQNLPVVPDEHIQNPKHDEAVSEGAYPPWIVGSDEDNYPLTRKVQRDIWIHQHPLNCNDPNVKFLVTDWERLPGFGIGAQIASMSGLLGIAINEGRVLVANHYNRADHDGCKGTSRSSWSCYFFLETSLECRQRAFELMKSEEARSKGVVTIKENYTSKHIWNGPTPRKWGLPWIYLQPTTYVNGSLLISHRKMDRRWWRAQAVRYFMRFPTEYTCNLMNEARHAAFGKLAAKMALQNLDADWSKESSDKPRSEIDEYVWSNHKPWVPRPLLSMHVRMGDKAVEMKVVEFEEYMQLADQIRSHFPNLNSIWLSTEMQQVIVKTREYSHWNFYYTKVGRQARANMSMADYEARLGRETSTNYPLVNFLMAADSDFFVGALGSTWSFLIDGMRNTGGKVMAGYLSVNKDRFW >CAK8559923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1231527:1239786:1 gene:gene-LATHSAT_LOCUS13656 transcript:rna-LATHSAT_LOCUS13656 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALKLLNLTWHPLKNHFFHFSTCAFRRTPRTLLIRASSSTTAASGRNRRSSASSPATCTSDREAIRAIRLKKVEEIKSKGLNPYAYEWNKTHSAKQLQDIYKDLANGEEINSENDHVSIAGRIIARRAFGKLAFLTLRDDSGTIQLYCEKERLVDEQFGQLKAHVDIGDILGAKGTIKRTEKGELSVCVLSFAILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADVFRKRAKVVSGIRRTMDSLGYVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNLAEEIVTQCALAVHGKLTIDYQGVEICLEKPWRRETMHNLVKEISGIDFNELGNDLEVAKQATLASLENNLDNKDKASIEACQSVGHLLNEVFELFVEPKLIQPTFVLDYPIEISPLAKPHRRSTGLTERFELFICGRELGNAFSELTDPIDQRGRLEDQVKQHEKKRAVVLANGDKKEGIENDDESYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIPFPVLKLQQ >CAK8563266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:587700229:587704542:1 gene:gene-LATHSAT_LOCUS16694 transcript:rna-LATHSAT_LOCUS16694 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDPCMERILERYERYSYTERQLVTNDQSPNENWVLEHAKLKARVEVLQKNQRNYMGEELDGLSLKELQSLEQQLDSALKHIRSRKNQVMYESISELQKKDKALQEHNNLLAKKIKEKEKELAQEELQSSMEVAPIETQPLESMIAGGSSLQETCNEETGAETRGNAGLPPWMIRPINE >CAK8574334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675707505:675709824:-1 gene:gene-LATHSAT_LOCUS26689 transcript:rna-LATHSAT_LOCUS26689 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIAVKAAVGAPTILGDCPFSQRALLTLEEKKVAYNTHLIDVSNKPQWFLEVNPEGKVPVVKFDGKWVPDSDVIVGILEEKYPEPSLVTPPQFSSVGSQIFGTFVSFVKSKDSNDGTEQALLAELNALEEHLKANGPYVAGEKVTAADLSLAPKLYHLVVALGHFKNWTIPESLTHVHNYVKLLFARESFEKTKAAKEYVIAGWLPKVNP >CAK8567463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516254026:516255237:-1 gene:gene-LATHSAT_LOCUS20514 transcript:rna-LATHSAT_LOCUS20514 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTNHDTIEKVSDHISDELAFSIMSKLPLKSLKRFSCIRKSWYLLLENSYFMNIYRNNFIYNDCSSYEEEPCLLIQQTVPYFQNHRVLYMLSGERFENKVKLDWPPPFQDNDRGVYILGLAINGIVCLYQGHTPVIVLCNPSTMEFKVLPPSPSESRVINDVKFYFLHGFGYDHFRDDYKVIRYVSYRLNVSDVENNIEDIPNKLPHDNIWEIYSLRSNSWRKLDIDLIKGYGSYVGAFVCAKGVCHWWNHDLNEPLLVSFDLRDEVCCTTPFPLDIPIPSDINDDIDDIFLMRHLMVLNESIGLISNYANTTTFHVSILGELGVKESWTKLFIIGPLPGIENPIGEGINGDLFFRRNDDELVRFNLNTQMVEELGIKGEFYYSQAIIYKKNLLGIGRIIN >CAK8563970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642672245:642672970:1 gene:gene-LATHSAT_LOCUS17323 transcript:rna-LATHSAT_LOCUS17323 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPLFPTNNSYESKKLSENTKTTYQCDGKNQKEDSNTYLVIGQSVQVDAKFPNSRHEFFPVATTGDMKGARLRDGEAPNAVPSHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSRKSYMEKFLETPSPDPKMIYETSIFPLSVKPAPDDSSEAGIKILEISSIKKSIGDENSCSSPYEPESELHQSTGKFFMSDVNIIEHCG >CAK8578508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634057689:634060249:-1 gene:gene-LATHSAT_LOCUS30513 transcript:rna-LATHSAT_LOCUS30513 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLVESSANSQRVSRVQAPLVDSVSCYCKVDSGLKTVAGARKFVPGSKICIQPDINPNAHRNKNLRREKTRVQPPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGMAEEWVYVIKRDREGKISLHAFDPIYQIWQSLPPVPAEYSEALGFGCAVLSGCHLYLFGGRDPLKGSMRRVIFYNARTNKWHRAPDMLRKRHLFGSCVINNCLYVAGGECKGIQRTLRSAEVYDPNRNRWSFISEMTTAMVPFIGVIHNGTWFLKGLGSNRNVICESYSHETDTWTPISNGMVNGWRNPSISLNGQLYALDCQDGCKLKVYDGATDSWKKFIDSRLHLGSSRALDAAALVSLNGKLCIIRNNMSISLVDVSSPNKRVESNPHLWENIAGKGPVRSLVRNIWSTIAGRSGLKSHIVHCQVLQA >CAK8535895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889187939:889188408:-1 gene:gene-LATHSAT_LOCUS5314 transcript:rna-LATHSAT_LOCUS5314 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIPQMQSKVAQASAAVSNHGSSYYKLLMEQNKHYIQEPPTVEKCQSLAKQLFYTRLASIPHRYSVLRKELDYAKNIMKNKQDLNLENAGYAALFGLECFAWFCGGEIVGRGFTITGYYV >CAK8530372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17394850:17395314:1 gene:gene-LATHSAT_LOCUS240 transcript:rna-LATHSAT_LOCUS240 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRIQHIPVLFTVSPIITNTKFKTRISCSNENTNNASLASEFAQKVSIINARAVQAEQVMRKSRKILFKEFCNYLDLNEEAAKQKWNKIDEDEKWVLIKGFVQELNEFFQPLSAKYTKELVEEYLLKENLSPKSPPPPSSPLFPFDSIIGFP >CAK8563518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612244888:612246914:-1 gene:gene-LATHSAT_LOCUS16918 transcript:rna-LATHSAT_LOCUS16918 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSNSNYTPTYYSTLHDSITSFCKTILPFSFKKRSIQSAEHKLSKLQSDNLKWQQDSFHQVLNLMGLHKEGIVAETEVSAFKTHLLETLIASSLEQENPVILRDKLLFLQELLYAKCISEEDYHSSKRPLLQRLAVQGVQIQAKDVIAGKSKDVKKNSEEEWSVIDLKDDKSLMNKENLNSKGKSNHGSALKQIKGSVLGFVSSNKHEKSIFDSPSLKQQNEGVSILMEESGPPEPGSEKSKRKPFRTLFHREQREGSEVEQRGGKSVKKQWGFDGFKKGKKVELDDDETAPLPLNQRSDSEAFSASSQSFARGVGDGPDTKLIKKKLHSDGAPSDFFIDKVLGNNIKKELSRIQTELSSTNPNLKFSNDQMEAISTRIPVDKADLKNYFPKSWCDRYGDVVLDVVKKEFKNHVEEMENMRSIAKEKHSENSRRWTTFDDDENMHPNLFVHHDNSVRSSNINPFSRDY >CAK8536764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14954888:14957788:1 gene:gene-LATHSAT_LOCUS6097 transcript:rna-LATHSAT_LOCUS6097 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDLESLAEATSGAIGSLLSSTILYPLDTCKTKYQAEARSHGNRKYKNLSDVLWEAVSNRQVLSLYQGLGTKNLQSFISQFIYFYGYSYFKRLYLEKSGYKSIGTRANLLIAAAAGAVTAITTQPLDTASSRMQTSAFGKSKGLLKTLTEGSWSDTFDGLSISLLLTSNPAIQYTVFDQLKQRALTNKQEQNTPEKGVSPASLSAFMAFLLGAISKSIATCLTYPAIRCKVIIQAAEADEETSEKKIKSSKTVSNVLYGIWKREGILGYFKGLHAQILKTVLSSALLLMIKEKISATTWVLILAIKRYLLLPKGRVKNL >CAK8578064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604708302:604708460:-1 gene:gene-LATHSAT_LOCUS30106 transcript:rna-LATHSAT_LOCUS30106-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKTRLRNKMEADFLRDSMTVNIEREIDASIDSETIIDDFKLLKNRRALF >CAK8578063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604708302:604709264:-1 gene:gene-LATHSAT_LOCUS30106 transcript:rna-LATHSAT_LOCUS30106 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYEATCNVSRKFVKEGLRYASGGDVDSAYNYLKSFDFIFILHLMKEIMGITNMLCQALHQQFQDVVNVMHLVSTTKTLIQELREIGWDELFASVKSFCEKHDIKIHDLNDVRSTTGFGGHRLEDNQVTVEHYFRVEIFFTPIDKQLQELNSRFSEQAIDLLSISGVLTPKDNYKAFNLDTICTLVEKYYPMDFNEKEKINLKFQLRHFIIDTRQASSLNNLSTIQELCSSLIATEKKENYYLIDRLLCLIMTLPVSIATMERSFSIMKIIKTRLRNKMEADFLRDSMTVNIEREIDASIDSETIIDDFKLLKNRRALF >CAK8542237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492545097:492559918:-1 gene:gene-LATHSAT_LOCUS11091 transcript:rna-LATHSAT_LOCUS11091 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDEKARGGTPISNGNSPNKQQTPPSILGAKDRIVSVASNFASQPLHNSDPNVWGVLTAISNNARKRHQGINILLTADEHCFGRMVENVRFQIDSNNVSANHCRIYRTKVTNENMENTTSIFLKDTSTNGTYLNWEKLKKNSAAVKVCHGDIISLAAPPQNEVAFAFVYREVHLSNPVPDNAVAKRKAEDFVSENKRLKGLGIGAPEGPISLDDFRSLQRSNSELRKQLESQVVIIDTLRSDNRAAVEHHESELKSAKESVTKCFLDQIEGLQQTVDLKQKELGDVNKAFAEQKHALEDLNERLSSSMQSCAESNELISSQKANIVELKEQLDEERIQRKEEREKAAADLKAAVHRAQSEAQEEIKRLSDAALRRESELQEAINKLKESEREMCSLVETLRSKLEDTREKLVFSDNKVRQLETQLHQEKQTTENGMKRIEELEQETRRLRKELESEKAAREEAWAKVSVLELEINATMRDLDFERRRLKGARERLMLRETQLRSFYSTTEEIQSLFAKQQEQLKAMQRTLEDDENYDNNSVDMDGVECGASGREKEGAIYRNNSAAKAGSTTSAQKLNRDQIETSSNEASVTEKHDCDIRSEGCQNTQEACQHTQEAEFTSADHDHSVRGGFGSHIDGGGTTAIMEEDCVGTERVLEHESPSNNDERNIDLNIAHLEGDTMKIDDDMETEKHDQIPCREVSQHSQSNNLVDTQKTIEGTEAGCLLIRTEDLITSEVPGSWACTPAPSAHGENDSSRSRDNNEGSGALLDSNIVVAESPNSPSDAADARKNERRALSEMIGIVAPDLKEQFEVAAYDCGREGEGHGGSSDSDTESCSDTGDDVIVNAKRESISDEETQAAEPVEEEDQKQDDSMDEDDEATQED >CAK8542238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492545097:492559918:-1 gene:gene-LATHSAT_LOCUS11091 transcript:rna-LATHSAT_LOCUS11091-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDEKARGGTPISNGNSPNKQQTPPSILGAKDRIVSVASNFASQPLHNSDPNVWGVLTAISNNARKRHQGINILLTADEHCFGRMVENVRFQIDSNNVSANHCRIYRTKVTNENMENTTSIFLKDTSTNGTYLNWEKLKKNSAAVKVCHGDIISLAAPPQNEVAFAFVYREVHLSNPVPDNAVAKRKAEDFVSENKRLKGLGIGAPEGPISLDDFRSLQRSNSELRKQLESQVVIIDTLRSDNRAAVEHHESELKSAKESVTKCFLDQIEGLQQTVDLKQKELGDVNKAFAEQKHALEDLNERLSSSMQSCAESNELISSQKANIVELKEQLDEERIQRKEEREKAAADLKAAVHRAQSEAQEEIKRLSDAALRRESELQEAINKLKESEREMCSLVETLRSKLEDTREKLVFSDNKVRQLETQLHQEKQTTENGMKRIEELEQETRRLRKELESEKQAAREEAWAKVSVLELEINATMRDLDFERRRLKGARERLMLRETQLRSFYSTTEEIQSLFAKQQEQLKAMQRTLEDDENYDNNSVDMDGVECGASGREKEGAIYRNNSAAKAGSTTSAQKLNRDQIETSSNEASVTEKHDCDIRSEGCQNTQEACQHTQEAEFTSADHDHSVRGGFGSHIDGGGTTAIMEEDCVGTERVLEHESPSNNDERNIDLNIAHLEGDTMKIDDDMETEKHDQIPCREVSQHSQSNNLVDTQKTIEGTEAGCLLIRTEDLITSEVPGSWACTPAPSAHGENDSSRSRDNNEGSGALLDSNIVVAESPNSPSDAADARKNERRALSEMIGIVAPDLKEQFEVAAYDCGREGEGHGGSSDSDTESCSDTGDDVIVNAKRESISDEETQAAEPVEEEDQKQDDSMDEDDEATQED >CAK8577776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587909343:587910942:-1 gene:gene-LATHSAT_LOCUS29850 transcript:rna-LATHSAT_LOCUS29850 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYCSMLGSNIPHISKLGKTIDYFTFTHLEPKASPCFLSLHHNKSLSSHVGFVSKFEQNYSSPLTKEDKQMVDLVSPSEEYSKELDVAVRAVHMACSLCKKVRHGLISKPSSNDQVQSKDCSSSVAVTGWSVKAIVSCILSAYLGGENISILAEDDDVHTLSDTNATELLEPVVKIVNECLSEAPQFGFEAVKLKSPLGTSEVREIISRCTSIGDPSGRFWTLGFISRDQYAVGLSLIEDGEVVVGVLGSPDYPMRKDWFSYQKSYHRIISKLIPLTSETWNEGCVVYAKKGSGKAWIQPLIHHVNKKFVWPNHAKEVSVSSIDNLGLATLCQSVDKADSISNHSFIDGLAHSVGLSNKPLRVYSMVKYVAIACGDAEAFMQFARSGHKEKIWDHAAGVVIIQEAGGTVTDIRGRSLDFSKGSYVEGIDRGIVACTGSVLHKNIIDAVDASWSSSSL >CAK8542750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542460024:542463245:-1 gene:gene-LATHSAT_LOCUS11559 transcript:rna-LATHSAT_LOCUS11559 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVFLTLFQFLLFHPFLFFFTTLHANAINPSIPKDALSLLTFKHFVSSDPLNLLSGWTYRSSSTFCRWHGVTCGGRGNSRVISLNVTGLRGGELASSIGELSELRVLSIPGNMFSGEIPVSLMNLRGLEVLEVQGNNFSGNVSLQMSFLESLKLVNLSGNSFSGPIPNGLIFSRNVKIVDLSDNQFSGSIPVNDSVGCDSLKHLKLSDNFLTGEIPPQIGKCRNLRTLLVDGNILEGKIPLEIGLAVELRVLDVSRNSLTGRIPKLLGNCLKLSVLVLTDLFDDRGGSDAGSLLEDKFRGEFNAFVGNIPHAVLSLSSLEILWAPRANLAGRLPAEWTDSSCSLRILNLAENYVTGVMPESLGMCRNLTFLDLSSNSLVGDLPLHQLRVSCMMYFNVSRNNISGVLPDFRKETCQSISNAFASLESEFLELDGLNDKYFNVRVLRFQEKAFVGLGFEDSTVVIHDFSWNCFVGSLPLFFVGDNLFTANQKVSYMLSLNNNKFNGALPNQLVTNCNDLKTLSVNISVNQLYGEISQALFLNCPQLMDFVAAYNQIDGLIGPGIGELALLRRLDLTENKLSGVLPNQLGNLQSMKWLLLGGNNLSGEIPYQLGRLTSLVVLNLSHNSLIGTIPEFLSNTTSLETMLLDHNNLSGEIPLYVAALSNLVQLDVSFNNLSGHIPHFQHPINCDSFRGNKYLHSCPDPYFDSPAPPAVPIADDNSESRRKRKKARTVVIVVATSASAALCALLVIMLMIWFRRRKIARRSSIRRQEVVTFQVVPIELSYDSVVRAAGNFSIRYLIGAGGFGSTYKAELSPGYFVAIKRLLIGKFQGIQQFETEIRTLGRIRHKNLVTLIGYYVGKSEMLLIYNYLSGGNLEAFIHNRSGKKGKKVQWPVIYKIAKGIAEALAYLHYSCVPRIVHRDIKPSNILLDENFNAYLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSYGVVLLELISGRRSLDPSFSNYGNGFNIVPWAELLMTEDRCSELFSSALWESGPKEKLFELLKIALTCTEETLSIRPSMKEVLEKLKLLKS >CAK8542428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512511929:512515366:1 gene:gene-LATHSAT_LOCUS11268 transcript:rna-LATHSAT_LOCUS11268 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYISELPDDVLSYILTRISMKDLLKTSVLSKRWCELWTLRKDLHFDIFNVFGETEEELVQTGYVIDVPDGPTMKTLANLNTTRDEFVKRVDQFVNNFHGTKIASFFVNFYLNSDQSTIIDRWITFAIAREVQIIDLLFLGLPYARSDRRKFYKFPFDLLLETNTSTLKHLSLKGCLICNPTNYDFRPLKNLRFLSLNTVKLDEIFIEKLLFNCGFLEELHLISCHFQASMPKIISSSLLNLKIINVYIVPNMGIVDIDLTLLDCPKLNSLDYLGDGLGTMSINTPMLKYINFPINFPIRDEEQLNTFSLCATFLQLETMRVDITSTVIASLNINQPFKHLKELNLILLLNFDIPMNVNYDLLWILNLLQASPLLQKLSAMFTYPEFLEKQKDIRDIEIFHDEIKVIEFRGFLGNWFEIEFVINVLKYVEKLEQIVLTPCWKEDDSMEEWISDPVCFQSARERISEKLQGEQVLRPEKLVLL >CAK8568831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644909586:644909858:-1 gene:gene-LATHSAT_LOCUS21750 transcript:rna-LATHSAT_LOCUS21750 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQTFSQSTGLVVNPAKCRMYFGGVNNETKNDILASTSFMEGDLPFRYLGVPLTCKRLSTHHYMSLVDIIVRRIRHWSSKLLSYAGRL >CAK8565107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38775520:38775957:1 gene:gene-LATHSAT_LOCUS18344 transcript:rna-LATHSAT_LOCUS18344 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQHLRIGSVSPEQISAWTKKILPNGEIVGEVTKPYTLHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEKCGVEFVDSRIRRYQMGYIQLACPVTHVWYLKRLPSYITSLLDKPLKELENLVYRDV >CAK8534851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:777898902:777900392:1 gene:gene-LATHSAT_LOCUS4358 transcript:rna-LATHSAT_LOCUS4358 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPVVIAFFITICFIAFVISKIVISILLYKRWKRKHVIYEGYTGGKMVIFRSSILKSLTTEMVLKKTQKLNNKDIIGSGGYGVVYELKLNDSAAFAVKRLNRGTAERDKGFERELQAMADIKHRNVVTLHGYYSAPHYNLLIYELMPNGSLDSLLHGKSMDRKVLDWPTRHRIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEAQVSDFGLATLMEPNKTHVSTIVAGTFGYLAPEYFDTGRATVKGDVYSFGVVLLELLTGKKPSDEAFMEEGTKLVTWVRAVVQEKKEELVLDSSLGSCCPMHEVNKVFNIAMMCLEPDPLNRPTMAEVVNLLEKTDTAS >CAK8570362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39679023:39681383:1 gene:gene-LATHSAT_LOCUS23115 transcript:rna-LATHSAT_LOCUS23115 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLALRRASSTSTSAVVKSSSRSISGSASKPSLSIWRRKKELGKEGLIITKELKRLQPDPVRLDRFVRSNVSRLLKSDLVSVLFEFQRQDNVFLSMKLYDIVRKEIWYRPDMFFYRDMLMMLARNKRVDETKRVWEDLKREGVLFDQHTFGDIIRAYLDSGLTSEAMDIYEEMRQSPEPPLSLPFRVILKGLIPYPELREKIKDDFLEIFPDMIIYDPPEDLFEDHEKHMDGTNSDIY >CAK8533163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586394679:586395527:1 gene:gene-LATHSAT_LOCUS2810 transcript:rna-LATHSAT_LOCUS2810 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLCLDHNSHIDEKLSDYDDDLFQFYHFNECQIIPNSKGYKMDCEMRKKVIDWLIDFHYDLELESETLYLSVNILDRFLSQNNFEVLMMDEFKLIGLASLLLASKYEQRSVVSMEYLVEYSYTPDEIWGMENLILKEIDWILTVPTCYMFLGKNIRACLLSEKDKIMENMVFFFSELSLMQYSIMCDYKPSVIVASAVYCARMVIGRYPLWSHDLNVCSGYSKEKLKSCARVMMNLCSEICRDESMQVLKKFSSSLRWKVARIAKQIFLINHPDLSVHFKM >CAK8532969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:557488398:557491746:-1 gene:gene-LATHSAT_LOCUS2623 transcript:rna-LATHSAT_LOCUS2623 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHFYVISNIMNILFLSLLVVISTSCVSCFYISDEYCNPQASTLIKSFCLATSLVYYIFMSRPNKIYLVDFSCYKPGKNCLCTKEMLMERAKLFGFLSEENFILINKILDRSGVGPKTYVPEGLLQIPPKLTLDEARHESNLVLFGAVDELLEKTCVEAKDIGILVVNCCLFNPTPSLSDAIVNHYKLRGNILIYNLSGMGCSAGVIAVDFAKQLLQAHPNSYALVLSTENEISSIYKGNNPSMLLTNCLFRMGGSAALLSSHPSDRHRSKYQLMHSLRTHVGADDNSYKCVFQEEDEKDIVGVSLSKDLMNVARDALRVHITSLGPLVLPISEKLKYVKNLIERKVLKRKIEAYMPNFKLAFDQFCIHTGGRAVLDRMQKSLELDDFLMEPSRMTLYRYGNTSSSSIWYELSYCEAKGRVKKGHKIWQMAFGSGFKVNTAVWLALKNCDPTSLKNPWRDEIDDFPVPMHCYRSMNKA >CAK8578435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629160433:629160771:-1 gene:gene-LATHSAT_LOCUS30447 transcript:rna-LATHSAT_LOCUS30447 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTRTCSFFQFLPIILPHPLVAFPYILPTTLASGFSIKEATVQELKHAFQRNQLTSRKLVEFYLNQINIQNPVLRGVLEVNQDALTQADKADKERRKKVPGSLSRLHEIPI >CAK8562131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:444226829:444228069:-1 gene:gene-LATHSAT_LOCUS15655 transcript:rna-LATHSAT_LOCUS15655 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKTPAYLAQKSTLDSTFIRDKDQRPKVAYNQFSNEIPVISLTGIDDVDGLRTQICDKIVEACENWGIFQVVDHGVDSNLISEMTRLSKMFFDLSPEEKLQFDMSGGKRGGFNVYRHYQGEPVKDWREMMIYFSYPINQRDYSRWPNKPEGWKTVIEEYSEKLMSLSCKLLEVLSEAMGLEKEALTKACVDMDQMVVVNYYPKCPQPDLTLGLKQHTDPSTIALLVQDQVGGLQATRDNGKTWVTVKPVEGAFVVNIGDHGYYLSNGRFKNADHQAVVNSNYSRLSIVTFQNPAQDATVYPLKIREGEKSVMEEPITFSEMYERKISKDIKIARMKKLGKEEKELR >CAK8572702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557138710:557139573:-1 gene:gene-LATHSAT_LOCUS25235 transcript:rna-LATHSAT_LOCUS25235 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGEGWKSMKIFNGGKKPDVRWDVYQSKAHVGSHGVRGCVTIYFSKFLDSYGAVEMFDAFRTYGEPVEIIIPPERNKFGKRFAFARFIGVEDSKKLVICLNNIFLGNKIYANLPRFQRNFSSVKVQRDSNRDDSPNNKPFRDFSSNSDGFTSREGKRTFVEVLRTDREAKVVAKRKEAYVGKVVIPGSSYNIHTHFEAEGYFSIKVTPLGANFCLLEEMEEGEIHGLIREGESWWNQWFTEIMKWKETDVDHERVTWIRVFGIPCHA >CAK8540418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559150303:559153781:-1 gene:gene-LATHSAT_LOCUS9423 transcript:rna-LATHSAT_LOCUS9423 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSFSSSFIFLCVIILLQTTFSFSSYSNPNHEVTTLFTWLHTSSSQPPASFSNWNIHDTNPCNWTSITCSSLSFVTEINIQSITLQLPILSNLSSFQFLSKLVISDSNLTGTIPFDIGDSSSLTVIDLSFNNLIGSIPESIGKLHNIVNLSLNSNQLTGKIPDEISNCISLKNLHLFDNQLGGSIPNSIGKLSKLEVLRAGGNKDITGKIPEEIGECSNLTVLGLADTRISGSLPVSFGKLKRLQTLSIYTTMVSGEIPKEIGDCFELVDLFLYENSLTGSIPSEIGKLKKLEQLFLWQNGLVGAIPNEIGNCSSLRNIDLSLNSLSGTIPLSLGNLLELQEFMISDNNVSGSIPATLSSANKLQQLQVDTNQLSGLIPPEIGKLSNLLVFFAWQNQLEGSIPSSLGNCSNLQALDLSRNSLTGSIPSGLFQLQNLTKLLLISNDISGSIPSEIGSCKSLIRLRLGSNRITGSIPKTIGSLKSLNFLDLSGNRLSGPVPDEIRSCTELQMIDFSANNLEGSLPNSLSSLSSLQVLDASFNKFSGPLPASLGRLVSLSKLILGNNLFSGLIPASLSLCSSLQLLDLSSNQLTGSIPAELGQIEALEIALNLSCNILSGTIPPQISSLNKLSILDLSYNQLEGELQTLSELDNLVCLNVSYNKFSGYLPDNKLFRQLTSKDITGNQGLCTSGQESCFVKDSSKTDMALNETEVRKSRRIKLAIGLLIALTVLMILMGITAVIKARRTIRDDDSELGDSWPWQFIPFQKLKFSVEQVLRCLIDRNIIGKGCSGVVYRGEMDNGEVIAVKKLWPITTDEGEALKDFKSGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNKKTRLLIFDYMPNGSLSSVLHERTGNSLEWELRFRILLGSAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDIGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVLLEVLTGKQPIDPTIPDGLHIVDWVRQKRGLEVLDPSLLSRPESEIEEMIQALGIALLCVNPSPDERPTMRDIAAMLKEIKHEREEYAKFDALLKGSPANDSKVVATSSSASIMQSLNTKSNNTSFSVTSLLHSSSSSKLSFKG >CAK8536585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:273919:294152:1 gene:gene-LATHSAT_LOCUS5940 transcript:rna-LATHSAT_LOCUS5940 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVTNTDVRDSPDDAAAASLHFDTGLLLWNKHDDQDAKENAAQHFILSAKLNPTNGESFKYLGHYYRTVSIDTPRAIKCYQRAVAINPDDFDSGEPLCDLFDQQGNHTLEIALCLQASQISPRAFWAFRRLGFLLVHQKKWSEAVQSLQHAIRGYPTCADLWEALGLAYQRLGRFTAAVKSYGRAIELDNKMVFCLVESGNISLTLGQFKKGVEQFQQALEILPDCVPAQFGLALGLLSLAKDCINLGAYQWGASLLEEASEVARESARSYRNISCIWKLHADIQLAYARCNPWIEEVQQLESNKEAFIASINSWRKTCFLAAGRAKFSYQRALHLSPWQANIYSDIAVTSDLITTLSKNYRQDLSASRQLAEKMSIGALLLEGHNYEFWVALGCLSDHNELNQHALIRGLQLNISLAVAWGYLGKLYLKADEKQLARQVFDRARSIDPGLALPWASMSAESYVSKESAPDEAFESCSRAVQIMPLAEFQIGLTKLALLSGHLASSQVFGAIQQAVQHSPHSPESHNLHGLVCEAQKDYKSAATFYRLARHAVSIGSESNQNSRIMDISINLARSLSKAGNAADALQECENLKKEGPLDEEGLQVYAFSLWQHGEYDLALSVAGSLASKLSSMKKAFVASSICFISRLVYFICGLDAVVTSIIKMPKELFQSSKVSFVMSAINALDGQNHLGLVVSSTRCFLKYQEEISRMQFLIALGKLVKNGSDCLDIKSGIAYLRKALHMFPNCNLMRNLLGYLLLFSEELNNGHVATRCCKLDSPDMSDQEGLKSAYDIHGAGAVACYATGNNNPKFTFPTCSKKCFSHPRAIKSLQKYIHQKPWNHDARYLLVLNYLQKAREQRFPQHLCRTLNRLIQAALTNELYSKTEMNYQYRHFQLLLCASEISLQCGNHTSCITDAKKASQLVLPDNYLFFAHLLLCRLYAMKDDHLNFRKEYTKCLKLRTDCHIGWICLKLMECQYELQIDSNAIDLSFEECIERDGNSWDMWMALYNLARGLNLLQKRDLVSAEEFVAQACSLAGFESCLFLCHGAICMELVRQHNSPHFLSQAIKSLTKVHELSLIPLPFASILLAQAEGSLGSKERWDRTLRLEWHNWSPEMRPAEVYFQMHLLARQLKVGLSSKSSTESSQSPHRWVIRAIHMNPSCMRYWRVLQKLLE >CAK8536584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:273919:294152:1 gene:gene-LATHSAT_LOCUS5940 transcript:rna-LATHSAT_LOCUS5940-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVTNTDVRDSPDDAAAASLHFDTGLLLWNKHDDQDAKENAAQHFILSAKLNPTNGESFKYLGHYYRTVSIDTPRAIKCYQRAVAINPDDFDSGEPLCDLFDQQGNHTLEIALCLQASQISPRAFWAFRRLGFLLVHQKKWSEAVQSLQHAIRGYPTCADLWEALGLAYQRLGRFTAAVKSYGRAIELDNKMVFCLVESGNISLTLGQFKKGVEQFQQALEILPDCVPAQFGLALGLLSLAKDCINLGAYQWGASLLEEASEVARESARSYRNISCIWKLHADIQLAYARCNPWIEEVQQLESNKEAFIASINSWRKTCFLAAGRAKFSYQRALHLSPWQANIYSDIAVTSDLITTLSKNYRQDLSARQLAEKMSIGALLLEGHNYEFWVALGCLSDHNELNQHALIRGLQLNISLAVAWGYLGKLYLKADEKQLARQVFDRARSIDPGLALPWASMSAESYVSKESAPDEAFESCSRAVQIMPLAEFQIGLTKLALLSGHLASSQVFGAIQQAVQHSPHSPESHNLHGLVCEAQKDYKSAATFYRLARHAVSIGSESNQNSRIMDISINLARSLSKAGNAADALQECENLKKEGPLDEEGLQVYAFSLWQHGEYDLALSVAGSLASKLSSMKKAFVASSICFISRLVYFICGLDAVVTSIIKMPKELFQSSKVSFVMSAINALDGQNHLGLVVSSTRCFLKYQEEISRMQFLIALGKLVKNGSDCLDIKSGIAYLRKALHMFPNCNLMRNLLGYLLLFSEELNNGHVATRCCKLDSPDMSDQEGLKSAYDIHGAGAVACYATGNNNPKFTFPTCSKKCFSHPRAIKSLQKYIHQKPWNHDARYLLVLNYLQKAREQRFPQHLCRTLNRLIQAALTNELYSKTEMNYQYRHFQLLLCASEISLQCGNHTSCITDAKKASQLVLPDNYLFFAHLLLCRLYAMKDDHLNFRKEYTKCLKLRTDCHIGWICLKLMECQYELQIDSNAIDLSFEECIERDGNSWDMWMALYNLARGLNLLQKRDLVSAEEFVAQACSLAGFESCLFLCHGAICMELVRQHNSPHFLSQAIKSLTKVHELSLIPLPFASILLAQAEGSLGSKERWDRTLRLEWHNWSPEMRPAEVYFQMHLLARQLKVGLSSKSSTESSQSPHRWVIRAIHMNPSCMRYWRVLQKLLE >CAK8562830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:544988499:544992727:-1 gene:gene-LATHSAT_LOCUS16295 transcript:rna-LATHSAT_LOCUS16295 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFSTPGDYVHFKSQVPLHKIPIGTKQWRYYDFGPKAVPPLICLPGTAGTADVYYKQIMSLSMKGYRVISVDIPRVWHHAEWIQAFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVRSLVLSNSFLETQSFSAAMPWAPIVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRMSLTTDDASVGPLLLSDSFITIMDTNDYCAIPLQLKEQLSERYPEARLASLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVHSIPKGDIGGSPSKENDGDDSDKSPKDDTDKDDSGGSENPSSKSEISPAPESSGSHNLDNQPVESSEGCNLSNEITLYVFPGGFMKEKHVVPRETPVHFAWEYIVLFHVLRYISSLYIIILNYSFEFRQVV >CAK8575944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369223654:369225929:-1 gene:gene-LATHSAT_LOCUS28169 transcript:rna-LATHSAT_LOCUS28169 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFRQRIITWLILIIIILYILYSSNLLLLTKEKRHCSTAVSLNAATDEQLERIGTGNISTSTSISESGVSLERKLVKKRKEEQEQEEEELSVDELSQRQDTEIKHIVFGIAASTNLWEIRKKYIKVWWKPKETRGVVWLDKSVNIQADDELPEIRISGDTTKFKYTNSQGQRSALRISRVVAETLKLGLEDVRWFMMGDDDTVFIVDNVVRVLSKYDHNQFYYVGSSSESHVQNIHFSYGMAYGGGGFAISYPLAKELARMQDSCIQHYPALYGSDDRMQACMAELGVPLTKETGFHQYDVYGDLLGLLGAHPVAPLVSLHHLDVVQPIFPKMDREQSLLHLMKSVKQDSGSIMQQSICYEQKRYWSISVSWGYVVQILRGVLSPRELEMPTRTFLNWYRRADYTAYAFNTRPVTKHPCQKAFIFYMNRTRYDPVKKQIIGTYYRYKSKPPLCRWKMDSPEEIDSVIVSKRPDPLRWQRSPRRDCCRVLRYSRKGSTMYIWVGNCRKGEVSELVPQDGS >CAK8530203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5713811:5715175:1 gene:gene-LATHSAT_LOCUS90 transcript:rna-LATHSAT_LOCUS90 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLSNYFSFLNLSAISKGSISVTQSIAVILVILTLLYICFKSTSVYLIDYVCYLPPDNLRLPHSHFIEHFNLCNFEKETIEFHTKVLEKSGIGVEACMPESVHELPPDNSVKHAQAETEMVLFTIVDDLLSKHNVHPKSIDILVSNCSLFCPTPCITSTIINKFGFRSNVKCFSLSGMGCSAGLLSVSLVKDLLKVHKNSLALILSMEAVAPNGYNGNTKSKLIANALFRMGGAAILLSNKNQDKGIAKYKLQHLVRTHLGSKDKAYQSVYQEPDKNNIVGVSLSRSLLSVASSALRINIINLGPLVLPYSEQLRYGLSMIHRKIWTADDKETYVPNFKKAFEHFCIHAGGKTVIDGIVENLKLHREDGEASRMALYRFGNTSSSSLWYELSYLEAKGRVKKGHKVWQIGFGSGFKCNSAVWKCLSDIDPNVKSAWSDRIHLYPIEIPVFDC >CAK8563863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635975802:635977494:1 gene:gene-LATHSAT_LOCUS17228 transcript:rna-LATHSAT_LOCUS17228 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGFCKENDSYTTADRGNFMQNNATGNSSYHGRHTAVTVPRPINLQPISVPSITIDELKSVTDSFGSKSFLGEGAYGKVYRATLKTGREVAIKKLDSSKQPDQEFLSQVSIVSRLKQENVVELLTYCVDGPFRALAYEYAPNGSLHDILHGRKGVKGAEPGQVLSWAQRVKIAVGAARGLEYLHEKAEVHIVHRYIKSSNILLFEDDVAKIADFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGNLSSKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWAAPRLSEDKVKQCVDVRLKGEYPSKAVAKMAAVAALCVQYEAEFRPNMSIIVKALQPLMNNTRSSQSREPRNV >CAK8531562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125214197:125222060:-1 gene:gene-LATHSAT_LOCUS1345 transcript:rna-LATHSAT_LOCUS1345 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWSLLVLAFAFAVCKFLLMLIPPKVPSIDVDASDVLEDGNQSQENSFIYVPPRGTAQQSGKKVQCYEPATMKYLGYVPALTPDEVKEQIEKVRKAQKMWAKTSFKQRRQFLRILLKYIIKHQALICEISSRDTGKTMVDASLGEIMTTCEKINWLLSEGEKCLKPEYRSSGRAMLHKRSRVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKISEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGVGKMIMKNAAETLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAFLQSSGQNCAGAERFYVHRNIYPSFVSKITKIIKSVTAGPPLAGKYDMGALCMHEHSEKLEGLVNDALDKGAEIVARGSFGHIGEDAVDQYFPPTVIVNVNHSMRLMQEEAFGPIMPIMKFSSDEEVIKLANDSKYGLGCAVFSGNQSHAREIASHIHCGVAAVNDFASNYMCQSLPFGGVKHSGFGRFGGVEGLRACCLVKSVVEDRWWPFIKTKIPKPIQYPVAENGFEFQESLVEALYGLSIWDRLQALVTVLKMLTEQNKKDK >CAK8530630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36589582:36591540:1 gene:gene-LATHSAT_LOCUS481 transcript:rna-LATHSAT_LOCUS481 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNTHHQWQHDINSSLISSSPCHRTPYSSGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPREEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLQNQNNSKNLQNQNQNQEQQPQQNNHVSSLAQTTVAAPSSSSSSSEKSPPKELIPSKVFSLGFSNMNDVMPNSPSVSSVSHNYFQTQSEANLVPPQARATAPPTETFFFPVQNHGQGVVQPNNVTSQGFCFSELSNVVHTQQSHGQQQHQHQQQQNTGHCTTSFLLSEIMNNHGANSSKKDQDQQDQDKSVKIVHQIPHFNFCFTPTPTTTTTVVPPTTSSTITVPSPIISQLQGIGETGVPARLMVFINDVAFEVASGPFNVREAFGDDSVLIHSTGQPVLTNQWGVTLHSLQHGACYYLI >CAK8564626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2739262:2739579:1 gene:gene-LATHSAT_LOCUS17911 transcript:rna-LATHSAT_LOCUS17911 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSEMISHNIRELVNRDASLKVKVIIAHILKKYRYIISYRKSWIVKCKAIKSLYRNWETYYNDLPQWILVMKTYLPRIIIDLQTLPAILNDGSQISEK >CAK8561952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:417569665:417571311:-1 gene:gene-LATHSAT_LOCUS15497 transcript:rna-LATHSAT_LOCUS15497 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKMKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRATLEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKASSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8561953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:417569665:417570333:-1 gene:gene-LATHSAT_LOCUS15497 transcript:rna-LATHSAT_LOCUS15497-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKASSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8540525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9859259:9861207:1 gene:gene-LATHSAT_LOCUS9524 transcript:rna-LATHSAT_LOCUS9524 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFVNNNAFPMKVVTPISHSYPEPLAKYDDVVANPKLFMVTLEKLHASMGTKFMIPIIGGKELDLCRLFVEVTSRGGIEKLMKERRWKEVTAAFNFPSTATNASFVLRKYYSSLLYHYEQIYYFRSNRWTSAPSDSFQNQPAMSIPPSITQFLQPSPVTQSVIFQKSGFNNASELPQGHFINSFSCSSLAGVIDGKFESGYLVSVSMGSETLKGVLYESPRGILINNNCVASTALGVQRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARMKLLNQTMDKDISRMIGELWNNLKDSEKIVYQEKAIKDKERYKAEMEDYRDKLKTNIVMDDAAPLQQQFLEANSSLVDVDVKMHDSFQTPEESSSGGSDYVGDGSSGGARVDSEAFLDSEKVCKDGVMEVVSHCEGEVDAGRVRVQENQKMNENQNMLALL >CAK8540526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9859259:9861207:1 gene:gene-LATHSAT_LOCUS9524 transcript:rna-LATHSAT_LOCUS9524-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFVNNNAFPMKVVTPISHSYPEPLAKYDDVVANPKLFMVTLEKLHASMGTKFMIPIIGGKELDLCRLFVEVTSRGGIEKLMKERRWKEVTAAFNFPSTATNASFVLRKYYSSLLYHYEQIYYFRSNRWTSAPSDSFQNQPAMSIPPSITQFLQPSPVTQSVIFQKSGFNNASELPQGSSLAGVIDGKFESGYLVSVSMGSETLKGVLYESPRGILINNNCVASTALGVQRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARMKLLNQTMDKDISRMIGELWNNLKDSEKIVYQEKAIKDKERYKAEMEDYRDKLKTNIVMDDAAPLQQQFLEANSSLVDVDVKMHDSFQTPEESSSGGSDYVGDGSSGGARVDSEAFLDSEKVCKDGVMEVVSHCEGEVDAGRVRVQENQKMNENQNMLALL >CAK8532136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211310299:211311420:-1 gene:gene-LATHSAT_LOCUS1869 transcript:rna-LATHSAT_LOCUS1869 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQDGNNNIFPIAFALVEGETSEAWSFFLRNLRTHVAPQPNLCLIFDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMRDIKDKILQKKVVNAGYSLNQPSFMYYREEIRLSSAEALRWVNNIPVEKWTRAFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFASRGKKWSAVLQSGQLFSESSMKYMKDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCEKFQTFRMPCSHVIAACSSARHDPFLLLSDVYKVMNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRNKCPNVGTSSR >CAK8579086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673245107:673248090:-1 gene:gene-LATHSAT_LOCUS31058 transcript:rna-LATHSAT_LOCUS31058 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKLGFLGLFCAAFLFSIDAVELRRNQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLAHMFAAEIYMHRFILSSAVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFAACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMHTHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEQDVPKLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQSGDAFHQVLNGLARKLPHDRSVFLKPGDKRLNWTAGPVGDLKPW >CAK8535437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848312558:848312887:-1 gene:gene-LATHSAT_LOCUS4901 transcript:rna-LATHSAT_LOCUS4901 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSRGSELSPPSPESGKSSSPDLPELFSSSSSSSSKSYSSKMIVPEVTSMATKQEDNEKEYAKAVTKEMVLVGCPKCYMYVMSSEDEPQCPKCKTTVFLDLFGDED >CAK8530879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59032008:59033793:1 gene:gene-LATHSAT_LOCUS711 transcript:rna-LATHSAT_LOCUS711 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSLSFPSNLFPSFSASEIGTNQRWASSKSSNSIGFSSKLQFLRKSTRNSSGVGPDDAVIIVDHGSRRKESNLMLNEFVEMFRQKTGYQIVEPAHMELAEPSIGDAFQSCVQQGAHRVIISPFFLGPGRHWSKDIPSLSAEAAKQHPGVSYIVTAPLGLHELLVDVVNDRINYCLKHVAGEADECSVCAGTGKCILNQ >CAK8530880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59032008:59033793:1 gene:gene-LATHSAT_LOCUS711 transcript:rna-LATHSAT_LOCUS711-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSLSFPSNLFPSEIGTNQRWASSKSSNSIGFSSKLQFLRKSTRNSSGVGPDDAVIIVDHGSRRKESNLMLNEFVEMFRQKTGYQIVEPAHMELAEPSIGDAFQSCVQQGAHRVIISPFFLGPGRHWSKDIPSLSAEAAKQHPGVSYIVTAPLGLHELLVDVVNDRINYCLKHVAGEADECSVCAGTGKCILNQ >CAK8576279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443113270:443117166:1 gene:gene-LATHSAT_LOCUS28481 transcript:rna-LATHSAT_LOCUS28481 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLHFRIILLFVASFFLLQNVTSFKNQDASEEWGYVQVRPKAHMFWWHYKSPYRVEDPSNPWPIILWLQGGPGASGVGIGNFEEVGPLDTDLKPRNSTWLLKADLLFVDNPVGTGYSFVEDEKNEKLFVKTDEEAATDLTTLLIKLFNNDEKLQKSPLFIVAESYGGKFAVTLGLSALKAIEDKRLKLTLGGVALGDSWISPEDFVFSWGPLLKDLSRLDENGLEKSNNLAQKIKKQLEDGKFVEATDTWGNLESEISASSNNVDFYNLLLDEGSDSATISALKLGLFKETSMRRYSKYLTSKRRRSYSPGGDNDLGTLLNGVIKKKLKIIPENVTWGGQSDKVFTYLGGDFMKPRIDEVDQLLAKGVNVTVYNGQVDLICATKGTEAWVRKLKWEGLKNFLGKDRTALYCGNNKTTKGFFRSYKNLQFYWILGAGHFVPTDQPCVALEMIASITQSPAA >CAK8534563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742677046:742677909:-1 gene:gene-LATHSAT_LOCUS4101 transcript:rna-LATHSAT_LOCUS4101 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLAYNIHECGNSIKRNRIKKLLISGNVDICFIQETKKEKMDNGLFHFGKKGFEWSTTNAIGIFEGILTIWKKDIIYPISSFRGKVFLGINSTWKGLNCYFVNIYSPCNLHNKRILWSYLINLRSSSSYGEWLVSGDFDVVKRNEERHSRTACCTAEMNEFCSFIDAMRLVDLPDVGNCFTWFNSSGSCRSRLDRFLLSDGLIDSWKISAQCMGDKDVSDHRPVWIKANHLNWGPKPFKVFKCRFDHPDFHDFVKKIGNSSHFVGSAAHVLAKKLKKYETAITVVE >CAK8536332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931971064:931972361:1 gene:gene-LATHSAT_LOCUS5709 transcript:rna-LATHSAT_LOCUS5709 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKNRMVVKCVDGCPFHIRFSMRIANQYWQLVSLTDRHGCHRTSKNRQAKTDWLGRQFVYTIRHTPEIKTKGLIAEAIKKWGVKLSKDQAYRAKKKAMELIQGAGREQFTFLRSYGEELLKSNPKFNVKIKCVDSDGGPVFERIYVCLEACKATFATTCRPLIGLDACFLKGDFGGQLIGVVGKDGNNKIYPIAYAVVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETS >CAK8535146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817633804:817634893:1 gene:gene-LATHSAT_LOCUS4622 transcript:rna-LATHSAT_LOCUS4622 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFAHPPQELNSPASYKGSNKPKLPEETLRQFLSHHPHNTCSMSFGQASVLAYVRPDTPFSVHQRLFCGVDDIYCLFLGSLNNLSLLNKQYGLSKGTDEAMFVIEAYKTLRDRGPYPADQVVKELDGSFAFVVYDSKIGTVFAALGSDGGVKLYWGIAADGSVVISDDLDVIKEGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKLKAMPRIDSEGVMCGANFKVDKFSRVNSIPRVGSQSNWMEWEQH >CAK8572469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538986685:538987537:1 gene:gene-LATHSAT_LOCUS25033 transcript:rna-LATHSAT_LOCUS25033 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGLLWVVEGKWVVQGAVDVDIGANPSAEGGAEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKLLTPKLEAEKQEIFKKHIEGATKFLLPKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFIYFAHGLKEIKC >CAK8564390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671651790:671653593:1 gene:gene-LATHSAT_LOCUS17701 transcript:rna-LATHSAT_LOCUS17701 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVSSSIAISTSTFQSSEPKLSQIGSIRVLKKPFGVGVKSSQPRSFLKPINATDSVVPTTPSEAIEIREEDYERLAYQLENASPLEIMDRALENFGNDIAIAFSGAEDVALIEYAYLTGRPFRVFSLDTGRLNPETYRLFDAVEKKYGIRIEYMFPDAAEVQALVRSKGLFSFYEDGHQECCRVRKVKPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDTVFEGIDGGTGSLVKWNPVANMKGNDVWNFLRTMNVPVNSLHSQGYISIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKQEDDAHLYGNGVVHANDTPDVADLFNTQNVVSLSRTGIENLTRLETRKKPWLIVLYAPWCPYCQDMEKSYIDLAEKLAGSGVNVGKFRADGEQKEFAKHELKLGSFPTILFFPEHSSRSIKYPSEKRDVDSLMAFVNALR >CAK8575099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:29730764:29731249:-1 gene:gene-LATHSAT_LOCUS27388 transcript:rna-LATHSAT_LOCUS27388 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKLGKRVVRFTRCFFRRFRTQPVYVRLGFSPEKSNNKMKKLLAWGRKLTTKAKSLCSKKNSGYLPVGSDPVQESGSTVPKGYLAVYVGQKDGEFRRVLVPVVYFNHPLFSELLKEAEKEYGFYHQGGITIPCRVNEFERVKTRIESGSGVRKLSRRRLR >CAK8539700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522395629:522395868:-1 gene:gene-LATHSAT_LOCUS8775 transcript:rna-LATHSAT_LOCUS8775 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNVATMTTNVVVTPKEDHEKKNEEDNGDNWTLVNKATRDKGKKIVFLGSTSVVNCMNGFEALRVLNDPLVTLDIGPC >CAK8535698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875283123:875290929:1 gene:gene-LATHSAT_LOCUS5130 transcript:rna-LATHSAT_LOCUS5130 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDLASWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKSKTVRAEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPVEATSVEEYLQQVHEMAMVSAVQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPRTNMIANSNVGTRQGQLVSMSPSPQVSSGSMDIVPITSRPTVEKKASVYAEVVKNLNRARQSGLPFKLATAFKGAYESLGIDASGGKSVRMRKIWHLVQMLMDEDSTLQRVSKKMSLIIGARRHLEWGHEKHIMDTIQNHPAQASLGGGVGNLQRIRAFLRIRLRDYGVLDFDAGDARRQPPLDTTWQQIYFCLRSGYYDEARNVALSSRASHQFAPLLTEWINTGGMVPEEVATAASEECERMLRTGDRVGRTAYDKKKLLLYAIISGSRRHIDRLLRDQPTLFSSIEDFLWFKLSAVRDCPSGSSSSIVLSDSLIPYSLDDLQSYLNKFEPSYYTKNGKDPLVYPYILLLSIQLLPAVLYLSKETGDEGYNIDAAHLSIVLADHGVLSEGAGTGQKLGVMDAYAEVATIVRQYGSMYLRLGDLQMALEYYAQAAAAVGGGQLSWTGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGARGAGEEGELGRFVADPNARQQFLIEAACQCQEAGMYEKSIEIQKRVGSFSTALDTINKCLSEAICSLFRGRLDGESQTAGLIHSGNEILETYAYYPDVSLQEREHVFEQQTVLRQLESILSIHKLSRLGNHIDALREVAKLSFLPLDPRGPDIAVDVFENLSPHVQACIPDLLKVALTCLDNVADSDGSIRALRAKISSFIANNVKRNWPRDLYERVAQRL >CAK8536452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942888302:942890094:1 gene:gene-LATHSAT_LOCUS5819 transcript:rna-LATHSAT_LOCUS5819-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSDRSNGEAGSSSCLELGLCCQKKDRKAFSDHVVSLHKLSSLPNRIFANGKSRSSCIFTQQGRKGINQDAMIVWEDFRSEDVIFCGVFDGHGPHGHLVARRVRDSLPVKLLSFLHSSEFGKACFKRDIKAESGEAENDFSAEDEMNAMWREAFMKAYKAMDKELKSHANLDCFCSGSTAGSNLFMGNIGDSRAIMGSKDSNDLMVAIQLTVDLKPDLPREEERIKSCKGRVFALQDEPEVPRVWLPFDNAPGLAMARAFGDFCLKEYGVISVPEFTHRLLTDKDQFIVLASDGVWDVLSNEEVVDIVSSAPSRTSAARVLVESAAREWKLKYPTSKMDDCAVVCLFLDGKMDLEESDGDEQCYSSATIQSNNHSGNQVESDDGKKSEPSLRRNFTVRASEENKTFGVGRGGESVDVEDGTSAAAEDQNWLGLEGVTRVNSLVQLPRFSEEKPNS >CAK8536451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942888302:942890094:1 gene:gene-LATHSAT_LOCUS5819 transcript:rna-LATHSAT_LOCUS5819 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSDRSNGEAGSSSCLELGLCCQKKDRKAFSDHVVSLHKLSSLPNRIFANGKSRSSCIFTQQGRKGINQDAMIVWEDFRSEDVIFCGVFDGHGPHGHLVARRVRDSLPVKLLSFLHSSEFGKACFKRDIKAESGEAENDFSAEDEMNAMWREAFMKAYKAMDKELKSHANLDCFCSGSTAVSVVKQGSNLFMGNIGDSRAIMGSKDSNDLMVAIQLTVDLKPDLPREEERIKSCKGRVFALQDEPEVPRVWLPFDNAPGLAMARAFGDFCLKEYGVISVPEFTHRLLTDKDQFIVLASDGVWDVLSNEEVVDIVSSAPSRTSAARVLVESAAREWKLKYPTSKMDDCAVVCLFLDGKMDLEESDGDEQCYSSATIQSNNHSGNQVESDDGKKSEPSLRRNFTVRASEENKTFGVGRGGESVDVEDGTSAAAEDQNWLGLEGVTRVNSLVQLPRFSEEKPNS >CAK8537539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390580014:390583457:-1 gene:gene-LATHSAT_LOCUS6828 transcript:rna-LATHSAT_LOCUS6828 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQHEVDENFPLFKPSLKPSINPTPAATIIPQHNVVTHENPNSITENTATFSKLGLSEWAVKTCKELRMLTPRRVQQYCIPKILEGRHVIGIDETGSGKTAAFALPILQRLAEHPFGVFALVVTPTRELAFQLAEQFRALGSSLRLRIALIVGGMDMLRQTKELVARPHLVIATPGRINVLLKDNPEIAPVFSRTKFLVLDEADRVLDVGFQDELKFIFQCLPESRQNLFFSATTTSNLQKLRERYQDKLYAFEAYEGFKTVEKLTQQAVFIPKKVKDVYLLHILSKMKDMGVRSAIVFVSTCRDCHRLNLMLEVLDQEAAALYSFRSQAQRLEALHEFKSGKVPILLATDVAGRGLDIPTVDLVINYDVPRIPRDYIHRVGRTARAGRGGLALSLVTQNDVDLIREIEALMKRQLDMVEYKENEVLSLMKKVFSAKNVAKMKMIDDGFEEKAKERKKQKLKMLEEKGLLKQRSKRKRNKEFSKKGNKHEKVEVDASADIASLKKRSKKRQ >CAK8532839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:529131385:529131777:1 gene:gene-LATHSAT_LOCUS2503 transcript:rna-LATHSAT_LOCUS2503 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSFLSPTQRYVAAALFGLSLHESQVNQTRILPLPASDDSISNTYRISSSSSSSIDSVSSDPDLWVHHHSGLLQPVFKFLDIDSSAWYGLEETAGSSSATHHVGPYMRLLSQEFDEGSAESSQRLD >CAK8573607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624771007:624771911:1 gene:gene-LATHSAT_LOCUS26037 transcript:rna-LATHSAT_LOCUS26037 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQRCNELSIPLSNSLNHHPQNHNISQDLILDDCDSLVLDFSHKKLCSSRPPKNLFYTPADHTTNHGSNHNSIKDNNKKMIHKEIEKQRRHQMTTLHASLRSLLPLEFIKGKRSISDHTNEGVNYINFLKKNIKELSAKRDELKSHASCSFSIHKNNTTVGVEISTREEGVPLSKLLEQLLKEGLDVVSCFSIQVNGRLLHSVQCEVIDSKSVDLSVLRRKFSKMNPSFSCSD >CAK8539632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518850724:518856497:-1 gene:gene-LATHSAT_LOCUS8710 transcript:rna-LATHSAT_LOCUS8710 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGFKSKENRTAFKRLPFYCCALTFTPFEFPVCTPDGSVFDVMHITPYIVKYGKHPVTGAPLKQQDLIPLTFHKNSEGEYHCPVLNKVFTEFTHIVAVKTTGNVFCYEAVKELNIKTKNWKELLTDETFSRDDLITIQNPNALDQKVLLDFDHVKQNLKIDDEELQKMSSDPTYNINMSGDIKQMLKELGTEEGKETALHGGGGGKAQKERAAALAAILAARSQVKEDSKSNPDATPQALSIVDAASASVHGRSAAAAKASSSDKTAARIAMHVAGDRAPVNAKLVKSRYTTGAASRSFTSTSYDPITENDYEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDIAPRACENFITLCERGYYNGVAFHRSIRNFMIQGGDPTGTGRGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLAAMEKVPVDDSDRPLDEIKITGVTTFVNPYTEPDEEEEQANAKEKNANDEENDKVGSWYSNPGAGTSESGATGVGSGGVGKYLKARSVPTESAAAAVDTGTTVVGKKRKAVVSGGFKDFSAW >CAK8531142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83962569:83966143:1 gene:gene-LATHSAT_LOCUS953 transcript:rna-LATHSAT_LOCUS953 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVFFHMLVFLWISCWLMLTGEAQVDEIKYKDPKQPIATRVKDLLSRMTLEEKIGQMTQIDRSVANVNVMKNSFIGSVLSGGGSEPLPKASAQDWVNMINEFQKGALASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGCTRDPSLAQRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKVVQEMTEIIPGLQGEIPPHFKKGFPYVGGKTKVAACAKHFVGDGGTTKGVNENNAVVDWHSLLGIHMPAYSDSIIKGVATVMVSYSSWNGVKMHANRDLVTGFLKNTLKFKGFVISDWQGIDKITTPPGSNYTYSVQASIQAGVDMVMVPMQFEDFIQDLTLLVKSNVIPMDRIDDAVGRILLVKFTMGLFENPLADFSLVNELGSQAHRDLAREAVRKSLVLLKNGKSQSAPLLPLPKKVPKLLVAGTHADNLGYQCGGWTIKWQGFIGNTDTSGTTILSAINSTVDPSTQVVFRENPDADFVKSNNFEFAIVVVGEPPYAETAGDSTSLTMLDPGPNIINNVCGATKCVVVTITGRPIVIEPYLSSIDALVAAWLPGTEGQGVTDVLFGDYGFSGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGLTSESVRDLVTRSTSTAEFVRACIVTIMATLLTSLYLIG >CAK8577121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538300056:538302182:1 gene:gene-LATHSAT_LOCUS29254 transcript:rna-LATHSAT_LOCUS29254 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTVAHLPEEILSQVFAGITDTRTRNSLSLVCRSFFRLERKTRVSLTLRGNARDLHRIPTSFAHVTNLDVSLLSPWGHALFCSPATADSPLLAQRLRNAFPLVTSLTVYVRDPQTLHLLLYSHWPELRDVRLVRWHQRPPGLQPGSDFAALFSRCRSITSLDLSTFYHWPEDLPPVLAANAASAVSLRRLNLLTTFTEGFKSNQIESITSSCPNLEHFLVACTFDPRYIGFVGDETLLAIASNCPKLSLLHMADTSSFSNRREEEGGEDASISRATLLALFSGLPLLEELVLDVCKNVTESSFALEMLSSKCPNLKVVKLGQFQGICLAIGSRLDGIALCHGLQSLSVSCCGDLDDMGLIEIGRGCSRLVRFEIQGCKLVTEKGLKTMTCLLRRTLIDVKVASCVNLDAAATLRALEPIRDRIERLHLDCVWKESDNLGHSFLNFDLNASAELNDSELMECFGGEEYGEDTSKRKRQRCEYGFEDDDSFVHSNGNSNGNYNGYSCNSWESLHYLSLWIKVGDLLTQLPVVGLEDCPNLEEIRIKMEGDCRGKPKPAVSEFGLSILTCYPQLSKMQLDCGETRGYVYTAPSGQMDLSLWERFFLNGIGSLSLNELHYWPPQDEDVNQRSLSLPAAGLLQECYTLRKLFIHGTTHEHFMNFFLKIPNLRDVQLREDYYPAPENDMSTEMRVGSCSRFEDALNRRIICD >CAK8536627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2998215:2999630:1 gene:gene-LATHSAT_LOCUS5974 transcript:rna-LATHSAT_LOCUS5974 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPVTHVALLPSSGMGHLIPFLRLAALLLQNQCHVTLITPQPTVTKAEELLLSQFHSSFPQVNKLEFHLLPSHDNDAVTTNTSTDPFYLQFASIRRSSHLLSPLLASLSPPLSAFIYDMTLVSPILPIVENLSVPHYILFTSSATMFSFFSYFPSVAASSSSSDVVEIPEVATIPRSSIPPLLLMPNSLFSKIFMEDSPKLKKLHGVLINTFEGLEGNVLKALNNGKVVKELPPIYGVGPFAPCEFEKIEQNGVATPLKWLDDQPDGSVVYVSFGSRIAIGKDQIREIGEGLVRSGYKFLWVVKDKKVDREEEEEGGEGLEEVLGFELMKRIKENGLVVKKWVDQSEILSHKSVGGFVSHCGWNSVIEAAWFGVPIMGWPQIGDQKINAELVSKGGWGVWKKEWGWEGECVVKGEEISETIKEMMNNESIGIKATQVKEAARNAISVGGGSKVAIQALKEVWKKNVEKN >CAK8541363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:136214239:136216766:-1 gene:gene-LATHSAT_LOCUS10288 transcript:rna-LATHSAT_LOCUS10288 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSTPPYQSAARISDSQCFPQYTASLKCLEEFNTDKSKCQEHFDVYKECKKKEREARLERNKSRSLFS >CAK8566805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464493837:464502209:1 gene:gene-LATHSAT_LOCUS19912 transcript:rna-LATHSAT_LOCUS19912 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPLAISLIFIFSLLISSSKPALSSTVGIDAISRILQIQDRERAPPSVQEAAARSLLIRLLPSHSSSFHFRILSKKQCGGEYCFTISNHPSFATTESPQIRIEGTTGVDIVAGLHWYLKNWCGSHISWDKTGGSQLYSIPNVGFLPHVHDAGISVRRPVPWSYYQNAVTSSYSFAWWDWKRWEKEIDWMALQGVNLPLAFTGQEAIWQKVFKEKFNMSISDLDDFFGGPAFLAWSRMGNLHGWGGPLPQSWFDQQLILQKKILARMYELGMAPVLPAFSGNVPAALKYIFPSAKITRLGNWFSVKSDPKWTCTYLLDATDPLFVEIGKAFVEQQLQVYGRSSHIYNCDTFDENTPPVDDPEYISSLGATIFKGMQSGDNDAVWLMQGWLFSYDPFWRPPQMKALLHSVPVGNLVVLDLFAEVKPIWTTSEQFYGVPYIWCMLHNFAGNVEMYGILDAVASGPIEARTSVNSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHNKINVKAWVDMYSTRRYGRQVPLVQEGWNVLYHTIYNCTDGAYDKNRDVIVAFPDVDPSLLSIQHEHSHSYAKPNSRRIIKEITNSFDRPHLWYSTSEVIYALELFISSGDELSKSSTYRYDLVDLTRQVLAKYANQLFYKVIEAYQSHDIHGVTLLSQRFLDLVEDLDTLLACHDGFLLGPWLDSAIRLAQNEEQKRQFEWNARTQITMWFDNTDEEASLLRDYGNKYWSGFLHDYYGPRAAIYFKYLRESLEKEEHFKLKEWRREWIKLTNDWQSRRNIFPVVSRGDALNTSRWLFNKYLNLSNPETLESWI >CAK8566806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464493837:464497252:1 gene:gene-LATHSAT_LOCUS19912 transcript:rna-LATHSAT_LOCUS19912-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPLAISLIFIFSLLISSSKPALSSTVGIDAISRILQIQDRERAPPSVQEAAARSLLIRLLPSHSSSFHFRILSKKQCGGEYCFTISNHPSFATTESPQIRIEGTTGVDIVAGLHWYLKNWCGSHISWDKTGGSQLYSIPNVGFLPHVHDAGISVRRPVPWSYYQNAVTSSYSFAWWDWKRWEKEIDWMALQGVNLPLAFTGQEAIWQKVFKEKFNMSISDLDDFFGGPAFLAWSRMGNLHGWGGPLPQSWFDQQLILQKKILARMYELGMAPVLPAFSGNVPAALKYIFPSAKITRLGNWFSVKSDPKWTCTYLLDATDPLFVEIGKAFVEQQLQGDIHTSL >CAK8543059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572690822:572696649:-1 gene:gene-LATHSAT_LOCUS11846 transcript:rna-LATHSAT_LOCUS11846 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRKPLILCSTKNVMNSVLKSSAPSINEFPNLHLPVGILRLSNNLPSLDHSALIALSTSLLKRLSITSGSPVLIKNVEMNTQRVAVAIAIDPPSNDTLDIDHSSSSSSRIMLVFPSCDFPLNGPLLNGEIAYLSPLLAFNLHLHISCLKSIIHNGQDALSSYFKPQCQVGDEEDAKSIEDSVINIELVPLAQPPRFASLLRVAFVKIPECGILDSIKPTSDVETKERQDLIDLALQKYFEVDRYLSSGDVFGINISWNCNSTICIPCNQKTQKQNDNRICFKVIAMEPSDEPVLRVHKTLTALVLVGSFQSALPPDLLVAGPEGPVPLQRDTVKILASILAPTLCPSALSSKFRVSVLLYGLAGCGKRTVVRYVARRLGLHVVEYNCHDLMGSDRASVALAQAFKTAQRYSPTILLLRHFEVFRDSHSPEISPNDQRGNTSEVASVIRKFTEPVGEHDDSNSLMKSNGEFVEKNAEKTSGHQVLLIAAADSSEGLPSTIRRCFSHETKMGPLTEEQRAEMLLHSLQNIYGLHSNTDLEGFVKEIVGQTSGFMPRDMCALIADAGASLFPSSNAEADKVEPEGADSSLSSKVVTEDNNDAEVSARKPGKEDLANALERSKKRNASALGTPKVPNVKWDDVGGLEDVKKSILDTVQLPLLHKDLFASGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFKLHEDVSLYSIAKKCPPNFTGADMYALCADAWFRAAKRRVLNADSESSNPDNEANSIVVEYDDFVQVLEELQPSLSTAELKKYELLRDQFEGTSK >CAK8570014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21106292:21108888:-1 gene:gene-LATHSAT_LOCUS22805 transcript:rna-LATHSAT_LOCUS22805 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSISSENTMLPLSDPPKIQPDDKLFKGSAMTKRGAYAAVSYMSCAVLLVMFNKAALSSYNFPCANVITLLQMASSSFFLYMLRRWRVISFTASESLLISDTSTKFVSVKTLKHTFPLAAAYLLYMLVTMEAVRGVNVPMYTTLRRTTVVFTMLAEFVLVGQRYTRSVVFSVGLIIFGAFVAGARDLSFDGYGYAVVFMANITTAIYLATIARIGKTSGLNSFGLMWCNGILCGPVLLVWTFIRGDLKMTIDFPYLFSPGFLVILLFSCILAFFLNYCIFLNTTLNSALTQTICGNLKDLFTIGFGWIIFGGLPFDFWNIVGQILGFTGSGLYAYFKLIGK >CAK8573182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592298087:592298893:-1 gene:gene-LATHSAT_LOCUS25659 transcript:rna-LATHSAT_LOCUS25659 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTPTSNPMGFMPIPSPAGVQRLGVGSISPPSPPQPQSMQPAAAPTAPPPTVQTAVTSKVPVHQMPIITTLTRLFNETSEALGGSRANPAKRREIEDNSKRLGGLFAKLNSDDISKNDSDKLLQLCQVLDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRLNVRLS >CAK8573183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592298087:592298866:-1 gene:gene-LATHSAT_LOCUS25659 transcript:rna-LATHSAT_LOCUS25659-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMPIPSPAGVQRLGVGSISPPSPPQPQSMQPAAAPTAPPPTVQTAVTSKVPVHQMPIITTLTRLFNETSEALGGSRANPAKRREIEDNSKRLGGLFAKLNSDDISKNDSDKLLQLCQVLDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRLNVRLS >CAK8540198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544500829:544502359:1 gene:gene-LATHSAT_LOCUS9226 transcript:rna-LATHSAT_LOCUS9226 gene_biotype:protein_coding transcript_biotype:protein_coding MELISELLASVIFLMTRVFAIIKLVCFFPIRTGLIVINTWTELFRNAIIFNVNIVLRFISWVFGLFFLPARVVSSIERERQLERKLRRMQTEMESLEWNQKKLQERFQLAVKECKMMEMLLAELEEEHDLTIAKIENLEGKLRDQINENRRLKENQGKGYWNLKNQNIDNDRKVDASLPPPTLPEKSSYSESEVSLQDLLSRKDIWKDESKARTELMKLLKTGQKSAPSKPEPVSKDAVVEVCEVLDHHREVAISRSIFSAILSLIVGVTVWEADDPCMPLVIALFAVVGMSLKSVLQFFFSIRNKPASDAVALLSFNWFILGTLTYPTLPRVAPMLAPLVLRFVDQAIIRFGLLSLV >CAK8531688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139698468:139698806:1 gene:gene-LATHSAT_LOCUS1461 transcript:rna-LATHSAT_LOCUS1461 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPKLMKIKKLTEDLIGLNELEEKILSQKAKIEWLKLGDGKNIFFHASLKAKHHAKSIASLSAADGSRVTSHAKIEEAILDYYGRLMGQKEDNLSYIDVTSMREGPHLTVD >CAK8567670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534937103:534944307:1 gene:gene-LATHSAT_LOCUS20699 transcript:rna-LATHSAT_LOCUS20699-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAARGVSLQLQTPPRKEWRAVAEHHHSARNPDSEELQNPKLGQSDERTIYEVQQGREPVDVDFCSITMDGTLDNDILQQQIHTVVRQRHEILQMEIELKAQIFARSEMMEMRSTFDAQLKEHTNNAKKFQEQLCERERAIHELERKMEEKDRELHNIKLDNEAAWAKQDLLREQNKELASFRRERDHSEAERAQHIQQIHDLQEHIQEKDRQLIELQEQNRVAQETIMFKEEQVREAQAWIARVREMDVFQSTTNQSLQAELRDRNEQYNQLWMGFQRQFAEMERLHLHTIQQLQLELAEARERTGTYNDDSRLSQISSKSNVAQYGQENGNQFDLNGGNASGGNTGLLTNENSDNAPPFSTSGNLSVQSDHVHGVAIAPSPLIVPHSYLPPGQVAALHPYVMHQQGVPNSVASHVPQSHVGHFHPMPTMSPLQQWQNQQAVSEGLQVSIQDNPTSSQADQSLIRSDVKFNYEMSVNGQTLPREYTDAHVHQGEEAQTVASSSTGETQSVDKDQLIASQQSLQQISSQFSEALRLNSFKPNGEMKNPVTLSNDGPASQILLTEQGSSAANAPSVGDMIQNNSDTVLSEALASTGQTTSTTIPKVPETALLDERSLLACIVRTIPAAGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLEDFVTSHPELFLIEGDLIQLREGAHKMIAATAAVAKVAAAAAASSPYSSYMPTVAVTPMAQPHRLKKSPSTDSKMIKTEKALQEYTVNSSNMGDDPSKLPVNQYQQSNGAHFSIAGGLSNVKILSKPKDSREMNGPENSMVQPSAQLSVGNGGSLDRPSMISAQNSGPANGRPSAAAFPSRR >CAK8567668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534937103:534944410:1 gene:gene-LATHSAT_LOCUS20699 transcript:rna-LATHSAT_LOCUS20699 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAARGVSLQLQTPPRKEWRAVAEHHHSARNPDSEELQNPKLGQSDERTIYEVQQGREPVDVDFCSITMDGTLDNDILQQQIHTVVRQRHEILQMEIELKAQIFARSEMMEMRSTFDAQLKEHTNNAKKFQEQLCERERAIHELERKMEEKDRELHNIKLDNEAAWAKQDLLREQNKELASFRRERDHSEAERAQHIQQIHDLQEHIQEKDRQLIELQEQNRVAQETIMFKEEQVREAQAWIARVREMDVFQSTTNQSLQAELRDRNEQYNQLWMGFQRQFAEMERLHLHTIQQLQLELAEARERTGTYNDDSRLSQISSKSNVAQYGQENGNQFDLNGGNASGGNTGLLTNENSDNAPPFSTSGNLSVQSDHVHGVAIAPSPLIVPHSYLPPGQVAALHPYVMHQQGVPNSVASHVPQSHVGHFHPMPTMSPLQQWQNQQAVSEGLQVSIQDNPTSSQADQSLIRSDVKFNYEMSVNGQTLPREYTDAHVHQGEEAQTVASSSTGETQSVDKDQLIASQQSLQQISSQFSEALRLNSFKPNGEMKNPVTLSNDGPASQILLTEQGSSAANAPSVGDMIQNNSDTVLSEALASTGQTTSTTIPKVPETALLDERSLLACIVRTIPAAGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLEDFVTSHPELFLIEGDLIQLREGAHKMIAATAAVAKVAAAAAASSPYSSYMPTVAVTPMAQPHRLKKSPSTDSKMIKTEKALQEYTVNSSNMGDDPSKLPVNQYQQSNGAHFSIAGGLSNVKILSKPKDSREMNGPENSMVQPSAQLSVGNGGSLDRPSMISAQNSGPANGRPSAAAFPSRR >CAK8567667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534937103:534944410:1 gene:gene-LATHSAT_LOCUS20699 transcript:rna-LATHSAT_LOCUS20699-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAARGVSLQLQTPPRKEWRAVAEHHHSARNPDSEELQNPKLGQSDERTIYEQGREPVDVDFCSITMDGTLDNDILQQQIHTVVRQRHEILQMEIELKAQIFARSEMMEMRSTFDAQLKEHTNNAKKFQEQLCERERAIHELERKMEEKDRELHNIKLDNEAAWAKQDLLREQNKELASFRRERDHSEAERAQHIQQIHDLQEHIQEKDRQLIELQEQNRVAQETIMFKEEQVREAQAWIARVREMDVFQSTTNQSLQAELRDRNEQYNQLWMGFQRQFAEMERLHLHTIQQLQLELAEARERTGTYNDDSRLSQISSKSNVAQYGQENGNQFDLNGGNASGGNTGLLTNENSDNAPPFSTSGNLSVQSDHVHGVAIAPSPLIVPHSYLPPGQVAALHPYVMHQQGVPNSVASHVPQSHVGHFHPMPTMSPLQQWQNQQAVSEGLQVSIQDNPTSSQADQSLIRSDVKFNYEMSVNGQTLPREYTDAHVHQGEEAQTVASSSTGETQSVDKDQLIASQQSLQQISSQFSEALRLNSFKPNGEMKNPVTLSNDGPASQILLTEQGSSAANAPSVGDMIQNNSDTVLSEALASTGQTTSTTIPKVPETALLDERSLLACIVRTIPAAGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLEDFVTSHPELFLIEGDLIQLREGAHKMIAATAAVAKVAAAAAASSPYSSYMPTVAVTPMAQPHRLKKSPSTDSKMIKTEKALQEYTVNSSNMGDDPSKLPVNQYQQSNGAHFSIAGGLSNVKILSKPKDSREMNGPENSMVQPSAQLSVGNGGSLDRPSMISAQNSGPANGRPSAAAFPSRR >CAK8567669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534937103:534944307:1 gene:gene-LATHSAT_LOCUS20699 transcript:rna-LATHSAT_LOCUS20699-4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAARGVSLQLQTPPRKEWRAVAEHHHSARNPDSEELQNPKLGQSDERTIYEQGREPVDVDFCSITMDGTLDNDILQQQIHTVVRQRHEILQMEIELKAQIFARSEMMEMRSTFDAQLKEHTNNAKKFQEQLCERERAIHELERKMEEKDRELHNIKLDNEAAWAKQDLLREQNKELASFRRERDHSEAERAQHIQQIHDLQEHIQEKDRQLIELQEQNRVAQETIMFKEEQVREAQAWIARVREMDVFQSTTNQSLQAELRDRNEQYNQLWMGFQRQFAEMERLHLHTIQQLQLELAEARERTGTYNDDSRLSQISSKSNVAQYGQENGNQFDLNGGNASGGNTGLLTNENSDNAPPFSTSGNLSVQSDHVHGVAIAPSPLIVPHSYLPPGQVAALHPYVMHQQGVPNSVASHVPQSHVGHFHPMPTMSPLQQWQNQQAVSEGLQVSIQDNPTSSQADQSLIRSDVKFNYEMSVNGQTLPREYTDAHVHQGEEAQTVASSSTGETQSVDKDQLIASQQSLQQISSQFSEALRLNSFKPNGEMKNPVTLSNDGPASQILLTEQGSSAANAPSVGDMIQNNSDTVLSEALASTGQTTSTTIPKVPETALLDERSLLACIVRTIPAAGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLEDFVTSHPELFLIEGDLIQLREGAHKMIAATAAVAKVAAAAAASSPYSSYMPTVAVTPMAQPHRLKKSPSTDSKMIKTEKALQEYTVNSSNMGDDPSKLPVNQYQQSNGAHFSIAGGLSNVKILSKPKDSREMNGPENSMVQPSAQLSVGNGGSLDRPSMISAQNSGPANGRPSAAAFPSRR >CAK8575879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:347086687:347087832:-1 gene:gene-LATHSAT_LOCUS28104 transcript:rna-LATHSAT_LOCUS28104 gene_biotype:protein_coding transcript_biotype:protein_coding MCGARAILAVSLLLVVTFQVLSVSSCPPSEWAALMSFKAALKEPYFGIFHSWRGTNCCYNWYGISCDPTTHRVAEITLRGLTVGDNHRRYKHTKTTSGYMTGHISPSICNLTQLSTITISDWKGISGNIPKCITRLNFLQIIDLSGNMISGKIPYNIGHLTQLMMLNLADNHISKRIPVTIVNLFNLMQLDLRNNAIEGPIPSNIGRLRKLNRALLSHNRIKGRIPRSFSNIYGLADLDLSLNQLSGPIPFSLGQMSVLDSLNLKYNNLTGRIPRTLLASRMSHLDLNRNALTGPIPDVFSEISYFININLSHNNLNGLIPKSMVLATYIGHVDFSHNHLYGRIPVASSLSHLQPESFRHNGHLCGKPLQPCTHTRIHKHE >CAK8566911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472021645:472022144:-1 gene:gene-LATHSAT_LOCUS20007 transcript:rna-LATHSAT_LOCUS20007 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQLAPGQSFLHFRAEAEPAPGQVGQLPGLNRRQERIKAYVCLSVPFLRRNPKFRIVDGLRAAYGEDYYICIFQEPGKMEAEIAEAGTTYVLKNILTTRQTGPPILPKGKYGIGFNPDVPGTLPSFSFQ >CAK8535427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847345196:847349870:1 gene:gene-LATHSAT_LOCUS4891 transcript:rna-LATHSAT_LOCUS4891 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKLMPNLDQQSTKLLNLTVLQRIDPFVEEILITAAHVTFYEFNIDLSQWSRKDVEGSLFVVKRNMQPRFQFIVMNRRNTENLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNARECEEIANLFSRILNAYTKVPTKSMVSTTKSEFEELEAVPTMAVMDGPLEPSSSTTSNVADVPDDPSFVNFFSAAMAIGNTSNAPITRQPYQSAATISSSSGPIHAATPALPTLQIPTLSTSPFIPHHDAPESINISSRATNLVKPSFFIPPPSSASMMLPPVSSSVPTAPPLHPTGTVQRPYGTPMLQPFPPPTPPPSLTPVSSPLPNYAPVISREKVRDALLVLVQDNQFIDMVYRTLLNAHQ >CAK8538632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489551287:489551610:-1 gene:gene-LATHSAT_LOCUS7812 transcript:rna-LATHSAT_LOCUS7812 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFCSSGFFTCDERMSQASGKQDVEQLMKEVQEARRIKMLHQPSKVYMKYYPHSVSPRIGFLLIFFNFIKLF >CAK8562160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448988553:448992731:-1 gene:gene-LATHSAT_LOCUS15681 transcript:rna-LATHSAT_LOCUS15681 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQSDAVSLICGAKTQSNPENTVGVLTMAGKGVRVLATPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFSGSPVKHEKKMLEMIGRKLKKNSVALDIVNFGEDDEGKTEKLEALLAAVNNNDSSHMVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAASAGGVSGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASKQEKGGEQQAVSQDATMTEQTSTAASEVGTKTDDMMDDENALLQQALAMSMDDPAVSHDVKDADMSEAATDDPELARAFQLSVSEPPNDTVGRLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSESQKKNDEERPNEEEKK >CAK8531417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108213429:108214982:1 gene:gene-LATHSAT_LOCUS1210 transcript:rna-LATHSAT_LOCUS1210 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVQHGRQRKMPKALGIFLRCFSATARKEEQQLVNDHVDNNAEEIIRRRSRVASTTAVDEKPLGKIADAFKELADIIVSENVIEVAAFSRACAFVAPLFGSIGFHFKFIEMDYLIKVNDIAEASKSFKTLQSMVDHDVQANSVRIQGSHSRNLLKVKRGLEFLKVLFEQVLLTEGNSMRDAVSKAYTQIFNSYHGWALRKAVSVRLNYIPTKQQLYRKLGEDESAAKILMETYISASPPLLQYIEKIFLERELGIDW >CAK8572013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501691837:501694254:1 gene:gene-LATHSAT_LOCUS24622 transcript:rna-LATHSAT_LOCUS24622 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWDTNEEKLKEHFGNYGDVLNTSVMREKNTGKPRGFGFVVFSDPSVLDRVLEDKHVIDGRTVDAKRAFSREDQPISVTSRTGNSNSGLSSGNGGNMRTKKIFVGGLPPTLAEEKFRQYFEAYGHVTDVVVMYDQNTGRPRGFGFITFDTEEAVDRVLHKTFHDLNGKQVEVKRALPKDANPGASSRMMGGAGGGSAGMGGYQGYGASGGNQNAYDGRSDSGRYMQPQSAAGGFPTYGSSAYSAAGYGYGSASNGLGYGAAYGGYGGATAGYGGPAAATYGNPNVPNAAYAGGGPRSSWPAQAPSGYGSMGYGNTAPWGAPSGGAGSGSATAGQSPSGAAGYGNQGYGYGGYGGGYGGSDSSYGNPGVYGAVGGRTGSAPSSNASGQSGSELQGSGGSGNYMGSGYGDANGNSGYGNAAWRSEQAQASGNYGTPQGNGGQVGYGGGYGGAQTRQAQQQ >CAK8566691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:451886496:451889310:-1 gene:gene-LATHSAT_LOCUS19803 transcript:rna-LATHSAT_LOCUS19803 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPSYRLVKEEVTDLLLMEPFPHRENVEVLQFRNRRGTEIVAMYVRHPMAKSTVLYSHGNAADIGQMYELFVELSIHLRVNLIGYDYSGYGQSSGKPSETNTYADIEAVYKYLEENYGAKQEDIILYGQSVGSGPTLDIAARLPQLRAVILHSPILSGLRVMYPVKKTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKRLWELCQQKYEPLWLKDGNHCNLELYPEYLRHLRRFISTVEKSPPQRLSFRRSVDKVEQSRGSTDFSEKPRKSMEKPRKSTDCRDKPPKSTDRTEKQKYHEFKFKNSDKLDKLKVQFDQTERSTERSRRSVEYNNDKSRSIEFQEKSRRSVDVQFERPRKSIDWLDKIRAT >CAK8536986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:50674102:50677867:1 gene:gene-LATHSAT_LOCUS6307 transcript:rna-LATHSAT_LOCUS6307 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSFTYFILSLGVFLVISTCHYGVNASEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGFNGTSNIYPWTIIGENQSSIIVSTERSSCFERNKLALRMDVLCHKKSCPHGGVGISNPGFWGMNIEEGKKYKVVFYVRSLDRINLRVSFVGSDNGVKLASTKIRASGINVTKWNKMEIILEAKHTNHNSSIQITTKRKGVLWLDQISAMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDIWKYWTDDGFGYFEGLQLSEDLGAYPIWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQYPINHPADLYDFHIYTNSTDMFSKYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKLWIPDAIVFNSYQNYGTPSYWLQQFFIDSNGATFLNSTLYNSSSSVVASAIQYKNSEDGKNYLKVKVVNFGSSSENLDILINNLKSNVQRSGSSKVILTSQDKMDENSFSEPTKIVPKRTLLENASNDMNVELSPYSITSFDLLI >CAK8566194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:389632443:389634382:-1 gene:gene-LATHSAT_LOCUS19348 transcript:rna-LATHSAT_LOCUS19348 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPKCQANYTTLTPLTFLMRASASYANRLSLIHDAARFTWSQTYDRCRRLASSLRALNIAKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNAINIRLDAKNIATILRHSEAKVFFVDYEFVSKAKDALRLLMEEKDQQNYSSLPLVIVIDDINTPTGIRLGELEYEQMVRRGNPNYMPEEIQDEWSPIALNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGSEPVYLWTLPMFHCNGWTFTWGIAARGGTNICIRNTAACDIYRAINLFSVTHMCCAPIIFNTILSAKASEKRPIKTPVNILTGGAPPPASLLERMEPLGFHVTHAYGLTEATGPALVCEWQKKWNELPKTEQSMLKARQGVSILTLADVDVKNLETMESVARDGKTMGEIVLKGSGIMMGYFKDGEATAKAFGDGWFRTGDVGVIHEDGYLEIKDRSKDVIISGGENISSVEVENVLYSHPSILEAAVVAMPHPKWGESPCAFVSLMKNNEDEKSDYSCVAEDEIIAYCRKNLPHFMVPKKVVFMEELPKTATGKIQKFELRVKAKCFVVNDDEKNKSKNKNKKNNNNQVNQNSDQIMALSRL >CAK8571494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:430489246:430490175:-1 gene:gene-LATHSAT_LOCUS24154 transcript:rna-LATHSAT_LOCUS24154-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFDEFIVEADLVDLPLIGRRFTWSNLEGSFMSRIDRFLVSDGWLEHCPNCYQRCLDKKLSDHCPIMINAWRKLEVQGWGGYVLREKHKLIKLKLKEWSKEHTEHLDDRIEGEKRKVNELDCKGEKSMLSTDEVNLKRELTAQLLSMVKLKTSMQWQKLRMKCLSEGDTNTEFFHRCITNRRKKNEILCIKKDGSKMYEVHEIKKEMIEFFTEVYGGNKDKRAMLLDFKFKRIDIEANEFFTASFFEKEVRDAVWECDGMKSLGPNGINLGFSKSFGNS >CAK8571493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:430489246:430490274:-1 gene:gene-LATHSAT_LOCUS24154 transcript:rna-LATHSAT_LOCUS24154 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGVWCILGDFNAIRSKIERKGTREFHSRGEMEGFDEFIVEADLVDLPLIGRRFTWSNLEGSFMSRIDRFLVSDGWLEHCPNCYQRCLDKKLSDHCPIMINAWRKLEVQGWGGYVLREKHKLIKLKLKEWSKEHTEHLDDRIEGEKRKVNELDCKGEKSMLSTDEVNLKRELTAQLLSMVKLKTSMQWQKLRMKCLSEGDTNTEFFHRCITNRRKKNEILCIKKDGSKMYEVHEIKKEMIEFFTEVYGGNKDKRAMLLDFKFKRIDIEANEFFTASFFEKEVRDAVWECDGMKSLGPNGINLGFSKSFGNS >CAK8535898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889511659:889512672:1 gene:gene-LATHSAT_LOCUS5316 transcript:rna-LATHSAT_LOCUS5316 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNIVVIFDFDKTIIDCDSDNWVVDELGFTDLYNQLFPTMPFNNLMDRMMMELHSNGKTIEDIVEVLKRVPVHATMIPAIKAANALGCDLRIVSDANTFFIETILKKFGIRECFSEINTNPGYVDEEGRLRVLPYHNLNQPPHRCNLCPTNICKGLIINRIQDSFPCEENKRFIYLGDGSGDYCPSLNLKEKDFVMPRKNFPVWDLICKDRSLVKAQIYEWSDWEEQERILHQLIKKISMEESVELISNEFKISAHEALPKAQPLML >CAK8572472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539113438:539114838:-1 gene:gene-LATHSAT_LOCUS25036 transcript:rna-LATHSAT_LOCUS25036 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETQQHPNYKTIVLKVSIHCQGCKKKVIKILQGIYGVTSVNIDLKQQKVVVTGNVNSDILIMKLTKTGKHAELWPELEPETEPEIKQTDLKKKKQRKPEMKEKQSDPETEDSEEIEIKQSSENNNETGKVKVKVDDSSKSVEVNGSIAKKGNGGGEINGSTAKKGNGSGEVNVNGNGNKSNEGNATGKSGVVHVQELKPEVRKQTVVLPAGPVPEKKVSVAVQFPCDNNEEASTNEKTGFTGGDSSGVKKKKKKGKGKADNNNANESVAEHFVDASGTGGSGNRSQGQVHGQSNFHDQVHRGSVPVSNTPNEVPPRHFINQQFYPPQYYAPPVSAAAPVYTVSHHTAYPSNSSYGAAYYAPPQPYQYAHVMNSGNEMDQPRPYTYESSETYSSSQPSDSFVYFSDENPNACSVM >CAK8559915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:902847:909474:1 gene:gene-LATHSAT_LOCUS13649 transcript:rna-LATHSAT_LOCUS13649 gene_biotype:protein_coding transcript_biotype:protein_coding MATPESQLDPNPFLQEQSWHLLSILLQIGHPVDAEYLSSRCGFFNASAGFVRYVASLPDSPLAVNSNGLLTPSVKAVFAIARFFSFQVPNSASSYSRKRKSVLSLTQGGRGPKRLAIGDKVPEIFVKSFIDTSAEAMMRRSFCTMKFESRIMHDGNYMIPICIDTVGECSGWSEPNFEYRDADNDGTTNTAQGEISDSMIKTNECFFISNTGLKKLEDLDRLSGHSPVAILALPTSVQDQSLCNEGFVVGIGSGKKVDCFDTFGNDYPELNITHHVDGVDVCRNSTCKDPQSESIEKNKEDEKGLKEKLINYDKDRGKEDVAQSCKPVVCGEEPTKVLEFKKGTHAKDLDKNKRVRNMVTRSTNKAAQTSTNPKHVPKTSCILKGGLKYDQHSMTQILAESLACNKLEDAPKKIDHFKDDQNIVAKNKQKQNRKENLAEDNSMASKVEEKTFPSFESFKIEEEEGSGGYGIVYRAQRTIDGKRLAIKCPHSNAHKNHVNNERNMLERFGGKNFIIKFEGSFKSGNNDCFVLEHVEHERPEVLKREIDINELQWYAYCMFKALACLHKEGVVHRDVKPGNFLFSRKLKKGYLIDFNLAMDLKQKYNFGSKLKPSLGASNNIPLPSGPSPAVQDKNLGGIKSLTSNRRELVDHRKYSDINRHLKHKTNAGHLKNCPDKAVASLRRAQGTDGSGITSAKDVTSTKTASADRLREPLPFRGRKELISLVQNSMQCATSSSMKSPSSQRKRVTAPSGKVDGRTLYLTPMPIHSSTVALGLLRSKGDGKHKREGPCVGTKGFRAPEVLFRSQFQGPKVDIWSAGVTLLYLLIGKTSFPGEPEQSLKEIAKLRGSEELWEVAKLHDREASFPLELFDDQYLQSYDIESWCKTHTKRPEFVDQVPKSLFDLIDKCLTVNPRSRISVEEVLRHEYFAQCNDIMRKTRIIRRGLGLETSTSRAAV >CAK8573334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604140089:604141022:1 gene:gene-LATHSAT_LOCUS25795 transcript:rna-LATHSAT_LOCUS25795 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRGGGFISLENLIFFAQKYPASFQRLLLKQDGVRAEWEYPFAVAGINISFMLVQMLDLQSVRPSSMPGIYFLRLLEEDEMAFDILFCIAFQIMDAQWLAKRATYMEFNDVLKSTRTQLEQELALDFGIVMTKLLLS >CAK8577282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551732291:551733892:1 gene:gene-LATHSAT_LOCUS29403 transcript:rna-LATHSAT_LOCUS29403 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLLRHSMVPFDKHPSSSSSVSSLFTKCVRCQSTAGLGGDTDLKRSERKAVVAVKAAMVTTTNSLTVSKPVLVPQGLTELLVETIASVRNAMLVILMRNVVKKRKIRRSLHPQMLIERAIVDCRFFTLFAVAGSLLGSVLCFLQGCVLVIESYAHYFHMLSQPSDQGHLVHLLIEAIDMFLVGTALLMFGVGLYVMFVGSGKVTSKEKEPFGHLHIMKSAPRWVGMHSIEQAKSKIGHAVMMILQVGLIDKFNNIPMVTGLDLACFAAALLTSSATIFVLSKLNQH >CAK8539778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524862060:524864857:1 gene:gene-LATHSAT_LOCUS8841 transcript:rna-LATHSAT_LOCUS8841 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPIMHDSDRYDFVRDIGSGNFGVARLMTDKLTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGEMFERISKAGRFTEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGDPALHLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKQEYDGKIADVWSCGVTLYVMLVGSYPFEDPDNPKDFRKTIQRVLSVQYSVPDFVQISPECRDIISRIFVFDPAERITIPEIMRNEWFRKNLPADLVNENITDNQFEEPDQPMQSMDTIMQIISEATVPAAGSFYIDHFMPDNPDTDEDMGEIDSDYELDVDSSGEIVYAI >CAK8577288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552849505:552849933:1 gene:gene-LATHSAT_LOCUS29409 transcript:rna-LATHSAT_LOCUS29409 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESHHKTGSGETKKHSTSELMASAKLVAEAAQSGFGPGPDGKAMDKGKVADAAGDLLDAIGDYAKLDDQKGLGQYVDKAADYLHRYHPTTTAAATADHPTSKPDHHKSNDAAKTDGGESGGHGHGLGDFAKAAGGFFHK >CAK8535112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814866524:814866958:1 gene:gene-LATHSAT_LOCUS4589 transcript:rna-LATHSAT_LOCUS4589 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCFFLICVLHSTIALTCGSLMVFYSKEIHVLGHGSKTAINLQGSTPHDQLLIQTSDSFSGLLLFTIGFLVFMVACVKDMEFQSFFAKGCVLLHISMAVWRFYFVGKVEDLACGCLRHVVGDIALAISWVYFLVYVWREKYD >CAK8560425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18836461:18836736:1 gene:gene-LATHSAT_LOCUS14095 transcript:rna-LATHSAT_LOCUS14095 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHDQEFAGFLMRIGDGVEPTKLDDMVRLPSQIAVSWEGEHSTQVLIQHIFPDLELNGWDALYMVKRAILTLTNDDVQKLNDIIIDQFP >CAK8562734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532420991:532423728:-1 gene:gene-LATHSAT_LOCUS16206 transcript:rna-LATHSAT_LOCUS16206 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVARPTWAPAKGGNEQGGTRIFSPSQKYSSRDIASHTTLKPMKDGQDTQDELKRRNLRDELDERERRHFSSKNKFYNDDRDHGKGNHLFLEGTKRDFEDHIVPCNVDADDSNVEVNNDDESDDDDEDDTEALLAELEPNKEGKGRGKAVQGTATTRGRS >CAK8565230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:77323239:77323610:1 gene:gene-LATHSAT_LOCUS18461 transcript:rna-LATHSAT_LOCUS18461 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKTDATELIGNTPWVHLNNITEGCVALIAAKLEYLQSCCNVKDRIPLSMIEDAENKGLITPGKTALVEPTSGNTGIGSASVAALRGYKLLVTIPPYASLEKNYSASFRSGCIFDRSC >CAK8574666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5907410:5909657:-1 gene:gene-LATHSAT_LOCUS26991 transcript:rna-LATHSAT_LOCUS26991 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKRLAKLISIIAFSTYFFVIIFQVPIFSVPCRTGICKTPLELTSSQLIASEVFPLFIVKSLIYPGTVAKAIFKQKTIPSYKNLLHNFNTRTISVDSELQRLEVLAGSYLCVGGAILGLIKPGRMGLFGVLLLMLGLIREHIMGKSDLTHAKGIQIYPTIFIALVSAFFSIKSDVREIIHTFNLKRAGKAKHY >CAK8576701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507517154:507517309:1 gene:gene-LATHSAT_LOCUS28874 transcript:rna-LATHSAT_LOCUS28874 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFFKQKLPSEEQDSISQQQHIKFNLKELPSDLGKQPKMSAYHSNDQEYA >CAK8543039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:569702627:569703529:-1 gene:gene-LATHSAT_LOCUS11826 transcript:rna-LATHSAT_LOCUS11826 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSNWFSRWEEQLPPPEELMPLSQTLITPDLAIAFDIRNPHTTNTINANPQHQQHQHHQQQQQPQPSSLPSSTDFADSGELGSGTAGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGISSAGGGGSGGGSVGVNDPATDHLFASSPVPPHFLHPVARPSSDQYMPFLPVPAIHHQQQLAAAQYHRPVGHFGSPPNGHFDNPFLSRQQQHQQQHQHQQQLHRIGAQMHNNPVGGYVEDMESANASGGRKVLTLFPTGDD >CAK8543013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:566282351:566284361:1 gene:gene-LATHSAT_LOCUS11800 transcript:rna-LATHSAT_LOCUS11800 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPRLVSGNKSVNSVKISFRVPYFTQWGESLVVCGSEPVLGSWNVKKGVLLSPFHEGSELIWSGSITVPKGFQCEYTYYVVDDKRNIVRWEMGKKHEVTLPESVQSGQEIEFRDLWQTGSDALPFRSAFRDVIFRQSWDSSIITTTGVNHITFEPEAESILIQFKVFCPTIEKDTSIYVIGSNTKLGQWKVENGLKLSYVGEFVWLAECVMQRSDFPIRYPF >CAK8564598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1944355:1945321:-1 gene:gene-LATHSAT_LOCUS17888 transcript:rna-LATHSAT_LOCUS17888 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIKIVALSGSIRKASNNTGLIRSAIELSKSVVEGVEIENVDISNLPLLNTDLEKEGTYPAEIEAFRQKIVAADAFLFASPEYNYSLSAPLKNAIDWASRPPNVWAGKPAAIVSVGGGHGGAKSHYHLRQVGVFLDLHFINKPEFFLNAFQPPAKFNSEGDLIDEGAKSNLKEVLLSLKAFTLKLQGKN >CAK8540665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15450463:15452695:-1 gene:gene-LATHSAT_LOCUS9649 transcript:rna-LATHSAT_LOCUS9649 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKDSAIKLFGKTIPVKEILVGLSPLSSADVVDHSIHHHHNHHNHDSSTNSSRESHNSIDAKQQEIHKDTLGEKSADEKNEDGVPTQSNEEFTNPDAASRTGEESVTASTEKEVATLKASKTEEEQDETSNSQEKSLKKPDKIIPCPRCSSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRRNKSCSSQYRQITVSDATLQNSRIHPSLKCNGTVLTFGSDSPLSESMASVLKLAHKPEELRIRVPRASGEKGDDQSNKSSVTSTEGASTHVAQEQARQYSQSFPPQGHYFPPGTPCPFPWNPTQWRSPVPPPAFSPPGFSLPFYPATSYWGCTVPGGWNVPWQAQPSSAPNSSPNSPTLGKHSREEGRKETSEEKCLWFPKSLRIDDSGEAEKTSFWTTLGIKNDDTASPVPSGRLFQAFPSKLDKKNDSESDQASSVLQANPAALSRSHNFHETS >CAK8541477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:195480937:195482271:-1 gene:gene-LATHSAT_LOCUS10396 transcript:rna-LATHSAT_LOCUS10396 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSFSNTSNKNNEFSSNILSILFHFCFSIFSHPLYFFYFLFFSPYILKLVSFLSPLFITTTLLLLVALLTFTPSFVHQKGGSKSSSSCSSEISVSKLCFFISILQNFLAWFESDDKDEEIGFLDELEAYLVMFQASIFEVDESKSEEDFIFEEVDEEFSDEASEVFSTVEEEKEVKLDEENQVEKEEKVVESIKEEKVLDVKSLVTLFQEYAELENVSSEKEEKEVVKAIVDAKFNKVEESKEKWNMISGSKVKGNRDMYESKLKSGKSDEEHFGEAMKVKKSHRVDVNYGSPQSNWEYSGKVIGNNDEVGSNLGSFGSMRVEKEWRRTLACKLFEERHNNGDGSEGMDMLWETYEKESNKVIKKSDTKRGKKLSEVEFNEDEDEEEEVGAKLCCLQALKFSTGKMNLGMGRPNLVKFSKALKGMGWLHNVGKNGKKNNSTK >CAK8566193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:389493014:389496315:1 gene:gene-LATHSAT_LOCUS19347 transcript:rna-LATHSAT_LOCUS19347 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSSDSFSRAKKWVQELQKQGNPNMVVALTGNKSDLEDKRKVTVEEARVYAEENGLFFMETSAKSAANVNEVFYEIAKRLPRAQPAQNPAGMVLVDRPAEGSRAASCCS >CAK8542714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540203488:540206096:1 gene:gene-LATHSAT_LOCUS11528 transcript:rna-LATHSAT_LOCUS11528 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIQQLLRKKLQSRSLSSSGSTGSNSLRALALLGAGVSGLLGFATTASADEAEHGLASPHYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVEDGPNDEGEMFTRPGKLSDRFPQPYANESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >CAK8561861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398408992:398411597:-1 gene:gene-LATHSAT_LOCUS15414 transcript:rna-LATHSAT_LOCUS15414 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRIMQWLNILLIALILLLKTQGQYVPLTRLESAVSKGAVCLDGSPPAYHFHEGHEEGVNNWIVHIEGGGWCHNVTYCLYRRDSRLGSSHEMEQQTYFSGYLSDNQQYNPDFYNWNRVKVRYCDGSSFTGDVEQVDPVTNLYFRGSRIFSAVMEDLLAKGMSHAEKAILSGCSAGGLTAILHCDGFKSMLPDGTKVKCVSDAGYFVNVKDISGDYYIEDYFGQVVETHGSEKNLPQSCTSRLTPGLCFFPQNVAQSISTPIFIVNAAYDSWQIKNILAPGAADPDDQWGSCKTNLKNCSPEQLHIMQGYRSQFLKALKPITKSPSNGVFIDSCYVHCQTEPQETWFTNDSPMVDNKTVAMAVGDWFYERSPSREIDCTYPCNPTCQNRGFDALSYPGIH >CAK8542820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551414441:551416980:1 gene:gene-LATHSAT_LOCUS11624 transcript:rna-LATHSAT_LOCUS11624 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASILRMLLMVGLLGLYVEGLGVNWGTQATHKLPPETVVQMLKDNGIQKVKLFDADDTTMSALAGTGIEVMVAIPNNQLADMNDYKRAQQWVRKNVTRYNFDGGVKIKYVAVGNEPFLKSYNNSFLNITLPALQNIQNAINEAGLGDAVKATVPLNADVYESPVDNPLPSAGVFRPDINGLMTQMVQFLNKNAAPFTVNIYPFLSLYGNDDFPFNYAFFDGVDKPINDNGIQYTNVFDANFDTLVSALKSVGFGDTPILVGEVGWPTEGDKSATTGNAIRFYNGLLSRLAANKGTPRRPGFIEVYLFGLIDEDAKSIAPGNFERHWGIFRYDGQPKFTMDLSGQNQNKLLIGAQNVKYLDQSWCVFNPNAKDLSKLNDNIDYACTFGDCTALGYGSSCNNLDANGNASYAFNMYYQVQNQDHQACNFQGLAKITTENISTPTCNFLIQIVPSSASSSMASFAVLLFIAVLSMILLA >CAK8564717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7515488:7525511:-1 gene:gene-LATHSAT_LOCUS17990 transcript:rna-LATHSAT_LOCUS17990 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEMEEQPKIQRLSESVVNRIAAGEVIQRPVSAVKELVENSLDAGSTSINLSIKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSAFEDLQSIKSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYRDGVMEHEPRPCAAVKGTQIMVENLFYNMSARKKTLQNSSDDYSKIVDVVSRFAIHHTNVSFSCRKHGAVKADVHTMATSSRLDSIRTVYGVSAARNLVDIEASDNDPSSSVFEMNGYVSNANYAAKKITMVLFINDRLVEWSALKRAIEIVYAATLPKASKPFIYISIVLPPENIDVNVHPTKREVSLLNQEVIIDKIQSVIESTLRSSNEARTFQDQTAGQSSISHINKSKEDNLSPTPPGSRAPKVPVNKFIRTDSLDPAGRLHAYMQVRPSGQLEKNVTLSAVRSSVRQRRSLKDSIELTSVEELLEEINKTYDPGMLDIVKHCTYVGMADDVFALLQHKTHLYLANVVNLSKELMYQQVLSRFGHFNAIQLSDPAPVKDLIILALKEEDLDSESNDDDTFKEKIAEMNTDMLKQKAAMLEEYFSINIDDHGNICRLPVILDQYTPDMDRIPEFVLSLGNDVDWEDERNCIQAVSAALGSFYAMHPPLLPNPSGEGMLFYKKRKLFDGCSLENICDNTENDVIDNNVEQELLSEAETAWAQREWSVQHVLFPSLRLFFKPPASMATNGTFVKVASLEKLYKIFERC >CAK8540162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542672440:542673702:1 gene:gene-LATHSAT_LOCUS9194 transcript:rna-LATHSAT_LOCUS9194 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAAPPPPTAPTRRNVDRTSITTPSSNHQLHLRLPLRNPKHKNLSLLHNFPRHPSSQPLTPPTNKKEKKNKKRKCASTSNILPLMDALNFPIPIDIYTSLVKECTQNGNPETAIELVTHITRSGIKPPLPLINRILIMFVSCGLLDNARHVFDSMCVRDFHSWAILFVAYYEDSDYETAMDVFLGMFCQLGVTEFPFPPWVWSCLLTACALTVNVPLGMQVHGCLLKLGACDYVLISSSLIRFYGRFKCSEDANVVFNKVSRHNTLTWTAKIVSGCEEMHFSEVLGDFKEMGRVGIKKDSFTFSSVLKACGRMQNHGSCGEQVHADTIKLGHDSDNYVQCSLVAMYGRSGLLRDAKLVFETTRNERNADSWNAMLMGYIQNDLYIEAVKFVYQMKAAGVQPHESLLDKLKIACGSSTF >CAK8533712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:652457505:652457837:-1 gene:gene-LATHSAT_LOCUS3315 transcript:rna-LATHSAT_LOCUS3315 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPLPYHDAPPEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALYISRSQ >CAK8566359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413590695:413592175:1 gene:gene-LATHSAT_LOCUS19494 transcript:rna-LATHSAT_LOCUS19494 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKAQRMPTITTHVSQKENQKPQKTEKQPSWAVVRGFLACRNVEVQKQQQQKEAKQQQQPQPQPQHVAETKQPKKKQEQRKLKEERVPEDNNKKCKKMKCSGSLCNNTGMMAKPETTTTAAAAAATSTTRTATDIHKKKASLGGSKTNLASSSSRSMKAPLNELNGRTASALSSSSQSAASSNSSGAGSFRGMPFRRLSGCYECRMVVDPVLGFTRDSSLRSSICSCPDCGEVMKTESLEHHQAVKHAVSELGPEDTSKNIVEIIFHSSWLKKQSPVCKIDRILKVHNTQKTITKFEEYRDSIKAKATNLSKKHPRCIADGNELLRFHCTTFVCSLGLNGSSNLCNSSPQCNVCSIIKHGFKLSSGGEGILTTATSGKAHDKASSVLDVSGYEGGDEKRAMLVCRVIAGRVKKNMEGGSNGMEEYDSVSAGDVGAYSNLDELYVYNPRAILPCFVVIYRGF >CAK8530480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23666839:23672054:-1 gene:gene-LATHSAT_LOCUS341 transcript:rna-LATHSAT_LOCUS341 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDPNPFDEEQVNPFSNPRNAASATNSRPAPLNPDRADYNYGFGPTVDIPLDTSTDVKKKERELQAKEAELRKREQEVRRKEEAISRAGIVIEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFFSLLGLVLCLTWNVVSVTAAWIKGEGVKIWFLAIIYFIAGVPGAYALWYRPLYRAFRTDSAIKFGWFFMFYLLHLGFCILAAVAPPIVFKGKSLTGILSAIDVVGDYTLVGIFYFIGFGFFCLETLISVWVIQQVYMHFRGGGKTAEMKREAALGAMGAALR >CAK8542467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518219378:518220529:1 gene:gene-LATHSAT_LOCUS11306 transcript:rna-LATHSAT_LOCUS11306 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPVLLEENETKSEAHLTSAAAFVEGGIQEACDDSCSICLESFSDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHLPVGANDADLEERIIQHLAAAAAMGRARHIARREGQRNRSSAQGRPQYLVFSAHPNSPPMAPASSSPSQRGDGEPTHATGEDTPQLTLMPPVQTDQVSASGSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRYKESISKSTRGWKERWFSRNSPMSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIPGSNDQHLTDSERDSLLRDNDIKTSCTAGSSSN >CAK8530724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44778437:44780993:-1 gene:gene-LATHSAT_LOCUS568 transcript:rna-LATHSAT_LOCUS568 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHLYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTIRNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8577292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552898872:552899282:1 gene:gene-LATHSAT_LOCUS29413 transcript:rna-LATHSAT_LOCUS29413 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEKKYSTSELMASAKVVAEAAQSGLGKESEVDKAKAAQAAGDLLDAVGQYAKLDDNKGAGQYLDKAADYLHQYESGNSTTTAAPPTSKPDQPKGGDAPKSEEAVKSEDGGGSGGLGGLGGDFAKVAGGFFK >CAK8563274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588497961:588498902:-1 gene:gene-LATHSAT_LOCUS16702 transcript:rna-LATHSAT_LOCUS16702 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIHSIGAGGTRFFGGGGGDRRLRPHLNNNNPNNNQALNCPRCDSINTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKSKRSNKPKNSSSSEIADLPTTPPEPENKSNSHSSSESSSLTAAAVTEAVSEPTPPNSNAKINTGLENDSLEQQGAGDCSIFSEIATFTSLITSSNDTLPFGFGNGNSNCNVNGFTDASSFNWQHQKVMTVTGDQPHEHEDALKLLPENLGTGGGSSGSLMDHGIDFSVLQSKTSNGGFGSLDWHGADQGLFDLPNTVDHGYWNHTQWSDQDQDQDHSTLFHLP >CAK8531123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82586961:82590382:-1 gene:gene-LATHSAT_LOCUS935 transcript:rna-LATHSAT_LOCUS935 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTLFVLSLSFFTIMGQLPSQDILALLEFKKCIKHDPTGYVLNSWNEESIDFDGCPSSWNGVLCNGGNVAGVVLDNLGLSADTDLSVFSNLSKLVKLSMANNSISGKLPDSVADFKSLEFLDISNNLFSSSLPDVIGKFDSLQNLSLAGNNFSGPIPNSISEMSSIKSLDLSCNAFSGVLPQSLTKLDSLVSLNVSHNGFTGKIPRGFELISDLDKLDLHGNMLAGTLDLEFMLLSGASYVDLSDNMLLSSDSGKFLPQISESIKYLNLSHNQLTGSLVGGAEQPVFQNLKVLDLSYNQLNGELPGFDFVYDLQILKLSNNRFSGFIPSGLLKGDSLVLTELDLSANNLSGPLSIITSTTLHFLNISSNGFTGQLPPLTGSCAVLDLSNNKFEGNLTRMFKWGNIEYLDLSRNRLTGNIPEVTPQFLRLNYLNLSGNDLGDNLPKVLTRYPKLRVLDISSNQLKGFLLPDFFTMPTLQELHLENNLIDGGINLSSTLDQSHLQVLDLSHNQLSSFFPDKFGSLTSLRVLNIAGNKFAGSLPTTISDMSSLNSLDISDNRFTGPLPNNMPIGLKEFNASENDLSGVVPEILRKFPTSSFFPGNAKLHFPNGPPGSTVSPADSSKGRKSMTTVVKVIIIVSCVVALFILILLAVFIHYIRMSRSSTQEYDTSKDIRGRPQPVISGPIRPTERGAPLVVSAEDLVASRKGSPSEIISPDEKTAAVAGFSPSKHSHFSWSPGSGDSLTAENLTRLDTRSPDRLIGELHFLDDTISLTPEELSRAPAEVLGRSSHGTSYKATLDNGVLLRVKWLREGVAKQRKEFVKEIRKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLSSFLYDRPGRNGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDTPDMNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPMPSFKSDVYAFGVILLELLTGRCAGDVVSGEEGGVDLTDWLRLRVAEGRGSECFDSTLMSEMGNPVVEKGMKEVLGIAIRCIRSVSERPGIKTIYEDLSSI >CAK8565074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33581949:33585595:-1 gene:gene-LATHSAT_LOCUS18314 transcript:rna-LATHSAT_LOCUS18314 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYERCRRYSRLSFLVKLYHIKCLCGLSEKAMTVTLELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTAEDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVISTNNSIWPVVLIPYNTPPWVCMKQTSFIMSMIIPGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHRRFLDRRHRFRLNRIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVREKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPIHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8534010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681742043:681742354:1 gene:gene-LATHSAT_LOCUS3586 transcript:rna-LATHSAT_LOCUS3586 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8565066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32434974:32435402:-1 gene:gene-LATHSAT_LOCUS18306 transcript:rna-LATHSAT_LOCUS18306 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFDLIRLKSEQEHLFRNIQRNEYHNLYGFISSKGLKIMNLGDALPAAGVAKAFESDDDDAVDPHLERIRNEAGENESDEEVPNLYLIHFLSSSFVHEKHLLNLCSILSTQGQY >CAK8573819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640031497:640038217:1 gene:gene-LATHSAT_LOCUS26222 transcript:rna-LATHSAT_LOCUS26222 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLVSPASFRFSNRISLRSFTVRAFTSSTDYEDQSRGGLPRFFSETLPPSKGNVIRVKGDEFWHMTKVLRLSNNARVQLFNGKGGLVEGSIQNIDRTGLDFVALTDPKLVPPQNLQLHVFSGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLDRVILAASKQCQRLHEMILKPPVEIGDILHLIAQSKLSLVATAEATPVLNALLSLEKETSGLLIVGPEGDFTEKEVNMMMEAGAKAVSLGPHRLRVETAAIALLSTVMLWSDSQQTSVS >CAK8573579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621688353:621691099:1 gene:gene-LATHSAT_LOCUS26012 transcript:rna-LATHSAT_LOCUS26012 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFLKQFIRLFLAGSLFFNGLCYSYEAQKYKFIKEATVAPPSSTYDYIVIGGGSCGCPLAATLSQGGKVLLLERGGSPYTNPEKINIQKFVHSLADTSPSSFSQQFISTDGVLNSRARVLGGGSVLNAGFYSRAGNSYIREAGWNESLAKSSYKWVENKVAFEPPMMQWQTAVRDGLLEAGVLPYNGFTFDHLYGTKVGGSIFDKEGHRHTAADLLEYADPRKISVYLHATVQKILFRYNEEKRKPQAYGVIFKDALGIIHRAYISSKMMNEIIVSAGAIGSPQLLMLSGIGPANHLKSHGIQVVLDQPLVGQGMADNPMNVLIVPSPLPVEVSLIQTVGITRSGSFIETASGLGFGNSWTDRLRGIFEFVSNQSGLPSKFAPEAKDSIADTIRSLANPVLKGGVILEKIMGPRSTGHLELLTTNPNDNPSVTFNYFKDPEDLRMCVEGMKTIINVINSKAFSRFRYHNMPVQSLFDLMLHLSVNLRPKHPSAAVSLEQYCIDTVLTIWHYHGGCQQGKVVDLNYKVNGVEALRVIDGSTFYQSPGTNPQATVMMLGRYMGQEIIKKSLSQERK >CAK8541023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:45398095:45399392:-1 gene:gene-LATHSAT_LOCUS9976 transcript:rna-LATHSAT_LOCUS9976 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPIYLDPTNWSQQQAGIDIGTQNPSHLQQPSASTVTAVTVETDGCYQGSIRPGSMTDRARMLKINQNDAASAAAQKCPRCESTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGALRSVPVGGGCRKNNKRSKGNSVSKPPSKPNRSNDHRQVGTIAIAGGCTSENAKSSSNNNNNGCNNSNVNLGISHFPTQFPFFPSLHHYNNNGYVSQGIGSMVAKNITNTTNVEYQLGRDSSVGEQWRFLNSLQQQQQQQQQQRPNHQQFPFMTNLEPQIGLFQFGGENNGETPRSFISSKAMDSSSASIGMVKMEENNHQRLSLPKNLLSGSGNSNDLFWNEVPSFTPSSSELL >CAK8530939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65455626:65463941:-1 gene:gene-LATHSAT_LOCUS766 transcript:rna-LATHSAT_LOCUS766 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASKQQASSDEEFSNSSSSSEEEQVNEQINEEEDEEELEAVARAASSDDDEVTGDNPPDSDEDAAAEDVDDDEQGGDDDAPEISKREKARLKEMQKMKKQKIQEILDTQNAAIEADMNNRGKGRLKYLLQQTELFAHFAKGDSSSSQKKTRGSGRHASKVTEEEEDEEYLKGEEDGVANTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGIKGPHMVVAPKSTLGNWMNEIRRFCPILRAVKFLGNPEERRHIREELLVAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRIFNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITSAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGDDRDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWVEPPRRERKRNYSESEYFKQTLRQGGPTKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQVKDSIDVDEPEEAGNQLTAEEMEEKEHLLEQGFSSWSRKDFNTFLRACEKYGRNDVQSIASEMEGKTDEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKVQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNTTPTKRALARQTESPSSTKKRKQLTMDDYANSGKRRK >CAK8539076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502940041:502946691:-1 gene:gene-LATHSAT_LOCUS8208 transcript:rna-LATHSAT_LOCUS8208-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSRVPIPNNVRITINDIREITGKQHTDDEIYAVLKECSMDPNETAQKLLYLDTFHEVRRRRDRKKEGSSSRVSEESRSRQRGQGRGARGASGGYSSNFFDGGGGRNFANRRENGVHHIAERTNVSSTQSDLQKITNTPPQASRLVSAVAPQSAVNPSNGKSGHGSSSQSLKGSVVSVPKTSLAANDTVNQENIQPQAAVAVAATVSPNETVGSITQTDQGKSSSSDHGKSLSSSDQHQISVSGVYSSSDSVPAPSIAQNPGVGGAISREVGNDWISAGPSHVEGNKLEEAGELSASKNEKSRSMNSTSNPSAIQKSNEVESNQLSEPLHLSSTLSLNSSLRSPQDVSKTANVTEVSASEAHVQSTELRQHVTFPNHFQVPEAFKSGLTFGSFDTFAPSERSYSVTGCDNSTSPTPETSPGNDESVTSSNQSAPLTEHNQSAPLTEHNQSAPLTEHGGHVDYAHSSSYLVKTTLASEGISIADDDSKIVQPKQEVLLTPEGHPILTVQSAQNYGLNLMSTMLGTQQIQFDGSELQAQETSHLPSFVTASSQAVSPSPTPPLQSNIPVSPQAVSYFRPPYPANFFPYGPYYPPIYLSPMHQFLSHNGFPQQPSAGNMYLPTATAAAGVKFPLPQFKAAANTGNMSHIGIPSGSLMSPPVGYAPTPTVNTGSSAGNENLAVSQLKENQIYTTGQLSEGSTVWIHAPGQDISSLQLNSLYNLAHQGQHLTFPQTQAAPGAFPGIYQPGQTVASPSTLLQQSQAVAGPVENVGPPPGSYQQPPPAQINWNSNF >CAK8539075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502940041:502946691:-1 gene:gene-LATHSAT_LOCUS8208 transcript:rna-LATHSAT_LOCUS8208 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSRVPIPNNVRITINDIREITGKQHTDDEIYAVLKECSMDPNETAQKLLYLDTFHEVRRRRDRKKEGSSSRVSEESRSRQRGQGRGARGASGGYSSNFFDGGGGRNFANRRENGVHHIAERTNVSSTQSDLQKITNTPPQASRVSAVAPQSAVNPSNGKSGHGSSSQSLKGSVVSVPKTSLAANDTVNQENIQPQAAVAVAATVSPNETVGSITQTDQGKSSSSDHGKSLSSSDQHQISVSGVYSSSDSVPAPSIAQNPGVGGAISREVGNDWISAGPSHVEGNKLEEAGELSASKNEKSRSMNSTSNPSAIQKSNEVESNQLSEPLHLSSTLSLNSSLRSPQDVSKTANVTEVSASEAHVQSTELRQHVTFPNHFQVPEAFKSGLTFGSFDTFAPSERSYSVTGCDNSTSPTPETSPGNDESVTSSNQSAPLTEHNQSAPLTEHNQSAPLTEHGGHVDYAHSSSYLVKTTLASEGISIADDDSKIVQPKQEVLLTPEGHPILTVQSAQNYGLNLMSTMLGTQQIQFDGSELQAQETSHLPSFVTASSQAVSPSPTPPLQSNIPVSPQAVSYFRPPYPANFFPYGPYYPPIYLSPMHQFLSHNGFPQQPSAGNMYLPTATAAAGVKFPLPQFKAAANTGNMSHIGIPSGSLMSPPVGYAPTPTVNTGSSAGNENLAVSQLKENQIYTTGQLSEGSTVWIHAPGQDISSLQLNSLYNLAHQGQHLTFPQTQAAPGAFPGIYQPGQTVASPSTLLQQSQAVAGPVENVGPPPGSYQQPPPAQINWNSNF >CAK8541732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:374827417:374829081:1 gene:gene-LATHSAT_LOCUS10628 transcript:rna-LATHSAT_LOCUS10628 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKTDEPVLLKLLVDTETNKVVFAEAGKDFVDVLCSFLTLPLGTIARLVQKDSNMGPVKVGCLNSLYQSVADLSTRYFLTKTHKKMLLQPINSSQDYCNSLKLNIDDTPPSTYFLCTIVDDGCCGNGLYTSSDSNCAGGNPLTHSVFPKHFHNGFVTSSASFVITDDLIVMPNSKHVTSFGLLQNCGIKTTSSLKETTFEVTKEKVLDLLKCALISMSPLTDTFLENEPSLEESSTISNCDVEDNANIQINVKLVIRKSDGKVLYAQGEEDFVDLLLTFLTFPLGGVVTKIGENCSLGSIDRLYRSIVDLEENKYFKSTKAKKRLVDPQVAPQFKLSNNLLPIQPDMEYYCYYHGKSFKESIIDNQFFISDEYRKDEKNCKNMFLLDNFKAWRASREGYVKELKMYVATDDLIVTQSSPISSLNLIDSSETSLDDLKEEVVTIGLKECLSILMAALTSTSALTNGLARLLTEVKEEK >CAK8543851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644054421:644056677:-1 gene:gene-LATHSAT_LOCUS12577 transcript:rna-LATHSAT_LOCUS12577 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTNKANRRGKEMNDGNKDVDPQLWHAVAGGMVQIPEVNSNIFYFPQGHAEHAYEQVDFSVDFKIPSFIPCRVVAIDYRADIDTDEVYAKLRLVPLHINEVSYDDVAAGIDEMSETKSKYQSYTKILTQSDANNGGGFSCPRYCAETLFPPLDYTGIPPSQYIYPTDVHGKMWEFKHVYRGTPKRHLLTTNWSDFVTEKLLVSGDSLVFLRAENGDLHIGIRRSKRLKDIGFNPSSKRKSGSEIGFRIGSFFGLTSSSEEKDNKLQTNDKENGLRKSDNILGRGKVKAEHVLEAVRLGVNMQPFDVVYYPRVDTPEFFVKTSSIRKALQIRWCCGMRFKMAIETEDSSRISWFLGTIASVQAADPAWPDSLWRFLQVTWDEADLLKSTKRVNPWQVEIISSMPSIPFSSFLPSSRKKLRLLEHEAFPTDGQFSMPTFPNYIQTPNVPIFHGMQGARHNPFGLSLSDLHVNKSPLGLFNSDFQPPFDPNATTSMTVPTNPTKAVLQKANTVPTNPTKAVLQKANDSENVSCSPSEKQDHALQKANDSENVSCSPSEKQDHALQKANDSENVSCSPSEKQDHALQKANDSENVSCSPSEKQDHAKPTQIVLFGQTIRIDAGHENAVKEITTNFSSLLQDPPKESSGEREACECNPKNQCIEEILVGETLEIEHSATSSKEIGG >CAK8543852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644054543:644056677:-1 gene:gene-LATHSAT_LOCUS12577 transcript:rna-LATHSAT_LOCUS12577-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTNKANRRGKEMNDGNKDVDPQLWHAVAGGMVQIPEVNSNIFYFPQGHAEHAYEQVDFSVDFKIPSFIPCRVVAIDYRADIDTDEVYAKLRLVPLHINEVSYDDVAAGIDEMSETKSKYQSYTKILTQSDANNGGGFSCPRYCAETLFPPLDYTGIPPSQYIYPTDVHGKMWEFKHVYRGTPKRHLLTTNWSDFVTEKLLVSGDSLVFLRAENGDLHIGIRRSKRLKDIGFNPSSKRKSGSEIGFRIGSFFGLTSSSEEKDNKLQTNDKENGLRKSDNILGRGKVKAEHVLEAVRLGVNMQPFDVVYYPRVDTPEFFVKTSSIRKALQIRWCCGMRFKMAIETEDSSRISWFLGTIASVQAADPAWPDSLWRFLQVTWDEADLLKSTKRVNPWQVEIISSMPSIPFSSFLPSSRKKLRLLEHEAFPTDGQFSMPTFPNYIQTPNVPIFHGMQGARHNPFGLSLSDLHVNKSPLGLFNSDFQPPFDPNATTSMTVPTNPTKAVLQKANTVPTNPTKAVLQKANDSENVSCSPSEKQDHALQKANDSENVSCSPSEKQDHALQKANDSENVSCSPSEKQDHALQKANDSENVSCSPSEKQDHAKPTQIVLFGQTIRIDAGHENAVKEITTNFSSLLQDPPKESSGEREACECNPKNQCIEEILVGETLEIEHSGRIRNK >CAK8568902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653062194:653070721:1 gene:gene-LATHSAT_LOCUS21811 transcript:rna-LATHSAT_LOCUS21811 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIIALETGVTNDPNSLLDDDGRPKRTGTVWTASAHIINAVIGTGVLSLPWAMSQMGWTLGISCILVFAGITLYTSNLLADCYRSPDSVTGKRNTTYMEAVKVHLGGKQHVFCGLVQYINLAGFTIGFIITTSTSIVTILKNNCYRKNGVEASCRFSNNPYMITIGVIEIILSQIPNFHKLSILSVLAATMAFGYASIGVGLSLSTIIQGNGNVTNTRVFARKIENRSTADIAWSMLVAIGDIALAGGYAQIAVDIQDTLKSSPPENKTMKRANALGIFTMTIFFILNACAGYAAFGSNTPGNILMSSGFRKPFWLLELANAFIVVHLVGAFQVIVQPVFRIVEMMAAEKWSNSSFVTREIPMNFGKTKYTINYFRLLWRTIFIIVVTLLAMAMPFFNDMIALLGAIGFWPSVVYFPVEMYIVKRNIRKGTSRWIGLQTLSIFCLSVSLAAAIGAIHGLGEAVGKYKPFMYKA >CAK8544463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686514953:686518943:1 gene:gene-LATHSAT_LOCUS13139 transcript:rna-LATHSAT_LOCUS13139 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHARIFNFRHLLRFRNQYQSKSELTLPNFRLRPYSSEVQPEISPDLLNIMEQRFSAIKYRANCLDNLINQPEVSPSEYARANKELRKLSGSVELINQLKAKQKEIDGLKSLMTECSEDKDMLNMATEEMGQAIEEERKLQNLLLKSLLPKDDADERDCILEVRAGSGGEEASLFAMNILKMYEKYAHNKGWKFEVVDIAQSDMKGFKEASAAIVGVGVFGKLKFESGIHRVQRVPVTEKLGRVHTSAVSVAILPQADEVDVQLKNEDLKIDTYRSGGSGGQHANTTNSAVRITHLPSGIVVAIQDERSQHMNKAKALKVLCAKLYEMERIRLHSSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITYHNIEDVMLGESLDVFIDALLLKEEMDAIATFSSST >CAK8579632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713755778:713760091:1 gene:gene-LATHSAT_LOCUS31563 transcript:rna-LATHSAT_LOCUS31563 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQTYRCYPASFIEKPQLESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPANAISIIETDCEVDFAPPLDYKEPEKPVAPRSAGKAPEVDKETPAEEPKFNPFTGSGRRLDGKPLNYQPPPVSSSGSKDKNAGVPNVNSQSSTASSSKNNAPQTQGKLVFGSNPNRSKETGKAAAEAKPKQEPPKEKEEDKFQPFTGKKYSLRG >CAK8561159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108001738:108001953:1 gene:gene-LATHSAT_LOCUS14772 transcript:rna-LATHSAT_LOCUS14772 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLMSQQSHSQQQGMSNFDMKQRVLTVINKVGDRDTHQIETNQLRAILNSLTPQSISPLLSCILEIHSE >CAK8530391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18695997:18696482:1 gene:gene-LATHSAT_LOCUS259 transcript:rna-LATHSAT_LOCUS259 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFLLCVLALSFIVGSHVAHAQDSPTDYVNAHNAARSVVGVSHIVWDDTVAAFAQSYANQRKDCQLIHSGDDGGYGENLSMSTGGMSGTDAVKYWVDEKSYYDYNSNSCAAGKMCGHYTQVVWKNTVRIGCAKVKCENGGTFITCNYDPPGNYIGERPY >CAK8530596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33307574:33308308:1 gene:gene-LATHSAT_LOCUS449 transcript:rna-LATHSAT_LOCUS449 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRPPKVLSTPSSSINNKDDDIPSGNNSNLSKEPTQHETEEPTEETVEVQEITESETLISPKGATEPRRLWVDIIRGNRNPGNGLALEFIAPTIVNGIAEVVIEEADTVKVVKFWETALIMYVIGGDLSMNMVKQFMIKQWNFVKLPDMYYNNEGYFVLRFHSHKERDDMLMKGPYTIWNMPMLLAEWKPNFYLKNDMMCTIPVWVQLPQLPLHLWEDMSVLGTLLMTDMSVLETKLLLEE >CAK8530265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10771317:10771961:-1 gene:gene-LATHSAT_LOCUS144 transcript:rna-LATHSAT_LOCUS144 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >CAK8539639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519045783:519047144:-1 gene:gene-LATHSAT_LOCUS8717 transcript:rna-LATHSAT_LOCUS8717 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVASPFSFSSLLCHEQTDSTFFFEQDQDENAIFINSSRNDHHNSNLCFLLEDEQEEYIDYLFKQESGFGSSTTHFLSYDNDVDVHDDIFWLRNARLHAISWIFNTQAKFGFTLQTAYLSITYFDRFLSKRSIDESKPWAIQLLSVACLSIAAKMEEQRVPLLSEYPIEFRFENKVIKNMEILILTTLEWKMGLPTPFAFLHYFFTKFCNESRPETIISKATQHIVTLVKDFNLMNQRPSIIASASILAAFDSTLTQKEIDIQIRLISSWGNIESEQVFSCYNVIQEKKRDKVKKTPSSDLLSKESNLTYVVENKSSGFSGVKRKLGYENIEDFQEQKLHRP >CAK8568697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633062196:633067884:1 gene:gene-LATHSAT_LOCUS21629 transcript:rna-LATHSAT_LOCUS21629-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLVSEPVTSQQIAQLEPISNKVDSSGRQMEMGLLHPVTSDSMSRQQGTSNGEPKSQGLPQSNQQSSRVETQDGNMGVHRLFPQKQSVETGTVPSNLGARQLSAAPKRKATMELPPGSFITPNKREKPTAHRTWTHQSSSKGSQQMQSPTNASRTQHSAASSKRKTQMDSTSSKPGTPRSSNSKGQSAQMKESSKVQTESSESVRSKMRESLAAALALVSQQDKHLVSNDDKPNDAANSSQCAESASASADTAPEQRQEICQSVKDYEAGFTNVLNNEDMLSSDKQDFLSNYTLTTDDVPFSDSFFVKDDLLQGNGLSWVLPDMDHMMDMDDQRESQTMMEKKLEPEETGGVCRKVVPLPELLASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMFGKIPPEQLCSMTAEELASKELSEWRLAKAEEFAQMVVLPDSDVDIRRLVRKTHKGEFQVEVEHEDNVPVEEVSGGKTSVVRRQPVKKDAKATSSKPGVIKSDVSTDNEKSNIQTDNQFSITISSNDGTDPMQGLMTDDDALKDPDFLPPIVSLDEFMESLDSEPPFENSPAESGKAPTSDKDVSRVGTKSKSSDLIPSEKGDISADKSGKLQSTDAEEEKKLNAEAGSILSDTKYSEIRSDTKPTDGLSQEMSIDGKKSTSNDAELRASQFQAEERYGKDSVLKTTVPIKGECLWEGMLQPNISTTDSVISIFKSGEKTSTKDWPGFLEIKGRVRLDPFEKFLQELPQSRSRAIMVSHFISKGSTPEEQVTLREVADSYILDERVGFAEPVHGVELYFCPPHKKTVEMLSKILSKEQIEAVNSIDNGLIGIIVWRKTNITTSISPTTQSHNKHSSKRQNLSRRQQDTNANANAKSAYNAGPSKTTESEPPGDDADDDDDVPPGFGPPATRVEDDLPEYNFSSSSNPSHLVQKPMGASTVPSNSVGQAPSRPTQQMRELVHKYGQNKTPPVSSVNWQDKFRGSIQPWNDDDDDIPEWQPNMNQNQFTPQQTVHNFHPRPHIMNQPFVGLPQQPIMPTQYLQPPANVPHAQRNFVPQWVPSIQGNTNIQPSSAPPYGTPAPGTPWSHHVSRSRGL >CAK8568696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633062181:633067884:1 gene:gene-LATHSAT_LOCUS21629 transcript:rna-LATHSAT_LOCUS21629 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVGMSNNLVSEPVTSQQIAQLEPISNKVDSSGRQMEMGLLHPVTSDSMSRQQGTSNGEPKSQGLPQSNQQSSRVETQDGNMGVHRLFPQKQSVETGTVPSNLGARQLSAAPKRKATMELPPGSFITPNKREKPTAHRTWTHQSSSKGSQQMQSPTNASRTQHSAASSKRKTQMDSTSSKPGTPRSSNSKGQSAQMKESSKVQTESSESVRSKMRESLAAALALVSQQDKHLVSNDDKPNDAANSSQCAESASASADTAPEQRQEICQSVKDYEAGFTNVLNNEDMLSSDKQDFLSNYTLTTDDVPFSDSFFVKDDLLQGNGLSWVLPDMDHMMDMDDQRESQTMMEKKLEPEETGGVCRKVVPLPELLASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMFGKIPPEQLCSMTAEELASKELSEWRLAKAEEFAQMVVLPDSDVDIRRLVRKTHKGEFQVEVEHEDNVPVEEVSGGKTSVVRRQPVKKDAKATSSKPGVIKSDVSTDNEKSNIQTDNQFSITISSNDGTDPMQGLMTDDDALKDPDFLPPIVSLDEFMESLDSEPPFENSPAESGKAPTSDKDVSRVGTKSKSSDLIPSEKGDISADKSGKLQSTDAEEEKKLNAEAGSILSDTKYSEIRSDTKPTDGLSQEMSIDGKKSTSNDAELRASQFQAEERYGKDSVLKTTVPIKGECLWEGMLQPNISTTDSVISIFKSGEKTSTKDWPGFLEIKGRVRLDPFEKFLQELPQSRSRAIMVSHFISKGSTPEEQVTLREVADSYILDERVGFAEPVHGVELYFCPPHKKTVEMLSKILSKEQIEAVNSIDNGLIGIIVWRKTNITTSISPTTQSHNKHSSKRQNLSRRQQDTNANANAKSAYNAGPSKTTESEPPGDDADDDDDVPPGFGPPATRVEDDLPEYNFSSSSNPSHLVQKPMGASTVPSNSVGQAPSRPTQQMRELVHKYGQNKTPPVSSVNWQDKFRGSIQPWNDDDDDIPEWQPNMNQNQFTPQQTVHNFHPRPHIMNQPFVGLPQQPIMPTQYLQPPANVPHAQRNFVPQWVPSIQGNTNIQPSSAPPYGTPAPGTPWSHHVSRSRGL >CAK8537277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:200224561:200226421:1 gene:gene-LATHSAT_LOCUS6576 transcript:rna-LATHSAT_LOCUS6576 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGPHLKLKSVQVITVQMDSVIIRSANPYLATPELTIHDHYGEILSQGDILVTFLHEKSRSSDITQGLPKVEQIFEIRLIASISMNLKKRIDAWNECITKILGIPWGFLIGAKLTIAQSRISLVNKIQKVYRSHGVHIDNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERTGRALEEAICYRALLLGVTKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRIMHQMLKAGVHFGHETRKWNPRMAPFISEKRKGNHIRNLTKTARFLSEAYDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTETRLRKFRDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGSIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVINTNCDPDLVDLPIPANDDTMASIRFILNKLVFAICEGRSS >CAK8578555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637872689:637875232:1 gene:gene-LATHSAT_LOCUS30556 transcript:rna-LATHSAT_LOCUS30556 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSGKSTTEEHAGGEDTSMGSSQHNFDLNEEASSDQDDTNNIENDEVNVGDDEDDDDDDDDEKAKDDDEGTSSSTKVRSSGSNERRNGVRQYVRSKMPRLRWTPDLHHSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDDVGQVLSPTQRLTHDLGHVAHHSMMPHQHFKMANGGIVLETNYNQHNYNFHTLLHSHSKAINSRNQQWYLNHRSLRPTYISNEVLSSTALQSQHGRSSIASNQPITQVMDTSLNTTLAPMRPSQFLEEKRWPPLDIMNTNQYWKKNLHTNLSSFGSTTTTSLRPAENLLKINEYHSSFNTSSKLEFDSPFRIKMNQETLQREKKWLPDLQLSLSQTNCNNDDKSDGLRENKEINTKLSLS >CAK8563232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584435157:584435489:-1 gene:gene-LATHSAT_LOCUS16663 transcript:rna-LATHSAT_LOCUS16663 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKFTHMTNETLVGAHELVSTLGHAQITPLYLSSILIFDPTNIFFQSISNVGGEVSECVIERVIKQSMKKLPSQSYPPEDAPGSTYLIKFIRRAKAEQNSLLVDIGNS >CAK8539147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505375817:505376389:-1 gene:gene-LATHSAT_LOCUS8268 transcript:rna-LATHSAT_LOCUS8268 gene_biotype:protein_coding transcript_biotype:protein_coding MSCALKCSKGLKICCGVTSIFLIVVLVILLVLFLTDFKRKDPTITLQSVKFGRFLFDVSPIIDLNASLAILVTVDNPNHGSFTYQNSTAYLYYRGKLLAEAPLVEDTLPALKSHNISTVLDVYVDITEVPDLLGDYLSGIINFTSTTSLVGKVKILKFIKFKATSYSICEILVNTHNQTVNSTCNIKLKL >CAK8536142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911656751:911658514:1 gene:gene-LATHSAT_LOCUS5538 transcript:rna-LATHSAT_LOCUS5538 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPRSFFFFKPSPPKSPPQLPPSSPHNKTFSDAIVDENIETAHSLITKWNSISPSSNYSLFTNSPQEAKQYLNAVTRLQYAMMYLIARDSTSQKLIKAQSLMKLAMKKLETEFYRILAQNRNRYGSKSLSVESTVDGRRSFSEIDDEVSDEESSLTVKSDLKAIAECMIFTGYSKECANVYVIVRKSIMDEALYNLGVEKLSFSQVQKMDWELLEWKIKCWLNAIKVAVNTLFPGERILCNYIFDMPERNIAYSCFTEICKEGAMMLFGFPENVAKCKNTPEKMFRILDLYEAISDNRQQIESLFTSEASSPIWAQVIASELRLGESVRTMLSDFESAIQKETSKIPVPGGGIHPLTRYAMNYISLLNDYSDALSDIFSDWPQTPLPESYYKSPSHDEDNPPSEISKRLSWLILSVLCKLDGKSELYKDIALSYLFLANNMQYVVVKVRNSNLGFILGEDWLIKHELKVKEYVSKYERMAWSKVLSSIPENPMAENASVIFQNFNAAFKEACRTQCLWVVPDPTLRDELKASVELNVVYKYREFYMKYRVGLDSVIRYSPEDLRDYLSEILCGSVLSHSADHFKVW >CAK8533744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656349574:656350566:1 gene:gene-LATHSAT_LOCUS3344 transcript:rna-LATHSAT_LOCUS3344 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPKTQPITTTSSLSLPKLCKKTNSHKIENLIEYSHVPEDAQISETIPPLLSSYNIFKRQRSVTRSIQNLISTNRPHMKEYVQSSRLDQCSLRATNQEQYVDLEIPQYLINHWKTEGYTTLRFGAVRLIISLHGRKNQPVFCKIDLLDSSYLHYENVVIGTILTTLHAGSVVLTIFPNYNVSLNDNTLSTRMKVHIQITGTDQVPEAMSATLHHQIIYRLQKRSIDLPISGFSSDSLLVVTNREEDIPSIVQIPRNITREELTQLIPFEWITNYEKLHVNKRPIQSQEATFRRYVDKTDKTIFKKPDEGSSSISPIFQTIMIQPVLK >CAK8579683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716288412:716293994:1 gene:gene-LATHSAT_LOCUS31610 transcript:rna-LATHSAT_LOCUS31610 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVSSAGGMLAMLNESHLSLKLHALSNLNNLVDSFWPEISTSVPLIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLKCKAAESSDESIKVDPRLEAIVERLLDKCIVDGKYQQAMGTAIECRRLDKLEEAITKSDNVQGTLSYCIHVSHSFVSLREYRQEVLRLLVKVFQKLPSPDYLSICQCLMFLNVPEGVASILEKLLRSENKDDSLLAFQIAFDLVENEHQAFLLNVRDRLALPKSQPSEPVEPKPSDADSTQNAGVNGADDVPMVDGESASAVSVPEDPSEKLYAERLSKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGTGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDIYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTTTSTVKLPTAVLSTSAKAKARASKKAEEQKASAEVASGPDSTSASSAGKGKSSSEKDGEAMQVDSPAEKKSEPEPSFEILTNPARVVPAQEKFVKFLQDSRYVPVKLAPSGFVLLKDLRPTEPEILAITDTPASTTSTAGASGTGLQSSSSAMAVDEEPQPPQPFEYTS >CAK8544122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664385877:664386776:1 gene:gene-LATHSAT_LOCUS12825 transcript:rna-LATHSAT_LOCUS12825 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAVNRRLEGKVALITGGASGIGKRTAEIFVQHGAKVVIADIQDELGDSVVQSIGPSTCTYVHCDVTDENQIKNAVETTIHTYGKLDIMFNNAGIGGPNNSRIIDNDKADFERVLSINVTGVFLGIKHAAQAMIPSRTGSIISTSSISSYVGGAASHAYCCAKHAVVGLTKNAAVELGQFGIRVNCLSPYACATPLATQFIGCNEGELESAMNSLANLKGVTFKTDDVANAALYFASDDSRYVSGHNLLIDGGFSIVNPSFHMFQYSES >CAK8537837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440287802:440288089:1 gene:gene-LATHSAT_LOCUS7091 transcript:rna-LATHSAT_LOCUS7091 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYKHVIFPVNGSNLWVRTKYPDVQPPKYMKMPGRPKKRRNLEQSEIDGSDRKMRRTGFNVKCSRCKKSGHNKLTCKVTPSSQQPSQQPIKRGQ >CAK8540839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24731045:24733932:-1 gene:gene-LATHSAT_LOCUS9805 transcript:rna-LATHSAT_LOCUS9805 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTPPPNYSSVPAPRPAENHNHKHNHNRQNNLKRHRKEWSSSSGNYSNSRFVPLVPAPAAADIAAGHGSGRGVYSSAPGSLLDGRRSKLAPEFSGRKSTRYAAKKHSGMPRAALNTLPHSEAANEVLTCLYNAGNIAANIDSVLISYENKLWVVEDYIYMLKDFGNNGQVLLAEKCYEFIMYKSNGRVAKGKLTSAMIGTLGRLGEINRAIDLFGKSRNEGYGMTVYTFSAMISAYGRNGRFPDAAELFMSMRGLGLVPNLVTYNSIIDAGGKGEVSFDVVVKYYDEMLAAGIMPDRLTYNSLLSVCASKGMWEMAQKLLREMDGRHIARDVFTYNTYLDTLCKGGQIDLARRVFEEMTFKCVWPTIVTYSTLMDGYAKANLLEDAICLYEEMKLRSVCLDRVSYNTLVGIYTKLDRFDEAVNICREMDGCGIKTDVVTYNALLAGYGRHGMYAEVKRLFEEMKARNIYPNTLTYSTLIDVYTKAEMFEEAMNVYIEFKMARLEVDVVFYTAIVDALCKNGLVESSIMLLIGMIEKGVKPNVVTFNSIIDACQQLPALEYGVHGSSQAIEYPNEQSSGMLIDGAFQNKPGEDRILKMFQQLTSEKAGHVKKLRQGRQDLHCIFWLFQKMHELHIKPNVVTFSAILNVCSRCNSYDDAAKVLDTLRLFDSQVYGVTRGLLMGYREQVWITAQTLFNEITRMDSSTASAFYNALTDMLWHFGQRRGAQMVVVEGKQRNVWKGEWSFSCLDLHLMSCGAGCAMVHDWLLNMHSTLFQGSELPKIVNILTGWGKHSKVMGDGTLKRTIEALLNGMGSPFRFAERNMGRLTSPGDAVATWLRQPGIVNMLVLYDVLNHSQPAGPLYNYPALEY >CAK8578294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618098717:618099148:-1 gene:gene-LATHSAT_LOCUS30319 transcript:rna-LATHSAT_LOCUS30319 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVALIPTKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIRTDQIEVDKETLEMLAALGMSEIPGVVQVDPVAVQPIPFGRGGGAGAAGRRF >CAK8538444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482706491:482707279:1 gene:gene-LATHSAT_LOCUS7637 transcript:rna-LATHSAT_LOCUS7637-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSTISYRFNVNGQLTDILEAKMGLRQGDPISPLLFVIVMECLNRGDKVSVEKMMNTYGMFSKATGLVVNPQKCRMYCASMDDLNKQNILRASGFQEGQLPFKYLGVPVTGKKLSVCHFAPLIDKIVGKIKHWTARLLTYAGRLQLINSIMFAMTHYWLTCFPFPKTVLHRIDSICRIFLWTGGFVGSRKAPVARQQICSPRSHGGLNVVDLEAWNKATIMKLL >CAK8538445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482706491:482707279:1 gene:gene-LATHSAT_LOCUS7637 transcript:rna-LATHSAT_LOCUS7637 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSTISYRFNVNGQLTDILEAKMGLRQGDPISPLLFVIVMECLNRYLYKMQEDCDFNFHPKCEKLKITNLCFEDDLLMFARGDKVSVEKMMNTYGMFSKATGLVVNPQKCRMYCASMDDLNKQNILRASGFQEGQLPFKYLGVPVTGKKLSVCHFAPLIDKIVGKIKHWTARLLTYAGRLQLINSIMFAMTHYWLTCFPFPKTVLHRIDSICRIFLWTGGFVGSRKAPVARQQICSPRSHGGLNVVDLEAWNKATIMKLL >CAK8534720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:758679894:758680322:-1 gene:gene-LATHSAT_LOCUS4240 transcript:rna-LATHSAT_LOCUS4240 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLSRVADSSTYTLLRTDSTTTAAATRRRRPSRRGNSFRMEVLSKIRRSSTVVPEGHVPIYVGDEMERFVVCAELLNHPIFVKLLNESAQEYGYEQKGVLRLPCHVFVFERVLDALKHGHDTRRIAELLNSSEEELC >CAK8575248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:58974795:58975413:1 gene:gene-LATHSAT_LOCUS27527 transcript:rna-LATHSAT_LOCUS27527 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVDNKRKAIVAPKDDNGHNQDLPVPPRKVKVLIVDNDPRTLRIHKNLLKSLGVEIRTVKDGREAINMTAWNDYSLPPYDLILIGRHLPFRNGIKVTKTLRAIRYPSRIVGVTRSLTEAQWEEFMSAGVDDIVDYEKPMSLETIKMLLAATPRPGVWRENVIYDAHVSGN >CAK8575221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56266546:56280739:1 gene:gene-LATHSAT_LOCUS27505 transcript:rna-LATHSAT_LOCUS27505 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEELSELADSMRQASAVLADEDIDETTNSRRPSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPICIDLQRDTSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKASSAKARDQITLKLRTSTAPPLKLVDLPGLDQRIMDESTVSDYAERNDAILVVIVPAAQAPEIASSRALRLAKEYDGEGTRTVGVISKIDQAASDQKAIAAVQALLLNKGPARASDIPWVALIGQSVSIATAQSGSAGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDALAQQIQNRMKLRVPNLLSGLQGKSQVIQDELARLGESMVTTSEGTRAIALELCREFEDRFLQHLTTGEGTGWKIVASFEGRFPDRMKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLIDIVSSAANATPGLGRYPPFKREVVALATTALEGFKNESKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGHDAEQSILNRASSPQTGGSMKSLKEDKDKEKDKSGQADKEGQEGSSLQTAGQEGEITAGFLLKKSAKTNGWSRRWFVLNAKSGKLGYTKKKEEKIFRGVITLEECNIEEVSDEVEPAPKSSKDKKSNGPDSSKVNLVFKITSRVPYKTVLKAHSAVVLKAESATEKTEWIKKISNVIQAKGGQIKILSEGGSSMRHSLSDGSLDTMTRKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISGHSTAKIEELLLEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASNWSNGNAESSPRSSEPGDDWRSAFDAASNGPVGRSGSTRSGSNGHSRHNSDPAQNGDMNSGPNSGSRRTPNRLPPAPPGSSSGYKY >CAK8540389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557356552:557360408:1 gene:gene-LATHSAT_LOCUS9395 transcript:rna-LATHSAT_LOCUS9395 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHDHQPTSIFFFTLLVLLTHLPQFTTPTPFPDYPCKPPHHNHPFCDQSLPIQTRATSLISLLTLSDKINQLSNNASSIPNLGIPSYQWWSESLHGIATNGPGIDFNGTINSATDFPQVIVSASSFNRSLWFLIGSAVAVEARSMYNVGQAGLTYWAPNVNIFRDPRWGRGQETPGEDPMVASAYAVEFVRGFEGGKGIKKVLNYRDDGGGDDEIMLSACCKHFNAYDLEKWGQFARYNFNAVVSQQDLEDSYQPPFRGCVQQGKASCLMCSYNAVNGVPACVSEDLMGLVRNKWGFEGYITSDCDAVATVFEYQHYAKSPEEAVADVLNAGVDINCGTYMLLHAQSAIEQGMVKEETLDKALLNLFSVQMRLGLFNGDPRKGKFGELGPQNVCTPEHKKLALEAARQGIVLLKNDNKFLPLDRKADVSLAVIGPMANTSKLGGGYSGIPCSPKSLYDGLKEYAKTISYTFGCRDVQCDSDDGFAEAIGIAKEADFVVIVVGLSTIQETEDLDRVSLLLPGKQMDLVSHIASASKRPVILVLTGGGPLDVSFAETNQLITSILWVGYPGEAGGKALAEIIFGEFNPAGRLPMTWYPESFTNVPMNDMRMRADPSRGYPGRTYRFYTGSRIYGFGHGLSYSDFSYRFLSAPSKLSISRITKGGPRKSLLNKADQEAYEADHVQVEELQNCNSLTFLVHVSVMNLGHLDGSHVVMLFSKWPKVMAGSPENQLVGFSRVHTVSNEPIETSIFVDPCEHLSFADEQGKRILPLGNHILNIGDVEHIVSIEIF >CAK8541300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:108933969:108936582:-1 gene:gene-LATHSAT_LOCUS10230 transcript:rna-LATHSAT_LOCUS10230 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNTYWVALIVLMLMSATMRVLGHSKSTKWALLVAGSNGYDNYRHQADVCHAYQILRKGGLKDENIIVFMYDDIAFHPENPRPGVLINHPNGSDVYHGVPKDYIGDEGNARNFFAVLSGNKSAVKGGSGKVLKTGPNDTIFIYYADHGTTGYVSLPDNGGLYGEDFVKALKKKHEAKSYKKMVIYMEACESGSMFEGLLPNDINIYVTTASNAVENSYAYYCPQNPNPPPPGYTVCIGDLYSISWMEDSDRNDLTKETLKQQYKTVRKRTIGQQPHGSHVMQYGDLKMDNNILSTYIGANLTHVNVDHESYFGQYPTTSIMHVTQRDARLIYLKTKMERALNGSADKLKAQKELEVEIAHRKHVDNTFQHISNLLFEKENGSTMMLHVRAPGQPLVDDWDCFKMLIKTYEDHCSTLSSYGRKYLRAFANMCNAGISVKQMVAAASQACLKKE >CAK8568946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:658306138:658308535:-1 gene:gene-LATHSAT_LOCUS21853 transcript:rna-LATHSAT_LOCUS21853 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRAFCTRDPESTISDNKKNRSPSPSPRSCARLSFLSGGGSNSNSSTPRLNQSQPASSPSLRCRTITEAASQTNDSPRFQSKTNTPRLTKSPRANSASNPNSPRSPLKLSLFKNSFKFRNSCGICLNSVKTGQGMAIYTAECAHAFHFPCIAAHVRNHATLVCPVCNATWKDVPLLAAHKNLAAPQSHTTIDNSNVRDSKQTESPSPVFRTKNLNQSQQQYQQPKQSESTRSYDDDEPLLSPTAGGGRIITIPEVDENEEDEEDDSGEFQGFFVNTKSTSANKSYSDDIQSGDGDSRTVQVKLMPECAVVSVSRTHETYALVLKVKAPPPLRGGSSTLDPSRRAPIDLVTVLDVGGSMTSAKLHMLKRAMRLVISSLGPADRLCIVAFSAISKRLLPLRRMTAQGQRMARRIVDRLVTGEGNGLGEALRKATRVLEDRRERNPVASVMLLSDGQDEKVHNSNKQNQRKTWSHASSTRFAHIEIPVHAFGFGSKTGYSHEPGEDAFAKCVGGLLSVVVQDLRVQLGFQSDSARAEISAIYSCSGRPTLLSLGAVRLGDLYAEEERELLVEMRVPASALGTHHVMTVRCLYKDPASQEIVYGREQGLTVQLHQNQSQTVRSSQTRIERLRNLFITTRAIAESRRLLDHNSDFTSAHHLLSSARALLIQSGSASAEQYVRGLEAELAELHWRRQQEQVHVEAQQQQVMQRRRGVEREVNNNMVDENGEPLTPTSAWRAAEMLAKMAMVKKSLNKVSDLHGFENARF >CAK8568081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:571359561:571361225:1 gene:gene-LATHSAT_LOCUS21077 transcript:rna-LATHSAT_LOCUS21077 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAESDPITICLTLTHSLLQQEIPTVHHLKSKWSIARVKLTSLQTHLTDFSTEYSTSSTSNPLSLHLLHSISQTLHDAVSLAHHCQSTSPDLPLGKLQTQSHLDSLIATLHRHLNDCDVLFRSGLLLETPAFSKREAVRSLSRNLIARLQIGSPDSRAAAIDSLLTLLHEDDKNVTIAVAQGVVPVLVRLLDSSSDMKEKTVAAISRVSTVDSGKNNLLAEGLLLLNHLLRVLDSGSGLAIEKACIALQALSFTRDNARAIGSRGGISSLLGICQGGTPGSQASAAAVLRNLAKFNEIRENFAEENAVVVLLGLASSGTILAQENAIGCVANLISEDEGMRVLVYKEGGVECLKNFWDSAPMIQSLEVAVEMLRCLAMTGPIGEVLVAEGFIGRVMGVLNCEVLAVRIAAAKAVYAMGLNGGNKTRKEMGECGCVPFLIKMLDGKGAEEKESAAMALSVLLQHPFNRRVFRKDERGIVSAVHLLNPSLVNLDKQYPVSVLVSLLHSKTCRKQMVAAGASVYTQKLVELDVPGSKKLSDGLGRGKIWGVFARP >CAK8571172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:322500592:322502286:1 gene:gene-LATHSAT_LOCUS23862 transcript:rna-LATHSAT_LOCUS23862 gene_biotype:protein_coding transcript_biotype:protein_coding MATPITLLFSLIFLFTFFHCTFSLLNPQDEVGFLVQLDRDVNMVQTERCDFSVGKWVYDDSYPLYDPNCPYLSTVVTCQKNGRPDSDYEKWKWKPNACSIPRFDALRFLGNMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTGRKKVTYNGPAMVFHAMDFETSIEFFWAPLLVELKKDLENKRVLHLDLIEENARSWRGVDILVFDSAHWWSHSDNTSSWDYYKEGNSLIKNMNPMVAYQKGLSTWARWVDLNLDPQKTKAIFRSMSPRHNRENGWKCNNQKQPLSSLSLQKHTPEPLVVLQEVLKRMRFPVYLQDITSMTALRRDGHPSMYRKAISQDEKQKQGTDHSSDCSHWCLPGVPDIWNEMLSALL >CAK8571427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:406970040:406970606:-1 gene:gene-LATHSAT_LOCUS24093 transcript:rna-LATHSAT_LOCUS24093 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKDGRKKFHETRHPIYKGVRQRNGKWVCELRQPNNKTRRVWLGTFSHPDMAAKAYDVAALAYQGEAASLNFPNSATSLPRLDSQTSSRSIQLAATKAAEKYFSSCEGSEPFSVENGSECLACVCDINVCMGEDSERLFWDEEEVFNMPGLINSMAEGLVMTPPALQRGFNWVDEETTMDLTLWKS >CAK8574827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10653376:10654580:1 gene:gene-LATHSAT_LOCUS27130 transcript:rna-LATHSAT_LOCUS27130 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKLSIILVLLFFTYESSSSSSSSSHIKQFDDHKVNLTLYYESLSQPSATFIVKNLEEIFNNDLIDIVNLQLIPWANSHVNPLNSSISCQNGPDECELNSLESCAINIWPIVNKHYGLIYCFEFLAIEGKNKIWQNCFHELGLSLKPIMNCFDGGNGTELGQKYIKETTKLNPPLSFVPWVVVNNQPIGKDYENFTYYVCKAYKGATILEVCNVH >CAK8535980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895231569:895238648:-1 gene:gene-LATHSAT_LOCUS5392 transcript:rna-LATHSAT_LOCUS5392 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFRSVLLKSKSKTKQCNALAVIRKLTSSQPLNTFYSRNAFSFSKPHALARGNARVPISRNFCTAPDRDTLEYDVVIVGAGPAGLSAAIRLKQLCQEKDTDLSVCVLEKGAEVGAHIISGNVFEPRALNELLPQWKQQDAPITTPVTSDKFWYLTKNRAISLPSPFDNEGNYVISLSQLVRWMGAKAEELGVEIYPGFAASEILYDANDKVIGIGTNDMGIAKDGSKKETFQRGVDIKGRITLLAEGCRGSLSEKIIKQYNLREKGGAEHQTYALGIKEVWEIDEEKHQPGAVIHTLGWPLDNKTYGGSFLYHMKDRQISLGLVVALNYQNPFMSPYEEFQKLKHHPAIKPFLEGGTVIQYGARTLNEGGFQSIPYPAFPGGAIIGCSSGFLNVPKIKGTHTAMKSGMLAAESAFGVFDEGLDMNTYWDALRNSWIWEELYKARNYRPAFKYGLVPGLALSGLEHYILKGRLPVTLKHGKPDHEATDAAKLHSPIHYPKADGVLSFDVPTSLHRSNTNHDHDQPPHLRLKDPKVPELINLPVYAAPESRYCPARVYEYVADEKNQLKLHINAQNCLHCKACDIKDPKQNIKWTVPEGGGGPGYSVM >CAK8564438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674191502:674192068:1 gene:gene-LATHSAT_LOCUS17743 transcript:rna-LATHSAT_LOCUS17743 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLCTLSGLSFKDCIKPKQNRTFKMKKVKKLGFVEDWILDSQEMKQSKKKVHPCSTRFRGELAKDSLCLSLVEKTKNRLEEVNEGLASDCSLVAEKLCGKSQKINRVRFKLPHTVIYYKPEESYYEEEDEEERREGLLKAYYDSEEDSFSSPNWRELYSFETTEEPILKLAVDVLPHVFVSSKVSN >CAK8572786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563418913:563419311:-1 gene:gene-LATHSAT_LOCUS25312 transcript:rna-LATHSAT_LOCUS25312 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIVYSRTTFIPGRKLLGGVVVLNELIDLAKRRKHSCVLFKAYFSKAYDCVDWSYLRKMLVVMGFGSSWLKWMEGGVFKSFMFVLVNGSPTEDFQVYRGLRQGDPLFLFPFAIVVEGFAAMVRGLLQWDI >CAK8561231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124840811:124845440:1 gene:gene-LATHSAT_LOCUS14840 transcript:rna-LATHSAT_LOCUS14840 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLTTELDIKAPADKFFKLYASELHELPNHCENIHEAKLHEGDDWHHTDTIKHWTYVIAGEVHTCHESIEEVDEQNKKVIWKIFGGDIDKHYKDFKLILEVSDKADGTAVVKWTVEYVKLSEDINPPNTQMDFLCKNTRDVDANLVKEKVVQ >CAK8579019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667704726:667706794:-1 gene:gene-LATHSAT_LOCUS30995 transcript:rna-LATHSAT_LOCUS30995 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDKVRLRLILVVTVMMLNLSCCSAWFGNKRKSGRNTILPGESMSSRTSLMNHAAAGSSIVFPIYGNVYPVGFYNVTLNIGQPPRPYFLDVDTGSDLTWLQCDAPCSQCSETPHPLYKPSNDFVACKDPLCASLQPSDDYTCEDPNQCDYEIKYADQYSTLGVLLNDVYLLNFTNGVQLKVRMALGCGYDQIFSPSSYHPLDGILGLGRGKASLVSQLNSQGLVRNVIGHCLSSRGGGYIFFGNAYDSSQMSWTPISSIHSGKHYSAGPAELVFGGRKTGVGDLDIIFDTGSSYTYFNSQAYHALISLVNKELHRKPLKIAPDDQTLPMCWHGKKPFRSINEVKKYFKPLVLSFSNGGKVKSQFEIPPEAYLIISNKGNVCLGILNGPEVGLGEVNLIGDISMLDKVMVFDNEKELIGWGPADCNGVPKSRDVSL >CAK8566708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454118663:454119619:1 gene:gene-LATHSAT_LOCUS19820 transcript:rna-LATHSAT_LOCUS19820 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAGVATAQPLFSFGLISDVQYADIPDGRSFLGVPRYYKHSILILQRAVKEWNTHQKHKFVINFGDIVDGFCPKDQSINAVKKVVDEFEMFRGPVYHMIGNHCLYNLPRNKLLPLLKIQTLGGCAYYDFSPVPEYRFVVLDSYDISAIGWPRDHPKTLEALKLLREKNPNEDKNSPTNLKGLERRFLMFNGGIGKEQMEWLDGVLQNATELKQKVVVSSHLPLDPCATSEEALLWNCDEVMNLIHRYKCVKVILAGHDHKGGYSIDSHGVHHRVFEAALECPPGTDAFGSVYVYDDRISLIGTDRMASTDMHFSPQ >CAK8568346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597650043:597651421:1 gene:gene-LATHSAT_LOCUS21309 transcript:rna-LATHSAT_LOCUS21309 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQGLFSTLKDDLMKGLLPASGGSPISTLLRRRKKDHVPPLDLLFAERCHSRPQVEAMSPLKEGPEETECSFSVSSSSDLRLLLGVLAAPLAPLRVSTTEPFPHLAIKDIPIETSSAQYILQQYIAASGGLKLQDSIYNAYAMGNVRMIASEFETANKLVRTRNPSKAAQSGGFVLWQMKPDMWYVELSLGGSKVHAGCNGKLVWRHTPWLGPHSAKGPPRPLRRALQGLDPRTTASMFINSRCVGEKKINGEDCFILKICSDPSTLKSRSQGSAEIIRHVLLGHFSQKTGLLIHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSHVTLFKFGETAMSHTKTRMEEAWIIEEVAFNVPGLSVDCFIPPAELRFSSVTETCED >CAK8562350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476432492:476433172:-1 gene:gene-LATHSAT_LOCUS15853 transcript:rna-LATHSAT_LOCUS15853 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDSGESRRSVKPQNRPGAPAPEQENLPCPRCDSTNTKFCYYNNYNYSQPRHFCKACRRYWTHGGTLRDIPVGGGTRKNAKRSRTHNIAVTSSSSSSAVTSAPEQNYHSMTPSFPYGGVDGEGKQNMSVCGSFTSLLNNNAQQNSGFLALGGFGLGLGDMGFGIGRAEWSFPGMMDGSNIGVPVVSSGIGNSWQLEGGETGFVGGGDCFSWPGLAISTPGNGLK >CAK8567855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551005408:551005843:1 gene:gene-LATHSAT_LOCUS20872 transcript:rna-LATHSAT_LOCUS20872 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVFTPKGSLLISQSRSREDLAHKLQKHGPMTLKSFAENDIFQLVELLIAEKKWLEESPSQAFPFRLTQSVWKSTRMGQCSLNHSTD >CAK8569677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7882258:7882860:-1 gene:gene-LATHSAT_LOCUS22502 transcript:rna-LATHSAT_LOCUS22502 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRFSAVLCLMILTVKIVGLKGHELKNDTAQSQRHGNITLETLSRHKGNKELEVRGKHNNEVVFNASKGGLYKRGGGGGGGGWWKWGCRNEPRSHVIRGVKHHHHHHHNDAYKEEYRLGEFAQCMTRTRCKGMRLDCPLHCGGPCFYDCYHMCKAHCRRQP >CAK8569676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7882258:7882860:-1 gene:gene-LATHSAT_LOCUS22502 transcript:rna-LATHSAT_LOCUS22502-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRFSAVLCLMILTVKIVGLKGHELKNDTAQSQRHGNITLETLSRHKGNKELEVRGKHNNEVVFNASKGGLYKRGGGGRGGFKWGWGGGGGGGGGGGGGGGGGGGGGGWGWGGGGGGGGWWKWGCRNEPRSHVIRGVKHHHHHHHNDAYKEEYRLGEFAQCMTRTRCKGMRLDCPLHCGGPCFYDCYHMCKAHCRRQP >CAK8533918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671265311:671267893:-1 gene:gene-LATHSAT_LOCUS3505 transcript:rna-LATHSAT_LOCUS3505 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLIACIIFVWITSLFKIFLLARIRSTKHFTLNGRAFRKRNVLLVIAHPDDESMFFAPTINFLTSRGHNVQILCLSNGDADGKGNVRKQELYQACVSLKVPMQQVKIINHPDLQDGFGKVWNHSLLAKIIEEEITSHCIDMIITFDKYGVSGHCNHRDVHYGVCKLLYDTSRGDIEVWELVSTNILRKYSGPNDIWLSMFWAMLPSNGTVQCLVNEHSRRSFIAMSQHKSQWVWFRKLFVILSSYTYMNTLRKIK >CAK8532001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188297648:188298643:-1 gene:gene-LATHSAT_LOCUS1746 transcript:rna-LATHSAT_LOCUS1746 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLQTLILVLVLTISSFTIKASPTDAGIAIYWGQNLGDGSLSSTCDTGNYKIVLLAFLNVFGSGRTPSWNFAGHCGDWSPCTKLEPEIKYCQQKGIKVLLSIGGASGSYSLSSPDDAKVVSDYLYTNFLSGQFGPLGSVTLDGIDFDIEGGSNLYWDDLARYLDNLRQNNRYFYLAAAPQCFMPDYYLDKAIKTGLFDYVFVQFYNNPPCQYDIANSDATLLLQSWKDWTSLVLPYNTVVFMGLPAAPDAAPSGGYIPPNDLLSKVLPYIKGTSNYGGVMLWDRFHDVGSNYSNQIKEYVKQSALQLMTQVSEAIVGSVSAALKAVLLN >CAK8533916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671082125:671085803:-1 gene:gene-LATHSAT_LOCUS3503 transcript:rna-LATHSAT_LOCUS3503 gene_biotype:protein_coding transcript_biotype:protein_coding MIKATITLLAISTSYLLLLATPTKNSTYYHSLFISNSLSDNVSISNNLEILTHRPHIAGSEANNEAAAYVVSVLTSCNILSRVTSYDVALTYPVSRSLVLTKSSSESSSVSFNLSQQVYEGDPYADVADEVAPTFHAYAKSGTAVGSVVYANYGRVEDYLKLKEIGINVSNTVVLAKYGKIFRGDIVKNAYDEGAIGVVIYSDKKDYGGGGGGTKWFPDEKWLPPSGVQVGSVYGGTGDPTTPGWASSGTCERISKDEVEKSGDLPLIPSLPVSGEDGEKIIRSIGGPVAEDDWQGSKDAPTYRVGPGPGILNLSYTGQDVIARIQNVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTATLLEVAQRLGKLQERGWKPRRTIILCNWDAEEYGLIGSTEWVEENREILTSRAVAYLNVDCAVAGPGFHVSATPQLDELIKKAIQKVKDPNNSSQSIYDSLTSSGSSPLFGRLGGGGSDYKAFLQHVGIPATDMSFGEWYPVYHSLYDDFVWMKKFGDPMFQRHVAAASVWGLVALWLADEEFLPFNYLSYARELKLNMEELENEISNKDINLSPMYKSIMELKKAATKINNQIEKLEASENWRTWKMEHLKVRELNDRLMMAERAFTDRDGLSGMQWHKHLIYGPSKHNGYGSQTFPGIGDAVQMAKKLRTAESWRQVQHEVWRVARVIRHASLVLSGQLT >CAK8535679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874242538:874244146:1 gene:gene-LATHSAT_LOCUS5111 transcript:rna-LATHSAT_LOCUS5111 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPYSTDIEAAHYPPPPPPPYHFPQPTQWFSWLVPLIFLANIAMFVYSLYINDCPAYLNENDCLYHQYLGRFSFQPFTENPLLGPSVRTLRVLGALEKDLVVGENEAWRFFTCMFLHAGVVHLLANMFSLLFIGVRLENEFGFLKIGLLYMFSGFGGSLLSILHLGDAAVPNTISVGASGALFGLLGAMLSELLTNWTIYSNKCAALTSLLLIIGLNLAVGFLPHVDNSAHIGGFLSGFLLGFILLMRPQYGYVNRKYIPPGYHVKRKSKYKCYQYFFLILALIILLAGYAYGLALLYLGEPERMFYLSQTNPI >CAK8561832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:390418614:390419120:1 gene:gene-LATHSAT_LOCUS15386 transcript:rna-LATHSAT_LOCUS15386 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDNTGSFENIEPPTPIQASSETSQVPTPMVNNVDDYEYLYVTEALSAYPNCYTTEWDDMFESARAPVCEDLSTYFFNPLKPQLPLSVLIPSEPQNTSVGDSLVDSSKLVVDKPHNQILDSAAADSSLKPRKRGRPKKIKTDVVAGSSQKVNQLSLEPKKKRLPKSK >CAK8561676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:353154100:353154336:-1 gene:gene-LATHSAT_LOCUS15244 transcript:rna-LATHSAT_LOCUS15244 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGGDKLGFVKIALIPLYAWFKGYETFGKLNIKKDYPKFIGWTKRCIKIESISKPIPDQDKIYQFIVEMRKKINIK >CAK8571518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434892535:434893008:1 gene:gene-LATHSAT_LOCUS24175 transcript:rna-LATHSAT_LOCUS24175 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTKVSLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >CAK8537084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78381075:78384032:-1 gene:gene-LATHSAT_LOCUS6400 transcript:rna-LATHSAT_LOCUS6400 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTMAYSPVQLLSLLLPLLSSSFITTIFTFEISIKNTYIEPFKCSTKIKTCNASLYHINYNHNIQQLADFYSVDPSQIKPIIRSTKQDYLIQVPCPCQDTIDLNGYFYDTNYKVSPNESFSNINNIVYSGQTWHVNEDLVANENVTIHIPCGCSELESQSVVTYTVQQGDTPTSISLLLNANLDGMVKMNEILGPNPTFIDIGWVLYVPKELKGSPFSNEKEKKHKWVIITGILVSVTLLSVITLMVYILRRNKGYGTSKNDPKTFSKRSLANRTISLRNQEFHKEYMEDATQFDSERPVIYDFEEIEEATNNFDETRRIGVGGYGTVYFGMLEDKEVAVKKMKSNKSKEFYAELKALCKIHHINIVELLGYASGDDHLYLVYEYVPNGSLSEHLHDPLLKGHQPLSWCARAQIALDSAKGIEYIHDYTKARYVHRDIKTCNILLDEKLRAKVADFGLAKLVERTNDEEFLATRLVGTPGYLPPESVKELQVTIKTDVFAFGVVLSELITGKRALFRDNQEANNMKSLIAVVNKIFQDDDPVIALEEAVDGNLLRSYPIEDVYKMGELSHWCLSENPVDRPEMKEIVVVLSKIVMSSIEWEASLGGDSQVFSGVFDGR >CAK8568180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580088895:580090214:1 gene:gene-LATHSAT_LOCUS21169 transcript:rna-LATHSAT_LOCUS21169 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPKVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASRITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFHGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHATIFMVRDYDPTTRYNDLLDRVLRHHDAIISHLN >CAK8567186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493102536:493104372:-1 gene:gene-LATHSAT_LOCUS20259 transcript:rna-LATHSAT_LOCUS20259 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILEQRTGFRGFFWKAARVGERLSPWVAMGCFMMGVSIIFF >CAK8536791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20623492:20623743:1 gene:gene-LATHSAT_LOCUS6124 transcript:rna-LATHSAT_LOCUS6124 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNPGDNRNNKGPMSIFIVFALCGFFYILGLWKRSGFGKGDNIAVEITKHTDCSVLSDLNYETHHGGEAGTNDDPNEPVK >CAK8531207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89044424:89048354:1 gene:gene-LATHSAT_LOCUS1014 transcript:rna-LATHSAT_LOCUS1014 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGGSINVRRQPKEPSYFPPTPLNTRRDSDVSLASSRQSSAGIPSFEPYKDRSYQQNATATINSFLASQNFNVSFKPSSSPSAKDIHKTLIFLVGLLDFKINKIEDLPPLLKFMSYPHKLNKSVLKSPAAPHQWPSMLALIHWLVQSCQLNLSFSSPSDTTTLQSNNIVFQYSVDAYLNFIRGDDQAVSELEEEIHSKIIHEKSNAEKRLAATEEKVSELEAELEGLRFAPSQKDSLEKEKEMLESDVIKFHKIIEEFGSRIESAESVLAEKEKQLDAKALEREMICEENKDLMRKVESQPFSTRDVERMKRELQAAERDAGEAELATNDWEEKCWELDRTLANMVKELEALTIDCNQALKRLKIGNDIQYVLNPKGTTPAEIMGIDYKVMLKPALNSFADDIKKSTVVKLEEVIALQQKSNENAARIEGKRNQLVALQLHIDQLEAQMDTIKKETQDYTSRCTAEANNMTEDIQQTDHDISIMESESAAILKASELKLEETMRQCEEEIQMHGRELFNVIDSVTKYKEQLGSKVSKIKRELLETVTEVAEIYRKAFPEKYSYILEACRQIEKIE >CAK8573953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650065078:650068282:1 gene:gene-LATHSAT_LOCUS26346 transcript:rna-LATHSAT_LOCUS26346 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLECAPLDRINDFLSNLNLGESTIKGCLEAYSCKHSGADKKLSISLGNEILDYLGKSSDNDSSSPNESLINRTSRKTLVYLILALYHMYPDYDFSAVKAHQYFTEESWDSFKQIFDAYMFEASKEWVETFGGASLLDALFKALDEVVTLSDCEIYGYLPDPEADPLPERGAIWSFNFLFYNRKLKRIVTFRLSSFSNLIADGFSFDEILDEYDEEIFADMDI >CAK8573330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603649222:603649914:-1 gene:gene-LATHSAT_LOCUS25791 transcript:rna-LATHSAT_LOCUS25791 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGYINAAIRSSNTNEAYFFINDKYILLDYAPGTSNDKLLYGPIPLRDGFKSLNHTIFGSYGIDCSFDTDNNQAFIFYENFCALIDYAPHSDKDKIISGPKRIADTFPFFKGTVFEKGVDAAYRSSKGKEVYLFKGNQYARIDYGSNKLVQNIKNITGGFTCFRGTIFENGMDAAFASHKTNEVYFFKDDYYARVAVTPGASNDVIMDGVRKTLDYWSSLRGIIPLKN >CAK8574930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16090632:16093183:1 gene:gene-LATHSAT_LOCUS27228 transcript:rna-LATHSAT_LOCUS27228 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSKSKKPESFGKGKVTPNQIAFIVDRYLCDNNFTETRKSFRIEASSFIANSPINEVPKSLMSLGEMLDEYICLKEQKVMLDQERVYMEQEKNRVQMFLNGVQNVMNVYNASKNISLTNAAMPNAKSVAAPQQKIGVPNAAAAATAAATSTSTQNTSNMLSVPQSNNTNAENGNYSTPMISVSDRKRKDTRTVDAPSVAKRSRGRASCTSRKVPVLGQNTLPQPNSAVNNQIVYHPSSATQSSAANFVPSGSQVQGSSVVKCLFNQPQKSIPTNSQVPKTPPRANSSHSDTNISPPEVTQVPPSNAETTSTCYTVISTKRVMVSPAKQMAYIESSHCISPVKPNSDKATKREHVRSRLNFDSSDMPQRLDSDKSLPNEISTTESNNEVQLYDIDFPNFDALTMDFSFAEMLNDLDFSCEGLDFSCEPTPSHSNDNQVISEQPSTLAEALSEKKMNIQGADSLTATASVTRNITIISPEKNHQSCLDQENC >CAK8535977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895160166:895160690:1 gene:gene-LATHSAT_LOCUS5389 transcript:rna-LATHSAT_LOCUS5389 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDNDFFMAKCELLANREKIMSADPRMLFYHYLAVARWTLDFTSPLAKVEKTLVWIQFSWLNLLYYDESFLHGLSAMVGTFAKVNTNTLNVEKGRFVRIYVEIDLTLPFVVKVNVNGHWYNMQYESLCIICSSCDCYGHHTRDCKKISDSLTQPTISIVAQPRGQSEYNVVHE >CAK8567818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547032337:547034249:-1 gene:gene-LATHSAT_LOCUS20840 transcript:rna-LATHSAT_LOCUS20840 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKEKNPPWLSVPQFGEWDQKGPLPDYSMDFSKIREMRKQNKTNASRASLGNEEEFTTSVQKDTKTDDSEIQHPHYHKTNSSATRRSFLSYFNCCIKA >CAK8573452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613318419:613319366:-1 gene:gene-LATHSAT_LOCUS25899 transcript:rna-LATHSAT_LOCUS25899 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLSKLLSRSLSVAGKWQNQQLRRLNIHEYQGAELMSKYGVNVPRGVAVSSVEETRKAIKDAFPNQSELVVKSQILAGGRGLGTFKSGLKGGVHIVKTEQVEDIAGKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACRKGGTSIEDLAEKFPDMIVKVPVDVFEGITDADAAKVVDGLAPKVADRNQPIEQVKNLYKLFVDSDCTLLEINPMAETADNQLVAADAKLNFDDNAAYRQKEIFTLRDTTQEDPREVAAAKADLNYIGLDGNDGCRFVWCSSIQDASLSLGSSS >CAK8562861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:549055505:549056227:-1 gene:gene-LATHSAT_LOCUS16324 transcript:rna-LATHSAT_LOCUS16324 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLDESSYGHISEYSYYPPSSLSETSCYEEILLASEQPKRRAGRRKFKETRHPVYRGVRRRNNNKWVCEVRVPNNKSTRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSVWRFPVPVSTNAKEIRKMAAEAALAFAVEDINEELIITSDSDVCNSDINNYCIMEDDNKSLKELCVEVPGDSIFEEQVLHDWFQSMADEPLRSPTSPFMIYGRDDWRNIDQVEVEEVSLWNFTI >CAK8560675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35507897:35509072:1 gene:gene-LATHSAT_LOCUS14333 transcript:rna-LATHSAT_LOCUS14333 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDHDNKNMKQNSNSCEVISKLLLPEDLMFHIFTLVPPHYLFNSARYVCKHWAAAIASSRFAEACERFHVRSKLGLYVENRNSHSSSYFLEFKDDENGPFERTDLGTPQKMGYLIGTCDGILLLSNMARHIVVVNPILKCWLRIPRFSISQHHIVVACQCTITRVPRTAKFKLFHANVLVVLGVSWYVFYVLRIGIDNSWKEIARKQAPLHCSFSGKPLYSGGNDLYWITSKEVILMDVDREIIVREYPLPLEMILYYSPLAILLWMGGRLSCTAYNYSYMTYQIYILDFDSRKWSLYGDMGPFDFMAACGHNLNTANVAFRFWINNQIIFRAALSQNQIENVIPRITYIHFSYNVETKHLTKIEDIDVGDFEVWLHTNSLVSLPSTLA >CAK8534991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800790882:800791687:-1 gene:gene-LATHSAT_LOCUS4479 transcript:rna-LATHSAT_LOCUS4479 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKPRRPEQEPIKYGDVFNLSGEISSQPIAPRDAAAMQSAEDKILGQTRKDGAASLMTSAAQKNEDAGLIGHNTATNIGRNEGVAVSETCDSGKRVITETLGGQVLGKFAEDTNGAKETPFEDEGDPNPLSDQAPKVEATMDRDFISNQGGGVMNPRLHGNPDENENAWSNDSMDKGVWNENPEIINSVPGGMGASMATATATADRLKKNK >CAK8536404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938385465:938387061:-1 gene:gene-LATHSAT_LOCUS5776 transcript:rna-LATHSAT_LOCUS5776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAVDENSELVHQFYFVKLWPTIPDSIPRIKKEEKVIKTMSQDILEITKKIAKKTIEKEYLDFYMALDELNFRNVKIENEGWFGEKLDKNSLNYLRLHGSKSLGEEKKIMRDIKIQQKDVSPFKSLEVLKQTLLCNGGSLFFTRRVKNLEQMIRENYYLSDRQKLVIEIEQFQIQHKDRASKYDSLKKNIKEHIKLLCGDDSSKNRKEWMELGTRSKHNEKEQVAINGELYSLKEKLAEKHKKKTEAHNMLWELNRLYHEENIPYYRHCSFMNKVYRLAAEKDVAALDEMSSLKVEKFMSEWNNNKAFREDYEKKLPQSLERRQLCSDGRRRTDKSCSSML >CAK8536405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938385465:938387061:-1 gene:gene-LATHSAT_LOCUS5776 transcript:rna-LATHSAT_LOCUS5776 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAVDENSELVHQFYFVKLWPTIPDSIPRIKKEEKVIKTMSQDILEITKKIAKKTIEKEYLDFYMALDELNFRNVKIENEGWFGEKLDKNSLNYLRLHGSKSLGEEKKIMRDIKIQQKDVSPFKSLEVLKQTMIRENYYLSDRQKLVIEIEQFQIQHKDRASKYDSLKKNIKEHIKLLCGDDSSKNRKEWMELGTRSKHNEKEQVAINGELYSLKEKLAEKHKKKTEAHNMLWELNRLYHEENIPYYRHCSFMNKVYRLAAEKDVAALDEMSSLKVEKFMSEWNNNKAFREDYEKKLPQSLERRQLCSDGRRRTDKSCSSML >CAK8542827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551896931:551898679:-1 gene:gene-LATHSAT_LOCUS11631 transcript:rna-LATHSAT_LOCUS11631 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHESKPVLTLDFHPLSTTLATAGADFDIKLWSIKPSGSPKKLPVVTYLNSLSYHSSAVNVIRFSPSGELLASGSDGGELLIWKLHSMDTGQTWKVLKMLRSHIKDIMDLEWSADGAYMISGSVDNSCIIWDVNKGTNLQTLDTHAHYVQGVAWDPLGKYVASLSSDQTCRVYISKPHKSKGVERINYACKHVISKAEQPLLKNSKSTKYHLFHDETLPSFFRRLAWFPDGSFLLVPAGSYKIGTAAESVNAAYIFSRKDLSRPAIQIPCASKAVVAVRFCPIFFNLKGTNSDGLFKLPYRIVFAVATLNSLYIYDTESTSPIAIFAGLHYAPVTDITWSSDAHYLAFSSQDGFCSLVEFEDGELGSPYCLSKGNVIEQDSKNTLQTANDTVLPTGSVGAVVAESRKIEVEEKVDGMDIEVSRDIGAFTSVSSKTITQDNPDHMIIKPTGIVSAVIADSRKNKAEHKTDDMVIEAVEAEHKTDDMVIEGVAADSRKQSPNLDGKQGSSYQTLNRISKLTSLVFTFVHSFLATCDFNNLHKHDRRRKVFQALHIKEGKESYKISLWKTIHDLISK >CAK8564197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657207456:657208444:-1 gene:gene-LATHSAT_LOCUS17523 transcript:rna-LATHSAT_LOCUS17523-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAGNLYQDVGITWGNGRGNILNNGQLLTLSLDRTSGSGFQSNNQYLYAKIDMQIKLVPGNSAGTVTAYYLRSEGLSWDELDFEFLGNLSGDPYVVHTNVYTHGKGDREQQFYLWFDPTADFHTYSFLWNPAHAVFYIDGRPIREFKNLESEGVPYPKNQAMRLYSSLWNADDWATRGGLVKTDWTQAPFTAAFRNFKASGCVWSNGVSSCKSNSSSDNAWLSQQLDDTNQKKLKWVQKNYMIYNYCNDLKRFPQGLPVECTLRTKS >CAK8564196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657207456:657208489:-1 gene:gene-LATHSAT_LOCUS17523 transcript:rna-LATHSAT_LOCUS17523 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVKTLLIICIPVMVAFAGNLYQDVGITWGNGRGNILNNGQLLTLSLDRTSGSGFQSNNQYLYAKIDMQIKLVPGNSAGTVTAYYLRSEGLSWDELDFEFLGNLSGDPYVVHTNVYTHGKGDREQQFYLWFDPTADFHTYSFLWNPAHAVFYIDGRPIREFKNLESEGVPYPKNQAMRLYSSLWNADDWATRGGLVKTDWTQAPFTAAFRNFKASGCVWSNGVSSCKSNSSSDNAWLSQQLDDTNQKKLKWVQKNYMIYNYCNDLKRFPQGLPVECTLRTKS >CAK8576456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480755228:480758647:-1 gene:gene-LATHSAT_LOCUS28643 transcript:rna-LATHSAT_LOCUS28643 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGSSDDDYQYSDQEDSDCELYENNDQNFDLLSSTAQVITEESLLAAQKEDLRRVMDMLSVRQHHARTLLIYHRWDVDHLFEVYVEKGKAYMFAQAGVSVDECRDSNSLVSASVMCEICMDDISSDEATRVDCGHCFCNSCWTQHFIVKINEGQSKRIRCMAHKCNSICDEAVVRTLLGRKHPDMAEKYERFLLESYIEDNKRVKWCPSTPHCGNAIRVEGDKLCEVECSCGDQFCFNCLSEAHSPCSCLMWELWEKKCRDESETVNWITVHTKACPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGREHTWSSIAGHSCGRYKEQEKTAERAKRDLYRYMHYHNRYKAHTDSFKLESKLKDTIRGRISILEETKSSELSDYSWIHNGLSRLFRSRRVLSYSYAFAFYMFGDELFKEEMSEAEREMKQNLFEDQQQQFESNVEKLSKMLEEPFDTFECEKVMEIRMQIIDLATLIDGLCKKMYECIENDLLGSINLGTIHSIAPYRSKGIERASELQVCWSNKANNTGVTAEFDRSEESGCSSRKRARKDGEMFDLNLPAEALDRI >CAK8576457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480755412:480758647:-1 gene:gene-LATHSAT_LOCUS28643 transcript:rna-LATHSAT_LOCUS28643-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGSSDDDYQYSDQEDSDCELYENNDQNFDLLSSTAQVITEESLLAAQKEDLRRVMDMLSVRQHHARTLLIYHRWDVDHLFEVYVEKGKAYMFAQAGVSVDECRDSNSLVSASVMCEICMDDISSDEATRVDCGHCFCNSCWTQHFIVKINEGQSKRIRCMAHKCNSICDEAVVRTLLGRKHPDMAEKYERFLLESYIEDNKRVKWCPSTPHCGNAIRVEGDKLCEVECSCGDQFCFNCLSEAHSPCSCLMWELWEKKCRDESETVNWITVHTKACPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGREHTWSSIAGHSCGRYKEQEKTAERAKRDLYRYMHYHNRYKAHTDSFKLESKLKDTIRGRISILEETKSSELSDYSWIHNGLSRLFRSRRVLSYSYAFAFYMFGDELFKEEMSEAEREMKQNLFEDQQQQFESNVEKLSKMLEEPFDTFECEKVMEIRMQIIDLATLIDGLCKKMYECIENDLLGSINLGTIHSIAPYRSKGIERASELQVCWSNKANNTV >CAK8570985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:221551775:221552074:1 gene:gene-LATHSAT_LOCUS23688 transcript:rna-LATHSAT_LOCUS23688 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALTHSLILIIFLKPLGKHITDTIPKIQSKKKTPATISLKLPNEAAVPYQAAVASIGNNTYKPEPNQASCKGNYKLQATTSIFYLSRGVLKWFLKNE >CAK8535103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814199521:814199808:1 gene:gene-LATHSAT_LOCUS4580 transcript:rna-LATHSAT_LOCUS4580 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLPYDNFIFIKCILLLHWFCKHKYWVQVNMKEGFPLPPVTVDWKKFRSPAAISWMLGFAGRIQH >CAK8572577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:548292913:548293185:1 gene:gene-LATHSAT_LOCUS25130 transcript:rna-LATHSAT_LOCUS25130 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNNHRKSKSSWSVFNIFKSNSNKQRDGGYYDHAAYDNKVWPSDEDRGNWGVADPVIDMRATAFIAEYRKRISESEIHHWQPHPDPDQ >CAK8567779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544096067:544096771:-1 gene:gene-LATHSAT_LOCUS20802 transcript:rna-LATHSAT_LOCUS20802 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTLPLTSPYYSTFTSKSGPVHNNTNNYNRTRKFIVSAKQEKEEDKNKQSFFTSVTDALDFAQPRSEQDAQLIADARDATKSGEKMSKEQYGALRRKIGGTYKDFFKSYVEVDGAYVEEGWVDKTCKVCKKDTKGEARQVDKLGRYVHVACAEKAKTGNFFTRLFSLGS >CAK8570775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:112005125:112005548:-1 gene:gene-LATHSAT_LOCUS23499 transcript:rna-LATHSAT_LOCUS23499 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDVLAGRKTCGYIQGDGRISGFPKNQQTFARISGYCEQTDIHSPQVTVRESVIYSAFLRLPREVNNDEKMKFMDEVMNLVELDNLRDAITSSPKETAETFSLQK >CAK8535463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850586632:850587834:1 gene:gene-LATHSAT_LOCUS4925 transcript:rna-LATHSAT_LOCUS4925 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEIPQFFICPISLQIMKEPVTTITGITYNRESIEHWLLTSKNCTCPITKQPLPRSSEFLTPNHTLQRLIQSWHSTNSPSSKIDKSEFEKLVKNLDQEAIEKLHVLALEDEGNRTCMVEAGVAKAIIQKVIIKSVFKQGKTISLEEALRILRLLWPLAITNDKNNIVDDKFDLVNSLTLILQLHIDNKNFKVINEAMLVLKLVLEAKSSNSLLNLNVEFFREIVKLLRIRNKELSKQAIKSALHVLLQTCPLGRNRMKIVEAEAVTELIDLAFEKQEKNQTELIFTLLADLCSCADGREQFVRHAGGIAVVSKRTLRVSSTTDDRALQIFCLISKYCGTHEFVQEMLRVGGVSKLCMVMQADCACYLKEKARGVLRLHSNVWNGSPCIQVYLFTRHQR >CAK8562015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426271711:426275414:-1 gene:gene-LATHSAT_LOCUS15552 transcript:rna-LATHSAT_LOCUS15552 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSPSSSFSYGFTYQVFLSFRGTDTRYGFTGNLYKALTDSGINTFIDDNDLQRGDEITPALIKAIEESRIFIPVFSIDYASSSFCLDELVHIIHCFKSKGRLVLPVFYGVEPTHVRHWSGSYGEALAKHGERFRINKQNMERLHQWKIALNQAANFSGYHFSPGYEYKFIGEIVKYISNKINRVPLHVAEYPVGLQSRVKQVKSLLDKGSDDGVYMVGLYGTGGLGKSTLAKATFNFIADQFEGLCFLHNVRENSTKTNLKHLQEDLLFKTIGLNIKLGDVSEGIPIIKERLCRKKILLILDDVDNIKQLQALAGGLDWFGRGTRVIITTRDKHLLTSHEIESMYEMEGLYGIEALELLRWMAFKNNKVPSSYKEILNRAVTYASGLPLAIEIIGSNLFRKSIEEWKNTLEGYEKIPNKEIQKILRISYDALEEEEQSVFLDIACCFKEGRWVEVENILNAHYGHCIKHHVGVLSEKSLIKITSHKVTLHDLIEDMGKEVVRQESPKELGERSRLWYYDDIIHVLKENSGTSKIEMIHLNFPSMDAIIDWNGNAFKKMKNLRTLIIKNGHFSKGSRHLPSGLRVLEWPRYPLGCIPFSISNKTFEKMKILKFDYCEYLTDISDVSCLPNLEIFSFKKCENLISIDESIGFLNKLQILNAEGCDKLSSFPPLKLNSLLELELSFCTSLKKFPEILDKMNNINSITLIDTGIKEFPSSFQNLTELHNLSIHGHGKLEFPSSIPMMSKLFQVYIHGYSQLLTKPNDEMSSLMSSNVRDIFIRTSKHKFLTVTLTLFSNVETLNIRGSRIKILPECIKKCCFLKSIYLDSCQYLEEIRGIPPNLKTLSAFGCESLTSSSKSMLVIQELHEAGGTEFRFPSSRSELIPEWFEHQRREHSISFSFRNNFPSLVFFFSSIRMHELVPGTRDSGLRVYLLINDYAYTLDEPEFGMPFDIPRDYTYIFSSERKNWLELPDYTPKGDREFKSMLEDALSKNEWIRAEVKIAIDLDSDLNDDNVESGIHVLKHLTSMDDIQFTISSLSKKRKLDEFLNDSTSE >CAK8533575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:638127224:638128033:1 gene:gene-LATHSAT_LOCUS3194 transcript:rna-LATHSAT_LOCUS3194 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKSNKACAACKHQRRKCSSDCILAPYFPVDKPLMFHNALNLYGVSNIIEILNKIPQEMRDLAMKTIIYESNVRAIYPIHGCLGVIKEICDRINENLEELYHVKELLDYCKINNLQSQNLSTLLPSTSSQNPNLQPHVSNIPIFNDVGNVSNYCHNSESNIEAMTNAYPSHLNDIIPREVNNTPTNTNLNISPMDVVRVAQLSEGVSNNKEYDLHGGGVDTQTSAKVDLKEKETPFNVNDLFIPDSEIDKVYYNNGQLFIENDSSKR >CAK8564027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646755660:646756049:1 gene:gene-LATHSAT_LOCUS17372 transcript:rna-LATHSAT_LOCUS17372 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSKSYGDGRMQIEPYSAAAAPSNGATASGVYGMQDLRCYSASYASSVHPAQTQNQMSNNSDVKFKKGKSTNGSASKSWSFSDPEMQRKKRVASYKVYSVEGKLKGSIRKSFKWIKDRCNRVVHGW >CAK8564389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671606195:671608120:1 gene:gene-LATHSAT_LOCUS17700 transcript:rna-LATHSAT_LOCUS17700 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEERLVSLLSKCSTTLKTSKQIHAHLYVTGLHTHPFFFGKLLLQCAISISDHALQYALRLFHHFPNPDTFMYNTLIRSLSHSSIQPFILLLRHPTLFPDSFSFAFALKGVANYGYLKRPGIQLHSQAFRHGFDDHIFVGTTLISMYAECGCYESARKVFEEMSQPNVVAWNAVVTACFRCGDMEGAWGVFQRMPIRNLTSWNLMLAGYVKGGELGFARRVFSEMPMTDDVSWSTMIVGFAQGGSFYDSFGVFRELLREGIIRPSEVSLTGVLSACAQAGAFEFGKILHGFMEKAGVLCIVSVNNALIDTYSKCGNVDMAKMVFHNMSVAKCIVSWTSMIAALAMHGRGDEAIQLFHEMEKSGITPDGVTFISLLYACSHSGLVDQGCALFSKMKDLYGIEPAIEHYGCMVDLYGRAARLQKAYKFISQMPISPNAIIWRTLLGACSIHGNIELAELVKARLAEMDPNNSSDHVLLSNVYAVAGKWKDVAGIRRTMIEKSMKKTPGWSMIEIDKVVYGFVAGEKPNEVTEEAHDKLREIMLKLRTEEGYAPQVRSVLHDIEEEEKEDSVSKHSEKLAAAFGIAKLPKGRVLRIVKNLRVCGDCHTVMKLISKVYQVEITVRDRSRFHSFKDGFCSCRDYW >CAK8530870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:57957280:57958759:-1 gene:gene-LATHSAT_LOCUS703 transcript:rna-LATHSAT_LOCUS703 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGSDRDSGMSLETVNSNTQRTSLSSATESICSTSFSRLSFELLPSCSPESLSIKPHRSSDFAYSAIRKSGLTFRDFHLLRRIGSGDIGTVYLCRLRDSSVNYINDEDSSFYYAMKVVDKDAVALKKKSHRAEMERKILKMLDHPFLPSLYAEFEASNFSCIVMEFCSGGDLHSLRHRHPRNRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSHAIPAVELSPDDPPDVSCTRPHSISSPFKCLSKRLFRSRKVQTFQSNRLFVAEPVEARSCSFVGTHEYVSPEVASGNSHGNAVDWWSFGIFIYEMVYGRTPFAGPSNEATLRNIIKKPLSFPTVTPSSTLEMHARDLVSGLLNKDPSRRLGSKRGAADVKMHPFFVGLNLALIRMVTPPEVPGLRRYKTTPFVSGKDCNGNRSSSRQHPASSFDYF >CAK8579451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700186954:700188434:-1 gene:gene-LATHSAT_LOCUS31398 transcript:rna-LATHSAT_LOCUS31398 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIQQPCAQLSNIALSDTHGENSPYFAGWKAYDENPYHYLTNSSGVIQMGLAENQVSFDLVEKYLKEHPEDYNGFRENALFQDYHGLISFRTAMATFMEQIRGGRAKFDPERIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNSSNNFQITPQALQAAYKEAQSMNMKVSGVLITNPSNPLGITIQRAVLEQILDFVTEKNIHLISDEIYSGSVFSSSEFVSVAEILEARSYKGADRVHIVYSLSKDLGLPGFRVGTVYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKKFTQKYIKINRERLKKRYEMIVEGLKSVGIECLKGNAGLFCWMNMSSLLKESSKEGEIEVWNEILKEVRLNISPGCSCHCSEAGWFRVCFANMSEQTLEIALERIRKFMAKRIRTKKI >CAK8561074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86058373:86058684:-1 gene:gene-LATHSAT_LOCUS14696 transcript:rna-LATHSAT_LOCUS14696 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8561729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:367421333:367421827:-1 gene:gene-LATHSAT_LOCUS15290 transcript:rna-LATHSAT_LOCUS15290 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKNQVNLDDEQIAELREIFRSFDRNNDGTLTQLELNSLLRSLGLKPSAEQLEGFIQRADTNNNGLIEFSEFVALVAPELLPAKSPYTEEQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIKEADMDGDGTISFQEFAQAITSAAFDNSWA >CAK8566170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387111821:387114391:1 gene:gene-LATHSAT_LOCUS19328 transcript:rna-LATHSAT_LOCUS19328 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVANQNESTLTRIVDSVFAFVRHAEFEILFFLFFFIAYILFKDITSRSEYNQVFVKKPEGGPELWPF >CAK8533403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613818715:613823251:1 gene:gene-LATHSAT_LOCUS3030 transcript:rna-LATHSAT_LOCUS3030 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEDLSNLTESKRKLDLRVVPIVSKAARSMLMRQYEVFLSFRGEDTRSSFTSHLYASLQNEGIHVFRDEDSLQRGDHISASIHQAIQKSQICIIVFSLNYGDSRWCLDELVNIMETRRTTGQVVLPVFYDVDPSEVRHQTGEFGKAFQTLLTRLSNKDGIYDRLRKAARYALNSLSIYKDELPNRGLVWSWRAALREAANLAGFVVLNSRNENEAIKDIVEKVTQLLDKTDLFVANNPVGVETRVQDMIQLLDIQQSNDVHLLGMWGMGGIGKTTIAKAIYNKIGRNFEARSFLANTREVWEKNDGPVSLQQQLLFDICKESKTKIQSIEAGKVILTDRLCRKKVFLVLDDVSTLDQLNALCGSRQWFGSGSRIIITTRDMHILRANRVDQVYSMKEMDESESIELFSWNAFKQARPREDFVGISQNVVEYSGKLPLALEVLGSYLCDRGITEWKCVLDKLKRIPNDQVQKKLKISYDGLNDDFEREIFLDIACFFIGMDRTEVIHILNGCERYAEIGINVLLERSLVTVDDGNRLGMHDLLRDMGRELIREESPKEPEERSRLWFSNDVLNVLFEQTGTKVVEGLTLMLPRDNAKCFSTKAFKKMKKLRLLQLAGVQLDGDFEYLSRNLRWLSWNGFPLTCIPSSFYLGNLVSIELENSNIKLLWKETQRLEKLKILKLSHSHYLTQTPNFSNLPNLEQLILSDCPMLSEVSPSIGHLNKILLINLEDCISLCSLPRSIYKLKSLKTLILSGCLKIEKLEEDLEQMESLTTLIANNTAIAKVPFSIIRSKSIVYISLCGYEGFSRDVFPSIIQSWMSPTNNLPSQFQSSTIMSFPVLLDVPHSNSHELSLISKHLPSLRSIWVQCSSELQLSYDAAIILEALYATNYNELELAATTSQVSRNSLKSIFIQLGMNCQVANILKEKILQNMADHGCGGSLLPDDKYPYWLTFNCQGSSVIFEVPHMEGHNLKTIICIVHSSNPENIASNGLKNVMVKNYTKATIQLYKREALVSFEDEEGQRLVSSMEPGNKMEVVVVFENNLVVKKTTIYLIYDEPIGKTMEQSYAPNKNVMVCSGDAN >CAK8576267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440278994:440279860:-1 gene:gene-LATHSAT_LOCUS28470 transcript:rna-LATHSAT_LOCUS28470 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVMEEKLISYSNKDATHVVKGKRTKRLRLLSPCGVVSTASSCSSGESNTDVEDDEEDMANCLILLAQGGNHGGGGDYKREKGSNGNKKIDEIVTATLSNTKGGLYIYECKTCNRTFPSFQALGGHRASHKKPKLMADMKKPPLSPLSQPQTSSQDLQSVKLLTANCDEFEVVAEKPRGPTISFQMENPSLKAINGNKAKIHECSICGSEFTSGQALGGHMRRHRTSPANGGAVAVVDGGVRSRNILQLDLNLPAPEEDIREAKFQFPTQKSMVMSAAPALVDCHY >CAK8578060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604676394:604676735:1 gene:gene-LATHSAT_LOCUS30104 transcript:rna-LATHSAT_LOCUS30104 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGFGWMPNWVYCFWIYGKVVKRDSWLNVIFLGSSLGSFVANWTCMVFKTKTNKIFSAATGNLQLLELNMRYHPCFLQRA >CAK8535012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802947978:802949404:1 gene:gene-LATHSAT_LOCUS4499 transcript:rna-LATHSAT_LOCUS4499 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFDTKPFVSAMASIVLMRTITNELIPREFLHFFQSGIDHLLRHFSGQFTVVIDEFQGRARNQVFEAAETYLGSKATVKAERVKVSKSEDHKDLSFNLDRNEEVSDVFEGVSVKWKLICIQVDSSRIQHSDQESSSVSEIRSYELTFHKKHKNKIFHSYLPYVMEIAQQIKQGDMAIKIHKNEYCLWSYEPVKFNHPMSFNTLAIDEKLQREIVNDLDKFVRSREFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLHYDIYDLDLTVIGDNRDLKQLILGMSNRAILVIEDIDCTIKLQNREEDTEGSDNGHSKVTLSGLLNATDGLWSCCAEEHIIVFTTNHKERLDPALLRPGRMDKQIHLSYCNYSAFKQLAGNYLCITEHELYQKIEQVLGQVKVTPAEVAEVLTKDADATECLQDLINFLQDKKTIKEESIK >CAK8564971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19781617:19782224:1 gene:gene-LATHSAT_LOCUS18218 transcript:rna-LATHSAT_LOCUS18218 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKRSSALNPNAPLFIPAALRQVEDFSPQWWELVKSSAWFHDYWLSQNEAEDFEVSDSIDTTNDDIENLLSETFDLGIEEDFNFLENEFEKLVMSDEAQAQECPITDDPVEERKPLHGVNKDASALLKILSPNERGPKSPVGSAKHFEKPPQYVNLKCAPQRIHQPR >CAK8565826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339926427:339927858:1 gene:gene-LATHSAT_LOCUS19007 transcript:rna-LATHSAT_LOCUS19007 gene_biotype:protein_coding transcript_biotype:protein_coding MPRENSTLPICFFKIILQTNLQTIKIPNKFTRRHGAGLPNPVMINPPDGTKWKVFWKNINGDIWFQKGWKLFTQNYSLQHGCLVVFKYKEGTSTLDAIILGQHALEIDYGSSCNTLDETDNLDHSDDESIEILNVDHRDDKSVEIFNVDDSDDESVEILNEWLNKKKTRPKSPFVSPRPCKKVRVEFKKTSVRTTSLNWPRENRAREVAAKFISSNPFFTILIKPNHLADFRLSVPNLEGVIENKEKNVILQIGESSWNVKLLRVNKDIKGRHLSAGWSLFASENELQLGDVCVFELINKEDLVFKVHVF >CAK8531221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91161265:91162530:1 gene:gene-LATHSAT_LOCUS1027 transcript:rna-LATHSAT_LOCUS1027 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSQQRLSGADRKKLKRTIRDKFPRASDSDLDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGKTWAVKVPENPAPIAVGSTMMSSVEALKAGLRGKALRITHYYRDLLWESVEGRYVPNEGFLEDVVFGHPSLLSPPSHDTDLAEASGESSNGQQNITKSDEADGSLNVNELPADSSHTSTRPNSDENTAEEITAGMGDLKLPDSGSPNEPNDQHTLSTSDVDLLLDKCLLQALHTTLKDKDLPIPGSTLWSNHVLPCRPSGMTLDIKKSSYKKLSKWLQTKSCAILLPTDNASYNHYPATNSHQTNHSAIPALTPPTPDNSATIQPAPCYTRLYKPATCSENQTNQQYNRT >CAK8537107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:98551945:98553583:-1 gene:gene-LATHSAT_LOCUS6421 transcript:rna-LATHSAT_LOCUS6421 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPEAEHPKKAFGWAARDSSGVLSPFKFSRRETGENDVAFKVLYCGICHSDLHMVKNEWGNSIYPIVPGHEVAGEVTEVGSKVKNFKVGDRVGVGCMVGSCHSCQSCADNLENYCSKMILTYSAKNIDGTITYGGYSDTMVSDEQFVIRIPDALPLEAAAPLLCAGITVFSPLKYFELNKAGLHLGVVGLGGLGHMAVKFAKAFGANVTVISTSPNKKKEAIEHLKADSFVVSREQDQMQAVMGTFDGIIDTVSALHPLTPLLGLLKNHGKLVMVGAPDKPLELPAFSLITGRKIIGGSMIGGIKETQEMIDFAAKHDVKPDIEIIPVDYVNTAMERLLKADVKYRFVIDIGNTLKASS >CAK8577564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574762828:574765002:-1 gene:gene-LATHSAT_LOCUS29662 transcript:rna-LATHSAT_LOCUS29662 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLRLHPVTSTWKRKAKIQLFTTLSQASEPYPSHVISTNISIAHCAKTGKLEEARHMFDEMPLKTVSSWNTMISGYSQWGNYTEALTLVSIMHRSCVKFNEISFSAVLSACARSEYLFLGKQVHSLLLKSGYERFGLVGSSLLYCYVRCCGVREAEQVFEELCDENHTLWSLMLAGYVQRDMMGDAMKLFNKMPVRDVVAWTTLISGYAKREDGCERALDLFGSMRRSSEVLPNEFTLDCVIRICARLKVLYVGKVVHGLCIKDGFDFDNSIGGALAEFYCVCDAVDDAKRVYDSIAAEVCLNVANSLIGGLISIGRVKEAELIFNGLREKNLISYNLMIKGYAMSGEFENSKKLFERMSVKHLTSLNTMISVYSKNGELDEAVKLFDKTKGERNCVTWNSMMSGYIHNGKHSEALKLYVTMRRLSVVYSRSTFSVLFRACACLCSFQQGQLLHAHLAKTPYHANVYVGTALVDFYSKCGRLGDAQRSFASIFSPNVAAWTALINGYAYHGHGSEAISLFHSMLDQGVVPNAATFVAVLSACSHAGLVDEGLEIFHLMQISYRVTPTIEHYTCVVDLLGRSSRVKEAEEFIIKMPVEADGVIWGALLNASCFWNDVEVGERAAEKLISLDPNSVSALVILSNMYAVQGRWGKKTKIRKRLQSLELRKDQGCSWIESNNNIHLFSVEDKTHPYSDVIYKTVEHITATINSIGPFNCHYISNVG >CAK8566814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464894352:464898712:1 gene:gene-LATHSAT_LOCUS19920 transcript:rna-LATHSAT_LOCUS19920 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSLRLGKRLSDASKPHWRISSNLIAHASTTSSSPSPPSPPISSTTNDTASTLNNLLTAPWSASQTRGLTFSGSDVRVGNLFENRGRAYEVLKLYNTHEGTGKAAIKVPPNTVAGYATVATTTGDDIIRKERNSGLRNQGLKTKREQLLKVTAAVPLLLVYPNAYSLLAANFFVFWHINAGIEEILADYVHHEMTREFIFISLRLFLIIAIKDVFLNFVFV >CAK8535876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888106906:888107881:-1 gene:gene-LATHSAT_LOCUS5295 transcript:rna-LATHSAT_LOCUS5295 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNMSTKTRSARKDAEGTSKKKSVIVGPWGGNGGTSWDDGAFTGVREITLVYDRCIDSIRVVYDKNGKPFTADKHGGVGGNKTAEIKLQYPDEYLISVSGYYFPVARGGTPVIRSLTFKTNQRTFGPYGAEEGTPFTFSIDGGQVVGFKGRGDWYLDSIAFTLSSAPSTSLLKKVQKGFYRLASIAPKSSSTKAGEKTLGV >CAK8562450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493967344:493970499:-1 gene:gene-LATHSAT_LOCUS15945 transcript:rna-LATHSAT_LOCUS15945 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPKPLSSFLFPSHTRYFLPKPYPLIMAQTRTSPDSIAPEEHPARNLTLTGDTHVQRAWAHWTNLGRPKLIVAPMVDNSELPFRLLCKKYGAQAAYTPMLHSRIFSETEKYRKEEFTTCKEDRPLFVQFCANDPDVLLEAARRVEPYCDYVDINLGCPQRIAKRGNYGAFLMDNLPLVKSLVEKLALNLQVPVSCKIRLFPKLDDTLKYARMLEEAGCFLLAVHGRTRDEKDGSKFRADWKAIKAVKDAVRIPVLANGNIRHMDDVKDCIEATGVEGVLSAETLLENPALFAGFRTAEWVSGCEEDFVDGKLDQADLLIEYLNLCEKYPVPWRIIRSHVHKLLGDWFSLQPHIREDFNKQYKITFEYLYDMVDQLRDTGTRIPLYLKRTETEPTDTDQHN >CAK8560652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34414153:34414419:-1 gene:gene-LATHSAT_LOCUS14311 transcript:rna-LATHSAT_LOCUS14311 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNNNSTSGLISCDKLDRVASWVGTNVASAFFASLERCSCINLSTTDTEDDNINDDDRPLMLTKPVSHLPFEGPTTTLPSKSKLPS >CAK8573041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579858963:579860513:1 gene:gene-LATHSAT_LOCUS25535 transcript:rna-LATHSAT_LOCUS25535 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFSSTASLSSHYHLIFTVLAFLLSAIIFFLTQKTKSKSLNLPPGPPGWPIVGNLFQVARSGKPFFEYVKDMKAKYGSIFTLKMGTRTMIVLTDAKLVHEALIQKGALYATRPPENPTRNIFSANKFTVNAAVYGPVWKSLRRNLVQNMLSSTRLKEFRTVREKSMDKLISRLSVEAEKNNGVVWVLKDARFAVFCILVAMCFGLEMDEDKLEKIDQVMKNVLITLDPRIDDFLPILSMFFSKQRKRVLEVRKEQVEFIVPFIEQRRRAIQNPGSDQTATTFSYLDTLFDVKVHEGKKSSPSNEELVSLISEFLNGGTDTTATAVEWGIAQLIDNPEIQEKLYQEINMTVGDKKVDEKDVEKMPYLQAVVKELLRKHPPTHFVLTHGVTEPTTLGGYDIPIDANIEIYTAGIGVDPKLWPNPEKFDPERFISKGEEADITGVTGVKMMPFGVGRRICPGLAIGTVHIHLMMARMVQEFEWSAYQPGKKIDFTGKMEFAVVMKDPLRAKIKPRG >CAK8574162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666908830:666920984:1 gene:gene-LATHSAT_LOCUS26534 transcript:rna-LATHSAT_LOCUS26534 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAFSNFKLVSNSSLFHFTSNQFRSRFSLSLRHYHYGCRCRNSKSVPQIRMYSEKLQPSSGYGGVDIRENKSDFVEVIAIGGRKDAVLDFCLNSPFQLSSLRFWNVIVKDSQDVQLQQRPTKKEPCSGILKAQVFMKSCSKTIVLVASAGYGSDHTVAVDIFETIRSINGLTVAVVLKPFSFEGLRRKDEVKVLMGKLKENTNLLIEIDIDALLKKDLLTLDEAMKTANDAVLLAIKAISVLKSEMHRKFIDRLHNSMEEACNSEIIKILECYKEARIGFGAAYNIKTSILQSIFDSPFLGASLKDPNSAVICIIACSEPINDSDIAVFLRTFRQTTEYTRDIIISTVLEPDVEPNLLITTVLTLGLTVQQPSQNGGILSKLARHFPLVFSFWGRHSMPQIVSGKEDAVSSHEMMRSYNIDEGESVVIPSTVDDRFDKQYAELAADVSNNSSKFSVSRDSEENEDSFDNIANSPIPYDSIKEEDESAFQREQLGNWNLGPGFEVAKEWAQEREADVTPVVDSLSIFHLPVGVRPSEELKDSLEISYMSKKHEPDAGVEAVMEFTSSLLKAKRANSNKPKKHGVLSVRAASMLEAERDLSIKWSPVVEIQYRGGRYKGRCQGGLPEGKGRLVLRDGNIYDGLWHSGKRSGPGTFYFKNGDMFQGSWRDDAMHGKGWFYFHTGDRWFANFWKGKANGEGRFYTKSGDAFFGSFEDGWRHGQFLCVNANGTRYTEIWEHGVLVDSKPLDR >CAK8531523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:119696787:119702144:-1 gene:gene-LATHSAT_LOCUS1307 transcript:rna-LATHSAT_LOCUS1307 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVASPNSMIGEKILPIDLPMIDLSAERSMVMKLIVKACEEYGFFNVINHGVPYDIISKMEEVGFEFFAKPMEQKKLVALDKPFGYGCKNIGFNGDMGEVEYLLLNPNVPSIPNDSSNFSSSVRAYTEGVKELACEILELMAEGLGVPNTSIFSTFITQLDNDSILRFNHYPPKNSKDRDNSNSYNVGFGEHSDPQILTILRSNDVPGLQISLQHGVWNPVVPDPSAFCVNVGDLLQVMTNGRFVSVRHRAVTNSYKSRMSVAYFVAPPLDACIVAPSVMVTPNRPSLLFKPFTWEEYKKVTYSLRLGDTRIHLFRNCTQLE >CAK8571731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465950823:465954076:-1 gene:gene-LATHSAT_LOCUS24365 transcript:rna-LATHSAT_LOCUS24365 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESSPATKFRFRDHSLPVARNSIHSSEPSSDDVVSVVSLSNSNISDQPDDNAKLDIESITGRGIKHLCDELQELKEAANLDLHKNICANYSSFLRILEEVTGVENELMQLENHFLSHQMSVKDLKNRIYPKILSINLTIEESLDFVVPSRPSESEAHFNDVSEKLDILVSENKLDEALQLLESAYEDEALENSDDDILLYNRMISEKKLMIIEQLVQIVENKRIEGPELKSALTTLCRLGDTQLAIHLLLKYYHLCIVTGTNNLQWSNSSLNEIYIRKLAKFVFSMISQAAKSFEMLCGETSPYASELVLWSYEETMSFINCFDKFVKSTSEVSVGLSSSIKAAKFAFSYCSLLGKNQKVVLQPYLVEHLYPCMEDVLNTHINHFKKVIPIFSVSDSWILEKYIVSRVFGGDGSSTEQPDYCLLTSSGRKVQTLLQAIAEDISPLVAIEMENLVTSGLKNLFIEYIIILERALTYETSEVEQDNPKIKLAESLSQQVSILANLSTLVQFLSTLVKGIFSSRSSNHMDSQVGKNRSVVHQHQELDDFLMFTEESSIKLRNVFCQQLILRMLSTCSSHEIFSATHYNDQFDANTIHNPMPSAIFQVLFLELRKIEKLEEENVFEMNWLMELLREVMVCMFILVSKNKEINATTEEYVILQTNEAKQFILDVQFLVEIGMYGGYFSTDPLLLLTVMKSTFNSAGLDPFKDADSDDWAIDVATNTIQNLLEIEKTSLHPKESMVTIKDELYEHRDQIKQSAYGCNFSEVDDKNSLEDKVDLEEHESEVAIDAETDYSSTFSSREGSLAERDCVDIDNVNMRQLSISYVQLENTDFEKVADAGNDELTLIPSSGMAGQNSDNQSQRTEL >CAK8576287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443997038:443998156:-1 gene:gene-LATHSAT_LOCUS28489 transcript:rna-LATHSAT_LOCUS28489-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQPICKSEGGIRCPCINCKCCKISTTTSVRLHLYRDGFHPDYWIWTQHGQVELNIDTRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHANDNREQEEFLEDEFPNEEAKQFYDKLISFNKPIYEGATQSMLSISTQLLEIRSNWHVPQKGLDFVAQMLKSDDSKLSECKFCNAPRFIPRKTGMVKYKDIPAKRMFYFPIIPRLQRLYASTESATEMRWHQMNKNSSNVLHHPSNGKAWKHFDDVYPDFSREPRNVRLGLCSDGFTPYIQASASPYSCWPIIATLYNLPPEMCMT >CAK8576288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443997038:443998156:-1 gene:gene-LATHSAT_LOCUS28489 transcript:rna-LATHSAT_LOCUS28489 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQPICKSEGGIRCPCINCKCCKISTTTSVRLHLYRDGFHPDYWIWTQHGQVELNIDTRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHANDNREQEEFLEDEFPNEEAKQFYDKLISFNKPIYEGATQSMLSISTQLLEIRSNWHVPQKGLKVKKIDCCKNGCMLYYKDDSKLSECKFCNAPRFIPRKTGMVKYKDIPAKRMFYFPIIPRLQRLYASTESATEMRWHQMNKNSSNVLHHPSNGKAWKHFDDVYPDFSREPRNVRLGLCSDGFTPYIQASASPYSCWPIIATLYNLPPEMCMT >CAK8531011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69710791:69711939:-1 gene:gene-LATHSAT_LOCUS831 transcript:rna-LATHSAT_LOCUS831 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIFGEEGMSSETLTSPSSSLHAPPLPSLPFDLVVEILSGLPVRTLMQLRCVCKSWKSLISDSEFAKKQLCASMSITSRHHLILSVRSEFLSMACSLSSFFEEGTTTTMQLEYPPEINQTSIVHLVGSCHGILCFKHDRGRVLLWNPSIRKFTKLPLLEEAGHHSSFQFSFGYDNFSDSYKVVAVSCYETYVINDIWAHKYQVNVHTLGTNSWRKMQDLLYNIILDSGIFVSGTINWLAWRDWHPPGVILSLDFEKESYQELLLPDFGGLGIDMLDLGMSKDCLLILSHGDTFTDVWLMKEFGNKESWTKLFRVPTDGGYNIEAWLLYFSEDDQVLVGIYPKFVIYNSRDGTFKDRSNQNINSSWVERDVYLESLISPCF >CAK8541282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104102553:104108354:-1 gene:gene-LATHSAT_LOCUS10213 transcript:rna-LATHSAT_LOCUS10213 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLDIQPEELQFPFELRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNSGVVLPRSTCDVIVTMQAQKEAPSDMQCKDKFLLQSVIASPGTTTKDITPEMFSREAGHNVEECKLRVLYVAPPGPPSPVREGSDEDSSPDSASENGHSNVTEFTAASRNFNGREEPMGATFETTTLVSKVTEERNLAIDQQRRLQQELELLRRQVSRNRGGISIMYVVLVAVIGFILGYFLKRT >CAK8537168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:120602004:120602924:-1 gene:gene-LATHSAT_LOCUS6478 transcript:rna-LATHSAT_LOCUS6478 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGGSKPENPIAACISMLSLRNFSACSDFARRLPLSDSIGRILTIADVLSAADHYSVLRLPRSETVNRDLARQHYAKFAILLDPTSTDKFPFQDEALARVREAWHVLSDPEKRAVYDHDIGTRGVVDTTATFWTACPYCWSLYQFEKRYEGCSLMCQGCVKAFHGVAVKPPVKVGGTTVEGEEQRQYYKCRARVPLKFYEVKSGNETVMGDNSAEFVYVSDDDGDWEKAWGNVDGGVRNDDLEKVVQQVQMQGNEKRKMRIKTVAKKSVANRRIRCAEPDNAKDCKLEFTEGDGDVFVGVRFNG >CAK8564234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660423728:660425374:-1 gene:gene-LATHSAT_LOCUS17557 transcript:rna-LATHSAT_LOCUS17557 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILPLTNAQFASFHSHHSLPSIAKWGWRREQDARMASHRTQSQAFRVLANPNVSSGKDGSKKDVIMVDPVEAKRLAAKQMERIKAKEKLKKTRQIEAINGAWAMIGLTVGLVIEGQTGKSIMTQLQDYFGAIIHLFVK >CAK8563649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622647383:622648807:1 gene:gene-LATHSAT_LOCUS17037 transcript:rna-LATHSAT_LOCUS17037 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISFHFIYASKNNKTSTSCSSPSFTIIILSNSSSCSATSLDPHSLNSIQIHRFSFIDRLAPSGF >CAK8560149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8991417:8991989:1 gene:gene-LATHSAT_LOCUS13854 transcript:rna-LATHSAT_LOCUS13854 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPSPTKLAQIMHKYRNLMCIVLFMLLFGCIIYFGLTLGNNLPMEFAIADASIKQFNLTSNNTLYYNFKVNITARNTNYGHLFANMMMMKAISSYKGNKFAEVDMTPLDPGFMKTIVLKPVVFYGNSFIKLSDQQFIEYDNETRLGIINLDLKLDLESNQYVYCLGLRVPLISNGKLESTFNVTHCTR >CAK8535186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821556038:821556442:1 gene:gene-LATHSAT_LOCUS4660 transcript:rna-LATHSAT_LOCUS4660 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSYAVRLYRCRCDCGKYQAFSVPCSRDIAACAHAPQDAYSHLSDVYKAINVMNVYSKGFAVLPMEEYWPSYQGDIVWHNDGMRRKKKGHLNNKRIITEMDTADKMIRLCSICRQPEYNQKNCLNVGATSAS >CAK8579707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717876966:717879389:-1 gene:gene-LATHSAT_LOCUS31633 transcript:rna-LATHSAT_LOCUS31633 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNTIFAKSFSRYEQKKLGYGAFVGFLLIVLSLCTVFKPYLVPIHDLNLKLSIGADTKMLMVNDTGGSLKITKDILTEILVERTGNSQRRIEGERVVPKNLVNNVRRISPQIARVEDNATRTPINEMISPTKAEVEKLETRKVEQEQQLQCVSEARTEYCQTQGDIRVHGNSSSVYVVSHKTNSLAENVSWIIRPYARKTDAYAMSSVRKWSIKASNQVQQCTKNHSIPAVIFSTAGYTGNHFHEFSDIIIPLFLTCAKFNGEVQLIITDKMPWWIPKHQAFLKKLSKYEIMDIDKDDEVHCFPKVIVGLKRYHKELSINPQKYSYSIKDFRDFLRGSYSLKRVNAIKIRDIDNQIKKPRLLILSRKRSRTFTNTNQIAKMAKGMGFKVIVMEAGQNMWSIAHVVNSCDVLMGVHGAGLTNILFLPENAIFIQVVPYGGMQVDWLATNDFAKPSEDMNIKYLEYKIKLEESTLIQQYPLDHMIIKDPSSIVKQGWEAFRSVYFDKQNVKLDVNRFRPTLQRALELLHQ >CAK8530864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56885331:56886905:1 gene:gene-LATHSAT_LOCUS698 transcript:rna-LATHSAT_LOCUS698-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHNIFTMLHNPNVFTWNTMIRGYAECDNSTPALPFYRKMLVSCVEPDTHTYPFLLKAISKSLNVREGEAIHSVTIRNGFESLIFVRNSLLHIYAACGDTGSAHKVFVSMDQRDLVAWNSVINGFALNGRPNEALTLFREMSLEGVEPDGFTVVSLLSACAELGALELGRRVHVYLLKVGLTQNMHVNNSLLDFYAKCGSIREAQQVFSEMSERNVVSWTSLIVGLAVNGFGEEALELFKEMERQELVPGEITFVGVFYACSHCGMLDEGFNYFRRMKEEYGIMPRIEHYGCMVDLLSRAGLVKRAYEYIQNMPMQPNAVIWRTLLGACTIHGDLGLGEIARSHLLKLEPKHSGDYVLLSNLYASERRWSDVQTVRRSMIEDGVWKTPGYSLVELGNRVFEFTMGDRSHPRSQDVYALLEKITELLKLEGYVPHTANVLADIEEEEKEQALSYHSEKVAIAFMLLNTAPGTPIRVVKNLRVCADCHMAIKLISKVYDREIVVRDRSRFHHFRGGFCSCKDYW >CAK8530863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56885064:56886905:1 gene:gene-LATHSAT_LOCUS698 transcript:rna-LATHSAT_LOCUS698 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSKFSSFFHNPKNHSPSFKFSSFFSTTPQNPTSHILTKCIALLQYCASSKHKLKQIHAFSIRHNVPLNNPDIGKYLIFTIVSLSAPMSYAHNIFTMLHNPNVFTWNTMIRGYAECDNSTPALPFYRKMLVSCVEPDTHTYPFLLKAISKSLNVREGEAIHSVTIRNGFESLIFVRNSLLHIYAACGDTGSAHKVFVSMDQRDLVAWNSVINGFALNGRPNEALTLFREMSLEGVEPDGFTVVSLLSACAELGALELGRRVHVYLLKVGLTQNMHVNNSLLDFYAKCGSIREAQQVFSEMSERNVVSWTSLIVGLAVNGFGEEALELFKEMERQELVPGEITFVGVFYACSHCGMLDEGFNYFRRMKEEYGIMPRIEHYGCMVDLLSRAGLVKRAYEYIQNMPMQPNAVIWRTLLGACTIHGDLGLGEIARSHLLKLEPKHSGDYVLLSNLYASERRWSDVQTVRRSMIEDGVWKTPGYSLVELGNRVFEFTMGDRSHPRSQDVYALLEKITELLKLEGYVPHTANVLADIEEEEKEQALSYHSEKVAIAFMLLNTAPGTPIRVVKNLRVCADCHMAIKLISKVYDREIVVRDRSRFHHFRGGFCSCKDYW >CAK8530521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27406151:27419346:-1 gene:gene-LATHSAT_LOCUS379 transcript:rna-LATHSAT_LOCUS379 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIGAENDDRENEEVLKSNSNSSPNSKDFEEKKDEAEEVTVNDPEQDQGQEKDSMEVEVEEGKEQDSLSQTPATVFQIRLEQSDAYSLHKMNYPEICRYFSAVAWCPKMNAIACAAEACISDPSSILSPPFWIPVHIVIPERPTECAVFNVIADSTHDLIQFIEWSPACCPHALLIANFHGRVTIWTQPSQGPVNFVLDTSCWQCEHEWRQDIAIATKWLSAVSPYRWLSPKSGVPSISKSMFEEKFISQQSQTPARWPNFFCVCSVLSSGSVQLHWSQWPPSQNATSPKWFFTKGQLGCGPRGIVAGDAIITESGILHVAAVPFVNPSTIVVWEVMPWSGSGFLLTPKTSINNGVPPRSQPNWSGFAPLAAYLFSWQDFLLSEEKQGKNQTDQNLGESIRLYCSPVSNFSAYMSPETTAKSEATPAWGSGVSAVTFDPIHGGSVLAVVIVEGQYMSPFDLDEGPSITGWRVQRWESSLQPVVIHPIFEESASSIGGKLPMQAVWQCKVDMSIPPTNDFENCQTPPIGDNVNAFDLPSDIRALSRVVYSAHGGEMAIAFFRGGVRIFSSLNFTPLTSYQIDVGAAIAAPAFSATSCCLASVWHDTSKGQTILKIIRVLPPAIPIGRVQANSSTWEREIAERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDEDFHSLPTALHRQQYCHSLDQIKCRLLEGSNSQEVRAVILDMQARLLLDMLGKGIESALNDPSALVPNPWQVSGETLSSIDPEAVAVEPALNPCIQAYVDSVLDLASHFITRLRRYAGFCRTLASHGVTGGTGSNRNKVTGPAQNSTAPATSQGGENGTTSSSGSTQMKSLVQGDIAKISNTSDGVSNPTLNPPISGPSSFMPTSIINRVTFPSSPGVRLIGDCHFLHRLCQLLLFCFFFRRSQLPRYMSGVQRTADNNTQKPQPNASVPGKVEEVAKPVSSVFRSDDSQTGRVQLVHRSRGGEEQSLGRSRFGTGNAGQGYTFEEVKVLFLVVMDLCRRTAGLQHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASIGPHMQNIPRPRGADAAGLLLRELDLNPPAEEWYRQNSFGGPWTDLGDEDDASDAPTLLNPLNSSSLENSDIHHEANGFWPRKRRMSERDAAFGLNTSESLGAYLGIMGSRRDVVTALWKTGLEGVWYKCIRCLRQTSAFASSGSTNLNNRESWWVSRWAYGCPMCGGRWTRVV >CAK8572016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501766945:501769196:-1 gene:gene-LATHSAT_LOCUS24625 transcript:rna-LATHSAT_LOCUS24625 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKEDTIGVTGQNHASIIELIQENNSGDDEIEQVKLPLLVYVSREKKPSRPHNFKAGALNVLYRASAVISNSPYILVLDCDMFCSKPNSARQAMCFHLDPKISPSLAFVQFPQKFHNISINDIYDSQYRSAYKVLWQGMDGLQGPLLSGTGFYLKRQSLYGNYKTKDTDFELQEYVGKSNEFIKSLKQNSISNLITVGNALPIEETLHLASCNYENGTKWGKEVGFLYGTVCEDVHTGIMLNCNGWNSVLCDPPKPQFLGNSTTNLNDLLIQGLRWSSGLLENGLVNICPLINCPLRRMSLLHRFCLANLTWFPLYCLPLWCFALVPQICLLSGTPLYPKVSEPFFFVFAFIYVSAHAKFLFEVVSTGGTFGKFIIEQRMWMMRTTTCHLYGLLDCIMKKFGLREASFMPTNKVKDEEQTMLYQSDKYDFRTSNMFLVPMVSLMIINIFCFVSGIYRVLCLGELDKMFIQLFLMGYIIFVNYPIIEGAVIRKDKGRISTSVFVTSNVLATIITCALYPLLRKV >CAK8570864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:136264793:136264981:1 gene:gene-LATHSAT_LOCUS23576 transcript:rna-LATHSAT_LOCUS23576 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMVEVWVGELAKLKERVVSNRNKSKEGFEEEKEERRETQKNTSTISESTICLLMDRFAPC >CAK8569504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3557464:3558898:1 gene:gene-LATHSAT_LOCUS22349 transcript:rna-LATHSAT_LOCUS22349 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPFITLFCFFLSPLPFLVSSTLLFQGFNWESSNKGGWYNSLKNTIPDLANAGITHVWLPPPSQSVGPQGYLPGRLYDLDASKYGTKDELKSLIAALNDKGIKSVADIVINHRTAERKDDRGIYCIFEGGTPDSKLDWGPSFICKGDTTYSDGKGNDDTGEDYQAAPDIDHLNPQVQRELSEWMNWLKTEIGFSGWRFDFVKGYAPSITKIYVEQTKPDFAVGEYWNSLANGNDGKINYNQDAARGELANWVERAGGSVVNAFDFTTKGILQAAVQGELWRLKDSNGKPPGLIGIKPENGVTFIDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGTPSIFYDHFIDWGLKDEITKLAAIRQKNGINMKSSVNILAADGDLYVAKIDNKVIVKIGPKMDLGNLVPSNFHVVASGKDYAVWE >CAK8575802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:328811082:328817981:1 gene:gene-LATHSAT_LOCUS28037 transcript:rna-LATHSAT_LOCUS28037 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTKSMKPLHETGRDSEESSTPSNNLWVGNLASDVSDSDLMNLFAQYGALDSVTSYSARSYAFVFFKRVEDAKAAKNALQGFHFRGNSLKIEFARPAKPCKQLWVGGISQAVTKEDLEADFRKFGKIEEYKFLRDKNTACVEFFNLDDAIQAMKIMNGKRIGGENIRVDFLRSNSTKKDQFLDYGQFQGKSLGHTDFYSGQKRSLNSQPQIGRKGDGQPSNILWIGYPPNVQIDEQMLHNAMILFGEIERIRSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITINYSNGDQVHGKDFPGFYPGSNGPRPDLYLNEHPYGPLQMDLFGHNRPMAPNNFPGHLPTGGIVGPNMPMRPFGPQGGPGSVVSGPDFQDSSLTNKINWKRPSPPAPGLLSSPATGSRLPARSVSGAWDVLDVNNISRDSKRSRLDGTLPNDDAPYADIHGRGILGPGSTRITGGVHASVQPGDMDHIWRGLIAKGGSPVCHARCIPIGKGIGTELPEVVDCSARTGLDVLAKHYADAIDFEIVFFLPDSEDDFSAYTEFLRYLGAKNRAGVAKFGNTTLFLVPPSDFLTKVLKVNGPERLYGVVLKFAPIPSGVPMHHSSQMPAPSPNQYMQQFPPSQAEYDMNPAKDDQVLPIEYNRMLHEDSKLPAKQVYPLIGGPSVQSAAPDYAPNTASGSRAGVALTPELIATLASFLPTNAQSSATDGAKSAVGSSTVRPPFPPVAPNDGNQSQLWKQDHQIADQSLHPSQQFRNMYNNHNAHFQPYPPASVPGHTSQVLSGSSHTQDNALSQQQQGVVSSRHMSNLVTPCQSGQVPASPHFSHQYQAEVPPNSQKGFGMVPGSDASVLYNSQAFQQPNNNPQPFQQPNNSIALSSQASSTNPQHQPVMQYTADQMNSNPPIQQHPAFGVSQGTPDLEADKNQRYQSTLQFAANLLLQIQQQQQTQGEHGPGIQQ >CAK8540949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34786615:34793133:1 gene:gene-LATHSAT_LOCUS9903 transcript:rna-LATHSAT_LOCUS9903 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGKRRRFLPNRQSILSDPIPTPIHHQPEQQQQQPTTPGDGGNPQNDAVQCPVCSLTLPSDNHRINSHLDSCLSQPKQSGIKRKLTQRTLLQLNFTRPDNLTHADPDPHEPDYTLRKKLQFTESPNADNDSAIVITESLPLSSESNSVNIIHHDIIDNVDDECNIFEVKLETLIVGRRYADREEVCAGDTVSLSRDSQNVKDPNAIKVVSVDSGCSKFLGYLPRELAQYLSPLIDNYDIVFQGHVTSVPEHSRDSVPIQIMCHRTPDGESKYEDEIFKCLWKNAQHVVESAIKNPSSVKYQRNFCLMIQEALKNNIHLLTENEKTYIGSFTSLSNDSQRLFIRIYTRKGPWFRMSSISYPEILDTQKAVKELAEKEYICTVEDGNQLCESDMNDILDVLTVSELREILCFLLKKGCGHGMKKQDLISSILSTYTGLWPRLSNMILDKTGFCIKISSKAESLIWRIERLFFLNGEQDLSSFLLVDIGKIKYPTYTCTILEPIFLNCTDLHAFEEAIEVAQVMDEALDANKTDIVLRCIKIAESRVSTVLPIQYSTSKSLSTFHHLFTASWVYSKVVTLGISFLEQERRYSDAIDLLKLLQNVFTCDVKRGYWALRLSVDLEHLGYIDESLQVAENGLLDPWVRAGSRMALQRRVLRLGKPPRRWKVPSFSKSVLRKIPEVYVQGRPLNSELGAKNRFYNEEGMQCGVEELALDYYATEGWQGVHTESGIWLTIFGLLMWDVIYADVPNVFHTRYQNAPLDLGTDSFYTSRASIIESHLQQIRDGMAEEFLIKSWETHNGTCCRGVNWERHSLDELRAAVTCVRGSCLASFCKLLCEDYRSWSSGMPDLLLWRFCGEYSGEAKLVEVKGPKDRLSEQQRAWLLMLTDCGFAVEVCKVKPL >CAK8561298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:140867873:140869115:1 gene:gene-LATHSAT_LOCUS14905 transcript:rna-LATHSAT_LOCUS14905 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFPYATRWSSLGMNYDNCPHFSITQYRNLIDHLGQDDFIWRPYLGLEAIHEVNQHDSAVGSAKVSIINFTTMEMHNNDRVQLQFGMLQDIPCPPKCIPDKYHTGKVSNQWEYSPWTKYAKHECREWRHRSHFVLSDTVFPHERKQTIQYMNWYREVSIGFISHPRYLVDPRQQATSSRPQQPTQPQPHFQPPTQPHFQPPIQPHFQPPTQPPFTQPQLYEHTPNQFTPFTETYSQSEYQKYPPQYQTYSMNTTNQINTQFQTPNQPLPAQTFTLMSPYDQSGYRPDIASSSQPPQNNYEGMGNPFDLDDFTGMESTSWAEMMQFLEDDTVDPTPP >CAK8568894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652196509:652197733:-1 gene:gene-LATHSAT_LOCUS21803 transcript:rna-LATHSAT_LOCUS21803 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSVRATVQVHRERRIRKVKKKGARLRDCETSNAVPTHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFPLSMKPTPYDSSEAGIKILEISSIKKSIGDENSCSSPYEPESELRQFPEEVGEANGDLVMVKEQISVGVRDVKVSDETELAINEQKKIEGNLVRYNSDDVSSEVDNYMDALTTMESDNNNSFSGNEDEHVELQAHFSDSQSTGKSFMSDVNIIEHCG >CAK8570452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47686550:47688073:1 gene:gene-LATHSAT_LOCUS23199 transcript:rna-LATHSAT_LOCUS23199 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDLVPVLTYSDSVDQEKVLMDDMMEKGLARFGWLEFMQCIVVSFASFFDAQQSFLTIYTEEYPTWHCTNSTLCNSDSNICNIPKSSWSWDGPSHKTVISQWGLECASSFITGLPQSSFFIGCFLGLFLLTTLADTSLGRKNTLVLSCLSTSIASVLIVFSTNIWIYSAFKFLIGFLRSSIGTCVLVLLTEKVSTQWRFTVGISEYLCFVLGYMSLSGIAYVNRFNSWRSVYIWTSVPAICYSVLAYIFVTESPRWLLTQGRYQEAMAMLTGVSSLENGNDLTVGLIEAPVNKQKASIFQLYSSIAELFGRGWALKRTVAVMVLGIGIGMMYFGMPLAVGNLGMDIYLAVVFSGLMEIPACVASYFLENRRRKPSILVFSVASGVCCIMCVVVGSGIQELRVGLAMTSFFSACTAFNVFLIYILELFPTSVRNTTTSLVRQAIVVGNVFTPFLISAGRKNDIFSYGVFGLVILLSCFTLLGLPETRGLAFCDTMDQQEKKDNMLE >CAK8537809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:437044765:437047833:1 gene:gene-LATHSAT_LOCUS7063 transcript:rna-LATHSAT_LOCUS7063 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGFVLENLSSLLRNEFSTIFGIKSKVEKLSTTLDLIKAVLEDAEQKQVTERSIKVWLQQLRDVVYVLDDILDECSIESRRLRGFINPKNLIFRCDIGKRLKEITRRLDDLADSKNKFLLREGITVRESSIEVPEWRQTSSFIAEPKVYGREDDKEKIVEFLLMQARDSSLSIYPIVGLGGVGKTTLAQLVYNDVRVTGNFNTKIWVCVSEAFSVKRILCSIIESITSEKCDSLHLDAIQIKVQDLLRGRRYFLVLDDVWNKTQELESGLSQEKWNKLKSVLLCGSRGSSILVSTRDEDVAEIVRTCQTYHLSVLREKECWLLFKQYAFGHDREECAELVAIGKEIVKKCGGLPLAAQALGGLMRSRRGVKEWLEVKESRIWDLTDENSILPVLRLSYFHLTPTLKQCFSYCAIFPKDRIIMKEELIHLWLANGFISSRKNLEVEDVGSMFWNELCQKSFFQVIKIDEYSGDISFKIHDLVHDLAESIMGQECVILENENMANLSKSTHHISFHDASHLSVNKSALKKVESLRTMFDLNDYGYRYTGYFPTNCSLRVLCISSYQVSSLGSLIHLRYLELFGCNVKSIPDSIYNLHKLEILKLKNISRLRCLPKRLACLQNLRHLVIEDCDALSQLFPDVGKLTCLRTLSVYIVSLERGQSLTELRDLNLGGTLNIKGLKDVGSLSHAQDANLIAKKELQEVCMSWSVNDEITDTPTVSSEQVLEGLQPHTNLKRLKIHYYNGFCFASWIKTLSSLVSLELKGCNKCARLSPIGKLPSLRKLELRDMNDVKFVDDNDDDDDEFHDSMDVKIFPSLEELILFNLPGLERLCKLERRELFPCLSILKISFCSQLRLSCLPCVKHLSVDGCSNVLLSSISSLYGLTTLSLGGGRGITSFPEGMFRNLTCLQTLSICYFQNLKELPNEPFSLPLDSLEISFCDELESLPEKIWEGLQSLRTLTIAFCKELRCLPEGIRHLTSLEVLNIRGCPTLEKRCKEETGKDWNKIAHIPNINIRHPNPP >CAK8535690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874569894:874570904:1 gene:gene-LATHSAT_LOCUS5122 transcript:rna-LATHSAT_LOCUS5122 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVIGNPLRLKSVNHISLICKSVDESINFYQNILGFIPIRRPGSFDFNGAWLFGYGIGIHLLQTENPENIPKKDKINPKDNHISFQCESMGTVQKCLEEMKIEFACALVEENGVKVNQLFFHDPDGFMIEICNCDNLPVIPLAGDLVRSCSRVDIIQPQMHVVNHI >CAK8537188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126055977:126067071:1 gene:gene-LATHSAT_LOCUS6497 transcript:rna-LATHSAT_LOCUS6497 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFQVANESSPLSLTPNNFILPESKRPSLSEVQSLDSIPIIDLSYCHDINHSSLDVIHKISKACEEFGFFQVVNHGVPEQVCNKMMKAITDLFELPSEERDSLYSTDHTKSVRLFNYYLKVEGGEKVKCWSECFTHSCYPIDDIISLLPEKIRTQYIEAFSEFVEEIDSLGSRLLGLISLGLGLEEDCLLKKLGGRSGQRAQANFYPPCPDPELTMGLTEHTDLNALTILLQSEVSGLQVNKDGKWISVPFIPNAFVINLADQIQVLSNGRYKSVLHRAVTNNLNQRLSMAMFFGPNPNMIIGPIQELIDEEHPPKYRNYHFSEFLKEFLNQEGTRTMLKEAFEMPRDNKYLGVVFATVS >CAK8537189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126055977:126064616:1 gene:gene-LATHSAT_LOCUS6497 transcript:rna-LATHSAT_LOCUS6497-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFQVANESSPLSLTPNNFILPESKRPSLSEVQSLDSIPIIDLSYCHDINHSSLDVIHKISKACEEFGFFQVVNHGVPEQVCNKMMKAITDLFELPSEERDSLYSTDHTKSVRLFNYYLKVEGGEKVKCWSECFTHSCYPIDDIISLLPEKIRTQYIEAFSEFVEEIDSLGSRLLGLISLGLGLEEDCLLKKLGGRSGQRAQANFYPPCPDPELTMGLTEHTDLNALTILLQSEVSGLQVNKDGKWISVPFIPNAFVINLADQIQVLSNGRYKSVLHRAVTNNLNQRLSMAMFFGPNPNMIIGPIQELIDEEHPPKYRNYHFSEFLKEFLNQEGTRTMLKEAFEMPRDNK >CAK8578436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629360160:629361035:-1 gene:gene-LATHSAT_LOCUS30448 transcript:rna-LATHSAT_LOCUS30448 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVSCGSNSSTEKQFQSEFTNAKFKEIQVEFRSKMNCSASLNNVEGCLTMYHVLEEILVGDLRKEQVLKVVLNKGNDDFKCECSLFEFRGIVCRHVLSVCSQERIISLLEKYVLSRWKKNIKRKHSYIKTSYGVMELKPQMDMFDKLCKHFYEVAEVAAESEENIEDLHETLRLFSSNMSTKDSALIEENGDEDSNAINSNRICSPKHVKQKGRPPSKRKTSVAETITKRSRKRTKKMITLSLLRSATLEWWEINLEVMFA >CAK8573750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634780449:634783900:1 gene:gene-LATHSAT_LOCUS26158 transcript:rna-LATHSAT_LOCUS26158 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHEQDPSEFDLEYQQIENSDSFDLQYLLLEHSDRFDLQLKHSDTVDLQPQQQQVQHQNLLDSPQQQQQQQQFLDLSTNNASSSSDQGINQNTNSRNKVVSYDGDQDLNQEQKNMRTNRHSHEQIREMEKVFKHCPHPDREERKKMSRVLGLEPIKIKFWFQNKRNQDKVMQKRHQNNLLRQENARLRTENEMRNEALKNTKCLHCGGPVSIGKMCFNQNQLRIENSILKAEIEMLSGALRNNRNIVESDSDITSSMIEELYYDGNDPFGSLSTLYFDKQKIIELAMVSMEELTRLTLAGAPLWISSNEYEIINEVEYIRVFPNVIGSKLAGFISESSRESMTVPMNHINLIETLMNVNQWSAMFSDIVSKAMTIEVLSLGVSGNYDGLVQVMSADFQVPSPLVRARENYFIRYCKKYQEGTWVVVDVSLDHLRPSSSTTPRSQRRPSGCLIQALPYGYSKVTWVEHVEVDYTTLLLNRFYDSLITSNLAFGAKRWVASLGRQCERLAYSMATNLPARDYYVLNSFQGRRILLNLAERMKLCFSSVVGSSIASCWNILTSDSDDVRVMSKTNTEPGSPLGVILNGATSLWLPVLPRKLFDFLGNQNSRNEWNILSIGSITKEMAQIRHGHDLGNCVSLFHANSQNSMQNNNMFILQDNSIDRACSHVIYAPIDIKSMEVVFNGGDPNIIALFPSGFVILPDGVQLNNERPLVNSIGCGGCLLTISFQILVGLNPELRLENGWVEAVVNLVKNTAERIKVAMT >CAK8563094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573037835:573039097:-1 gene:gene-LATHSAT_LOCUS16538 transcript:rna-LATHSAT_LOCUS16538 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASKVGDYAFKAFTATLGVATIYLTATFSANVYKGLAWHNAQSKLAKEDVDE >CAK8575693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:255771336:255782145:1 gene:gene-LATHSAT_LOCUS27934 transcript:rna-LATHSAT_LOCUS27934 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHSLPNLFLLLSFSILFLSSLHVQAKVTFKYCDKKEDYPVKVTGIEILPNPVVSGNPANFKISAISGKALYGGDVVIGVSYVGVPVHTETIDLCQEVSCPVANGNFVISHTQTLPSITPPGPYALKMTLKDDKGDLLTCIRFNFKIVLGSLVSEM >CAK8570849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:128604396:128605775:1 gene:gene-LATHSAT_LOCUS23563 transcript:rna-LATHSAT_LOCUS23563 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRKPSPTQPQKPPSCATKSQSLSKTKEPHITTINLSIKSTVFASFFIASCFLFLHFHHPTTTTTVKTTATCNGSPPFYIYNLPPRFNLNLLKNCENLNIYMNMCPHVINNGLGQPLSKPSWYATHQFLAEMIFHARLENHVCRTWDPNQAVLFYIPFYGGLYSSSVFRQQNHTLRDSLVVDLIDHIKQQQWFNRYNGKDHFISLGRTAWDFMRTKTGHDFGANILLNLPPVKNMSVLTVERQPWKGKNQNGIPYPSYFHPKTKKEMLTWQNKVRLNDRPFLFSFIGGKRKGLGKAKIRDELVKQCNESTRCELVECGVANSKCHQPMEVLGVMMKSRFCLQAPGDSFTRRSTFDSVVAGCIPVFFSPHTAYTQYAWYFPEEKDTYSVYIEDGSVGKKRNLIEEVLMEISDEEVEKKREVVIGLIPRISYSHPNVSGVGFFDAVDVALRGLAKVVHEI >CAK8544449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685359600:685360265:-1 gene:gene-LATHSAT_LOCUS13125 transcript:rna-LATHSAT_LOCUS13125 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLNDVSRLLHLPVRGVFWSPQDISEALAVEWVVDYLRVSRRVAHQQVCDCRGSYYKLEWLYDRFVEHRASSRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASVFSCKQLGGYPTLLQCWIHEYFPTLGKKKERTGYQLIIWVSLE >CAK8578447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629743626:629744761:1 gene:gene-LATHSAT_LOCUS30459 transcript:rna-LATHSAT_LOCUS30459 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTHNEFHVFMLIGVMVTFMVASCNGSFFQDFDLTWGDNRAKIFNGGQLLSLSLDKVSGSGFKSKNEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNTTGDPYILHTNIFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNTPIRVFKNVESMGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATQFLSSKSSSTSNSDSEWQINELDAYGRRRLRWVQKYFMIYNYCNDLKRFPQGVPLECSH >CAK8577986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600914068:600914460:1 gene:gene-LATHSAT_LOCUS30040 transcript:rna-LATHSAT_LOCUS30040 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKFFIIALLFVVTMSSISIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPAFPQASLPPLPVPTNIPSLPKLTMPPLPTFPTTIPTLNIPPLPALTSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8573776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636188292:636191170:1 gene:gene-LATHSAT_LOCUS26182 transcript:rna-LATHSAT_LOCUS26182 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSKTNKRCICISSFHPFFLFILVNFITSTARNDDTFTPSCSLGYETTPNSVFQRNLKTLLSYLSSNATANREFYNATVIDKSNSSNTVYGLFMCNGDVPAHLCGQCVTNATSYNLSSYQGTDCSFSKEVTIMNDDCMVRYSNNSFFSNVDLSILSTSCSPVKVSNPAIFEHSVSEALNRVADEAANSLIGIKKYATKEVTTTEFQTFYFQARCTPYLSPQDCRKCLNTTITDLVHSCRLENNDIRTGSSDTFSCYIRNDVYPFYRPSNATTPQELIPASDTFDSKYSQQPSYLSHNCSSKETMNYDFQSHLTTLFSSLSSNAIRYSFFNKTVDTAHGLFMCRGDLSLSLTLCQLCVQDATKRISSECPSSKKAVIWYDKCLLRYSYHSLISDIDTTAPKFHQFNMANTSHLNMLQSFTNWKLADILSKVPNLQTGGSTIRNYETRSEELNERQTIYTLAQCTPDLSDSDCYSCLRSIFQNEIPWNRLASPEGRILYPSCYMMFGLSRFYNSGDEPKDFGQVNPSPTTKEKEKRSSRTIIAVVPTILSILVLAFSCYLLRKRVRKSSYKTLILKKNFGHESTTLEGLQFEMAVIKTATNNFSHENKIGEGGFGQVYKGILSDGRHIAVKRLSSSSNQGIVEFKNEVLLIAKLQHRNLVALIGFCLEDQEQILIYEYVPNGSLDSFLFDTQQQCLSWDARYKIIRGAALGILYLHKYSRLKVIHRDLKPSNILLDKNMNPKLSDFGMARMVQINQDRGNTNRIAGTW >CAK8573753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634903871:634905306:-1 gene:gene-LATHSAT_LOCUS26161 transcript:rna-LATHSAT_LOCUS26161 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDLESLSEATSGAIGSLVSTTVLYPLDTCKTKYQAEVQARHQRKYRRISDVLWEAVSKRQVLSLYQGLGTKNVQSFISSFIYFYGYSYFKKLYLKQTGNNNIGTTANLIAATMSGVCTILITQPLDTASSRMQTSEFGKSKGLWQTLSEGTWSEAFDGLAISILLTTNPSIQYTAFDQLKHRLLKGKGSKRTDAKSSPESLSAFNAFLLGAVSKCAATCLTYPAIRCKVTIQAADSYDNKSTETEKKAQKTISGAFYTIWKREGLLGFFNGLQAQILKTVLSSALLLMVKEKITKSTWILMLMIGRYFSANPPKLKAS >CAK8569589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6160774:6161901:-1 gene:gene-LATHSAT_LOCUS22426 transcript:rna-LATHSAT_LOCUS22426 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKFPKSKPKLFFFFLTLSLLFLQSQSLPSTTTKHNASKTRKTIPKDQFKEAPQFYNSPNCAIITESESDPNTDTEHNTDAYICSEEAVHVAMTLDTTYIRGSMAAILSVLQHSSCPQNIIFHFVCSSNSSLLHSTISNSFPYLKFQVYNFDDAIVSGLISTSIRSALDCPLNYARSYLANLIPLCVRKIVYLDSDLILVDDIAKLASTPLAEKNSVLAAPEYCNANFTFYFTPTFWSNPSLSLTFANRKPCYFNTGVMVIDLEKWRDGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGEIVAVDHKWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFSLDS >CAK8539324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509447006:509448612:-1 gene:gene-LATHSAT_LOCUS8433 transcript:rna-LATHSAT_LOCUS8433 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGGESDHNRKLVEVTKDKNGIDRVVLRNPRGASATVSLHGGQVLSWKTDRGEELLFSSTKAIFSPPKPVRAGIPICFPQFGNSGTLEQHGFARKKIWVIDQNPPPLSADSNEKVCIDLLLKSSEDDTKTWPHSFEFRLKVCLTVDGHLLLISRIRNINGKPFSFSFAFHTYLSISDISEVRLEGLETLDYLDNLHQKERFTDQGTALTFESEVDRVYLDSCNMVAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKAIVDFGDEEYKHMLCVDGAAVENPITLKPGEEWTGRLELSVVPST >CAK8531784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151217305:151218760:-1 gene:gene-LATHSAT_LOCUS1550 transcript:rna-LATHSAT_LOCUS1550 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPKLRCVTIDVTGTLMAYKGELGDYYCMAAKASGRPCPDYKRMHEGFKYAYKDMAKKYPCFGFAAKMPNIVWWKTCVRDSFVRAGYEYDEETFEKIFKRIYASFGSSAPYTVFPDSKPFLRWLRGKGLKVGVVSNAEYRYRDVILPALGLNQGTEWDFGVFSGLEGVEKPDPRIYEIALERAGNIAPEETLHIGDSMRKDYEPAKSIGMHALLLDRFKTPEAEEWRKSGAVVLPDLIATQEWLSSENSTC >CAK8569364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696450147:696451097:1 gene:gene-LATHSAT_LOCUS22223 transcript:rna-LATHSAT_LOCUS22223 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTTTLILAVLLFNFTSTSTSSDSNHQCGPTKNLQPNKITVLINGFSETRIPTLQSIAATYSLSPLVSSVLVLWGNPSTPPCVIDQLALNLSFSSDSISLHRNPSSSLNERFLPRLNDISTDAVLVCDDDVEVDGASFEFAFRVWSANRERVVGVFARSHDVDMNRKEWVYTVHPDRYSMVLTKFMLLKSEYLYRYSCEGGPRMAEMRKIVDSVRNCEDILMNFVVADSSNVGPILVGAKRVRDYGDARNDEGKVSLGLSGRKGEHRKNRGWCITEFHRALGRMPLRYSYGKVVDSIGEQGLCRKGAKLVFCDQ >CAK8539381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510698985:510705945:-1 gene:gene-LATHSAT_LOCUS8482 transcript:rna-LATHSAT_LOCUS8482 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSKRFAVSEPNQANTNETTFRNKRIMEGSLFDVHRAEPSQQLMVATPPLDMKRAESSQQHVRALNTQFASWVQTQLKNHPDELWEDGVRDYLDHATGIMEKFSDVVNWLKTNASNNQQQQQPSPIPLNGVGLKANATKAENSTSGAGAGASFAGATKVENSTSGAGAGASFAGKMFLPEVTNKENKIFGEKTESPPVNTTTSFGSSWSPGIFSNNQNIFAFGNQSSAPSNSNPSNSNHNESGDVDAENDEEQPSSPSVKKSEEKGVTLVHEVKCKLYVKSSDPTDKDVWKDKGMGHLSIKCKEGVAKATKESKPTIIVRNEVGKILLNALLYPGIKTSAQKNSLVAIFHTSGNDDGGDNDSVVARTFLIRMKTEDDRNKLASTIQEYAPVS >CAK8567178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492757478:492758790:1 gene:gene-LATHSAT_LOCUS20251 transcript:rna-LATHSAT_LOCUS20251 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSQEADNPTKSTKARGADLRVHFKNTRETAFAIRKLPLTKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVQKEPETQLATNKKKSQALRSGASS >CAK8564499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678469998:678472184:1 gene:gene-LATHSAT_LOCUS17800 transcript:rna-LATHSAT_LOCUS17800 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIDDSNVPIPKPVFLTKAQREQQALERRHIQVTGHRRHQEELLLSTNNRPSDPKPSDSDRRDRDSDRRDRDRDRDRDSYRDRERDRDRDRDRDRDRERDRDRDRDRERERDRDRDNRDRERRNRDKEREEESKARERARLEKLAEREKEKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNYLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMRDQIRKKDGIEEKPEEADAQRRKEEAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDYNISYKGSKIPRPMRSWVESKLSQELLKAVEKAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEDETVKFAQYMGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIMMKESEKFYKLQRLLDELNDKTAIVFVNTKKSADFLAKNLDKEGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYDLKQMLIQSNSAVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >CAK8542303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499706987:499710235:1 gene:gene-LATHSAT_LOCUS11150 transcript:rna-LATHSAT_LOCUS11150 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLRESQIQEWRGYYINYKFMKKKVKRYTEQIEVGAQNHHNVLRDFSLLLDNQIEKIVLFLLEQQGVLAGRLTRIGEDHQNVVQQPDCSKISEILEAYREVGRDLLKLLYFVEMNATGLRKILKKFDKRFGYKFADYYVKTRANHPYSQLRQVLRHVGIGAVVGVLSHNLADIHDLLKCQGSYISIYDQPSYAHQDPILVCIKAATDKLSNSTSFLQFLGRHAFIMQQEELSPSPSEENTIDERYHFMSLLLNLASTFLYMVNTYIVVPTADNYSLSLGAAASVCGVVIGSMAVAQVFSSVYFSAWSNRSYLKPLIFSTIVLLIGNVMYALAYDLNSVAVLLMGRLFCGLGSARAVNRRYISDCVPVKLRLQASAAFVSASALGMACGPAIACLLQTEFRIYKLTMNQDTLPGWVMAVAWLVYLLWLCTCFKEPENLWAYEPEIGEQTLHIAVENSPTQPLLTNSEAKEQDVDVEEENDDDEEAYDKTESQKPVTSIALAYKLLTPSVKVQLFVYFMLKYAMEILLAESSLVTEYYFVWSTTKVAAFLACLGLTVLPVNIIIGSYISNIFEERQVLLTSEIMVCIGLLLSFETFIPYSVTQYVGSALITFVSTEVLEGVNLSLLSKMMSSRLSRGTFNGGLLSTEAGTLARVIADGTITIVGYFSESKLLNATLLPALLICISSIAATCCTYNSLY >CAK8561463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:230364725:230365360:1 gene:gene-LATHSAT_LOCUS15052 transcript:rna-LATHSAT_LOCUS15052 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKANRLGAEYDKGMEAFFQYAREKLPNNNMFYCPCVNCLNIEPPLLIDEIRNHLVCEGICQSYTNWIWHGEPPNNTSSVSEREVVDVDMDNRLEDMINAIGPESFQHAHMYDTLCSDNEESLYSGCTNFTRLYAVLRLFNLKGRNGWTDKSFTELLELLCEMLPEGNRLPNRNYEAKKILCLMGMEYKKYTHVLMIAYYTEMSMKS >CAK8544982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715906763:715917014:1 gene:gene-LATHSAT_LOCUS13619 transcript:rna-LATHSAT_LOCUS13619 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVRVSSPSYWLDACEDIPCDDFIDFHVSSIVSDPNQQQQSNQNQDFFGGIDRILDSIKNGVGLPIPGTPPPPPPLLTDDDANLSNVNQQGIITDGTVVVDQEEERFSKRARVNGYNNERRINHQTNGKEKFERCFNGRKRPRTQDFKKRDNSFSVRRDVKGYWERDKSSGSNDLVFRSGTWEPDRVRQDKMVIDIKQETSDDKNTEQVIKEKVPEEKARQYQLDVLEQAKSRNTIAFLETGAGKTLIAVLLIKSIHETLNQQNKKMLAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFDNKHVLVMTAQILLNILRHSIIKMEGINLLILDECHHAVKKHPYSLVMSEFYHTTPREKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEIVVEYDKAASLCYLHEQIKQMEAEVEEAAKSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCSYKVAQSFLAALQNDERANYQLDVKFQESYLSKVVSLLKCQLSEGAVSDKSDGVDDSGNGAAHNGSDEQEEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQNTDDFRAIIFVERVVSALVLPKVFAELPSLSFVKCASLIGHNNSQEMRTHQMHDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLRNARNSEETLRREAIERTDLSHLKDTSRLISVDTHPETIYQVKSTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMEKHENSGAPTEYSCRLQLPCNAPFENLEGPICSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGGEKEKAEQNDEGDALPGTARHREFYPEGVADILKGEWILSGKDACNDSKLLHLYLYTIKCENVGQSKDPFLTQVSDFAVIFGTELDAEVLSMSMDLFIARTVTTKASLVFRESIDITESQLASLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPMVGDKSLDPMNQIDWHLVETIIGADAWKNPLQKARPDVYLGTNERTLGGDRREYGFGKLRHGLAFGQKSHPTYGIRGAVAQFDVVKASGLVPNRDSVQTQKHINMTSKGKLMMADTCTTADDLVGRIVTAAHSGKRFYVDSIRYEMSAENSFPRKEGYLGPLEYSSYADYYKQKYGVDLVYKQQPLIRGRGVSYCKNLLSPRFEHSEAHEGESEETHDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKNMINYPVQASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALSKGLQSYILADRFAPSRWAAPGVLPVFDEDTKDEESSLFDQEKSICKIEMMDITDGFEDEMEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMKWIGIHIEIDPDEMECTTKPSNVPDSILRSVDFDALEGALNLKFKDKGLLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFSYTDLPPGRLTDLRAAAVNNENFARVTVKHNLHLHLRHGSSALEKQIKEFVKEVQEELLKPGFNSFGLGDCKAPKVLGDILESIAGAIFLDSGKNTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYRASRAGNLATVEVFIDGVQVGTAQNPQKKMAQKLAARNALAALKEKEVGKIQEKNDENGTKNGNQTFTRQTLNDICLRRNWPMPFYRCVSEGGPAHAKRFTFAVRVNTTDKGWTGECVGEPMPSVKKAKDSAAVLLLELINRLYS >CAK8574572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2579277:2581331:1 gene:gene-LATHSAT_LOCUS26903 transcript:rna-LATHSAT_LOCUS26903 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVSSLSLRFPPNHKPNIFNGFNFKPSSQTPTTTHQNQSARNVPKFTITKKKFAEKDAFPCSLPLHNKNPVAIYKDIKNFARQNKLKEALAILDYVDQRGIPVNATTFSSLIAACIRTNSLSIGKLVHTHIRINGLEKNTFLLTKLVQMYTSCGSSEDALKLFDELPCQSSVYPWNALLRGTVVSGGRKRQYIDVLKTYSKMRELGVELNIYSFSSVIKSFAAAPAFYQGLKTHALLIKNGLVDSDILRTCLIDLYFKCGKVKIARRVFEEIPESERDVVVWGTMLSGFSHNRLHREVLEYVKWMVDEGIYPNSVIMTIVIPVIGEICKRRLGQEVHAYVIKTKSYSEKVPIQSALIDMYCKCGDLGSARSVFYSSPERNVVCWTALMSGYASVGRLQQALRSIIWMQQEGFRPDVVTVGTALPVCAQLRALEEGKQIHAYALKHWFLPNVSISSSLMVMYSMCGVVEYSGRVFEGMEQRNVISWTAMINSYIKNGYPYEAVGVIRSMQLSRNRPDSVAMSRMLSVCGELKLLKLGKEIHGQILRRDFASVHFVSAELINMYGSFGDVDKANLVFSAVPVKGSMTWTALIRAYGYNEFYQGAIDLFHKMRSNGFSPNHFTFGVILSICERAGFVNGANKIFNLMPKYEIKASKEHFDVMVRLLTRYGQLDKAQRFAQMSSFL >CAK8564502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678513390:678515404:1 gene:gene-LATHSAT_LOCUS17803 transcript:rna-LATHSAT_LOCUS17803 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTKSDTFYTEQSTRTLTSDIPSDLEIKINDVTYLLHKDTLLPKCGLLRRLCDESSDSESVNMELHDIPGGKEAFEICAKFCYGISIEISARNFVPAYSAAKFLVMNDSVAKGNFEGKLEVFFNSFVLESWKDPIATLQTTVTLPQWSENLGIVRKCIDSIVEKILVPSHQVNWSFTYSGPGYTKKQHHPVPKDWWTEDVSDLDIDLFRCLIMSIQSTYVHPPQLIGEALHVYACRWLPDIRKLSKSSDSSASQTEESKVKNRKILETIVSMIPVDKGSVLVGFLFRLLGISVHLNASLLVKTELIRRASLQFEEATLSDLVYPSKTSSDIDIVLAVLETFLKHWKRMSPSAVDNNYFCRSIRNVAKLIDSYLQVVAMDENIQVSKFVSLAETVPCIARVDHNDLYKAIDIYLKVHSDMSKAEKKRLCGILDCQKLTAETCHQAVKNELLPLRTVVQLLYFEQEKLPMANTRERMNGILALEMEKKMRITREIEIEERDETS >CAK8572887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569975258:569975788:-1 gene:gene-LATHSAT_LOCUS25395 transcript:rna-LATHSAT_LOCUS25395 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDLNPENFLFANKKETAALEAINFCLSVFFQPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVA >CAK8574381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679159674:679162971:1 gene:gene-LATHSAT_LOCUS26734 transcript:rna-LATHSAT_LOCUS26734 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSQALLARAVYLNSDRTSSLSSTSSLSFPSLKPKPATYSTGSRRRTTSTTTIRATAVETLDKTAEVSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPSWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKDEDLKQFRQWGSKTPGHPENFETYGIEVTTGPLGQGIANGVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVDQRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGSKEVDATRQNLGWPYEPFHVPEDVKKHWSRHTPEGAALEAEWNAKFAEYEKKYKEEATELKAIINRELPADWEKALPTYTPETPADATRNLSQANLNALSKVLPGLIGGSADLASSNMTLLKSFGDFQKATPEERNVRFGVREHAMGAICNGIALHSPGFIPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNVLMLRPADGNETAGSYRVAVLNPKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAAAADELRKEGKTVRVVSFVSWELFDDQSDEYKESVLPASVTARVSIEAGSTFGWHKIVGSKGKTIGIDRFGASAPAGKIYKEFGITKEAVISAAKEVS >CAK8543506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:612971058:612971829:-1 gene:gene-LATHSAT_LOCUS12260 transcript:rna-LATHSAT_LOCUS12260 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLREDQIAEIYETFCLIDKNNDGFITVDELTATIRTLDGNPRKEEIQNMISEVDIDGKGYMDFQEFLNIMSTKIKENMSDELKETFKVFDRDQDGYISARELRFVMMNLGEKITDEEAKQMIREADLDGDGKLNFEEFAKMMMMLE >CAK8564956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18769632:18770907:-1 gene:gene-LATHSAT_LOCUS18205 transcript:rna-LATHSAT_LOCUS18205 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIDDRLSDLPDSILHLILSFLNIKQAFQSSVLSTRWKNLPNHLPSLRLTAQHFKSMESFTKSVSQILSHRDNSTVLHTLEFTRPEDFVEFHIIKSVVKFAVTHHVKRLQICITSDIQLFRRCLFSSQTLTSLQLWVHEVIQDWKMLFPNSLNLPSLTDLSLAGFCFGPGAGNDGCADCAEPFSAFNKLNTLTILSCRVLKARNLSISSTSLVNLTMSGYYLNIDDQFQLHLCAPSLRNFAFTGTPNQKLCLSHPCSLQHLYIDSTDISANFTVEGSVTMVEEDSTLLLSWLQELPSIKSLTVSSNTLQVLSFVPDLFKVKFSSMCNLESLKVEMKPLTRELDMKLGIAASLKEDEDTKFNLRQGPFIPDGVVDFLLQNSPSTKVNIIPINARGF >CAK8566252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397872615:397873040:1 gene:gene-LATHSAT_LOCUS19400 transcript:rna-LATHSAT_LOCUS19400 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDAGFEHVLRYFDEDGDGKVSPAELRQKLMLMGEDLLLKEAEMAIEAVDSDGDGYLSLEDLISLMEEGGEEQKLRDLKEAFEMYNDSDKCGFITPKSLKRMLKKMGDSKSIDECKAMIKSFDLNGDGVLSFHEFTIMMQ >CAK8537894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444825939:444827264:-1 gene:gene-LATHSAT_LOCUS7144 transcript:rna-LATHSAT_LOCUS7144 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKIAFQKSPFLLFYLPDECWEHVFKFLIICSEGETENKHKLYFKSLSLVSKRFLSITNRIVFSITISDHSSRLLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKCLSIFNIELNDANGITSQYIDSLVTLKGLNILKFCYSHISDDLLYSITREVLPLKNFVLKNCIGYSYDGIYCLLSKCPEIQHLDLHQADFLRDHHIHQLSLFLGSLLSIKLSKCLKLTKLALSFLIRNCHLLSEITMEDIKMETAQNSDSLKDFDVNPQLKSLCLGHGAFILNKNIILFASIFPNLQHLDLSYCNSICEKGICQVLRTCSKVRHLNLGYFYKVRRLKMNCVVPHMEVLDLSGTKVDDRTLYQISKSCCGLLQLLMPNCRYVTIKGVMRVIKNCTHLKEIDLRGCHGVTTNGVISTLLSRPTLKERKLFLRNGCLFFQ >CAK8535193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823303994:823304356:1 gene:gene-LATHSAT_LOCUS4667 transcript:rna-LATHSAT_LOCUS4667 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKGMPICLSDFAAGDEIRVLPQCGYGFHVACIDTWLGSHSSCPSCRQILRVTRCQKCGRFPAIGAEATAVVVNEQELKSAEDNNVGANSNNNCSGGVSSSSNNSGNHSHNVNSGFLP >CAK8544806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706623536:706624699:-1 gene:gene-LATHSAT_LOCUS13456 transcript:rna-LATHSAT_LOCUS13456 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSYSSPYQLLEINIISAQDLSPVSKSIKAYAVAWLNPERKLATQIDQTGHTDPTWNEKFVFRVDDEFLASENSVIMIEIYSSAWLRDVLVGTVAVLVNNLIPPGTRSGTRKPKLRFVALQIRRPSGRPQGILNIGVSLLDSTMRSMPLYSELSTSGVGLWDLAELNPNKKKITNNYDNNAITDSKMLTLQRCQSERNDSTVNDYSYYGAGKNGYNGYEESEVSTVVQKKGGNEESLCSDVGPSPSVVAAAIAKGLYPLPARMKTVERERPVVDEWSESNYSEGMNTKIERWRNELTPMYNKEECDELDEVINKQKMLVQQTPRRIGKTPGRPPLSKKGKFSCFGTAFGCEFSISCGGGNRKKKGDKARLTSISELTYGDSSISG >CAK8573359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605488425:605488685:-1 gene:gene-LATHSAT_LOCUS25818 transcript:rna-LATHSAT_LOCUS25818 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSYPEYSCSYTEFGFRERSNSYNFNGPSDKGSSFSASNDSEIKRKKRIKSYNVLAVEGKLKSSVRNSFKWIKNKFSDVRYGV >CAK8578154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610234826:610235626:1 gene:gene-LATHSAT_LOCUS30192 transcript:rna-LATHSAT_LOCUS30192 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMALSSPTLTGKPVKLNPSSQELGGARFTMRKSATTKKVVSSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >CAK8571317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:374808988:374809374:1 gene:gene-LATHSAT_LOCUS23989 transcript:rna-LATHSAT_LOCUS23989 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPNFDLFDVYFRRANLDRDGRISGAKVVSFFQTSGLPKNVLAQIWAFANQNQSGFLGRAEFYNALKLLTVAQSKQELTPEMHFEAALYGPAVSKTLAPQINFSATATPTPAQKSLYQYRKMNSM >CAK8543254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593251616:593252221:-1 gene:gene-LATHSAT_LOCUS12024 transcript:rna-LATHSAT_LOCUS12024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGALPGLTRKELPVRRVGSEESLSSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPEARQLVNHRHVLVNGRIVDIPSYRCKPKDIITAKDEQKSRTIIQNSLKSAPRKRVPTHLTLHPDQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >CAK8563008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566206218:566207830:1 gene:gene-LATHSAT_LOCUS16458 transcript:rna-LATHSAT_LOCUS16458 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVRDHRMIRRMTSLPRSLMSFVGIGRRRRNQNVNFSMQQPLGSPQPQDFLATSEEWTFLDSFEQQYGSKHPFFYACRFVEAMKLAEQDQKFVFVYLHSPDHPFANVFCKETLCSELVIQFLDVNFVCWGALADRGEGLQMASTLRPSSFPCCAVITPAHSGSIMVLQQLEGPLSPAELVEILQTTLEEQGLAFGGAKAKRDEKIRADRRLREEQDAAYLKALQIDKEKEKLRSLPSKERVQKSVETQNTRSYGKLNINPINVSKSHNQNKTGNEPTGEKKDKGIAGRGKDTQVAQILIRFPNGERREHSFLCADKIQTIFSYVDSLGLSGLRNYRLVSNFPKRVYGVDQMRMTLKDAGLYPKASLFLEPL >CAK8578404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626675988:626679489:-1 gene:gene-LATHSAT_LOCUS30417 transcript:rna-LATHSAT_LOCUS30417 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSTKARGADLRVHFKNTRETAFSIRKMPLIKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLASSKKRA >CAK8574390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679619092:679619481:1 gene:gene-LATHSAT_LOCUS26743 transcript:rna-LATHSAT_LOCUS26743 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLKLCELLLASYGASYAVRFLQILTDGNCNSLTVCAPSCSAHDLGQGNAYGAALCDICLHPPKHEDHF >CAK8566904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471628136:471636651:-1 gene:gene-LATHSAT_LOCUS20000 transcript:rna-LATHSAT_LOCUS20000 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLFQHCETVRRSIHIVNLDPAAENFDYPVAMDVRELISLDDVMEELGLGPNGGLVYCMEHLEDNLNDWLDEELDNYLDDEYLVFDCPGQIELYSHVPVFRNFVEHLKRRNFNVCVVYLLDSQFMVDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDLEDFLDAEPQFLLSALNQRMAPKYAKLNKALIDLVSSYSMVSFIPLDLRKDKSIEYVLGQIDNCIQYGEDADVKVRDFDQEDDD >CAK8533756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657351270:657355795:-1 gene:gene-LATHSAT_LOCUS3356 transcript:rna-LATHSAT_LOCUS3356 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETVMATKPRVRRFVRFGIFLISHSNYVSFIGFVSGIVALLLLPILAKNTYISENALMPGSANNMLSTQHVSHATEFVNDLTDSVGESHKIVAQYMSALDAEVTYHKFHPQLNQFHPLHFFTSPDSGIVSKNISCSSLGINIAGIIRAPRGDGKESIVLVTPYNPRKVGPGEALSLGIAYSVFSLLSRVTWLAKDVVWLVADSQYGEYSAVAAWLREYQAPAFREADIDNSETCNAINELGQNPSSDRKLYGGFRRAGTMAAALVIKVAEQGNRHEDILNIYPEASNGQMPNLDLINIVNYLGVHKRGLRVKVNKMFSLFGSRWLNTLGGILESLGQIARSLNPQWKFGISATEYVEGAATLASSLYLQGLGVPTGPHGAFRDYQVDAITLEISPKISPNKMISHSRNEFILRGGRLIEGVVRSINNLLEKFHQSFFLYLLTSSSKFVSVGVYMIPFALLIAPLPIVAGSLYAEATKSIPSSEVVVRHSSWKWLNSARKVLAIHLWGAVVSLLPYFLYQIPNSTPTTNITVWGLLSALSLLILYFVLGSPIFESATSQPEKDEWASLKSVTIATAFIGLSLMSVINFATAEIGALLIVPICLIARPLKLDIRSRSFRTLSRAACNLALSLIVFPPVAYFLIKGAFEDSYGVNVGDYWNWVESLWTWNSATYLYVGIVHLPCWALCIHILFHPC >CAK8538651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490650353:490650727:-1 gene:gene-LATHSAT_LOCUS7829 transcript:rna-LATHSAT_LOCUS7829 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEDEIARMQEKYGVSTKEK >CAK8562082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439082672:439083460:-1 gene:gene-LATHSAT_LOCUS15609 transcript:rna-LATHSAT_LOCUS15609 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRGFKVVDLYVEHKVEEISIEDVIFEEDNGEGANDEVNVEGANNEVNVEGANDEVNVEGANDEVNVEGANDEVNVEGANDEVNVEGANDEEVSVDGVDEEVNVNVDDVDDESETDPYYSMGSEDDEEEDEDLEDEIDVMNLGVDASINWKTVLPNAEKPSKLDDNSDNGSDSDVLQTPPDSDAEGDTTKFPIFREPTKLEVRMMFKDKQQIKDAIKEYAMENKKNLVFKKNDKKRMVVKCVDGCPFHIRFSMRTTLATC >CAK8574895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14138030:14138533:-1 gene:gene-LATHSAT_LOCUS27194 transcript:rna-LATHSAT_LOCUS27194 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSTASASTKKVNLKSSDGEIFEIEEAVALESQTIKYMIEDGCADDTGIPLPNVTSKVLAKVIEYCKKHVEVAAGSDERPADENTVNTWDAEFVKVDQDTLFDLILAANFLDIKSLLDLTCKTVASMIDGKTPEEIRRTFNIKNDYTKEEEEEVRRENQWAFD >CAK8539288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508487043:508495917:-1 gene:gene-LATHSAT_LOCUS8398 transcript:rna-LATHSAT_LOCUS8398 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLTHTTSPNLQLRFHSLLPPSFKSKPFLSLHSTFPPKRTVPKLRAQSENGALLQAPEEKLDASNYGRQYFPLAAVIGQDAIKTALLLGATDPRIGGIAISGRRGTAKTIMARGMHAILPPIEVVQGSIANADPSCPEEWEDGLYKRVEYDSDGNVKTHIIKSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCRPLLIATYNPDEGSVREHLLDRIAINLSADLPMSFENRVEAVGIATEFQDNCSQVFKMVDEDTDNAKTQIILAREYLKDVTISKEQLKYLVIEALRGGVQGHRAELYAARVAKCLAALEGREKVYVDDLKKAVELVILPRSIVTDTPPEQQNQPPPPPPPPQNQESNEEQNEEEEQEEEDEEDDNDEENEQQQDQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDTENRRKVYVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLADSYTSRDQVSIIPFRGDSAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSNDPEAAAASDAPKPTSQELKDEIIEVAAKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVVSLATREALAALKSS >CAK8535870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887552531:887552875:1 gene:gene-LATHSAT_LOCUS5289 transcript:rna-LATHSAT_LOCUS5289 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKLNIGSRMSKDSNLTSCQGSNAEETHPTSSHGQGINVEETHTTVSHAQGINAEETHPNSSHAQGSNAEETRPNPNHVEVGIHESEDSYSIPFDLEAEENLQINKVLNYI >CAK8531115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82044071:82045342:-1 gene:gene-LATHSAT_LOCUS927 transcript:rna-LATHSAT_LOCUS927 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQISSLLSSSISAAASSSERRIIRSSFNLPKLPKTRPSRKLFEELNGQLTHTIIQQNDHHYSPNTSLSPSSKTKTITQLYAILESVSDRIEMHQNIAEQRNNWNTLLLNSINMITLTASAMAGIAAISGSGAPLLALKLSSALLFSASTGMLIIMNKIQPSQLAEEQRNATRLFKELKSHIETTIALGKPTEKYIKDAIKKVLALDRAYPLPLLGVMIEKFPQKYEPATWWPAKNSPRRSNKQNNEIKQKGWKKNGWNEGLESEVKDVLQVMKKKDMEDYERLGNLVLKVNKTLAIAGPLLTGIAAVGSTFVGQGSWTSVVPAMAGALATAVNSLEHGGQVGMVSELYRNCGGFFQMMENSIEENIFEEDEEQRENGELFEIKLALKLGRSLSQLRDLGRKSAYSRVEGTTVDEFASKLF >CAK8532210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221276272:221276550:1 gene:gene-LATHSAT_LOCUS1941 transcript:rna-LATHSAT_LOCUS1941 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTTTLEYNRQFLALEGKMDPIISRTSKLFIHPSEVQPDGKLKPLTQAEEVLNWQSENMVSLNEILQNLDKKVDKIAEKIDETDEDLKVLS >CAK8535038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806189026:806190326:-1 gene:gene-LATHSAT_LOCUS4521 transcript:rna-LATHSAT_LOCUS4521 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGHSQKYSSRDIASHTTLKPRKDGQDTQDELKRRNLRDELDEREMRHFSSKNKFYNDDKDHGKGNHLFLEGTKRDFEDHIVPCSVDADDSDVEVNSDDERFENFAYSSCKQD >CAK8575925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:364476545:364477915:-1 gene:gene-LATHSAT_LOCUS28150 transcript:rna-LATHSAT_LOCUS28150 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASIARNVVGIIGNVISFGLFFSPAPTFYKIIKNKDVEEFKPDPYIATVLNCAFWVFYGMPFVHPNSLLVVTINGVGLVFEFVYLTIFYVYANNKGRKKLILYLFIEAIFFAAIVLITMLTLHGTKNRSLVVGIICDIFNIMMYVSPLTVMAKVIKTKSVKYMPFWLSLANFLNGLCWTTYALIHPFDIYVLISNGVGVISGFVQLLLYAIFWCKGENNNDDADNASNPAGV >CAK8570377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41409178:41409882:-1 gene:gene-LATHSAT_LOCUS23128 transcript:rna-LATHSAT_LOCUS23128-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGLLKLRIKRGIDLAIRDTNSSDPYVVVHMGDQKLKTRVVKNNCNPEWNEELTLSIRDIKTPIRLTVFDKDTFSIDDKMGDADVDLKPYAQAVQMKLATLPDGCAIKRVQANRTNCLAEESSCVWKSGKIIQEMILRLRNVESGELVVEIEWVDIPGSTGLLGGKG >CAK8570376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41409178:41409885:-1 gene:gene-LATHSAT_LOCUS23128 transcript:rna-LATHSAT_LOCUS23128 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNILGLLKLRIKRGIDLAIRDTNSSDPYVVVHMGDQKLKTRVVKNNCNPEWNEELTLSIRDIKTPIRLTVFDKDTFSIDDKMGDADVDLKPYAQAVQMKLATLPDGCAIKRVQANRTNCLAEESSCVWKSGKIIQEMILRLRNVESGELVVEIEWVDIPGSTGLLGGKG >CAK8564395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672010642:672012630:1 gene:gene-LATHSAT_LOCUS17705 transcript:rna-LATHSAT_LOCUS17705 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFCSLPFPSLHTPLPNPRILNFKNSNFHSQIPSSMSSWSCHKCTFLNPPSQISECQMCSSSPPPPSLSPSPSSSSISPKWSCKSCTLFNSYKNSTCHLCGTRSHALSVSTFHDLTEIEHDDSVGSVFLPLRSCKRKALDSSEDSPQPLVSKRSSNPIDLTEKDDSGKGFSSLKILSYNVWFREDLELHKRMNAIGDLVQLHSPDFICFQEVTPDIYDIFKRSSWWNVYHCSVSSEKAHSRPYFCMLLSKLPVKSFSTKSFSNSIMGRELCIAEVEDVSGKSLVLATSHLESPSPAPPKWDQMFTKERVEQANEALNILKRYPNVVFGGDMNWDEKKDGQYPLQDGWVDAWSELRPKETGWTYDTKSNQMLSGNRTLQKRLDRFVCHLCDLEISSIDMIGMDEIPGVSHIKEKKVRNEIKQLVLPVLPSDHYGLLLTISSK >CAK8538403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481483035:481483573:1 gene:gene-LATHSAT_LOCUS7612 transcript:rna-LATHSAT_LOCUS7612 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRAILILGLLAMVLISSEVSARELTEEVVEKSNDLNDAKILGYRHHRGHHNSHKHRRGHRGGHGVDSDNGN >CAK8544923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712508564:712510297:-1 gene:gene-LATHSAT_LOCUS13565 transcript:rna-LATHSAT_LOCUS13565 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNRILSWDEQDKSMLQTVLGARAFHFLITNCLSNETILIAVGATATSDSLQNKLSDLVENSSTFNWNYAIFWQLSQSKSGDYVLGWGDGCCREPNKEEQQESEALTLGRNEEQERVEQNMRKRVLQKLHTAFGGSDEDNYAFGLDRVTDTEMFFLASMYFSFPKGYGGPGKCFDSGQNLWLKSVSDYCVRSFLAKSAGIQTVVLLPTDFGVLELGSVRILPQSFELLNNVKSLFSLSNSITQSSSSLYPSPSVINEGRDDESGISNGVHVPPPKVALNLNNGRSHFREKLAIRKMDSSINFPSSRNGVSASTSWGKNQGQGEVFGSIHGVRKGFSNYQPQRQQVQMQMQIDFSGTKSRVNSVRPVIGESGLVAADVDADQANDERRPRKRGRKPASGRDEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMESDKETFGSSTSRDGSSNTRSENPRQVPPPHDVDIQASQDEVIVKVSCPIDTHPISKVIETFKDAQIGVAESKLTAANDTIYHTFVIKSEESEQLTKDKLIAAFSGESIPLQTQTTLSI >CAK8560018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5015994:5017269:-1 gene:gene-LATHSAT_LOCUS13738 transcript:rna-LATHSAT_LOCUS13738 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIDTTTKPNLNLNERKAFDETKAGVKGLVDAGINKIPNIFHHQPDNYQKSNNTNHAIPVIDLADIIHNKQDSSIQQGIVHKIKEACETWGFFQIVNHGIPLSVLEELEDRVKRFHEQDIEVKKSLYTRDQDRSFIYNSNFDIYSSPALNWRDTFMCYLAPDTPNPQDFPLVCRDILLEYGKHMMNLGTLLFELLSKALGLNPNHLKDMDCAEGLIALCHYYPPCPQPELTVGTTKHSDNDFLTVLLQDHIGGLQVLHQDKWIDVKPVPGALIVNVGDFLQLITNDRFKSVEHRVVANHVGPRISVACFFSTGFRSSSKLYGPMKELLSEDNPPKYREITVAEYVAYFNAKGLDGTSALTHYRI >CAK8533697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651031557:651034533:-1 gene:gene-LATHSAT_LOCUS3301 transcript:rna-LATHSAT_LOCUS3301 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIVTDPIRTLISRVVKHSIHPDFHDAVSKMSIIDAFLFFIVHSIDKLGIWHRLPVFFGLLYLAIRRHLHQEYNLLNVGTTPVGIRSNPSDFPYRTADGRYNDPFNDGAGTQGSFFGRNILPVDQKNKLLKPDPMVVVTKLLERKTYKDTGKQFNVIAASWIQFMIHDWIDHMEDTKQVELRAPSEVASQCPLKSFKFFKTKEIPTGFYDIKTGHANIRTPWWDGSVIYGSNQEVLNKVRTFKDGKLKISKEGHLLHNEDGTAISGDIRNSWAGVTTLQTLFVQEHNAVCDALKKEYPDLKDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRANWYGLLGKQFKDKFGHVGNSILSGFVGMKRSENHGVPYSLTEEFATVYRMHPLLPDSLHLRDISASPGQNKSPPLIKEIPMNDLIGLQGEKTLLEIGNAKQLVSMGHQACGALELWNYPSWLRNLVPHNIDGTDRSDHVDLAALEVYRDRERNVARYNQFRRGLLLIPISKWEDLTDDEEAIKVLEEVYGDDVEELDVLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNFNEETYTKKGLEWVNTTESLKDVIDRHHPEMTQKWLNSSSAFSVWDSPPTKHNHIPIYFRVPN >CAK8573909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646359337:646359678:1 gene:gene-LATHSAT_LOCUS26304 transcript:rna-LATHSAT_LOCUS26304 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLKSSVTFLTLILLVYFFISLAPNVSEARPLFSLSQGSEGAIGEVNGVFRTLKGDGPSPGVGHRFIGGMKDSGPSSGGVGHRIIGGVEDSGPSSGGVGHKYITNNKNNHS >CAK8579002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666635125:666639690:-1 gene:gene-LATHSAT_LOCUS30979 transcript:rna-LATHSAT_LOCUS30979 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQHFEPIFAEPKLEWKTHTCSPLRPFLFHAYAPDSSHLVIHVTDFHSDTWEAHLSVSLLEDIRDIIGIGGSWSEFIDYFVTSLKSEDLKLVLEADSSSDGVSSAKLIAQKSKGMPLITIPLIKLVDSNASEAVSNLSLGLFKAFKSIKCSLVDVQERSVQLTNMMATKKERNETIQLDGRQKFKKISDSEKAGVSNNGAQNSPGKQTAPDTGAAKVKNRAMPAYRRAKVRGAVLRDSDPES >CAK8531861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166835780:166836697:1 gene:gene-LATHSAT_LOCUS1624 transcript:rna-LATHSAT_LOCUS1624 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8567836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549721032:549726272:1 gene:gene-LATHSAT_LOCUS20856 transcript:rna-LATHSAT_LOCUS20856 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQADNVSCEDPEDPAKSPPTSPNSSTRRACCFVLQSWVSKKFMTGIVVLFPVAVTFFITWWFVQFVDGFFSPLYSSFGIEIFGLGFITSLAFVFVIGVFVSSWMGATVFWIGEWLIKQMPLVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQQENEDEELCSVFVPTNHLYIGDIILVNSKDVIRPNLSIREGIEIIVSGGMTMPQLISPIERVARPNERISLNRIG >CAK8541663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:340759016:340759659:1 gene:gene-LATHSAT_LOCUS10567 transcript:rna-LATHSAT_LOCUS10567 gene_biotype:protein_coding transcript_biotype:protein_coding MNSETKIEPKLLNITSSISESQTRRLLHSTATTAAASPFPTLGVLSLSSSPCFFLRQRMVEGCRILGQFCLVVDRWLTNI >CAK8544684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699349447:699350700:-1 gene:gene-LATHSAT_LOCUS13341 transcript:rna-LATHSAT_LOCUS13341 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSILHFLLISLFCSFLLVSSKSLSHPPKPNLLVIPVYQHPSTGLHWTNIHKRTPITHVPVLIDLNGKHLWVNCDQHYSSSTYQAPFCHSTQCSRANAHTCHNNTCVLISTNPITQQTATGKLAQDVLAIYATSGSKPGPMVTVPQFLFSCAPSSLAQNGLPNNLQGVAGLGHSPISLQNQIFSNFGVPHQFSTCLSRNPKSYGGILFGDAPNNYNKNPNIFKHLVYTPLTITQQGEYRIQVTSIRINKHSAIPVSESISSNNPEGGIGGTLISTTIPYTILHHTIYQALIQTVGAQIPKDAQVNPVAPFGLCYNPKGISFPLLVEFVLDNKPDVSWKIDVENLWAVQPNGVFCLGFVNGGLKPKAVISIGSHQLEENVLVFDLVKSRLGFNNFLRFFDKKGINCSDLFDFTNAP >CAK8579628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713624875:713625093:1 gene:gene-LATHSAT_LOCUS31559 transcript:rna-LATHSAT_LOCUS31559 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEKMMKRSDEDVYVGLAVHSQVIKIKQEIEKIKHPSLEADLYMTRLKDVKRLRSRSPLGLSERTILLGHS >CAK8570313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35768106:35769597:1 gene:gene-LATHSAT_LOCUS23073 transcript:rna-LATHSAT_LOCUS23073 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTWKNKKKKPLPNFTDLPFEHDTDQPNSHTTEHDSDGTTLPSSNSDQSTQLSMQFQAQGDKLAMNTVFPMFFLQEGKYREALGKWEAALTLAPDVPALHEQKAQVLLEIGEPWTALKAATRATELKPSWAEAWVTLGRAQLNYGEPDNAIESFDRALALKPDFEEAQDDRKAASRLVKKRKQLHSSGLSATQNRYTVGDKNESS >CAK8570312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35768106:35769597:1 gene:gene-LATHSAT_LOCUS23073 transcript:rna-LATHSAT_LOCUS23073-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTWKNKKKKPLPNFTDLPFEHDTDQPNSHTTEHDSDGTTLPSSNSDQSTQLSMQFQAQGDKLAMEGKYREALGKWEAALTLAPDVPALHEQKAQVLLEIGEPWTALKAATRATELKPSWAEAWVTLGRAQLNYGEPDNAIESFDRALALKPDFEEAQDDRKAASRLVKKRKQLHSSGLSATQNRYTVGDKNESS >CAK8533220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592133935:592134906:-1 gene:gene-LATHSAT_LOCUS2865 transcript:rna-LATHSAT_LOCUS2865 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTLFSSILYFFLLATLVTSSPFLSYDIFDSGASTSRSLLQAKNACTLDFESKNFTILTSQCKGPQYPAKICCDALKQFACPFADNINDLSTDCAEVMFSYINLYGKYPPGLFANECKEGNLGLECSDVKNTTTSSSVLVAAPHSMKMVSIIGFVGFVFHLF >CAK8540309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551195407:551197765:1 gene:gene-LATHSAT_LOCUS9324 transcript:rna-LATHSAT_LOCUS9324 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSKIATTMFSISLFAPSSIPTSTVVKLETFSLKNGGFVRIRSVNALSKVPRNPKLALCCSVSASGDANASVQTDVPFPFDYSELLEQAGVAVELAMKDNKKLMEIEFPTAGLTSVPGDGEGGIEMTGSMQLIREFCDRFISSEKTTRTRIFFPEANEVDFARQSVFSGASFKLDYLTKPSFFEDFGFVEKVKMSDRVKEEDELFIAAYPYFNVNEMLVVEELYREAVLNTERKLIIFNGELDRIRSGYYPPFFYPKLAELTKSFLPAMETVYYIHNFKGRNGGVLFRCYPGPWKILRRVGSSKYVCLHQQDTMPSLKEVALEILPTN >CAK8566021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368352743:368355475:1 gene:gene-LATHSAT_LOCUS19189 transcript:rna-LATHSAT_LOCUS19189 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNKDNLMHDGTESQFGGSFICHTVNRINSRGYWFGENPLAFSVPLFMIQVFIIFISTRFSYLILKPFGQPSFVSQILGGVTLGPSILGHYSAFTNNFFPVKGRNVLDTLAFFGFMIFVFLLGVKIDPTIIFRSGKRTFAIGILCFFVPYTLSGLVAVCINHFASLDHDISKGLPTVVEIQCITAFPVISCFLTELHILNSEIGRLASSSSLVCDVCFSFVMTLKFAAKLTSSKSLGVTAASLFSSALLIFFIFFVVHPAALYAINHTPEGKPVQEIYITGTLITLILCGFIGEVIGLDAIVVSFMVGLAIPDGPPLGAALVDKLECFVSVVLLPLLFATVGMRTDVFAIQKMKNLGIVELIICIAFFGKLLGALLPLLFYRMPFRDAISLSLIMNCKGTVELALLMNLKLKNVLDDELFAILVLTLMLVTGIVSPVVKALYDPSRRFLAYKRRTILHHSSEEEFRILACIHKEDNVLAVLNLLAASNPTDKSRIDLVVLQLVKLVGRAASVLVSHKPRDKPGDKIFIPFTKFEDAYRGKVTLHCYRGISPYATMHNDVCYLALEKRITFIIIPFHKQWIIGGMAESSFAFKQLNKNVLEKAPCSVGVLIDRGNQKKFWCGYMNESTYLVAVLFFGGADDREALAYAKRMLDQPNVKLTLFHFSSAENDVVGGTDRSKRLDTQILSDFRLSGFRNDRVSYKEEQVINGRDVLSVIEYMESYYDLVMVGRRHEDSQLMSELKKWKHGELGTVGEILASLNIGAKTSILVVQQQTKFWGSRDPEESTHLRRVNI >CAK8531303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99455964:99456371:1 gene:gene-LATHSAT_LOCUS1106 transcript:rna-LATHSAT_LOCUS1106 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFNPSHIAKIFMDYMNDDMDEELLRLFMEEETSSSRKTRRQRRNIEKNHEEGHDRLFKDYFSETLAYTNEQFRRRYRIHKHVFLRIVEALGQHDEYFLMMVDATGRTSLSPLQKCTHVICMLAYGTSTDSVDD >CAK8530472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23432953:23433393:-1 gene:gene-LATHSAT_LOCUS334 transcript:rna-LATHSAT_LOCUS334 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSSSRRKSRKAHFTAPSSLRRVLMSAPLSADLRAKYNVRSMPVRKDDEVQVVRGTFKGREGKVTQVYRRKWVIHIERITREKVNGSTVNVGVNPSKVVITKLRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDIMQNID >CAK8579729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719323839:719327397:-1 gene:gene-LATHSAT_LOCUS31653 transcript:rna-LATHSAT_LOCUS31653 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTIADGLRRLFQRRSTTTKHHHHHHNDDDDNDCVFVKDLRSQLAIIPTTNNHDHHTPPPPLPTSSLFNIKVPVQSLFPPSMDPNKKGAPETEFFTEYGEASQYQVQEIIGKGSYGVVCSAIDIHTGEKVAIKKIHDVFEHVSDATRILREIKLLRLLRHPDIVEIRHIMLPPSRREFKDVYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGLKFIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSIGCIFAEMLIGRPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKAKRYLNSMRKKHPVPLSQKFPNIDPLALRILERLLAFDPKNRPSAEEALSDPYFHGLSNIDREPSTHPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLDEYLSGGDQTSFMYPSGVDRFKRQFAHLEEHYGNGKGKGDRSSPLQRQHASLPRERVHTSKNENNENNDIEMPTQTGPNLQSPRSLLKSASISASKCIDVKRSKDPEEPIIEVNDDSADELTDNLAALHA >CAK8538797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496599261:496605061:-1 gene:gene-LATHSAT_LOCUS7955 transcript:rna-LATHSAT_LOCUS7955 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKSKQFRKQQRLSEEDEIKQLNSWIQFQKPDSGSNPMSLPPLPKNSPVGHLGDNTFSRYAGVVRFEQLPLSKKTKDALRSSKFVSMTDIQRASLPHALCGRDVLGAAKTGSGKTLAFIIPVLEKLYRERWGPEDGVGSIIISPTRELAGQIFEVLNSVGKYHGFSAGLLIGGRKDVDTEKERVNELNILICTPGRLLQHMDETPNFDCSQMQVLVLDEADRILDSGFKKALNAIISQLPKRRQTMLFSATQTKSVQDLARLSLKDPEYLSVHEESVAATPTLLKQIVMIVPLDQKLDMLWTFIKTHLQFKTIVFLSSCKQVNYVYEAFRKLHPGIPLKCLHGRMKQEKRMAIYSDFCENRSVLFSTDVAARGLDFNKAVDWVVQVDCPENVASYIHRVGRTARYKSDGKSVLFLLPSETQMLEKLEAAKVPVHLVKPKKEKLQPVSSLLASLLVKYPELQQRAQRAFVTYLRSIHLQKDKEIFDILKLPIDEYSASLGLPMTPKIRFINQKLKSKAVSTKSDLAELVDPNQENVLEGSREKLDTIVFKDEEIENDLLQAADTSKEDDTKSADIGELIPATRLLKKKKLKINLHRPLGSRVVFDDEGNTLPPLARIAGTQSGKDSLLIDPEQKAEYYKRMREDLKKADKEDKLVERQRLREKRTKQKMKWKTDNMDEEDNRDNISGSEDETVNKHHKKSKIYFDSDSDEGERKEVPGNQGITLQEQEALALKLLQSMQA >CAK8576184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:419965320:419970826:-1 gene:gene-LATHSAT_LOCUS28391 transcript:rna-LATHSAT_LOCUS28391 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSILHFLSRLRFIAKPQPFPLPSPISTPFHFSLTSKTKQPTRFRFFSMAASEPKESPANNPGLHATIDEATKGYFMQQTMYRIKDPKVSLDFYSRILGMSLLKRLDFPELKFSLYFMGYEDTSEAPTNPVDRTVWTFAQKATIELTHNWGTESDPEFKGHHNGNSDPRGFGHIGITVDDTYKACERFQNLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDRKTIGNVTEAAA >CAK8563966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642606677:642607078:-1 gene:gene-LATHSAT_LOCUS17319 transcript:rna-LATHSAT_LOCUS17319 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKST >CAK8563066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570750824:570756084:1 gene:gene-LATHSAT_LOCUS16512 transcript:rna-LATHSAT_LOCUS16512 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGAPAPKADEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKVIQTLLFVAGINTLVQTLFGSRLPAVIGGSYTFVPTTISIILAGRFNDEPDPIEKFKKIMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAQCVEIGLPELILLVFVSQFVPHVLHSGKHVFDRFSVLFTVAIVWLYAYILTVGGAYNHAKHKTQTTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVVLVESSGAFIAVYRFASATPLPPSILSRGIGWQGVGILLSGLFGTGIGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPSIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFVLGFSIFLGLSIPQYFNEYTAINGFGPVHTKARWFNDMVNVPFQSKAFVAGVVAYFLDNTLHKKDSAIRKDRGKHWWDKYRSFKTDTRSEEFYSLPFNLNKYFPSV >CAK8530692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41185229:41187210:1 gene:gene-LATHSAT_LOCUS537 transcript:rna-LATHSAT_LOCUS537 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYTSNGFLLFTIFTACLCLYFAIQKKKPNENEDILNISSRLEISTSKYTSISGLKLDKLPNQDDVIELFHVWKKEHGRVYNDLEEMSEKFDTFVSNLKYIVENNAKRDSPNSALLGLTNFADLSFTEFKETYMPLNTDAMDIVNDDDVQNVTCSDPPSTLDWRSNGAVTPVKDQGDCGSCWAFATVGAIEGIVAIKTGKLISLSEQELLDCVPDGSCSEGYVDSGFEWVTGNKGIARRTSYRYTASKGVCRASKTKNSANSSIESHQLVESSDRGLLCAVAKQPLVVSIYARSPKYHLYTGGIFKGEDCPLDPWTVTHSMILVGYNSKDNEDYWIVKNSQGTSWGIQGYMWIKRDYDKQYGVCGINRHVYFPVKK >CAK8542017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455615820:455617785:1 gene:gene-LATHSAT_LOCUS10893 transcript:rna-LATHSAT_LOCUS10893 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMHLALRKSNKETKMTIAKAHQIVVIGSTWGIKNTFHSFVAILATLLVITTIYLAQDREGEKVSQKINVSIESLASSKCDLFSGKWVFDNESYPLYKEKQCSFMSDQLACEKFGRKDLSYQNWRWKPHQCDLPRFNATKLLERLRNKRLVFVGDSLNRGQWVSMVCLVDSILPSSLKSMQSIANGSLNIFKVKEYNATIENYWSPLLVESNSDDPVNHRVPERTVRIKAIEKHARYWTNADYIVFNTYLWWRRPLMNVLWGSFGDTNAVYKRVEMLRVYEMAMRTWSDWLEVHIDRNKTNLFFVSMSPTHERAEEWGATKGDNCYKETHMIAKEGYWGKGSDPKMMQVVEKVIDDLKTRGLNVQMLNITQLSEYRKEGHPSIYRKQWEPLTQEQISNPNGYADCIHWCLPGVPDVWNELLYAYIFNQ >CAK8542018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455615868:455617785:1 gene:gene-LATHSAT_LOCUS10893 transcript:rna-LATHSAT_LOCUS10893-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAKAHQIVVIGSTWGIKNTFHSFVAILATLLVITTIYLAQDREGEKVSQKINVSIESLASSKCDLFSGKWVFDNESYPLYKEKQCSFMSDQLACEKFGRKDLSYQNWRWKPHQCDLPRFNATKLLERLRNKRLVFVGDSLNRGQWVSMVCLVDSILPSSLKSMQSIANGSLNIFKVKEYNATIENYWSPLLVESNSDDPVNHRVPERTVRIKAIEKHARYWTNADYIVFNTYLWWRRPLMNVLWGSFGDTNAVYKRVEMLRVYEMAMRTWSDWLEVHIDRNKTNLFFVSMSPTHERAEEWGATKGDNCYKETHMIAKEGYWGKGSDPKMMQVVEKVIDDLKTRGLNVQMLNITQLSEYRKEGHPSIYRKQWEPLTQEQISNPNGYADCIHWCLPGVPDVWNELLYAYIFNQ >CAK8563463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607706908:607708962:-1 gene:gene-LATHSAT_LOCUS16870 transcript:rna-LATHSAT_LOCUS16870 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEELGEARVAIRRAIKRRNFTINPSEKEDFIPRGCVYRNAYAFHQSHMEMLKRFKVWTYKEGEPPLFHSGPMVSIYSIEGHFMVEIDNSSSPFSARNPDEAHAFMLPLSVANMVEYLYNPLTTYSRDQIMRVTIDYTNIIAQRYPYWNRSNGADHLLVSCHDWAPEISKEDSGREIYKNLIRVLCNANTSEEFHPVKDVSMPEMNLQSFKLSAPSPSRNPNNRSILAFFAGGTHGMIREMLQDQWKGKDEEVLVYEYLPKNLNYNNLMGKSKFCLCPSGYEVASPRIVEAINAGCVPVIISDSYQLPFSDVLDWSKFSLQIAPKRIGEIKRILKSVSQEKYLKLQRRVLKVQRHFVLNHPAKPFDVFHMILHSIWLRRLNIRLPL >CAK8563464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607706908:607707924:-1 gene:gene-LATHSAT_LOCUS16870 transcript:rna-LATHSAT_LOCUS16870-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKRFKVWTYKEGEPPLFHSGPMVSIYSIEGHFMVEIDNSSSPFSARNPDEAHAFMLPLSVANMVEYLYNPLTTYSRDQIMRVTIDYTNIIAQRYPYWNRSNGADHLLVSCHDWAPEISKEDSGREIYKNLIRVLCNANTSEEFHPVKDVSMPEMNLQSFKLSAPSPSRNPNNRSILAFFAGGTHGMIREMLQDQWKGKDEEVLVYEYLPKNLNYNNLMGKSKFCLCPSGYEVASPRIVEAINAGCVPVIISDSYQLPFSDVLDWSKFSLQIAPKRIGEIKRILKSVSQEKYLKLQRRVLKVQRHFVLNHPAKPFDVFHMILHSIWLRRLNIRLPL >CAK8561350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:164143050:164148902:1 gene:gene-LATHSAT_LOCUS14951 transcript:rna-LATHSAT_LOCUS14951 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGDSNHIPGRVERLLRDRELRKRGSENNEYLEQDQEKDYVEKLSSSSSSITEIRQQEGDDVVGKYGSTNRQRLLVVANRLPVSAIRKGEDCWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDVVGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFVAYEKANQMFADVVNQHYEEGDIVWCHDYHLMFLPKCLKKYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLHSVLAADLVGFHTYDYARHFVSACTRILGLEGTPYGVEHQGKLTRVAAFPIGIDSERFIRALDLPQVQEHVKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENAYWCDKVVLVQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTTVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVATAIARALNMHPSEREKRHKHNFLHVKSHTAQEWAGTFVSELNDTVIEAQLRTRQVPPRLPTEIAIRRYRHSTNRLLILGFNGTLTEPVEKTGDQIKEMELKVHPELRQPLTALCNDPNTTVVVLSGSGRKVLDDNFKEYDLWLAAENGMFLQPSKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFDFEERETSLVWNYKYADVEFGKLQARDMLQHLWTGPISNSSVEVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKLMTLPIDYVLCIGHFLGKDEDLYSFFEPELPSIGVGLPRSNKVPTEAVKYPVEKKPALKIPASSKNGAKSSSSQNKAASNSDQKKTNNQICSSPRRPAPENRSRNVLDLKKENYFSCAVGRNRTNARYTLASPDNVVEFLMELVDTLPRSC >CAK8532341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248272575:248272871:-1 gene:gene-LATHSAT_LOCUS2059 transcript:rna-LATHSAT_LOCUS2059 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMHEAYEEFIANCWSNPVIGCPMSILNGKLHILKVKLKVCNREVFGNIQNNTKVAKENLDNVQDQLTLDSNNAYLRKQETKVQLDLETLISREEMF >CAK8565081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33794674:33798424:-1 gene:gene-LATHSAT_LOCUS18320 transcript:rna-LATHSAT_LOCUS18320 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQIFTILVSFGLCFASYLEETHFQTNHKLYNGRFMNPKYEQFRVIARTHHPSLAPSRSQKMVNVNDYGAIANDGQIDNKAFEKAWNEACSNGRVLVVPGNSVYHLKPIIFSGPCQHNTAIKIYGTIKAWPKISAYEEDRTLWIKFENVTNLIVNGGGSINGNGKIWWNKSCKVNESLPCKGAPTAVTFSECNNLKLNNLKFKNAQQMHIRVQNCNNVWASNLIIRAPGDSPNTDGFHVTHSQNVFITNSIIGTGDDCISIVSGSKNIRATDIICGPGHGISIGSLGQDNSEAQVSNVEVNRATLKGSTNGVRIKTWQGGSGYAKNIKFLNIVMQNVTNPIIIDQNYCDQKTECQEQDSAVQLSNVVYQNIRGTSASEVAIKLECSKAVPCKGIHLQDVILTPEGNDGTIAECENVRYTNSGKFFPKCQP >CAK8568490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611660813:611661061:-1 gene:gene-LATHSAT_LOCUS21442 transcript:rna-LATHSAT_LOCUS21442 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSAGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFLQWS >CAK8567822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547441895:547447759:-1 gene:gene-LATHSAT_LOCUS20843 transcript:rna-LATHSAT_LOCUS20843 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYFQNPNPFSGVNDIPPPLSSDFINVSDYLVLDDDVFANHDSNWPRSTETPESSDKAGSTNDVTNVINQGFSDEIETTINNNNNNNNMIIKRKSRGINENTVEVSRRVTFRTRSQFEIMDDGYKWRKYGKKFVKNNSNPRNYYKCSGEGCGVKKRVERDREDTSYVLTSYDGVHNHESPCTWSYYTPPAMSSVYSNEWQLQQQASANSSSSNYSTNT >CAK8538082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461744448:461746041:-1 gene:gene-LATHSAT_LOCUS7318 transcript:rna-LATHSAT_LOCUS7318-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPFNFPAKRTTAIFLLTTTAAAAFTVNSDEPSPLAADKIRAQIHGIIRTARAVSTVVSTVVDYEFSLRGLPKHSDQYRKTSSQVHLRSAERFLKLCEANKGFYVKAGQFIASQKVLPREYSSTLSSLQDQVAPLPFKIIEKVLKENLGPDFSEKFLSIDERPIGAASIAQVHHAVLKSGQEVAIKGSGLPVLSCYGLGLNYDDVIDLSPFLQLVEFIISDNDDK >CAK8538081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461739128:461746041:-1 gene:gene-LATHSAT_LOCUS7318 transcript:rna-LATHSAT_LOCUS7318 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPFNFPAKRTTAIFLLTTTAAAAFTVNSDEPSPLAADKIRAQIHGIIRTARAVSTVVSTVVDYEFSLRGLPKHSDQYRKTSSQVHLRSAERFLKLCEANKGFYVKAGQFIASQKVLPREYSSTLSSLQDQVAPLPFKIIEKVLKENLGPDFSEKFLSIDERPIGAASIAQVHHAVLKSGQEVAIKVQYPWIEQQMHFDTRTMYFLSKTIAWLYPQYRFEWLPLTFAKTVSSELDFVQEARNSEKAAKNFRNNKIVKIPHVFWELTTRQVLTMEFYIGHKIDDLDFLSENGVDPEKVAKSLIELFAEMIFVHGYIHGDPHPGNILVSPEGRNGFSLVLLDHAVYRELDEEFRKDFCQLWEALALKDSKKTMWLGERFGAGKYSRYLPIIFTGTTIESKYSSGMSIKEKETMKHELKSLMFEDLSLFMESMPPDFIAILRVDALLRSTIRKMDVSRLIRLLTYTKYAVYGRLHPKFDSELYFAVKAVFLNFISTLKYFHILIKILIGAIDSTRWQQKMKNVHNYLYCKIGSNELWSILVHSVFLLLCMRPAS >CAK8538080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461739128:461746041:-1 gene:gene-LATHSAT_LOCUS7318 transcript:rna-LATHSAT_LOCUS7318-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPFNFPAKRTTAIFLLTTTAAAAFTVNSDEPSPLAADKIRAQIHGIIRTARAVSTVVSTVVDYEFSLRGLPKHSDQYRKTSSQVHLRSAERFLKLCEANKGFYVKAGQFIASQKVLPREYSSTLSSLQDQVAPLPFKIIEKVLKENLGPDFSEKFLSIDERPIGAASIAQVHHAVLKSGQEVAIKVQYPWIEQQMHFDTRTMYFLSKTIAWLYPQYRFEWLPLTFAKTVSSELDFVQEARNSEKAAKNFRNNKIVKIPHVFWELTTRQVLTMEFYIGHKIDDLDFLSENGVDPEKVAKSLIELFAEMIFVHGYIHGDPHPGNILVSPEGRNGFSLVLLDHAVYRELDEEFRKDFCQLWEALALKDSKKTMWLGERFGAGKYSRYLPIIFTGTTIESKYSSGMSIKEKETMKHELKSLMFEDLSLFMESMPPDFIAILRVDALLRSTIRKMDVSRLIRLLTYTKYAVYGRLHPKFDSELCKMSETNVYFAVKAVFLNFISTLKYFHILIKILIGAIDSTRWQQKMKNVHNYLYCKIGSNELWSILVHSVFLLLCMRPAS >CAK8575825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:331749108:331749573:1 gene:gene-LATHSAT_LOCUS28059 transcript:rna-LATHSAT_LOCUS28059 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLYYDGMTITSKMGFPYLFVTFTCNPNCHEIQKILIPLGLEAQDHPNVILRIFKIKFDQGLSDLAKKSMLGKVVEYIYTIEFQKRGLPHAHILIFLHPSNKYQIPKDIDKVIRAEVPDPLKEPKL >CAK8567690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536729365:536729870:-1 gene:gene-LATHSAT_LOCUS20719 transcript:rna-LATHSAT_LOCUS20719 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWAQDPLHVRPIAHAIWDPHFGQPTVEAFTRGGALGPVNIAYSGVYQWCGLVTPTTEMETERFVV >CAK8533393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612317463:612322712:1 gene:gene-LATHSAT_LOCUS3023 transcript:rna-LATHSAT_LOCUS3023-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNESSSSSSSKLGFPSMKKEIDYGRILYPQEYVYHSIPSPTSSASKFVPTMEEEINYRQRRCYYSASLTNRSKFVPTMDEVFEWHEPEPELDPVSKIHDVFLSFRGEDTRATFTSHLYTALQNAGIKVFIDDHDLQRGDHISPSLSLAIQQSQIAIIVFSINYADSRWCMDELVKIMGCHRTLGQLVVPVFYHIDPSEVRRQRGQFGEGFQRLLKKIMNEMEFFQAWKLVISWRAALFEAANIAGFVILNSRNESDDIKRIVNKVSHLLNKTDLFVAHNPVGVESRVQEVIQLLQPDLQTKKVQLLGIWGMGGIGKTTIAKAIYNKIGCQFEGRSFIANIREHNEKSAELVGLQEQLLFDIFKGTTMKIPNIESGMNTLQCKLSKKKVLILLDDVNTLEQLNTFCGSSQWFGPGSIIIITTRDMNLLGGRVDKLYKMTIMNENESIELFCWNAFKQVTPREDFANISKNIVEYSGGLPLALEVLGSNLFNKKLLEWGFVLEKLKRIPNCQVHKKLRISYDDLNDDDVKEIFLDIACFCIGMDRNDVILVLNDCGLSAEIGIRVLVDRSLVTIDDKNMIGMHDLLRDMGREIIREESPRVPEKQSRLWFPKDVIEILSRQTGKKSVMGLALKLSRENAKCFSTKAFQKMKRLYLLQLAEVKLDGDFEHVSRNLRWLLWDGLSHIPTNFFRENLVSIELKNCNAELQWNKTLMMEKLKILNLSHSHHLTQSPDFSNMPNLEKLILKDCPLLSEIEKLEDVEQMESSTKVPFSVIGSKSIGYISLWNYERFSCDVFSSIIWSWVSPTYSLPSQFQTSTIMSSLDSSQELSTFSNYLPRLRSLWVDFKSEDQLALHAKIILDALYVTVSKDLESTATTSQLSSSSQVHVLGSIHCLKSLLVQMGMNCQVTNFLKEKILQNMDVNESDGCFLPGDNYPNWITFFGSETSSVTFQVPQVEERNLNTMMCVVYTSTPDDIASDGHLKSVLVKNYTKSTIQLYKRETLASLEDEEGRRIVLSMEPGNKVEVVFVFEDGFIVKKIAVYLVYDELVGEKLELHHVPDLRGIVCRDDENEATEEDFNLNRKKKSRVK >CAK8533394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612317463:612322712:1 gene:gene-LATHSAT_LOCUS3023 transcript:rna-LATHSAT_LOCUS3023 gene_biotype:protein_coding transcript_biotype:protein_coding MKNESSSSSSSKLGFPSMKKEIDYGRILYPQEYVYHSIPSPTSSASKFVPTMEEEINYRQRRCYYSASLTNRSKFVPTMDEVFEWHEPEPELDPVSKIHDVFLSFRGEDTRATFTSHLYTALQNAGIKVFIDDHDLQRGDHISPSLSLAIQQSQIAIIVFSINYADSRWCMDELVKIMGCHRTLGQLVVPVFYHIDPSEVRRQRGQFGEGFQRLLKKIMNEMEFFQAWKLVISWRAALFEAANIAGFVILNSRNESDDIKRIVNKVSHLLNKTDLFVAHNPVGVESRVQEVIQLLQPDLQTKKVQLLGIWGMGGIGKTTIAKAIYNKIGCQFEGRSFIANIREHNEKSAELVGLQEQLLFDIFKGTTMKIPNIESGMNTLQCKLSKKKVLILLDDVNTLEQLNTFCGSSQWFGPGSIIIITTRDMNLLGGRVDKLYKMTIMNENESIELFCWNAFKQVTPREDFANISKNIVEYSGGLPLALEVLGSNLFNKKLLEWGFVLEKLKRIPNCQVHKKLRISYDDLNDDDVKEIFLDIACFCIGMDRNDVILVLNDCGLSAEIGIRVLVDRSLVTIDDKNMIGMHDLLRDMGREIIREESPRVPEKQSRLWFPKDVIEILSRQTGKKSVMGLALKLSRENAKCFSTKAFQKMKRLYLLQLAEVKLDGDFEHVSRNLRWLLWDGLSHIPTNFFRENLVSIELKNCNAELQWNKTLMMEKLKILNLSHSHHLTQSPDFSNMPNLEKLILKDCPLLSEVSPSIGHLKKILLINLEDCISLCSLPRSIYKLKSLKTLILSGCLKIEKLEDVEQMESSTKVPFSVIGSKSIGYISLWNYERFSCDVFSSIIWSWVSPTYSLPSQFQTSTIMSSLDSSQELSTFSNYLPRLRSLWVDFKSEDQLALHAKIILDALYVTVSKDLESTATTSQLSSSSQVHVLGSIHCLKSLLVQMGMNCQVTNFLKEKILQNMDVNESDGCFLPGDNYPNWITFFGSETSSVTFQVPQVEERNLNTMMCVVYTSTPDDIASDGHLKSVLVKNYTKSTIQLYKRETLASLEDEEGRRIVLSMEPGNKVEVVFVFEDGFIVKKIAVYLVYDELVGEKLELHHVPDLRGIVCRDDENEATEEDFNLNRKKKSRVK >CAK8536880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:32670897:32671487:-1 gene:gene-LATHSAT_LOCUS6206 transcript:rna-LATHSAT_LOCUS6206 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPKTPTEPKTMTTKGLKICLLVSIIFFIIVATVILALVFTIFKPKNPYVSIYPLGLKDLEFFQPNSTIVPLNMLITIVNPNYGSFKSKNATGYLNYHDTLIANVPLEPKLLPACRTTNVTTTAGLMSGKLVSDETFLEDIEDGSFNLTAKATLHGKVHLIKVFKMKATVNIFCDIFFNISSLDTHSSCVTRIKV >CAK8534463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728946734:728947876:-1 gene:gene-LATHSAT_LOCUS4008 transcript:rna-LATHSAT_LOCUS4008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSSRSDSGIWLRWCLVLFAMVSAFGVCGPALYWRFKKGIALRNSKTSCPPCVCDCPPPLSLFQLAPGLANLSVTDCGGNDPELKEEMEKQFVDLLTEELKLQESVSQAHTRHMNITLAEAKRVGSQYQREADKCVAATETCEQAREQAEARLTKERKLTLIWEKRARQIGWEGE >CAK8540547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10542168:10548988:-1 gene:gene-LATHSAT_LOCUS9544 transcript:rna-LATHSAT_LOCUS9544 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRSLRPYISISSPSSSNPNPNPNPNPRETISRFNSNEFSSSQSSFSSSSSSSRSLKNPTTFSHNYLIAISLIPSALFLLDLGGSTVAATLIVGLMISYIIDSLNFKPASFFSVWISLIFAQFSFFFTASPSLFSSFNSSVTLTILATFLCAHTTFLIGIWSSLQFKFLLLENPTIVPALERLLFACLPITASSLFTWAAVAAVGIDNSAYYLMAFNCFFYWLYSVPRLSSFKTSHHARFHGGEAPKDSFILGPLESCIHTLYLLFVPLCFHLATHYSVVLSSYASFCDLILLFFIPFLFQLYASTRGALWWVSDNASHLRSIRLVNGFVALVFVVIALEVRVVFNSFGRYIQVPPPLNYVLVTITMLGGAAAGGAYSMGMVSDALSSVAFTTSAIVVSAAGAVVVGYPVLFLPLPAAAGFYLARFFEKKSLTSYFAFVVLGSLMITWFVLHNFWDLNIWLAGMSLKSFCKLIVANAVLAMAIPGLTLLPSKINFLSEISLISHALLLCHIESRFFSYSSIYYYGFEDVVMYPSYMVVMTTLLGLALVRRLYVDHRIGGKAVWILTCLFSSKLSMLFIASKSVVWVSAVLLLAVSPPLLLYRDKSKTGSRMKPWQGYAHASVVALSVWFCRETIFEALQWWNGRSPSDGLILGFCTLLIGVACIPIVAIHFSHVLSAKRCLVLVAATGLLLILMQPPLPLSLSYQSDLIKTARHSADDISIYGFIAGKPTWPSWLLIIAILLTLASITSIIPIKYIVELRTVYSIAMGLALGIYIATEYFVWAVVLDVLIVVTMVCASVFVIFTHMPSASSTKLLPWVFALLVALFPVTYLLEGQLRIKNILEDSEIGNLDEEEKKLTTLLAIEGARTSLLGLYAAIFMLIALEIKYKLTSIMREKIIDSSGIRHSHSGQNVSASSLPRARFMQHRRASTVPSFTIKKMAADGAWMPSVGNVATILCFAICLVLNVYLTGGSNRSIFFLAPILLLLNQDSDFIAGFGDKHRYFPVTVVISVYFVLTALYSIWEDVWQGNAGGWGLQIGGPDWIFMVKNLALLLLTFPSHIIFTRYVWSHSKQSDSPPWITLPLNLLPIACTDILKIKILGILGVIYSLAQYIITRQQYISGLKYI >CAK8538510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485494627:485498053:-1 gene:gene-LATHSAT_LOCUS7699 transcript:rna-LATHSAT_LOCUS7699 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSILKLVAVLFVVVLQLFVNGALGCLENERYALLQLKASLVLDDDSFLLTTWDTKSDDCCAWEGIRCNNHTGHVEMFHLSPSQFGRFPGKINASLMELRHLKYLNTSGNRFSDSIFSELFGSLTNLRFLDLQSSFFGGTTPNDLAHLSHLQYLDLSFNYLHGTIPHQLGNLSHLQYLGLSGNDLIGTIPHQLGGLSKLQELHLGSNDGLKFDDKNNHAGGQWLSNLTLLTHLDLSYTPSLNSSHVWLQMIAKLPKIQELELSDCALSDPYLQSLSRSLFNFSTSLAILDLSHNTFSSSKIFEWVFNATSNLIDLDLSYNSFNDTISYDFGNIKSPLEHLDLSGNELKGRIPESIRHICTLHSLNLDNNNLNDDISTILHKLSGCARYSLQHLSLVFNQITGRLPDLSIFPSLVTIDLSYNMLSGKVPNEIPKSMESLIFPSNSLEGGIPKSFGNLCSLKSLDLSRNKVSEDLSVILDNLSGCAKYSLQELNLARNKISGIVPNMAGFSSLQSLDFEGNILEGQITDTHFDNMSMLKVLNLNDNSLSAIFSENWVPSFQLYTLYLRSCMFGTSFPKWLQSQKHLQKLDISNARISGVIPLWFWTTQAMHLSLMNISYNNFTGTIPNLPTRISEGCQVILESNKFEGLIPLFFRSASVLLLSENKFSETPLFLCVNTASDTLWTLDISKNQLSGQLPDCWSNPKELMFLDLSENTLSGEVPSSMGSLLNLMVLILRNNSFTGKLPFSLKYCTELIMLDVGDNKFSGPIPGLGQPLQMLSLRRNRFYGILPESLCFLTNIQLLDLSENNLSGQILKCLKNFSAMSQNVSWSTYEWVLYHTVEDRDNSLGFDLNALLMWKGAERPFKNNKLILRSIDLSSNQLTGDIPEEIGNLIELVSLNLSNNNLTGEITSEIGRLTSLEFLDLSRNHFYGLIPSSLTQIVRLTMLDLSDNNLSGRIPISTQLQSFNASSYEGNVDLCGKPLDKKCPGDEEIAHQKPETYEESKPEDKKPIYLSVTLGFMTGFWGLWGSLFLIRTWRHKYMLFLNTIVDTIYVFMVLNGIKFQRWLRDLREKFF >CAK8578317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619859914:619866761:-1 gene:gene-LATHSAT_LOCUS30338 transcript:rna-LATHSAT_LOCUS30338 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQSWRRAYGAIKDTTKVGLAHVNSDYADLDVAIVKATNHVEVPPKERHLRKILFATSAVRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRLLREGDPTLREEIVNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPKASQGQEKGHSKTRDLDSEELLEQLPALQQLLYRLVGCRPEGAAVSNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALEAYKRAGQQAASLSDFYEVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVSVPNEPMLQLTYRPDEVLAIEYTKESEEQMPSEPEPIDNNVVVPNSEPAPPPPPPSHNNFDTGDLLGLNDPEPNASSIEERNALALAIVSTENGTASAFNSSAAQTKNFDPTGWELALVSTPSTDISSVNERQLAGGLDSLTLNSLYDEGAYRAAQQPVYGSPAPNPFEVHDPFAVSSSVAPPTAVQMAAMQQQANPFGPYQQFPPQPHQQQHMLMDPANPFADSGFGAFHANPVSHPQNNNPFGSTGLL >CAK8535900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890080478:890083292:1 gene:gene-LATHSAT_LOCUS5318 transcript:rna-LATHSAT_LOCUS5318 gene_biotype:protein_coding transcript_biotype:protein_coding MNREQKRGKVEKGCDGAEKVIVAVKASKEIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRKLWGFPRFAGDCANGHKKSTTAGASSENKNDITDSCSQMILQLHDVYDPNKINVRIKIVSGSPCGAVAAEAKKVQAKWVVLDKHLKHEEKQCVEELQCNIAVMKGSQPKTLRLNLVGSQKKDLEETCQLPSEQHEIPGKQSNKKNGSSNSTLGVLTPTSSPELETSFTATEAGTSSVSSSDHGTSPFCVSEIIAKSKKEETIEENQETDDTISDTDSERLCTSSASLRFQPWMSDLFLRKQSSQREEKRSESSRNLLQTSTTTRALLEKFSRLDREAEIEISTSMSYLEFSGSVREAVALSRNAAPGPPPLCSICQHKAPVFGKPPRWFSYAELELATDGFSPTNFLAEGGFGSVHRGVLPEGQVIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDTHLYGKQQKPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQCLTEWARPLLEEYAVEELIDPRLGSRYSEHEVSCMLHAASLCIRRDPYSRPRMSQVLRILEGDTVMESPRQFGGSPSL >CAK8533452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:619911245:619913545:-1 gene:gene-LATHSAT_LOCUS3075 transcript:rna-LATHSAT_LOCUS3075 gene_biotype:protein_coding transcript_biotype:protein_coding MVATISVSSPNSTLLKSPIYLKDSSSNFVGSSLKGLSLNLKPRQQRRDSINFVVASSSTTSSATTSNSNGRFYFNITGFPFPLGPFLNRLTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTDECVQLIKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPRAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDGDLSTPWASDIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVSRQPPECINKESLLASAKNGLAVKILSKGKQVPDEPVVDNKRNLQKGWERMVLQILFLGPSNLLEPNASFEQMSEKLIVSPIVKTLVFSKVPEKVRDWVYSISRDWKFRRIIPAHFAAPINASRSDFRAAFGFLDEFLDDRYDTWPSLSLLFSSIKGKAASYFPPDDMRTLSSLDQFLVSVGAVKKTVSGRKR >CAK8535979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895213414:895214458:1 gene:gene-LATHSAT_LOCUS5391 transcript:rna-LATHSAT_LOCUS5391 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDVDDGSEMDMSSAIDELWKRFKSLVVVGKRALKSRVFELAFPTMTSMSPPPEKIKTKGGVKKKCKKPVGYDVYRDPLYHEYVDHASQSSQRQSQPSQTSQKLKLSQSSQKKSQASQASKKLKLSQSSQSFKQFILQFPNHIRPYIDDVVNDVSDGNCGFRVIASLHGYGKDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIKEFGPQPPHKWLTLPDMGYVIVNRYNVILVYLGFELWTFFSMTTSFSPNVAFYCIGYVNTNHWIQVNMKEGFLLPPVTVDWKKFHSPAVTSWMLRFAGRLQH >CAK8541965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:444326189:444326701:-1 gene:gene-LATHSAT_LOCUS10844 transcript:rna-LATHSAT_LOCUS10844 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAIKSSSHNVIQFDRERFCFMVAKSINQHDGRPLACASIRQDHNMHIPDVFKILSVFKVYSESFLGLPHHQNWPTYEGFTIFHDKTMRRNKKERPNSTRIRTKMDDLEKEKRRREICREIGHMRRKCLNVVGPSNRPV >CAK8579021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667744862:667747688:-1 gene:gene-LATHSAT_LOCUS30997 transcript:rna-LATHSAT_LOCUS30997 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNEPDMKKEEVADHGSSRVDDLESRSRLSVEREMGLPCCRVCQCAESDKRGDAALEFLGITKGEVKSDDKGVPEDTVLNRNFESKAGTMEFVGPDGEVFVCKNDLENGLSHEDTLLELGCSCKNDLALVHYACALKWFINHGSTICEICGHVANNIKISDFNKVVGSLKEYEALRERTVNGDPGPAQDHPNTSVDPDAVAAIRRQRLSEIALWFCPHNSSNLNDNSNADSVSQLVSQQPLNIFIEEAGPEQNTATKWAVEGTGILLATGLLTITLAWLIAPRVGKKTARSGLHILLGGVCALTVVIFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHSANTT >CAK8537583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407016664:407016807:1 gene:gene-LATHSAT_LOCUS6867 transcript:rna-LATHSAT_LOCUS6867 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8535663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873072537:873073296:1 gene:gene-LATHSAT_LOCUS5098 transcript:rna-LATHSAT_LOCUS5098 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGADLLWADMNRLLAFLFHQGVLDEQFLQLQQLQDQTSPNFVSEVVNIYFHESEKLLTNLRSLLMEREFSDYKKMEIHLNQFIGSSSSIGAKRVTSICIAFRAASEQDNRAGCLRALEMLEHEYCYLKNKLHELFQIEQQRALAAGVRYPVQHQ >CAK8531047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:75219828:75220225:1 gene:gene-LATHSAT_LOCUS863 transcript:rna-LATHSAT_LOCUS863 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAISTELHKPNNTTNCVSVSFPLVLSFSLTQTHLVLQNFPSVTFSPRFASSGKLKGKIWQKIEGLGLCRSWKMHQWFMLLLFVILWQFIILLLLVGPLGLTFD >CAK8561855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:396755854:396765506:-1 gene:gene-LATHSAT_LOCUS15409 transcript:rna-LATHSAT_LOCUS15409 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGFGQSKEFLDLIKSIGEARSKAEEDRIVIREIETLKRRISEPDIPKRKMKEYIIRLLYVEMLGHDASFGYIHAVKMTHDDNLLSKRTGYLAVTLFLNDDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCRLINDETIPAVLPLVVELLSHSKEAVRKKAVMALHSFHRKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLINDDPTPFKDLVVSFVSILKQVAEHRLPKSYDYHQMPAPFVQIKLLKLLALLGSGDKPASEHMYTVIGDIIRKGDSSSNIGNAILYESIRCVSSIYPNPKLLEAAADVIAKFLKSDSHNLKYMGIDALGRLIKLSPLIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIEYMISISDDHYKTYIASRCVELAEQFAPSNHWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDAAYSQLRSSAVESYLRIIGEPKLPSGFLQVICWVLGEYGTADGKHSASYITGKLCDMAEAYSNDEIVKAYAITALTKIYAFEIAAGRKVDMLSECQSLVEELLASHSTDLQQRAYELQAVIGLDAKAVEAILPHDASCEDIEVDNNLSFLNSYIQQSIESGAMPYISEHERSGMGNMGNFRSQDQQESVQHGLRFEAYEIPKAPTPVSLSSVTDLVPVPDSLYARETHQITSVGLASDTGSSELKLRLDGVQKKWGKPTYSSPASSSNSTFQNPVNGVTKVDVATTVNSKARDSYDSRKQQNEIDPEKQKLAASLFGGSAKPERKTSTSSKAPKASAASVPNKESGEKTNQPPPQDLLDLGEPTVTVAPPTVDPFMQLEGLLDPSISSPVSHSDGAVTNAPDIMGLYSGTTSSEQSGGGGYIPASGDNLNLLSELSNAAAVRGTTGEAIVSPLSQSVKGANAKDSLEKDAKVRQMGVTPTGQNPNLFRDLLG >CAK8536709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9354263:9356161:-1 gene:gene-LATHSAT_LOCUS6043 transcript:rna-LATHSAT_LOCUS6043 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVFVFLILVIASHSLCARITPKADDEWITFDVTNTKYGAIGDGNTDDSEAFIKAWQDVCGSQVTPTLIIPNNKTFFLQPLIFKGPCKATIKVWLGGTIIAPKNMEDWKWVEDKELAWIRFEDISGLTVNGGGQINGQGAPWWKEYPDNESKRPSAIKFIGCEKITISNLTHYDSPRNHMGIASCKDVYISDLKMIAPDDSPNTDGINIASSSNVIIKDSTITTGDDCVAINTDSFFINITGVFCGPGHGISVGSLGKNGEYAKVEDIYVNNCTFTRTSNGARIKTWEGGNGYARKITYEDIEFNEVKNPIIIDQSYNPKIYDDDDGKGVAVTDVIFRNLRGTSTEDPIQLKCKPNISCINIELDNINITRIDNEKSHTSLY >CAK8578541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636689838:636693300:1 gene:gene-LATHSAT_LOCUS30543 transcript:rna-LATHSAT_LOCUS30543 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKKPKPALVSGFWPTIKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAVSVTTNMLKNEGVGAFYKGLSAGLLRQATYTTARLGTFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATLPIAQRRNYTNAIQALYRIGADEGILSLWKGAGPTVVRAMALNMGMLASYDQSVEFFKDTVGLGEMPTVVGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCAVKTFKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQLQKLEKSYGL >CAK8541735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:377953160:377956176:-1 gene:gene-LATHSAT_LOCUS10631 transcript:rna-LATHSAT_LOCUS10631 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNVTAEDLVDALREVDWSSPPRPLSEFFSRFTVPRSSSKWNSRLKCNLYYYRTNYFILIVSVLILGFLRRPLAIVAALLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIYICGRPRWVFVLIFSSASFFLWFVSAGLLTVLWALAIGLLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >CAK8568407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604192126:604192696:1 gene:gene-LATHSAT_LOCUS21366 transcript:rna-LATHSAT_LOCUS21366 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMEDLLLDSSFYANGATHKPIISEFRLVIPNDLGKQTCDSNDCGVWVIKWMEHIREDVDKIDVDDGTRLRIALDLTMNSYNKLNDLILERAWEKINKSNNA >CAK8571233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:345988150:345989339:1 gene:gene-LATHSAT_LOCUS23912 transcript:rna-LATHSAT_LOCUS23912 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDTPKLPFSFLDKPTKDPLPPSFFSEEKTSSSDPPIQLDLLPFTPVLRSHHHSSHLPIPWLTQPCGPVRELDVNRFPAMTEDLDDGASLSSPSSSVSPFPMDFNMRNKNAEYGGRNKRENEGDAERASDDDENGSTRKKLRLSKDQSAFLEESFKEHTTLNPKQKLALAKQLNLSPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTTQPFYMQLPATTLTMCPSCERVATNPCKNQTNNKTRVLPFSNAQAQQQAQAQVQAHQIPS >CAK8562980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563491619:563495726:1 gene:gene-LATHSAT_LOCUS16436 transcript:rna-LATHSAT_LOCUS16436 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDNRYSDSTSFRGRRSDLVGPMPPPNFGRGGPAPYGAPYASHAPTGFGSAPVTPIPHFLPPPGGFSVGRGGGFGSRLGNGHASDRKYESGRYGSSGGGGGGRGRGGFGGGRGGSQGYRGSGGGRGGSGGRGGGFGGRHGSSKDDLNNITLPKQDFRNLVPFQKNFYVESPVITAMSDQQVMHYRASRDITVEGRDVPKPIRSFHEANFPDHCLEVIAKLGFVEPTPIQAQGWPMALTGRDLIGIAETGSGKTLSYLLPAIVHVSAQPRLVQGEGPVVLVLAPTRELAVQIQQEAMKFGSRSNIRCTCIYGGAPKGPQIRDLRMGVEIVIATPGRLIDMLEARHTNLQRVTYLVLDEADRMLDMGFEPQIRKIVNQIRPDRQTLYWSATWPKDVEALARQFLHNPYKVVIGSPYLKANQSINQVVEVVTDMEKYNRLIKLLKEVMDGSRILIFTETKKGCDQVTKQLRMDGWPALSIHGDKNQSERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVVNYDFPSSLEDYVHRIGRTGRAGAKGTAYSFFTRGNAKYARDLIKILQDAGQVVSPALSELIRSAGFGQHASRGGFRSRGRGGSYGNRGSTSGSNAIPVGGKRPWQYV >CAK8562496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501443187:501443575:1 gene:gene-LATHSAT_LOCUS15988 transcript:rna-LATHSAT_LOCUS15988 gene_biotype:protein_coding transcript_biotype:protein_coding MNKELFAHDYILGLKDPNRPFPTGQASDAAGVGLLKWRMQSTDESLVPLTINCWPSSSGNKTYVNIEYEASSMFDLRNVLISVPLPALREASPVSQIDGE >CAK8542434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:513312904:513315654:1 gene:gene-LATHSAT_LOCUS11274 transcript:rna-LATHSAT_LOCUS11274 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTTVGDAFLSAPIQTMIDKLTSTDFQDYANNNKLSLSLVTQSQTALLALAPVLDDAEKKQIHYPGIRKWLDELKDAIFDAEDLLNQISYDSLRCKVRNPGWNFLSSLFNTSEDFNSQLKTACERLQLFAQQIDNLGLQTVSHKVLPTAYRRSTAISSVNESFIVGRENDKQKLIKMLLSERDNDKNNLGVVAIVGIGGLGKTTLAKLVYSDFRVKNHFDLKAWASVSLDFDILEITRSLLKTVTLGNWDTNDLHILRAELKKNLRGKRFLFVLDDVWERVYDNWIDLVSPFVGKSGSKVIITTRNHSVATMTGASHIHTLGALWESDSWSLLLKDVFAFGGSVDPMLEYIGQSIARKCGGLPLALKALAGLLRTNLDAEYWDAILNSDIWDLPANDVMPALHVSYQYLPSHLKRCFTYCSIFPKDYPLDRKQLVLLWMAEGLVEKSLDSKEAEKILGKSQVGLSIKELRKFQYLRGKLTILNLHNVIDSMEAFAANLNSKQRIEELVLQWGEQTEYCEVQLAVLDMLQPSITLKKLSINYYSEKIFPSWLGDSSFSSMVYLSISNCEYCRTLPPLGQLSSLKDLRIDGMKTLKTIGPEFYGMVGEGSNSSFQPFPSLQNLQFRNMSSWKEWLPFEGGKFPFPCLQTLRLRNCPELRGHLPNHPPSIKQIVIIDCGRLLETPSTLHSLSSLENKNIVYLK >CAK8543990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654585066:654586009:-1 gene:gene-LATHSAT_LOCUS12705 transcript:rna-LATHSAT_LOCUS12705 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNSESTMPLFPHPDSSLSFFYNNNIRNPYSGMEVSEMTLGETQQRLFHVMDDEMNMINNGCYRENKKKRLTSDQIDFLERCFQEDMKLDSERKMNLSRELGLQPRQITVWFQNRRTRLKTKQLEHSYDVLKQENQKLRKEVMELKEKLKEKAHFGTQTYGEETIENILAGLPCRNIEGETYPCVHKTQATTSSTQQAAEGRDHSSFIVEDFDSVWPKLPYYS >CAK8571283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:361363652:361366366:1 gene:gene-LATHSAT_LOCUS23959 transcript:rna-LATHSAT_LOCUS23959 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVMQGNEMGDDSSIYLDNSRANWTPSQDRYFLELLLSHVRLGNKTGKVFTRLAWVDMTEQFNNKFGFKYDMDVLKNRYKRFKKQYYEIKAMVNQNGFQWDGTLNMITANDKTWEEYIKAHPDAQVFRKKVAPCYNDLCIIYGHAVADGRYSLSCFDEGFEYEENASKERDDHTSTGKGVDDQTPLTHSQSKIDWSPMMDRVFIELMLDQVRKGNKVGRTFTRQTWGDMAESFNDRFGCHYGKVVLKNRFNVLRRHYSSINALLGKEGLSWDKTQHKVVADDQTWQKCIRVNHKFRLYRIKSMPFYSGMCIVCRDEAPADCKSNLEKKSHGDKNSVPDPNASMHIGGENDFTVDTQPLPYTALHTNNESNFAKDTQPLPDAAFHVGGENNMTGDTISQSLPYATLHIDGENNLIRDIPPPSNPTLNLGSGNNFTRDTVIQPFHNAALHVGGENSFSRDNIVQPLPNETLHIDGENYFTGDTITQPPHNAILQIGVESNFTREAQPRANEDNEGGERNSTRKTQPLANADDEDGERNSTRKTQPPMNTDNEGGERNSTRKTQPLTNADNEGGERNSTRKIQPLMNADKEGGERNSTRKTQPPTNPDKELLLTSVGKNVTTPKKRHQTKTSPALNVPKKARNNYNEGMSVALKHMAVAVTSLTKKTKKEDNFSVGNVMTVLQAIPDLDDELILDACDFLEDEKRARMFLALDSNLRKKWLLRKLRS >CAK8543559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619787168:619796420:1 gene:gene-LATHSAT_LOCUS12309 transcript:rna-LATHSAT_LOCUS12309 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPQNQKPWKAEYAKSGRSSCRTCKSPIASEKLRLGKMVQSSKFDGLMPMWHHADCVLKKSNQIKLVDDVENLETLRWEDQQKIRTYIESSGGGSTSKSKSSAASASKSSASKNVEYDIEVSQTSRATCKHCGQKIIKGEVRISTKPDGQGPRGLAWHHAKCLLELSPSIQFDNLSGWNSLSSSDQSAVSDLAKIDHPMNKGGGSGTDVEAEGVKVSTQQSSSRGGTKRGKDAEGEQMSKAAKVKGDVSVGRGVAVKNADDSGEAGGLENGLKAQSKELWALKDDLKKHVTTAEMREMLEANDQDSTGSELDLRDRCADGMMFGGLCHCPLCSGFLRYSGGMYRCSGYISEWSKCSYSTCEPKRTEGKWKIPKETDNQYLKKWFKSQKGKKPIRILPPPPSRTSAESSISAGQHQSSNSESLADLKVAICGFPKASIDEWKHKIDGIGGVFHAKVKKDTSCLVVSGALKDEAEMRKARRMKVPIVREDYLIDCMERKKKLPFDMYKVEMIGETSSMVTVKVKGQSAVHDASGLQDSGHILEEGKSIYNTTLNMSDLSTGINSYYILQIIEEDKGSDCHVFRKWGRVGSDKIGGNKLEDMSKSDAIREFKRLFYEKTGNSWEAWEQKTIQKQPGRFFPLEIDYGVNNQGAKKSKSYTDSKLPPTVRELMEMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTDIQNLFTSGNPDSSVRDSLLIDASNRFFTVIPSIHPHIIRDEDDFKAKVKMLEALQDIEIASRLVGFDANRDDSIDDNYKKLRCAISPLPHDSEDFQLVEKYLQNTHAPTHVDWSLELEEVFSLERHGEFDKYAPYKSKLGNRMLLWHGSRLTNFVGILNQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTDKKNPVGLMLLSEVALGNVYELKKAKYMDKPPAGKHSTKGLGKKIPLESDYVKWRGDVVVPCGKPVSSNVKASELMYNEYIVYNTSQVKLQYLLKVRFHHKK >CAK8578346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622210397:622211803:-1 gene:gene-LATHSAT_LOCUS30363 transcript:rna-LATHSAT_LOCUS30363 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDEIQAQQSCKFPRVGNEEENGNLNRFNNWHHHSSRIIRVSRASGGKDRHSKVMTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKSASDAISELPSLNNSLFADNNNNNPSEQGGVDSVHDAEMVVENGENNYHQSQNLSLSKSGCSSTSETSKGSGLSLSRSDVRVSRVKARERARERTAKEKEKENHDSCHNNVNNPLHHQHHQQHQNVNSCSISQTASFTELLTGGINNAAARSPRRSVEEPNFLNKARQQQQHQQQWSSSSAPMDHYFSPVLIGTPSSRTHHHLGHSLHDHQSMTMSNSVSPFSSGENHSADQNQHQHQMQHQFSFIPDHMMQNVVTSSSTQPSDYNLNFTISSGLAGYNRGTLQSNSPSLLSHLQRFSVSSLDGSNSLPFFMGGGGGAASTSSSPASGSPAMENNHHHHHHNQIQHHPFSSVFDGSSLQLYSDQKGKPKK >CAK8578057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604441236:604441820:1 gene:gene-LATHSAT_LOCUS30101 transcript:rna-LATHSAT_LOCUS30101 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >CAK8541039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47117116:47119057:-1 gene:gene-LATHSAT_LOCUS9991 transcript:rna-LATHSAT_LOCUS9991 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGPAFGIDLGTTYSCVAVWQDQNNRAEIIHNDQGNRTTPSSVAFTDEQRLIGEAAKNQAASNPTNTVFDAKRLIGRKYSDSVIQNDIQLWPFKVVAGNDDNPEIIVQYKGEEKRLCAEEISSMILMNMRDIAEKFLESPVKNAVVTVPSYFNDSQRKATKDAGSIAGINVMRIINEPTAAALAYGLQKRANNVGKRNVLIFDLGGGTFDVSIHTLEDDSFDVKATAGDTHLGGEDFDNRMVNHLVKEFKRKNKMDISGNPRALRRLRTACERAKRILSFDTEAAIDIDALYEGVDFHSIVTRAKFEQLNMDLFEKCLEIVKSCLSDAKMDKGSVDDVVLVGGSSRIPKMQQLLKDIFEGKELCSSINPDEAVAYGAAVQAALLCEGIKNVPNLVLQDVTPLSLGLSVSKDGIMDVVVPKNSTIPVKKKREYFTCVDNQFCVKIVYEGERMVASENNLLGWFHLSVRCAPRGLPFHVSFSIDADGILNVSAEEKTSGNKKDITITNEKGRLSKKEIERMIKEAEHFKSEDMKYVKKARSMRALDDYLYNMKKVMKDNSVTSKLTAVDKVKINSAMIKGEELIDDKDQETFVFVDVLRELESIFESAMKKINKG >CAK8530829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53927466:53929225:-1 gene:gene-LATHSAT_LOCUS665 transcript:rna-LATHSAT_LOCUS665 gene_biotype:protein_coding transcript_biotype:protein_coding MACETKTLLIFPLLFLAACYMQQCVHGKSQVPCLFIFGDSLSDSGNNNNLVTLSKVNYKPYGIDFPTGPTGRFSNGPTSIDILAQLLGFKDFIPPFANTTGSNILRGVNYASGSAGIRNETGKHLGANVDLESQLQNHKTIIAQIAVMLDGVQHASQYLNECLYYVNIGSNDYTNNYFLPQFYYTSRTYNPNQYAQDLIDRLTQSIKNLHNAGARKMVLVGIGAIGCTPNAIATHGSCAEEKNAAALIFSSKVKSLVDRLNKKFTCSKFIFRNSTSDFYASLKRFRVSNAACCRLIFNSVCAPGETPCENRYEYMFWDGFHPTSAANKLTALRSYNSSNPDFIYPMNVQHLIQS >CAK8534431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726007219:726024085:-1 gene:gene-LATHSAT_LOCUS3978 transcript:rna-LATHSAT_LOCUS3978 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNCPLPPVPCSPVKVTDAGEDSAAVEALPSDFGSDNGVHARVLKRSRGSLRKTGNGKSLWDRLRDPQSLPFLVGAPKMVECCFCHHSVCPGEELLCSVHGCGSRYHKECAIEVGGVSNLKKFKCPQHVCFICKQKNHLQCVRCTKAFHSKCAPWPEAVIPLKDHPGQAVCWRHPSDWRLDRKEPSDTPTSDISEVFCRLPLPFVNEEFKIDFTWKDMDNKMEPPPYIHIRRNIYLVKKKRSDVDDGAGCTNCISSCSDDCVCRVQCISCSKACRCSENCTNRPFRKEKKIRIVKTEFCGWGVEAAETIEKGGFIIEYIGEVIDDASCEQRLWDMKYKGVQNFYMCEIRKDFTIDATFKGNSSRFLNHSCDPNCVLEKWQVDGETRVGVFAARSIEVGEPLTYDYRFVQFGPEVKCHCGAQKCQGFLGSKKKISKVDICWGAKRKRTLCTLAVKAADMPKHYM >CAK8534432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726019352:726024085:-1 gene:gene-LATHSAT_LOCUS3978 transcript:rna-LATHSAT_LOCUS3978-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNCPLPPVPCSPVKVTDAGEDSAAVEALPSDFGSDNGVHARVLKRSRGSLRKTGNGKSLWDRLRDPQSLPFLVGAPKMVECCFCHHSVCPGEELLCSVHGCGSRYHKECAIEVGGVSNLKKFKCPQHVCFICKQKNHLQCVRCTKAFHSKCAPWPEAVIPLKDHPGQAVCWRHPSDWRLDRKEPSDTPTSDISEVFCRLPLPFVNEEFKIDFTWKDMDNKMEPPPYIHIRRNIYLVKKKRSDVDDGAGCTNCISSCSDDCVCRVQCISCSKACRCSENCTNRPFRKEKKIRIVKTEFCGWGVEAAETIEKGGFIIEYIGEVIDDASCEQRLWDMKYKGVQNFYMCEIRKDFTIDATFKGNSSRFLNHSCDPNCVLEKWLVSKTRLYLFLPLHRALTFQGFYRYLVS >CAK8574175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667702436:667704551:-1 gene:gene-LATHSAT_LOCUS26546 transcript:rna-LATHSAT_LOCUS26546 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERDVHLDCRNASNPFHECTDYCFRVIAEAKLRMQQHESEVAQGSGGSGREQVYPDDDGMHDDDDGPKAEEKSDSEPDQPAIENTDGSFPKLSDRQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8540053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537256308:537256802:1 gene:gene-LATHSAT_LOCUS9092 transcript:rna-LATHSAT_LOCUS9092 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKIKTTFLKFMSKQPVSISLVTFQNPTLSPCRSPMTHVVSLFPKEARRKQKRGISFSPKEPTSPKVSCIGQVNRKKKKMKEKEKNLRKEIVSNKKNDSVRGSEKKVVVWISKGSCEGRKRGGEEKASAVVSSVPPSLDAMKKFTSGRGSLYDFDATLSER >CAK8537748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426800995:426801357:-1 gene:gene-LATHSAT_LOCUS7009 transcript:rna-LATHSAT_LOCUS7009 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPIHSLRTFLSPTVCHNLVSKCDKPPSLSLPSLVLLHCRLCLRCDLLNGVKVVEFDTCNSRLTLLSSKLSMLPAMLVLRLPSNQNPIYSKMVKPNPHIIQFKSVAAARRVVATSTASV >CAK8541341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:128248778:128249224:-1 gene:gene-LATHSAT_LOCUS10270 transcript:rna-LATHSAT_LOCUS10270 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8530377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17648010:17648279:1 gene:gene-LATHSAT_LOCUS245 transcript:rna-LATHSAT_LOCUS245 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKPERFLKDETFDITGSKEIKMMPFGAGRRICPGYHLALLHLEYFVANLVWNFDWKVPKGGDVDLSEKQEFTMVMKNPLQVHISPRI >CAK8567329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505469500:505471884:1 gene:gene-LATHSAT_LOCUS20393 transcript:rna-LATHSAT_LOCUS20393 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTEKLGIKIETNPSEETLTQLGVRQWSKWGCPPSKFPWTYDSKETCYLLEGKVKVTPNGANESVEFGAGDLVVFPKGMSCTWDVSVAVNKHYIFE >CAK8543062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572900859:572902191:-1 gene:gene-LATHSAT_LOCUS11849 transcript:rna-LATHSAT_LOCUS11849 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPLFNRVLVEKIVPPSKTNAGILLPEKSSKLNSGKVVAVGPGIHGKDGKLLPVAVKEGDTVLLPEYGGTEVKLDHKEYYLYRDDDILGTLHD >CAK8533308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601545444:601546822:-1 gene:gene-LATHSAT_LOCUS2948 transcript:rna-LATHSAT_LOCUS2948 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSMYRKSPSTSEIPPPDAPYSGYLVITDEEAEDEDTCCWRICRRKNVKRLPFPQDKMFSVFHPSENEQASSIKVWFLPVPDHALSSNRYYVIRAKGRHKGKAYKCSREGDIVSCCFNNILNDKSPKPFNLKDLYQIFTIHTHQSGGFFAKSITPDGIPPKFLRKKGWKVRISGSYRSCRLNEALGVDVPLREKLPSFNFPISRKKSPNLVVGKWYIPFIFVKENGRKVKQQMRKSMFYSMTLEQKWEEIYSCGRDYDNQNESESNVVIVNVFVEREKVLICGMEATKNGRVDNNGFIWFRVYNPYDKRRVSVGLSSAIIDNMRWVEEQGGWVYGHGRERNVSVKEEVTCQSEWNRFGCYVLVESFCIRTLDGKFVLRYDFRHTHKIKCKWE >CAK8577615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578448546:578451038:1 gene:gene-LATHSAT_LOCUS29705 transcript:rna-LATHSAT_LOCUS29705 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKHWPSLFKKSKPDNHQNWQHGMNSTLLSSAGFQTTPVTLGAEVDDRNPEPKPRWNPKPEQIRILESIFNSGMVNPPREEIKKIREQLQEFGQVGDANVFYWFQNRKSRSKNKHRQLHSNPRNKRNSAASAAAQSSTAPPNSSSSSSSDQPNVSTNSTNEAIMVNNVGFSNEGMGVLPNSPTVNQNQAVAYNFLQPTPAETNFQLPTPPPSQFYSFPVENNNNINERVAQGLYLSDQYSNMVQPLPQQNVALPLFNHEIMMNYGIGNSSMNNQHDQEEAMNLMQMNQQDPHQLGFGFTSRTHDDSSLVPFPPVAINPHLTAPYPIPQFQGVGEEDDKPKCRVLINSTVFEVEVGPFNVRANFGDGAVLFDSSGQRVLTDEWGVTLHSLQHGASYYMVCVFFSL >CAK8577028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532630207:532630852:-1 gene:gene-LATHSAT_LOCUS29172 transcript:rna-LATHSAT_LOCUS29172 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALFLGLMFLLTPLVLLSSSSQALEFHVGGKDGWVLKPSDYYNHWAQRNRFQVNDTLSFKYNKKRDSVLVVKKEDYDSCNINNPKQKMDDGDSSFKLGDSGLYFFITGNVDHCKQGQKLVVLVMAVKHHNPPHTVVVPPSQAPESGLSGLDTPAPSPSKASSVGVSVGFGVVVWVGLMFSGFVYY >CAK8562750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533170205:533172502:1 gene:gene-LATHSAT_LOCUS16220 transcript:rna-LATHSAT_LOCUS16220 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSSGSLGPDGLDLTQVFFKPISNASPPSLTKRHNKISVIGAGNVGMAIAQTILTQDLTDELVIVDNKPDKLRGEMLDLQHAAAFLPRVKINSSVEYSVTAGSDLCIVTAGARQITGESRLNLLQRNLDLFKGIIPLLASYSPETILIIVSNPVDVLTYIAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLQVNAQDVQACIVGEHGDSSVALWSGIAIGGVPVLSFLEKQQIAYEKETLEKIHKKVIDSAYEVISLKGYTSWAIGYSVASLARSIIRDQRKIHPVSVLAKGFYGIGDDVEVFLSLPAQLGRGGVLGVTNVHMNQEEEQRLRDSAKTIVEVQTQLDI >CAK8574022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654533292:654534125:1 gene:gene-LATHSAT_LOCUS26413 transcript:rna-LATHSAT_LOCUS26413 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKPLFFPFSSPLIYSYCITIFYFLTTTTLCYAVDPYFEACEPQTCGNQTITYPFYIIETQEPFCGYPGFGLTCDNTTGFPILNLSNTFYIINQIFYQNHSLRVSNLMFSRPDTKKGCLSFPPTQNLSFPNNMFYLARNQTEVRLFFGCDSTKLPRTLQRNTIGCSAGNETSSVVALYADDKNVSFVSKNCRDEVVYARVENGVEGGIEEALRKGFGLNWIAGDCRECNSTGGRCGFDVHIVKFRCYCTDRVHAAKCHPGLSLLSLYYHFITYRVI >CAK8535079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:812785234:812785679:-1 gene:gene-LATHSAT_LOCUS4560 transcript:rna-LATHSAT_LOCUS4560 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDMSKMMSASAAIVMQVALVNVSKGMVNWFSMVELVPYCNGMRAWRLKHGSGMENGASMEFRT >CAK8577683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583217743:583219177:-1 gene:gene-LATHSAT_LOCUS29766 transcript:rna-LATHSAT_LOCUS29766 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHPSESQSSTGEVSSATAVLLGALAPGVNGATWNTLKSAFLLLGLCLAVMLGLAFSSSDSWMVLHVAFLVLICVTLFFLLSWFLAETGLVSVEHQMREMGLDVKAPVETNKKGE >CAK8562760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533773694:533774110:1 gene:gene-LATHSAT_LOCUS16229 transcript:rna-LATHSAT_LOCUS16229 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSSTLALFFITNMLFFVMANGCFFCPKPNPNPNPNPNPFPYPNTSPSTKSCPRDALKLGVCANLLNGPIGAIVGSPPEHPCCSILEGLVDLEVAVCLCTAIKANILGIDINIPISLSLILNACEKSPPTDFQCS >CAK8573568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621097948:621099066:1 gene:gene-LATHSAT_LOCUS26002 transcript:rna-LATHSAT_LOCUS26002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILKFRRIFYTKEATRKESYGALRHFHFEKLCRVGLFWDLDNKPPNSIPPYEVANKLRIAASSFGVVRHMVAYANSHTFSHVPCVVQESRKERQLLYSLKNKESRKERQLLYSLENKGVIKRNEPHLCRVCGRKFYTNEKLVNHFKQLHEREHAKRVNQIESARGSRKVKLVGKYSMKMDKYKNAARAVLTPKVGYSLADELKRAGFWVQTVLDRPQAADVALQKHMVDMMEHKRVECVVLVSDDSDFIDVIKEAKLRCLKTVVIGDISSNGVLKRTADTAFSWEEILMGKAKKEAVSVMENWKDRDILKRLEWTYNPDVDKKKLNMDDTIVEASGDDDIEDIYDEIDNDYNDDKGFWWKLDSDDNDVTS >CAK8573569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621097948:621099066:1 gene:gene-LATHSAT_LOCUS26002 transcript:rna-LATHSAT_LOCUS26002-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILKFRRIFYTKEATRKESYGALRHFHFEKLCRVGLFWDLDNKPPNSIPPYEVANKLRIAASSFGVVRHMVAYANSHTFSHVPCVNKESRKERQLLYSLENKGVIKRNEPHLCRVCGRKFYTNEKLVNHFKQLHEREHAKRVNQIESARGSRKVKLVGKYSMKMDKYKNAARAVLTPKVGYSLADELKRAGFWVQTVLDRPQAADVALQKHMVDMMEHKRVECVVLVSDDSDFIDVIKEAKLRCLKTVVIGDISSNGVLKRTADTAFSWEEILMGKAKKEAVSVMENWKDRDILKRLEWTYNPDVDKKKLNMDDTIVEASGDDDIEDIYDEIDNDYNDDKGFWWKLDSDDNDVTS >CAK8537449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:361474838:361478484:-1 gene:gene-LATHSAT_LOCUS6741 transcript:rna-LATHSAT_LOCUS6741 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKNRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYEGCRQYSRLSFLVKLYHIKCLCGLSEKAMTMILELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTAEDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVMSTNNSIWPVVLIPYNTPPWVCMKQTSFIMSMIIPGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHRRFLDRRHRFRLNKIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVKEKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSVAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTKPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8530466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23283867:23285753:1 gene:gene-LATHSAT_LOCUS329 transcript:rna-LATHSAT_LOCUS329 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIKYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLYCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8567007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479349122:479349851:-1 gene:gene-LATHSAT_LOCUS20098 transcript:rna-LATHSAT_LOCUS20098 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGHIHSKHSFIVDTSKRTCTSKFWELVGIPCRHAVVALGFRNQHPEDYVDDCYSKETYVACYDFNVSLINGQDMWHEVNTEEMFPPSYKRGPGRPKKLKRREPDDDPNKVRTQISYCCTTCSVHGHNAKSCLVLVPDREAQKRKKKPKKNATQTTQPGSVAEQTTPAENEASTEQQQPQHEALIEEQPETQCDVDQEFEMLAADLCAAFQRTQP >CAK8540042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536767805:536772242:-1 gene:gene-LATHSAT_LOCUS9081 transcript:rna-LATHSAT_LOCUS9081 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGNEISRHSSDNLQSSRLPVSSPWNQVVRGESEFVPAVSSSAPSEEPFPSATTVVDYTSSVLETSDNGGEHNGGTDKRPAWNKPSFNGAASEVRPVMDAHSWPALSDSAKAFTKSESSKGLLDGSSVPQSQGMESTPSSSSQMQRQVEDHVNLNNMAPTRQKPIKHNSSNASSNGVHTQQSAPQVAVVSTGSHNSFSKDHTQRSGSLSSDHPQQRNSFRNRSNGPHQRGDGSHHHNYGNRRDQDWNSRRNFNGRDMHVPPRVSPRIIRPSLPPNSGQFIHPQSLRPFGGHMGFHELAPPVVFVAAPPPPPPLDSLRGVPFVPPMAHPALYYAGPDPQLHSMIVSQIEYYFSNENLVRDTFLRQKMDDQGWVPIKLIAGFKKVMLLTDNIQLIMDAVRTSSVVEVQGDKLRRKVDWKKWIIPPPVQFHNVETPGVLTYDVLSEEVHNISLETTIYAGAGGLVLPDSISTGQVGHHDSDNSISTRN >CAK8538030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459430673:459432258:-1 gene:gene-LATHSAT_LOCUS7271 transcript:rna-LATHSAT_LOCUS7271 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8542289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498908036:498909341:-1 gene:gene-LATHSAT_LOCUS11136 transcript:rna-LATHSAT_LOCUS11136 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDATRAELGLLVLYLNKAEARDKICRAIQYGSKFLSNGEPGKAQNVDKTTSLARKVFRLFKFVNDLHALISPTPQGTPLPLILLGKSKNALLSTFLFLDQFVWLGRTGIVENKERTELLGRISLFCWMASSVCTTLVELGELGRLSGSMKKLEKEIKNSNKYDNEQYQAKLKKSNERTLALIKAGIDVVVAAGLLQLAPQKITPRVTGAFGFASSLISCYQLLPPASAKSKTL >CAK8568074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569804087:569809321:-1 gene:gene-LATHSAT_LOCUS21070 transcript:rna-LATHSAT_LOCUS21070 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQQSQYPEAQNQDKEHFESEGPSPLTVTSRVLYMLGDITHWLQSVRKRTSNYRSSGFPRSSSTIPFCLGESAEDAEIDMLPYQTEISVWDRLGKAETLDIESSSFTWDILSSLHHTEHTCSNEHSEDEMNRALEMTVNSGGVVFFALFNVDGSDGTSPKEAAAVIKISSSRMATQSERLGYEFAKWLGVQAPQARVIHNTSLEWLQIKKAAEKARDAASCESDVIGETTCSELLEALELSRCLLFMSYVHGSPLLESSSAFESRDSAERTSVALGRVMMLDLVIRNEDRLPCRQLRWRGNSANLLLAEKSIPSNLDSKEEVVDLVINRYGPRVVGTLQKKKKSTSRSSSHNNGIRSQGSHLSKIRESSDDTCLKSQTSGESMFTNSNIVAIDSGVPRRPPAVKRADDHVSYPKLVELVLNSSEFSSCLLHDITGGKLGSPPIEDINLTADIQGSDVTSIVHEFRSGFRAALRDLQGFHIFLLTLHQKLDNLLRSFNNTISKISLGESDKEDSPSPVTGSCLSPTRKERFSNDSHRDFSDSDSQKSAPKALPSSCSRNFCDSASPLSREGCHGKSSKGSLEPLHDSHFTAKLRDFHKFAKVDAESYKELEHWNEMLKNDAIKLCEENNFNSGFFEGSDSNTVVDAYELKIRLEHILERIALISEAANTERPSAITKYLFIGGALSARSIYTMQHLGITHILCLCTNEVGQSDSQFPDLFTYKNFFVCDTENSNISILFEEACDFIEDVERAGQGILVHCFEGKSRSVTVVLAYLMLRKKLTLLEAWNAVKKVHRRAQPNDGFGKILQELDQKLHGKVSMEWRRRRPTMKVCPICGKNAGLSSSSLKLHVQKSHKRLSSGSVDSAMTMEIQKALTTLNISRGGSVSPPTHRLSHSMTD >CAK8577579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575508231:575519386:-1 gene:gene-LATHSAT_LOCUS29673 transcript:rna-LATHSAT_LOCUS29673 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVVTAMDFAVLGFLIPSLWEIEVAFAASVFVILIYWFFTFRTGDRNSDRSLLENSVADSSDDKIGPIRGDSQASSAYLIKLELLAAKNLIAANLNGTSDPYAIITCGNEKRFSSMVPGSRNPMWGEEFNFNVDELPVQINVTIYDWDIIWKSAVLGSVTVPVESEGQTGGVWHYLDSPSGQVCLHITTHKLSANPTRINGYGGANTRRRIPLEKQGLTVVHQKPGPLQTIFELHPDEVVDHSYSCALERSFLYHGRMYVSTWHICFHSNVFSKQMKVVIPFEDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRNLQRAAKNFCEKLESEKKENAESELRAHSSSVRQSAVMDKVPEASMPKVEKLKTFIKEEALVGIYNDVFPCTAEQFFNLLLKDDSKFTSKYRSVRKDTNLVMGQWHTAEKYDGQVREITFRSLCNSPMCPPDIAMTEWQHVVLSPDKKHLVFETVQQAHDVPFGSYFEVHCKWSLETANGSSCALDIRVGAHFKKWCVMQSKIKSGAVNEYKKEVDVMLDVARSYIKSYTSDDDNDKVSSSPAMTLEN >CAK8577580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575508231:575519386:-1 gene:gene-LATHSAT_LOCUS29673 transcript:rna-LATHSAT_LOCUS29673-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVVTAMDFAVLGFLIPSLWEIEVAFAASVFVILIYWFFTFRTGDRNSDRSLLENSVADSSDDKVKIGPIRGDSQASSAYLIKLELLAAKNLIAANLNGTSDPYAIITCGNEKRFSSMVPGSRNPMWGEEFNFNVDELPVQINVTIYDWDIIWKSAVLGSVTVPVESEGQTGGVWHYLDSPSGQVCLHITTHKLSANPTRINGYGGANTRRRIPLEKQGLTVVHQKPGPLQTIFELHPDEVVDHSYSCALERSFLYHGRMYVSTWHICFHSNVFSKQMKVVIPFEDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRNLQRAAKNFCEKLESEKKENAESELRAHSSSVRQSAVMDKVPEASMPKVEKLKTFIKEEALVGIYNDVFPCTAEQFFNLLLKDDSKFTSKYRSVRKDTNLVMGQWHTAEKYDGQVREITFRSLCNSPMCPPDIAMTEWQHVVLSPDKKHLVFETVQQAHDVPFGSYFEVHCKWSLETANGSSCALDIRVGAHFKKWCVMQSKIKSGAVNEYKKEVDVMLDVARSYIKSYTSDDDNDKVSSSPAMTLEN >CAK8543055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572385529:572386031:-1 gene:gene-LATHSAT_LOCUS11842 transcript:rna-LATHSAT_LOCUS11842 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSASGSWSVKDNKAFERALAVYDKDTPDRWYNVAHAVGSKTPEEVKKHYELLVEDVKHIESGKVPFPNYKKISGSQEEKRMRNLNRH >CAK8533260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597619813:597621619:-1 gene:gene-LATHSAT_LOCUS2903 transcript:rna-LATHSAT_LOCUS2903 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVIIRSANPYLATPESTIHGHYGEILSQGDILVTFLYEKSRSSDITQGLPKVEQIFEKRSIASISMNLKKRIDAWNECITKILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVHIDNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAEQTGRALEEAICYRALLLGVTKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRIMHQMLKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKTARFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTETRLRKFRDLRMEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVIDTNCDPDLADLPIPANDDTMASIGFILNKLVFAICEGRSS >CAK8536525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:950215756:950216103:1 gene:gene-LATHSAT_LOCUS5884 transcript:rna-LATHSAT_LOCUS5884 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDFEDLLPVIANKLGGEGLMKELSNGFELLMDKEKGVITLESLRKNSALMGLEDLKEDEVVSMMRQGDVDGDGVLSEMEFCVLMFRLSPQLMEESRSLLQQALQHELKNHDS >CAK8540165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542764530:542766793:-1 gene:gene-LATHSAT_LOCUS9197 transcript:rna-LATHSAT_LOCUS9197 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSGSTGSDAWKAHLGMALVQLFNGGYHVITKVALNVGVNQLVFCLYRDLLALSILAPIAYIREKRTRPPITKDLLISFFFLGLTGIFGNQLLFLIGLSYTNPTYAAATQPAIPVFTFLFAVMMGTERVNLLRYEGLAKVVGTIICVSGAILMALYRGPALIGNTEIAHVAENEISARGQPEPSGWFIGGLQGLGLDHFHLGVLCLIGNCMCMAAFLAIQAPVLKKYPSNLSVTAYSYFFGAALMVMVSFLVTNKSTEWSLTSSEILAVIYAGTIASALNYGLITWCNKVLGPAMVALYNPLQPGFSALLSQIFLGSPVYLGSIIGGSLIIAGLYTVTWGSYRERRAAVGVTPQGLGETEPLICQIFSAPSSLSPKLSD >CAK8570557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59747361:59748650:1 gene:gene-LATHSAT_LOCUS23295 transcript:rna-LATHSAT_LOCUS23295 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSASASASVSNNKEKKCPQKQVKNLKEKVRILQDEIKEMVCEREKESRNYEREIMVFTFKEGDWKQEMKKMREEVKRLRELVKEKEEKIREMEEEGMVEKNCEKEWELMGTKLLIQEMKEERGRRDEAVEKWKQLYLAIKNELDDLIQRTYDGDGVYWKTEENDIQIENLKNELQEKEESMKALKAKLVCVEKESYKQEREFDLLRQSLRIMNGKKNSIQTKEKQRFKSKLGK >CAK8532711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:497531894:497532874:1 gene:gene-LATHSAT_LOCUS2389 transcript:rna-LATHSAT_LOCUS2389 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAPKIIDGEMEIQIEEEDVEKEVKFWESALIMYALGVDLRMNAVKQFLSKNWNFVKLPDMFYNEEGFFILRFQSFQDKDLVLIKGSYSIRNRPMMLREWKPDFSMNKDMMRTVPLWVKLPQLPLHLWGAWSLSKIGSALGTPLVTDECTTNKLRVSYARILVEIDITQELKTHILIRDEKGARLHQPIEYEWKPLYCQHCQKMGHNCDKPIIPAKEWKMKVKDQAQRERVQATANKSATTATGDTSRATTTIRNACTGTTTTTTRAIHKDIQQNDEVWTMSTSNGKGKAKISAKEDDNIQCQNGFGLLGILNDPGSGQQTDQC >CAK8575067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26193651:26194863:-1 gene:gene-LATHSAT_LOCUS27358 transcript:rna-LATHSAT_LOCUS27358 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNDKGTVCVTGATGYIASWLIMKLLQHGYNVHATVRSHHFNNFLTEKKDLDYLTSLPGATKKLKLFHANLDDSTSFQKAIQGCIGVFHLAHPMDVHNQESEEVVTKRALEGTKGILKACLDSKTVKKVVYTSSATTVLFNGRNDVDTVDEDVWSDIEICRSSNLVGSSYLVSKILTEKSILEFGKVNGMEVVSLILPLVVGPFISPTIPSSVYISLAMIFGDHDQYKYLAISYMVHIDDAIRALIFLFECENANGRYICSSDQISFHQMYEFLCQKYPEYHVTIPNSMETENCNGKFSGLSSRKLLDIGFKFKYNVSDMYDGAIESCKEKAIL >CAK8575596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:196772317:196773030:1 gene:gene-LATHSAT_LOCUS27847 transcript:rna-LATHSAT_LOCUS27847 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQYMDNTVSQNDSFEDKPSLKNWKTTTDSDRNSSAGFDCNICLECVQDPVVTFCGHLYCWPCIYKWIHIQTSISSEYEEKQNPQCPVCKSELSQSLLVPLYGRGQSTTKSEGKTCQAGIFVPQRPLGPRSYNTRTVSQPYYHPRQFNSIPSSYPSPMFSTSGSSLDNSFGIFGEMIYARVFGNQMGNMYTYRNSYSFRENNNPRVRRHLMQVDKSLSRICFFLLCCLVLCLLLF >CAK8537684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420815570:420818626:-1 gene:gene-LATHSAT_LOCUS6950 transcript:rna-LATHSAT_LOCUS6950 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTDGSQKKRLVASICAVTIFIGFLYVYGGSIFGSQNSSSSALEYGKTLRKLGSSYLGADDDSDGKQDESSSSFGQGDEEDNFVPKSFPVCDDRHSELIPCLDRHLIYQLRMKLDLSLMEHYERHCPPAERRYNCLIPPPSGYKVPVKWPKSRDEVWKANIPHTHLAHEKSDQNWMVEKGEKIVFPGGGTHFHYGADKYIASMANMLNFSNNNLNNEGRLRTVLDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEENLRIWKEMSDLVGRMCWRIASKKDQTVIWQKPLTNQCYKEREPGTRPPLCQSDADPDAVWGVNMEVCITPYSDHDNKAKGSGLAPWPARLTSPPPRLADFGYSNDMFEKDMELWRERVDKYWSLMSQKIKSNTIRNIMDMKANMGSFAAALKDKDVWVMNVVPQDGPNSLKLIYDRGLIGATHDWCEAFSTYPRTYDMLHAWSVLSDVAKKDCSPEDLLIEMDRILRPTGFIIIRDKQPMIDFVKKYRIIGEQLYHKSCELYSKISSLEANIPRYYFDVRPLDANQLQNWHDYLDFIELQGDFDWAVKLYERCLIVCANYPDYWMRYADFVEAKSQQRLSGADRKKLKRTIRDKFPRASDSDRDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENSAPIAVGSTTMSSVEALKAGKREKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRDIESVQGAEGTFDLNSLNANQLPAAGSFPDHSTPNKSSQQTSLNISPSEPQMKENEFYAPKVLDTNILCTTSLLHTIANKKQHSPQTIPCCKTAPHQTNRQYSAPNVPACCTLLHKHTLLTTAACKKNHPSKTQ >CAK8560534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23894982:23900249:1 gene:gene-LATHSAT_LOCUS14198 transcript:rna-LATHSAT_LOCUS14198 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSAVDRFRHLFNRSLVTSQHHHRHPINPLPFRSSSSMAPNSSSPFPVTAQNINPQVLQCQYAVRGEIVTLAQNLQKDLLANPDAHSFDEIIYCNIGNPQSLGQQPITFFREVLALCDYPALLDKSETQGLFSADSIERAWQIVDQIPGRATGAYSHSQGIQGLRDTIAAGIGERDGFPANADDIFLTDGASPAVHMMMQLLTRSETDGILCPIPQYPLYSASITLHGGHLVPYYLDEATGWGLEISELKKQLEDARSKGITVRALVVINPGNPTGQVLAEQNQKDIVEFCKKESLVLLADEVYQENIYAPEKKFHSFKKVSRSMGYGDNDISLVSFQSVSKGYHGECGKRGGYMEVTGFSPDVREQIYKVASVNLCANISGQILASLIMSPPKVGDESYESYMAEKGGILSSLASRAKALEEAFNKLEGVTCNKAEGAMYLFPRIRLPEKAIKAAEAEKRAPDAFYCKRLLNATGIVVVPGSGFGQVSGTWHFRCTILPQEDRIPAIVTRLTEFHEKFMNEFRD >CAK8544543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691558350:691560074:1 gene:gene-LATHSAT_LOCUS13211 transcript:rna-LATHSAT_LOCUS13211-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEYIYLPDDCWRYIFKFLKDGDDDYDHNRNLKSISVVSKQLFSITNRLRFSLSVYDPATPFLSGLFHRFTNLTSLDLSHFHGDLDQLLRQISFFPLKLTSLNLSHKSIIIPAYGLRAFSQKFTTLTSLICSKLPSFNSTHLFLIKDCFPLLQELDLSDPCIHYYHSSCSFLQYGIEALSLSLFQLRKINLLGHYYINDKSVFHLFNNCKLLQELILPYYCRITKQGVASALSAKQTTLTYLSLSPIITKEVIHSLLSFKGLTNIDFTYTRISDELLTSIAMEGLPLKMIGLAHCQGYSYAGMFCLLSKCQSIQHLDLGYTMFLKDSHVVELSLYLVGLVSINLSHCPMLTDSSLFALVRKCPSLSDITMIEIVRKTIENKDYWMDFGVYPQLKSLNMSYHVWLGDESIKMFPSIFPNLRRLELQSCRKISDEAIVHVLSRCHKISHLNISFSYLKLLGMNFELPKLKMLNFSSSNVDDEILYSISKSCCGLLQLLIKGCLRCTEKGVKHVVEKCTQLREINLKDCLNVRPNVIAASVLFSSPSLRKITAPTNIYFSDFERIVFLHRGCVVC >CAK8544542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691558326:691560074:1 gene:gene-LATHSAT_LOCUS13211 transcript:rna-LATHSAT_LOCUS13211 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRSKTMAEAEYIYLPDDCWRYIFKFLKDGDDDYDHNRNLKSISVVSKQLFSITNRLRFSLSVYDPATPFLSGLFHRFTNLTSLDLSHFHGDLDQLLRQISFFPLKLTSLNLSHKSIIIPAYGLRAFSQKFTTLTSLICSKLPSFNSTHLFLIKDCFPLLQELDLSDPCIHYYHSSCSFLQYGIEALSLSLFQLRKINLLGHYYINDKSVFHLFNNCKLLQELILPYYCRITKQGVASALSAKQTTLTYLSLSPIITKEVIHSLLSFKGLTNIDFTYTRISDELLTSIAMEGLPLKMIGLAHCQGYSYAGMFCLLSKCQSIQHLDLGYTMFLKDSHVVELSLYLVGLVSINLSHCPMLTDSSLFALVRKCPSLSDITMIEIVRKTIENKDYWMDFGVYPQLKSLNMSYHVWLGDESIKMFPSIFPNLRRLELQSCRKISDEAIVHVLSRCHKISHLNISFSYLKLLGMNFELPKLKMLNFSSSNVDDEILYSISKSCCGLLQLLIKGCLRCTEKGVKHVVEKCTQLREINLKDCLNVRPNVIAASVLFSSPSLRKITAPTNIYFSDFERIVFLHRGCVVC >CAK8567324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:504617849:504620970:1 gene:gene-LATHSAT_LOCUS20388 transcript:rna-LATHSAT_LOCUS20388 gene_biotype:protein_coding transcript_biotype:protein_coding MFIENFKVESPNVKYTETEIQSVYNYETTELVHENRNGTYQWIVKPKTVKYEFKTETHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDNIQQANYFGSLTQASAIRVGSFQGEEIHAPFKSLLPMVNPDDIVFGGWDISNMNLADAMARARVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKREQINQIIKDIRDFKEANKVDRVVVLWTANTERYSNLVVGLNDTTENLFAAVDRNESEISPSTLFGIACVMENVPFINGSPQNTFVPGLIDLAIKNNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNAILYAPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKSEAESKFHTFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >CAK8539159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505754765:505755397:-1 gene:gene-LATHSAT_LOCUS8279 transcript:rna-LATHSAT_LOCUS8279 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASLFTPPLSTPKTWKQPSTLSFISLKPIKFTPRTTKISATEDKTDAKTDAKTDVATKEAPVGFTPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGVNLRSIGKNVSPIEVKFTGKQPYDV >CAK8572212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521530233:521532714:1 gene:gene-LATHSAT_LOCUS24802 transcript:rna-LATHSAT_LOCUS24802 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVWRKYADYLYTKWEKTFLWDMVEPYRRPKSFTPVVVTYIAAFYTGVIGAAITEQLYKEKYWEEHPGKAVPLMKPKFYGGPWRVMGGEIPRYE >CAK8540586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11477489:11478614:1 gene:gene-LATHSAT_LOCUS9578 transcript:rna-LATHSAT_LOCUS9578 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHHHIQNQEQHISIPNSHNQQENNDLFSMLRLNTSSTSTHHHHHKNSTKRRSPPSSSTAERSAKKHSFEDFTRNGFSAITLPFSLRGNVLRRCVSTPEQSAAPMVKGAGLPPLHPNLKRCLSADAKTISRSLSSEEPTPADSMRLKRMKDRLKEMKQWWDEVMKEEDEQVEEQQQQQEEVEKEQSPVVEEDKVLCQDELGEDVEESVRVEWAEKCLSLTFKCPCSKGYEVLISANNCYYKLV >CAK8572978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574158929:574159336:1 gene:gene-LATHSAT_LOCUS25475 transcript:rna-LATHSAT_LOCUS25475 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEKAPVAKAEKKISKDASSTDKKKKRSKKSVETYKSYIFKVLKQVHPDIGVSSKAMGIMNSFVNDIFEKLAQESSSLARYNKKHTLSSREIQAAVRLVLPGELAKHAVSEGTKAVTKFTST >CAK8561606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:318502693:318504947:1 gene:gene-LATHSAT_LOCUS15183 transcript:rna-LATHSAT_LOCUS15183 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNSSLSFASSSFLTTVTLSPSKPLPSKPLILSTPCLIPRHYFQCPLPSSSLFARTTLTRVLGHSLTQQHVYPDPIPEFAKYETRKFKVELLQKLSKDVDEFGDELDTVIDVCVQIFSKFLHKEYGGPGTLLVTPFTDMLVALKKKKLPGAPLAARASLIWAQNYVDEDWKIWNSKPK >CAK8570757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:108886206:108892698:-1 gene:gene-LATHSAT_LOCUS23485 transcript:rna-LATHSAT_LOCUS23485 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWISKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >CAK8569550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4887917:4891287:1 gene:gene-LATHSAT_LOCUS22391 transcript:rna-LATHSAT_LOCUS22391 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDFFAGEIATELLKMLIAISRKSLLCKASAEQLISSINEILPTIQEIKYTGVELPEHRQFQLDRFSEILRSGIELSHKVLSSSRWNVYKNLQLAKKMEKLEKNVSRFVQGPMQAHILADVHHTRFEMAERFDRVDASNRKLEKYFGAMKIGVGGGGWVEEAVRSCMEEDENWVEGNCGNLNLSIGLDLGKKKVMEKVIGREDLWVVGICGIGGSGKTTLAREVCRDQQVRCYFTERILFLTVSQSPNVEQLRTKIWGHIMGNRNLSPDYAVLDDVWSQFECRSEARTLVVLDDVWSLSVLEQLVCRIPGCKFVVVSRFKFPSILNETYDVELLSEEDALSLFCHHAFGQKSIPFMANENLVKQVVSECEKLPLALKVIGASLRDQTEMFWESVKNRLSQGQSIGESHEINLIERMAISINYLREEIKECFLDLCSFPEDKKIPLDVLINMWVEIHDIDEKDAFAIVVELSNKNLLTLVKEARADGLYSSCFEISVTQHDVLRDLALNLSNRETINERRRLVMPKRENGLPREWIRHKHKPFEAQIVSIHTGEMKEMDWPKLEFPKAEVLIINFTSKNYFLPPFIDKMPNLRALIVINYSASYACLHNVSVFNNLNNLRSLWLEKVSIPQFGGIVMENLGKLFIVLCKINNNLEGKDVNLSRIFPNLSEITLDHCDDVTSLPSSICRIQSLKNMSLTNCHNLAQLPAELGALRSLEILRLYACPKLKMLPPSVCDMIRLKYVDVSQCVYLSCFPEEMGKLASLEKIDMRDCSMIKNVPQSAILLKSLRLVICDEEVSGMWKEVKKAKPNVHVQVSEQYFDLEWLRE >CAK8578851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658557631:658558026:-1 gene:gene-LATHSAT_LOCUS30833 transcript:rna-LATHSAT_LOCUS30833 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRLNQGIVHNLKLQLIANRTTNRRLYNQPTVSKVIALIVGDIDTAEERDIIMQNQGGKLQRIDGFHARYMAFQYPLIFPYGEDNVSHRDLDIFDDNLRNRLTIQEWLAFQIQKRCQEGKTLLSSRRLF >CAK8534374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721142553:721150686:-1 gene:gene-LATHSAT_LOCUS3924 transcript:rna-LATHSAT_LOCUS3924 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLQFSKIISLISFHKNLSLKFPALTTYNSLFRSITTTMSINLNSHAFAGNPLRSKTPNTNDLFSPTTALETLKSRITDNTHHQSPNFKVLPFRNGKPLATSTDSDSWRLGWIGIDEFSGIELSGDSFVYLGSDAEQDAVYWTIDLSGESGFVPEFGGVRFSFVELRTLMVATDWLDSIAMENLSIAGHARALLEWHNISRFCGHCGEKTIPMEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDRENDRALLSKQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPNSMPCQLMVGFFAYAKSLEINVDKKELEDAQWHSREDVRKALTFAEYKKAQTTAAAKVEQMCKGVERTHSLSTDFNVESGELTPMFVPGPFAIAHHLISSWAFPDQNCHSKQPSSSVSNL >CAK8578878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660251738:660255105:1 gene:gene-LATHSAT_LOCUS30859 transcript:rna-LATHSAT_LOCUS30859 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRIASKSRLLYGSQILLQREFAVPVRHYAKGSELPALKGDEMLKNVYLEVKNKFETAIGILKKEKITLAPEDPAAVSHYAKVMKTIREKANLLTESQDILETIDLATHDIPDARTYLLTLKEIRTKRGLIDNLGAEALMIDALDKIEKDLKKPLLRNDKKGMDLLLAEFDKINKKLGIQKQELPKLEEELELKIAKAQLEELKKDVVEAIETQQKKEEFKDEAGAVDVKSLDIRNFL >CAK8562900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554503766:554507103:1 gene:gene-LATHSAT_LOCUS16362 transcript:rna-LATHSAT_LOCUS16362 gene_biotype:protein_coding transcript_biotype:protein_coding MANATLEDVPSVDLMTELLRRLKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVVAKTPLGIKAKEAMEKGELVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVVQAQKLDEMLEKQGTKIDKVLNFAIDDAILEERITGRWIHPPSGRTYHTKFAPPKTPGVDDVTGQPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYSKKGVVASLHAEKPPKEVTVEVEKVLSQ >CAK8562203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456488179:456488646:-1 gene:gene-LATHSAT_LOCUS15721 transcript:rna-LATHSAT_LOCUS15721 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKLLDDNSYVSRYRTCDNGVTVRDIFWTHSNSIKLFNTFSTVLILHSTYKTNKYRLLLFEMVGVTSTEKTYVVGFAFLECEKEDNFTWALEVCQSLLKDQVEMPKAIVIDRDIALMNVVAKVFPFSNALLCRYHITKNVRSRVKPAMEQNK >CAK8563520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612286899:612287302:-1 gene:gene-LATHSAT_LOCUS16920 transcript:rna-LATHSAT_LOCUS16920 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVECARKRRLHQSEGKEGPVDSTTRRSFCLYTRNLQSTSSSSKPSLPEKSMLKQKQTLIDEKLGGSAIEAKRRLDEKLTACMKSDENQRQKRKSIFHGLLQQFKS >CAK8537555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:395777355:395778500:1 gene:gene-LATHSAT_LOCUS6844 transcript:rna-LATHSAT_LOCUS6844 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHTKLPAPPPPLTLLLLFLSFSSPATSQPQTPSPPPPDPFARMKFDKTMASVLIFLVMVFFTLGFISIYTRQCRERRIRGRIDLAVPISVGDGYRRPYGLDPVIIENFPNFVYSEVKDLKIGRVTLECAVCLNEFEDDETLRLIPVCSHVFHRECIDAWLLHHSTCPVCRADLVPDPKDELASSSILIQTSDTNLDEPVLNHEPDVVEPVLNHDIDKTKIVKVTSEVILDGTGRMKRPVRSKSMGFMFARLFSRSNSMGHLTIRSSEDCERFTLRLPDEVHNRLVNDITLNRAKSLGVEMALQSERGDFRTRSVGRSFPQYERFNVESRVDRKGFSCGPSLLGRVGSMRLTKDGNKGTMGVVDEVDVEERSSSLLVNTH >CAK8532030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194790942:194795832:1 gene:gene-LATHSAT_LOCUS1773 transcript:rna-LATHSAT_LOCUS1773 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTKTTPKPSKPNHIHPLTPTQTTESPPVSSSAPPRRRSLRLASISISEPSQSSTESTPKPTARVSRSKISTALESKPNQAVESSVNLRSGKRVVKRGISIANGDEDGSENNAIKKNKINDEGESSKRREEKGKETVVVDENENTEVVVGENVNNEVSAIENTTEERNDALNVTEQSINSNNREERGLRRNLERFRNIARENASRFAHFVNDESDADDLSLENEPEKNEIEDWPGPFSTAMKIIRDREKKGIQFQAGSDSIQKNLIDSIKWNPKTNVEKLDGAVSVPSLQELCIRILAKNVDAIVSLESVPDALRHRLSQLLCDSRRINDHFFELLVGGSPTEIRLRNCSWLSEEHFTKCFQASDISNLVVLQLDLCGRCLPDYVVVATLARSPKLLPSLTSLSLRGACRLSDGGLRALVSSTPTLRSINLSMCSLLTSASLYILAESLKSLLKELYLDHCLDIDAALIVPALVEFEHLEVLSVAGIPTVSDTFVKDYIVARGHNMKELNLKDCINLTDASIKVIAEHCPGVCRLDLSNVCKLTDMSMGYLTNGCRALHTLKLCRNSFSDEAVAAFVETNGESLKELSLNNVKKVGYHTTLSLVSHAKKLHSLDVSWCRNLTDNALGLIVDSCLSLRLLKLFGCTQVTDVFLKGHSNSQIQIIGIKMTNVLQHVKVPDPHQGALNYSSVSIDLAA >CAK8534713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757170008:757172530:1 gene:gene-LATHSAT_LOCUS4233 transcript:rna-LATHSAT_LOCUS4233 gene_biotype:protein_coding transcript_biotype:protein_coding MCSATATTPLFSTDHHIPKSFTRLCKPFHSRVPTSLTFHSTPPSFFVRATTVNWRCPKQTVPPNSDTGFQQKALYLESIGIDPFSLIENHPMLVTASLNDIKSTVEYITAMDFSAIEFSRMVGMCPEILTTKVSDIIPVFTFLHREVCVSSSNIKHVINRRPRLIICNVDKQLRPTMYFLQSIGIQHINKHTDLLSCSVEDKFIPRIEYFENIGFSHRDVTSMFRRFPQLFCYSIKNNFEPKYNYFVVEMGRDLKEVKEFPQYFSFSLENRIEPRHKRCVEMGVCLPLPLLLRTSEVKFQNRLEAFVNSTPPLKTSPLWCASRDINQV >CAK8569189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681485219:681496217:1 gene:gene-LATHSAT_LOCUS22072 transcript:rna-LATHSAT_LOCUS22072 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNMDQFEAFFRRADLDGDGRISGAEAVGFFQGSNLPKHVLAQVWMHADQSKTGFLGRNDFYNALRLVTVAQSKRDLTPDIVKAALFGPAAAKIPPPQINLAALPPQRQNPVAASSVGQMGVNAAPTSTQNLAYRGQGLTGSDVSPQYLPSQQNANMRPPQSQGLVGSVANQQYLPSQQNANTRPPQSQGLAGSVVNQQYLPSQQSPNMRPPQSQGFSGFVTSPQYLPSQQSPNMRPPQSQGLPGSVANPQYLPSQQNPTMRPTQSMPAGSAPGPQQFMPPGSAPGPQQFMPPGSAPGPQQFMPLGNTPRPPQSMPSGTAPRPQQGFAGTNVSNANISNDWNSGRTAMPPSRPAGITQSVALPTPTSPSPVSPMSQPTAITTKALVVSGNGYPSNSALDDDFFSSAASTPKQDPIRQNYPVSSAPASSSIVPVSSSANPASRQSSLDSLQSAFSMALTNSQIPRTQSSPNTAQQISPSASSPLTTSGRSVGLGNTTSDSSQPPWPKMRPSDVQKYAKVFMEVDSDRDGKITGEQARSLFLSWRLPIDVLKKVWDLSDQDSDSMLSLKEFCYALYLMERYREGRPLPQSLPSNIIFDETLMSMTGHPKIPHGNAAWGVNPGFQQQQGMPGTRPVAPAAGLRPPVQGTPARADGTVLPDQKKFGTSVLDDSFSNDTDNSKQNIETAEKKPEEMQNMILDSKEKIELYRNKMQELVLYKSRCDNRLNEITERASADKREAESLGKKYEEKYKQVAEIASKLTIEEAKLRDIQERKTELQQAIVKMEQGGSADGILQVRADRIQSDLEQLFKALAERCKKHEIDVKSIAMVQLPDGWQPGDPEGAAVWDEDWDKFEDEGFANDLTFDTKSASSEPKPSFIPGENSFDDNLVHGSPVNANGMQENFTNGDYTVEEESSYAHSEDDLARSPHDSPFVTNTVESPSKEFSTSPFAKSSEADAETHRSFDESTWGAFDNNDDVDSVWGFNPKDSDLDKQGDFFKSGDFGLNPIRTGSTFNDGAFQAKSPFAFDDSVPGTPFSKFGNSPRYSEAGDHFDTSRFDSSFSMHESGNSPQAERFTRFDSISSSRDFGNNFGNNHEKFSRFDSISSSKDFGYNPDKFSRFDSMSSSKDFGYNPETLTRFDSMSSSKDFGFGGGQGHARFDSISSSKDLGYSAPFSFDDSDPFGSSGPFKVSSENQSPKKGSDKWSAF >CAK8569190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681485219:681496217:1 gene:gene-LATHSAT_LOCUS22072 transcript:rna-LATHSAT_LOCUS22072-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNMDQFEAFFRRADLDGDGRISGAEAVGFFQGSNLPKHVLAQVWMHADQSKTGFLGRNDFYNALRLVTVAQSKRDLTPDIVKAALFGPAAAKIPPPQINLAALPPQRQNPVAASSVGQMGVNAAPTSTQNLAYRGQGLTGSDVSPQYLPSQQNANMRPPQSQGLVGSVANQQYLPSQQNANTRPPQSQGLAGSVVNQQYLPSQQSPNMRPPQSQGFSGFVTSPQYLPSQQSPNMRPPQSQGLPGSVANPQYLPSQQNPTMRPTQSMPAGSAPGPQQFMPPGSAPGPQQFMPLGNTPRPPQSMPSGTAPRPQQGFAGTNVSNANISNDWNSGRTAMPPSRPAGITQSVALPTPTSPSPVSPMSQPTAITTKALVVSGNGYPSNSALDDDFFSSAASTPKQDPIRQNYPVSSAPASSSIVPVSSSANPASRQSSLDSLQSAFSMALTNSQIPRTQSSPNTAQQISPSASSPLTTSGRSVGLGNTTSDSSQPPWPKMRPSDVQKYAKVFMEVDSDRDGKITGEQARSLFLSWRLPIDVLKKVWDLSDQDSDSMLSLKEFCYALYLMERYREGRPLPQSLPSNIIFDETLMSMTGHPKIPHGNAAWGVNPGFQQQQGMPGTRPVAPAAGLRPPVQGTPARADGTVLPDQKKFGTSVLDDSFSNDTDNSKQNIETAEKKPEEMQNMILDSKEKIELYRNKMQELVLYKSRCDNRLNEITERASADKREAESLGKKYEEKYKQVAEIASKLTIEEAKLRDIQERKTELQQAIVKMEQGGSADGILQVRADRIQSDLEQLFKALAERCKKHEIDVKSIAMVQLPDGWQPGDPEGAAVWDEDWDKFEDEGFANDLTFDTKSASSEPKPSFIPGENSFDDNLVHGSPVNANGMQENFTNGDYTVEEESSYAHSEDDLARSPHDSPFVTNTVESPSKEFSTSPFAKSSEADAETHRSFDESTWGAFDNNDDVDSVWGFNPKDSDLDKQGDFFKSGDFGLNPIRTGSTFNDGAFQAKSPFAFDDSVPGTPFSKFGNSPRYSEAGDHFDTSRFDSSFSMHESGNSPQAERFTRFDSISSSRDFGNNFGNNHEKFSRFDSISSSKDFGYNPDKFSRFDSMSSSKDFGYNPETLTRFDSMSSSKDFGFGGGQGHARFDSISSSKDLGYSAPFSFDDSDPFGSSGPFKVSSENQSPKKGSDKWSAF >CAK8569191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681485219:681496217:1 gene:gene-LATHSAT_LOCUS22072 transcript:rna-LATHSAT_LOCUS22072-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNMDQFEAFFRRADLDGDGRISGAEAVGFFQGSNLPKHVLAQVWMHADQSKTGFLGRNDFYNALRLVTVAQSKRDLTPDIVKAALFGPAAAKIPPPQINLAALPPQRQNPVAASSVGQMGVNAAPTSTQNLAYRGQGLTGSDVSPQYLPSQQNANMRPPQSQGLVGSVANQQYLPSQQNANTRPPQSQGLAGSVVNQQYLPSQQSPNMRPPQSQGFSGFVTSPQYLPSQQSPNMRPPQSQGLPGSVANPQYLPSQQNPTMRPTQSMPAGSAPGPQQFMPPGSAPGPQQFMPLGNTPRPPQSMPSGTAPRPQQGFAGTNVSNANISNDWNSGRTAMPPSRPAGITQSVALPTPTSPSPVSPMSQPTAITTKALVVSGNGYPSNSALDDDFFSSAASTPKQDPIRQNYPVSSAPASSSIVPVSSSANPASRQSSLDSLQSAFSMALTNSQIPRTQSSPNTAQQISPSASSPLTTSGRSVGLGNTTSDSSQPPWPKMRPSDVQKYAKVFMEVDSDRDGKITGEQARSLFLSWRLPIDVLKKVWDLSDQDSDSMLSLKEFCYALYLMERYREGRPLPQSLPSNIIFDETLMSMTGHPKIPHGNAAWGVNPGFQQQQGMPGTRPVAPAAGLRPPVQGTPARADGTVLPDQKKFGTSVLDDSFSNDTDNSKQNIETAEKKPEEMQNMILDSKEKIELYRNKMQELVLYKSRCDNRLNEITERASADKREAESLGKKYEEKYKQVAEIASKLTIEEAKLRDIQERKTELQQAIVKMEQGGSADGILQVRADRIQSDLEQLFKALAERCKKHEIDVKSIAMVQLPDGWQPGDPEGAAVWDEDWDKFEDEGFANDLTFDTKSASSEPKPSFIPGENSFDDNLVHGSPVNANGMQENFTNGDYTVEEESSYAHSEDDLARSPHDSPFVTNTVESPSKEFSTSPFAKSSEADAETHRSFDESTWGAFDNNDDVDSVWGFNPKDSDLDKQGDFFKSGDFGLNPIRTGSTFNDGAFQAKSPFAFDDSVPGTPFSKFGNSPRYSEAGDHFDTSRFDSSFSMHESGNSPQAERFTRFDSISSSRDFGNNFGNNHEKFSRFDSISSSKDFGYNPDKFSRFDSMSSSKDFGFGGGQGHARFDSISSSKDLGYSAPFSFDDSDPFGSSGPFKVSSENQSPKKGSDKWSAF >CAK8563260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586950955:586952301:-1 gene:gene-LATHSAT_LOCUS16688 transcript:rna-LATHSAT_LOCUS16688 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGHGGRGSNNILPQPESNIPLIGDWTTIYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIIYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHVNKILLPREWGLNPNAEKAIRITEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSFFSINEEMINKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCNNSPLIVKILSDNLITGQCLLLFFIKFQIPWIWRWTITISRNKFNIPILETNFFYNWWNKMSSEDVQNKIKLIEEAIPEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDENSSMKTSSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8577698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583904269:583904745:-1 gene:gene-LATHSAT_LOCUS29780 transcript:rna-LATHSAT_LOCUS29780 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGQRSNVYDPFSLDVWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKMEQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8542015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455569328:455569637:-1 gene:gene-LATHSAT_LOCUS10891 transcript:rna-LATHSAT_LOCUS10891 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEEEIEDEDADEVVVGEGNVPPHLIIRDDNGKVIIQTCGSGLVPDKEVANAINYAIHKQFYRGFYN >CAK8578012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601830039:601833867:1 gene:gene-LATHSAT_LOCUS30061 transcript:rna-LATHSAT_LOCUS30061 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISEVDMGGSEGEKGGVTVTWENLEAIVTNGKNRKLILQGLTGYAQPGKLLAVMGPSGSGKSTLLDALAGRLKSNIQQSGKILINGKKQTLAYGTSGYVTQDDAMPATLTVSETLYYSAQLQFPNSMSIAEKKRQADITLREMGLQDAINTRVGGYGSKGLSGGQKRRLSICIEILTHPRLLFLDEPTSGLDSAASYYVMSRIASLSLRDDIQRTIVVSIHQPCSEVFELFHDLCLLSSGETVYFGPASEANQFFASNGFPCPTLYNPSDHYLRIINKDFEQDIEEGFGKGVITEEAIGILVKSYKASEIKSQVQIEVTKISECDLGAIRNRRTHAPFLTQCMVLIKRSSLQLYRDTSNYWLRLVVFIAIAISLGSIFHHVGSNSRSIQVRGSLLSFFMSVLTFMTLVGGFSPLIEEMKVFERERMNGHYGITAFLIGNILSPIPYMLMISLISGVIVCYLSGLQKGLEQYLYFASILFAIMMWVESLMMVVGSLVPNFVMGVIIAGGVEGLAILTAGFYRLPDDLPNPLWKYPCYYISFLTYAFQGSFKNEFEGLTFVWHQDGGGTITISGRDILIDTWHVQMGHSKWVDLAIMFGMIVVYRVLFLVINKIKEKSKPTVSTINRPQAKTFSRTNMDEL >CAK8578013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601830063:601833867:1 gene:gene-LATHSAT_LOCUS30061 transcript:rna-LATHSAT_LOCUS30061-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEGEKGGVTVTWENLEAIVTNGKNRKLILQGLTGYAQPGKLLAVMGPSGSGKSTLLDALAGRLKSNIQQSGKILINGKKQTLAYGTSGYVTQDDAMPATLTVSETLYYSAQLQFPNSMSIAEKKRQADITLREMGLQDAINTRVGGYGSKGLSGGQKRRLSICIEILTHPRLLFLDEPTSGLDSAASYYVMSRIASLSLRDDIQRTIVVSIHQPCSEVFELFHDLCLLSSGETVYFGPASEANQFFASNGFPCPTLYNPSDHYLRIINKDFEQDIEEGFGKGVITEEAIGILVKSYKASEIKSQVQIEVTKISECDLGAIRNRRTHAPFLTQCMVLIKRSSLQLYRDTSNYWLRLVVFIAIAISLGSIFHHVGSNSRSIQVRGSLLSFFMSVLTFMTLVGGFSPLIEEMKVFERERMNGHYGITAFLIGNILSPIPYMLMISLISGVIVCYLSGLQKGLEQYLYFASILFAIMMWVESLMMVVGSLVPNFVMGVIIAGGVEGLAILTAGFYRLPDDLPNPLWKYPCYYISFLTYAFQGSFKNEFEGLTFVWHQDGGGTITISGRDILIDTWHVQMGHSKWVDLAIMFGMIVVYRVLFLVINKIKEKSKPTVSTINRPQAKTFSRTNMDEL >CAK8542826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551857899:551864142:1 gene:gene-LATHSAT_LOCUS11630 transcript:rna-LATHSAT_LOCUS11630 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPGPLPVDQGENWAQPEQNRTRRRVGGGRGRGGNATGIGKGSSPAVPTRRPAAGRGRGARLIDLDPEPCEVLPEPVALRAQEPVYNHLEVVANNNIVMEGGSGDKVAAAEEEATTTPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVNGGSDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYSTLNGCYGVPWVHYKGRQGDFYILVMDILGPSLWDVWNSLGQSMSPSMAACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTADDKKLYLIDLGLASKWKDASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMSTSAELMCCFCPAPFKLFLEAVTNMKFDEEPNYSKLISLFDSLIEPCTPLRPIRIDGALKVGQKRGRMLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADNRLGQHVDKGIEDGLYISCVASSANLWALIMDAGTGFSSQVYELSPVFLHKDWIMEQWEKNYYISSIAGAVNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWENNYRITSMAATNDQAAFILSIPKRKLLDETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVC >CAK8536227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919281587:919288033:1 gene:gene-LATHSAT_LOCUS5617 transcript:rna-LATHSAT_LOCUS5617 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMQRSALKRSNDSTRIIITAIMGISFGIFIGISISSVHLTKISLLSGVKSSSDVPITEIGRAHDVFDGSFGTKHIEALRSATLPKINVSSNPRGAELLPPGIVVSESDLYLRRLWGDPSEDLKKKPKYLLTFTVGYDQRHNIDAALKKFSDDFAILLFHYDGRTSEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDIVSAFEYILIWDEDLGVEHFDGDKYMNLVIKHGLEISQPGIEPNNGLTWEMTKRRGDREVHTVTQERPGWCSDPHLPPCAAFVEIMAPAFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPPAHEKIGVIDSQWIIHQVIPSLGNQGQSENGEDPRAAVRARCRNEWGQFQARLSNADKEHLKELERNGKV >CAK8537497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:377150740:377152360:1 gene:gene-LATHSAT_LOCUS6786 transcript:rna-LATHSAT_LOCUS6786 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAITVLAFLFITFTYFLFTFFSNPKQKNNNNKKPPGPPTLPIIGNLHLLGKLPHRALESLSKKYGPIMSMQLGQIPAVVISSSKAAELFLKTHDLVFASRPKTQASDILSYGTKGFVFSEYGPYWRNVRKLVTLKLVSASKIEKFAPIRKQEFRVLVKSLEKAALVGEVVNVSEAVENFIEDIVYKMMLGGSKYEQFDIKRLVKESVVLLGAFNIADFVPWLAAFDLQGLKRACKKASRAIDDALEVIITDHEKVTNVDKNRHEDFIDILLSIVNQTIDQESEPKDAIDRSNIKAILLDLLMATLDTSSTAIEWTLIELIRHPRVMKILQDEIQNEVGNKRMVEEKDVKKFNYLDMVIDESLRLHSVGPLLIPRESRENVTIEGYFIKEKTRVIVNAWAIGRDPNVWSNNVEEFYPERFIDKKMNYQGKEFESIPFGSGRRGCAGIQMGLITVKSIIAQLVHCFNWELPDDISPSDLNMEETFGISAPRAQHLHAIPRYRLVDVELE >CAK8567521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520831302:520836274:1 gene:gene-LATHSAT_LOCUS20567 transcript:rna-LATHSAT_LOCUS20567 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKGSRHRFSTMANRSRIPSLFISMFATFASIYVAGRLWQDAQSRVYLIKELDRITGQGQSAISVDDTLKIIACREQHKKLDALRVELDAAKQEGFVSKGLIESNGTYSKRRTLVVIGILTKFGRQKNRDAIRKAWMGNGASLKKIEDGKGIILRFVIGRSANRGDSQDKDIDRENGLTNDFLILDDHMEGSQGQAEKAKLFFAHAADEWDAEFYAKVNDDVYVNIDALGATLATHLDKPRIYMGCMKSGEVFSEQNHKWYEPEWWKFGDKKSYFRHASGEMYVISQALAKFISINRSILRTYAHDDVSAGSWFIGLDVKHIDETKFCCSSWSTGAICAGV >CAK8579485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702708134:702708696:-1 gene:gene-LATHSAT_LOCUS31429 transcript:rna-LATHSAT_LOCUS31429 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSKTYWVDKDDDDVTDSISNGSLTEDSMCSYFSSSEMDDDDQEVSSSSTSSLSSSSSSNLNGPLYELSELMNHLPIKRGLSMFYQGKAQSFGSLARVESIEDLPKKEKPNYRNKVKSCKSFGLCTPKSTISKKSSRAPSLSVIISRRRFLGESS >CAK8568018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:564430535:564431107:1 gene:gene-LATHSAT_LOCUS21020 transcript:rna-LATHSAT_LOCUS21020 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSIFLIIITSFILFLFWLTKKIKARSAIHKFPPGPWKLPLIGNLHQLALGGSLPHHTLGKLSHKYGPLMHLQLGEISAVIVSSSGLAKEIMKTHDLSFVNRPQLLCPKILAYESTDIAFAPYGDYWKQMRKICTSELLSAKRVQSFCSIIEDEVEKFIQSIHHFSVSSQPLDLTKTVKSIAIISINY >CAK8578121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608390522:608391556:1 gene:gene-LATHSAT_LOCUS30160 transcript:rna-LATHSAT_LOCUS30160 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTMQHFSSCTLLLLLILTTHHFVPSLSEKCNLHDKTNLLQIKKELGNPTKLSSWNPTTDCCNGKWLGVACDTDTQTYRVTSLDLDGLDLLKPLPIPPSIPNLPFLSFLTLSRTPNLVGPIPPSLANLTKLQYLYITQTSISGEIPNTLSQIKTLLTIDLTNNKLTGPLPASLSSLPKLIGISFDGNQLTGTIPESYGSFPKSFTVLTLSKNRLSGKIPASLAKLNLAFVDLELNSLEGDASVFFGSKKSTQKILLGKNSLAFDIGKVGLSKDLNALDLRNNKVYGVLPEGLTKLKFLSKFNVSNNNLCGKIPQGGKLQRFDESSYAHNKCLCGSPLGACKA >CAK8560361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15699587:15702942:1 gene:gene-LATHSAT_LOCUS14040 transcript:rna-LATHSAT_LOCUS14040 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLFGKGSKLKGTVVLMQKNVLDINELTAAQSPGGIIGGTIGAIGGIAGSIIDTATSFLGRSVSLRLISGTSADASGKGKVSKEAFLEGILTSIPTLGDRQSAFRIHFEWDSNMGTPGAFYIDNFMQGGEFFLVSLTLDDVPNVGSIKFACNSWVYNSKKYKTDRIFFANKTYLPSDTPAPLVYYRQEELKTLRGDGTGERKEWDRIYDYDVYNDLGAPDQKATLARPVLGGSSTLPYPRRGRTGRKPTRKDPKSESRSDTVYLPRDESFGHTKSSDFLIYILKSASQNIIPQLRSVVTLQLNNPEFNTFEDVRSLYDGGIKLPTDILSKISPIPLFKELFRSDGESALKFPPPKVIQVDHSAWMTDEEFAREMIAGVNPHIIKKVQSFPIKSKLDSQLYGDNTSTITKEHLEPNMGGVTVEQAYETNRLFVLDHHDPLFPYLRKINATDTKAYATRTVLFLQDNGTLKPLAIELSTPHPDADSFGPVSKVYLPASEGVDASIWLLAKAFVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGNYAMEMSATVYKDWVFTDQGLPNDLIKREVAVKDPSAPYGIRLLIEDYPYASDGLEIWTAIKTWVEEYVNFYYKSDGAIAQDTELQAFWKEVVEVGHGDLKNATWWFKMQTRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGTSEYEDLAKNYEKAYLRTITPKNDTLTDLTIIEVLSRHASDEQYLGERIEGDDWTSDSQPKEAFKRFGKKLAEIEQKLTQRNNDESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >CAK8568037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566027862:566031111:-1 gene:gene-LATHSAT_LOCUS21036 transcript:rna-LATHSAT_LOCUS21036 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGRPVASNDEFSSKGKGVSQSDPNFEQLTQGIADVSLGSGQDDDGQWEVYGKKSKNRGGNNAAKQRGPPGPAYTSNPRGQGYADVAQRPGTRNPGGAGRGAGNQGQTQYAHMRPAGRGNARPLSAAGGYGYNPTSNPITRPPLEHGWSWKSRAGGMQKNAMTEMALQEFEQNKDEDEDDDEEEGDDDCDDLEDTDDDLMSDEYDSDASQKSHETRKKSKWFRKFFENLDKLTIEQINEPERQWHCPACQGGPGAIDWYRGLQPLMNHAKTKGSKRVKVHRELAVLLDEELRRRGTTVVPAGEAFGKWKGLKEVEKDHEIVWPPMVIIQNTKLEQDDNEKWLGMGNQELLDYFSSYAAVRARHSYGPQGHRGMSVLIFETSASGYLEAERLHKHFAEQGTDKETWFSNRRILFLPGGNRQLYGYLATTEDLEFFNRHCQGKTRLKYELKSYQEMVVNQIRQMSEDNQQLNYFKNKMVKEKKHSKAMEESFGFVTEKLRKTMEENRIVRRRTKLQHEEIKEEMYAQEQFFKEQITSIHDSRNEKEEDFERMQQEEREKVKQSSTSPLNAEERRLKVEGYVKFVEFQEKEMENFVEEKEKLFQAHEESIAAMRRRHWEEEVEMEKKFDEDLTKLMEKYSPSQQ >CAK8567412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512876568:512889297:1 gene:gene-LATHSAT_LOCUS20468 transcript:rna-LATHSAT_LOCUS20468 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGEPAVVGDPVGLSVIEQQGFPARFDSVQESCSEDPCNVLELSGMADGFMGEGHVGCSGGFGEVTEGSGDGLRNECEIDDLLQLEMGAEDGCRNSLGGCSEDIDVSDFISGGLHSKGNFQDEANVDLPNSLQKRCVEQGEQKDEKSVVLSLAGDGSEVVEGKNDGTDLIASAVNCVLDAAHSDVSLESDFMRDWLVDCIQKNEPEGIMRNAESLPKVVENCDYDGLDGMEANSCWQMSSSSDVEVPSGALLIDTKLESTSDKLHNQKNGVDCDSACEEKIRATVDKEITDNSRVQSSRSPDFHRTSRTSLVVDSLCQPTLLDPGSELKNDILQIEDNFCILKDYSADGTASSTVGKPFYPESGQSSSVLITSSSPKDVPDLLSKGNDVSVKDTCTADNPGQPNSDGQEAVEVDYITESTLLPSQSNSQTTKFGRHTQTRKASRKSTSKASVTHPSGGMKINLEAARKKRSCLSKPGRSSAWGLMGNIEQFFQYENELEVSEATCQNVGKARNNCQGGKTTKNSTSSSSLSSVPKYNVSTSTTRFRLKIKYGKENDLPASASYKGPDSGSQKVISNSADKISVVLALNSVGSFRNDPDKDCVVLNGHTVNSQLENSKITEKSEGDAEEPCHAVPPERVVEALVKPINNSATDPGTSPDSEVIDSIPEVQVGERHEDVHLDVLGSSNELNSNLDVTFEKRGKNKDKLIGSGNCITEDGSHGQLGNNGAMHSKNQRHKNCSDLASSLELSTSTEVSKSIKSEELAAESLPLSGETVLGGSAKALKAKSHATVKTVGKTSGGHEFSKFQGSEDLPSSTRPLGRKLPKSLEPTSKFSKAKTNATGSTSRKKTTTRRKEKQKVPVNKSEVKGKGLSLKVTREVEDCPHLADVDGNHKLDAVVKINPSDNRVSDADIMHGSGLGEQPQSPRNAWVSCDDCHKWRRIPALLADRIDETNCTWTCKDSGDKAFADCAIPQEKSNAEINAELGLSYASGEEDVRDDSKNYKELEYRLPMVSQGSSFTHISTNEFLHRNPKTQTIDEVMVCHCKPPPEGKLGCGDECLNRMLNIECVQGTCPCGDRCSNQQFQNRKYSRLKWFKCGKKGYGLKALERVAKGHFIIEYVGEVLDMHAYEARQREYALKGHRHFYFMTLNGSEVIDASAKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRHIKQDEELTFDYNYVRVFGAAAKKCYCGSPHCRGYIGGGDPLKDELIVQGDSDDEFPEPMMLTEDGEVEDSIPMPKYYDNVDTESSRHLLTDMDVLEKSTTAIDADGSPEKDSSIDPASAVSLLHSSVDVEDSKGSLPSSDRVEEISQQMEDTTSKPIPAVSKVLSNSTDSRESKSEMVEGRNVFSQSHLHVKTQRSASGKKAKVSANAANALTAEVQANRLPVSSIKHKKVVEGSSNARFEAVQGKLNELLDGNGGISKRKDATKGYLKLLLLTVASGDRINGEAIQSNRDLSMILDALLKTKSRAVLNDVISKNGLQMLHKIMKQYRQDFKKIPILRKLLKVLEYLAAGKVLTPEHIKSGPPCRGMESFRESMLSLTEHDDKQVHQIARSFRDRWIPRHGRKRGYMDRDDNRESHRNFNCNRFSASHNHRQEQRLRPKEAIDCGQQSKPVTTSTSVDAGAQESCSTPSFDGVEIKVEKKRKRKSRWDQPAETISYSDAVIGSTNESQSINEEIPPGFSCPIRSLNSALNSGGPVFPNAGHPGWPSSLVTGQPKQKFNSRLPVSYGMPWFVAQQYGTPHAEIKGCWVTAPGMPFNPFPPLPPHPHPRDIKDCQPSNANGMETDQHAEIKQRETDGLVNCCSESDDMIPSTTGAKSEDRRNLECEDDKHDPKRLKGNSNDLGKDNFRQQKWNNSKIHRTWFKRNAGKCNGNNSSGDVCSVDVDVSKESKVNSYLEDAICRDETGGK >CAK8567411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512876568:512889297:1 gene:gene-LATHSAT_LOCUS20468 transcript:rna-LATHSAT_LOCUS20468-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGEPAVVGDPVGLSVIEQQGFPARFDSVQESCSEDPCNVLELSGMADGFMGEGHVGCSGGFGEVTEGSGDGLRNECEIDDLLQLEMGAEDGCRNSLGGCSEDIDVSDFISGGLHSKGNFQDEANVDLPNSLQKRCVEQGEQKDEKSVVLSLAGDGSEVVEGKNDGTDLIASAVNCVLDAAHSDVSLESDFMRDWLVDCIQKNEPEGIMRNAESLPKVVENCDYDGLDGMEANSCWQMSSSSDVEVPSGALLIDTKLESTSDKLHNQKNGVDCDSACEEKIRATVDKEITDNSRVQSSRSPDFHRTSRTSLVVDSLCQPTLLDPGSELKNDILQIEDNFCILKDYSADGTASSTVGKPFYPESGQSSSVLITSSSPKDVPDLLSKGNDVSVKDTCTADNPGQPNSDGQEAVEVDYITESTLLPSQSNSQTTKFGRHTQTRKASRKSTSKASVTHPSGGMKINLEAARKKRSCLSKPGRSSAWGLMGNIEQFFQYENELEVSEATCQNVGKARNNCQGGKTTKNSTSSSSLSSVPKYNVSTSTTRFRLKIKYGKENDLPASASYKGPDSGSQKVISNSADKISVVLALNSVGSFRNDPDKDCVVLNGHTVNSQLENSKITEKSEGDAEEPCHAVPPERVVEALVKPINNSATDPGTSPDSEVIDSIPEVQVGERHEDVHLDVLGSSNELNSNLDVTFEKRGKNKDKLIGSGNCITEDGSHGQLGNNGAMHSKNQRHKNCSDLASSLELSTSTEVSKSIKSEELAAESLPLSGETVLGGSAKALKAKSHATVKTVGKTSGGHEFSKFQGSEDLPSSTRPLGRKLPKSLEPTSKFSKAKTNATGSTSRKKTTTRRKEKQKVPVNKSEVKGKGLSLKVTREVEDCPHLDVDGNHKLDAVVKINPSDNRVSDADIMHGSGLGEQPQSPRNAWVSCDDCHKWRRIPALLADRIDETNCTWTCKDSGDKAFADCAIPQEKSNAEINAELGLSYASGEEDVRDDSKNYKELEYRLPMVSQGSSFTHISTNEFLHRNPKTQTIDEVMVCHCKPPPEGKLGCGDECLNRMLNIECVQGTCPCGDRCSNQQFQNRKYSRLKWFKCGKKGYGLKALERVAKGHFIIEYVGEVLDMHAYEARQREYALKGHRHFYFMTLNGSEVIDASAKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRHIKQDEELTFDYNYVRVFGAAAKKCYCGSPHCRGYIGGGDPLKDELIVQGDSDDEFPEPMMLTEDGEVEDSIPMPKYYDNVDTESSRHLLTDMDVLEKSTTAIDADGSPEKDSSIDPASAVSLLHSSVDVEDSKGSLPSSDRVEEISQQMEDTTSKPIPAVSKVLSNSTDSRESKSEMVEGRNVFSQSHLHVKTQRSASGKKAKVSANAANALTAEVQANRLPVSSIKHKKVVEGSSNARFEAVQGKLNELLDGNGGISKRKDATKGYLKLLLLTVASGDRINGEAIQSNRDLSMILDALLKTKSRAVLNDVISKNGLQMLHKIMKQYRQDFKKIPILRKLLKVLEYLAAGKVLTPEHIKSGPPCRGMESFRESMLSLTEHDDKQVHQIARSFRDRWIPRHGRKRGYMDRDDNRESHRNFNCNRFSASHNHRQEQRLRPKEAIDCGQQSKPVTTSTSVDAGAQESCSTPSFDGVEIKVEKKRKRKSRWDQPAETISYSDAVIGSTNESQSINEEIPPGFSCPIRSLNSALNSGGPVFPNAGHPGWPSSLVTGQPKQKFNSRLPVSYGMPWFVAQQYGTPHAEIKGCWVTAPGMPFNPFPPLPPHPHPRDIKDCQPSNANGMETDQHAEIKQRETDGLVNCCSESDDMIPSTTGAKSEDRRNLECEDDKHDPKRLKGNSNDLGKDNFRQQKWNNSKIHRTWFKRNAGKCNGNNSSGDVCSVDVDVSKESKVNSYLEDAICRDETGGK >CAK8576083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:402054675:402055535:1 gene:gene-LATHSAT_LOCUS28299 transcript:rna-LATHSAT_LOCUS28299 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNKWVIPSVPSNQIYRFTKIDVFARFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKLGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTIEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVSLRI >CAK8536502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947397985:947399268:1 gene:gene-LATHSAT_LOCUS5864 transcript:rna-LATHSAT_LOCUS5864 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKTGLKKGPWTHEEDLLLINYINTHGAGNWRNLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRSGIDPVTHTPRLDHLFDMSSINILRSALLGSNPSFLNLLGGAQALMNPELLKLAATATLLNNNNNMNLVSQNQQQFNNVANYSSQQQAQNQVSLQDQFQIPTQTHNNIISTSNSAENPSPCYFEEDLISKQYSELFHCLNDGNDNSTGYESVISSTPLSTTPTPTPLNSSSTYVNSCTEEERDTYCSDIFKFEIPESSLDINDFL >CAK8530812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51769232:51770029:1 gene:gene-LATHSAT_LOCUS652 transcript:rna-LATHSAT_LOCUS652 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKLTMSDQIRKELCEYKRDNPASTQKDLQRLLEGKFQLKVSQGTISNTHKRSNDYLSAEIEKGRVEIKRHKPTKYPDMEKVVYEWFLQHQERVNITRELILQKARDTMKLVYPHDDLDFNFSIGWLGRFKNLHGIKSFRHFGESGYVDVQDMEQKLVSIREKIDQFPMKDVFNMDETRLFYRLQVDHSLATKQLEGRKQDKERMTEVICCNEDGSEKIPLWIIGKYAKPRCFNNVNMNNLNSQYRANKKVWMTSVFF >CAK8578804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655264826:655267225:1 gene:gene-LATHSAT_LOCUS30788 transcript:rna-LATHSAT_LOCUS30788 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKIPSHVPHPSEDTEQLRGAFQGWGTNEGLIISILTHRNAAQRKAIRETYAQTHGEDLLKDLDKELSSDFEKAVLLWTLDPAERDAYLANQATKMLTSSNTIIMEIASTRSPLELLKAKQAYQALYKKSLEEDVAYHTSGDIRKLLVPLVGIFRYEGDEVNMTLAKSEAKLLHDKIADKAYNHEDLIRIVTTRSKAQLNATLNHYNNAFGHVIDKDLETGSEDEYLKLLKAAIKCLTYPEKYFEELLRLAINKMGTDESALTRVVTTRAEVDLQRIAEEYQKRNSVPLDRAIDKDTSGDYQKILLALLGRED >CAK8539196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506499888:506501280:-1 gene:gene-LATHSAT_LOCUS8316 transcript:rna-LATHSAT_LOCUS8316 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMRMRMDPLTVLRDFTMRGELEKIVRHDTDFRFGDEYAFPSSLETAYRSTKGNRYTLETLVHYIKHHQLKHAEYFQNTLALGIPSVTLPDRKPILNYLQGIISTTDSIEYLPEEPEDPSLNQHQPSLLPNSDDGVFRAEEPQLDFISMIRTAEKPLKDRESLLECKNRDFYSVLVAATKREEERQRMESHQRKDGLVAKSRLMGSSDDFGDELGYDQTPKPKMHLKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVYIPTDVKVKQMKGARPDCVTVQKKLSRDRAVTAYEVRDKPSALKPEDWDRVVAVFVLGKDWQFKDWPYKDHVEIFNKITGFFMRFEDDSIESAKTVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >CAK8539659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520262060:520264352:1 gene:gene-LATHSAT_LOCUS8737 transcript:rna-LATHSAT_LOCUS8737 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLNFHCCLIPNARQTKPGSNHGSFIIQNPRASKFSQRVSVKLKAIKGEMNGETSGSSGGSWDPGLEIAVPFEQRPVNEYSSLKDGVLYSWGELGPGSFFLRLGGLWLAVFTVLGAPIAAASFNPSSEPLRFILAAGTGTLFIVSLIILRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVVKLLKQTLVGTGALLVTGVMLFIFATPVENFLHSTFTTEENKSTPQVTKVNKFNLRKEELLKLPADVKADDNLAAAAAEAADGRPVYCRDRFYRALAGGQYCKWEDLLK >CAK8572602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550637656:550638841:1 gene:gene-LATHSAT_LOCUS25153 transcript:rna-LATHSAT_LOCUS25153 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPSSSTLGWHRVEVKGEVQTRKGLWWIPRHPETRKGVVSDEMLRGVEKKHRSEDSRIGQPFELLLNSRAGKRQPGELKHLSEAVECCTLDGESPVAESITSLYSDPSSMGHVKSRVNQQGPPCKAKYSWVTDSEVVAWLREITGAVAKASLHRAIVTAYGPEPGGEMPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSASETMGDKLHRREGNSPDHQLRPLNDRSVIKEVGVHRQPGGLPRSSHPSKSA >CAK8572603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550637656:550637952:1 gene:gene-LATHSAT_LOCUS25153 transcript:rna-LATHSAT_LOCUS25153-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPSSSTLGWHRVEVKGEVQTRKGLWWIPRHPETRKGVVSDEMLRGVEKKHRSEDSRIGQPFELLLNSRAGKRQPGELKHLSSQRKRKQKRFP >CAK8578028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602676326:602683487:-1 gene:gene-LATHSAT_LOCUS30074 transcript:rna-LATHSAT_LOCUS30074-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERASHGLAPRLDIQQLQFEAQHRWLRPAEILEILSNFKLFQITPESPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVREAHEKLKVGSVDVLHCYYAHGEENENFQRRSYWMLEPDMMHIVFVHYLDVKINKTNIGASTDTNGVTSDSQNGSSVSSGLPANSVNMPSGSADSMSPTSTLTSLCEEADSEDTHQASPRFHTFHESQNLGNGPLMGDHGQLSMSGPNYLPLIQGGKSNPSDTRYIEGQTALNIGSWDNGMEKSAGLYTDPSAVSSNSIPSSSMSNFLEQEHAVFTEGRASQSLQSNWQIPFEDNTGEFPKWSFTQSLTSEFESDYSAELMGKETNNSSPEICSDPFYFNCEAKEQPVQQNMSNEHAQSQDAPKSDFESHGEPSVNYSLNVKRAFMNPEESLIKVDSFSRWMSTAFASADDLHTQSSPGIPWGTDECGNVIDDTSLNLSLSQDQLFSIHDFSPKWAYAESEIQVLIIGTFLKSKPNVETCNWSCMFGEVEVPATVLANGILCCQAPPHEIGRIPFYVTFSNRFACSEVREFEFREGFTRNVDLADFFNSSTEMMLHLQLEELLTSNSVNLSDQVFEDDMEKRNLVLKLISLKEEEEYSSNEEPTGEVDISKYMLKMHMFHRQVKEKLFSWLLHKVTETGKGPHVIDKDGQSVLHLVAALGYDWAIAPIVISGVNINFRDVNGWTALHWAASCGRERTVVLLVSMGANAGALTDPCPAFPSGRTPADLASSCGHKGISGFLAESLLTSHLESLTVADANDDGTKEKKVVQTISERIATPVLWGDIPDVICLKDSLDAVRNATQAADRIHQVYRMQSFQRKQLAQYDDNDDDEFGLSDQQVLSLVASKACKSTHGKGSANAAAVQIQKKFRGWTKRKEFLFIRERVVKIQAHVRGHQVRKKFKPIIWSVGILEKVILRWRRKGSGLRGFRPDAINNVPNQPNQDIVKEDDYDFFKEGRKQSEERFQKALSRVQSMVQYPEARAQYRRLLNVVDDFRQKQPCNSSLMNSEEAVDGVADLIDIDMLLDDDNFLPIAFD >CAK8578027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602676326:602683487:-1 gene:gene-LATHSAT_LOCUS30074 transcript:rna-LATHSAT_LOCUS30074 gene_biotype:protein_coding transcript_biotype:protein_coding MAERASHGLAPRLDIQQLQFEAQHRWLRPAEILEILSNFKLFQITPESPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVREAHEKLKVGSVDVLHCYYAHGEENENFQRRSYWMLEPDMMHIVFVHYLDVKINKTNIGASTDTNGVTSDSQNGSSVSSGLPANSVNMPSGSADSMSPTSTLTSLCEEADSEDTHQASPRFHTFHESQNLGNGPLMGDHGQLSMSGPNYLPLIQGGKSNPSDTRYIEGQTALNIGSWDNGMEKSAGLYTDPSAVSSNSIPSSSMSNFLEQEHAVFTEGRASQSLQSNWQIPFEDNTGEFPKWSFTQSLTSEFESDYSAELMGKETNNSSPEICSDPFYFNCEAKEQPVQQNMSNEHAQSQDAPKSDFESHGEPSVNYSLNVKRAFMNPEESLIKVDSFSRWMSTAFASADDLHTQSSPGIPWGTDECGNVIDDTSLNLSLSQDQLFSIHDFSPKWAYAESEIQVLIIGTFLKSKPNVETCNWSCMFGEVEVPATVLANGILCCQAPPHEIGRIPFYVTFSNRFACSEVREFEFREGFTRNVDLADFFNSSTEMMLHLQLEELLTSNSVNLSDQVFEDDMEKRNLVLKLISLKEEEEYSSNEEPTGEVDISKYMLKMHMFHRQVKEKLFSWLLHKVTETGKGPHVIDKDGQSVLHLVAALGYDWAIAPIVISGVNINFRDVNGWTALHWAASCGRERTVVLLVSMGANAGALTDPCPAFPSGRTPADLASSCGHKGISGFLAESLLTSHLESLTVADANDDGTKEKKVVQTISERIATPVLWGDIPDVICLKDSLDAVRNATQAADRIHQVYRMQSFQRKQLAQYDDNDDDEFGLSDQQVLSLVASKACKSTHGKGSANAAAVQIQKKFRGWTKRKEFLFIRERVVKIQAHVRGHQVRKKFKPIIWSVGILEKVILRWRRKGSGLRGFRPDAINNVPNQPNQDIVKEDDYDFFKEGRKQSEERFQKALSRVQSMVQYPEARAQYRRLLNVVDDFRQKPCNSSLMNSEEAVDGVADLIDIDMLLDDDNFLPIAFD >CAK8571544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:437337245:437344120:-1 gene:gene-LATHSAT_LOCUS24195 transcript:rna-LATHSAT_LOCUS24195 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGGKSCPICTEEMDLTDQHLKPCKCGYEICVWCWHHIMEMAQKDETEGRCPACRSAYDKERIVAMAANCRRLVAEMNSQHKKKLQKAKPKSSDGRKHLTDVRVIQRNLVYIIGLPLNLADEDLLQRREYFGRYGKVLKVSISRTATGIIQHSANNSCCVYITYSKESEAVRCIQSVHFFVLEGRSLRACFGTTKYCHAWLRNVPCNNRECLYLHDHGAHEDSFTKDELVLAFARSRVLQIIGATNNLHRRSGSVLPPPPDEPRHMPSATKVVSKSPLDIQITGSCSSNGAANSTALPAANSWARCVSGSLLQDTSSSCSNNLAKKKIEASNGPQSHMPGVACTERSILDTKESGEGENNAHSNSVSVPSTLNKHHIGGNSQTSFVGLDQDKAAPSTSTSFLETGRPHNLNTDKAVSNQDVHGLCSELSSVSINSNLKDSYFTRDSDRLLFTPNSINSSPGKHFPQDSEYCKDHSTTPAFWEDIIVDDMLNKDFDQQQFCKGINNLAFEHQSPHYPQNLNQSNHQLKHQNQICNQNHLTKPSETFTEPLRAGFEKIVESEDTGLDVDNKVASDTGENNIISNILSLELDAWEDSLVKLLDETDEPYSSFKAPALRKIQDKNQSRFSFARQDDSLNKTSVLQQSFGITEHDPKGNYTSGGYNTNKDMFAVKNPYAFTSSSSVLSDKLDGSPSLVPTKFSMAKAHASSPPGFSMSGRIPPGFSRGRVEQGCNSSVEHLQPQYAPPSVNNGRIGDAEFNNPMVFDPSKSLVEERLNSAPFNLRQTFLPQFSPNEDDARLKLIMQQSIASQNLRLTDHNGNRFSPQSDAYRTPSRFLDQFQSNNPSFSEQMRSQQFSSNILGSNNQRGSWSDSMYFSGLSMSEVLNNEIGTFNNFMPSYENIKF >CAK8571545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:437337245:437344120:-1 gene:gene-LATHSAT_LOCUS24195 transcript:rna-LATHSAT_LOCUS24195-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGGKSCPICTEEMDLTDQHLKPCKCGYEICVWCWHHIMEMAQKDETEGRCPACRSAYDKERIVAMAANCRRLVAEMNSQHKKKLQKAKPKSSDGRKHLTDVRVIQRNLVYIIGLPLNLADEDLLQRREYFGRYGKVLKVSISRTATGIIQHSANNSCCVYITYSKESEAVRCIQSVHFFVLEGRSLRACFGTTKYCHAWLRNVPCNNRECLYLHDHGAHEDSFTKDELVLAFARSRVLQIIGATNNLHRRSGSVLPPPPDEPRHMPSATKVVSKSPLDIQITGSCSSNGAANSTALPAANSWARCVSGSLLQDTSSSCSNNLAKKKIEASNGPQSHMPGVACTERSILDTKESGEGENNAHSNSVSVPSTLNKHHIGGNSQTSAFVGLDQDKAAPSTSTSFLETGRPHNLNTDKAVSNQDVHGLCSELSSVSINSNLKDSYFTRDSDRLLFTPNSINSSPGKHFPQDSEYCKDHSTTPAFWEDIIVDDMLNKDFDQQQFCKGINNLAFEHQSPHYPQNLNQSNHQLKHQNQICNQNHLTKPSETFTEPLRAGFEKIVESEDTGLDVDNKVASDTGENNIISNILSLELDAWEDSLVKLLDETDEPYSSFKAPALRKIQDKNQSRFSFARQDDSLNKTSVLQQSFGITEHDPKGNYTSGGYNTNKDMFAVKNPYAFTSSSSVLSDKLDGSPSLVPTKFSMAKAHASSPPGFSMSGRIPPGFSRGRVEQGCNSSVEHLQPQYAPPSVNNGRIGDAEFNNPMVFDPSKSLVEERLNSAPFNLRQTFLPQFSPNEDDARLKLIMQQSIASQNLRLTDHNGNRFSPQSDAYRTPSRFLDQFQSNNPSFSEQMRSQQFSSNILGSNNQRGSWSDSMYFSGLSMSEVLNNEIGTFNNFMPSYENIKF >CAK8570093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23588390:23593375:1 gene:gene-LATHSAT_LOCUS22876 transcript:rna-LATHSAT_LOCUS22876 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINLEEIRNENVNLERIPIEQVFEQLKCSREGLSSEEGSNRLEAFGPNKLEEVEDNKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKAKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLSVDQSALTGESLPVTKGATQEVFSGSTVKKGEIEAIVYATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGIVVELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFSRGMDKDLVILLAARASRIENQDAIDTAIVGMLSDPQEARAGINEVHFLPFNPVDKRTALTYVDTEGNWHRASKGAPEQILDLCNCKENVRRSVHAMIDKFAERGLRSLGVAYQEVPEKTKESPGAPWQFVGLLPLFDPPRHDSADTIRQALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDASTSDIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYMALTTVIFFWAMHDTDFFSDKFGVRPLRHSPNEMMAALYLQVSIISQALIFVTRSRRWSFVERPGFLLVGAFFIAQLIATLIAVYAGWKFARINGMGWGWAGVIWLYSLITYIPLDLLKFAIRYILSGKAWNSVFENRIAFTSKKDYGREGREAQWASANRSVQGLQPAVSKLFNENSSYRELSEIAEQARKRAEVARLMEQNTLKGRVESVAKLKGLDIGTSKQGYSI >CAK8538603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488732751:488734113:1 gene:gene-LATHSAT_LOCUS7784 transcript:rna-LATHSAT_LOCUS7784 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8534150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699375438:699378527:1 gene:gene-LATHSAT_LOCUS3717 transcript:rna-LATHSAT_LOCUS3717 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGYVEFEESPPDDFDPANPYKDPVAMLEMREHIVREKWIQIEKAKIIREKLRWCYRIEGINHLQKCRHLVQQYLESTRGIGWGKDGRHPSLHGPKVEAVESE >CAK8544990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717022513:717028978:1 gene:gene-LATHSAT_LOCUS13626 transcript:rna-LATHSAT_LOCUS13626 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELDKPLLDPHHFIPDDIDLERIPLEQVFQLLKTSPTGLSSDDAEARLQIFGPNKLQERKENKILKFLSFMWNPLSWVMEAAALMAIALANGGGEGPDWQDFVGIIGLLVINSTISFIEENNAGTAASALMARLAPRTKVLRDGQWQEDDAAILVPGDIISIKLGDIVPADARLLQGDPLKIDQSALTGESLPVTKKTGDEVFSGSTCKLGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGMTLEIIIMYPVEHRSYREGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLSVDRNLIEVFNRNMDKDMIVLLAARAARLENQDAIDTAIVNMLADPKEARANITEVHFLPFNPVDKRTAITYIDSDGRFYRASKGAPEQILNMCREKDLIAGKVHAIIDKFAERGLRSLGVAFQEVPEKSKDSPGSPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRNKDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKQHVVGMTGDGVNDAPALKKADIGIAVSDATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTIAKDRVRPSPTPDSWKLPEIFATGVVIGTYLALITVLFYWAVVETTFFETHFHVVSLSSDSEKVSSAVYLQVSIISQALIFVTRSRGWSFLERPGALLMCAFVIAQLVATLIAVYATLSFAEIRGIGWGWAGVIWLYSLIFYVPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGTEDRAAKWVLSQGSLQGLSHTTSGLEVRGRRSSMIAEQARRRAEIARLGELHTLRGHVESVLRLKNLDLKAIQSAHTV >CAK8530676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39362881:39365182:1 gene:gene-LATHSAT_LOCUS522 transcript:rna-LATHSAT_LOCUS522 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRWRNHLKKKNINNKNNSSIANKWKQLSGENHWKGLLDPLHIDLRRYIIHYGEMAQATYDAFNTEKASKYAGCCRYAKNAFFSKVFLENGNPFKYSVTKFIYATSEIDVPEAFMVKSFSREVWSKESNWIGYVAVANDEGKDVLGRRDIVVAWRGTVRSLEWVNDLGCVLVSAPKVFGYDNVVDPKVHDGWYSIYTSEDPRSPFNKTSARDQVLSEIKRLVEIYKHEETSITITGHSLGAAIATLNAIDIVTNGYNKPRDPSLKTSSPVTAIIFASPKVGDVNFQKVFSSYKDLSALRISNEFDIVPNYPFIGYSEIGEELKIDTTKSMYLKSPGNPLSWHNLEAYLHGVAGTQGCRKGFNLEVKRDIALVNKTLDALEDEYHVPVCWRVVENKGMVQQLDGSWKLVDHEDNDDF >CAK8578619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641828685:641830727:-1 gene:gene-LATHSAT_LOCUS30615 transcript:rna-LATHSAT_LOCUS30615 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKKSSSIKATKRNESSHALLHFDDDQPQALSIAPIVSYYNEKIRPVLDALENLRRLNIGKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQNHPLPQPELILEYNGKNVSTDEASVSHAINTATEELAGTAKGISNTPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPDNIYDQIKDIIMEYITPEESIILNVLSATVDFTTCESIRMSQTVDKTGLRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGHESYEEARDEEHKLFETHSLLSKIDKSIVGIPILAQKLVHVQAMIMSKTLPEIIKKINERLGNSLEELENLPANLSSMADAMNAFLQIISLSRDSLRRVLLIGDFDEFPEEKEMHCAARFFEMLNVYANELENCSESNPTKDFLVEEIKVLEEAKITGLPNFMPRAAFLTLLSKKVKGVSYIPINFVDSVWNYLETVLISVLNRYSSNYYQLQVSIRRAAELLIEKKKKSSIQHVLQAVQMERLTDYTCNPEYLKEYYKLMSLQEAFLKEVFNVNRLGNTVKLEGVGEIDVVKLMKYYPDMLTLAQAYDLKARLIAYWKIVLRRLIDVIALHLMLSISELVNIDFPKEICDELLSPSGGGFERLLEEPPSISGKRERLSRSVKVLRESKDTVANIMDRIGVCADN >CAK8569654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7383973:7387080:-1 gene:gene-LATHSAT_LOCUS22482 transcript:rna-LATHSAT_LOCUS22482 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQIGASPICFRHGNVGRNFSISLSATPTFSTKSENRMFILGMGFVGQTLARKLQNQGWVVSGTCTTLVKKKKLEDMGFHVQLFDANQPDMSILQLLRNYTHFLVSIPPAVGIGDPMLRHEELIRSSLVNGSLQWLCYLSSTSVYGDCDGELVNEDYPTNPENELAKLRLTSEQGWSNLAHHLGLSPLLFRLGGIYGPGRSAIDTLIKQKPLSEGQKRRKHRKYTTRIHVDDICQALMATIVSPSSPRVIYNIVDDDPAPREEVFEYARKLVEKKWPDLNLQLLEKKEWSIEKSRNERGEKRVSNALMKKELGVQLLYPDYRSGLQSIIDQIQSPFLCD >CAK8544492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688320450:688325780:-1 gene:gene-LATHSAT_LOCUS13166 transcript:rna-LATHSAT_LOCUS13166-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITVIGASSTCKLPSLELYKKHKLTSISTSTVKLHSFDAKNSRHVSNSLLRHNRLANFNSSDRFLASAVATPNSSSSSLLSDEAFQGLGPGFDGEEDDDFPSRTASLNADELDISKLDLPSQLVDSLRERGITQLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIINGLDDGEDSGRYNSRRLPRALVLAPTRELAKQVEKEIKESAPYLKTVCIYGGVSYASQQGALSRGVDVVVGTPGRLIDLINGNTLNLSEVEYLVLDEADQMLAVGFEEAVEVILEKLPAKRQSMLFSATMPTWVKKLARKYLDNPLTIDLVGDEEEKLAEGIKLYAISATTTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALTNSIISEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDSETFVHRSGRTGRAGKLGSAILMYTGNQRRTVRSLERDVGCKFEFVNAPSMEDVLEASAEQVVATLNGVHPESIAFFTPTAQKLIEEKGTDALAAALAQLSGFSKPPSCRSLITHEQGWVTLQLTRDLENSQRYFSARSVTGFLADVYSKAADEVGKIHLIANETVQGAVFDLPEDIAKELLEKDIPSGNTISKVAKLPPLQDDGPPSDFYGKFSDRERSNRRGSRDGRGFRSSRGWDGGRGSNDDFGDSRRGGRGDFGDSRRGGRGDFGDSRRGGRDDFGDSRRGGRSSFKSGNNWSKPDRSSGDDWLIGGRQSSRSPSSPNRSFGGACFSCGQPGHRASDCPNV >CAK8544493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688320450:688325780:-1 gene:gene-LATHSAT_LOCUS13166 transcript:rna-LATHSAT_LOCUS13166 gene_biotype:protein_coding transcript_biotype:protein_coding MASITVIGASSTCKLPSLELYKKHKLTSISTSTVKLHSFDAKNSRHVSNSLLRHNRLANFNSSDRFLASAVATPNSSSSSLLSDEAFQGLGPGFDGEEDDDFPSRTASLNADELDISKLDLPSQLVDSLRERGITQLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIINGLDDGEDSGRYNSRRLPRALVLAPTRELAKQVEKEIKESAPYLKTVCIYGGVSYASQQGALSRGVDVVVGTPGRLIDLINGNTLNLSEVEYLVLDEADQMLAVGFEEAVEVILEKLPAKRQSMLFSATMPTWVKKLARKYLDNPLTIDLVGDEEEKLAEGIKLYAISATTTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALTNSIISEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDSETFVHRSGRTGRAGKLGSAILMYTGNQRRTVRSLERDVGCKFEFVNAPSMEDVLEASAEQVVATLNGVHPESIAFFTPTAQKLIEEKGTDALAAALAQLSGFSKPPSCRSLITHEQGWVTLQLTRDLENSQRYFSARSVTGFLADVYSKAADEVGKIHLIANETVQGAVFDLPEDIAKELLEKDIPSGNTISKVAKLPPLQDDGPPSDFYGKFSDRERSNRRGSRDGRGFRSSRGWDGGRGSNDDFGDSRRGGRGDFGDSRRGGRDDFGDSRRGGRSSFKSGNNWSKPDRSSGDDWLIGGRQSSRSPSSPNRSFGGACFSCGQPGHRASDCPNV >CAK8542691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538136767:538137393:-1 gene:gene-LATHSAT_LOCUS11509 transcript:rna-LATHSAT_LOCUS11509 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSTIYEFLKQQQQQQPPTSSSASKPKRSAPHQPTTRTFQCNFCYRKFYTSQALGGHQNAHKLERAAARSRTINLNNNNNVVSLSSPQPPPPPPFSLINGSNSNSNSNSKPLTQLEQGHFFHHHHPYWQQFEMESLQFQTHHPHPHPHHHHVATTTTTTNLPVVHFNPSAASSSTHSHHVFSNNAASPPQLVDASDHVNLDLTLHL >CAK8535743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878943209:878947015:-1 gene:gene-LATHSAT_LOCUS5171 transcript:rna-LATHSAT_LOCUS5171 gene_biotype:protein_coding transcript_biotype:protein_coding MSNINKGSKRNLPSWMSSKDNDDDGNSGKKPILDGDGEKSSEIESSKKKTKVQSENAGKSSASCSESKGFDKLMEGVVFVLSGFVNPERGVLRSRAMEMGAEFKPDWNSNCTLLVCAFQNTPKFRQVEADCGTIVSKDWILECYNQRKLVEIDSYLMHAGKPWRKGSKSHEVNEEHKPSVPHKPSKHVDRESSKATTSIKSKGKDIDGARKCFESSEVKKWALDDLNKTIQWLESQEEKPDPSEITKIAAEGILTCLQDAICSLEEKQDIGRGTEDWKFLPRVVEELAKLDAVGNNTSMSKEDIHKQALECKRIYEEELNRLDHESTKKSKINEQQRSKKGKTTAASSSAADYDSDDTIEMTEQEIDLAYKTLSSNMCHM >CAK8560747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39376854:39377132:-1 gene:gene-LATHSAT_LOCUS14398 transcript:rna-LATHSAT_LOCUS14398 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQSSFHCFGLFLGMQEKGSVSFTEDYEFAARSRPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDCLYFINGVLHLRAELTIRH >CAK8530954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66194018:66194446:-1 gene:gene-LATHSAT_LOCUS779 transcript:rna-LATHSAT_LOCUS779 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFYLVLMIIMIFMPSPLLSSYSQPQQPLPEVPTLSSSSPATKTDPSPSSLFPSQDLSPDIAPLLPSSGGALPTPTGSDIPTIPSNPSPPNPDDIIAPGPFSAFAPYGSVQATSNAHRSVVFDIATIAFACLAAYISLQ >CAK8569081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669879031:669879288:-1 gene:gene-LATHSAT_LOCUS21972 transcript:rna-LATHSAT_LOCUS21972 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSMFSHFDISQGKQWSFSLGLGPVKDGNPKPNKEATSSSKATVKDDPKTLMPGGDKKNPTRLRTRFAPEFDGLNCFECIVPSA >CAK8562899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554489264:554492284:1 gene:gene-LATHSAT_LOCUS16361 transcript:rna-LATHSAT_LOCUS16361 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLHETAAGYALFEAHGIDEIGQNTEAVRNSVSDLTRFGKVVKLRSFNPFTSALEGLEQINAVSEGIMTDELRTVLETSLPKVKEGKKPKFSLGVAESKIGSHIQEATKIPCQSNEFVNELIRGVRLHFEKFVGDLKPGDLEKAQLGLCHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFAMRVREWYSWHFPELVKIINDNYLYCKVAKYVEDKSKLAEDNIEALTELVGDEDKAKEIVEAAKASMGQELSPVDLINVHQFAQRVMDLSDYRRRLSDYLTTKMNDIAPNLQSLVGDSVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIATRIDCFSEKGTSAFGEKLREQVEERLDFYDKGVAPRKNIDVMKSAIEVADNIDTEMETEEVSAKKTKKKKQKAAADEVEKAAEITNGDAEDHKSEKKKKKKEKRKLDQEADVQDQVVDEAASGESGKKKKKSKRKDVD >CAK8542637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534199688:534200164:-1 gene:gene-LATHSAT_LOCUS11460 transcript:rna-LATHSAT_LOCUS11460 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLHKFKLLATQCAIAGSPTRSPTTSPVIHLRRRKTLRMFLTRPNDRRRFHPPPLDPPETSPDEVKVRHKLKDLFVSSPSPPPTLQDEKNICQQQQQHHQDDQKDGLLSGSTVGVRFRTGSPFRRSSAVAALRPVSSAFRYRLLRRAWRPVLFTIPE >CAK8566141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384734295:384743429:-1 gene:gene-LATHSAT_LOCUS19302 transcript:rna-LATHSAT_LOCUS19302 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQYGTSKSSPSIFENEAIRNPNPNPITPSSSSSNYEGVDSSSSSLAAKAIRASSARRDSSLSSLYGHSNLSSPRSQPTPTVPPSAKDSRAYEYTSMKKLDESKNGFWGVLARKAKSIIEDDGLTRESEMPGTPRSQFSGVTSRGKGQNLNHLEEGNLKRDSPTIIRGLGAITSSLTHIGGTIGKSFEEGFTIVESRTSDIIQETRKHIRKKPGSILVQNKETNHSTTLQEPQLRTQKSPKQADQELQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRINRERGDSQDDDDLVRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDESNEEVSEVNPLNLPQVPIKSLDSITPSTTSLSLPSEAKLGMGSELTSISCPVAGKNVKDSTSSEVTGISSIAGKDIK >CAK8569099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670795244:670796543:1 gene:gene-LATHSAT_LOCUS21988 transcript:rna-LATHSAT_LOCUS21988 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTTKLFLFFLTLYLSSSLVISTTFIFVNKCNYTVWPGLLSNAGIAPISTTGFVLESGDSKSITPPASWGGRFWGRTLCSQDSTGKFTCLTGDCNSGKLECSGNGATPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLVVPQGGSGDNCTSTGCVGDLLTACPSELRVTSVDGKENVACKSACEAFGSAQYCCSGAFGSPNTCKPSSYSQMFKNACPRAYSYAYDDKTSTFTCASASEYIITFCPSNNNKYPSQKSWQGQNPKSDGSDSSPQLNNGSMVYVGAYDQSEMSSSTCIHIWESKILLTITTAMWFLRHLFQF >CAK8534433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726090486:726092414:1 gene:gene-LATHSAT_LOCUS3979 transcript:rna-LATHSAT_LOCUS3979 gene_biotype:protein_coding transcript_biotype:protein_coding MHISFIPGQLQDLWDLWGLEILMLLSFTIQVILTVYGSRRKDIPGMWIRLTVWFTYLLSSSFAKIIIGKLTEIPESDPDERNIRRELKALFAPLLLVQIGNPDAITAYSIEDNRLGLRQLLTLVLQLAVVIWIIVKSWTHSLLSYLYLPLLVSGLIKYGEVVWTLKSALTKTSGIITVQEIDDQEANMPSLFRFLPDDIPNIELILKAYYRFISLKPHRENWLYQPLYDSLPWMSIDDYDPEDIFHITDAELSFMYDVLYTKAPIIYTKAGFILRVVSFSHLVMTLCGFSILFQQNFSRQLKACFIAGVLGGAVVLEAYQIAQLPFSDWAIVQMIKHQHLPFMIPCLRILGPRAMNRKRWSNTLAQFNLMTFCIHHDKPLKCGRILKFRGMDMMLKKNKNRRRIAFSKELKVLMVEEMKDIDRERGLKPFNHRGDWSLGRYDCLNDLKWSVQRDFDKSITIWHIATDICYYSDSETSSQYHNAASYTKIQMAKSLSDYMMYLLALRPHMLSMATSNIIFGHTCEKLKSLLLEQEESVKDEKEACRILRTERVPHYSKSERRSETVVTSKWHVLRDAQRLARNLMVRENRWKVICSVWVEMLCYAAANCNVDYHSEQIRRGGGLITHVWILLAHKTDKYHISD >CAK8539021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501894058:501894852:1 gene:gene-LATHSAT_LOCUS8160 transcript:rna-LATHSAT_LOCUS8160 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPMIFLVSNILLLLTSSPAFAKTVSPPAPIAPTPTPTPAPAPAPDYVNLTELLAVAGPFHTFLEYLESTKVLDTFQNQANNTEEGITIFVPKDSAFNSLKKPSLSKLKDDQIKQVILFHALPHFYSLSDFKNLSQTSSTPTFAGGDYTLNFTDDSGTVRINSGWSITKVTSAVHATNPVAIYQVDKVLLPEAIFGTDIPPAPAPAPTPEIAPAADSPTEKSADSKSSSPSSSPDGSSGYKLVSYGIWSNIVLASCGLVMMLL >CAK8579415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697122671:697124981:1 gene:gene-LATHSAT_LOCUS31363 transcript:rna-LATHSAT_LOCUS31363 gene_biotype:protein_coding transcript_biotype:protein_coding MAELITAIENRTIFIILVFLSCVVSLSYAASDSELLLMVKDNLEKDATLLTSWNTTTSPCNGDKSNWRGVRCYNGKVWGLKLENMGLKGFIDFDSLKKLPYLRTISFMHNDFDGAWPEINKLVGLKSVYLSNNKFSGDIPADAFVGMQWLKKIYLSNNQFTGFIPPSIVLLPKLMELRLDGNKFTGPIPHLKQSLKSFNVANNQLQGPIPTTLDKIQASSFAGNEGLCGAPLAACAAKKPSIASICMVVVVVCVALIVIGVTVFFILHRRRNREPSSTLENPPSGHHGNKIGYKDAGNDSIRSTRSSGSSRSKKADQMKLSFIRDDRERFDLQELLRASAEILGSGCYSSSYKASLVNGPKIVVKRFKQMNNVGKEEFQEHMRRIGRLNCPNLIPLVAYYYRKEEKLLVTDFVQNGSLAVRLHGHQALGEPSLDWPTRLKIVKGVARGLENLYKDMPSLIAPHGNLKSSNVLLSETFEPIITDYGLVPVTNQEMAKDIMVIYKSPEYLQHGRITKKSDVWSLGILILEILTGKFPATFLQQGKGSEVSLANWVYSVVPEEWNSSVFDKEMGDTMNGEGEMDKLLRIALTCCEEDIEKRCDLKEAVEKILQINERDVVDDIIDMK >CAK8564339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668775410:668776117:1 gene:gene-LATHSAT_LOCUS17654 transcript:rna-LATHSAT_LOCUS17654 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATVFTFSLPSTKTQTRQFLTRPVNPFISPSSFKPKPVFKTPRFLPSITAAISRTKKEETVESVREQLENCYLLAGINYKGFTVKQFQDLRKSLPETTKLLVAKNTLVYKALEGTPFETLKPCMKGMNVWLFVHTEEIPSALKPYREFQKEKKLEENDFIGGVFEGKFYGPDEFNRLETLPTREEIYANLLGSLKTPASSLVTTIQAPARELLMVLKAHIKNLEEKEQGNAV >CAK8566647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445206137:445207216:-1 gene:gene-LATHSAT_LOCUS19764 transcript:rna-LATHSAT_LOCUS19764 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSIPTIGSKLESPTTNPNWLELPKEITANILHRLGAVEILTSARNVCPYWWNICKDPLLWRTIRMSDISILRYNSSNLSKIFRYAVDQSCGGLEDIYLKYFGNDELFQYVADRASQLRCLRIENCQRSLSKEGLSEAVKKFPLLEELHISDCHILHSVSFNTIGQSCPLLKSLKLKGFFDVNKECDYQAFGIGETMPGLCHLELLKINLSNVGLIAILDGCPLLESLDIRGCLHLDLSGSIGQRCKKQIKDLRLPEDYIDESDDTCGDIDLTMAFDLLGEFSHAEQRWQIKRQSKFSNIYQFFTKRFWFL >CAK8560654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34440136:34441143:1 gene:gene-LATHSAT_LOCUS14313 transcript:rna-LATHSAT_LOCUS14313 gene_biotype:protein_coding transcript_biotype:protein_coding MILETFRKFSNSTGLMMNPNKCKIYFGGLDMETRKTLKELLGFQEGVLPFKYLGIPLSSKRLTINHFMPLVDKIVARIHHWSSRLLSYAGRMQLVKSISYAMARYWMHCLPLPKCVIKKVDAICRSFIWTGKDNVSRKCPVAWKTACSPTAQGGMNILNLQTWNNVLLLKCMWNICNKTDTLWVKWIHAHYLKGKDVMTYESKTHNSWIIRGVLKQRDYMDKVWNEWNQAMTTHKFKATVFYKILIDNGTRVPWRKLIRFNKGQPRTVQCLWQACQGKLATKERLKRFGMIEDNICSLCKSEEETMNHLFFHCPRIKHIWKETLELFNIQHESQQ >CAK8540160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542647777:542651800:1 gene:gene-LATHSAT_LOCUS9192 transcript:rna-LATHSAT_LOCUS9192 gene_biotype:protein_coding transcript_biotype:protein_coding MACTCISSSLFTPLQLRHRPPSPSHFPAATFHSFPFIKLNPSHPFRLSALPLFSQPTGGNNNSNYNGGSGGGGSWGYPFNSDDSSSSGSRYTLFLALLLSSVTFCFCQHLLAKFAMANNSNSTSSSIDNEILTQSIWEVKGGNLIKLFPDHLNDIFIASNPGLFSELSSLKPPQVPSFLYNKCREFLVRLMLPEGFPNSVTSDYLEYSLWRGVQGVACQVSGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKILLSDFGRHFDVNPKGWRLFADLLENTAFGLEMCTPAFPHLFVPIGAFAGASRSAASLIQASTRSCFFAGFAAQRNFAEVIAKGEVQGMASRFIGIGLGIGLGNCIGSSTPLVLASFCVVTWIHMYSNLKSYQSIQIRTLNPYRASLVFSEYLLSGQAPPVKEVNAEEPLFPAVPILNAYFATKAQPIVLSSEAKDAAVEIESRLQLGSKLSEIIKNKEEVLALFSLYKNEGYLLSEHMGKFCVVLKESCSQQDMLKALFQVNYLYWLEKNAGIEGRGSLYDCKPGGRLQLSLDYAEREFDHVRNDGESVGWITDGLIARPLPNRIRPGNTE >CAK8530780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49689518:49691299:-1 gene:gene-LATHSAT_LOCUS622 transcript:rna-LATHSAT_LOCUS622 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQISLNSVPPFLSKTYMMVSDPTTDAIVSWSSTNRSFVVWNQPEFSKHLLPNYFKHNNFSSFIRQLNIYGFRKIGQEQWEFYNEDFVRDQPDLLKNIHRRGPVFSHSTPSAHSQGAAASASGAGADAGVGVAAPPLTKSERRNFKAQIEKLRNEKEQLLRTRQRQQEEWNWNEMRLDHSKDRMQQLEINHQSLLSSFGQVLQKSAEVGQSSAICRKQSFLGSPYNNLACIELRRETSEELASANAESASVLGINMEQLDRLESSFTFWENLVKEVSETSYETGSNLDFDDSMNRAHGPGTSRAQLDLKVPPESSGNTRNSLPNSALVPDPDPVELEPDNIFVHDPVIPEAGVIAVPDPIAPEPTVAVVPDSAVLKEQPIGTIPGTNIYNTPFWEKYLVEEPDEYESEISKYCWIFKNRKKPQNDKAEKA >CAK8576611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497642115:497645129:1 gene:gene-LATHSAT_LOCUS28785 transcript:rna-LATHSAT_LOCUS28785 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLQKPTNLLHPISWNLRMNSLTTKHSKPSYKNLIFKRVQFFSSLRTSLDCLSSDGGVEKGLQPIRLPFVVRSPTKVTRFFWNGGGLELVVVDGGSGSSDEDGLVRVFGSVLRDFFIPREVTENYMDYVKWKLVHRVFSSALQVLATQAMFTAIGVGYSSSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRLVTSVLFVGSIGLELLTPAFPRLFLLLATIANIAKQISLACYLATRSAVHQSFAKADNLGEISAKAQIQTVCFDILGLMLAALVNMWLARPQTGLHFFVYPFFASMDLFGIYQGLKHVHLQTLTKDRLEIILSIWIENGYVPSPAVVSEKEVIDFLGVKGKKSSWPIRIGCINPKDEIPNWSMKTIQSITDEDYYFVCTEIFKGLERTQNRILLSIREGAEAVHIITGLLQACYIRRTLGNNASNSALEDWPVIVEDCKRSAERDIGNLIEQMVGKGWVVKNILLSKKEQGRYSFVCE >CAK8571094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:293359253:293361256:1 gene:gene-LATHSAT_LOCUS23787 transcript:rna-LATHSAT_LOCUS23787 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNKEKEEKDIEFMFNEEDVEEELDIETDNGSSDDNYDDDDDTNHAFQPHSFTSNQWPQSYKETTDSYTIATAPSFGSILKGPSFIYSSFGNRSKSSNSDIDGKSPFLSAHEKDSMQNLAGEISLGHGCSFTQTIFNGINVMVGVGLISAPYTVKQAGWASMLVMTLFAVVCCYTAMLMRLCFESREGLTSYPDIGEAAFGRYGRIFVSIILYTELYSYCVEFITLEGDNLTSLFPGTSLDLGGLHLDSMHLFGVLTALIVLPTVWLKDLRIISYLSVGGIVATILISICVLSVGTAVGFHHTGQVVNWSGIPFSIGVYGFCFAGHSVFPNIYQSMADKKQYTKALITCFTLCILIYGSVAVMGFLTFGDSTLSQITLNMPAAAFASKVALWTTVINPFTKYPFCIE >CAK8538438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482656390:482658346:1 gene:gene-LATHSAT_LOCUS7632 transcript:rna-LATHSAT_LOCUS7632 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVGLVRHVFSRNHAIRSHESKIMRRNSTENRRWISVKSYLCGNEFNSVLAEEDSASIKSSEVTVTQSHQEDFATDKEDTKSEETVEKKPNSNSKSLNEKEAAIIIQSAYRSFKLRSKNEEIVTSETCEEKRDLVTESPDRKSMATSVEVQTGNSTEVFSLEGEKMSIYNHIQNRNRTRGIKQKEDWDDSTVSSNVSKMRMQNKMEATTRRERALAYAFSQQLRICSKRKLAKHNNMEQNMSWSWLERWMATRLHDTSSVESHAMNQYENFTNDHKFTIKTRFLDGSGGEEKESCGSNEVPIHFDNYSISSQDEKVSNFKLSTTKTNFKARRTVSRRKTVPSYQFHDEHSKVSTKDGSNNASKDTKQKLKQNGSKTEMSQMTISTLKTSNE >CAK8562261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462920501:462921850:1 gene:gene-LATHSAT_LOCUS15773 transcript:rna-LATHSAT_LOCUS15773 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLKSRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLLREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSAEDVQNKIKLIEEAIAEDLNKKVKEQSSQEMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSIKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8576517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486655277:486655549:1 gene:gene-LATHSAT_LOCUS28699 transcript:rna-LATHSAT_LOCUS28699 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKQVSTSYSETQFLIMEATITALENELSEVKSALADAQSTTKQNQDYLVSMLEKCIGKSIDLEDENVNNEGLPLEDESVINEGLPQ >CAK8577953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598735484:598737106:-1 gene:gene-LATHSAT_LOCUS30009 transcript:rna-LATHSAT_LOCUS30009-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLQTEEENGQFNLSNDGSICGYDSLHLLLKDNLKPHHFQEVNRLLTGLNCGKVLETIALPQSTTALSDKHGFDLQAFCFKADKEVLREPRVVRVGLIQNSIALPTTAHFVDQKKAIFEKVKPIIDAAGSSGVNILCLQEMWMMPLGVWTRDKKWCEFAEPVNGESTKFLQSYAVKYNMVIISPILERDMNHGEVIWNTAVVIGNHGNIIGIHRKNHIPRIGEMNESTFYMEGNTGHPVFETAFGKIAINICFGRHHPLNSLIFGLNGAEIVFNPTATIGELTEAMWPIEQRNAAIANSYYFASINRVGTETFPSPVTSGGGKPAHRDFHFYGSSYISAPDASCTPCLSHHRDGLLVTDMDLNLCRQYKDKWGFRMTARYELYAETLARYLKPEFEPHVIRDPLLH >CAK8577952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598735484:598737157:-1 gene:gene-LATHSAT_LOCUS30009 transcript:rna-LATHSAT_LOCUS30009 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIPSAVTLVYHIVWEMEKKLQTEEENGQFNLSNDGSICGYDSLHLLLKDNLKPHHFQEVNRLLTGLNCGKVLETIALPQSTTALSDKHGFDLQAFCFKADKEVLREPRVVRVGLIQNSIALPTTAHFVDQKKAIFEKVKPIIDAAGSSGVNILCLQEMWMMPLGVWTRDKKWCEFAEPVNGESTKFLQSYAVKYNMVIISPILERDMNHGEVIWNTAVVIGNHGNIIGIHRKNHIPRIGEMNESTFYMEGNTGHPVFETAFGKIAINICFGRHHPLNSLIFGLNGAEIVFNPTATIGELTEAMWPIEQRNAAIANSYYFASINRVGTETFPSPVTSGGGKPAHRDFHFYGSSYISAPDASCTPCLSHHRDGLLVTDMDLNLCRQYKDKWGFRMTARYELYAETLARYLKPEFEPHVIRDPLLH >CAK8566071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376814512:376814907:-1 gene:gene-LATHSAT_LOCUS19238 transcript:rna-LATHSAT_LOCUS19238 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVYVMVNTRLTKNKAERKKRDLTIDGFQDDDDWWYVAEEENAGGNHVNVADLDEDLMQTAGAKSTAHVDEFDVLETIESDNEEGNTNEGDGEDDNDNDYDGENDEINADEEVDTMGRNLNYRHICDLY >CAK8530862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56873795:56874661:1 gene:gene-LATHSAT_LOCUS697 transcript:rna-LATHSAT_LOCUS697 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKPNGYSGSSSRRETTSSQSEETKEEKSEWEMRPGGMLVQKRIGKPEAPMANLRLRIAYGALRHDIYVSSTATFGEVKKVMSEETGLKVEEQRVLYRGKERENGEYLDVSGVKDKSKLVLIQDASSIERRFIQMRINAKIQTANRAINNVCLQLDQLEEQVSVIEKSISNGVKVPEVQIITLIEMLMKQAIKLESISAEGDASAQKILQGKRVQKCVETLDILKASNATAKPVVVTTKWEIIDHSLPPTKWELFD >CAK8567679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535460483:535462249:1 gene:gene-LATHSAT_LOCUS20708 transcript:rna-LATHSAT_LOCUS20708 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAVVDGGGAAPAPQGRQQGGGGFSFTGIIRMVVFWYFASKFFSPAKKPTEPSALVSNLFHKGQPLDMWLYLTENEKFNEFGSESALVWHETNIPYAVWVPESTRTLTLNFPPTESLKHNGSLYAHVFFAQSGYSPDPSDPEYQSQAAFGRTLPVVVYSPKSKADKKKSLLGGVPDSSEGQVTPEVVDDSEDDSEDDGSVEWLAYWKPNITINLIADFTQYPNTGIPPNIAPHLNIDPITGNYYPTIYFNDFWLLRDKLIALNETVTELTLNLEVGPISMTKWQLFMQVDQSFQVHRSYGSMIEGEADELKRVFLEGNLYLLGVTMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLFAKTVVVSFISQLIIFLYLLDNDISWMILLSSGVGLIIEFWKIGKAMHIEIDRTGRIPMLRFRDRDSYAGNKTKEYDDIAMKYLTYVLFLLSAGFAGYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYVYQRWIYPVDKKRVNEFGFGGDDEQAIDSAETVAAKEEEKKTN >CAK8531857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166769862:166770413:1 gene:gene-LATHSAT_LOCUS1621 transcript:rna-LATHSAT_LOCUS1621 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSRAASMSKKIRLVHLSGYVEDFEQPITVNQVTNTRNPPTHFVCTSLQLLSSCSKPLKGDTQLQPGNVYFMLPYSILQADVSPVDLASLAKRLTAKAKTSRCEGKKSLKGVPLSNQDGLSSIWSSPSMSPGRVAGAEQFGMAYGGRSTCRGRLWRPLLDTIREKSFNRSSESDLKENL >CAK8538711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493485010:493486443:-1 gene:gene-LATHSAT_LOCUS7882 transcript:rna-LATHSAT_LOCUS7882 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQVVFIPSSGLSHLVSTLEFAKLLIHRDNRLRITVLVMKFPNTSEADAYVDSLPISDSLHIVNLPESSLPPNTDRASAMKALLEAQKPHVKQAVSNLSAEEEQHGPLAAFVVDMFCTTMIDVAKELSVPALIFFTSGVASLGLMLHLHTLFERDNFDLTQLLQMNEVAVPSFANSVPIHSLPSFMIEKERELFFKSFVGGLKKPDGIIINSFEELESHAVHSFFSHPDLAALPIYPVGPLLHPEPKTKSTDDSDDIIKWLDNQPLSSVVFLCFGTRGFFYADQIQEIAHAIENSGVRFLWSLRKPSPNGIMSAPSDYPLSDLVSLLPEGFLDRTSDIGKVIGWAPQTQILAHPATGGFVSHCGWNSTLESIYFGVPIAAWPLYAEQQANAFELACELKLGVEIALDYRVQLNGEHNYVVTAEKIERGIKSVLSDDGELRKRVKEMSEKSRKTLLEGGSSYVYLGNLIDYIMNQI >CAK8571940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495367091:495368404:1 gene:gene-LATHSAT_LOCUS24558 transcript:rna-LATHSAT_LOCUS24558 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNFQHFITLLLLLFFISPTFSQQSFRPKALVLPVNKDSAKTLQYTAQINQRTPLVPLNLVVDLGGQFLWVDCENKYTSSTYRPARCRSAQCSLAKANGCGDCFSSPKPGCNNNTCGLLPDNTVTHTATSGELAEDVLSIQSTNGFNPGQTVSVSRFLFSCAPTFLLKGLADGASGMAGLGRTKIALPSQLASAFSFDKKFAICVSSSSGVVIFGDGPYGFLPSIVLDSKSLAYTPLLINPVSTASAFSQGEASAEYFIGVKTIKIDEKVVPVNTSLLSIDSNGVGGTKISTVDPYTVLEASIYKAVTDAFVKASIARNIKRVGSFAPFEFCFSSDSVGATRLGAAVPTIELFLQNENTVWRIFGANSMVNINDDVLCLGFVKGGENLRTSIVLGGYQLENNLVQFDLAASRLGFSSLLFGRQTGCFNFNFTSNA >CAK8579749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720702199:720708639:1 gene:gene-LATHSAT_LOCUS31670 transcript:rna-LATHSAT_LOCUS31670 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAASPSSQIWVMLGLGLAGIYVLTRKLTQAVKEDFGAFILKLKLLPPPPPAPPKAPHPLSSLNFAVTDIFDIEGHVSTFGHPEWARTHEPASSTSLAVSTLVESGATCIGTTVVDELAYGISGENKHFGTPTNPAVPNRVPGGSSSGAAVAVAANFVDFSLGVDTSGGVRVPAGFCGILGFRPSHGAVSHIGIIPVSTSLDTVGWFAKDPDVLRRVGHILLQAPFVMQRNPRQIIIADDCFQHLNVPLDRSSQVVIKATEKLFGKQVLKHINLEDYISSKVPSLKACSIQKSNGALKSSSLKLLANIMQFLQRHEFEHTHNEWMSIVKPDLHPAVSAQLHEKFEVSEVEIENSKSVRSELRVAVNSLLKDEGVLVIPTVADPPPKLGGKEILSHDYQSRALSLLSIASISGCCQVTIPLGFYDKNPVSVSLIARHGGDRFLLDTLKTMYTVLQEQADIAAPSKSSKSVVSKEQSAETAKEKGNQAYKDKQWQKAIGFYTEAIKLCGNNATYYSNRAQAYLELGSYLQAEEDCTTAISFDKKNVKAYFRRGTAREMLGYYKEAIDDFKYALVLEPTNKRAASSAERLRKLFQ >CAK8579750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720702199:720707536:1 gene:gene-LATHSAT_LOCUS31670 transcript:rna-LATHSAT_LOCUS31670-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAASPSSQIWVMLGLGLAGIYVLTRKLTQAVKEDFGAFILKLKLLPPPPPAPPKAPHPLSSLNFAVTDIFDIEGHVSTFGHPEWARTHEPASSTSLAVSTLVESGATCIGTTVVDELAYGISGENKHFGTPTNPAVPNRVPGGSSSGAAVAVAANFVDFSLGVDTSGGVRVPAGFCGILGFRPSHGAVSHIGIIPVSTSLDTVGWFAKDPDVLRRVGHILLQAPFVMQRNPRQIIIADDCFQHLNVPLDRSSQVVIKATEKLFGKQVLKHINLEDYISSKVPSLKACSIQKSNGALKSSSLKLLANIMQFLQRHEFEHTHNEWMSIVKPDLHPAVSAQLHEKFEVSEVEIENSKSVRSELRVAVNSLLKDEGVLVIPTVADPPPKLGGKEILSHDYQSRALSLLSIASISGCCQVTIPLGFYDKNPVSVSLIARHGGDRFLLDTLKTMYTVLQEQADIAAPSKSSKSVVSKEQSAETAKEKGNQAYKDKQWQKAIGFYTEAIKLCGNNATYYSNRAQAYLELGR >CAK8572179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517576354:517579387:1 gene:gene-LATHSAT_LOCUS24772 transcript:rna-LATHSAT_LOCUS24772 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKYYLDMLLVPLGFMVIIGYHVWLWHKVRTQPSSTIIGINTHGRRSWVPAMLKDIEKKNILAVQTLRNLIMGSTLMATTSILLSAGLAAVISSTYSVKKPLNDAIYGAHSEFMVALKYVTLLTIFLFSFFCHTLSIRFFNQVSILICTPQNVMSYFVVTPEYLTELLDKGIVLSTVGNRLFYSAFPLLLWIFGPVLVFLCSVAMIPVLYNLDFVCGNGKGNGVKINDKGGEDYV >CAK8574577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2790532:2792782:-1 gene:gene-LATHSAT_LOCUS26907 transcript:rna-LATHSAT_LOCUS26907 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTSWDSLRKQARKLEAQLDEQMNTYRKLVSTNVSTKGDAAESDLESWIERLIKQLQQVNSHMQAWVSSGGSDMVSHTLTRHQEILQDLAQEFYRLRSSHRAKQEHASLLDDFKEFDRSRLDFEEGGESEQHTLLREHAAISRNTGHMDGVISQAQATLGALVFQRSTFGGINSKLSNVSSRLPTVNNILSAIKRKKSMDTLILSLVASVCTFLILIYWITK >CAK8542000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:449554094:449554537:1 gene:gene-LATHSAT_LOCUS10877 transcript:rna-LATHSAT_LOCUS10877 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRLYLGRCVLKPKFEETVKGFITWAFAQECYRSEAGVRCLCLNCECRPIIIDPEEVERHLKKRSFIKNYWVWTYDGEEMSSNVSEITNMHASSSRTHMEYDGQFNLIGEMVGDAFGVIATYDESEDFEGEKLPNEETQNFHHLLK >CAK8534592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746304511:746305335:1 gene:gene-LATHSAT_LOCUS4125 transcript:rna-LATHSAT_LOCUS4125 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLIRTHDSDASNWAAGGAFCVQPACIEPTSVCIQPVYFMPKLFSQKNKKPRKPKADPGLTTSALPELLAEPTSARSMSFVGTHEYLAHEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASWDLIRGLLVKEPQNCLGMKRGATELKQHPFFEGVNWALIRCSTPPEIPRPTNHSAITCETCTQSKPDEFCFNSSHTRQTTCNATKETHK >CAK8534845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776370738:776371199:-1 gene:gene-LATHSAT_LOCUS4353 transcript:rna-LATHSAT_LOCUS4353 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVCETLAYDVKIKGDNLLMSLMEELPCDENDDERLDSLIRSFEAEISENKMGDHDDSTSIELLQMKSNFEENYHESSWNIGQVDDFGVEWVDMDLIPSFQFDDGSWECFGDEKDVIVVDHLMVCDDGFNMEEEHVYNSFWQDNYEMGLVH >CAK8531701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141145066:141159526:1 gene:gene-LATHSAT_LOCUS1473 transcript:rna-LATHSAT_LOCUS1473 gene_biotype:protein_coding transcript_biotype:protein_coding METTLAFFMQTSSYRVLSQNAYSYSRKTNSIGLFCSRGISSAKVVYLSKVSNYCTHIRDDICSSKRRSRGPVMAGKKAAEGTKQEDGKYKHTVDLPKTAFGMRANSSVREPEIQKIWEDNQVFKRVADKNNGGNFILHDGPPYANGDLHIGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDKEARNNLTPLKLRAKAAKFAKDTVKTQMSSFKRFGVWADWNNPYLTLDPEYEAAQIEVFGQMALKGHIYRGRKPVHWSPSSRTALAEAELEYPEGHVSKSIYAIFRLASAPLMPSDLLQEFPNLCLAIWTTTPWTIPANAAVAVNPKLEYVVVEVKSLNEQASSSGETRKKRLGTVLKDENKLFLIVASELVPTLEAKWDVKLVVKRKLLGSNLENYRYIHPVDNRECPVVVGGDYITTETGTGLVHTAPGHGHEDYVTGQKYGLPMLSPVDDNGIFTEEAGQFSGLDVLGEGNIAVVKYLDENLSLIMEESYQHKYPYDWRTKKPTIFRATEQWFASVEGFREAAMDAIGRVKWVPPQGENRISAMTSSRSDWCISRQRTWGVPIPVFYHVQSREPLMNEETINHINSIIAQKGGDAWWYMTVEELLPAKYRDKAAEYEKGTDTMDVWFDSGSSWAAVLGKRESLDFPADLYLEGTDQHRGWFQSSLLTSIATTGKAPYSSVLTHGFVLDEKGLKMSKSMGNVVDPRSVIEGGKNQKATPAYGADVLRLWVSSVDYTGDVMIGPQILRQISEIYRKLRGTLRYLLANLHDWETDFTVKYDELPRIDRHALFQLENVVKNIQGNYENYQFFKIFQVLQRFVIVDLSNFYFDVAKDRLYVGGSTSYTRRSCQTVLAAHLLSIVRVIAPILPHLAEDVWQNLPFQYTTEYGSFAEYVFESRWPTLNERWLTLPVEEIEFWEKILELRTEVNKVLEVARTGKLIGASLDAKVHIHTSDAIMASKLSELCTSEIDADTLNRLFITSQAEILPSLEDELVVNIPYSGEYLIQGNSKVWIGISRASGSKCERCWHYSHQVGSFSDHPTLCSRCYDVVAVQMPSGSELAAIS >CAK8569647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7175177:7178725:-1 gene:gene-LATHSAT_LOCUS22475 transcript:rna-LATHSAT_LOCUS22475 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYNYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFNNFTASSREDLIKDALIATRESLQGEKLRSSVCTIAVVGVGEPFHILDQETVQQLIDTFEIVREEESAPAEPEVATEQDAAPDQAPGTENQGGAEPQGGSPMDI >CAK8532435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260752474:260753655:-1 gene:gene-LATHSAT_LOCUS2140 transcript:rna-LATHSAT_LOCUS2140 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGDSNQREDVEVDHGDGDESLWVPVIGMCFSCLEEVKTYYQEYTLKKGFGWRIRSSKKGDDRELHYLILSCSREGSNISKISCTLKTLPSRAKNCPAKICIKLKQDGLWYITQFEENHSHETSPTKARLFKANKKMNLHVRRTIQINDDAGVRINKTFQSLIKDAGGHENIPFCEKDVRNYINKERRAIGKEGDGKALISYFCKMREQNTNFFYDIDLDDDFHVRNVFWADARSRAAYEYFGDVVTFDTTYLTNKYDMPFAAFVGVNHHGQSTLLGCGLLSGEDTDSFVWLFKSWLRCMLEKGPLGIVTDQCKAMKNAIELVFPTTRHRWCLWHIMKKVPEKLSGYGAYKRIKYAMKEAVYDTFTTDSFEQKWCSFIDVGSLTWC >CAK8541882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420449695:420453401:-1 gene:gene-LATHSAT_LOCUS10772 transcript:rna-LATHSAT_LOCUS10772 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTALSINPSLPSSSSSSSHPSTITSLTFQRFSSLRPKPITLSCRKTQFCSFQGRKTVKSRKSLVLEAANMDETEVDSHDEEGKEEGSSSSLVDSENQRNSKPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVMVVTTHKGVPREFYGAKVIGSWSFPLPWYQNVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHIPVYIPRYTFSWLVIPMWWVIKFLHRAADLTLVPSVAIARDLQAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPEKPLIVHVGRLGVEKSLDFLKGLMDKLPEARIAFVGDGPYRVELEKLFEGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVGARAGGIPDIIPADQEGKTGYLYNPGDLEDCLSKLKPLLVDKELRETIGKAARDEMEKFDWKAATRKVRNEQYNAAIWFWRKKRAILFRPLQWITKRVFPSPQVNYR >CAK8541955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441740006:441740632:-1 gene:gene-LATHSAT_LOCUS10838 transcript:rna-LATHSAT_LOCUS10838 gene_biotype:protein_coding transcript_biotype:protein_coding MNELTKKGKSVPTKDDWKHINLITPFLKLFYDATILISGSSYLTSNIYMFEVLGIGKSIADMCATEDKHLCSAAQKMKKKYDKYWEPHEKLNMMLLIALFFDPRRKIKLVDWMVRLYYNKDDADALKANLDFYLKSIYDEYCAGFMTPQGNSDEPHVFGSVSHPYGIAEFYLSEGCDNADNELSTYLGEKLEHNMEINILEWWKVNSG >CAK8541957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441740006:441740449:-1 gene:gene-LATHSAT_LOCUS10838 transcript:rna-LATHSAT_LOCUS10838-3 gene_biotype:protein_coding transcript_biotype:protein_coding MCATEDKHLCSAAQKMKKKYDKYWEPHEKLNMMLLIALFFDPRRKIKLVDWMVRLYYNKDDADALKANLDFYLKSIYDEYCAGFMTPQGNSDEPHVFGSVSHPYGIAEFYLSEGCDNADNELSTYLGEKLEHNMEINILEWWKVNSG >CAK8541956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441740006:441740488:-1 gene:gene-LATHSAT_LOCUS10838 transcript:rna-LATHSAT_LOCUS10838-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVLGIGKSIADMCATEDKHLCSAAQKMKKKYDKYWEPHEKLNMMLLIALFFDPRRKIKLVDWMVRLYYNKDDADALKANLDFYLKSIYDEYCAGFMTPQGNSDEPHVFGSVSHPYGIAEFYLSEGCDNADNELSTYLGEKLEHNMEINILEWWKVNSG >CAK8571779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:472112116:472112562:1 gene:gene-LATHSAT_LOCUS24411 transcript:rna-LATHSAT_LOCUS24411 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSNSTNGNVDQIKYRGIRRRPWGKYAAEIRDPTRKGTRIWLGTFDTAEQAARAYDAAAFHFRGHRAILNFPNEYQGPNSSTSSLPMPLTVPNPNPNPNLNPNPSSNPNLNPPSSSSFSSYSFDDNYDFELLDNKLLHELLHDGTQ >CAK8560595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27186648:27187253:1 gene:gene-LATHSAT_LOCUS14256 transcript:rna-LATHSAT_LOCUS14256 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFHHGDFEDTKVRIVTSNGGIMELYSPITVECITNEFPHHGIFKNNCNILSKPLMKNEELQGGEVYYLLPLKHMTNKQFGETFETLTPYRMSTCDRSSSNNNGSNMWSEHEVFPRYNSGGVWKVKLVISPEKLSEILSQESRTEALIESVRTVAKCGNGAAPSSVACSDQWSVSSSFKGSSLLEKFNLESSSSN >CAK8575907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:353637211:353641682:-1 gene:gene-LATHSAT_LOCUS28132 transcript:rna-LATHSAT_LOCUS28132 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHQVTEIDKNYALCIKECEKGCSSCVCCLSPSTCSSSSSTSPLASSSYLDLWHACAGPLISLPKKGNLVVYFPQGHLEQFASFSPFKQLEIPTYDLQPQIFCRLVNIQLLANKENDEVYTQVTLLPQAELAGMHMEGREVEELEGEEEGDGGSPTKSTPHMFCKTLTVSDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVNQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPESVIGNKNCYPNFLSSVANAISTKSMFHVFYSPRASHAEFVVPYQKYVKSIKNPVTIGTRFKMRFEMDESPERRCSSGMLIGMNDLDPYRWPKSKWRCLMVRWDEDMDTNHQDRISPWEIDPSTPLPPLSIQSSPRLKKPRTSLQVASPSHLTTARSSGMMGFEESVRSPKVLQGQENSGFMSRYYGCDKGTNQPGFDLSSSSHRQNLASTGIGKVVTSSELMSVHPFGYAGFMESNNFPRVLQGQEICKLKSLTGKVDFNVGAWGKSIASCTNFNLHQGTKHNFQSAYFPYGDIHNADQANIFSSKQNVGFNAPPIIAGNIRNEVGRSESNLPIEHKLQDNISVSASIGTADMKVPNDNNVNGKVNSCKLFGFPLSAETSSQNLQSAAKRSCTKVHKQGSLVGRAIDLSRLSGYIDLLSELEKLFGMDGLLRDSDKGWRILYTDSENDIMVVGDDPWHEFCDVVSKIHIYTKEEVEKMTFGMNNDDTHSCLEQAPVLIEPSKSSSVGQPDYSPTVVRV >CAK8569476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2396562:2397002:1 gene:gene-LATHSAT_LOCUS22324 transcript:rna-LATHSAT_LOCUS22324 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSETFRICDIIHDMRHNYSVGITVAGAWKAKPIEKKIIEGDADKQYANLWGYATELQRVNVGNILKINIDRPNPSIQPRFGSFYFCFDGSKKGFINGCSPFVGVDGCHLKTKYGGQVLINMGRDTNDQYFPLAFGVVETETKDS >CAK8542401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509719987:509722179:-1 gene:gene-LATHSAT_LOCUS11242 transcript:rna-LATHSAT_LOCUS11242 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLWKTHMRLQVIKLVLTLFVVTLRTAESRKAKIVTASFEYNGINCRAHTASLTDFGGVGDGVTSNTKAFQSAITNLSQYGSEGGSQLYVPAGKWLTGSFSLTSHFTLYLDKDAVLLASQDITEWPVIEPLPSYGRGRDAPAGRFTSLIFGTNLTDVIVTGENGTIDGQGAFWWQQFHRKKLKYTRPYLIELMFSDGIQISNLTLLNSPSWNIHPVYSSNIIVQGITIFAPVTSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPFSATIALGSEMSGGIQDVRAEDITAIQTESGVRIKTAVGRGGYVKDIYVKRFNMHTMKWAFKMTGDYNSHADTHFDPNALPEIANINYRDVVAENVTIAAKFEGISNDPFKGICIANVTLGMAVKAKKRPWTCTDIEGMTSGVTPTPCDLLPDQGPEKIAACDFPEESLPIDKLELKKCAYNMKYVEMY >CAK8572537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544716607:544717182:1 gene:gene-LATHSAT_LOCUS25091 transcript:rna-LATHSAT_LOCUS25091 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFEPSHTLQESNHKKRRKIGDIPADQNSLTLMRWRSESDQNNYSKKLIEALSRINSPATTKPRAAGQVRETADRVLATSAKGRTRWSRAILGKWKKLRRHHKKVKKAANGLNRTGIVKQRMTRRLPAVQKKTRVLGRLVPGCRKVPLPNLLEEATDYISALEMQVRAMTALAELLAGRTSAGLAGHVMS >CAK8570236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30619536:30620555:1 gene:gene-LATHSAT_LOCUS23006 transcript:rna-LATHSAT_LOCUS23006 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYRDKNSAMKLKSNDPDSRKKMASSTKNPPDSKIKSVTKSEVKNKAISSSSKSTTKTITTKVREKKVYNLPGQKHDPPEQKEPLRIFYESLSKQIPTSEIAEFWLMEHGMLSPESAKRAFEKKHRKQKELRVGTPVKPSKSATKTETSNKQESKNGDIKAKKAIVESDEDEDDCILSPKRRKG >CAK8535726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877156378:877156887:1 gene:gene-LATHSAT_LOCUS5155 transcript:rna-LATHSAT_LOCUS5155 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNNGYKSKQCSSTASGYICRIQVLVMSFTLMLMLVILFLVFDNVHDNDNHGVKVKVKPSLAYDSHKQKWNSFDSLVKLHPTREFRNGTDLIWQVPESPKGVLFLAHGCNGKAINFWDKSSECPDCVSLPEERLLVLHGLAEGFAVITISSARRCWSYGNNEVLIVQD >CAK8538312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476256280:476260215:-1 gene:gene-LATHSAT_LOCUS7525 transcript:rna-LATHSAT_LOCUS7525 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTQTEVYSPPRTQQVWKSLLSWFTFFFQIFSQIIRTLGHYPLLSSSSSSSSSSTSTSSSFKPLPSVELQEYDSSPPSAVEITSDFPVSDHRPVQKLTVVLDLDETLVCAYETSSLPAALRSQAIEAGLNWFELECVSSDKEGEGKPKINYVTVFERPGLKEFLTKLSEFADLVLFTAGLEGYARPLVDIIDKKNLFSLRLYRPSTISTEYREHVKDLTFISKDLDRIVIVDNNPFSFVLQPVNGIPCIPFSAGHPHDTQLLDVILPLLKQLSEQNDVRPLLYEKFHMTDWFLKQGIPASSWKL >CAK8566894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470515119:470518916:1 gene:gene-LATHSAT_LOCUS19993 transcript:rna-LATHSAT_LOCUS19993 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQYVGSSSSSSKVASLKKFDVFISFRGEDTRKTFTSHLYEALNKKVLTFIDIELEKGDEISPALNKAIEGSGASVVIFSKDYASSKWCLNELVKILECKRDRRQIVIPVFYDIEPSDVRNQSGTYQQAFEKHEQDLRHNKDKLQKWKDALTEAANLSGWNSQNYWMESNFVKDILKDVLKKLCERSPFEVNEELVGIDTKYEKMESLLKIGSNDVRTIVLWGMGGIGKTTLAKHLYGRLCSQFERTCFIENIREESTKHGLKFVRNKLFSTLLEFPLNAPYVETPIFKNRLAHEKSLIVLDDVATLEQAENVNIVNSCLGKGSRVIITTRDMQICSQFDECEIYEFEEMNADESLQLFCWNAFGEKCPKDGYDNLSKRAILFCRGNPLALKVLGANFRTKKSKEAWESELGKLKRNPNKRIHDVLKLSFDDLDSTQQAIFLDIACFFKSEFYYEYLDDKDYKTAVWNACEFFAESGLEVLQYKALIYSYKEPYIQMHDLLKEMGKEIVMKESVKDPGRRSRLWDQKDVYDVLKYNKGTEVVEAIVFNSDQLGDLYLSSDSFKSMANLRHLHITTYNECRLHLCEGLEWLSDKLRHLHWDSFPLESLPSNFSAEFLVKLKMQHSKLKKLWDGIQRLDNLMILDLDYSEDLIEIPDLSRAPNLQIVSLSYCKSLCQLHPSIFSTPKLTNLKLNGCKKIGSLKNNVHLKSLQTLELSDSSLAKFSVTSEEIMEQSLWGAVVHGFSSLMCNKNLTGLHLTGCTQITTSSLWLILDGTPSLKELHLSGCRNLETLPDNIQNNSMLETLDLNDCRKLKSLPKLPVSLRSLRAQNCIHLDISSIRQLIHENIQRLRSPQSMLHPRISFNRPFAPFDKNIRPYDMDLFYFPGAQVPSEFDFHTTKASIVIPPIPKYGLCGFVICIILSGEMGKLFSQVLCTIYQHTRVIYQYRGMLLTEDFISDHVLLGCIGCYNSDWGNVGRESEGDHYNLSFEFTYFDLNDVKVLSTKEIKGCGVIPVYDLKRSGVEIFEIPANPQLSDSDDINELQFMAKGFDDCNQNLKFDTNESQHQEIRPENEDNQQQRIIPLTEKMEKLNAKSSCSCSIGIKLCARVLFSCVQFLYPVFVGINYCVFLFMILFCIIMLQVVTFSNK >CAK8563049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569422345:569427155:-1 gene:gene-LATHSAT_LOCUS16496 transcript:rna-LATHSAT_LOCUS16496 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVVIVAINWKRYHSCTYPLHIWIVVDYTAVFVFRLLMFVDNGFAAGMGLDFGWQQRYARFCGRVAVLSILGLLLYPFLWAWTIVGTMWFRSAKSCLPEEGQKWGFLIWLLFSYCGLLCIACMSVGKWLTRRQAHLVRAQQGIPVSEYGVLVDMIRVPDWAFEAAGQETRGMGQDAAAYHPGLYLTPAQREAVEALIQELPKFMLKAVPTDCSECPICLEEFRVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLRPDSERSSASVVTTTSYVRGQPSSQSYLLRLQGLLRPVRAEIAGPVGDTDNALQNAENGVVVPIVTQNAPNRVQVSSVECMPVSHSSAQT >CAK8543564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620086764:620091284:-1 gene:gene-LATHSAT_LOCUS12313 transcript:rna-LATHSAT_LOCUS12313 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLHQLLRSLCFNTHWNYAIFWKLKHCAPNPMILTLEDAYYDNSDYFDSSENKYCQKTLDQIKGGKFSHEALGLAVAKMSYNVYSLGEGIVGQVAVTGKHRWICADDQATSSGLSFEFDDLWQTQFSAGIRTIVVMSVVPLGVVQLGSLIKVNEDMGVINQLRNLFLSTQDYSIDHIPSQIQSSLKSSSSQDILKEKSSSDIMPACMTNETLGLLMPLQCSGRNGATNSAYWEMGDDVVKYEGPELNSDASPILLQSAFGMINVEHQEFGEIRPLSARECAGGSDGCKNMKLESEQNLSSFLNNSVINNDGVGDLIHRSEKARVDSACFPTDFLDAYVSESDKSHKHCEKSEFWTVPCGKDTSYTELSFPAGCELHEALGPASLKGSKYFDLLAQVNQNVKIVDMPDAVNTSQSTCQSPPEHLLEAMVANICHSSNDDVNSESSFYRSKQSAISSGKKPEVSIQNVHTVNSECYSIDHPSLFQEGKHHCLSSSSGICGVMSSKGISSICPSACSEQLERSSGPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRDLVPNGAKCSIDSLLERSIKHMLFLQSVTKHADKLTKFAVSKSKLHHVEADIHGSSSSEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEEWSHFLEIAEAIRSLGLTILKGATKTRDDKMLICFIVEVENNKNIHRLDILWPLVQILQSKSNVQQQ >CAK8543563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620086764:620091284:-1 gene:gene-LATHSAT_LOCUS12313 transcript:rna-LATHSAT_LOCUS12313-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLHQLLRSLCFNTHWNYAIFWKLKHCAPNPMILTLEDAYYDNSDYFDSSENKYCQKTLDQIKGGKFSHEALGLAVAKMSYNVYSLGEGIVGQVAVTGKHRWICADDQATSSGLSFEFDDLWQTQFSAGIRTIVVMSVVPLGVVQLGSLIKVNEDMGVINQLRNLFLSTQDYSIDHIPSQIQSSLKSSSSQQDILKEKSSSDIMPACMTNETLGLLMPLQCSGRNGATNSAYWEMGDDVVKYEGPELNSDASPILLQSAFGMINVEHQEFGEIRPLSARECAGGSDGCKNMKLESEQNLSSFLNNSVINNDGVGDLIHRSEKARVDSACFPTDFLDAYVSESDKSHKHCEKSEFWTVPCGKDTSYTELSFPAGCELHEALGPASLKGSKYFDLLAQVNQNVKIVDMPDAVNTSQSTCQSPPEHLLEAMVANICHSSNDDVNSESSFYRSKQSAISSGKKPEVSIQNVHTVNSECYSIDHPSLFQEGKHHCLSSSSGICGVMSSKGISSICPSACSEQLERSSGPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRDLVPNGAKCSIDSLLERSIKHMLFLQSVTKHADKLTKFAVSKSKLHHVEADIHGSSSSEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEEWSHFLEIAEAIRSLGLTILKGATKTRDDKMLICFIVEVENNKNIHRLDILWPLVQILQSKSNVQQQ >CAK8535432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847710028:847710459:1 gene:gene-LATHSAT_LOCUS4896 transcript:rna-LATHSAT_LOCUS4896 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWLDGSWSWQLDLNLEDANVSLIQEYHELSELLLEFCPVTRQNDSIIWPFCKSKTFSVLSCYDRLSCLLDGGVLDDECRLALRTSWKAISPVKTKVFVWRLCLNRLSSRDNLLRRGLMLNSHELVCPLCLLVDEDIIHLCF >CAK8578616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641759787:641760299:-1 gene:gene-LATHSAT_LOCUS30612 transcript:rna-LATHSAT_LOCUS30612 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKNETSKATSHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVEIQKNWCDCGKFQTFRMPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDSTEKMERLCGICRLPEHTRNNCPNVGISSR >CAK8569930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16528497:16530201:-1 gene:gene-LATHSAT_LOCUS22729 transcript:rna-LATHSAT_LOCUS22729 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRLSWLPDHVIDNILSYLPITDAVGTSVLSSKWNKKWHTLPSLVFDTKCAEITPSQDSKFSITKFSKTVDHVLLLHSGPLIKFKICNYNRKLIYVIPTTDIDRWIFHLMGRSIQTLVLQLQLQERYKIPFGLFSCQSLRDLSLYCCCLKLPTKFEGFKNLKRLELCQVTITQDAFENLISRCPLLESLMLAEIYSISLINIHAPNLKDFDISISFEDISFEDTFQLIEVYVDLSLYLNSARNRRLHGRSSNLLKFFDRQPHIRSLAIHNYFLKYLAAGVVPTKLPTPCIELGCLSLCINFDDLKEISAALCLLRSTPNLRTLYISARNELHDVPWTPVTDAYRWEEIFSKPETSIQVQNVVMHNISGFQLELDFIRFLLLYSPELEAMDVNAVVNVRPELVTELIRFKRASANAEVIYNLEDTS >CAK8573329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603598028:603598720:-1 gene:gene-LATHSAT_LOCUS25790 transcript:rna-LATHSAT_LOCUS25790 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGYINAAIRSSNTNEAYFFINDKYILLDYAPGTSNDKLLYGPIPLRDGFKSLNHTIFGSYGIDCSFDTDNNQAFIFYENFCALIDYAPHSDKDKIISGPKRIADTFPFFKGTVFEKGVDAAYRSSKGKEVYLFKGNQYARIDYGSNKLVQNIKNITGGFTCFRGTIFENGVDAAFASHKTNEVYFFKDDYYARVDVTPGASNDVIMDGVRKTLDYWSSLRGIIPLKS >CAK8567609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528535621:528538613:-1 gene:gene-LATHSAT_LOCUS20646 transcript:rna-LATHSAT_LOCUS20646 gene_biotype:protein_coding transcript_biotype:protein_coding METSLCVPSPSFIAFRTSKEVPHVLSLHEFKKITCFSGYNQKQSFNENLYIIPIRTMSRFCMSRSFPRSLELGGTGSPHSDALSPPNDNQSFGSFEKDLEELFGEVKKMIKMGKKNDAVDLLNANYEMVKERLSIGTKGIEEAAILDILALGYIAVGDLKFVAYLLNLLKEVVDTLKDDEPHLDLILMHMGSMYEKLNKFEESLDTYQRAVYIVERIYGKGSTILVTPHLGMAKALGSIGKATKAIEIYQHVITLLESNKGVESKDLVVPLLSLGNFLLKEGRINDAESRFTRVLNIYTKVYGENDGRIGMAMNSLAQVKCAIGKSNEAIHLFKSALKVMTDSNYMSPDNSILEKMRVDLAELLHISGRGQEGRAILEECLLITERYKGKDHPSLATHMMNLATSYTRSKNHAEAEHLLRRSLQIMIKHKGSDDQSISFPMLQLAATLYHLKNDEEAEKLALEVLRIREKAFGEDSLPVGEALDCLVSIQTRLGKDDRDLLEQVRRILSIQEKGFGYESEQVLLTLKKIVYYLNKLGRKDEKLLLQRRLYVLGKKYKHLVHH >CAK8563988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644080107:644081841:-1 gene:gene-LATHSAT_LOCUS17340 transcript:rna-LATHSAT_LOCUS17340 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSTSATTLFSPYKIANFNLSHRVVLAPMTRCRALNGIPNDALAEYYRQRSSSGGLLISEGTIISPSAPGFPHVPGIYSEEQVEAWRNVVDAVHAKGGVIFCQLWHVGRASHSVYQPGGAAPVSSTAKPLSKRWTVLKPDGSFGPYSDPRALTISEILEIVEHYRQSAINAVRAGFDGIEIHGAHGYLIDQFLKDGINDRTDKYGGSLENRCRFLIQVVRAVVSAIGVERVGVRISPAIDHLDAIDSDPVGLGFAVIERLNSLQKELGRKLAYLHVTQPRYTAYGKTEHGSKKDQDGVHLTRKLRKAYDGTFMCSGGFNRKLGMEDVARGDADLISFGRLFISNPDLVLRFKLNAPLNKYNRKTFYTQDPVIGYTDYPFLSKGSGIELNARL >CAK8568002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562069449:562070273:-1 gene:gene-LATHSAT_LOCUS21004 transcript:rna-LATHSAT_LOCUS21004 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRRNNEIVLATASSGITATLLPGGRTAHSWFEIPIDIEPLSIRKIVKNYDLAKLIRITNAIIWDEAPMIYRYCVETLDRSLQDIMNNNAPFGGKIMILGGDFRQVLPVIEKGNRGQMISACIVRSRSWATTKVLHLRQNMRSIHDQNFVQFLIRIGNGNESTKEDDMVRMHAKIVIPWEGESIIQKLIQHTFPQLENHGWDASYMVERAILTPKSCDVHMLNDMIINKFPGDEHILLSFDEVEGDTHNLYQQKYLHTTAPSALPPQLIFFI >CAK8578944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663127875:663129131:1 gene:gene-LATHSAT_LOCUS30922 transcript:rna-LATHSAT_LOCUS30922 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRCGLTPNFEEGVKGFITWAFAQECCQREGGVRCPCLKCECRPIISDLEEVERRLKRKGFIKNYWVWTYNGEQLPSNVYAETTNTHTSSSRSHMEFDEQFNLIDEIVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTSLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDVTPTKDNLPTSYYDAKRLVSKLGLEIRKIDCCINGCMLFYDNEFGINDEALEECMFCKSPRYQVRSKVINRKQKCVAVKFMFYLPIIPRLKRLFASMHSASQMTWHRTNKTCSGIMRHPSDGEAWKHFDRVHYDFAAEPRNARLRLCFDGFTPYIQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPTSPKSGIDVYLQPLIDDLKRLWVGEWTYDISS >CAK8540509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9317496:9318744:-1 gene:gene-LATHSAT_LOCUS9509 transcript:rna-LATHSAT_LOCUS9509 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWPSISESAKDLVKKMFVRDPSKRITAHEVLCHSWIQVDGVALDKPFDSAVLSLLKQFSAMNKLKKMALRVITENLSEEEISGLKELFKMIDTDNTGQITFEKLKAGLKMFGANLNEFEIFDLLNAADVDNSGTIDYGEFIAATLHLNKVGREDNLVTAFSYFDKDGSGYITQDELQKVCKEFSMKDVDLEEMIQEADQNNDGQIDYNEFVVMMLRGNTDLGNSGSKCRSTSFNIGLNGGVYN >CAK8537290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:204917829:204918038:-1 gene:gene-LATHSAT_LOCUS6589 transcript:rna-LATHSAT_LOCUS6589 gene_biotype:protein_coding transcript_biotype:protein_coding MADEELVDQKIFIEESCKPKCVRPLLEYQACIKRINGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTQLK >CAK8560422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18813622:18816765:1 gene:gene-LATHSAT_LOCUS14092 transcript:rna-LATHSAT_LOCUS14092 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLRALSFIGIAFLLALEDRTSTPVCNNPVVEADTLKVMMVADLLLLGSEDGYINRFFRDHYMSKFFRKSFELLKPDLLIVLGDVSAKGSKLTKTKWLSVLRQFYQMVGPFVDLPFHATLGDRDIGECSDLDVNKVNWISRKLPGLDRSGCGAFEIGNVSFVSLNAVALLCDNSSLRFDVEKVIESESLELREVTEATTKTRNHSTDSTDANYNFFWRESTLLSGSGPVLLLHLPLDRTRNERFGGTEGFERSSSSFIERSNLVPKNRELVGTGLYNLLHTLPLNASEYILQALRPRIIFSAHRYMFSDHVHFDKTREIIVPAMSWNARDDPGFVIATFQKTGRDVNISYCSLARESHILVVYVSIVVLFCLACLKG >CAK8560622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30051980:30052993:1 gene:gene-LATHSAT_LOCUS14283 transcript:rna-LATHSAT_LOCUS14283 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEHNKRLSLSSSFSVIKTITKIAKPAAYFILLVLTYTLGYLSHSSSSPLLPPPKLLPATVSPPSSPTLSSPPPSPKLHVSSPVYRLPVINTTELEPFRVKTSCAEPIPPENIRNILIDGIFDGVSPFVDFPPPHAEEMLRRSKKVTGWGSHGAVFGNLIRKVQPRIIVEVGTFLGASAIHMAELTQRLGLETQILCIDDFRGWAGFRNQVKNIAMLNGDVLLYYQFLQNVVTFNQTGSILPVPFSSGSALSKLCELGIWADLVEIDAGHDFISAWSDINRGYRIVRPGGIIFGHDYFTAADNRGVRRAVDLFAKIHNLKVNVDGQHWVIYPNYIK >CAK8576668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504276164:504276610:-1 gene:gene-LATHSAT_LOCUS28841 transcript:rna-LATHSAT_LOCUS28841 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSTSTGKSSKIRRIVRLREMLLRWRKKAKLATTYDVPEGHVAICVGPTMRRFVVRASYLNHPIFKKLLMQAEEEYGFCNHGPLAIPCDEFVFEELLRVMARPEPRLSTLEDFQRRCHVDVRNSSKSGFEFVGESRPLLHDDLIC >CAK8564840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12046153:12048498:1 gene:gene-LATHSAT_LOCUS18098 transcript:rna-LATHSAT_LOCUS18098-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKMVAKSPITPKKDGKYPRVSHKSDRICNKVYKRQRSMVGVTNEVESLDLSGQKSRNDESPKEGDNEENQMSSNIDTFVGQNRLSFNGCAELVVETDSFPVSVPAISDSDALLAYFNRPDDNLFDGVNREEINTQADRVDANNFSNFQTPDSLDLYMNQTCFDGFSFDGMTLFDDSLYYSILNDLELIETNMTYDLPAFEDTIGAPNYQYVESPEEVHEQIPDSSWFNSICHQAKPVTEELEVKSCQIDSERIDYADQEIMIKNFLEVSDESNLLPALVSKETRKTKRVTLVLDLDETLIHSTMTQYDSGADFTIQILLDKEYIVYVRKRPFLHEFLERVSKMFEIIIFTASKKIYAEKLLDVLDPEKKIFSHRAYRDSCIFQDGTYTKDLTVLGIDLAKVAIVDNSPQVFRLQVNNGIPIESWFDDPSDSALMSLLPFLEKLADVDDVRPIIAEKFGNKF >CAK8564839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12046153:12048498:1 gene:gene-LATHSAT_LOCUS18098 transcript:rna-LATHSAT_LOCUS18098 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKMVAKSPITPKKDGKYPRVSHKSDRICNKVYKRQRSMVGVTNEVESLDLSGQKSRNDGFFVNKESPKEGDNEENQMSSNIDTFVGQNRLSFNGCAELVVETDSFPVSVPAISDSDALLAYFNRPDDNLFDGVNREEINTQADRVDANNFSNFQTPDSLDLYMNQTCFDGFSFDGMTLFDDSLYYSILNDLELIETNMTYDLPAFEDTIGAPNYQYVESPEEVHEQIPDSSWFNSICHQAKPVTEELEVKSCQIDSERIDYADQEIMIKNFLEVSDESNLLPALVSKETRKTKRVTLVLDLDETLIHSTMTQYDSGADFTIQILLDKEYIVYVRKRPFLHEFLERVSKMFEIIIFTASKKIYAEKLLDVLDPEKKIFSHRAYRDSCIFQDGTYTKDLTVLGIDLAKVAIVDNSPQVFRLQVNNGIPIESWFDDPSDSALMSLLPFLEKLADVDDVRPIIAEKFGNKF >CAK8562965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562410200:562412128:1 gene:gene-LATHSAT_LOCUS16424 transcript:rna-LATHSAT_LOCUS16424 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMKAISSSLRFLNSSLATRVLATRNQVTHFSSSSISLPLFNRSHDSLPSHNTQQKLYFSSKPNSILELVFSNDWSQEVEKELENSRQSLTHETVVYVLKRLDKNPLKVFSFFSWVSEKEWFMPSSSLYSLVLRVLASNKKMKEFWIILRTMKEKGFYLDEETYLTISTGFKKEKLQSDVSALSHFYNGMIHQNAMQSVVKNIVGIILGSNWDDDDDDKVENELAKVEIQLSDNFVIRVLKEVRSSPLKAYKFFHWVGKQSGYQQNTVTYNAVARVLARMESIEEFWSVLDEMKSVGHELDLDTYIKISRQLQKNRMMEDAVKLYEHMMDSSYKPSVLDCVILLKSISGGDKPNLDLVFRVAKKFESGGYTLSKAVYDGIHRSLTSAGRFDEAEKIVETMKNAGYEPDNITYSQIIFGLCKMRRFEEAHKVIEEMQTRDCRPDIKTWTILIQGYCDAGELDNALLSLYKMMEHNGDVDADLLGVLVDGFLTQKRIDGAYKLLLEITGRCSVCPWQATFKKLIQSLLGVRMFEEALVLLRMMKTKNFPPYHEPFVSHISKFGTMEDAAEFLKVLSIKNYPSHKVYLQIFESLFQEGRHSEAKDLLFKSPHHIRRHNQICELFGSSESQTAKSQTTETQITAT >CAK8532492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270773816:270776086:1 gene:gene-LATHSAT_LOCUS2191 transcript:rna-LATHSAT_LOCUS2191 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNDISRAKDQQKKMVVAKEESMARQQSACKKTKRSPLCQSMLIGDFLEKNGRDVEKEMENLIEDEENIVLEEQEQEQNVECEGDAEKNGITKKRTRGPTRCLKIYARDVKDRQEVTLDDFGEPIGPDDLTVSELGYFLGTIAMNANICPMIYTNFKELLKDETDPKRHNYHIWKYINTKFNIPERGKKAVYARINDAWRRHKYSIKKDHFLKYSNMKDRSKHRPKSISEVHFKKLLLYWKDTHIQDISQKNAVNRSKQKFMHRVGPTNFARIRAKIRENKDGQEVTQAEMFIETRKIRKGKQVDEESQFVIDKLQEPIETSTEAGTQTFQSLFEKKTR >CAK8570481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49818269:49826745:-1 gene:gene-LATHSAT_LOCUS23226 transcript:rna-LATHSAT_LOCUS23226 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNELCTSESIDGSRDVWSSKSSDSSSADHLVVMVNGILGSSTDWKFASELFVKVLPDKVFVHCSERNVSKLTLDGVDVMGERLAEEVIEVIRRKQNMRKISFIAHSVGGLVARYAIGRLYRPLENEPNQDLSNKGSKVDSIGTICGLEAVNFITVATPHLGSRGNKQVPFLFGVTAFEKLASGIIHWIFRRTGRHLFLTDDDEGKPPLLKRLVEDYDECYFMSALRSFKRRVIYSNVGYDHIVGWRTSSIRRDNELPKWEDTLKEKYPHVVYEEHCKACDTEQYDLTEDIGSDKIEEELVTGLSCVSWEKVDVSFHSSRQRFAAHSVIQVKDRISHIEGADVIHHMIDHFLT >CAK8561807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386768185:386768607:-1 gene:gene-LATHSAT_LOCUS15362 transcript:rna-LATHSAT_LOCUS15362 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTDILQAKRGIRQGDPLSPMLFVLIMEYMNILLMKMQRDPNFNYHGKCEKLKIINLTFADDVLLFCRGDEISLHMILQTFRAFSISTGLIMNPNKCRIYFGGLDKEKRKVLKEMSGFQEGTLPF >CAK8566163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386681931:386685224:-1 gene:gene-LATHSAT_LOCUS19322 transcript:rna-LATHSAT_LOCUS19322 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWCFSPVTATATTTATATTTRRYSLTSQTPKFLINQLPSSSSSSRFSTTPSSLRFRVSCSNNKTDKSTESTELDVLDCVGTGQDVECFLSEEEENGIAEKKDESSSKKLCLAEGLWEGAVLISPFFFWGTAMVAMKEVLPKYGPFFVSSFRLIPAGFLLVAFAASRGRPFPSGFNAWLSISLFALIDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGIIGAAGLVLGVVGLVLLELPALSFDGSNFSLWGSGELWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMVIGGLPLVAFAILNNEPAVSGSLEEYSSSDILSLLYTSIFGSAVSYGVFFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPVQLVGASVTVAAIYMVNFRNSLE >CAK8567751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541405148:541409124:-1 gene:gene-LATHSAT_LOCUS20774 transcript:rna-LATHSAT_LOCUS20774 gene_biotype:protein_coding transcript_biotype:protein_coding MISCTNLRLRANSGSGYEEVVIGNRGEGENSSSSRNWVEELSCNEVIGGRGMVLSEEARRAIAAERVPLVSLADVVGGGGVGGGDSSSTHSRIDFQRIAKLVEQILPFSVLLLVVLIRQHLQGFFVTISVSAVMFKSNDIVKKQTALKGDQKVSILLSIAFSFMMYVTCIYWWCRNDDILLPLALFPPKATPPFWHAIFIILVNDILVRQATMAFKCLLLLYYKNGRCHNFRRQAQMLTLVEYTLLLYRAFLPTPVWYRFFFNKDFGSLFSSLITGLYLTFKLTSAVEKVQCFIRALIALSTKEVHYGVYATTEQVSAAGDLCAICQEKMHDPILLCCKHMFCEECVSEWFEREWTCPLCRTLVKPADLRTFGDGSTSLFFQLF >CAK8569565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5177059:5177581:1 gene:gene-LATHSAT_LOCUS22404 transcript:rna-LATHSAT_LOCUS22404 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRLSLNKSSNLVLFEPQLLWGTKEEIQSIPLFKWFSFLLVPVPNTSPGSSQCLVPVIVIYLPEPMGLSVETFTNNRRFLMVFLLLTAALSTPPDIWCQIVARFLISSIIELTIFVASIVQVREEAGRVE >CAK8535144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817228561:817234411:1 gene:gene-LATHSAT_LOCUS4620 transcript:rna-LATHSAT_LOCUS4620 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRHRAVSFDELPSYLLLEIMCSGKLSAIDLVCLEFTSKIFSATNALCPSKFKSLVEYAAFQLCGSHAIFCKMSLNSQKELYDRCGGKWKRVLRFLQSVEQASGVVETPSGSMQITTGKYHTLAISNSSVYSCGSSLCGALGQGSETTQLPALAQIDFPPLARVAHVSASYNHAAFVMQSGEVFTCGDNSYFCCGHRDTNRAIFRPRLVESLTGIPCKQVSTGLNFTVFLTRQGHVYTCGNNSHGQLGLGDTQDRPNAKMVEVLGNVGPVAQVAAGSNYILSVTEDGSVYSFGSGSNFCLGHGSQHDELFPRCIQKFRRKGIHIVRVSAGDEHAAALDSNGIVYTWGKGYCGALGHGDEIEKTRPEILSSLKNHVAVQVCARKRKTFILLESGLVYAFGSMGFGSLGFLDRRVNDKILKPRLLTTLKSYHVSQISTGLYHTVVVTNHGQIFGFGDNERAQLGHDSLRECTEPTEIFIEKPYEDYDSIY >CAK8560589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27007111:27011837:-1 gene:gene-LATHSAT_LOCUS14250 transcript:rna-LATHSAT_LOCUS14250 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVELNRNMNESEKKKKNDDDDDDDHCKEIFRWELFLPKITVTVLLVESDRSTRRLISSLLMNCNYKVIAVSDGVKAWKILQTKEVEIDLVLTELELPELSGLALLDLMMEHETCKNIPLIMMTSHDSRGMVMNCMSKGAADFLIKPVRKNELANLWQHVWRKHVLNRPLQNTSAQEKLKIAIEDNFTSNQLTDSVSVASSQKNNECSEKLSEAQSTRALPFSDAENAYKNNMQNASQKKSCFKLRKIDVLKHEESNQLERESTKLNDEARDSRLEQDYRTSEVESKNEIFRAELRRENHDTDTEIRGCSDELIEPSSKAIDLISTVGNLRKCTKEIHCINGDKETKFDFGNGLELSLSSDFSGSSCKQASESTEEWQRLNHSNTSAFSQFDGSKMLWPLFQNSNWSSNKSHELSVATSDNCIQYGGPIKMEDMTNAVTAHYGQFGAKLFNTGLLADNVLHHMWIPKSNYPKESSPFPSSSSSQSNSESHNSDHHHNCSYDANYSFPNQNVAEKHDLDHVVHDSPSGGTGFGNDIFRASNHINSCNDERATSNAVTKNSRSSSDSRHYKKDYYDEFRLSDSHRSSHREAALTKFRLKRKERCYTKKVRYQSRKRIAEQRLRVKGQFVRRVHDDDHPNADANGDQ >CAK8534469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:729563485:729564571:-1 gene:gene-LATHSAT_LOCUS4013 transcript:rna-LATHSAT_LOCUS4013 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLEEDVDDGSKVDMSSAIDELWKRFKSLDVVGKMALKSKVFELVFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQSKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDIVNVVSDGNCGFRVIASLYGYGEDVNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNINHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8535657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872708841:872720340:1 gene:gene-LATHSAT_LOCUS5093 transcript:rna-LATHSAT_LOCUS5093 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNGQIAPPGTTVPSIPPPQASQPSYTVLPPPPPPPVETEADAEARLEEKARKWQQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVRVLYHISGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLSDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMVMYIKTEDPDLPAFYYDPLIHPITSANKERREKKIHDEDDDDDWILPDGVEPFLKDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPCLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFVDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKANNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLSDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAHEANQVTAVTTKTTNVHGEELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGVSPPDNPQVKEIRCIVMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTSHAKVLENNKQWDGEKCIVLTCSFTPGSCSLTAYKLTPSGYEWGRINKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVRHASGMKYGVKLGTPREYYHEDHRPTHFLEFSNMEEGETVAEGDREDTFS >CAK8533238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594803232:594806034:-1 gene:gene-LATHSAT_LOCUS2883 transcript:rna-LATHSAT_LOCUS2883 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKNKALKECDEFTKKYAECAVGRTLSVVWQCRQQAKELNNCLHQFTNDSVLEEMKKEYNLKESGESAVRI >CAK8577612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578249970:578251404:1 gene:gene-LATHSAT_LOCUS29702 transcript:rna-LATHSAT_LOCUS29702 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTTNPLLSIMHIFMLSLLSFMATIEASKSKVSAIYVFGDSTVDPGNNNFINTPFKSDFPPYGRDFPKQSPTGRFTNGKLGTDFIASYLGLKELVPPYLDPNLSHEDLMTGVSFASAGSGFDPLTPTLTNVVPISKQLEYFKQYKNRLESKLGKQETENHMNTAIFIISAGTNDFVVNYFMMPIRRRSFSVAAYGNFLLHHVKDFMQNLLTEGARKIGFVGLPPLGCLPIMITLNSNNAFLERNCVDKYSSVARDYNMVLQHELFLMQLNSTKISYIDIYKPLANMIQEHENLGFDEVDRGCCGSGFIEATFMCNHNSHVCFDPSKYVFWDSIHPTEKVYHDLFAANRHILDGLING >CAK8574896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14172590:14175982:-1 gene:gene-LATHSAT_LOCUS27195 transcript:rna-LATHSAT_LOCUS27195 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLVSFLSFVFCYYCLYISFQISVVSGKCLDDQQLLLLQLKNNLTFKPENSRKLKFWNSSSDCCDWIGVSCDNKGFVIGLDLSEESISGGFDNASSLFSLQHLQNLNLAANNFNSPIPSGFDKLVMLNYLNLSYASFMGQIPIEISQLTRLVTLDISSLSSYYLIGQGLKLEKPNLQKFLQNLRSIRKLYLDGVDITAQGQEWCNALFPLRDLQELSMSKCDLSGPLDSSMIKLENLSVIILDGNNFSSPVPETFANFKNLTTLRFAYCGLTGAFPHNIFQIETLSVIDLSFNYNLQGSFPEFPLSRSLHMLKVSNTSFSGSFPYNIGNMRNLSELDLSNCKFNGTLPISLSNLTELRYMDLSFNSFTGSMPSLGMAKNLTHLDLSHNRLSGEIPSSSHFEGLHNLVSIDLRDNSINGSVPSSLFALALLQKIQLSSNQFSKFDEFKKVSSSVINTLDLSSNNLSGPFPRSIFQLGSLSVLDLSFNRLNGLLQLDDLLQLRNLTALDLSYNNISINVNVVHADQTSFHNISTLNLASCNLKAFPRFLRNKSKLNILDLSNNQIKGKVPNWIWKLQNLQSLNVSHNRLTNLEGPFKNLTSKLIALDLHNNQLQGSIPSFPKLASYLDYSMNKFSSVIPQDLGNYLNFTIFLSLSNNILHGNIPESLCIASNLQVLDISINNISGMIPSCLMTMTQTLVVLNLRMNNLTGTIPDVFPPSCVLRTLDLQKNNLVGQIPKSLVNCSALEVLDLAENNINDIFPCLLKDISTIRVIVLRKNKFYGLIGCPKKNGIWHMLQIVDLAFNNFSGKLPGKCFTTWEAMRSDENQTDSKVKHVQFQVLQFGQIYYQDSVTVASKGQQLEIVKILTVLTAIDFSSNNFEGEIPKQLFDFKALYVLNMSNNAFSGQISPFIGNLKQLESLDLSNNSLKGEIPIQISSLSFLSFLNLSFNHLSGTIPKGTQIQTFQETSFIGNEKLCGAPLPRICSSIITSSPTTKSATKFDWQYISTGIGFGFGAGLVFAPLMIWERGKKWSNDIIDKFLMAVLPLFGLVYTPIGNDDEDEDTKEDSNMTEDSDDYNEEEDYWSYPRLYCVFCSKFDHNKKKVIHDPNCTCFQLSSPGSNSTIYSDSYYS >CAK8532845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:532564596:532567641:-1 gene:gene-LATHSAT_LOCUS2509 transcript:rna-LATHSAT_LOCUS2509 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSAVLGTGGGGGSSGDGAGASASASAGAYHDGGDTVAGGGGSISGDDERGGGSRNEEGGDRNFGGNRWPRQETIALLKIRSEMDVTFRDASVKGPLWDEVSRKMADLGYHRNSKKCKEKFENVYKYHKRTKEGRGGKSEGKTYRFFDQLQALENNPSIQSPRTQTQLNISTLPPTTPSSSSLPISTTSTTPTTTNPLLLPPSLLNSTPPITIHTPSITTAATTATVPLTTTITPPIPMSLPQVQNIASQSNPTYFPPISSTTITNPTNTNNPLPQITITPPPSSFPNTSTDFFSNSSSSSTSSEETTTMEGEGNRRKRKRKWKDFFERLMKEVVEKQEELHKRFLEAIEKRELERGAREEAWRLQEMQRINREREILAQERSIAAAKDAAVMAFLQKIADQQQDQQNVVVPVALNTSIVPQQQQAPQEPTPTPTPTPTPTPLPAPAAVPAPTPAPTAAPAPIQTPKPMPMQIQIQPQQQVQTQTSAVQVQQTAPVISQALPLAQQQQVQVQVQQQATNMDIVKSDNNGESLMQASSSRWPKTEVEALIRLRTTLDMKYQENGPKGPLWEEISGLMKNLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYREKNKGEGSSASRPEGTMMAPLMVRPEQQWPPQPQPPQVTRADVTMEDAENDRPRRDDEEYYDDEDGDDDDEDEGSDNYEVVANKPPPPQ >CAK8543122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580153772:580154326:-1 gene:gene-LATHSAT_LOCUS11904 transcript:rna-LATHSAT_LOCUS11904 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVAPASRLRDVNAASSVIAADRLPDEILGMRIKDDKEMEASVVDGNSTEVGHVIVTTIGGKNGQPKQTTSYMAERAVGQGSFGIVFQAKCLETGETVAINKVLQDKRYKNRELQTMRLLDHPNVVTLKHCFFSTTEKDELYLNLVLEYVPEAVHRVIRHYSKMNQSMPVIYANIVILVVT >CAK8544773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705189403:705190290:1 gene:gene-LATHSAT_LOCUS13426 transcript:rna-LATHSAT_LOCUS13426 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQPICKSEGGIRCLCINCKCCKISTTTNVRLHLYQYGFQPNYWIWTQHGEVELNIDTRGGSNSSEHVHQADQFEAMDQMVYDAFRPHGGFSHANDNREQEEFLEDEFPNEEAKQYNDKLISFDKPIYEGATQSMLSISTQLLEIRSNWHVPQKGIKVKKIDCCKNGCMLYYKDDSKLSECKFCNAPRFIPCKTGMGKYKDIPAKRMFYFPIIPRLQRLYASTESATEMR >CAK8561267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132242132:132243838:1 gene:gene-LATHSAT_LOCUS14876 transcript:rna-LATHSAT_LOCUS14876 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAWKWIVRRTRESKPFFFAFATICGVVPGVIGYGVMQVTNTRSDQLESQLRSKARPESLMMGQVNKERLAEYLGELQRKENTNDRYVAALRGETLTRKPYVRIQPIPDQTGKEQKN >CAK8536135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910925138:910926006:1 gene:gene-LATHSAT_LOCUS5533 transcript:rna-LATHSAT_LOCUS5533 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSEEVRKGPWTEQEDFKLVSFVGLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPHEERLVLELQSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQDKKRNSSAPSIEHHVSKDAEETSFYDTGGSTQVKQHEQEEISMDDIWKDIDISEENSVLQNEEFCNDNANNKNNISWEYNSSNSLWVMDEESVLFPKSDDPYFSHYANY >CAK8536137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910925439:910926006:1 gene:gene-LATHSAT_LOCUS5533 transcript:rna-LATHSAT_LOCUS5533-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEERLVLELQSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQDKKRNSSAPSIEHHVSKDAEETSFYDTGGSTQVKQHEQEEISMDDIWKDIDISEENSVLQNEEFCNDNANNKNNISWEYNSSNSLWVMDEESVLFPKSDDPYFSHYANY >CAK8536136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910925138:910925287:1 gene:gene-LATHSAT_LOCUS5533 transcript:rna-LATHSAT_LOCUS5533-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSEEVRKGPWTEQEDFKLVSFVGLFGDRRWDFIAKVSGLKVEGDTNL >CAK8534756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:764023523:764025301:1 gene:gene-LATHSAT_LOCUS4271 transcript:rna-LATHSAT_LOCUS4271 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHGNWIKLDQRGITQGARSSHAIAVVGQKVYAFGGEFVPRVPVDNKLHVYDLDTLTWSVANASGSTPPPRVGVTMAAVGETIYVFGGRDVEHKELNELYSFDTKTNTWALISSGDIGPPHRSYHSMTADDRHVYIFGGCGVAGRLNDLWAFDVADGKWVEFPSPGENCKGRGGPGLTVSQGKIWVVYGFAGMEVDDVHCFDLAHKTWAQVETGGLKPTPRSVFSTCLTGKHIIVYGGEIDPSDQGHMGAGQFSGEVYALDTETLSWTRLEDKVESGGHPGPRGWCAFASACRGGQKGLLVYGGNSPSNDRLDDIFYLAIA >CAK8578607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641167848:641168834:-1 gene:gene-LATHSAT_LOCUS30603 transcript:rna-LATHSAT_LOCUS30603 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQDVKLLNFLLSPVGRRVEWALKLKGVDHEYIEEDIFDKSNLLLELNPVHKKVPVLVHGQKSIAESLIILEYIDETWKQYPLLPLHPYEKSRARFWAKLSDEKLALGSWRAMVRKGEEGEKYLKEAREVMEKLEEEINGKKFFGGNSIGYLDLALGWITCWLPIWEEIGSMQVLDPLKCPSISSWKINFLNHPVIKDNLPPKDKMIVYCHRRIEEFSSTHHG >CAK8564666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5883710:5885815:-1 gene:gene-LATHSAT_LOCUS17948 transcript:rna-LATHSAT_LOCUS17948 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTIPKVEGVVEKCVNENIVQHGEGKECVVDYTPHLEMEFESEVSAYEFYNEYGRITGFGIRREYVNKSRKDGTLTSRRFTCFKEGKRSVDKQSNLVKEGRVETRTGCHARMVISLDRKIGKYKVVDFVAQHNHALLPPGYVNMIRSHRRVSESQTSQIAMGDDESRLKQKGFRKQVSDEVNEMDGIGYTRQERKNYLPTRRMQSLMYGEVGDLLMHFKRQNENPSFFYDFQLDVEEQITNIFWADAQMINDYGCFGDVVSFDTTYKTDKDYRPLGVFVGLNNHKQMVVFGATLLYDETIPSFQWLFETFLQAMGGENPKTILTDQDAAMATAISLVMPETFHGLCTWQIRADAMKHVNHLYQKGSQFCSDFEACIDLHEEECEFLNAWNVLLAEHNVYKDSWLHTIFQLKEKWAWTYVRKKFTAGMRSIQLSESFSYELKNHLKADLNLVQFFTHFERAVSKQRNDESETDYKSRHELPKLKMKRAPMLVQAGSIYTPAIFEEFQEEYEEYLGTCVKNLDEGLYVVTNYDNSKERMVIGNLEDQKVVCECYKFETYGILCSHAIKVLDVMNIKLIPQHYILKRWTRDARLGSNQGLKGQHIELDIKAHFMERYNELCPRMVKLINKASESHETYTFLSKVYEESEKTINDMLAKRFVGGESLEARHASISIGNEKIDNKVETVDADGEKSIKKLRLLK >CAK8579096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674421783:674450140:1 gene:gene-LATHSAT_LOCUS31066 transcript:rna-LATHSAT_LOCUS31066 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTNLDDTPMFRQQLQCLEESAESLRLRSCKFYKGCRKYTEGLGEAYDGDIAFISSLENFGGGHNDPHFIALGGPVMNKFTIALREISTFKELLRSQVEHMIDDRLLQIVNVDINEVKEARKRFDKAALVYDQAREKFMSLRKSTKVDIATVVEEELRIARTSFEEARFSLVGALNNIEIKKRYEFLEVVTGVMDAHLRYFQQGYHLLHQMEPFVNEILDYVQQSKESFDKDQVLLFKRMQEYKKQVDQESRLSLNGPYGSPSGESVHPFSRISTEVVDVVNESAANGKVQIIRQGYLSKRSSNLRGDWKRRYFVLDSRGMLYYYRKPWSVAHGSNQSSPRKNSATDNGSGLLSRWLSSHYHGGVHDEKSVVRHTVNLLTSTIKVDADQSDLRFCFRIISPSKSYTLQAENAVDQMEWMEKINGVIASLLSIQTLGLPVSADSEYSDSYFTNKSNVYESSPEDDLTELEKAASTNFTSSIHLHSSKSMQLPKHSIRNEKPIDVLRKVSGNDKCADCGRPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDYSVLSMFESLGNHFANSVWEELLCSRSTLQNVDTLAGSSKANKDKLFHARKPKHDDSSSLKERFIHAKYAEKIFICRTEKNSVQQILKSICANDKKAVYQHIVKSDMDINAISRQAFTGGSFNAASLINFNSSSESENQLIEDIQNGSSVLHLACLASDAGMVDLLLQYGADINACDSRGRTPLHYCITRGKPAAAKVLLVRGANPHVADKEGHTPLKLASEADSLGNEILNLLAKG >CAK8539677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521440981:521441337:1 gene:gene-LATHSAT_LOCUS8755 transcript:rna-LATHSAT_LOCUS8755 gene_biotype:protein_coding transcript_biotype:protein_coding MANHILEAEIMGGKGHGKVIYIPRMDMSPSQSPWPFKLSRRQFPIIVSYAMTINKSQGQSLDRVGLYIPKDLFSHGQLYVAISRVTRKKCIKILIHDENNKPKSSTANVVYKEVFSNI >CAK8572810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564648821:564649627:-1 gene:gene-LATHSAT_LOCUS25332 transcript:rna-LATHSAT_LOCUS25332 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFEVQGGRPFSIEVGFFDTILEIKEKIQKYQGIPISKQTLIFNGEILQDDGDIWKCAIFQNSRIHLMVAAVDLDHQKLQREQDTKFNLNVKTPSSKSPIHVEIDKNYTVLKLKEKINDIDQNDVPLSNVMLHSNGTELHDNEFLRDCNVSEKSEIEVSFKPQIPATPAILGGGGGGRASNMLKLMILPKNGTKKIAIAMNVSDNVGELRKVLPKLSQRLQFHLPQEGYFFIYKQNVMDDDKSFQWHHVCEGDTIEIFNGSVTSGS >CAK8574357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677009270:677009716:1 gene:gene-LATHSAT_LOCUS26711 transcript:rna-LATHSAT_LOCUS26711 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYDRGGRGSNNMLPQAESNIPLIGDLTTVYKGRKIQQLPASSAKKEDIASYSSNKTTSYKEVAVNNPPQEQMNYFKNPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVIESVTITHHY >CAK8537817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438821241:438822716:-1 gene:gene-LATHSAT_LOCUS7071 transcript:rna-LATHSAT_LOCUS7071 gene_biotype:protein_coding transcript_biotype:protein_coding METSMLGLASFPCHTTPNRSLPKLRILSMRQPYPLASKIIVKNLPYFTHENTLQKEFSNFGKIAEVNMVKDAITERSKGFAFIQFTSQDDAMLALENMDQKNFHGRPISVELVKLDPYDFCGPPPRASGPPKKCNLPEPRASGPPKKCNVPEGSEIYLKSM >CAK8569799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11618452:11621574:1 gene:gene-LATHSAT_LOCUS22608 transcript:rna-LATHSAT_LOCUS22608 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSSLITFPLTTLKFQVHRFRCQPSCFSRSSKTYLPHQALYTRTTSVKALVADNNEPNEVKMQIGIVKEKLKEVLPSPVQEFPWRKAQHTLLDRLPLLVQEALKWSLIAYFIISSLSDVAYTFYINRELIIPVGLFVGCLMADFLKEISLELFHQLEEIDLKWRRLGLCSLFVLVKLTSTWFSIQWGVFLLHVANGGLMQLLWYWRNFMEDAKNVREMRNALEA >CAK8565637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296405552:296406022:-1 gene:gene-LATHSAT_LOCUS18831 transcript:rna-LATHSAT_LOCUS18831 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKAIIIIAMTMALSLTITMKTITQNESNPTLTNQDLPSQDVSPIFLHEKNKFLPSKRVSRLLAQNPNAPIHCHKNDEICDLFGAKNATCCNNKCLDLQQDKHNCGACKKHCKFTHKCCRGQCVDTNFDKRHCGGCNNRCKIGKFCVYGMCNYA >CAK8567344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506905927:506906139:-1 gene:gene-LATHSAT_LOCUS20408 transcript:rna-LATHSAT_LOCUS20408 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVSDADYMTSLEARVKELEAENANLLARLAHCHCSPEDQISHSPDYKDAKVNEPKKIQRGITKEDKK >CAK8570047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21856144:21858023:1 gene:gene-LATHSAT_LOCUS22834 transcript:rna-LATHSAT_LOCUS22834 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKQKPKCLFIHGPIIVGAGPSGIAVAACLSEQGVPSLILERSDCIASLWQNRTYDRLKLHLPKHFCELPLMSFPQHFPKYPTKHQFISYMESYADQFDIRPRFNQTVVTAEFDPCSEIWNVKTLDGFQYSSPWLVVATGENAEPVIPKIHGMEHFHGPVVHTCDYKSGSQYKNKKVLVIGCGNSGMEVSLDLCRHNALPHLVARNTVHMLPRDIFGFSTFGVAMALNKWLPLKLVDKFLLLVSSFFLGNTNHYGIKRPKTGPIELKLATGKTPVLDVGQIAQIKSGNIKVMEGVKEITRNGAKFMDGQEKDFDAIILATGYKSNVPSWLKGSDFFTKDGMPKTPFPHGWKGEQGLYTVGFTRRGLHGTYFDAIKISEDITNQWKTIKNKSCSSDSHIINLINV >CAK8541871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419239867:419240424:1 gene:gene-LATHSAT_LOCUS10762 transcript:rna-LATHSAT_LOCUS10762 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRKLPSRRGLTPNFEEGVKGFITWAFAQERCRREGGVRCPFLKCECRPIISDLEEVERHLKRKGFIKNYWVWTYNGEQLSSNVNAETTNTHASSSRSHMEFEEQFNLINDMVGNAFGVNVTYDEPQDFDGEELSNEEAQRFYQLLKEMNTPLFKGSADSKLSMCARLLAVKLN >CAK8539739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523662023:523662579:1 gene:gene-LATHSAT_LOCUS8809 transcript:rna-LATHSAT_LOCUS8809 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMMYNSSEQKGHHHNHPHHCAPMSPRISFSNDFVDLQQAMKQERGSKSNHSQPVSSDFEFSVTNYSMMSADELFSKGRLLPYKDNKMQRGATTTLKQELLHNDDEYDGFSLRPPKGRWKGLLGLRKSHIGSKKAEKNEANSQEMVNEGSSSY >CAK8544035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659416618:659417003:1 gene:gene-LATHSAT_LOCUS12745 transcript:rna-LATHSAT_LOCUS12745 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEVINGGLKETELMEGDGTGKNSVRENDVMENVVSENVVREKDVMETDGNDDNEQGGHGSWSFLLMTLVGEKSTSHTLLYLINKRIITYLG >CAK8560766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41160595:41161129:-1 gene:gene-LATHSAT_LOCUS14416 transcript:rna-LATHSAT_LOCUS14416 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVNKAKNFVSDKISDVAKPEASLTDVDFTRVTMNNVEYLAKVAVHNPYSHALPICEINYSFKSATREIASGIIPDPGSLKAKDTTMVDVPVKVPYSILMSLAKDIGADWDIDYQLDLGLVIDLPVVGNFTIPLSRKGEVKLPSPF >CAK8573541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618717726:618718271:-1 gene:gene-LATHSAT_LOCUS25977 transcript:rna-LATHSAT_LOCUS25977 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIIIFFLVCAHQYCTAEAQSSSPDDLMETLWDLSSVGVILEGVIATILLVFIFVWLLNRFRSSQNNLEETPNSENDIGINPQLLQTFPILLYSSITKHLKEGDEGPLPCAVCLSDFNDNDTVRVLPQCKHFFHPSCIDAWLSSHVTCPVCRTELNDQHSFQLAISVDTQINGRCGIERV >CAK8564500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678486591:678490655:1 gene:gene-LATHSAT_LOCUS17801 transcript:rna-LATHSAT_LOCUS17801 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVRVIEAKNLVCLDSNGLSELYVQLKVGKQKFKTKVIKNLTPIWDEQFCFCVDDLKEKLIVSLKDMKDEEKFIHNNHLVARLKLPISLVFEEDSKSLGDAWYSLKSKKKKFKNKEGGEIHLSILISQTHASTESYASSDQFSLSRKSTGDQLSLSRKLSDAVTCSPSQSSNGRSNSSSPVREEITASKDEKSSQKTFASRLAQIFNKISDTPSVSPNINMDYDLPETDKVEVAETKTEDQASDETFEEVVRKMQSADQESEIPNNLPGGVLIDQLYVIATEDLNVLLFSPSSTFTKSVSEVQGTTDVQAGPWKLENENRTLKRSLTYIKAATKLMKALKAYEEQTYLKADGKNFAVLASVSTPDVMYGSTFKAEVLYVITPGPELSLEEQCSRLVISWRMNFIQSTMMKGVIENGARQGMKESFDQYAILLSQTVKPVDSKDLGSTKEQALASLKAEPHSEWKFAMHYFANFTFISTFLMGLYVLIHIWLVTPTTIEGLEFSGLDLPDSISEFAVCAILTLQGQRMWGLILRFMRARSRKGSDHGIKAQGDGWLLTVALLEGSNMPAIDSSRLCNPYIVFTCNGKTRGSSIKFRKSDPLWNEIFEFDAMDEPPSVLDVEVYDFDGPFDEPQSLGHSEINFLKTNISDLADLWVTPEGKLPLACHTKLHLKIFLDNTRGGNVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFSLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTNFFLLWEDVEDIQIVPPTFSSMGSPIIVVTLRQGRGVDAKHGAKTQDEQGRLKFHFQSFVSFNVANRTIMALWKARSLSFEQKVQLVEEDPENESVTSEESVTLIGSEVSMSEVHSCALQVPASFFMELFSGGELDCRIMEKSGCASYSYTPWVSEDNNVYERAVYYKFEKRISRYKVEVTSTQQKSLLDGNGWRLEEVMNFHGVPLGDYFNLHLRYQIDELVQKAKGCKVQVLFGIEWLKKTKHQKRITKNLLKNLQERLKLTFSLVEKEFLEKIGNRANSLTTS >CAK8573982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651702816:651704581:1 gene:gene-LATHSAT_LOCUS26375 transcript:rna-LATHSAT_LOCUS26375 gene_biotype:protein_coding transcript_biotype:protein_coding MACAKLNSLSSQWISNNPFSSRRGSSSVTSTRSVSLPIRASYSDELVQTANTVASRGRGILAIDESNATAGKRLASIGLDNTEANRQAYRQLLLTTPGLGEYVSGSILFEETLYQSTTDGKKFVDVLREGNIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPSGPSALAIKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQKASPETIAKYTLTMLKRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYSAEGENEEAKAGMFVKGYTY >CAK8579066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671933978:671937657:-1 gene:gene-LATHSAT_LOCUS31039 transcript:rna-LATHSAT_LOCUS31039 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGESSASAKPSASTKPSDEIWAKLVQSDPRYSDIEIRSDECDICSEILATSSDKHSWCKIVRNSDLCSATMENKSSNTILVDGAELRSGDNVVIKDGSEIISGPDREGFVSYRFQITSSPETRQNLLKITIDVDHAKCGICLNLWHDVVTVSPCLHNFCNGCFSQWLRRSQESHSAVLCPQCRGVVHLVGKNHFLRTIAEAMVRADSSLRRSQDETTVLDTYAYVRSNLRIGYIRKGRKRANRTDNDQNDGTDHHCPQCVANVGEFRCNHNTIHLQCHACGGMMPSRNGLRVPQYCLGCDRPFCGAYWNDQGLTRSSSYPVCSRDILKPISEYTITEIPLLTHEKNLHEQSITASCIRLMGRTLQDVISEWIVKLNNNEIDTTRMMLNHADMMTAGTLVCSDCYEKLVSFLLYWFRISIPKELLPPEASAREDCWYGYACRTQHRSEDHARKRNHVCRPTRGSF >CAK8579033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668318621:668321896:-1 gene:gene-LATHSAT_LOCUS31008 transcript:rna-LATHSAT_LOCUS31008 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSRAASSSSSTANLLSLRSSLHSKPPLLSLSLHFFPRFFYKGIALSSLTHLKPRSHSDGKEGCFNNGLEEDGDREVHCELQVVSWRERRVKAEISINADINSVWNALTDYEHLADFIPNLVWSGRIPCPFPGRIWLEQRGFQRAMYWHIEARVVLDLQEFLNSEWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNLSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAYRVERTILGNQKLPLPEDDLHKALALNGSSVKKINGSLYETDKLASGEDKEGLETSISSSLPTSSSELNSSWGIFGKVCSLDKPCGVDEVHLRRFDGLLENGGVHRCVVASITVKAPVSDVWNVMSSYEMLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEQLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLSAIRDYVENQKASQSSEVCEQPTDSGQENITSASGDDNSSSSADDFSDCNVQSSSIQRSRVPGLQRDIEVLKSELLKFVAEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRKIATMMNLALAYKYRKPKGYWDSLENLQEEISRFQRSWGMDPSFMPSRKSFERVGRFDIARALEKWGGLHEVSRLLSLKARRKRSSQDNFAKDKENDQMESPDVDSEKWHTELKQLDINWVE >CAK8537955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450032844:450035273:-1 gene:gene-LATHSAT_LOCUS7201 transcript:rna-LATHSAT_LOCUS7201 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPSSNPNSGSIPNPHNANTASKFPPPPPPSTAATTAACKASMLPRSGGNGGSLHRRAHSEVSFRLPDDMMDLSPSDPFTGGSSTASLDEIGSEDDLFSTYIDMDKLGGSNDLGDAGADPGRNDEAEKNQGRSRHRHSSSVDGSSSFGEIMDGKKAMPPDKLAELWSIDPKRAKRILANRQSAARSKERKARYIHELERKVQTLQTEATTLSAQLTLYQRDTTGLSNENTELKIRLQAMEQQAHLRDALNDALKKEVERLKVATGDIRSHTESFNLGMQQMPFTESNYLPISPQSGHPGHRNIQLPLFGHSPSSMATHQLQQANSHSFSELLQSDQIGRFQGLDISSKGTTVVKSEDPSLSASESSTTF >CAK8568925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655002389:655006048:1 gene:gene-LATHSAT_LOCUS21832 transcript:rna-LATHSAT_LOCUS21832 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSLPNGSYFLGFDSSTQSLKATVLDSNLSIIASELIHFDSDLPHYKTKDGVYRDQSINGRIVSPTLMWVEALDLIFQKLSKSNLDFSKISAVSGSGQQHGSVYWKNGSSKILSSLDHKKPLLEQLENAFSIKESPIWMDSSTTVECRAIEKTCGGALELAKVTGSRAYERFTGPQIKKIFDHQPEVYNNTERISLVSSFMASVFIGGYAAIDHSDGAGMNLMDIKEKAWSKVALEATAPGLASKLGDLAPAYAVAGNIASYFVERYHFNKDCLVVQWSGDNPNSVAGLTLNIPGDLAISLGTSDTVFMITKDPNPGLEGHVFPSPVDAEGYMVMLVYKNGSLTREDVRNRYAENSWDTFNKFLQQTQPLNDGKLGFYYKEHEILPPLPVGYHRYVIENFSGALDGMKEQEVKEFDPPSEVRGLIEGQFLSMRAHAERFGMPSPPKRIIATGGASANQCILSSIASIFGSDVYTVQRPDSASLGAAVRAAHGWLCNKKGGFLPISNMYMDKLEKTSLSCKLSASAGDQELFSKYAAVMKKRTEIENRLVEKLGRI >CAK8577177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543153962:543156789:1 gene:gene-LATHSAT_LOCUS29306 transcript:rna-LATHSAT_LOCUS29306 gene_biotype:protein_coding transcript_biotype:protein_coding MKRECHPLLRGVRDNNRFKHGFSVAEIDSLTSICEVIFPSLPMDDDESSKDVKSFFNLSASQYPFPHEVAEVLGKRALTEAVILIRVILWLLSTRLGTFLICGFLCVSKKWPFINNFSSLPLDQREKIVQRCLKLRLLTPIRLAFVYIKVVCLFGIFSRVDENGENPTWKAIGYEVLLSDEEMSNLCDKKRPLEKGIIETMHEHDTTLQQSLSNKGLNVTFDSKNNILKIKCDAVIVGSGCGGGVAASILSKAGHKVIVLEKGNYFVPKDYSSLEGPSMDQQYECGGMLASADSRMVILAGSTVGGGSAVNWSACIKTPKDILKEWSKEHKLSLFESFEYLSAMETVCERIGVNGNCTREGFQNQVLRKGCQNLGLKVDYVPRNSSGNHYCGSCGYGCRKGEKQGTQVTWLVDAVENGAVIITGCKAERFLFESNNRNGSIRKKKCLGVRAKILNSRVTMKLEIEAKVTVSAGGAIMTPPLMISSGLKNRNIGRNLHLHPVLMTWGYFPESNSDLKGKVNEGGIITSVHKVQSSSSDSNSDTRAIIETPLLGPSSFATLCPWESGHDFKQRMLNYSRTSHLITIIRDKASGQVTKEGRIRYKLNSTDKANMRGGLQQAVRILIAAGAVEVGTHRSDGQRIKCCNENTSEEEIETFIDSVCPVEGALSPGENWNFYTSAHQMGSCRMGVNEKEGGVDENGESWEAEGLFVCDASLLPSAVGVNPMITIQSTAYCVSNRIVDYLGKGTQLS >CAK8563259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586941339:586947096:-1 gene:gene-LATHSAT_LOCUS16687 transcript:rna-LATHSAT_LOCUS16687 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKIEVKAIGKEGEGGEVVSGPGKRWGHTCNSINGGRHIYVFGGYGKDNCQTNQVHVFDTVNQTWSQPEIKGSPPTPRDSHTCSVIGHKLFVFGGTDGINPLKDLHILDTSLQTWDSPIIRGQGPEAREGHSAAVVGKRLYIFGGCGKSSDNNNEVYYNDLYILNTETLVWMRPTTSGTPPSPRDSHTCSSWKNKIIVVGGEDGHDYYLSDVHILDTDTLMWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYILDIDAGIWTNVTTTTNCPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESDQRLEKLSMKKQLKLKCQEEQNLIPGQNQVMVGYGVGADIGQVASVLNYSQPNRTNIPVNQLLPSHGKKSFEAKVTENISEGYTIETVIDGKPLRGILFLNKQNPLHPSAHALSRKRTAGEIDGVISNGTHSNILKTPKVLKQSQMENRHPESLCHSSDAVVALAASNPITANPSVNHKVEPEAPSLNQNAEKIETPTSGENLKNDRANHVINPRVEAQINVVIPNLEALSHDKNNDAPNCITEFLKPATAESTVNFTNQGAVVDSTTSRTGECSEPTK >CAK8563258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586941339:586947096:-1 gene:gene-LATHSAT_LOCUS16687 transcript:rna-LATHSAT_LOCUS16687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKIEVKAIGKEGEGGEVVSGPGKRWGHTCNSINGGRHIYVFGGYGKDNCQTNQVHVFDTVNQTWSQPEIKGSPPTPRDSHTCSVIGHKLFVFGGTDGINPLKDLHILDTSLQTWDSPIIRGQGPEAREGHSAAVVGKRLYIFGGCGKSSDNNNEVYYNDLYILNTETLVWMRPTTSGTPPSPRDSHTCSSWKNKIIVVGGEDGHDYYLSDVHILDTDTLMWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYILDIDAGIWTNVTTTTNCPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESDQRLEKLSMKKQLKLKCQEEQNLIPGQNQVMVGYGVGADIGQVASVLNYSQPNRTNIPVNQLLPSHGKKSFEAKVTENISEGYTIETVIDGKPLRGILFLNKQNPLHPSAHALSSRKRTAGEIDGVISNGTHSNILKTPKVLKQSQMENRHPESLCHSSDAVVALAASNPITANPSVNHKVEPEAPSLNQNAEKIETPTSGENLKNDRANHVINPRVEAQINVVIPNLEALSHDKNNDAPNCITEFLKPATAESTVNFTNQGAVVDSTTSRTGECSEPTK >CAK8571508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434819708:434820031:1 gene:gene-LATHSAT_LOCUS24168 transcript:rna-LATHSAT_LOCUS24168 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSRYLFAIGLSPIFSLGRNLPPDWGCIPKQPDSPTAPRGATGSEHNGALTLSGAPFQGTWARSAAEDASPDYNSNAEGDRFSWWAYPGSLAVTKGILVSFFSSAY >CAK8540189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543883685:543884026:-1 gene:gene-LATHSAT_LOCUS9218 transcript:rna-LATHSAT_LOCUS9218 gene_biotype:protein_coding transcript_biotype:protein_coding MIISWNVKGINNSGKCHEVISHLKNLNPVMAILIETKVKFSNVKKVINKFGNKWQLINNYVNHNNGRIWILWDDTRIKVVNHGFPAHHIHCSLHYPTGELINGCTTIYAHDQL >CAK8565502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:248249160:248249450:1 gene:gene-LATHSAT_LOCUS18713 transcript:rna-LATHSAT_LOCUS18713 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNRLPHPELNIPLIGDWTTVYKGRKMQQLLASSAKKEDIASSSSNKTTSYKEVVVNDPPQEQMDYFENPVTEKNHVY >CAK8531602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:128825056:128825881:-1 gene:gene-LATHSAT_LOCUS1380 transcript:rna-LATHSAT_LOCUS1380 gene_biotype:protein_coding transcript_biotype:protein_coding MSINEQDILEKVMLSISDFFSLEPRQKCRGGTVLKSAYKDILSFLKLKRSKNQVKFLSDDFDSKHQNHNDPFDYMSYEKLPNQMENSMFLSTQPSAPPIPDSATIGIFKATVLSNLDIDYIPLLEKVCNIHPCLIECQRKRTPMYASWAFTSLGRVLHFLEITKVEEMNEEESEWLQKLWEEVQVFGFDLSWLAPQVEFVLKYVMKMSKMNKLEEEKKRLEVRIKELRMELFEF >CAK8579698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717356904:717357353:-1 gene:gene-LATHSAT_LOCUS31624 transcript:rna-LATHSAT_LOCUS31624 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKAVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKFKPDTPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGIELGSTRPGHVTATTLSLRHVYEIAKVKQSDPFLQNMPLESLSKSIIGTASSMGIKIVKDLD >CAK8537347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:296167875:296170109:1 gene:gene-LATHSAT_LOCUS6643 transcript:rna-LATHSAT_LOCUS6643 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSTISSPLGPPACEKDAKALRFIEEMTRNADAVQERVLAEILSRNAETEYLKRFKLDGATDRETFKKKIPVITYDDVQPEIQRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVSGLDKGKGLYFLFVKSETKTPGGLLARPVLTSYYKSEHFKTRPYDPYNVYTSPNEAILCPDSFQSMYAQMLCGLIERNQVLRLGAVFASGLLRAIRFLQLNWVELAHDIRVGTLNSKITDSKIKAHMENAIKPDPKLASFVIQECNKENWEGIITRMWPNTKYLDVIVTGAMAQYIPTLNYYSGGLPLSCTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHNSGSTRLVDRIVDLAGVEVGKEYELVITTYAGLNRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDESELQKAVENASKLLVEFNTSVVEYTSYADTETIPGHYVIYWELLSKDSSNSPSHEVLNRCCLEMEESLNSVYRQCRVADHSIGPLEIKVVKSGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSVHFSQDLPHWTPERRR >CAK8560778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42137411:42139341:1 gene:gene-LATHSAT_LOCUS14428 transcript:rna-LATHSAT_LOCUS14428 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNTEAIAKSENSLSFSPIKSHRSWLEVRLFYVRISPCVINSAPECLTLCHPKRETGFSLVINGTSIPAIDSAPPLPLRRDRVDKESAEVTYVSTDNVRITGGAEFEVYEKDVLFLCGSLERLDTDWGNGSGWEMDCHVAAGSIGSGSSAFFRPKLGVSAPSVEVYVAGCCSGVPVILSKTILMSPRRRVPRHAILDAIPEDEEMNVIQKDHAKSMNVLIPHPKLQITGSPGSEVYDYDLDGKMANGFYSQEMYLGEDGQLTWFNAGVRVGVGIGLGMCVGIGIGVGLLMRSYQTTTKNFRRKFF >CAK8574223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670688468:670694828:1 gene:gene-LATHSAT_LOCUS26584 transcript:rna-LATHSAT_LOCUS26584 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSDSVTYTVKVTVKVTVTDEETAIRDDVAPGLSSSSVQLASCESNRNKLSRKRKVLCDSEKTEADGLEAVAESMKGGAETVLLTDSESSVDGYDMAASASSSVKIAWRKMKVCRRKNNAEIVNISSSEEDYEAALDGEIQIQENAVLKDNNQDYPEIETETPLSHDNNKYPLRRTINKKHTFVERVVPKKSIMRNIQWVEEESSMCHQCQRNDKGRVVRCTKCKRKRFCILCITNWYPNRKEDEIAKACPVCCGNCNCRACLRSRVLLNEIKEKKTETNKDHEVGPSKYMLKELLPHLRRLDEEQMAEKGIEAKRQGLPLSELKIEVADYSKDESVYCDNCKTSIFDYHRSCTICSFVLCLLCCCELRSGQLLAGADTIESELIFRGRDYLHGGDEESTVRNIDSHVAAQPEVREWSRSRWHADSDGSIPCPKADNECDHGFLEMRRILPPNCISELVCKAEELAEKIELHDAEETFDNRCSCWKSVRSADGIYSNLRKAAFRADSSDNFLYSPKAVDLHHKDLGHFQWHWSKGEPVIISSVLESSSGLSWEPLVMWRAFRQICNAKHKTILDVKAIDCLNWCEQDINIHQFFTGYTKGRSDWLNWPQILKLKDWPPSNLFEEILPRHCAEFISFLPYKDYTDPVKGALNLVVKLPEDVLKPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDTVNVLTHIAEVELAPWRIPAIEKLRQKHLKQDKRELHRNYLDRDDGQSCESELKVDSLDGAVWDIFRREDVPKLQEYLKKHFREFRHVHCSPLKQVIHPIHDQTFYLTLEHKRKLKEEYGIEPWTFTQKLGDAVLVPAGCPHQVRNLKSCIKVGLDFVSPENVGECFRLTEEFRKLPINHRSAEDKLEVKKMTVYAMLDVVQVLLERFRHLWL >CAK8563421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601775719:601784454:-1 gene:gene-LATHSAT_LOCUS16835 transcript:rna-LATHSAT_LOCUS16835-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRRKIGKYEVGRTIGEGTFAKVKFAKHSDTGESVAIKIMAKSTILKHRMVEQIKREISIMKIVRHPNIVRLHEVLASESKIYIILEFVMGGELYDQIVQQVKLSENESRRYFQQLIDAVAHCHKKGVYHRDLKPENLLLDAFGNLKVSDFGLSALTKQGDDLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVILYVLMAGYLPFEEADLPTLFRRISAAEFVCPLWFSAGAKTLIHKILDPNPKTRVKIKEIMQDPWFRKNYSPVKLREDDKVNLDDVQAVFDDIEDRYVSERSQISEGGPLIMNAFEMITLSQGLNLSPLFDRHQDYVKRQTRFVSRKPAKVIISCIEAVAESMGLKVHSRNYKMRIERVSSNKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNLCAKLESIIWRPAETSLDSGLLRQMTF >CAK8563420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601775719:601784472:-1 gene:gene-LATHSAT_LOCUS16835 transcript:rna-LATHSAT_LOCUS16835 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDKTMKKVRRKIGKYEVGRTIGEGTFAKVKFAKHSDTGESVAIKIMAKSTILKHRMVEQIKREISIMKIVRHPNIVRLHEVLASESKIYIILEFVMGGELYDQIVQQVKLSENESRRYFQQLIDAVAHCHKKGVYHRDLKPENLLLDAFGNLKVSDFGLSALTKQGDDLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVILYVLMAGYLPFEEADLPTLFRRISAAEFVCPLWFSAGAKTLIHKILDPNPKTRVKIKEIMQDPWFRKNYSPVKLREDDKVNLDDVQAVFDDIEDRYVSERSQISEGGPLIMNAFEMITLSQGLNLSPLFDRHQDYVKRQTRFVSRKPAKVIISCIEAVAESMGLKVHSRNYKMRIERVSSNKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNLCAKLESIIWRPAETSLDSGLLRQMTF >CAK8539704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522409036:522410160:-1 gene:gene-LATHSAT_LOCUS8778 transcript:rna-LATHSAT_LOCUS8778 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEIDRLPIDLLANIFVLFTSFTDLAQAGKVCKKWKQGVKESLARKQNLSFSGWKMDDDSTSRLVSHAYNLRKLDIPRSRWSCQITDAGLIRISYAKCIGNLTSISLWGLTGISDEGVVQLISKTRSLRYLNVGGTFITDESLFAIAKSCPKMETIVLWSCRHVTKNGLIALVDQCLKLKSMNVWGTRIPVECLNNLLIMSPTLQIKI >CAK8569625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6904710:6907754:-1 gene:gene-LATHSAT_LOCUS22456 transcript:rna-LATHSAT_LOCUS22456 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTRQAKRRIGLWLKMTAVTISGLCFIFVWTVLSSSSSSSVTFQRESFEDIQEPVSSSSSSSVHKQTTPSEQNHVEESKDHKFSESGEKKVNGSSSIHSSTRPREKEAAREKKHAHNKENVSQGSLEKEKEEEGEEEEERLELDHESDESVDGDSEVLEDENMEELKKTKKGKVKGPLFDSNVNYRWKMCNARSKHNYIPCIDIEVGGGKVQSYRHSERSCPRTPFMCMVPLPLEGYKSQVPWPESKLKILYKNVAHPKLSAYIKKHNWLVDSGEYLTFPQNQTEFLGGIQHYLEFIEEMVPDIEWGKNIRVVLDIACTDSSFAAALLDKDVLTLSLGLKDDLVDLAQVALERGFPTLVSPFARRRLPFPSQVFDAIHCSRCRIPWHSNGGKLLLEMNRIIRPGGYFIMSTKHDSIEEEEAMTAMIASICWNVLAHKSYDVGEVEVRIYQKPEGNDLYELRRKKIPPICKENENPDAAWHVPIKTCLHTIPIGIEQHGAEWPEEWPKRLETYPDWISNKEKLIADTNHWNAIVNKSYLNGMGINWANIRNVLDMRSIYGGLAAALSKQNVWVMNVVPVHQPDTLPIIFERGFFGVYHDWCESFGTYPRTYDLLHVDHLFSRLKNRCKQPVSIVVEMDRILRPGGLTIIRDKVEILNSLEEILRSMHWEIRMTFHQEREGIICAQKTTWRP >CAK8536355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:933387961:933388497:-1 gene:gene-LATHSAT_LOCUS5732 transcript:rna-LATHSAT_LOCUS5732 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNLSHIAKMFMDYMNDDMNEELVRLFKEEEASSSRRPRRQRRNIERNREEGRDRLFKDYFSETLAYTNEQFRRRYRMHKHVFLRIVEAIGQHDEYFRMMVDATGRASLLLLQKCTVVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAKDIERLMQM >CAK8531370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105491797:105494076:1 gene:gene-LATHSAT_LOCUS1167 transcript:rna-LATHSAT_LOCUS1167 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWGLALDTSSSFLPSKHSNTNDRMFPILGFPVNLTRNTNAEDGGDRKITGEVDFFSERKKPSPSASQDHQQHVVKHDNIKKEIISTDVKPSTSNIHVNTGLQLANTGSDQSVVDDGVSYDAKNTELAQLQMELQRMNSENKKLKEMLSHVTGNYTALQMQLMALMQKNQHTENEVVNAKAEERTQGVGGEIVPRQFLEIGTNGTAEVDDRVSNSSSDERTRSNTPQNSLIEAGARDHIRNNNGKNGREDSPDSESQGWGPNKVQKILNSSNVAEQSATEATMRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILVTTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMSSADGIMTPNLLARAILPCSTSMATLSASAPFPTVTLDLTQNSNPIPNPNPLQQFQRPHAPFQVPSFFPGQPQNFQQAAAAASLYNQSKFSGLQLSQEVGSSHLTTTQAPTQQQLQQPSLADSVSAATAAITADPNFTAVLAAAISSIIGGGHGNNNSSNNNNSRSTVSNFSGN >CAK8562863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550327388:550328596:-1 gene:gene-LATHSAT_LOCUS16326 transcript:rna-LATHSAT_LOCUS16326 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQVAFREELDSMKGKIDQILEAILTARREEEQREAAAVVNGQGSTAIPLAPIPNSHGMPLNFNNTVEGNTSQPIPVPGVTVGVIPHAQPAAVQIPVPHVEDTLMDQYDDVQNYHAAIPISSPIAAQDSEAMKMCRDLAEKLRAMEGHNSNSFSALELCLVPDVVIPPKFKVPEFSKYKGLSCPNIHLKMYCRKMAAYARDEKLMIHCFQDSLSGASLEWYMQLERNSVRTWAELADAFVKQYKYNTDLAPNRTQLQSMTQKDNESFKEYAQRWRELAARVHPPLVDRELIDIFMGTLQGQYYEKLIGSVSAGFSDLVIVGERIEEGLKSGKIPGGSNSQASAKRPFNGYKKKEGETNAIFVQKGQASQQAPAPMPYQLIVDLQDEQGHMLQAMPSY >CAK8534350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719927468:719929725:1 gene:gene-LATHSAT_LOCUS3900 transcript:rna-LATHSAT_LOCUS3900 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFTHQPTIKTILQTQPSSSIPPSPHSLPFHETQKSRRSLSLVASATAAAPSEVSAKVSREYTVKSVKARQIVDSRGNPTVEVDLVTDQLYRSGVPSGASTGIYEALELRDGDKSVYGGKGVLNAVSNINDILAPKLVGVDVRNQADVDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQQISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYQVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFYTKDRKYDLNFKKQPNDGTHVHSADSLGQLYQDFVKEFPIVSIEDPFDQDDWGSWASLRSSVDIQLVGDDLLVTNPKRIAEAIQKKACNGLLLKVNQIGTVTESIQAALDAKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGTVRYAGEAFRSP >CAK8533459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620372950:620374746:1 gene:gene-LATHSAT_LOCUS3082 transcript:rna-LATHSAT_LOCUS3082 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKKRLFISSISPTMVFLFLAFAILLILPTASASRILVGGKKGWNTNFNYTTWAKDMHFYNGDWLFFVYDRNQMNVLEVNKTDYETCNSDHPLHNWTTGAGRDVVPLNVTRHYYFISGKGFCFGGMKIAVHVENLPPPPKASPIKSFAPSLKGHNILLMPIVFAIGAAWDSFIYLW >CAK8561306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:143146861:143147636:1 gene:gene-LATHSAT_LOCUS14913 transcript:rna-LATHSAT_LOCUS14913 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMERMNSDDGSSIRRRSLSLSSHISHHTDNDVDCESVSEAGDIGDRALPSRRFSESNSFHSENGSTVITNSSVRPFPQELSSTPHLSFDATVGSHDSKLVLIRYLLQKLFGPGVANVTSNHIILYLDLPSNMVCPLKQYGNNILKSTIYIKFTISIAFMTKGKRLTPSVDGYKLEFSKF >CAK8566077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377170313:377171167:-1 gene:gene-LATHSAT_LOCUS19243 transcript:rna-LATHSAT_LOCUS19243 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKLNLSYITSDSKRRGTFKKRKNGLIKKIDEISTLCGIEACAIIFGEGDSQPEVWPSPLGVQNVLSRFMGLPELEQSKKMFNQESFLSQRIQKAQEHLNKQKIDNKRKEMTHLMFQCLNAGQIFESVNMNDLNDLSWLIDQNLKQIERRINETQIEEVVENRVENWNGMEQGIVNNVDDMQRQNWSMDFNNNNIGDIIPFENGNYLPNGLWS >CAK8536908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:37752041:37756508:1 gene:gene-LATHSAT_LOCUS6233 transcript:rna-LATHSAT_LOCUS6233 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLSSSSVRSNLFKPFSAAFTLNRPISSDTTATLTIETSLPFTAHNCEPPSRSVTTSPSELLSFFRTMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAGTTRKDCIITAYRDHCTFLGRGGTLLEIYAELMGRRDGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGCGLAFGQKYLKDESVTFALYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLSHDIATEKELKDTEKEVRKEVDDAIAKAKESPMPDPSDLFSNVYVKGYGVEAFGVDRKEVRVTLP >CAK8536712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9400151:9401395:1 gene:gene-LATHSAT_LOCUS6046 transcript:rna-LATHSAT_LOCUS6046 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRGTGLDEIQQYVDARWICAPEALWKIFKFILYKLYPSVERLQIHLPNHHQVRFYKHQRITDVLNDNQNAVTMLIEFFALNQMDPHATNYLYREIPEHYCWLKGVKKWQRRQRKRKVIGRIYTVSSSEGEKPYLRVLLSHLRGPTSWEYLLTHNGASFFTFKKSVEDWGLLESDNSIRECLFEASNMRMPYALRRLFVTILIFCEPTDVRGLFNEFYPYMVEDYQMTNVIVGDNFENMLLRELRDLLLLHGKLIKNYDLPMLTTEINEVGGVPTIIQEELSVQVPNEDVQSVVKLNNNQMSAYNIIINAIHQKQCRFFVVDGPGGTGKTFLYRTIMANLRRNNEIVLATASSGIAATLLPGGRTAHSRFGIPIDIEPHSICKIAKNSDLAKLIRITNAIIWDEAPMINNNV >CAK8575348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:90865126:90866718:1 gene:gene-LATHSAT_LOCUS27618 transcript:rna-LATHSAT_LOCUS27618 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGGVPPGFRFHPTDEELLHYYLKKKLSFQKFDMDVIREVDLNKMEPWDLQEKCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNTFKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDGNDPTNEDGWVVCRVFKKKNLFKIGNEGGSTHNQDQQMNNISSTNPRSFMQRENQYLLHHHHQQQQQNHPRNLTGFELEKPELGLHYQHLQNSQYSLFHSQPQPQPQPLLHTQKPLEMNFDYSYATSLQSEQPIIVKQLMTNPRDCESGSDGLRYQVSESGIEVGSCEPHQEIGVGRSEGMNEWGMLDRLVNEDSTKGATRFEDDNPHHQINQLSLRGEMDFWGYGKQ >CAK8577514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570247379:570248441:-1 gene:gene-LATHSAT_LOCUS29616 transcript:rna-LATHSAT_LOCUS29616 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKRSSPTILTINEASPNGTSISKKRKITASSSHLHHQSISSVNSVNSAATVSSDEFHSDHTPLPRFSSGKVIETCNVVKERDTSSLDPELMKTKNFETVDLNATKRNLKSSREDEIDEFFAKFEREEQKLFSEKYNFDIVRDMPLEGRYEWVNLH >CAK8537370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:312082427:312082921:-1 gene:gene-LATHSAT_LOCUS6665 transcript:rna-LATHSAT_LOCUS6665 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLYYRSWMYDRTLPGRRGLTANFEEGVKRFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLSSNVHAETTNTDASSSRSYMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLQSNFPRC >CAK8572178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517490166:517515294:1 gene:gene-LATHSAT_LOCUS24771 transcript:rna-LATHSAT_LOCUS24771 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYDENLAGKFSGLGINQNGQPLRDQPGHSSDNNNNNDNLFQVMKAVEAAEATIKQQVDENNQLRSELLSKIQELEKYRLYESLEKKSHPVDPWKEPGHGSYEARLSFPSSGNHFENSQINGPPNMPNYQLSSPFTRSISPSRHLPEGDNDSRFSSPRQGLMPMPETNNSNSLLKQDLTIKVREHEEEIILLRKHLADYSAKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLFRTESKLKESQYQLTPWRSDMNHANVATQSPLNSIGAPLETSNKNSLELVPQHRYSQVMTQASGDAQTGTGWDAMNRFQSGMGGGLAANVDADDLGRYSPIGSRNSSAHDVPNHQVVTQGDSQNEYYGELSNKQVTFREPVSNNEVEDLEGDGDHSERETPANWGSGNPSYTTSVDDPGSSYSPYLPPVLEEPSSSFSEAADDDPLPAIEGLQISGEAFPGRELQACGYSIHGTTSCNFEWIRHLEDGSFNYIEGAKQPNYLISADDVDTLLAIEVQPLDNRKRKGEPVQVFANDNKKITCDHEMQSHIEKSFYSGHASYKVSLSTGYLDIWEPATLVVKKEGYSIKCSGPNGVVITEKFSPSTTVVIPYGHVSEFIIIGSTGAEHPLRAENNSTDVSGARDTIVLTLRLFIRRAGARKRGRRKGLFFNK >CAK8565984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364810728:364813446:-1 gene:gene-LATHSAT_LOCUS19155 transcript:rna-LATHSAT_LOCUS19155 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMECWSRRGSSNNNNDEDMVEQVLMDTNHQSSQSQHNNKDSSVIHKKFNKLTRNVSEAIASLKNTLNINLNLDSSKPDNNNNNNTSRTSNNLVWGTVVRNLTQLYPGSQLPEKLMSNIRKHYDSLPPSYSQAGFDVKDVFLHIKLMEQALEDSQAAILIEEECDGEMPLQGSLFKLTFACNSPISWPAMSSALDSSSICCKKIQIFEKKGLTLGIVLLLVLSGAGQDNLVRTRVESALKFSMKKPKVSVVKLPFGLCGCQEENFKGRERGEIEEDCSGVYCENTSQKIQLEMPLPNSSFHVSVDEWQTIQTDADEVQKWLLNSDSLEFLEQIGHNSYKGAYMGKKVGIEKLRGCDKGNLYEFALRKDLLELMTCGHKNILQFCGVCVDDNHGLCVVTKFMEGGSVHDLISKNKKLQSKDIVRIAVDVAEGIKFMNDHGAAYRDLNTQRILLDKHGSACLGDLGIVTACKSNQEAMDYETDGYRWLAPEIIAGDPESVTETWMSNVYSFGMVVWEMVTGEAAYTAYSPVQAAVGIAACGLRPEIPKECPQTLKSLMTKCWNNTPSKRPEFSEILAILLRATKQQQ >CAK8537868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:443317328:443321557:1 gene:gene-LATHSAT_LOCUS7121 transcript:rna-LATHSAT_LOCUS7121 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSENHTSEEHLHPHPHPLSSSKDELDNLDLHESHDSLNSKSYSNYRSVMSTLSDSQNHPLSPPSLVLPADSDPLLAPPQHHREFTNPNFSDNSSYIDPPSYADAIFSSFDGETSSNGGDTPTRSSSEGLFYSRSPSSSGSEYLKITVSNPVKEHENSNSIVPGNSTYVTYLITTRTNVPEFGGSEFGVRRRFKDIVTLSDRLSEAYRGFFIPPRPDKSIVESQVMQKQDFVEQRRVALEKYLRRLAEHPVIRKSDELRVFLQVQGKLPLPTTTDVASRVLDGAVKLPKQLMGESLIAPSEVVQPAKGGRDLLRLFKELKQSMANDWGGSKPLVVEEDKEFLAKKERVHELEQQINSASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAVLDSQRARATDMKGVATAAVKASRLFRELNSQTVKHLQDTLHEYLGLMLAVHSAFTDRTSALLTVQTLLSELSSLQSRAEKLEVASSKIFGGDKSRTRKLEELQETIRATEDAKNVAIREYERIKENNKSELERLDKERQADFLNMLKGFVVNQVGYAEKIANVWTKVVEDTAGYAKENT >CAK8537869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:443317328:443321557:1 gene:gene-LATHSAT_LOCUS7121 transcript:rna-LATHSAT_LOCUS7121-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSENHTSEEHLHPHPHPLSSSKDELDNLDLHESHDSLNSKSYSNYRSVMSTLSDSQNHPLSPPSLVLPADSDPLLAPPQHHREFTNPNFSDNSSYIDPPSYADAIFSSFDGETSSNGGDTPTRSSSEGLFYSRSPSSSGSEYLKITVSNPVKEHENSNSIVPGNSTYVTYLITTRTNVPEFGGSEFGVRRRFKDIVTLSDRLSEAYRGFFIPPRPDKSIVESQVMQKQDFVEQRRVALEKYLRRLAEHPVIRKSDELRVFLQVQGKLPLPTTTDVASRVLDGAVKLPKQLMGESLIAPSEVVQPAKGGRDLLRLFKELKQSMANDWGGSKPLVVEEDKEFLAKKERVHELEQQINSASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAVLDSQRARATDMKGVATAAVKASRLFRELNSQTVKHLDTLHEYLGLMLAVHSAFTDRTSALLTVQTLLSELSSLQSRAEKLEVASSKIFGGDKSRTRKLEELQETIRATEDAKNVAIREYERIKENNKSELERLDKERQADFLNMLKGFVVNQVGYAEKIANVWTKVVEDTAGYAKENT >CAK8573713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632584891:632586963:-1 gene:gene-LATHSAT_LOCUS26122 transcript:rna-LATHSAT_LOCUS26122 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERSGIAKDVTELIGKTPLVYLNKIADGSVARIAAKLELMEPCSSVKDRIGYSMITDAEEKGLITPGQSVLIEATSGNTGIGLAFLAAAKGYKLIITMPTTMSLERRTLLLSFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYILQQFDNPANPKVHYETTGPEIWKGTGEKVDALVSGIGTGGTITGAGKYLKEQNPNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLDVSLLDEVVQISSDEAIETAKALALKDGLFVGISSGAAAAAAIKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVRREAESLTFEP >CAK8533070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574672612:574674046:1 gene:gene-LATHSAT_LOCUS2721 transcript:rna-LATHSAT_LOCUS2721 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYSTITNEISFYTGLSPTAFFTIAFLTFIVYRTVSSMFVSPQDFNKPPVVSARSGSLFEVAEPRREPVPVGEITESELRLYNGSDKSKPILISVRGQIYDVSEGRNFYGPGGSYTMFAGKECSRALALLSFKPQDINGNLEGLAESELTILEDWEYKFIEKYPKVGRLVPEERTQQIEQSQEDNLKVNSNESQE >CAK8541576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:258214899:258215498:-1 gene:gene-LATHSAT_LOCUS10486 transcript:rna-LATHSAT_LOCUS10486 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIINRHKTILNKNRERDVGDFHCYLPLLAYLEALPSTYVDEKDICKNLSLDGSLFQSTSATAKAFMNYGNKKCLAYLQSLSQRCPKAAPQSYPMDEDHIKLNIANRLHRFGLGQYFVREIERLLLRVYRNYNKASSTSNMNDLQFLKDTITFELLRTYGFKVSPLMNDLQS >CAK8542543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525008005:525013689:-1 gene:gene-LATHSAT_LOCUS11377 transcript:rna-LATHSAT_LOCUS11377 gene_biotype:protein_coding transcript_biotype:protein_coding MQMENTVVTDLETTPPRPPVQDLGTHRPKTNSRKRKKEVNSKIRSPEEKQAQIETLEKELEGLFGYYREVLGKKVAVDLNQCGGSRNAVVAALMEESELPLSKLVDEIHGRLNSEVANGGVVLAESFNSALVKSSLLCVGQRMIYGVPNADADILEDHSDSCLWCWETRDVKLLPKSVRGELVIRRTMRKKINERIMAVTEMIVSLKKHESEPNYSQDLIKASKKLNKTSTGADIQLIVEGLLQKNSEDMDKKKASQEEKLLIKQLDRNRREAEKAEKEREKAVKEKEKAEAKEKEKESMQCDLNTETTAIETSMKLSQGEVRGDEKCSEQKKQQKRLVEEAEKDQRRQEKEEAELKKKRNLQKQVSIMERFLKRSKPNPSVQSDKVSVEPTASDLISSKDENLSKSATLLMDDVLASSSDITPEDLRRSHFSSWRSLGQSIRSNRKQRWGLRQNPKIEAVDKLKLTDSKAASQDYELGMEKDVDQLEESSPDLNSCLLNADNTNLDTKKYYRGRQLLQFDNAPRPAFYGFWPSKSHVVGARHPLRKDPGLDYDVSSDEEWEEDEPGESLSDCEKDEEKDEEECQEECSKSDGESEDGFFVPDGYLSDDEGAQLDRMETDVSHAEADSSPCSKDDIEAEEFCALLRQQKYLNNLTEHALRKNNPVIIPNFVLDKDLSLLDHNISGIPKQELMCLQALSMYTIPGGSYIELELLTDKIQDEDQEASPSTGKGAATPPSDLAAIPDTDLPTIVTTIQGCSQGINKLLGSLQQKFPSASKASLRNKVREVSEYVDNRWQVKKEVLVKLGLSVKPEKSSGGPRSIAAFFSKRCLPPGGESVKPGETSPLTPLKSRSAIQDSQASRS >CAK8541383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:146536416:146536915:-1 gene:gene-LATHSAT_LOCUS10308 transcript:rna-LATHSAT_LOCUS10308 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRGSHSFFQRQLRKPNLYVPLEGNRSNVVHTSWALMGLIHASQRDPTPLHHAAKLLINSQLEEGDWPQQEITGVFMKNCMLHYPMYRHIYPLWALAEYRRRVPLP >CAK8569617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6863771:6865124:1 gene:gene-LATHSAT_LOCUS22449 transcript:rna-LATHSAT_LOCUS22449 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNEHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPIVHGQTAKYNMKLRAGKGFSLEELKAAGIPKKLAPTIGIAIDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRGRKTKAGDSTPEELANATQVQGSYLPIVREKPAVELVKITDEMKAFKAYYKLRLERTNKRHLGARLKRAAEAEKEDSKK >CAK8563822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632971399:632972277:1 gene:gene-LATHSAT_LOCUS17193 transcript:rna-LATHSAT_LOCUS17193 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLIGKPNQRSDLQQTSLSSCWGEQLKNEPKQMKLEWLFLTIAPCDAAEPWQLRFQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFHYQKNPIPQRIVHGTTIEILRTIFPSIILMFIAIPSFALLYSMDEVVVDPAMTIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDLELGQSHLLEVDNRVVVPAKTHLRIIVTPADVPHSWAVPSLGVKCDAVPGRLNQISISVQREGVYYGQCSEICGANHAFTPIVVEAVPSKDYGSRVSNQLIPQTGEA >CAK8566353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:411805123:411811450:-1 gene:gene-LATHSAT_LOCUS19488 transcript:rna-LATHSAT_LOCUS19488 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGVTGIRIPDNSIDPWDSQSKDKTEKDGLDKRGSSDQSPLQLRSPFKLLFSDNSSSKYGATENNFSPDPFVLGTPRSRHKLILLFMKFSIVFIVIFALAGSFWWTISISTTSRGHIFHGYRRLQEKLVSDLLDIGEISYAPSKTKELEFCSQEFENYVPCFNASDNLAQGYTDGSEFDRQCGHELKQNCLLLSPTNYKIPLRWPTGRDVIWVSNVKITAQEVLSSGSLTKRMMMLDEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQTGVRTILDIGCGYGSFGAHLFHSQLLTMCIANYEPSGSQVQLTLERGLPAMIASFTTKQLPYPSLSFDMLHCARCGIDWDQNDGILLIEADRLLKPGGYFVWTSPVTNTRNKDILQRWKFIHEFTENLCWDMLSQQDETVVWKKTSKIKCYNSRKNAFPPPPLCSRGYDVESPYYRDLQNCIGGTHSNRWISIEKRANWPSRDHPNKHELEIHGLQPDEFVEDAESWRAAVHNYWSLLSPLIFSDHPKRPGDEDPPPPYNMLRNVLDMNAHFGGFNSALLRSGKSVWVMNVVPANGINHLPLIQDRGYVGVLHDWCEAFPTYPRTYDLVHAAGFLSLETAQQHRCTMLDIFIEIDRLLRPEGWIIIRDTVPLIESARALTTRLKWDARVIEIESDSDQRLLICQKPFFKRQAN >CAK8532016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191798638:191798982:1 gene:gene-LATHSAT_LOCUS1761 transcript:rna-LATHSAT_LOCUS1761 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTFSGIGNGTQIDTKILGTFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIKELNNNIRRVVDLYADLSSSFTKSMDVTSEGDSSGAVKSDGKGGHKRIRPT >CAK8561379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:179486874:179487083:-1 gene:gene-LATHSAT_LOCUS14979 transcript:rna-LATHSAT_LOCUS14979 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKWAWKQPSFEESVIAHWSSSMAPKMYQGSSDSPKRRDLESCSSVSRGTFCQSGKVFGDNTYRYQK >CAK8534044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:686137164:686139412:1 gene:gene-LATHSAT_LOCUS3618 transcript:rna-LATHSAT_LOCUS3618 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMNKNNCYYEPLPGSDSKAYQSSNEANEGPSSQKNKVHHHLNKYALAGAILASTNSILLGYDIGVMSGAVIFIREDFKISSIQVEILVGCLNVCSLIGSLASGKISDMIGRRYTIMIAAATFFIGALVMGLAPSYTILMFGRVIAGIGVGFSLMVSPVYVAELSPDLTRGFLTSLPEVFISCGILLGYVSNYALSSLPFGLNWRIMVGLAALPAVFVALGVLSMPESPRWLIMKGRHEEAKQVLIRTSESKGEAEFRFSEISQAASNFKTSSRNGQGVWKELLITPTRPVLRILIAAIGVNFFMQASGNDAVIYYSPQVFREAGVQDEKQLFGVTIIMGIAKTCFVFVSALVLDKFGRRPMLLLGSLGMAVSLFGLGLGCSFLQNSDEKPIWAISLCVIAVCATVSFFSIGLGPTTWVYSSEIFPMRLRAQGTSLAISVNRLISGVVSMSFLSVSEEITFGGMFFVLGGVMVVATIFFYYCLPETKGKSLEEIEALFEDELH >CAK8578443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629609158:629610450:-1 gene:gene-LATHSAT_LOCUS30455 transcript:rna-LATHSAT_LOCUS30455 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRGREGLGRLRRFSTAVRRRSEDEGDWLYASEWWGSTNDDGKTILRSTSAKGNGVVSVVAHPSSRPNRMHWSKMERWLQQRCEEVHPGYGNEGNLMVLGYQWRVLRFNDVTRQSTAKVMLTYRENKPELVYLMQQPHCLAVPYVKSMVSAGLSAISSCNFDIISTLQGKKSMHILCIGHGGGSLPLFLASKIQGAIVHVVEIDPLVISASIRAMGFPAFSLMTESGHRTFAKPDIINEVMWKGTHERICLHETDAEEFITNNTNLYDMIFVDAYDGDDVFPHKLWDPDLPFLKSLKTRLHPKHGTVVVNLHSDDDVRNHDGSTPSEFEQVLPMGKYVSQVCKAYKDVLLGTGSSCGEKRGSGIAFTVAVPWTCNTSLVACRGFGRDNQYINRDLVLNTLISKSLELEHVMDLPFSCLEYIKGGLILVD >CAK8531175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86868120:86868413:1 gene:gene-LATHSAT_LOCUS983 transcript:rna-LATHSAT_LOCUS983 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSMDSGRGVGVVVAGTVLFPMCFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLALGYHQYKFFFLLMENGGMMNTNLIYLENMG >CAK8530700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42188576:42189790:1 gene:gene-LATHSAT_LOCUS545 transcript:rna-LATHSAT_LOCUS545 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYNSIPFRFFIIFTAFLCIYFAIQKKKTNKNEDILSISSRFEVPTSKYTSILGPQFDKLPNQDDILELFHVWKKEHGRVYRDQEETEKKFEIFVSNLKYIVETNAKRDSPYSSLLGPTTFADLSFTEFKEKYSRNIDMSEISEAMNIVKDDVGDSSCSNPPPSWDWRSEKAVTSVKDQGPCCGSCASFSTAGAIEGIVAIVTGELLDLSAQELVDCVGNGCKGVFVYEAFQWIMSKNKKGLALESKYPYTGVAAPCKASKIPNSKSSLIDSFYHVDKSENALLCAVVKQPISVCINASTAEFQITMEYM >CAK8564330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668400824:668401513:1 gene:gene-LATHSAT_LOCUS17645 transcript:rna-LATHSAT_LOCUS17645 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRPPFPRLLLNNVSCMRNAQQILSHVNVSLHDGGALVLTGANGSGKTTFMRMLAGFSRPSAGEILWNGHDIQKSLIFQQYKLQLNWVSLKNAIKEKFTVLENIQWFELLEGKQGKAMPALELMGLGRLAKEKPKMLSSGQQRRLQLARLLAIDRPIWLLDEPSVALDDEGVKLLEYIIAEHRKQGGIVIVATHLPIEIEDSMVMRLPPRFPRRMTFVDMLDRVDIS >CAK8560940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61308930:61309525:-1 gene:gene-LATHSAT_LOCUS14576 transcript:rna-LATHSAT_LOCUS14576 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHRRLTVIISSYVKLGDLPIANEVKAGYDSSRLSESSEMASDGGSNKHADKGPKKKKGKATGNALANQPESGANNQEHTSTKSKKSQRRGKDTSSQTSDSKQGSRKESLKMKEDNLISPSEEWIMEKITALIPDFEEQGIDDPETILRPLMILKRFIGRHCLKTMQKE >CAK8542623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:532574505:532576520:1 gene:gene-LATHSAT_LOCUS11447 transcript:rna-LATHSAT_LOCUS11447 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVSAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTIYHKIGGFHPNQGSRPRYLQLYIYDTDHELQTRMRENPILNQAIVYKLKKILHQCNPFVIMFRQLALEPNVEECRLLIKERPSNQPQYSLPSASQVAAVIIGGGDEDTIERGRDINVISCDGNLTKVQETIGYYDPLQYPILFPFGTYGWDIETKNNVGKNVTCREYYSYVLQIRHNDQFVLLKLGRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNADNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPDIFLTMTCNPSWIEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKRVLGRVRSYMYVTELQKRGLPHVHMLLILDTDDKLREPEEYDRVVKAEIPQHESEPELYETVLKHMIHGPCGVLNQKSPCMKNGHCKKRYPKEFCEETRQGNDSYPEYRKRFSDPIFLNRNKSIDNRWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYKYVYKGPDRVAMEVHRGTGLDLCSRGFVQDGFVLQRHCGKYLIKTLRSSFSRFITISNPH >CAK8576657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:502250536:502252380:1 gene:gene-LATHSAT_LOCUS28830 transcript:rna-LATHSAT_LOCUS28830 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREATIAPKSLEDFDPPKKLKRNKFALACAILASMTSILLGYDIGVMSGAAIYIKRDLKVTDVQIEILSGIINIYSPIGSYIAGRFSDWIGRRYTIVLAGVIFFVGAILMGLSPNYAFLMFGRFFAGVGIGFAFLIAPVYISEVSPTSSRGLLTSLPEVFLNGGILVGYISNYGFSKLPLRYGWRVMLGIGAIPSIFLAIAVLAMPESPRWLVAKGRLGEAKKVLYKISDSKEEAQRRLDDIKEITGIPSDCDDDIVSVTKIQGKGVWKELLLHPTPAVRHIFIASLGIHFFAQATGIDAVVLYSPRIFEKAGIKSDQNKLLATVAVGFVKTVFVLVSTFLLDRFGRRVLLLTSVGGLIISLLTLSISLTIIANTRETLTWAIWLSIAAVLSYAGTFSIGSGPITWVYSSEIFPLRLRAQGVSIGAVVNRLTSGVISMTFLSLSKAITIGGAFFLFAGIAIVAWIFHYTMLPETQGKTLEEIEGSFGSFWRKRKASPLAEGVNHEMQLCTDGQTMTTKD >CAK8540640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:14342812:14344338:-1 gene:gene-LATHSAT_LOCUS9626 transcript:rna-LATHSAT_LOCUS9626 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLAGGVQCTLNVPLLGDAIDDDEKRVVASDSPNKNEASFFHTCLNGSNSIAGIAAQSVAYALASGGWLSLALFFSIAAVAFYTALLMKRCMEKHSNIKTFPDMGERAFGKTGKLIAEISMYTELYMVSIGFLILEGDNLSNLFSIQEFQVAGISIGAKQFFVILVALIIFPTICLENLSLISYVSASGVFASAVIVLSVAWTAAFDGVGVHQKGDLLNWNGIPTAVSLYMYCYSAHPIFPVLYTSMKNKRQFSNVLCVCFMFATATFASIAIIGYLMFGSKVESQITLSLPLNKISSKIAIYTTLVTPLSKFSLMILPITNALKELLPKSYKNNKMANIFLSIILLISMVIVTLALPFFGSLMALVGAFLSVLASFLLPCSCYLKISGTYRNFGFETMAIMIIILVAILMGICGTYTSVVELVQKSSNK >CAK8534550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740518715:740522410:-1 gene:gene-LATHSAT_LOCUS4090 transcript:rna-LATHSAT_LOCUS4090 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTEFKKKKGFGAKWLCIVVPLIAVIVAIAVSSKNSSKISLVGVIGKACQCARGTPKYSGIVEDCCCDYETVDRLNKEVLHPSLQELVKTPFFRYFKAKLWCDCPFWPDDGMCRLRDCSVCECAENEFPESFKKPNRLPLNDLVCQEGKPEAAVDRTLDSKAFRGGWTEIDNPWTNDDETDNDEMTYVNLLLNPERYTGYTGPSARRIWDAVYSENCPKYLSQESCQEEKILYKLISGLHSSISVHIASDYLIDEAKNMWGHNLTLMYDRVIQYPDRVRNLYFTFLFVLRAVTKAADYLEQAEYNTGNTNEDLKTESLIKQLLYNPKLQAACPVPFDEAKLWKGQSGPELKQKIQHQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGQENMVQTLQLQRNEVIALMNLLNRLSESVKFVHEMGPTAERLTEGYLFGNTKVLISSLKKIWYRILQT >CAK8540320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552203619:552209747:1 gene:gene-LATHSAT_LOCUS9334 transcript:rna-LATHSAT_LOCUS9334 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGRMRLSEEARNGLEFLKRKRLQRAQAAAASQTSVANMMSRSGGDALRTSASCGTRLHSDPDVFFKRKVDKFDTSDLEWTEKIPECPVYSPTKEEFEDPLVYLQKIAPEASKYGICKIVSPLSASVPAGVVLMKEKAGFKFTTRVQPLRLAEWDTEDKVTFFMSGRNYTFRDYEKMANKVFARRYCSSGCLPATYLEKEFWHEIGCGKMDTVEYACDVDGSAFSSSPTDQLGNSKWNLKKLSRLPKSTLRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGIPGHAALEFERVVREHVYTTDILSSDGEDGAFDVLLGKTTLFPPNILLEHKVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFALDDWFPLGAIASRRYALLNRVPLLPHEELLCKEAMLIHSCVELEDSDFPSPDLISPNRTKISFINLLRFQHCASWLLMKSRACTSVSSHSHGTILCSLCKRDCYIAYVGCSCHMHSVCLRHDVKSLDFSCGNKYTLYLRDDIGDMEAAAKMFELEDGILDEIGKQSKSEQNMYSYPLSDMFQRAEASGYEPYCELKFDSMMEFYTTPERSTNNQVCDTHSQFVLGHYSENHNSENHKPEVSEVSFSAASTLCSLSEHLECSSANKDAEVQTNLKVGIIDCKELGETISNNACGSSLFSARYHESSVKVHDLQKPDVKHIVDNDSDDSDSGIFRVKRPASLKAEKRNLKAVAAKHSEQQVLKRLKKVLPEGKSNRQQMNVRTSESSYKYNPVSHKVDIGISSKDRFARGNDAPFPVRHKKSGNEEVSMHMQQNHHRRERFQQTYREAPTIEIGSKRLKVRGPSFLGLESRLN >CAK8561130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:99730695:99734928:1 gene:gene-LATHSAT_LOCUS14746 transcript:rna-LATHSAT_LOCUS14746 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTIVEAAQKIVTSETLRSAAKQSQRCLTVPVHLRHAIKKYLREQEEPYMKRKVLRLSQSFNEIKNVNLQLATTTSREIVEDPLKSSEQSKRWKITSSYGDIGLTYRNDETIAYVASRMPAVYSACYRVLKEVRRRLPGFSPTKVLDFGAGTGSAFWALREVWPKSLEKVNLIEPSQSMQRAGQSLIQGLKNLPLIHSYDSIQALSKSIGKSERGHDLVIASYVLGEIPSLKDRITIVRQLWDLTQDVLVLVEPGTPHGSNIIAQMRSHILWMEKRKHRKSSNKNNEVCKDLITEKAGAFVVAPCPHDGTCPLVKSGKYCHFVQRLERTSSQRAYKRSKGEPLRGFEDEKFSYVVFRRGRRPSEPWPLDGITFDTLKEQHAKRNPEDLEIDYEDWLKLQQADDDVDASREVVDVVKYDSDAVETDHEDGNEVDEEIEEVTLSADLGGGWGRIVFMPVRRGRQVTMNVCKSTKSDASEGSFDRMVVTKSKNPTLHHQAKKSIWGDLWPC >CAK8571980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500065973:500074101:-1 gene:gene-LATHSAT_LOCUS24591 transcript:rna-LATHSAT_LOCUS24591 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMEESPSTINESNDDLCNFILSRFGKSTSESHQHLCAVIGAMSQELKDHNLPSSSVAYFGATCSSLDRIASESNPAKHLIDALLTILSLVIVRLPSAMLEKKREFLSELVVRVTQSPLSSETAVIHGLKCLSHLLINRHSVHWADVSPLFNVLLGFITDSRYKVREQSHLFLRDVLISFQKSSLLASASEGVQNILDRFLLLAGGENANANNANSDAGKETMGAQQVLYILDALKECFPLLSLTYKTSILKHFKSLLVLRQPLVTKRITNCLNFLCLNPASEVSPEALLEVLCSLSTLSTSSNVMSGDGITFNARLLDAGMKKVFSLNRQMCVIKLPSIFNDLKDILASEHEEAIFAASDALKSMINYCIDETLIKQGVDQITLDESRMSAPTIIERICAIVESLLDYHYAAAWDRVFDIVSAMFHKLGSNSPYFMRGILKNLEDIQKLPDEDFPFRKQLHACLGSALVVMGPETLLSLIPLNLEAEDLSVSNIWLFPILKQYIVGASLKYFTEEIFPMIERIREKAQKLEKQGLMVSSRNADAFAYSLWSLLPSFCNYPSDTANSFQVLEKHLRIKVKEEPDIRGIICTSLQLLIRQNKNIKDSNDDDIIEQDMAKEHILLKYSQQVATENLKALEISAKNLLKDLSEVFLKSTKDDGGCLQGTISDIASIAEKKVVQNLFKRKMSDLLKCTQKANKVDDSESYMQIDNASNDVSQSVLRARLLDFAVSLLPGLDVKDINLLFEVLKPALQDVGVMQKKAYKVLSIILRSSNSFVSSKLEDLLELMVKIRPCHSSAKRHRLDCIHFLILHVLKSEAVKVQLLDLTVHDSNSKDDSKIRPEVFLTEIILALKEANKKTRNRAYDILVEIAHAFGDEERGGSRNNLYQFFIKVAHGLVGKSDHMISATVKGLARLVYEFSDLVLTAFDLLPSIFVLLEKKKKEITKANLGLLKVLVAKSQAEGLHMHLRSVVESLFKWQDVAKNHFQAKVKLLLGMLVTKCGLEAVKAVLPEEHMKLLSNIRKIKERKERNRGAKSEETRSHVSKATTSRQSRWNHTNIFSDFDGENAGSDAEYLNGKTISRGGKSPTHLKSAASSFRSNMRLKRNFPEHLSNESDDEPLDLLDRQKTRSGLRSCENLKRKSRLDDDDMEVDSEGRLIIHEEGEQTKEKPAESEYDAMSEPDSHLSARSGTKAQKRRKTAESGRGYTGKEYGSKKAGGDIKRKDKLEPYAFWPLDRKMLSRRPEHRAAAKKGMASVVNMTKRLEGKSVSGALSVKSTKLKRTQKKGSKQKKR >CAK8538299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475875111:475880058:-1 gene:gene-LATHSAT_LOCUS7513 transcript:rna-LATHSAT_LOCUS7513 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRASESQMLTAVRQRRRELGISRSSSFFVDKDDELALFNQMKNCENENDDLLLRDSNEEFVDSALGSNLRTSSLFNISSSTEAPVRKTGADDFLNSETDKNDYDWLLTPPGTPLFPSLEMETKKTVMSQLGASTTLPVPLKSRMANPPPEHSRRNNLVSKQASSPGLTASGGGTRRPSSSGNPGSRPATPTGRSTLTTSLKSSRPSTPTSRTSIPSTRTMVTAAKPTVPSAKTTVPVTKNTTVPAAKPSAPVTKNTTVPAAKPSAPVIKTTTVPAAKPTVPSRSSIPLSRSTIRSSTPTSRTTLSNSRPTLSDSRPASRASTPTRQASTPSNVPTISAPSVKISSIPKPAPALLKQPIPSRGTSPTPRSRLWKPSEMPNFSLDAPPNLRTTLSERSVSATRGRPGAPSGRSSSIEPASSGRPKRQSCSPSRGRPANGISRTSGSSMPAVNRGYSKMNDKVSPVAMGTKMVERVINMRKLAPPKMDVKNSPRSNLSGKSSPSPDSSGFGRTLSKKSLDMAIRHMDIRKRVPGNMRPSMTNIPASSKYSVRSGHQRSINVSVLGSPHATSSNAGSETSVNQNGVYSDNSEIDCYDMLSDRGVQSPASVRGRYNYKPSY >CAK8575367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:96027274:96031201:1 gene:gene-LATHSAT_LOCUS27637 transcript:rna-LATHSAT_LOCUS27637 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEDSNWSSQTNWTLSSGTLHNCLTFQTSLSFGADNESTTTVESHPLLLHSPSPDSTPCEITINFAEKHELRQIYVRSTARVYEIYTALDLKSSNEYLCTVRCGVAFRDGQVLRSRCVNDVDSLCDENVRSEDDWVEVKAVDATSHAKPYINLTNTAQDLYEATAEINDVNPCISVTLRLLSLQTKGSICVDEIYVFGDPVDSEIQESHNENSSSSSLMAMLLPTLMQVSKTTGRSSLNDVRKDKEFVLEDAMKETPHPSGSVIENQLKGKNCITDPHEIELKEVKRSSVSQSQPEKFSKTAKMESDHLAMPSQTAQMDSNCKDMTSKVAEMEDHSRAVPSKGDFSGGNVERALEKLMSRMDRIEEICLGFQEKMVMPMNSIEARLQRVEQQLETLSMKWQNPERPSCYRISAPDASCIESDTHSCEDCLDCTVIGEIESDKKSLHTEVLNVFPQDDSPQRMSDSEHTTQLLPGLVVTAPEFSEVEDEDDNASEQEMSPSNDKAKLSIDDALSSALANFLSSSLSSELTKHTKSLHVKTPEFSNEDDDQESNNEPVNSDSVCPTDSGKFIPFQVASFEKGEKVKNDPNDKNSEETAKVKNDPNDKNSEETAEEAEQRDLFCKAQGDRDEVCIDRISAEPSLRIEDNKTSAEFSLRIEDNKNGKITSEQNDVILSNISDIPNEVVDSQTPSDYRAKAPKNTFHDYIIENVLGFSLSSPVVDFEIPLLDVKFISHTSPIPDGFLESLLFETPQTSSRDPSVEESIGDISIDDQVAKFLECETPETNSESGDLSIPLDDLFKVLDETPETNSRDPSVNENIENLSITDLLDSFFFESSFGKIFETVKESNEGVSIKDQRKDNSDLSIEEHTNLISVNECEPVNPSSSTHFVQVEDLSTSLIEPVNIEGGALAEDHKRKRDPSDWSPDTFHRP >CAK8577063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535173303:535176558:-1 gene:gene-LATHSAT_LOCUS29205 transcript:rna-LATHSAT_LOCUS29205 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHNHCSFMAKITTGIFCRNPYSITGICNRSSCPLANSRYATIREDNGVFYLYMKTIERAHMPKDLWERVKLPRNYEQALEVIDKNLMFWPKILIHKIKQRLTKMTQMRIRMRKLALKTREKITTLPRKEVKREARREEKAEKAAVLEKSIEKELLTRLKEGLYKQDDIINYPFEEYDKIIEMEKRQPAEEEEEMEEEIEYVEGPYDELEEEDDIEDFGAYAIDESQGNGSDDELDGSAEDEETKARDQRKAKRKSTLASKKLEKDGLDPKSKKTRVLVEVERDDADERQRAIL >CAK8562433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:490397971:490398343:-1 gene:gene-LATHSAT_LOCUS15929 transcript:rna-LATHSAT_LOCUS15929 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFIYNFKPGIEVPAPPPMVMPFRRATCGFKYVPTSLAAIDALMALRAEGLLRRSSMEKPFSSTETL >CAK8578238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613960616:613964463:1 gene:gene-LATHSAT_LOCUS30266 transcript:rna-LATHSAT_LOCUS30266 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTEAFRSFLQVLPPVDFACVYGSSLHPTNHDKTTMIDFILGVSDPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVTWNGKMFKYGVARTHDLLQDVQYWEKFYLCGRLQKPVQIVVDKLDISNINSINLRAALSASLLLLPSEFTEVDLYAKVCSLSYMGDVRMLFAEDKNKVKKIVAGQFDLFHSMYKPFLEEYEAKKLLKLSSTVNHKIHVSQDCDLSVACSLVSALPPSIRSQMGVQQREGMKLSQTGRVIHDTNISSREGAANCLQRILRRKVKVSSARQAISGLLTVGGVKATKYVANKINKAWKSWK >CAK8539671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521008744:521010607:-1 gene:gene-LATHSAT_LOCUS8749 transcript:rna-LATHSAT_LOCUS8749 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFFLTLISSIFLIIIITLKLFFHTTTSRFKNLPPGPPFLPILGNLHQLKKPLHLTFQTLSQKHGQIFSLWFGSRLVVVVSSLKAAQECFTKHDIVLANRPHFLTGKYIGYNNTTVAQSPYGDHWRNLRRIITTEVLSSHRLNSFLEIRRDEIMRLIQKLAQVCYNGFSEVELKPMFSEMNFNTIMRMVSGKRYYGDDCDVSDVEEARLFREMIKEIVMLAGANNVGDFLGFLRWFDFDGLEKRLKRISKRTDSFLQGLIDEHRFGKRNANTMIDHLLKQQESQPEYYTDEIIKGLIVVMLLAGTDTSSVTLEWAMSNLLNNPKILKKARDELDSHMEQDQLVDEHDISKLPYLQSIVYETLRLHPAAPLLVPHLSSEDFTIEEYNIPQNTILLVNAWDIHRDPNLWTDPTCFKPERFEKEGETNKLLSFGIGRRACPGTNLAQRTVSLSLGLLIQCFEWKGIGTEKIDMAEGKGITVGKKISLRVKCKLRHPLKNKYVF >CAK8567376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509426251:509428428:-1 gene:gene-LATHSAT_LOCUS20436 transcript:rna-LATHSAT_LOCUS20436 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEQPQNLKEEQHFHVLAVDDSVTDRKLLERLLRDSSCKVTCVDSGDKALKYLGLNIDDVDTNHSSSTETILESSSPLPLPLQLREGIGKVNLIMTDYCMPGMSGYDLLKRVKGSSWKDVPVVIMSSENIPSRITMCLEEGAEEFLLKPLQISDLQKLQPYFLKSHENSSDEQESTNSTSTDSSDNEDVMNNNNSNGISKRKAMSPEPPERSMPKMKGLTVVV >CAK8568876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650159011:650159733:-1 gene:gene-LATHSAT_LOCUS21785 transcript:rna-LATHSAT_LOCUS21785 gene_biotype:protein_coding transcript_biotype:protein_coding MESVASSSNSTPNDTNNNTRHRHSRFKHSQPISDRIVRALRHRLRLLHRTGSTFFIFGATGNVYTVTLSSTPTCTCPDRTTPCKHIIFVLIRVLGVSQNDACVRRRNLRPCHLQRLLNMPTLPEAVAGFTLRQRFHQVFFEGGSKKGKSNVEMEEGSTCPVCLEEMGKEEELVACGTCKNVIHEECLVRWKRSRGRRSASCVICRARWRDRVEQDTYVNLSAYVSEDDFRAQSSGGICTD >CAK8531300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99271843:99273192:-1 gene:gene-LATHSAT_LOCUS1103 transcript:rna-LATHSAT_LOCUS1103 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFFLSSLSFIFLLFFTFSSAQMPGFVSLDCGGDKNFTDEIGLQWSPNDGIVYGKISTISVANETWKQYTTLRNFPADSRKYCYTLDVISRTRYLLRATFLYGNFYNNNVYPKFDISIGATQWSTIIISDANTIEVREIIFLASSPTVSVCLSNATTGQPFISTLELRQFNGSVYFSFYEEHFYLSVSARINFGAESDEEIRYPDDPFDRIWESDSVKKANYLVDVADGTEKISTNVSIDVNRDEIPPLKVMQTAVVGTNGSLTYRLNLDGFPAIGRAYSYLAVDRRFSLSTFNSLT >CAK8567867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551659987:551660568:-1 gene:gene-LATHSAT_LOCUS20884 transcript:rna-LATHSAT_LOCUS20884 gene_biotype:protein_coding transcript_biotype:protein_coding MADYENHHHHHHQQQQQQVVSRETAFQALNTIIQLHFEKTLEKKRSIDLQKKELHKLFQIFFIFLTLLFMAQSISSRLQCRHCWIPITLLSMAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLRDMKMRLSGAADYEPIGDEEFEIHYQEPPESYFGKFKRNWALHFGFLILIYGFMISSSVVLLCF >CAK8539338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509686715:509688229:-1 gene:gene-LATHSAT_LOCUS8444 transcript:rna-LATHSAT_LOCUS8444 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFKTLLNTPPLTNKQQCLSLLNSCHSITHLHQLQAQIHLNSLHNDTHLLSEFVYFCSLSPFKNLAHARKLVHHFSNNSSPISWNILIRGYSSSDSPIGSIWVFKEMRERGVKPNKLTFPFVFKSCAVDLALCEGTQVHCDAVKFGLDSDVYVCNNLINFYGCCKKIVYARKVFDEMRVRTVVSWNSVMTACVENVWLSDGIEYFFKMRDCGFEPDETSMVLLLTVCAELGYLSLGRWVHSQLILRGMVLSVQLGTALVDMYGKSGDLGYARVVFERMEKRNVWTWSSMITGLAQHGFAEEALVLFEMMSDEKRNNVLPNYVTYLGVLCACSHAGMVDDGYLYFREMEFVHGIKPMMVHYGAMVDVLGRAGRLSEAYEFIHRMPFTPDPIVWRTLLSACTVHDVCDRAGIGEKVRKRLLGMEPKRGGNLVIVANMYAEEGKWEKAANVRRVMRDGGLKKMAGESCVDLGGSMYKFFAGYDSSSHLMPMYDLLDGLNLHLKMVH >CAK8576865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521138734:521148460:-1 gene:gene-LATHSAT_LOCUS29028 transcript:rna-LATHSAT_LOCUS29028 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEANAKIRIRKRKQKINDQPEKPSKLHRCIKSVQKPQDTVRSSTPWNNLQLILCIQDRQLDLHSKVNQAFNFVRSMVGDGADIDQHCETVKLQRLLGYLNDWILTVLFPPNGKENWGDGKTPQLDGIDAYMDLRCWEIFKFCLQESLKLRVSLNMSRNLLQTVQFIVKNAPSLLEDFSTCSEEHFKSDEKFKLYDTALDCISLVFSSHGGLLNENLDLWVETTGAVLELVLKVYGKNLDGSCVGACVFRFLWLVLQPFSKFLRVHPARKGFQNFVDKLLEPLLHLSGELHLRVNRSDPIWTGRLVKVVEEVISHGLFHPVHIDEFLSLHGSEKYAASCDDKPKDSKATIKSYHRHLFDVLNKIISRKNAIAMGSLGLIFRLFADSARKFRGTSVLHEESNTMEKINDSRPPVPGESCSSNNISADTQKSLFNFLVLIMEPLLLELNAFLQAKIDAKLLFSDLYGILKSISNLLASFMKEKVYVKTEDTSGGACLNFLKKTFNTLIASSTSILCFSNYNTTDVTGMETFFLSANEILVAMGYLLEIEYEVIGEDLVNLWLILLSYSTVNCNMASAFDRSSLSSTIPALGCQIVNLYSQLRQVQIAILALCKALRLLTCDGDAEESSSKLLTFLFNNVHSESVERLLLSHKFIHTIYKAMESIPEGQVSGFIRQVTGDISETLRWMKNCSPSADGNKLRMINLHAELLGRGLSRLYSLVLDSATITEGNSNLVGVAVKELMSVLRPYLSILVIQQPDTICKFFSSILGETADRAVGKDKVLKKFGRSSQWVFVLFLQLFLSSRSLLRQAISLAPPSISKKMSAEMGDYSAYSAFELMERTDKTDIGFFSWIAQPSASLLVVMQLISDFYLKYGFNDSSPLVYIFQSMALQRLVDLNRHIVLLKYSQNKLYRSRIKALKEEAAGLTNFIMENLSCVYQSPIFVSDDVKSEDLVSLAPQINKWNQGIYVANKNSLPIAIWSNLCKNVDIWGNHGSKKQLKKFFSHLLQTSLHCVSSSFQEPDMQDNCKLLKRVTLPHISADLLSDSILYELKFAHRNLATIFCSALEKSVLPLFSNIACTAVELVSVPNWIEFLRGLDNSKEVPVDCSAVKMPVDHSCDKLHADISSRENASPLTIKSFTDCHHLLNLLTLMSDVNAGSFSHIVSCIFNLERLLVNALIYFQTTVYRDYYCEYLRLFVSCRKALRYILMGLSEKTDTIRSSPNSVISESSYPVLWFSKSLTVIAGFQEVFSAENILFKSLMFSLMDHTAYALLGIGKHQYIHAFCFDNKAEIPCEEISDHKINHTENHSLSSSQHVDSSKLEPLKCLTLIARNLKEQMQNLVVSQKCILFHVNVGHGLTCENMNRLSSAVSCFSGVLWALTSALGQTDVKDSGYKEKVLMWKHEHGSELNSCIFSFVEVVNIFIDKLLSEKNQLSENLHDTQSFEKQAFNLCSVSKANGSAGMQKESKTEAPCSTSSATDNVSKSGSDVEIMSNPESVNFVASVLARDDSPEPLGLNKPLLQSLVKGDNPEVAFLLRQLLIASSSLLRLNLLMDDSPLPSSCVPASIEISQVLLLEFTEMVGLPQQSAFLLLDGALSYLRELASYFPFTDPTSSSKVYTKLVQIHMRAIGKSILFQGKRAKLTLHERQSSTKTLHKGPFEACSSNEMHDFCLNELKTRLRVSFKAFIEGQSELHLLSTIQAIERALVGVQEGCTIIYGIKTNKDWGEVSTFVAAGIDCLDMILEFVSGRKGLKLIKRHCQSLVSAVFNIIVHLQSPDIFYVNLRCKTVAGTPDPGSAILMCVEVLTTISRKHGLFSMDVCHVGHMLHIPAALFQNFHQHRISKASRPSDSFMVSEEQNSHSEDGVNFCHVDHQFTINLFVACCQLLCTIIRHRPSECKQCVAHLEASVTVLLNCLETVLENNKSMVNEGWFSWEVEEAVKCACFLRRIYEEIKQQKDIFGRQCCLFLSNYISVYSGYGPKRSGIRREIDEALRPGVYALVDACSIDDLQYLHTVFGEGPCRSTLATLQHDYKLNFKYEGKV >CAK8531193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88015944:88017617:-1 gene:gene-LATHSAT_LOCUS1000 transcript:rna-LATHSAT_LOCUS1000 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEASFMNLMPSTHLLATREMMKSNQQQQYQQQQQQQMMNSNMYNVPMNSAMPIPTTMHESMLPFYQSNVCDQNRADSGLTYNNPLQRKRSRDLSTELVSLPPQQKNRVISSESSSFADQVLYQFQNQQSEIDRILAHHNEKMRMELEEQKMRQSRVLACVIQETVAKKIKEKDEEIQRIGNLNWILQERVKNLSAENQVWRELAQTNETTANYLRNNLEQVMAHVKEGQHHAALIEDDAESSCGSNNAADDADDTAAGPIIGGGGGLVRLCKNCGVRESIVLLLPCRHLCLCNVCGSSVRKCPVCDSGMDASVHVNLS >CAK8571190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:331734674:331738957:-1 gene:gene-LATHSAT_LOCUS23879 transcript:rna-LATHSAT_LOCUS23879 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPIVEPNTCIRGCCTSSSIPLHLPPSSYSLLSPIARGAESVVYEGTLNGHKVAIKKPVLSLSQHINDFHKQLQLLCQLDHPGIATLIAAHAKPPNYMFFFNLYESSNLGHKLHLEEWVPTVNDALMITMQLAKALQYLHNLGILHRDVKPANILLDINLCPRLTDFGLAEYKSDIKGVSLENWKSSGKPTGGFHKKNMVGTLVYMAPEILRKELHTEESDVYSFGISINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSDGLRPVLASEDLGIPSRLISMIQQCWDANPKSRPSFDDIVKELDFIMEHRKVKKVEDMHIRPCNLHVDHPVDKTYQDSISWSTQGELLVRNASTQGELLVRNASSASDPCFRTWESYDEPLAYRPILSWGSYATCGRREAMEDTHFILPHLCNEKDVYAFGIFDGHRGAAAAEFSSRALPAILQNLGFIDSPANTLVEAFLRTDTAFRKELDSYRKSNRCIQKDMHPGCTAIAAIVCGNKLFVANSGDCRAILCRAGNPTALSKDHVASCLQERERVIRHGGQVHWQVDTWRVGLPALQVTRSIGDDDLKPAVTAEPEIIEHTLCAEDEFLVMGSDGLWDVMSSLDVINIIKDTVKEAGMCSKRLATEAVERGSKDNITVIVVFLRPVSTAERIY >CAK8541988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448217260:448217725:1 gene:gene-LATHSAT_LOCUS10865 transcript:rna-LATHSAT_LOCUS10865 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAFNSVFVAARSKPIVTVIEEIRVYIMHRWESNRKNITKYDGIILPNIKKMTERKSQKTNHWIVRCACEYDYEVKNTSVNGEKYVVNLYKKECSCILWMLSGLPCCHAMSCMKDQHLEIDDSVHECYKKE >CAK8560213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10679757:10680665:1 gene:gene-LATHSAT_LOCUS13907 transcript:rna-LATHSAT_LOCUS13907 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGRKKRVTDPLDDEAKARLVGGNFRQLSGISSGSEHSGDGEFTSSPSLSELVHDFLEEDNENECDSAENDFDSERVDSVSECLDSVEDLLKLRSENADADSYLSMLRVHVSEAAVKFAFLKEQSFSVFNRNVMSFLREKGHISAICKTRWEPSGGLTAGSHEFIDVVSSESSTWQTRYFVELDFAGQFEIARPTSRYTEIMSYVPGIFVGTSEELKRTVLALCGAVKLCLRSRGLSIPPWRKNRYMQNKWFGPYRRTTNPVQGNPAPGVAPSFSCAKCRLVGFNDAVSETRRSVSFVRTR >CAK8571838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482273505:482274728:-1 gene:gene-LATHSAT_LOCUS24464 transcript:rna-LATHSAT_LOCUS24464 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLIPDFEEGVKGFVTWTFVQEFCRHEGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWIWTYNGEQLPSNVHAETTNTHASSSRSHMEFDEQFNLIDEMVVNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLIKMNTPLFEGSADSKLSMCERLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCSSLRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSDFAGEPRNVRLGLCSDGFTPYVQASAIAYSCWLVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGINAYF >CAK8571839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482273505:482274698:-1 gene:gene-LATHSAT_LOCUS24464 transcript:rna-LATHSAT_LOCUS24464-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRGLIPDFEEGVKGFVTWTFVQEFCRHEGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWIWTYNGEQLPSNVHAETTNTHASSSRSHMEFDEQFNLIDEMVVNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLIKMNTPLFEGSADSKLSMCERLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCSSLRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSDFAGEPRNVRLGLCSDGFTPYVQASAIAYSCWLVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGINAYF >CAK8542445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515359755:515365861:-1 gene:gene-LATHSAT_LOCUS11284 transcript:rna-LATHSAT_LOCUS11284 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNSSTPLPLVVTLNCVEDCSLELDSLSGVAAVEHVPLSRLSDGKIESAAAVLLHSLAYLPRAAQRRLRSYHLILCLGSADRSVDSSLAADLGLRLIHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARALATRSLAFKISVLYFDVHSGQGKINFPPAARKMDTLNDLLAASDLISLHCGLTNETMQIISAECLQHVKPGAFLVNTGSSQLLDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQTFFIDGIIPKNALSDAEEESEVDDENEQSDQQYKENALQIIVREQLDDVYSNPESSQKKVGEVKESSSQHQVSSLTLSTSARSEGRRSRSSKKAKKRHIRQKSQQKSDPSALEKEGTSQRDDTAMSGSDQALSSSSEDSRSRKTPVESIQDPIATQALKSSTKLSGKCTELLKDGCIIALHATDRAAFYVSRQRVKGGGWILDSMPDVSKRDPAAQFLIIFRNKDTIGLRSLAAGGKLLQINRRMEFVFASHSFDVWENWTLESSLDEWRLVNCRNPSAVLDHVYIEVLATSDEDGITRWIENF >CAK8579406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696339492:696342637:1 gene:gene-LATHSAT_LOCUS31355 transcript:rna-LATHSAT_LOCUS31355 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDYNGSPKHYNQLESKRNRLTWILGVSGLCILCYVMGTWKSTGTPNQSEKYSKVDCNTGTNTGSSSYSSSSLANLDFSSHHSIEISTSPGVPEFPACDMMYSEYTPCQDPNRGRKFDRNMMKYRERHCPSKEELLSCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAIQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTTGNIRTAIDTGCGVASWGAYLLKRDIVAMSFAPRDTHEAQVQFALERGVPAMIGIMASQRLPYPARAFDMAHCSRCLIPWNKYDGMYLIEVDRVLRPGGYWILSGPPIRWKKYWTGWDRTQEDLKQEQDSIEEVAKRICWKKVIEKNDLSIWQKPKNHVECAQLKQTYKTPHICQSDNPDMAWYQNMEKCITPLPEVTGSSQVAGGELEKWPKRAFAVPPRIASGSIPSMTAEKFQKDNELWKDRIAHYKQITPIAQGRYRNIIDMNAYLGGFAAALIKFPVWVMNVVPSNSAHDTLGAIYERGFIGTYHDWCEAFSTYPRTYDLIHAAGVFGMYQDRCNINVILLEMDRILRPEGTVIFRDGVELLTKIKSVIDAMKWKSTIIDHESGPFNPEKILIATKSYWTGEVKASGN >CAK8543786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639775779:639778124:-1 gene:gene-LATHSAT_LOCUS12522 transcript:rna-LATHSAT_LOCUS12522 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHAHGHNPPSEPATPTTPRPTLFLSASGKALVVSNSNKNLIISNSGKRLDNVSSKKKYVKQVTGRHNDTELHLAAQRGDASAVRQILAEIDDQMTGTLSGAEFDAEVADIRSAIVNEVNELGETALFTAADKGQFDIVKELLPYTTGEALSFKNRSGFDALHIAANQGYKDIVQLLLDHDPGLIKTVAQSNATPLISAATRGYADVVELLLSCDPSQLEIARSNGKNALHLAARQGYVDVVKILLGKDPQLARRTDKKGQTPLHMAVKGVNCEVVKLLLAADAASVMLPDKFGNTALHVATRKKRVEIVSELLLLPDTNVNALTRENKTALDFAEALPISEEILEIKDSLIRYGAVKANDLNQPRDELRKTMTQIKKDVSLQLEQTRKTNKNVSGIAKELRKLHRAGINNATNSVTVVSVLFATVAFAALFTVPGGDDSHGMAVMVHTASFQTFFLSNAIALFTSLAVVVVQITVVRGEIKSERRVVEVINKLMWLASVGTSVSFVAASYIVVGRRSQWAAILVTVVGAIVMGSVLGAMTYYVVKSKRYRRVKKKEKKEKISRNGTYSWHLSDSESEVNPIYAI >CAK8537462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:363365375:363366886:-1 gene:gene-LATHSAT_LOCUS6752 transcript:rna-LATHSAT_LOCUS6752 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGQYTDRINARRGIRQGDPLSPLLFVIIMEYLNRGDHVSVEILYSTLNKFLDSTGLKINPSKSRVYFGNVPASVKCGILHLTSYKEGSFPFRYLGIQLTSKCLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITTYWMQCIWFPKMVINKINAICRSFLWSGGNNISRKSPVAWENVCKPHVQGGLNMMNLEVWNSMFVIKLLWNIYAKSDDLWVLWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMGKFHGRKLYQSLLPITPNVPWAKLILHNRARPRAIITLWTICHGKLATKSRLFRFGMINNNKCAFCNEEETIDHLFFCCVELKQIWSGILQWLGIQHTPKRWQEEMQWALSNYGGKGWQSDLVRLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPRIARFILP >CAK8531592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127860854:127866809:-1 gene:gene-LATHSAT_LOCUS1371 transcript:rna-LATHSAT_LOCUS1371 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYHFIYKDLDGASTQWDDIQAKLGNLPPKPPAFKPPSFTPASDPDSIPKDKSWIDSKTHDELEELEDDLDDDRFLQEYRKKRLAEIQEAAKVLRFGSVTPISGSDFVREVSQAPSDVWVVVILYKDGIAECGVLMQCIEELATMYPATKFVKIISTDCIPNYPDCNLPTLLVYNSGAVKGNYVGMSSFGRRCTPEGVALVLCQSDPVLNDGQSKDEESRQAVIDGVRKRFIEKVVADHEEKDDDSSSD >CAK8579238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686795640:686797338:-1 gene:gene-LATHSAT_LOCUS31201 transcript:rna-LATHSAT_LOCUS31201 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLITYITTHGYGCWSEVPDKAGLQRCGKSCRLRWINYLRPDIRRGRFTPDEEKLIISLHNVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKHNSPSNSTTTTNITQNHVLDYNFNINKLDQNIVTTPKPSPFQETTLFSPTCPLFMFEPSSLHGTTTATTAAATAEAIQSSSNNLQTDQYFHDSVIGLNSETWNQVQSSSLPPPIISTTFTMDTMNYLPPLIENVENMVEEEVGDHRQVQELNSIDQWHHQQYPNTNFLFWDNIVQLGGEEQQLAPNSSSNMGTNREKKFMMVHARLSETCRDSSFPFDDIFFNFLCPF >CAK8579239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686795818:686797338:-1 gene:gene-LATHSAT_LOCUS31201 transcript:rna-LATHSAT_LOCUS31201-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLITYITTHGYGCWSEVPDKAGLQRCGKSCRLRWINYLRPDIRRGRFTPDEEKLIISLHNVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKHNSPSNSTTTTNITQNHVLDYNFNINKLDQNIVTTPKPSPFQETTLFSPTCPLFMFEPSSLHGTTTATTAAATAEAIQSSSNNLQTDQYFHDSVIGLNSETWNQVQSSSLPPPIISTTFTMDTMNYLPPLIENVENMVEEEVGDHRQVQELNSIDQWHHQQYPNTNFLFWDNIVQLGGEEQQLAPNSSSNMGTNSTTLSPFPSSSF >CAK8541319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:119801147:119801512:-1 gene:gene-LATHSAT_LOCUS10248 transcript:rna-LATHSAT_LOCUS10248 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKGMPICLSDFAAGGEIRVLPQCGYGFHVACIDTWLGSHSSCPSCRQILRVTRCQKCGRFPATGAEATAITVNEQELKSAEDNNVGANSNNNCSGGVSSSSSNNSRNHSHSVNSGFLP >CAK8579592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711147903:711149706:-1 gene:gene-LATHSAT_LOCUS31526 transcript:rna-LATHSAT_LOCUS31526 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFSNHMNKLTFNCCIAYFLFFAVLFVHAKELSCNQTPYPYVCNHYIGTTNTLSTLDSSSFHDIAIKATMDQAIEAYKLVSTMDMNNFKDNRAKSAWEDCLELYEDTIYQLNRSINSNNLNDKLTWQSASINNHQTCLNGFIDFNLPSHLNYFPSILTNFTKLLSNSLFITNTLASLTPANSKQNGGRRRLLSDKFPHWLSGSDRKLLQTTPSADIVVAQDGSGNYKTISEGVAAASKLSGKGRVIVHVKAGVYKEKIVIKRTLKNLMIFGDGMDSTIVTGNHNFQDGSTTFDSATFGVMGDGFIAKDMTFENTAGPQKHQAVAFRSGSDHSVYYRCSFKGYQDTLYVYANRQFYRDCNIYGTVDFIFGDAVTVLQNCNIFLRKPMSNQQNLVTAQGRTDPNENTGIVIHNCRITAASDLEPYQNSVKSYLGRPWKKYSRTVVMKSNIDGVINSQGWAPWSGDFALSTLYYGEYMNVGGGANTDGRVNWSGFHVITNPSEAVKFSVDNFLAGESWISGSGVPFDAGL >CAK8536437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941497146:941498789:1 gene:gene-LATHSAT_LOCUS5805 transcript:rna-LATHSAT_LOCUS5805 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHRVSALVLLSLCFLLAQGQSKQSSAHLDTHWHPGTATWYGEAEGDGSTGGACGYGNLVDVKPLRARVGAVGPVLYKQGEGCGECYKVKCLDHRICSKRAVTVIITDECPGCPSDRTHFDLSGAAFGRMAVSGENGHLRNRGEIPVVYRRTTCLYTGKNIAFQVNEGSTPFWLSLLVEFEDGDGDIGSMLIQEAGSTEWLQMKHLWGANWCITAGPLRGPFSVKLSTSGGRSLTAKDVIPTNWSPKSTYTSRLNFSP >CAK8538486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484748779:484751603:1 gene:gene-LATHSAT_LOCUS7677 transcript:rna-LATHSAT_LOCUS7677 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTQQLHKKHHFRASKTPLLMQQHCCLFLFIFTMFTTKTTQAFDYGDALSKSLLYFEAQRSGRLPYNQRVTWRDHSALIDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEYLQEIEDAGELEHTLEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDLAGETSAAMAAASILFKKTNPHYSHLLLHHAEQLFEFGDKYRGKYDASVGVVKNYYASVSGYMDELLWAATWLYKATDKEEYLEYVIKNGNLFGGTGWSITEFTWDVKYAGLQLLASQFLSQAKHKKHSDILEQYRSKAEYYICSCLNKNMNGSNVERTPAGLLYIRQWNNMQHVSTASFLLTTYSDFLKNTNQNLTCHEGIVQHEEILTFAKSQIDYILGSNPMNMSYLVGFGPSYPKRVHHRGASIMSYKENKGFIGCTQGYDNWYGSEDPNPNVLVGALVGGPDWKDDFEDKRNNFMQTEACTYNTAPLVGLFAKFLHIENNKMVDDCNSLLVASF >CAK8560867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51432041:51433828:-1 gene:gene-LATHSAT_LOCUS14508 transcript:rna-LATHSAT_LOCUS14508-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKERYAVVTGSNKGIGLETVKRLASNGVKVVLTARNQKRGVQAFEKLKKDFGFCCNLVVFHQLDVTDPFSISSLVEFVKTQFGRLDILVNNAGINGFNADEMIEPIINWRELSQTYEMAEKCIITNYYGAKETTEAFLPLLKLSDSPVVVNVSSTAGLLKYISNDWAKSVLDDTENLTEELIDEVLKEFLKDFKQDSLENNGWPTYLSAYKLSKAAVNSYTRLLAYRYPNLCINCVCPGFVKTDMNRNTGVLSVENGAASVVRLALVSNGSSSGHFFASQDLSSF >CAK8560866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51432041:51433852:-1 gene:gene-LATHSAT_LOCUS14508 transcript:rna-LATHSAT_LOCUS14508 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTDSTSMANTKERYAVVTGSNKGIGLETVKRLASNGVKVVLTARNQKRGVQAFEKLKKDFGFCCNLVVFHQLDVTDPFSISSLVEFVKTQFGRLDILVNNAGINGFNADEMIEPIINWRELSQTYEMAEKCIITNYYGAKETTEAFLPLLKLSDSPVVVNVSSTAGLLKYISNDWAKSVLDDTENLTEELIDEVLKEFLKDFKQDSLENNGWPTYLSAYKLSKAAVNSYTRLLAYRYPNLCINCVCPGFVKTDMNRNTGVLSVENGAASVVRLALVSNGSSSGHFFASQDLSSF >CAK8565483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:234422936:234423795:1 gene:gene-LATHSAT_LOCUS18697 transcript:rna-LATHSAT_LOCUS18697 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSILHLISFFILFPFLQQSSHAIKKSYIVYLGSHSHGPNPSATDLQSATNSHYNLLGSHLGSHQKAKEAMFYSYNKHINGFAAVLEVEEATKIAKHPNVVSVFENKGHELQTTRS >CAK8531605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:129645777:129649309:-1 gene:gene-LATHSAT_LOCUS1383 transcript:rna-LATHSAT_LOCUS1383 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVEIASSSPFGCVLRDRNHRDAYRESSKVKATHGAFQRNIKNFVMDHLNTCISMSSDSQTTNENKNEGQIKSNKNNDDGSTWVSKPGNNLAKIRLTRNSPNDIINNKKDESSLASLISPRHSQLLDRWAAKQAREMVSTLEKEAELLSIDNANDDVPVRTTSSTTSDECSSEIPNLGASSLVQIWEKRLNKTSGTKPNTPMEKTSPNGGTSATCNNENVFVGVEQRGSEIGECLEGQLLGNEENDSSFPDWESDKTGDQGDQGDQSRWCSSESDRVSVADIIKKLTATTSQTQSPSPYIGDENDNEGCGGNSSVACSPCKDFAPELSDQKVTCSPRIRGRLAFTDLFMQLEKDRHGELKNLAERGTVSKFTQRGRIQALLRHKSLQRGVAANILSDQKSTSSEVSKLVQPQGSAIMQLREKFINGVEQRSTVEAEVVKTRNARKVIGNNIRQLENSSTTDQLSKDASSEIAHGTTSHAIKTQNKYAKETSEAAKPVTDSNVNEKEEEETSRECNDTGYDYAADEEGSNQNYAESSWEEMVEENYDENSYDWFSEISRPRSYWEERRQAWYKEMQDTGSPNDDIQVLLQRRTVSTFLSSDFREKMDRLMHSHKGTQTHFVTSPDDEIDNEALMEFFKEHLQPERTPRENKIEKTVDEEEEMVNEQEEEEEEKQDEKEHEEEQGGESLVSDSYHEVGDYSYRSSSWSYRDNEAGDDFDRIPSTSSQQPFQSPSFYHENTPQNSSPINHHSIEMEIIYDMRGHMEQLYQEMSELRKSIKSCMDMHMQMQLQEKKSHNKADKKGNCCVCNEMKADSVFYRCGHMCACLKCANELQWKNGKCPVCRAKIDDVIRVHVEA >CAK8535098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814000560:814003922:-1 gene:gene-LATHSAT_LOCUS4577 transcript:rna-LATHSAT_LOCUS4577 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIAGFEMVQGPIENGVEGNKSAVHEQENGKLEQDVGAGEAIKFGSHGDESAKGEATDDADSNTPKNAAEEWPAPKQIHSFYFVRCRPYDDPSIKTKIDLLDKDISKKNQARFQITEALKAKRSERSELISQIKILRGDSKQFQSIFDEKMKEIEPLQQALGKMRNNRSGICSSEEELNDVIYSLQYRIQHESIPLTEEKQILREIKQLEGTREKVIANAAMRTKLQDTIGQKDAIQDQVKLIGGDLDGVKKERQAIRSKIAKIDDELKTIDTAIQSLQEELVGVTQKREQTFESIQKLRKQRDEGNSYFYQSRTLLTKARDLAAKKDVAALDELSQTEVEKFMSLWNGGKAFRDDYEKRILSSLDMRLLSRDGRMRNPDEKPLLEEPKPAVAEPLPKAVAKQPKEEPKPSPEETLATQKETKTKGRDLKTKSDNDDYEFENPHKEAPAAKEPLIDPAKAKELKREEEIAKAKLAAERKKKLAEKAAAKAALKAQKEAEKKLKDREKKAKKKSGAVATQEEQEAAEVEATEPEKVNDVVEAPAPVKEKATKESVIRSRSRAKGPESIPKAILKRKKSNNYLIWIAVAALLVVLLLVLGYTFVL >CAK8577391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559628020:559630853:-1 gene:gene-LATHSAT_LOCUS29504 transcript:rna-LATHSAT_LOCUS29504 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASYARMPRVKIRELKDDYMKFELRDTDASVANALRRVMISEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMAMRFSRDCDACDGDGQCEYCSVEFHLRVKCITDQTLDVTSKDLYSSDHTVVPVDFSGGDPSSVESADGRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMESLSLEEKKEWIDSSPTRVFDIDPVTQQVSVVDAEAYSYDDEVIKKAEAMGKPGLVEITAKQDSFIFTVESTGAVKASQLLLNAIEIVKQKLDAVRLSEDTVEADDQFGELGAHMRGG >CAK8543346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602080149:602080481:-1 gene:gene-LATHSAT_LOCUS12108 transcript:rna-LATHSAT_LOCUS12108 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGKKAGESIKETAANVGASAKSGLEKTKANVQEKTERMTARDPLEKELATQKKEERVSQAELDKQAAREHNAAAMAGNTLGQGRHHTTGTGGNPNATGYGTGGTHRL >CAK8567213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495438964:495439935:1 gene:gene-LATHSAT_LOCUS20283 transcript:rna-LATHSAT_LOCUS20283-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTEDEGVKSFITNLTAQLSEWLEAGKLQRIVLVIMSKSTGEVLERWNFSIETDNEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDTDVAVPFTWIESDPKLIENPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >CAK8567212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495438586:495439935:1 gene:gene-LATHSAT_LOCUS20283 transcript:rna-LATHSAT_LOCUS20283 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTVTKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEETFVKVKKYGLPMLLTEDEGVKSFITNLTAQLSEWLEAGKLQRIVLVIMSKSTGEVLERWNFSIETDNEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDTDVAVPFTWIESDPKLIENPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >CAK8533930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672440166:672442725:-1 gene:gene-LATHSAT_LOCUS3517 transcript:rna-LATHSAT_LOCUS3517 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLIALALLLGVAIVLLLFNPRHHKSGQKGKAGSTSNNDKTSKSYSKNEVTLHNKRTDCWIIIKNKVYDVTSYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIEDFYIGDLDQ >CAK8561726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:366722775:366725314:1 gene:gene-LATHSAT_LOCUS15287 transcript:rna-LATHSAT_LOCUS15287 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQFVQLCIYLLAGSSFIWSPGNCSVGESVSGQVAGSPNPRSNSRNAKSFSPMKQFLMQSYYDRYMSLHDSDFENFMSHEVTFGLCEVLPENLSSILRLSVLKRGLVGEGSHRRVSTLIKLQTQQLNSLSDLLSYSCELIIIERLPSGVFADPFELQHLAQRGVFNDIAVFGDTNLELPSFLSNRSAVEIHLDIDPNTLLQPADISIELPLHARYQPLNESGYSIVEFGAPDTILHCSTKEKVENRSCLFKLKNDDANLYDAGLVWRIPSGKKAHSDLVSIVTFLTAFLSTLVIMVTSLHYFNSRVSKDLKHS >CAK8579259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688670946:688675896:-1 gene:gene-LATHSAT_LOCUS31218 transcript:rna-LATHSAT_LOCUS31218 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTLLISAVSASVGVGVGFGIANSRQNVSKWGRTYSFSSSNSVTANQIEQEMIRQIIDGRDSNVTFDKFPYYLSEETRVLLTSVAYVHLKHAEVSRYTRNLTPASRTILLSGPAELYQQMLAKALSHYFEAKLLLLDLTEFSLKIQSKYGSSNKEYSFKRSTSESTLEKLFGSFSIFSQREEPKGKMHKQRSGVDLKSMGDETSCNPPKLRRNASSTSNISGLTSQSNPTNSAPLKRTASWSFDEKLLMQSLYKVLLSVSKTYPIVLYLRDVDRLLCRSQTIYNMFQKMLKKLSGPILILGSRVLDSSNDFEEMDEKLNLLFPYDIEIRPPEDESHLVSWKSQLEKDMKMIQVQDNKNHITEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAVSHHLMKNKDLEYRNGKLIISCNSLSHALGIFRAAKFSGRETSKLEDQALKSEIPSEVETVLEPAVKAEKKAESAAPEKKAESAAPEKKAESAAPEKKAESAAPEKKTESAAPEKKTESAAPEKKTEISTSVAKAGVEKSVPPSKTAEVPADNEYEKRIRPEVIPAKEIDVTFADIGALEKTKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLASKVSPTIIFVDEVDSMLGQRSRHGEHEAMRKIKNEFMSHWDGLTTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLAKEKADAEINFKELATMTEGYTGSDLKNFCTTAAYRPVRELIQQERLNYLEKKQKGTKGQNNNTLKAEEEVQPERIITLRPLNMQDFKEAKSQVAASFSAEGAGMGELTQWNELYGEGGSRKQKQLTYFL >CAK8579258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688670946:688675896:-1 gene:gene-LATHSAT_LOCUS31218 transcript:rna-LATHSAT_LOCUS31218-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTLLISAVSASVGVGVGFGIANSRQNVSKWGRTYSFSSSNSVTANQIEQEMIRQIIDGRDSNVTFDKFPYYLSEETRVLLTSVAYVHLKHAEVSRYTRNLTPASRTILLSGPAELYQQMLAKALSHYFEAKLLLLDLTEFSLKIQSKYGSSNKEYSFKRSTSESTLEKLFGSFSIFSQREEPKVAGKMHKQRSGVDLKSMGDETSCNPPKLRRNASSTSNISGLTSQSNPTNSAPLKRTASWSFDEKLLMQSLYKVLLSVSKTYPIVLYLRDVDRLLCRSQTIYNMFQKMLKKLSGPILILGSRVLDSSNDFEEMDEKLNLLFPYDIEIRPPEDESHLVSWKSQLEKDMKMIQVQDNKNHITEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAVSHHLMKNKDLEYRNGKLIISCNSLSHALGIFRAAKFSGRETSKLEDQALKSEIPSEVETVLEPAVKAEKKAESAAPEKKAESAAPEKKAESAAPEKKAESAAPEKKTESAAPEKKTESAAPEKKTEISTSVAKAGVEKSVPPSKTAEVPADNEYEKRIRPEVIPAKEIDVTFADIGALEKTKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLASKVSPTIIFVDEVDSMLGQRSRHGEHEAMRKIKNEFMSHWDGLTTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLAKEKADAEINFKELATMTEGYTGSDLKNFCTTAAYRPVRELIQQERLNYLEKKQKGTKGQNNNTLKAEEEVQPERIITLRPLNMQDFKEAKSQVAASFSAEGAGMGELTQWNELYGEGGSRKQKQLTYFL >CAK8542863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554281678:554282799:-1 gene:gene-LATHSAT_LOCUS11665 transcript:rna-LATHSAT_LOCUS11665 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQDENNNIFPIAFALVEGETGEAWSFFLKNLKTHVAPQPNLCLISDRHASIMSAYNNPANGWHNPPFVHVFCIRHIAQNFMQEIKDKNLRKKVVNAGYAFNQPLFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNFPITALVRETYYRLGSLFAARGKKWSVVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPIGHYRVELHKNWCDCGKFQTFRMPCSHVIVACSTARQDPFLQLSEVYKVVNLFSIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGSPKSTRITTEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8542864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554281678:554282475:-1 gene:gene-LATHSAT_LOCUS11665 transcript:rna-LATHSAT_LOCUS11665-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNFPITALVRETYYRLGSLFAARGKKWSVVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPIGHYRVELHKNWCDCGKFQTFRMPCSHVIVACSTARQDPFLQLSEVYKVVNLFSIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGSPKSTRITTEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8538314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476741874:476742415:1 gene:gene-LATHSAT_LOCUS7527 transcript:rna-LATHSAT_LOCUS7527 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAKQEKKPEDYVSEYYKKSFFNNSYSHIIYPKNGPQLWPLLEGQVPIKPLVLKRAIGQPKKLRNKVNDEPSNPHVLSRKLTTVSCHKYGAMGHNKRSYKGKRAAERESFKGGNKKKGNASKDGKRHKIETKGGKKTKAIVTKIGNSSQVPQPSQD >CAK8530259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10705932:10711123:-1 gene:gene-LATHSAT_LOCUS139 transcript:rna-LATHSAT_LOCUS139 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVDVLVDDLSLNRPVDLLPDDFDVSAIIKDPLPPVVADIKEGAQFDPGNEKREIVLGRNIHTSCLEVTEPEDDDDITGDRDAHMASVLARYRKSLTERTKYHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVLPDGILYASRESHYSIFKAARMYRMECVKIETLNSGEIDCDDFKAKLLCHQDKPAIINVNIGTTVKGAVDDLDMVIQKLEEAGFSHDRFYIHVDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINVLSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAFYFKDRLIDAGIGAMLNELSSTVVFERPHDEEFIRKWQLACKGNIAHVVVMPNVTIQKLDEFLNELLQKRVAWFEEGKYQPYCIASDVGENSCLCAQHK >CAK8562620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516549157:516549795:-1 gene:gene-LATHSAT_LOCUS16105 transcript:rna-LATHSAT_LOCUS16105 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLSLLAVLLLATFSMFSMKNVGATDCSGACSPFDMQPCRSTDCRCTAFTLFAGLCTNPSSPSVMKMIEEHPNLCQSHADCIKKKSGRFCARYPNPDIEHGWCFASNIEAQDIFFNISSNSEISKTYLKIHSST >CAK8530296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12550341:12557095:1 gene:gene-LATHSAT_LOCUS172 transcript:rna-LATHSAT_LOCUS172 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGEINGGFSMDSTESRWVFHEDDEDEDVSDIEEYDADLRLRGRTTVVDSEDEDNLEQKLIRTGPRIDSFDVEALDVPGAPRHDYEDISVGKKIVLAFQTLGVVFGDVGTSPLYTFSVMFRKAPINENEDILGALSLVLYTLILIPLLKYVLVVLWANDNGEGGTFALYSLICRHAKVSLLPNQLASDMRISSFRLKVPSPELERSLKIKDRLEGSLTLKKTLLILVLAGTSMVIANGVVTPAMSVLSSVGGLKVGIDAIKQDEVVMISVACLIVLFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLVKYDRSVLRAFNPIYIYYFFKRNTTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFIFLVLPCLLLGYLGQAAYLMENHADAGQAFYSSVPSGAFWPTFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAASLVLVCTISSIDEIGNAYGIAELGVMMTTTVLLTIVMLLIWQIHIIIVLCFAVVFLGLELTFFSSVLWNVGDGSWIILVFSVIMFLIMYVWNYGSNLKYETEVKQKLSMDLMRELGSNLGTIRAPGIGLLYNELVKGIPAILGHFLTTLPAIHSMIIFVSIKYVPVPVVPQSERFLFRRVCLKSYHIFRCIARYGYKDVRKENHQTFEQMLIESLEKFIRREAQERSLESDRDEDVDSEDEYSSSRVLIAPNGSVYSLGVPLLSGFKDTSTSVLEVSTSEVLSSTTSESSLFDAEQSLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGITTLSVPHSHLMQVSMTYMV >CAK8577236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546555400:546558547:1 gene:gene-LATHSAT_LOCUS29361 transcript:rna-LATHSAT_LOCUS29361 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTYILFILSLTVSSSIAGDFTSITQSHLIIRDGDTIVSPNRLFELAFFSLKNPNKRYLGIQFKNISPQNVVWVANGGHPINDSSAVLKLNSSGSLVLTHNNKVVWSTNSSTKAQKSMAQLLDTGNLVIKDDSGDETYLWQSFDYPSNTLLAGMKLGWDCKRNLNRRLIAWKSDDDPTPGDFSWEVVLNKYPDIYMMKEKKKLHRLGPWNGLRFSGMPEMKPNPVFSYNFVYNNKEVYYSWNSSDRSLISKVVLNQSINGRPRYTWSKADKSWMLHSKMPGDYCDRYGLCGNNGYCSITNSPVCECLRGFKPKIPEKWNSMDWSDGCVRNPPLNCNNDGFVSREDLKVPDTTNTSVDESIGLEQCKDRCLKSCSCMAYTNTNISGAGSGCVMWFGDLIDIKLFPRGGQVLYIRMPASELVNNNTEDGHRRNLRKKVVISVSAALGMFLLAIYFSYRFRRVIVGKSMTEVDYERHMDDQDLPLLPLSTIIIATDNFSEKNKIGEGGFGPVYMGKLGSGLEIAVKRLSRSSKQGMREFVNEVKLIANVQHRNLVKLIGCCIQKHEKLLVYEYMANGSLDYLIFDRTKSQLLDWPKRFDIICGIARGLMYLHRDSRLRIVHRDLKASNVLLDDTMNPKISDFGMARTFGGNQIEGNTNRIVGTYGYMAPEYAIDGQFSSKSDVFSFGVLILEIICGKKNRVLKRAKQTLNLVAYAWAFWKDGRASQLIDSNIENSSIVSEVSRCIHVGLLCVQQYPEDRPTMADVILMLGSEMALDDPKEPSFITRKESIEANSSSSGKDISSNYEMTITTLSVR >CAK8561728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:367032617:367032945:1 gene:gene-LATHSAT_LOCUS15289 transcript:rna-LATHSAT_LOCUS15289 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADRAVGFLLSIISLSIFTYYTFWVIILPFVDGDHFVHKYFLPQEYAILIPVSACVALLCLLCIFIGFVMLKSKKKKA >CAK8567916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556720769:556726152:1 gene:gene-LATHSAT_LOCUS20925 transcript:rna-LATHSAT_LOCUS20925 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWWGKKSTKTKELLHQEEPRGGSSSGGSSGGGGGVLHFNFNKSPIRNASNYKNGYYKPENPRSFEDASNFRNSPRSSRDFTPSTTTTAGGSSSLWDSDAGDRIGVPLPRPSVSSTQSLSNDQGITFGSASVSGSSVSSNGSCDDPSAFNSQINSVRGQCETKFSARSKSPGPGSRGPTSPTSPLHPRLHVLSLDSPTGRQEDGRSECHPLPLPPGSPTSPSSALPNNTRPNGMAENCTTNRGRWKKGKLLGRGTFGHVYLGFNSESGQLCAIKEVRAVCDDQTSKECLKQLNQEIDLLSRLSHPNIVQYYGSDLGEETLSVYLEYVSGGSIHKLLQEYGSFKEPVIQNYTRQIVSGLSYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSSSMLSFKGSPHWMAPEVVMNTNGYSLPVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDMPEIPDHLSNDAKNFIKLCLHRDPATRPTAHMLLNHPFIRDQSATKAANVRITKDAFPYMFDGSRTPPASDLHSNRTCIASHDAEYVTKPVVAASRAIRSPRDNTRMITSLPVSPCSSPLRQSGPAHKSCFLSPPHPTYSMMGHNTLASYESYPMRSNAKFTLDPWHEIPMYKAHTPGGSPRRLI >CAK8569884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14309069:14312910:-1 gene:gene-LATHSAT_LOCUS22688 transcript:rna-LATHSAT_LOCUS22688 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLEHFVHGNDTESSPNDDVSSLQSSFHFAPNSLATKDFGGMKSSNPLAVIRPYSTADVVRAVKAAATTTSLTVAARGNGHSINGQAMAEKGLVLDMRATAEEHFQLLYLEGVPYVDVSGGALWEEVLKRCVSQFQLVPRSWTDYLGLTVGGTLSNAGVSGQTFRYGPQTANVTELEVVTGKGESLVCSENQNSELFFATLGGLGQFGIITRARIILQQAPDMVRWIRVIYSEFEDFTKDAEWLVTLPEGDGFDYVEGFVVANNDDPCNGWPTIPMGSNQIFDPICLSSSAGPVLYCLELALHYRKTARSSDVNTKVDRLLGGLRFVEGVKFEDDVKYVDFLLRVKRVEEDAKAKGIWDAPHPWLNMFVSKSDIGDFDREVFKKILKHGVGGPILVYPLLRSKWDDRHSVVVPDSNIFYIIALLRFIPPPPKGPPTDKLVAQNNAIIQLCNNKGFKFKLYLPHYLSQEKWMCHFGDKWNRFLQRKQIFDPLAILAPGQKIFSRNHL >CAK8579357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693807606:693809480:-1 gene:gene-LATHSAT_LOCUS31309 transcript:rna-LATHSAT_LOCUS31309 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGTEDEEKFLAAGIAGLQQNSFYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSKDKLPDIGSEYEGDADTVSDAVEFVLQNFTEMNKLWVRMQHQGPSREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDEIAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQPTVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMATAGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKNLSGKGKIEDKKATKQIVALLSAPLEKYNDIMTALKLSNYPCVMEFLDIPTNKVMATVIIQSIMKNGTRISTSDKVEALFELIKGLIKDSDVSPNEELDEDDFAEEQNLVARLIQMLYNEDPEEMFKIIDTVRKHILTGGPKRFPFTVPPLMFSSLKLVRQLQGHGQEENPFGDDSSASPKKIFQLLNKTIETLSGVLTPELALQLCLQCAEAANDCELEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKPKNLC >CAK8534612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748017335:748017763:-1 gene:gene-LATHSAT_LOCUS4144 transcript:rna-LATHSAT_LOCUS4144 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLDMAKAYDRLEWPFIREVLSSMGFPPQFMNLVMRCVTTVSYRILVNGQPSSSFQPERGIRQGDPLSPYLCILCANILSGLLKVEVPNKGIHGVQVARSAPVILHLSFDDDSLLFARANAKEADCLLGVLRKYQAVSGQ >CAK8535283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834023725:834024228:1 gene:gene-LATHSAT_LOCUS4755 transcript:rna-LATHSAT_LOCUS4755 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNSHVASAQDYPEDYLNLHNEARSVLGWHNMPDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGRLFGENIAVSTGNMSGREAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8541792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:396950695:396951470:-1 gene:gene-LATHSAT_LOCUS10687 transcript:rna-LATHSAT_LOCUS10687 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKIIGVVESAFVMGVRIALKLKGIEYEFLEDDLDNKSELLLKYNPVYKKVPVFVHNGKPISESLVIIEYIDETWKQNPILPSDPYQKAQVRFWSRFIQDKIFIPFINAARAVSDEKERDKNLEESSDALQFLENELKYKFFGGKEIGLVDIAAMFVAFTLPLIHEAAGFNMFTAEKFPKLYKWSQEFLNHLIVKEIVPPKEPLLAYFKARIELRLSASK >CAK8566404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419946959:419947510:-1 gene:gene-LATHSAT_LOCUS19537 transcript:rna-LATHSAT_LOCUS19537 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNDCVNHHHHHHSSEMSFRITQDSRFFSRLMSKETSNANSSSRRVFYYGETSVAVPFTWEAQPGTPKHPLSETSLPPLTPPPSYSSTNNSNAKRRNSKLNMFFTILPRLVGSRKSHVSPSSSSSSWSSSSSSSSSSFSMRDASLSRSPRGSRSLKNKISKRFRGCYPFGSMKKVTVSHGAL >CAK8532746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:509928493:509929323:1 gene:gene-LATHSAT_LOCUS2420 transcript:rna-LATHSAT_LOCUS2420 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFIYFGVILDPRYKLGYVDGCFNDMYSDESVPFTDLIGMIKRELFELLNWYKGIYDQQYGFGSSTSPIEGSSLGDSVVPDAEVASHFARIEAFKEHLKQKDSIDKKNDLERYLDDKYVDDSNSDILMWWKQNSCRYPILAKMVKDVLASHMSTVASESTFSTGGRVLDTYRSSLSPKMAEASIYAQNWLKPTLSQFKDRNINEDFEVFVTTISKFNGPSARGSTSGGDSDAVGKGKESVAGLSQSPS >CAK8534847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776454057:776454512:1 gene:gene-LATHSAT_LOCUS4355 transcript:rna-LATHSAT_LOCUS4355 gene_biotype:protein_coding transcript_biotype:protein_coding MASQICETLTYDVEIKGDNLLMSLMEELPCDENDDERLDSLIRSFEAEISENKMGDHDDSTSIELLQMKSNFEENYHESSWNIGQVDDFGVEWVDMDLIPSFQFDDGNWESCGDEMSVMVDHLMVCDDGFDMEEHAYNSFWQDNYEMSLVH >CAK8564746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8044313:8048902:-1 gene:gene-LATHSAT_LOCUS18018 transcript:rna-LATHSAT_LOCUS18018 gene_biotype:protein_coding transcript_biotype:protein_coding MPENEQHRLLASSEDAERDVEAHGSEVLAATVIGGGSSGGSGKGFRDFLNMSGHHRHSFKRLDRDRERDHYDNDDVVDISNNNNNNNSRDSHFDFDLDSSGDVLTDSAPPEWALLLLGCLLGLATGLLVAVFNKGVHVIHEWVWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVMVGMMCGLLEILDQIKQASYSPRRQGFDMFAGIYPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAAAGISSGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVIQGTESAFTVPEYELKSAAELPLYLILGMLCGVVSVAMTRLVAWFTKFFEVIQEKFGLPTVVCPALGGLGAGIIALKYPGILYWGFTNVEEILRTGKSASAPGIWLLTQLAGAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGFAAEIINSAIPGNAAVAQPQAYALVGMAATLASVCNVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQAKESDIPDKRSLSRGYSSISRSEDNDDGNGLELCIVGDGSELEEIDEELFLDNLKVSQAMSKYYLKVPSSETLKDAIKIMHDSQQNCILVVDEDDFLEGILTYGDIRRCRSEKSNDASMSDSDLLDVNTLLVSSVCTRGMNYRGRPRGLLTCYPNTTLAMARELMEAKGVKQLPVVKRGRDHHNRERKRRIVGLLHYDELWHCLRKEINHRKLAYQSRTEINLTNGH >CAK8575531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:154726079:154728976:1 gene:gene-LATHSAT_LOCUS27788 transcript:rna-LATHSAT_LOCUS27788 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCYCNLEVDVNGEETFMVNKTVITQYSRKLAKVFEKSSGSSGKLKVIFHDFPGGAEGFELMLRFCYNNGNAELSPSNLLLAHCGAEYMEMNEPVKNGSNLCDQTEKSLQGIGYWSWSEILTALKQCQSLLVEDSSVMVERCLDAVVGRLVLASEASPCPSTCSNDSSGVRFSCDSKSTESIKTSYTRSTWWFEDLLFLTPLVVLVLVKSMLSGKMDHHVISRFLLFYQKAKLSTASTDQKCKIIEMVIDMHYEMDQSSVSLKTLFGIVRVTLCLNISKSCRNKLEIMIGSQLDQVTLDNLLVPSPYGINYLYDVNLVLRFLKAFVRQGNGAAAPVRMRKVANLIDLYIAEIAPDPCLKISKFLALATALPDSARDSCDELYHAMDMYLEVHTQLSQEERIKICSGLNYKKLSPQTCLHLFKNTKFPSKSVVQTLVSRQSKLKTLLNATTPFSTPYSDSSCGSSQKGKKEKDNEQQVVLYASNFDIPPDNEKLKAHLQGMQWRVMELEKICRKMQSQMAKMTKSKASNSYGKSLPKLCS >CAK8571882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:489107800:489108834:-1 gene:gene-LATHSAT_LOCUS24505 transcript:rna-LATHSAT_LOCUS24505 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRHVMGRSLSQMGKEFMIKLVIQRGSDIEKMLNSFWWGGGSNNRSIRWEKLACSIKECGLGFRDFKAFNMSVVAKQGWNLMSKPHALVARIFKAGYFFRSSFLDANLGFNPSFMWKVVWKAKEVLSLGCRWSIGDGSHIKVMNEHWLRGKREGCLSGSQKEDVYNITVRNLMLPNVKQWNMQVIRELFDLEDAKEILQVSLLEDIKEDIMIWKEEQYGNYSVCSEYKLWRSLRRCHTSERDNEDLCSLWNIKAPPRVKHLIWRICKGCLPTRARLQQHYVPCPSTCQLCENNIEDDRHLFFGRTEVN >CAK8568060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567270529:567273111:1 gene:gene-LATHSAT_LOCUS21057 transcript:rna-LATHSAT_LOCUS21057 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLFDVADSLLGKLASYAHEEASKAWGVFEDLQAIKDTLAIVKYVLLDAENKKDQNPGLQEWLKQIQNIFSDAEDVLDEVQCQDSRNQFVQASGSKRLKVKHLVYSYIFRLRLSHRIKDVKDRLNKVVADRNLFGLERMDFNVSEKSREMSYSHVDALDVIGRDNEKEEIIELLMKPGGEDGDKGLSVIPMAGIGGLGKTTLAKLVFNDKRMDEVFQLKMWVCVSYDFDIRKMIIKIINSTSTHTPVLALARQENIDHFDTEQLVRRLRHKLSDQKFLLVLDDIWNVNHSKWIELKDLIKVGAVGSKVLVTTRSMSIASMMGTVPVYTLEGLSLVNCLSLFVKWAFKEGEEKKYPRLLEIGKDIVRKCRGIPLAARTLGSLLFLKFDLDKWELVRDSEIWNLRQNKDDILPVLKLSYDQMPSHLRHCFAYFSLFPKDYLFTCGEICNLWDVFGLLQSLNGSQKLENISRDYIDELHSRSFLQDFEDFGHTCVFKVHDLVHDLTVYVAKEGFVMLNSDTKNIPEHARHLSIVENESLGRTLFPDSKSVRSILFPIQGVGLDSETLLDSWISRYRYLRYLDLSDSSFEALPSSVSKLDLLRVLILSRNTKIKRLPDCICELQNLQVLSIRGCTELEALPKGLGKLINLRQLFITTKQFLLSHDEFASMIHLQTLGFHYCENLKFFSNPAQQLTSLESLFVQSCGSLDALPLHIFPKLQTLLISNCKLLNPWLNDESLITNSSSMKHLYLGDFPNILTLPHWIIGAANTLLSLVIKNLSHLTMLPDFLTTMTCLKRLHIVDCPQLSFLPTDIHRLVVLEDLSIDGCPELCRKCKPRVGEYWPVISHVKHVFIGEPKGEEN >CAK8576729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509836309:509840021:-1 gene:gene-LATHSAT_LOCUS28902 transcript:rna-LATHSAT_LOCUS28902 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNNKGNSSLLAHDSPPSSSLLSQPFLPKTPISTYPSLEDHDSQQESDSNQQYLHISYNHGPRSFKDLPFLILFLIFVLSTFAFGIFSIFHRNHYSTISSYTYDSNSTSCSIISPSLSSTSSTGFLSSSSPFVKDLTWTLVITFVISLPMCWSLLILLKHYTKHLVYASIPFFIVIPIFLNVYWFVACTIKTSCSDAFPLVYRILVMVFVFLVIGVIVWILVVNWHRVELTVSIIGVASDALSWNMGLFGVLPCLTIGLFVYYVPIVVFLVFAKYNGKVVPKKLHSQYGCVWKEDSWVPAYFALAILTMLWSAAAMLEAQVYVISGTIARWYFSKDFETPTKSIRTSLRNAFGPSSGTVCLSGLLIFVVRVVRSVVDNARQEGTPGLVNIVLRCCVNALLTAVDFLNKFTINFAAITGEAYCSSARMTYELLRRNLLSAVFVETISSRLLVGIVFVLSAIYTIVACVILKAATNLGSDAYFVAAAAWLLLIVVLGFLVHVLDIVIDTIYVCYAIDRDRGEVCKQDVHEVYVHLPISRSMRQSTRTLGV >CAK8569522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3961697:3962011:-1 gene:gene-LATHSAT_LOCUS22365 transcript:rna-LATHSAT_LOCUS22365 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMMNAESKSYTPFHWTRKSVPKDELEAGNKIMKMLQKADMNNDGCYSKDEIKKALKNLGAYFPGWKANRCLKKLDADKDGKINGDEIDDLVNYLIHHGFGKK >CAK8569657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7417565:7419127:-1 gene:gene-LATHSAT_LOCUS22485 transcript:rna-LATHSAT_LOCUS22485 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIKLILFATFFLSFTFSHAHPPRFNFGWGGGHHHGGMSFGLSPQFYQFSCPQANDIVMSVLEKSIAKDIRIAASLLRLHFHDCFVQGCDASVLLDDSATVASEKNGGPNKNSLRGFQVIDEIKSKLEQTCPHTVSCADIVALAARGSTVLSGGPNWELPLGRRDSKTASLRGSNKNIPPPNATIEGLLTFFKRQGLDEVDLVALSGAHTIGVAKCATFKQRLYNQNGNNQPDENLEKTFYFGLKTMCPKSGGDNIISPLDFGSPRMFDNTYYKLLLRGKGLLNSDEVLVTGNVRETHELVKKYAQDESLFFEQFALSMIKLGNLRPLTGFNGEVRKNCRRVN >CAK8531782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151157615:151159552:-1 gene:gene-LATHSAT_LOCUS1548 transcript:rna-LATHSAT_LOCUS1548 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSTFTKTLLKHTLQLKPLSLTQTQTLLSFSSSSFPHPPPVSPQIFKSSSSHKWGSYKLGDLSFYSLIENLSSTFDFHSLEQLLHRMKRENRVFIEKNFILMFKAYGKAHLPEKALDLFHRMGAEFHCKQTVKSFNSVLNVVIQEGHFNRALEFYSHVIDSKNFNHVHPNGLTFNLVIKALCRLGLVDQAVEVFRGISLRNCVPDTYTYSTLMHGLCNVGRIDEAVSLLDEMQIEGTFPNPVAFNVLISALCKKGDLARASKLVDNMFLKGCVPNEVTYNSLVHGLCLKGKLEKAVSLLNRMVSNKCVPNDVTFGTLVDGFVKHGRALDGVRVLVSLEEKGHRGNEFIYSSLISGLFKEGKCEHGMQLWKEMVEKGCEPNTIVYSALIDGLCREGKPDEAKEFLLEMKNKGHIPNSFTYSSLMWGYFEAGDSRKAILVWKEMTDNNCNHHEVCYSILINGLCKNGRLKEALMVWKQMLSRGIKLDVVAYSSMIHGFCNAQLLEQGVKLFHQMLCHEPKLQPDVVTYNILFNAFCTTNSVSRAIDVLNTMLDQGCDPDFITCEIFLKTLRDNMDPPQDGREFLDELVVRLVKRQRTVGASSIIEVMLQKFLLPKPSTWALAVQQLCKPMKVRKTISECWSRLHC >CAK8564708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7433408:7438012:1 gene:gene-LATHSAT_LOCUS17983 transcript:rna-LATHSAT_LOCUS17983 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRTVFSFFRKTNFSVPFSTTSKLNIFDRQLKRNQRDRAAWLMPQNDPLLHTVADNLLDRLQDCKKIFPSALCLGGSLHAITRSLRGRDSIEKLIVMDASYDMVQRCKNDYHESINNNMETMFLVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQSMLALKPDGLFLAAILGGETLRELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFNLPGVDVDEYTVKYGSALELIEHLRAMGETNALSQMNSMLKRDTALATAAIYDSMFASEDGTVPATFQVIYMTGWKEHHSQQKAKRRGSATVSFKDIQTQFGNQS >CAK8531071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78330366:78331953:1 gene:gene-LATHSAT_LOCUS886 transcript:rna-LATHSAT_LOCUS886 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCCNSDVENKSYKKERSKYVSKGRRTFKSLAAAMSLKTGSSRHRQITAEILKYGTAQNDVKVLTYEEVADATNNFSTDCLIGEGGFGNVYKGYIKSLEQTVAVKKLNRDGNQGTREFFAEVLMLSMVKHPNLVRLVGYCVDDDQRILAYEHMANGSLENHLLDIGDKEPLDWQTRMKIADGAARGLEYLHNSADPPIIFRDFKSSNILLDENFNPKLSDFGLAKIAPTEGEGLVSTRVMGTYGYCAPEYAASGQLSSKSDIYSFGVVFLEIISGRRVIDPARSAEEQNLIDWAQPLFNDRTKFTLMADPLLKGQFPVKGLFQALAVAAMCLQEEADTRPYMDDVITALTHLAVHKTDEKDIAGESLKSAGHVESFRAPNSLGSERAH >CAK8569204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682198250:682200808:1 gene:gene-LATHSAT_LOCUS22084 transcript:rna-LATHSAT_LOCUS22084 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPYTCSCSGISVNFFVNKRNVHYGGGAFSNSNLTTTTRLRQRPFTVVALSLPQSRRSIAILISSLPFTFVFLSPPPPAEARERRKKKNIPIDDYLTSPDGLKYYDFLEGKGPIAEKGSTVQVHFDCLYRGITAISSRESKLLAGNRAIAQPYEFKVGSQPGKERKREFVDNPNGLFSAQASPKPPPAMYTIVEGMRVGGKRTVIVPPEKGYAQKGMNEIPPGATFELNIELLQVSSS >CAK8543828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641819918:641821414:-1 gene:gene-LATHSAT_LOCUS12559 transcript:rna-LATHSAT_LOCUS12559 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVPPSFDRANAGSTGFNPGLIVLLVVGGLLLTFLIGNLVLYSYAQKTIPPRKKKPISKKKMKKERLKQGVSAPGE >CAK8564070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649210445:649214479:-1 gene:gene-LATHSAT_LOCUS17410 transcript:rna-LATHSAT_LOCUS17410 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWSLQPSADRPHTNTAADTNNQSGRLPFSFGLSNLGLIENGNSTSLGRSSNSGGNSRLFSGSNNHSTGNNTSASFWGSENSQICRVREDEEFPYGHLLDTANLKVFTLAELKAATRNFRPDTLLGEGGFGRVYKGLFKERATSKRDEDSTIAIKKLNSHSTQGFVEWQSEVNFLGRLSHPNLVKLLGFGREDGELFLVYEFLHRGSLDNHLFGRGSNVRPLSWDRRLKIMIGAARGLNFLHSLEKKIIYRDLKPSNILLDKGYTAKLSDFGLARSVPSDDRTHVSTQIVGTCGYAAPEYIATGHLYVKSDVYGFGIVLLEILTGKRIGEITRLSEPKSLHDWLKSNLLNRAKSRSNMDAKLEGRYPPNLASQVAQLALKCIQKEPKVRPSMKEVLETLERIEAANEKPADNIKRTTNSRAAQLHGQPDGG >CAK8532240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228167081:228170302:-1 gene:gene-LATHSAT_LOCUS1970 transcript:rna-LATHSAT_LOCUS1970 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLQRRRRTNATPQNAAVTEKRLKRSEILAKKKADKQLIKEAYAIKDQLASFPAYRHFQFNGLSVCLKSGHGNKLSSPVKRYIQNLLKLNMEGPYGSEWQVEEKVKRIEMVDPEACYIFVHEVDHSNADEMTTVVTAEDTSGLEVSRPLVGFVQYRFVLEEEVPVLYVYELQVEPRVQGKGLGKFLMELIELIAQKNCMGAVMLTVQKANFSAMNFYTSKLRYIISATSPSKVIPNMEKSYEILCKTFNDEAKTILELKQSLSTP >CAK8567743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541073924:541076588:1 gene:gene-LATHSAT_LOCUS20766 transcript:rna-LATHSAT_LOCUS20766 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFSFGMKLASSLAAVGIFSWILYVYGNLWYNSQRIRRKLQTQGIKGPPPSSFLHGNLPDMQRIKSQASLSKPNYDPFLAHDYSAALFPFFQQWRKQYGLVYTYSIGMKQHLYVNEPDLVKEMNQCMTLGLGKPSYITNKLSPMLGNGILRANGHSWAQQRKLVAAEFFMDKVKGMVGLMIESAQPLLLKWEQIIEEQGGGATAEVKVDADLRGFSADVISRVCFGHSYSKGKEVFLKLRSIQKIMSNHGFLFGQSGFLEKLKFRTKKEDEISSLEKEIESLIWELVEERKRESSSEKDLMQLLLEAAMNDQSLGKDFSKQFIVDNCKNIYFAGHETTAVAASWCLMLLALYPEWQTRIRTEVAQHCPNGIPDADSLPLLKTVTMVIQEVLRLYPPAAFVSREAYEDIQIGNLNVPKGVCLWTLIPTLHRDPEIWGPDSNEFKPERFSEGVSKATKFPQAYVPFGIGTRLCVGKNFAMVELKVVLALIVSKFSFSLSPSYKHSPAYNMIVEPGHGVYILIQKN >CAK8564288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666233511:666235790:-1 gene:gene-LATHSAT_LOCUS17609 transcript:rna-LATHSAT_LOCUS17609 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSNFLHFKERIELKILSGPISQIIYRSPVFFDNNQVKYFQEKIQDDSDVDQMFDSHEHYGFDYIEVYLLLCQTQHQVGETTDVDEVDVMDEEEEETEAMVDQMVNLFGTGDYTALTPLQDIDEETLPLRHMYCPPQHMTNLQLSGDDTSSDIFYNPSQQIEGVLKVGNQYQTKEECMKAIRKFHMDNFVDFYINQNESKRYVVVCRNAGCKFRLAASYQKRSDCWVIGLMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGVDPSVKVSTIISHVVARFNYTPSYRKAWIGRIKDVEHVYGNWEKSYNQLSQYLLALQKYVPGTVVILESLPSYTPEGTCVDGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWDNPPSVHVYSIRHIAQNFIREMKDKNLRKKVVNAGYALNQPSFMYYREEIRLSSAEALRWVDSILVDKWTRAFDGGCRWGHMTTNLVESLNDVFKGTRNLPITALVRATYYRLGPLFAARGKKWSAVLESGQIFSESSMKYMKDETSKAASHRVRPFDRHDYNFIVDETKDHNEGRPMGHYRVELHKNWCDCKKFQTFRMPCSHVIAACSSARHDPFLQLSEVYRVVNLFGICNNSFPVVASEEYWPTYHGDTIYHNMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLSGHTRNNCPNVGTSSR >CAK8532466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266986944:266991416:-1 gene:gene-LATHSAT_LOCUS2169 transcript:rna-LATHSAT_LOCUS2169 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQQRTCSPCSDICEAVSSVWSVPAQCLRRNQYLESSPVRLICKALKKVKIVYFCKFGPYWLRLCFQITSDGSGMMLRSRILCSHGTSISP >CAK8538957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500290009:500290701:1 gene:gene-LATHSAT_LOCUS8102 transcript:rna-LATHSAT_LOCUS8102 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCVVQKEEDSMIIRSPEPKVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSVQVVWPIVGQEILNGDVGGGFRGIQIISSFFQIWRAYGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGPLPPLRKPPILVT >CAK8577101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537161801:537162888:1 gene:gene-LATHSAT_LOCUS29234 transcript:rna-LATHSAT_LOCUS29234 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQTSQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQALKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYSEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLSLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8542759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543660470:543660697:1 gene:gene-LATHSAT_LOCUS11568 transcript:rna-LATHSAT_LOCUS11568 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIDNVNYAVDRKMRGEFISKYHRAVFEPLLIAKFGENIMDALFSRFAKLLTRLIEFETLEFTNIVLFFTKDS >CAK8572236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523930589:523934099:-1 gene:gene-LATHSAT_LOCUS24822 transcript:rna-LATHSAT_LOCUS24822 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIEEEEGVEFHDLPEGCIENVLSFTSPRDVARLSLVSSMFKSAAESDSVWDKFLPSDYRSIISQSESDADESLSVLPKKDLYINLTQKPLVIDGGKKSFQLDKVDGKKCYMLSARSLFIVWGDTPRYWKWISLPDSRFSEVAELVSVCWFEIRGWINTSMLSPQTSYGAYLVFKATGSGTYGFEYQPVEASIVIAGGDHVERNVFLDVERGRRLRYQIVPRRFRTGIFSRAPFVEAPVAPTESVEDMQKYPKDRADGWLEMELGEFFNEGGDGKEVEIGVYEVKGGGWKGGLVVQGIEIRPKPKSC >CAK8563589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618940306:618942816:-1 gene:gene-LATHSAT_LOCUS16983 transcript:rna-LATHSAT_LOCUS16983-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIHGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACVSIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8563588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618940306:618942843:-1 gene:gene-LATHSAT_LOCUS16983 transcript:rna-LATHSAT_LOCUS16983 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIHGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACVSIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8561793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385327187:385327453:-1 gene:gene-LATHSAT_LOCUS15349 transcript:rna-LATHSAT_LOCUS15349 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGQHPLFPKDAGNDHSPSALDNLRVRLADTEARLARARAREAQLTRRLHEMKRFLSVMEILEGYLKRRYRLQQQRLARLLSLPPAL >CAK8566408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420283583:420286149:1 gene:gene-LATHSAT_LOCUS19540 transcript:rna-LATHSAT_LOCUS19540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVVSTSFTSILPRNKQPSLSFHSKSISCSLPVVESKSTNVAEPLLLNAVRGVEVERPPVWLMRQAGRYMKSYQAICEKHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFDPVYSAGQVDQVREFIPEESVPYVGEALSILRKEVDNKAAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSEPEILHSLLQKFTTSMARYIQYQADNGAQAVQIFDSWATELSPVDFEQFSLPYLKQIIDTVKKSHPELPLILYASGSGGLLERIAATGVDVVSLDWTVDMADGRKRLGPNVAIQGNVDPGVLFGSKELISDRIYDTVKKAGRGKHILNLGHGIVVGTPEENVAHFFEVAKGVRY >CAK8566407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420283583:420286149:1 gene:gene-LATHSAT_LOCUS19540 transcript:rna-LATHSAT_LOCUS19540 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVVSTSFTSILPRNKQPSLSFHSKSISCSLPGAVVESKSTNVAEPLLLNAVRGVEVERPPVWLMRQAGRYMKSYQAICEKHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFDPVYSAGQVDQVREFIPEESVPYVGEALSILRKEVDNKAAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSEPEILHSLLQKFTTSMARYIQYQADNGAQAVQIFDSWATELSPVDFEQFSLPYLKQIIDTVKKSHPELPLILYASGSGGLLERIAATGVDVVSLDWTVDMADGRKRLGPNVAIQGNVDPGVLFGSKELISDRIYDTVKKAGRGKHILNLGHGIVVGTPEENVAHFFEVAKGVRY >CAK8568105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574055456:574055710:1 gene:gene-LATHSAT_LOCUS21100 transcript:rna-LATHSAT_LOCUS21100 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIQEEIDTLQRQEEILWAQIAKSHWLLEGAGTPKFSIRRLHKRGTETELEVRKSPWGAPQVKRMKSSELSSNFTKRNSKWTT >CAK8570129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24582121:24582657:1 gene:gene-LATHSAT_LOCUS22907 transcript:rna-LATHSAT_LOCUS22907 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKKSNKIREIVRLQQILKKWRRIANSSKTTNNSLTRSKSMKFLKRTLSLSEREGATTTTTTTWSNNGSVPKGYLAVCVGEELKRFIIPTEYLSHQGFMILLREAEEEFGFQQSGVLRFPCEVCVFESVVKMVEDGKNNEKLCNQECRSVSIEEMMGYCSLENQLACSHHPQSPLCR >CAK8569711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8957067:8958074:-1 gene:gene-LATHSAT_LOCUS22531 transcript:rna-LATHSAT_LOCUS22531 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLNESTIEDFVVERGLHQGDSLSPFLFIIVMEGLTTMVNKAVEIGTFRGFHYHEEDSVDVLQFANDTIILGEGTYDNFWSLKAIMMGFEMMSGFCKRKMYDINLNDCLLNTASSFLSCNIDSFPFRFLGVLVGDSPRKSYMWKELIKSLQRKLVVWRGKHLSMAGKVVLINSVMNVIPVYTLSFYKAPAKVLLDIWRIQSNFLWRGCVDNRTVHWVSWRNVCNPKDKDELRVKDIKVLNKGLLLKWKWRILKEKDAIWCKLLCHRYGKSDIKVMIGNNSIWNKHNSIWWRDLVLSVLSTNYGFSIFVNNLHCRIGYGSNTLFWHVLRLEINL >CAK8538713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493591790:493594288:1 gene:gene-LATHSAT_LOCUS7884 transcript:rna-LATHSAT_LOCUS7884 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTEASSSLSFVSSHLSNGSSNTHNEHVANIEIVSLNKLSGSLEKLLSDVVDYDYCDAEIVVEEIPVGVHRCILASRSQFFHELFKKGKDGDSKEGKGTGKPSYQMKDLVSYGSVGYEAFVVFLHYLYTGKLKAPPIEVMTCVDEACIHDSCRPAIDYALELIYASSTFQMKELVLLFQRYLLNFVDKALVEDVIPILVAANHCQLDQLLSHCIQRVARSDMDNIYLERELPHEVMTEIKSLRVQSLPESSPDAMEVEPVIVNDKSVRKILKALDSDDVELLKLLLDESSVTLDDAYALHYACAYCDSKVVQEVLTLGLADILLKNPRGYTVLHVAAQRKDPSILCALLKKGACASETTLDGQTALSICQRLTRRKDYNAKTVQGKESHKDRLCVDVLEREMRRNSMSVDMSVLSQLTADDLNMRLDYLENRVAFARLFFPAEARVAIENAEADSTPLYATSPALKGNIKEVDLNETPSFRTRKLQLRLQSLVKTVENGRRFFPHCSEVLDKYLEDEMPDVHVLEKGTEEEQRTKKARFMELRDEVQKAFHKDMAENNHSNISSSLTSTTSSSTRRESLNHKVRRK >CAK8535387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845900510:845901802:-1 gene:gene-LATHSAT_LOCUS4852 transcript:rna-LATHSAT_LOCUS4852 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVSGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGIEVKMVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8540835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24289035:24290495:1 gene:gene-LATHSAT_LOCUS9801 transcript:rna-LATHSAT_LOCUS9801 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTRIGLAGLAVMGQNLALNIADKGFPISVYNRTTSKVDETVERAKQEGNLPLYGFHDPEAFVNSIQKPRVIIMLVKAGAPVDQTIKTLSRYMEKGDCIIDGGNEWYENTERREKEVAELGLLYLGMGVSGGEEGARRGPSMMPGGSIEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSAFTEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDARFLSGLKDERVEAAKIFKSGGFSDISINQHVDKKQLIDDVRKALYAAKICSYAQGMNLIRAKSAEKGWGLELGELARIWKGGCIIRAIFLDRIKQAYDRNPNLANLLVDPEFAKEIIDRQPAWRRVVCLAVNSGISIPGMSASLAYFDTYRRERLPANLVQAQRDYFGAHTYERTDIDGSYHTEWFKLAKQSRI >CAK8573397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609496498:609497121:1 gene:gene-LATHSAT_LOCUS25848 transcript:rna-LATHSAT_LOCUS25848 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWKGRLLSIGGRVTLINSVLTNFPIHHLSFFKASWKVLGELISLQRYSLWHGTDERKGMAWVSWDSISKTKEDEGFGLKDLRLFNRAWLEKWLWRFLTESDAIWKVYVAKPHDSLWWKDVLRINSDVAIVSFGELITIRLGDDASTLFWTARWMDSDILREQFPGLYSIAARRGSMLTEMRAWDTNG >CAK8541462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:187846902:187850390:1 gene:gene-LATHSAT_LOCUS10382 transcript:rna-LATHSAT_LOCUS10382 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGDGTEEYLFKIVLIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQTVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALVVYDISRRGTFDSIKRWLDELTTQNDSTVARMLVGNKCDLESLREVSTEEGKAFAEEEGLFFMETSALDSTNVQKAFEIVIREIYNNISRKVLNSDSYKAELSVNRVSLVNGAGSKKNLLNFSCCS >CAK8563410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601271775:601275501:1 gene:gene-LATHSAT_LOCUS16826 transcript:rna-LATHSAT_LOCUS16826 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKLKLPASDKPGRIFPDRLGSLVMVAGLDEKGNEIERSEFEVEFGEELEAIKPGMSGFHTESDDGGEAKDEEKYENDQRIRQKRDKDAASTSVSVLKSISLDEKRSDLEYELSRREINLEKLRRIASTGIPDGGGLRATAWKLLLGYLPSSHDLWETQLKENRQKYASMKEELLLNPSEHIWKEPKHLSSTKQHEDNNNADGPLRRHEISVEDHPLSLDKESLWRQYFQHTEIVEQIDRDLQRTHPDMPFFSAETSFSRKNREAMKNILLLFAKSNPAICYVQGMNEVLAPLYFVFSTDTDKQNAANAEADSFSCFVRILGDSVDHFCQQLDNSSSGILATLSRLSDILKANDEQLWHHLEFTSKVKPQFYAFRWITLLLTQEFKFESILRIWDTILSNTFGVQDMLLRICCAMLLCVKSRLLSGDFVANIKLLQHYPVDINLEYLLQVAQDISPDTSSYILSL >CAK8575918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:361993170:361996905:1 gene:gene-LATHSAT_LOCUS28143 transcript:rna-LATHSAT_LOCUS28143 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEDLHFLLTAQRRELTAAESMESDLDFAFHLQLQEALAASLTDHPSSSMGAILVEPPLDDDDVFKAPSLQLEELARMEIEMNDREQSERVMREAKVDLNRLIHDQKMASEIFNFPEEDWDEWGDSFEKPFGEGSSSSSGLKSGYGEGAAVRVYFKGLVSVETVRGEKVLLAGIGVAVCDLTDNLIFEVSKSVVGNGTSKIAVELKALIEAFNAVIALDLKHVTYYGDYYPLFQFLSGKWPAKQRKIAMLVNQVNLLQRKFEYCSPRLVARHDLKFALKLARDAIVSQSNRPAESSSVNSLNETCVICLEDTDRSQIFSVDDCQHRYCFSCMKQHVEVKLLHGMVPKCPHEGCKNELLVDSCRKFLTSKLIETMQQRKLEASIPLTEKIYCPYPRCSALMSKTEVLEYSQSLMGSGLSLPKKCVKCHGLFCFSCKVPWHSGMTCYTYKRLNPNPPTEDVKLKFLASRSLWRQCVKCNHMIELAEGCYHMTCRCSYEFCYKCGAEWKDKKATCSCPLWQEENIWLEDHHDDDDEDDSDSEYDVY >CAK8541007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:42243639:42255958:-1 gene:gene-LATHSAT_LOCUS9960 transcript:rna-LATHSAT_LOCUS9960 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPMSSSFTPQLIGVSRLRSSSIKKLPEPLRRAVADCLSSPIASANEPSRILRDYLKGPMTTDMAYSAILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCSAVIAECVINPNQPWSQSMNRQSGASTTPSPLLVSSVASEAHVKSLSYVRSLVARHIPKRIFQPASSSGKSLPTLSSLLSKSFNSQRNPAIVPETPSSSSVSKTLQEDSITRSVLKSFKCEKVDEKNELGFIAHDVLKWRWLEQPLSSSVGTESDRGQYMTSHSSFLEVGAAALLVGDIESKMKGQPWKFFGTDDMPYLDQLLQSSPVTPITNSVSARCHLRAITASKRKKAGSRHIWHDSLVITFRPRARQLFQYRHYSEQQPLRLNPAEVQEVIAAVCSEASSPGTNVMTVSTRLGNNSGKPSTDVAVSVLIKLVIDMYVLDSQTAAPLILSMLEEILSSSETGCRIRAFDLILNLGVHSHLLEPMIVDEASIIEEEYSQESYYDSHTQIMMQGSRKGSSQNKSDTVSAIDNFEPWIINILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIRRNRLQGLDIRVLKELIRISRENSWAELVHCKLISMLTNMFYEVLDEVTESVPRKPKFLVDQLDLIGVQFIFIEYSLANSREERKNLYSVLFDYILHQVNETCIATGVNEYSDDEIQPLASLLAQANAPEAFYISVKLGVECIGEILRRSIESTLSRYPNSERLNVVCLQYYFLVY >CAK8534159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:700599254:700599833:1 gene:gene-LATHSAT_LOCUS3726 transcript:rna-LATHSAT_LOCUS3726 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKYYCMVMRINIDCNGCYRKVKRALLEMPELESHFLEKKQTKVIVCGRFTPQDVAIKIKKKTNRRVEILEIQDLSENNEENIEEQKPVPPTSPQKPIERNMYGLIETKRELPALNHRVHYTNCSF >CAK8563386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599120118:599124034:1 gene:gene-LATHSAT_LOCUS16805 transcript:rna-LATHSAT_LOCUS16805 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMELQLSLDKLPIKRLDSIEENGIERFPLDVDYDEKRTSLIRRIDFAWAIEKDEEKKKQKKSSKEASTPWQWQGMVENLQLAHQELSVIIDIINTVEANDAVAVASMNRPKSLPNETLSDLAVSAASKLQCYRQVGKYFKQSAKAFEQQVAREARFYGALIRLQQNWKVKRQRQTSLVPGNEGFTFDLFDNSYDQGAIFRSPHMSTVRVNHDAAGMLAINVSPELCHSLQFGFVGAQPDDMQKKSNEDKSHLPGEDCLEESGIESSNDEKCVKKTHFLLRDVHKAIFNEQVFDLVNREAFNTSAGYTLTGIRENYLQLSLGQGTSVYLSLVSSGQDRHRVEGELTNNANDAFSTLESSDVLMHDAQQNTLRKNGQHSNSSCYEIYIQQIYHEHIFGKDSEKQISSGNRLSGAQAKDGSTLLGHFFMSLSHRIISSKILAELENVVFKVPYLQLISNPTWQSRESSWTLFMEVPSSILRGCQIKTSDHFDKNAIKRQFWIKVTVIDDCINVKAEGSPNVAGLFKGKSEDTHSINQYNCNLADLPVIILQQVASQIVNWLYYEALMVGIKANRDFLCLSFELEQGEALGLVANVDPEDNDGCISWWLVMDDSFAEVQKLHTNLTDGASEYRKFLGPLSLDLLYATLIDLIALVSGSGQ >CAK8536292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927449930:927459424:1 gene:gene-LATHSAT_LOCUS5676 transcript:rna-LATHSAT_LOCUS5676 gene_biotype:protein_coding transcript_biotype:protein_coding MERFIDNLPPMDLMRSEKMTFVQLIIPVESAQRAVSYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQIDKAGLMSSSRTLLQPDIDLEDLEVHLAEHEHELIEMNSNSDKLRQSYNELLEFKIVLQKACSFLISSHGRAISDEVELQDNVYSNGDYVDTSSLLDQEMRPEPSNTSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEQIMDPVSTEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKHGQITREVTSRLTDLEATLDAGIRHRNKALASIADHLAKWMDLVRREKAVYDTLNMLNFDVTKKCLVGEGWCPMIAKTQMQEALRRATFDSNSQVGIIFHQMDAVESPPTYFKTNTFTNPYQEIVDAYGVARYQEANPAVYTTVVFPFLFAMMFGDWGHGICLLLGALVLISRENKLSTQKLGSFMEMLFGGRYVILLMSLFSIYCGLIYNEFFSVPFHIFGPSAFQCRDTSCRDAHTIGLVKYRDPYPFGVDPSWRGSRTELSFLNSMKMKMSILFGVAHMNLGIILSYFNARFFGSSLDIRYQFVPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFWGQRPLQIVLLLLAIIAVPWMLFPKPFILKKLHTERFQGRSYGILNTSEMDLEVEPDSAREHHHEEFNFSEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLVGLTVFAFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFASLTEDDD >CAK8530453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22486942:22487211:1 gene:gene-LATHSAT_LOCUS316 transcript:rna-LATHSAT_LOCUS316 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYTGRVLYQTAMRTLQGMKDQTSKCDSTIKSLRDSSSSKQAKARLFSNSSSFDNSASAFKGGNGDKIKRAEESLRTVMYLSCWGPN >CAK8538125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464884724:464885494:-1 gene:gene-LATHSAT_LOCUS7359 transcript:rna-LATHSAT_LOCUS7359 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTKPYMFLNCLILGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLQVALMWTINYFPTYGMLSGWDTHGKMRCSHCMEFTKAFTLEFGGKSSWFDYHRRFLPRDHVFRRNKIDLKKDVRVKDLSPPRLSPEEIWNRVSELPKFTDYGEACKIQGYRVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKAKRDMEILCDRKDLEMKSRPNETLLKPNACYSLTS >CAK8570902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:163302956:163304670:-1 gene:gene-LATHSAT_LOCUS23613 transcript:rna-LATHSAT_LOCUS23613 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIRNDEDVKAMFRCHMMFGQLPTIELYVRLLDNPETFLTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEVRMQDIFGDSDDEDNKDIIFASMQSIHAQPISLYNPHVHMQNICFENDDTTSVFGSATQNHIGDEIEIGMEFENKEACVLALQHWHITHSLDYWVYQSDNERYVIKCKKQDCSFKCRASLRKTNSKWVIGKLSGSHTCTMTSMAQDHRKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLYVNWETSYNDLPQWILVMKTFLLGTIIDLQTLPAISSDGFQISRKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYVKYRGTLLTAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKEKDLRKKLVKMGYALTKATFNYYRGEIRRTNIEASNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINFVLKATRNLPITALVQSAYY >CAK8543399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605697465:605700828:-1 gene:gene-LATHSAT_LOCUS12156 transcript:rna-LATHSAT_LOCUS12156 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEDVEGGKQRGIREPLIGENNNKLLHETKDHHPWMVYFTTFIAVCGSYEFGACVGYSSPTQEAITKDLNLSLEEYSLFGSILTFGAMIGAITSGPIADFVGRKGAMRVSSAFCIVGWLVIYFSKGPVPLDIGRLATGYGMGVFSYVVPVFIAEIAPKERRGVLTTLNQFMIVIGGSSSFIFGTILSWRTLSIIGLIPTAVLLLGLFFIPESPRWLAKRGHTKDFVAALQILRGKDADISQEAKEIQDYITSLEQLAKPKVLDLFQKRYLRSLTIGVGLMVCQQFGGINGVGFYASSIFDLAGFPSATGSILFATLQIVITGVGAALIDKAGRKPLLLASGSGLVTGSIFTAVAFYLKAHDVGVGAVPALALAGLLVYIGSFSIGMGAVPWVLMSEIFPVNIKGQAGSIATLVNWFGAWLCSYTFNFLMSWSTYGTFILYAAINALAILFIVVVVPETKGKSLEQLQAAINA >CAK8567273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499521851:499522777:-1 gene:gene-LATHSAT_LOCUS20339 transcript:rna-LATHSAT_LOCUS20339 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKEVIGKMKDKASQSKAAILSKRKTLSLLRATSHDSFAPPTHKHLTTLLSSGDGSRATASTAVELLMDRLQTTHNSAVALKCLIAVHHIIKHGTFILRDQLSVYPYNGGRNYLNLSNFRDKTSSVSWELSSWVRWYAQHIEHLLCASRILGYFLGETTMDEGRVSSLVTGDLLREIDSLVEMMEGIGKRPNSPIMEQQNKVVVEIMDLVEEDGVVVVNEVLVRVKEFGERERLGCLGFGEVVELVCVLKRLEMCRERMIMVMEEKKFWNLVRELKEKVGKMKVYREERKVYRTVGKDRGTESVRF >CAK8570638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:78560219:78562370:-1 gene:gene-LATHSAT_LOCUS23374 transcript:rna-LATHSAT_LOCUS23374 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFSGIPGTFLGLILRMTQFSFAAASIASMASAKTPRFFDFTTFCSLMASMSLQSIWSFALALLDAHALVRKKILLNPVLICFYAVGDGVISTLSLAVASASAGITVFYFNDMGHCHFGEECQKYQISVVFAILSWISASISSLIMLWLLGAA >CAK8541852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:415012427:415017422:-1 gene:gene-LATHSAT_LOCUS10744 transcript:rna-LATHSAT_LOCUS10744 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATFVGSTASDFLRSSPTGFAGSTASDFLRSSPTGFAGVPLRSLGRAGLVLKRRDLSVSVTAKLRKVKRRQYPWANNPDPNMKGGWLRHLSPFQPLKEEPKPVILEFEKTLVDLEKKINDIRKMAEEVDVDFSDQIRALEIKYQKTVENLYTNLTPIQRVNIARHPNRPTFLDHMYNITEKFIELHGDRAGYDDPAIVTGLGSIDGKTYMFIGQQKGRDTKENIQRNFAMPTPHGYRKALRLMEYAEHHGFPIVTFIDTPGAFADLKCEQLGQGEAIAHNLRSMFGLKVPVLSIVIGEGGSGGALAIGCANKILMLENAVFFVASPEACGAILWKSNKAAPKAAQRLKITASALCELGIADGIIPEPLRGAHTDPTWTSQQIKKAITETIDELSKLSTEDLLKDRMLKFRKLGGFQEGIPLDPKKKLNTKKRDIPGSPKEIPGSQITDEIEELKKYILEDKDYSSLPKEISVEIEKLKKKILEGKDSSSVPKKIGSRIASEIAKLKKNILGGKDSSSAPKKIPASQKVVEIEKLEKNILEGKDSSSAPKKIPGSQIAVEIAKLKKNILEGKDSSFAPSELNLDKTIKTLEREVGKEFSGAVKAVGLTKMYMKLRGEISKAKADNQPLTPLLKDKIKKFYASFNQRLSAAPNSGKLLKKRGLLIEVTKVKLLSDKNKEAATLKQEVKKKFDEVMAIPRIKENYEALQSEIQRVGASSGSDLDDELKKKIIEFNKEVDLQLVKAMKSIGLDVEVVKPLDVEVVKPEIGWNKSSVAEIEELNKDVQKKIESVANSSSDVKRLIEQLKQEVAKSGGKLDSESKSRIDALVQQIKESLAEAVDSPSLKEKYENLILPAGNTPTDPTDDNLREKVGANRNFS >CAK8541853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:415012427:415017422:-1 gene:gene-LATHSAT_LOCUS10744 transcript:rna-LATHSAT_LOCUS10744-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATFVGSTASDFLRSSPTGFAGSTASDFLRSSPTGFAGVPLRSLGRAGLVLKRRDLSVSVTAKLRKVKRRQYPWANNPDPNMKGGWLRHLSPFQPLKEEPKPVILEFEKTLVDLEKKINDIRKMAEEVDVDFSDQIRALEIKYQKTVENLYTNLTPIQRVNIARHPNRPTFLDHMYNITEKFIELHGDRAGYDDPAIVTGLGSIDGKTYMFIGQQKGRDTKENIQRNFAMPTPHGYRKALRLMEYAEHHGFPIVTFIDTPGAFADLKCEQLGQGEAIAHNLRSMFGLKVPVLSIVIGEGGSGGALAIGCANKILMLENAVFFVASPEACGAILWKSNKAAPKAAQRLKITASALCELGIADGIIPEPLRGAHTDPTWTSQQIKKAITETIDELSKLSTEDLLKDRMLKFRKLGGFQEGIPLDPKKKLNTKKRDIPGSPKEIPGSQITDEIEELKKYILEDKDYSSLPKEISVEIEKLKKKILEGKDSSSVPKKIGSRIASEIAKLKKNILGGKDSSSAPKKIPASQKVVEIEKLEKNILEGKDSSSAPKKIPGSQIAVEIAKLKKNILEGKDSSFAPSELNLDKTIKTLEREVGKEFSGAVKAVGLTKMYMKLRGEISKAKADNQPLTPLLKDKIKKFYASFNQRLSAAPNSGKLLKKRGLLIEVTKVKVLSDKKKKAAARKQELKKKREETSVKLLSDKNKEAATLKQEVKKKFDEVMAIPRIKENYEALQSEIQRVGASSGSDLDDELKKKIIEFNKEVDLQLVKAMKSIGLDVEVVKPLDVEVVKPEIGWNKSSVAEIEELNKDVQKKIESVANSSSDVKRLIEQLKQEVAKSGGKLDSESKSRIDALVQQIKESLAEAVDSPSLKEKYENLILPAGNTPTDPTDDNLREKVGANRNFS >CAK8573030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578452448:578454500:-1 gene:gene-LATHSAT_LOCUS25525 transcript:rna-LATHSAT_LOCUS25525 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCNPSTSASYFHRISSEIYTSDSEKGFAIDPHSSGSPELDAGAKFVLVSHGSWLHCGYHLTTSIVRPVILTLPFTFTLLGWFGGMIWLILVGVITFYSYNLLSLVLEHHAQLGRRQLRFRDMARDILGPRWARYYIGPLQFIICFGTVIGGPLVGGKSLKFIYQLYHPEGAMKLYQFIIICGVVTMVLAQLPSFHSLRHINLISLILCVTYATCLTVGSIYVGHSKDAPPRHYSIKGSHTDKLFGVFNGISIIATTYASGIIPEIQATLAPPVKGKMFKGLCVCYSVILATYFSVAISGYWPFGNAAGATVLANYIGETKLLLPKWFFLMTNILILLQVFRLTAVYLQPTNELFETIFGDPKKGQFSMRNVVPRVLSRSISVAAATVFAAMLPISAIRMSDKSTGTVVVTTATTTQQPQQEVVETPKNPFIPFFRNFSFNFKLPSFFLPTKTPPSSASSSG >CAK8578318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619879367:619879828:-1 gene:gene-LATHSAT_LOCUS30339 transcript:rna-LATHSAT_LOCUS30339 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQIFNKVKIYIEEVIYLNEIEEKILRKRDKLDWLRMGDERNAFFFHASIKAKQNAKSLNHLIKADGSTIRTHHEIEREIMEFYGQLMGKLDNNITHIDIEAMIMGNQLSIKQREQLVRSISEQDITKALKGMGDLKSPGIDDYEARFFKAN >CAK8579124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677283271:677283762:1 gene:gene-LATHSAT_LOCUS31092 transcript:rna-LATHSAT_LOCUS31092 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVKKCKRVWRSSKRMYDPQDSSSNGSYGILSKKCHKKQKDSCRDPPNGCICVYVGPERQRFVIKIKILNHPLFKTLLESVENEYGYRNDGPLWLPCHVDLFCGTIVEMGSCVDDMGSVGCNFLMGHKHKQRMLAVQEKVMRGFQVFECKFCKYYSLRWIVK >CAK8566260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398288764:398291933:1 gene:gene-LATHSAT_LOCUS19405 transcript:rna-LATHSAT_LOCUS19405 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDLRRPFKRPLISDQERRRQQSLLRQAQNRLDAQRQARFLASTAFSLSSQTPEPEPEQQPEPVNEPEPYQKEELDVLEASKLKGAEARKWFAKQLMLPEWMIDIPENLADDWFVFARPSGKRCFVVSSNGTTISRLRNGSILHRFPSKLPNGARTKEVSSASNSYSILDCIFHEQDQTYYVIDMVCWRGYSLYDCTSEFRFFWVNSKLAETGACDSPSYYHKYTFSLVPVYGCDQSGLCSAYSAPVPYVKDGLLFYNKHAHYQAGNTPLALVWKDENCSQYVMDTDSQGQVPNQQQMVLELQDDGKLTTCDDPHVVFGCLDGSFIQQSDLHSGYLLRFAIGEGGLVLVDGKLEKADLKYLGKANRARASADSFSKVMFQYSVRHSPLRIDDLLASVSSPVDQESKACDIEMDG >CAK8539509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514589449:514592789:-1 gene:gene-LATHSAT_LOCUS8595 transcript:rna-LATHSAT_LOCUS8595 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLRLPLSSLFIFFVFPISHSIPFIVLHGISDECSSHGVKSFTEKLISYSGVQGFCVEVGNGSWDSWFIPLKEQTDIVCQKVKEMKELKGGYNIVGLSQGNLIGRGVVEFCEGGPPVKNFISLAGPHAGTASVPLCGTGVFCVIADNLIKGEIYSSYIQQHLAPSGYLKLPNALPEYLENCRYLPKLNNEKPGQRNSTYMERFSSLKNLVLIMFEQDTVLIPRETSWFGYYPDGSFKPTLPPQKTKLYTEDWIGLRTLDKAGRVHFISVPGKHLGISDADMKKHVVPYLKDQTSREDRTTKSGFRRMGGVNRRFIQINKMV >CAK8572687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555624606:555625097:1 gene:gene-LATHSAT_LOCUS25220 transcript:rna-LATHSAT_LOCUS25220 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAFDVGAVPLNPDGWGPMDSTTAANNNNDLPLNVPFAPFSRSDKLDRIADWTRNFNNQTRSKNPADSAFDFTLDDSFPGNADDDATFRLVDGEPPPRPKFGPKWRYQQQRQLPQRRDEEVEAKKREAEKERARRDRLYHQNRSNPNNPSCDSHVVLPVST >CAK8539940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531962044:531971098:-1 gene:gene-LATHSAT_LOCUS8990 transcript:rna-LATHSAT_LOCUS8990 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLSAFAIFFTLQQDGGVSFKYAWVHLTDEYPIKYEAERLPPPLVADLNGDGKKEVLVATHDAKIQILEPHSRRVDEGFSEARVLAEVSLLPDKVRVMSGRRPVAMATGYIDRHKIGQPHKQVLVVVTSGWFVMCFDSNLQKLWENNLQEDFPHNAHHREVSISVSNYTLKHGDTGLIIVGGRMEMQPHIFMDPFEEMGMGARFAEQHRRSASEKEASENSGTVDLRHFAFYAFAGRSGVERWSRKNENIEAASSDASQLIPQHNYKLDAHALNRRQPGEFECREFRESILGVMPHQWDRREDTLLKLAHFRRHKRKTLKRTPGKSINYPFDKPEENHPPGKDSTKKISNIIGKAANFAGSAKSKKHAPYVPTITNYTKVWWVPNVVVAHLKEGIEAVHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGFMEVLRPCWAVASSGVPVREQLFNVSICHYAHFNLLQHGELYRSFSRGSDMASLEVATPILIPRSDGHRHRKGSHGDVVFLTNRGEITSYSPGLHGHDAVWQWQQSTGVTWSNLPSPAGMMEGGLVIPTLKPFPLRLHDNKEMILAAGEQEAVVISPGGSILATIELPGPPTHVLIREDFSNDGLTDLILVTSSGVYGFVQTRQPGALFFSVLIGCLIVVMGIIFVTQHMNSMKGKPRPSSGPR >CAK8575546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:158580847:158581908:1 gene:gene-LATHSAT_LOCUS27802 transcript:rna-LATHSAT_LOCUS27802 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTTTSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIIFGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMHPWIAVAYSAPVAAATAVFLIYRIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETIENEYANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTVLGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8562358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:477725984:477727042:1 gene:gene-LATHSAT_LOCUS15860 transcript:rna-LATHSAT_LOCUS15860 gene_biotype:protein_coding transcript_biotype:protein_coding MIPELFGNGASASLIPSERNYSSFNGGVGGGLLLQQTTTQPPSSSPCPSSTTTTPTTTSTPTTTPSSENQQSLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNRNIGASNIPAKTTANKMKTVASEFMGRTSNQGFDLEHVVPPPSQILWGSPQNSHLLSLLRSSQNPNPNLVKEEGNNLIGSHVSHMMSSQPLVSSNGYDGIGHVLCNPFWRNNHDQTQQLQNGGFVLGEQHHHLQQQQHQHQSSGIQELYQKLRSSTSSSSGNNYCSEISSSPTVLLGNVASNTSTISNILETTSVPGAELGYWNPIPTLTWSDLPTTNGAYIHNSNN >CAK8574825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10628968:10632548:-1 gene:gene-LATHSAT_LOCUS27128 transcript:rna-LATHSAT_LOCUS27128 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINFNPFGGNWFPFSKPPNPLPLPPLNALFNLSQSPSNFASTSLSTTNTTTNTTATTAVTDEDYEPGPFTKIVEQYFWECENLPDHRQAPEVEKVINGKKVFKSNPNATEQEMKENEEFMEKLRNNPVIKFLLRAEFIADKLNEIEVNRNEKPYNREDRDVWKKVPNVIGLDGRPMPRKSMSKGLAYEKFWDFTRQFYLGIWGFRQRPYPSGKPIDAAQAIGYKVLERRYYDFIMKSSVWFYKDRLGRTRGPLALHTLKTAWGGGVIDKNTFIWGEDMDEWAPIHMIYGMERAIATWEVRLGASATAFFHKLQKGIPPWVPLKGFEKKSYKQLQKEARKSKMRDLAVMEANDGIWPGVRIPSHAMFLWASGPELTPTMEQDHMPNRCIPKDLRQQLAKLIPGLRPWEVLSIEQAMDHITYNGEWYREPLGSYTTGPPFIRRWTRDTARLAEGYRKFCKISNEVMKAIYPGFEKISEKILQDYKTRIVEMKKKMKREPPLKKKLTKEEWSEN >CAK8562497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501445945:501446563:1 gene:gene-LATHSAT_LOCUS15989 transcript:rna-LATHSAT_LOCUS15989 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRSNDLKVLELALTMKKLKLKEMQLVFNSDLNHLERSKLTMGMSKASFRVKKFKNQLEDLRHGELIQNCIDCLIPGLLVMSSSLTYGAYVYSYEQIAESTTSCNRSNKEFKSWWSLKSMFSLDSKLHVLWCQVQVMSRIAFGV >CAK8539111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504040614:504040820:-1 gene:gene-LATHSAT_LOCUS8239 transcript:rna-LATHSAT_LOCUS8239 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLGNLVESIKSKVRSTLKMKKGKKPYIKIDKSASVKVEIRSRKARKIIEKTLKAADNPGKHNLTV >CAK8543561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619963718:619964705:-1 gene:gene-LATHSAT_LOCUS12311 transcript:rna-LATHSAT_LOCUS12311 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGSGSPCGACKFLRRKCIRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVTDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVHLQAQVAYMREQAAQRCLNTSFSENPNEKNFVKPSNILPQDLQSWFQMENDSNMSPQFLPNLSSSTTNLSTTTQYYNGNATTLMDLNPIIGNYENSGTLEESISSFSNFEERCHNSMSCHDMQRQWTFHEMNNFQ >CAK8569954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17322911:17324433:-1 gene:gene-LATHSAT_LOCUS22750 transcript:rna-LATHSAT_LOCUS22750 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYCYKDVLPFTAMVAMEFSNVGVSVLFKAATQKGLSFYVFIAYSYVVSTLVLLLPLPFFFKWSRGLPPLNVSLIFRIFLLGVLGVVAQLFGYKGLEYTTPTLASALANLIPAFTFILAIFFRMENIILRSKSSQAKILGSIVSISGALIVVLYKGPMIISSPSTKPLHSIDSQINLTTQSNWILGGSLLVIEFLIVPVWYIVQTNVIKQYPSEIIVVFLYNLCGALVSVPLCLLLEPNLSAWKINPDIELISIIYSGIFCTGLSSLVHTWGLHVKGPLYVSMFKPLSIAIAACMSAIFLGDALYFGTVVGAVVISIGFYAVLWGKSKEELNDDFDTNRVPLSYDAKTPLLKG >CAK8563786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631045637:631046191:1 gene:gene-LATHSAT_LOCUS17161 transcript:rna-LATHSAT_LOCUS17161 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSTTVTFFPLHILLLSCFLLHLSQSFPLMKDEDDDLIDQICKKTPFYDLCSSILHANPLAPKSDPKGMALIMVNDLLANASDTLSFIEELIKRTSDKDLEQQLAFCAESYIPVVKYIIPQAAEAISQGRFGFASYSIVDAEKEIDACNKKFSGSSVLGDRNSIMQKLVDVAAAIVKLLLNG >CAK8560633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:31521120:31521686:-1 gene:gene-LATHSAT_LOCUS14293 transcript:rna-LATHSAT_LOCUS14293 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRMLPGRRGLTPNFEEGVKEFITWVFAQECCRREGGVRCPCLKCECRSIISDLEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETSNTHASSSRSHMEFEEQFNMINDMVGNAFGVNVTYDEPQDFDGEELSNEEAQRFYQLLKEMNTLFFKDLIVHCISRIRHIMERCFMGKY >CAK8541740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:379181043:379183430:1 gene:gene-LATHSAT_LOCUS10636 transcript:rna-LATHSAT_LOCUS10636 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMMNENDSSEIHDSSSTKKKRGGWKSVKYILGNETFEKLASMSLIANLIVYMHTQYNMDTTFSVEVFNIWSGFTNFLPLVAAYLADAYTGKFYMLIFGSIASLLGMGFMSLGAGVPSLRPPPCPPLSGCVLTNPTSTQLAVLYMGLGFFAIGSGCLRPCNIAFGADQFDTKTEKGRAQLESFCNWWYFLFTVALLVALTMVVYIQTNISWFLGYIIPTVCFAFSLTIFLIGQGTYIRLKPKGSVLCDLFKVVLAAIRKHHVDMKKDSELSFYDPPLPSNETEEPRHASLKLAHTNRFRFLEKAAVITNQTELDNNGKSIDNWRLCSLQQVEELKSIVTTLPVWLAGIICFLSMGQANSYGVLQALQTDKSIGKNFKVPPAWMGLVPMISLSLWILLYEKFYVPYTMKNTLKKGKRLSIAQRLTIGIICSIACMVIAGLIEVRRRDNALKANLLESPTRIWWLIPQFALSGLVEVFAAIPMMELLTSYWPESMKTLGGAVFFLSLSIASSLSNILIKIIVGLTKRNGGTPWLGGNDLNKNKLEYYYYTIAVLGVLNLLYFLFFARFFLSSEVLQRQKKSQSEGEDEENEYKQ >CAK8537763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428895602:428900633:-1 gene:gene-LATHSAT_LOCUS7019 transcript:rna-LATHSAT_LOCUS7019 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFRSGALPLIYHHRLRSPFASPSFVKLNVRSKSKSFTLFARYAQTQDLFSSRRFQDSIEKLPKLVEDIVQTSVETGPRGALRLVQGVQAFLGVGQEWLTDASKSTNSSAGLPKELQLGLLSPFYLRRLFERLGATYIKLGQFIASAPTLFPAEYVQEFQNCFDRAPPVSFEKIQSILRKELGRPIESVYEYVDPTPLASASIAQVHGARLKGSQEDVVIKVLKPGIEDILVADLNFVYVVARVLEFLNPEISRTSLVGIVKDIRESMLEEVDFYKEAANIEAFRRYLEATGLTGQATAPKVYKYCSTRQVLTMQRLYGVPLTDLDSISSLVTSPETSVINALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLGSIAIEDYDSMASSLIQMGATSNDVNANAFSRDLEKVFSSIKELDTEVVVAARSGTARNPAAISANVVVDERQMNALFLDVVRVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISIASNRRSNYREI >CAK8536101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906726829:906729415:-1 gene:gene-LATHSAT_LOCUS5501 transcript:rna-LATHSAT_LOCUS5501 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMINSEKSSVGIQKVNQGCVWSWFHILDYHHWGFKRAFRHKKKKNVKNKRKTTLQDQQNAVTEAEAEAESSLVSQHRETSNAAESSLTRNISIKFKNNDDVLEIVSMEKNLLLKFLKDIDFGGKKSRQASYNKARLTKSGTFPLAAPSKKKNISSSTFRSKQAEIWNFRKGENFLIGTQAPKKFGSNSVNDISYETQKPSASRIIDSAVEKSPSVSSRPSEGLSHKGWNQVVLHQFKVIKQKIKQAVVELKRSGHQTSVHAIHNNEKEISESVDDGAIQENKISRSLNETKASDSDFDFDSNTREVRLMKRTSSLNESMDRYTQLFEKSLSKEIKWKSSKSKSLKLTNDMDSKVHKSRHAPRFMRSNCSMPNLESLEFILQEALLDTTDIGNNAVESDNDAGTDETVEGSSRVLNPSHLSDKIAEKIEGVTYNRSDESFPQENMEISMMNTYLSKEVTTSLETSFQDNTINQSEGKESNTRRDSNASETAEDTNKSLENHFLYLKSYSENNSNFKYVKDILEYSGFIGNEQIQMRYTVDQPIKPSLFIALEESLLHENEYSAEENNNMLDHQLLFNLINETLFQIYEKSPTYFPRPFAFNHWLKPMPKGNYIVKEVWDNVSSYLSLRPELDQTLEDVVGRDLMKRSGGWMNLQQEEECVALDLEEMIIDDLLEEIIFS >CAK8570239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31252595:31261645:-1 gene:gene-LATHSAT_LOCUS23009 transcript:rna-LATHSAT_LOCUS23009 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSTSNGALVPFSDESNDSLAVYTLQHGLSRPISRVSISWSRGNSLRVSLFAEPSGSPDAGDGAKVVEVKLGGGDPEIDDADWRRIAYGSVAPFALLQSRRSSISALSKSPSSYHSDWWEHVLQYSKDITSLLTEPKLALNPIIEDPNEIVQNNEEPTCLKAAWELMEIFYVDKQSQAWLPERLVDWLADYDSLFTSTQETIHGKLVDFQNDLVNIQVIEDDPRYWEVMSSALSVGWLDIVVKMLRLHGSYQLDQLSYRELENGLVEAVAVLISKMPRLRHESAVGNLGDCFKSKPDFIKAWEKWRSQITKLDCSPFWIQCDNQQTREGLRNMLQVMLGNTESLCTASCYWIELYISHFLYIRPFTTGIESMYNLAQKCIQLKSPIGTHRLTGLMIGILAENTEVVLAEISREFGPWMVAHAVELLTAGSEQAEILLHDERYNLGGISMLELHRLAYAQVLSSHALTWQIAPIYLTSCMKQGMGLLENLLYRQSVQHNNLLLKNIEICRLYGLDHVSSNIMKVAGIYHWKHGRKGAGVYWLQQSQDTNCLNRIAQQLFDSVGKSISDESFKQWEGIIELLGSESKPAGGLDFLHKYRDFKKSLQMVYSGKSADAARQAVGSLILLMKNPSTPQRFWLTLLYDSLKLLNWKDSPLLSVSETHLLLNKLQELSLARQRPQFTEPSLPPQALSSIRLALATNLGRAILDE >CAK8579206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683413179:683415519:-1 gene:gene-LATHSAT_LOCUS31171 transcript:rna-LATHSAT_LOCUS31171 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPLVNQKCETGCTWRMFGIFNFHEASSDRRLVSNGSHLNKHTTGNGKSRINSDVLSTVDEKYPHAADVSSRRKRGYSCKNICVEDEQIADLENEVTKMIVNQRFFDKNSRGNAADCQPNQFLDAVQILYSNKELFVKLLQDPNSLLVKQIHDLQKSQVKAEQNGMSRLSKAQSSGSFDRSKLSSNCEPRTSKRIVVLKPSTNNVKKIADNSDAQSNKPSHFPFGDMKRKLRHVMRVRRKEKQCSATDSSRSKFLCGSRDLEDGKNVKELDFSVRNSPNKICASNSNNLIMKDQGAKVTSHDKHQMVLRTLHRDGENCSYRNSSAQKIKDPPVATFCDELQVFDAADISVNKSLPGENLHEHYDIPRDGSLVQAIHDKFEENNHLADLVTLSLDRMNKSNDIISEVLQAFSLKCDEPIKSHLSNLLTDSSTFDELNGLTDQLSGSKILHDCIIQCFMDLYQNSGFLPHLSSRNPNFLQACVVKKILVREINELVNLHFVSHPSPITLHQVVEKDMARRGSWMNIYVDTEDIAIEVEKDVLEKLVWEIVSDMDIRYVIQCNETMSKS >CAK8541084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:61648186:61649379:1 gene:gene-LATHSAT_LOCUS10035 transcript:rna-LATHSAT_LOCUS10035 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSHSSSTALPNELVAEIFSLLRVKCLMRFKCVNKSFNSLISDPYFIHMHLNKSQRNPNLTLIWQQTYKFTDCNVTPFPISRILDNPLTTVEFDHSYELNGSWGIFGSCNGLLALINYYSHVCFWNPATRAKTEYFLPSSNICFKFAFGYDTLNEIYKVVAFHLELDSKCLKRNMVKFCNAKSIVKVFSMGSDSWRNIQSFPALPLFRCYNNSTNNGVYLSGTMNWMALRDYFCYSSNYSFCSNDGSIDLDQFVIVSLDLSNETYTQFLLPQSFDKVPRFPPALVVLRNCLCFCHDFEETSFVIWQMKDFGVQESWIQLFKISYTYFFKWLDLSDLSDLSPLYLSENGDTLLLANDEYDEAFIYHRKDNRVEKIETTDDILWSQSKNYIESLVSTS >CAK8543627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627654421:627656733:1 gene:gene-LATHSAT_LOCUS12375 transcript:rna-LATHSAT_LOCUS12375 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAVEKHTEDGTVDLHGRPVLRSKSGRWKACSFIVVYEIFERMAYFGIATNLVVYLTTKLHEGTVESSNNVSNWSGLVWLMPVAGAYVADAYLGRYWTFVISSCIYLMGMCLLTLAVSLPSLRPPQCAQGILDQDCPKASPLQKGIFFLALYIISIGTGGTKPNISTLGADQFDEFDTKERFYKLSFFNWWVSSIMIGVLFSSTFLVYIQDNVGWALGYGLPTIGLAISITIFLVGTPFYRHRLPLGSPITKMLQVFVASMRKWKTQVPKDPNELHELSIEEYACSHRNKIDHTFFLRILDRAAVKTGESSSWMLCTVTQVEETKQMIKMIPILILTVIPNTMLGQSFTLFIKQGITLDRRMGHNFEIPPSSLVTFIVVFMLISIVTYDRVFVPIIRPYTKNPRGITILQRLGIGLMLHVIMMVTASLVERKRLRVARENNLLGQHDTLPLTIFILFPQFALAGIAESFVENSKMDFFYDQAPQSMKSLGTSYTTTSLGLGNFLSTFLLSVVADITQRHGSKGWVLDNLNISRLDYYYAFLVVLSVLNFLCFLVVSKLYVYNVDNFHVRENKSCSEMNHANSSQDNS >CAK8561992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422793819:422794278:-1 gene:gene-LATHSAT_LOCUS15531 transcript:rna-LATHSAT_LOCUS15531 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHTHQYPLPCLHCHPHSYIRMVQNLIERCLMFQMSQDQCIKTLEEHAGIEPLVTLTVWRELQKENEEFFRAYYFSGLSRRKHWK >CAK8539073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502902084:502917603:1 gene:gene-LATHSAT_LOCUS8206 transcript:rna-LATHSAT_LOCUS8206 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEILGRFNRARASELTLPHFVCQTPLFMPVGTQGTIKGLTASQLEDIGCQIILGNTYHLALRPTSELLHHLGGLHNFMNWPRAMLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVIKTTITGPRVEEATYRTLRWIDRCIAAHERPHDQNLFGIVQGGLDPVLRDICVKGMVERNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVICSALGADMYDCVYPTRTARFGTALVPEGVLKLKNRDMADDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMQLSRDLHSSIVEERFPKFVCDFLRQMFPKGNVPEWVCNAMEVAGIDISSCCAPPSCQENVSQ >CAK8570744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106641614:106646791:1 gene:gene-LATHSAT_LOCUS23474 transcript:rna-LATHSAT_LOCUS23474 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWQRHLFPVFRRIHKGVEHANHSATNLVIYRFGSSLTQGQLQRQSSISIPSIARPFYQCFQYQGISSSTQLLKNSSEDAPVSSPLVPVSSLGSSKGEEQNQKAITKADKVQAVLKKIKQSPKKLNLVAALVRGMLVKDALLQLQVTVKRASKTVYQVIHSARANASHNHGLDPERLIVAEAFVGKGDFKRRISYHGKGRSGVMHRPESRLTVVVREITPEEEADIARLKVHNFKKLTKRESRLVPHQLIETTPVWGRKNKSSRQDSIAAVA >CAK8564285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665714521:665721829:-1 gene:gene-LATHSAT_LOCUS17606 transcript:rna-LATHSAT_LOCUS17606 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRKKSATPKKSTAMDQKDENVENQKEAPVPSDSEEDSDYEYFSGDSDHDEDSSESLDSQSESAGSGELEDADGDNSGESEDAESQDEDVVNSESGSESSDLHQGGGGGVESDSSEDEVAPRNTIGDVPLKWYADEPHIGYDITGKKIKKKEKADKLDSFLKNVDDSKNWRKIYDEYNDEVVELTKDEIKMVRRLLKNGAPHPDFDPYPAYVDWFKWDDAKHPLSNAPEPKRRFIPSKWEAKKVVQYVRAIRKGLITFDKPKEADGPFLLWEDDSGLTEKANHLAYIPAPKQKLPGHDESYNPPLEYIPTQEEINSYQLMFEEDRPKFIPKSFTSMRSIPAYENAMKEAFERCLDLYLCPRIRKKRLNIDPESLKPKLPSKKELKPYPITCYIEFKGHEGAVTSISVEASGQWMASGSSDGTVRVWEVETGRCLRRWEVGEAVSCVAWNPLSDIHILAVSAGQDVLLLNTCLGDEEEQKKIKELLWAEPPKTSDDTGTKAPSVSWIKDDEHEGIRLKHLKAVTAVEWHRKGDYFSTVMPKGESRSVLIHQLSKKATQKLPFKLRGIAVRSTFHPSRSIFFICTQKSVRVYDLLKSKLIKKLDTGLKEASSIAVHPGGDNLIVGSKEGKLCWFDMDLSSKPYKILKCHPKDINNVVFHRSYPLFATCSDDGTAYVFHGMVYSDLNQNPLIVPLEILRGHASSNGRGILDCKFHPRQPWLFTAGADKLIKLYCHH >CAK8564284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665714521:665721829:-1 gene:gene-LATHSAT_LOCUS17606 transcript:rna-LATHSAT_LOCUS17606-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRKKSATPKKSTAMDQKDENVENQKEAPVPSDSEEDSDYEYFSGDSDHDEDSSESLDSQSESAGSGELEDADGDNSGESEDAESQDEDVVNSESGSESSDLHQGGGGGVESDSSEDEVAPRNTIGDVPLKWYADEPHIGYDITGKKIKKKEKADKLDSFLKNVDDSKNWRKIYDEYNDEVVELTKDEIKMVRRLLKNGAPHPDFDPYPAYVDWFKWDDAKHPLSNAPEPKRRFIPSKWEAKKVVQYVRAIRKGLITFDKPKEADGPFLLWEDDSGLTEKANHLAYIPAPKQKLPGHDESYNPPLEYIPTQEEINSYQLMFEEDRPKFIPKSFTSMRSIPAYENAMKEAFERCLDLYLCPRIRKKRLNIDPESLKPKLPSKKELKPYPITCYIEFKGHEGAVTSISVEASGQWMASGSSDGTVRVWEVETGRCLRRWEVGEAVSCVAWNPLSDIHILAVSAGQDVLLLNTCLGDEEEQKKIKELLWAEPPKTSDDTGTKAPSVSWIKDDEHEGIRLKHLKAVTAVEWHRKGDYFSTVMPKDILSKSVLIHQLSKKATQKLPFKLRGIAVRSTFHPSRSIFFICTQKSVRVYDLLKSKLIKKLDTGLKEASSIAVHPGGDNLIVGSKEGKLCWFDMDLSSKPYKILKCHPKDINNVVFHRSYPLFATCSDDGTAYVFHGMVYSDLNQNPLIVPLEILRGHASSNGRGILDCKFHPRQPWLFTAGADKLIKLYCHH >CAK8569685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8085776:8094382:-1 gene:gene-LATHSAT_LOCUS22509 transcript:rna-LATHSAT_LOCUS22509 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDLRSGTKKKKRSKPIEIGFDSDNDKPIGSFLKLKRNKKKVRFASEGSCGGDSVKEKENSRVMDDNDTLATFRKRLKGPKRDQVYEAMPALNVAVEGNALGTGGSGKGDDDMQLHRDSDQHMEESLSMIFHKAQSSSVRKSRAAVGSKQKKRNRRNVDSGLKHGSRSLTENVDSLVEGRSGSASALKSVERNHESDMFCSASVMENQKGSGDCLQEEKAKDIYDSNIPDEPLVYHSNSIIACDDDGQQLSSVQVENVCGASDEMDTLQEKIIDNSLNQCSSMLQDVEIIDIGSPSKPGEGVCGLSETGELENNRSIDEIAEEQVCNGASEGGVSSSAEKEVLLTCHTELLIKLNENNPMVSGKTFQESSINGGKKLETEFVSGRDCYDYSPLETNAEVQDVVVGCSPEKHEAIASGSLSSILPHDANESELIVQSNHPDKPLEMCNIPKYSNASIMKCSYALDPNQSDGSSIQSSIPDENGNTTEYHASVSDFADNGGKVSGNPRAARKTKMNKHGDMTYEGDADWEILINDKALIGNQDAADGERTLRTRVKQDSSLNAVEDSESVAVAAVSAGLKARAVSPIEKIKFKEILKRKGGLKEYLDCRNKILSLWSSDVTRILPLAECGVSDARSENGSPRSSLIREVYAFLDQYGYINVGVASRKENVESYARHCYKLVKEKGFEESSTASLASSEDGVSFNAVQTKMSYASMDINNDLIKDFEDLTTEATEGMMHADEVMTDSSNMAQHERKNYDEQENVGIQDGVSGIIHFNDNSAVPSFNVPDCRLTSLVATKHNSESKFVKHALGDQIGDTLQSDLEARKRVIIIGAGPAGLTAARHLNRQGFTITVLEARNRIGGRVFTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLVCAQLGLELSVLNSDCPLYDTVTGQKVPAEMDEALEAEYNSLLDDMVLVVARKGEQAMKMSLEDGLEYALKIRRMGLSEGSEETKQNFDEEILDPRERRVMDWHFAHLEYGCAALLKEVSLPYWNQDDVYGGFGGPHCMIKGGYSTVVESLGEGLAIHMNHTVTNVSYGIKEPGENNKVKVSTLNGNEFFGDAVLITVPLGCLKAETIQFSPSLPEWKCSSIQRLGFGVLNKVVLEFPTVFWDDAVDYFGATAEDRSKRGHCFMFWNVKKTVGAPVLIALVVGKAAIDGQSLSSSDHVNHALKVLRKLFGEASVPDPVGYVVTDWGRDPYSFGAYSYVAVGASGEDYDILGRPVDNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILNTGNDNTAELEALEAALGQLDTERNEVRDIIKRLDAVELSSILYKNSFEGAQIITREALLKEMFLNVKTNAGRLHVAKQLLSLPVGNLKSIAGSKEGLTVLNSWILDSMGKDGTQLLRHCLRLLVRVSTDLGAVRLSGMGKTVKEKVCVHTSRDIRAIASQLVNVWLEIFRKEKASNGGLKLSRQITTLELSKRKSLKDSASGKPPLSIHQSAVENKEGLLNPVSAGSNSPSTTHARKLHSKQGRQQSACDSRHEVSSSRSQGSIDKIVTKEENKCYAMSEEEKAAIAAAEAARTKAIAAAEAYASAEARCSTLSQLPKIPSFHKFARREQYSQNDEIDSRKKLPGGFFGRQDCVSEIDSRNCRVREWSVDFSTACVNLDSSKIPVDNLSQRSHSNEIASHLNFGERSGESAAVDSNLYTKAWIDTAGDGVVKDHLAIERWQSQAAEADSYFSDQINHLKDEEDSNAYSRLPRWKNDGAVNESSVSQVTVNKEEAFKGHSRGADHIKQAVVDYVGSLLMPLYKARKLDKDGYKAIMKKSATKVMEQATDAEKGMTVREFLDFKRRNKIRSFVDILIERHLATKPGTKS >CAK8570541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57652780:57654849:1 gene:gene-LATHSAT_LOCUS23281 transcript:rna-LATHSAT_LOCUS23281 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNKSLEWGKTTWKLLSQIRTHSPLIQCITNFVSMDLMANTLLSAGASPAMVHSVQEIPDFTPRVSALCINVGTLSPDSLPAMITAAKLCSRLDIPWVLDPVAVSASAFRFDACVQLVILKPTVIRGNASEIIALSSSYESSTSKGADSTHDSMDAVEAAKLLAQKSGSIVAVSGATDIVTDGKRVVGAHNGVALMQKITATGCSVTALIAAFVAVDKSHALDAAVSALAVFGVAGELGMKVAEGPASLRMHLIDALYGLDEATLQSHVNITSLC >CAK8571815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478747126:478750173:1 gene:gene-LATHSAT_LOCUS24443 transcript:rna-LATHSAT_LOCUS24443 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEYNGKPSVTQILVASSIGLIIAAAMHYRLKKLRDGRIIPRLRLSKSGQTPKLERFSHYVARQMGFKDKRNCPNLCKLASEYIRKSDGCEDDIYAFFENEQNADSLFVKLVEEFERCILSYFAFHWSLGDLLISQVLSSDTEPKKKFKHIVMAATRDQRVERVTKNLKVARVFNTLVEEMRAMGLVSNDDNRCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWVGAANNAVIIEADAFKESDVIYKALSSRGHHNDMIRMAELVHQSSTDAASSLLVTALNEGRDVIMDGTFSWVPFVVQTITMARNVHRRRYRMGAGYKVHNDGTTTESYWERIENEEPEQIGGKKRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVKSQLKSHKRFADAFMTYCQLVDNARLYSTNALEGPPKLIGWKDRDKTLLVDPDEIDCLKRVARLNEDADSIYELYKHPNPTSEAGSIWKDIVLSPSRLNIQQELKFSIQKVERFSLQNAPLTLEQ >CAK8532551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:292505160:292506294:1 gene:gene-LATHSAT_LOCUS2244 transcript:rna-LATHSAT_LOCUS2244 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKINFSSPPIPCKFHTKKPNLLKRNTSIISSFSSGEKQQKTISTTIETPSNNDKAYTINFKTKSACKLGISRYPDFEYDAEGGIGNGFGAKATKNQDSNDILVSFDLETLYIPSLTGSTTKFLGLPLPPFLKIDIVPKAFHGSINHESGKVDLEFEAKFLFSAGSIYKAPPLIVKTVLTSEESKGRMKSGRGMRLDEEGKCRLVGVATVDPIDDFIMNSFLGLPTECLAELNAMISISSSS >CAK8575685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:250685810:250688993:1 gene:gene-LATHSAT_LOCUS27927 transcript:rna-LATHSAT_LOCUS27927 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLYTAIFAEMLLILTLVFKTPLRKLVIVSLDRVKRGRGPIVVTTVGATLVVVLSSSLYSMAKIQQRTIEAGVVNPTDQILMSKHMLEASLMGFVLFLSLMIDRLHHYIRELRLLRKTMEAVKKQTRSFEDGKNGNTEEQKALTEEVTTLKSKIKKLESECDAKGNKEKTLESEVEALKKQSEGFLMEYDRLLEDNQNLRSQLEAFDPRST >CAK8562938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558985108:558986816:-1 gene:gene-LATHSAT_LOCUS16398 transcript:rna-LATHSAT_LOCUS16398 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKLLFVSLSLALIFRATNTFDFNEHDLESEKSLWDLYERWRTHHTVTQSLHEKHNRFNVFKANVMHVHNTNKLDKPYKLKLNKFADMTNYEFKKIYADSKISHHRMFLGMSNENRTFMDENLEGVPPSIDWRKKGAVTDIKDQGQCGSCWAFSTVVAVEGINQIKTQKLVSLSEQELVDCDTKENEGCNGGLMEYAFEFIKQNGITTETNYPYAAKDGTCDLEKKEDKAKVSIDGYKNVPKNNEAALLKAAAKQPVSVAIDAGGYNFQFYSEGVFTGHCGTDLNHGVAIVGYGVTQDRTKYWTVKNSWGSEWGEQGYIRIQRDVSHKEGLCGIAMEASYPIKKSSTNPAESSTLKDEL >CAK8579674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715417924:715419916:1 gene:gene-LATHSAT_LOCUS31601 transcript:rna-LATHSAT_LOCUS31601-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQHQLDNDFDCVDLYKQPSLQNPVLKNHKIQLYPTFANNIMQSKLSNRKIVEGCSVGKVPIYTRRKIQQNITNSSSRLETDDFQQYSKDSPGYHTVTLDTTRNVIFHGASAGIGAYNLSLQAKQYSISSIWLESGPPNELNSIKVGLGVHPSLYGDSQLRLTGHWTADNYGKTGCYNIVCPGFVQVNRDKDYAIGSVSHPTSAIGSTTKYIGYIKIKQDRITGHWWLIIQTTKPIYVGYWPKELFTHLSKGASLIRFGGQTYAPPNEDSPPMGSGRLPREKFINSGFMVLLKIFDSEYNEIDIKPEDMKRYTDANSNCYDLWYHGFEGYQYRQAFLYGGPGGRNCDI >CAK8579673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715417810:715419916:1 gene:gene-LATHSAT_LOCUS31601 transcript:rna-LATHSAT_LOCUS31601 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVFLVLSLCITSCKIDARNNILLDGENHFTRSDSLMKIQHQLDNDFDCVDLYKQPSLQNPVLKNHKIQLYPTFANNIMQSKLSNRKIVEGCSVGKVPIYTRRKIQQNITNSSSRLETDDFQQYSKDSPGYHTVTLDTTRNVIFHGASAGIGAYNLSLQAKQYSISSIWLESGPPNELNSIKVGLGVHPSLYGDSQLRLTGHWTADNYGKTGCYNIVCPGFVQVNRDKDYAIGSVSHPTSAIGSTTKYIGYIKIKQDRITGHWWLIIQTTKPIYVGYWPKELFTHLSKGASLIRFGGQTYAPPNEDSPPMGSGRLPREKFINSGFMVLLKIFDSEYNEIDIKPEDMKRYTDANSNCYDLWYHGFEGYQYRQAFLYGGPGGRNCDI >CAK8573554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620012717:620014109:1 gene:gene-LATHSAT_LOCUS25989 transcript:rna-LATHSAT_LOCUS25989 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDVESGGGGTKNTNNYSAANSSAYVYDAENHRNSWLVPVFVFVNFVVFIVAMAINNCPKENFGFQGDCVPGFLGRFSFQPLRENPLLGPSSLTLTKMGALKWQNIVNQHQGWRLFTCIWLHAGVIHLLSNMICLVLIGIRLEQQFGFLRIGLIYLLSGFGGSVLSSLFIRNSISVGASGALFGLLGAMLSELLTNWTIYSNKVSALLTLLTIIAINLAIGILPHVDNLAHIGGFATGVLLGFILLPRPQYGWLEQRRLPAGIRLKSKFKAYQYILGAVSLILLIVGLTIGLVMLFNGENGNDHCHWCHYLTCVPSSEWECNYI >CAK8570224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30184989:30191312:1 gene:gene-LATHSAT_LOCUS22995 transcript:rna-LATHSAT_LOCUS22995 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRKMAVPHVDGSVDGAQKLRAAMHGRTTGPTRRSTKGQWTPEEDETLRKAVQRFQGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEVMIELVNKIGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIRAHQSYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLTQLQSAPHDGNPSQSMVTTSSGVQCNADDNVLQGTEGDEVLEYSHDSANALHFPSTREMTSIDLPTVGGECSLGTDHSPSQASCSEPYYISIDDATTCIPEIAHHEANSSEFNEQFSHEPGNSINEDCQFNLHSLPNISSIDLGKDSSQLQRDYMESTDPSGIHDLANVSMPMETTSTDAAKLDHMLISDDECCRALFSEANNDRCFIPEDYNKDVDTVEFSGHPLFVCQSCHFQISENYETSTLPLTCPQCSNHFRGMTSSQSTRPVHSAEPEDQQFVSSAPDNFNYAIDMPSSPCIDATDSAVMSDVKQTCYPTDERPNMHTEKEGKGALCYEPPRFPSMDMPFLSCDLVQSGGDMQQEFSPLGIRQFMMSSMNCLTPSRLWDSPSRDDSPEALLKSAAKTFTGTPSILKKRRRDLLSPLSDKRIGKKLETDMTSSLSKAFSSLEADIFSPSSLQKQNSEAPVFDDDKENCGQADKGKQVEEIIKSAVLEEKMSQRDALDSNSPGKVKQQCFDVDSKMKIDATAAVEIVQQSSGVLSEHDRNDLSLYSSDEVGFRDRVLGSSVITPRNMNNKSSEIAPNQSIPSKPSSENQRSRFSSPCVRAKEHENLTVSVTHAKAPEQGDQSKNDGEFETSSIFGGTPFRKGFESPSAWKSPWFRNTFLCSPNTEITMEDFGFFKSPGGDKSYDAIGLLKEVGEQTAATYENAQEILNNDTPKALPKGDNTSGDDKNIDDENNANNSKLTSNALVERRVLDFSECGSPDKNDSSKSSASQP >CAK8564480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677757413:677758375:1 gene:gene-LATHSAT_LOCUS17782 transcript:rna-LATHSAT_LOCUS17782 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEIGDKKWRYTWESQSHTLIIRLFLFPFSKTINLSLQHHNLTVHLHSPPTFLTLISSSFLSLRVLIPNVLLDVELPPTVISFTDHIEVKLLLLLSVDHPALSALHQTSHLPQPFLIEYNVDKLSSAGEVKFVCRSCRCHLTNKPIRNFVEMSSANWMEVADNWFGACCCSFGGISEKLVTRYVNSHTCAQGMRLLSSTSVTFSKDDLVESDFPEQCGQLHGRGYVADDFGIDVVSEGVGILG >CAK8559985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2913309:2914643:1 gene:gene-LATHSAT_LOCUS13709 transcript:rna-LATHSAT_LOCUS13709 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNEYHIQQIINIPEKIEPSLWPQCCIYNVPAILLMVKKEAYTPLLVSIGPIHHNNKKLEEMQEYKQRYFHFFWNRLERKSDLVNYKSFLEQEEQNMRRCYQQKFNDISNEQFVDMILLDAVFIMELFLRENRKWEHKDDCIVTQLCVSKSIQRDLLLLENQLPIYVLEKLYDTVVPTSVKKNNWFFKLAHEYFASCYPCYKESDERKFQAKQWEKSLHFIDLIRCSYLPMKISNQYIDSEKECLMLRTATKLNEAGVSFEKVHNRSLLNIKFERKPFLSWFLCLGCLPFCKFFKARFLFPQLKVDHTTECVLRNLIAFEQCHYPDEPYICNYVSLIDSLINTKDDAELLVDKEVVVHELESNEELSILVNSLCKHVVTNSSCYYQLMEDLNEHYNSVWKRAMGTISRVYFRDPWRSSSTIVVIIVLIFIIFNFCRAVHLMF >CAK8578414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627981301:627982621:-1 gene:gene-LATHSAT_LOCUS30427 transcript:rna-LATHSAT_LOCUS30427 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGSCYLEPETVMCSFGKRKKDMHISKETIFCGKRPKKCLEKTCSEYDFFEALPDDIVISIFCRLSSTATSPSDFVTVLSTCKRFQRLALHSLVLSKASPRTFCIRAKNWCESAQRFLKQCAEAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAMNSHARALYSLAVIQFNGSGGTKSDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKQNISEGRRFLIQANARELAGVLSTDTAARQLVNANLQSQLRNVAVSGCPLLSDFGCNVPAPEPHPSSRFMTEWFAARGGSNGSGLRLCSHASCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKFRHKAECAPVERWLDEDGDDDGEDDGDREVMVMEDS >CAK8533004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564558158:564563021:-1 gene:gene-LATHSAT_LOCUS2657 transcript:rna-LATHSAT_LOCUS2657 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTESFDIPRGQGRGGGDVELGDYGRNSGELGLENFFKKVQELDKQYAKLDNLLRKLQDAHEESKGVSKAPAMKDIKQRMEKDVDEVKKTAHHLKTKVEELDKENLANRQKPGCGKGSAVDRSRTATTVSLKKKLKDKMAEFQILRESIHEEYREVVERRVFTVTGKQADEETIDRLIETGDSEQIFQKAIQEQGRGQIMDTLAEIHERHEAVRDVERKLLDLQQIFMDIAVLVDAQGDMLDNIESQVSSAVDHVQQGNNTLLKAKKLQRNSRKWMCIGIMILLIIVIISVVSIIKPWVAKQGGA >CAK8530365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16893353:16895830:1 gene:gene-LATHSAT_LOCUS233 transcript:rna-LATHSAT_LOCUS233 gene_biotype:protein_coding transcript_biotype:protein_coding MELARRGVMENGGDEEMDVETPVSEQRFYPSHPGLVKKRAYIFDGLGNFYNKEWDLADLYQKEEKESDVEEGRGNEFSWYHVELPKGNQKLAQSAQDLIGVLCPPLKLQDILSLISNGPFCAHVDGALVFRVNSPGPPSSDFTFRLAARVTENSVITVSLGRVPRLGFSRMGESLLSEVPSVESSPQFNGQRKEGSGTVIKEHVLEFLLTMNHSEEADNPVPRSVSNLVVHIVDTHVDQLQDLVTKLEMELDSVELDLDKGGYTLKKQMLDDRRFPKLHINLQRLLQVIAHGEQVYLRVKEKSSSKRWFANEDINSLEELIGRLRRLKENVGFIVNRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQNAPELKDGFRNVMLVCVAMLVLVLLCFIFPALYMRIAAFWREKTTLGRHWSINRKSLLRRPLRIEDAARGGYLRI >CAK8531407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107407826:107408506:1 gene:gene-LATHSAT_LOCUS1201 transcript:rna-LATHSAT_LOCUS1201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 MGQKIHPLVFRLGATQSHYSIWFAKPKMYSENVKEDKIIRYCINNFIQKTVPESCEFETDISGYGMGRIKIQKRIDLIKVIIYMGLPDSLKEKVKDLRVEQLKTQVQKKVTCGNRELDLEITKLPSPYLYRDAKIVAEFLSELLRNRISFRKAMQKGIELAEQADTKGVQIKIAGRMEGKEIARVEWTREGRVPLQTIRAPMDFCSVPLVTLFGVFGVKIWIFQNF >CAK8573189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592447369:592447842:-1 gene:gene-LATHSAT_LOCUS25664 transcript:rna-LATHSAT_LOCUS25664 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIHKELCEYKRGNPASTQKDLQRWLEGKFQLKVSQGSISNTLKRSDDYLSAEIEKGRAEIKRHKPAKYPDMEKVVYEWFLQHQERVNITGELILQKARDTTKLVYPHDDSSYNFSIGWLGKFKNRHGIKSFCRFGESGSVDV >CAK8534257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710576633:710579546:1 gene:gene-LATHSAT_LOCUS3817 transcript:rna-LATHSAT_LOCUS3817 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFLAADTLQKIMILTLLFIWSNFSKRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTMMLFMFEFRGARLLISEQFPDTAGSIVSIHVDSDVMSLDGRTPLETDAEIKEDGKLHVTVRKSNASRSDIYSRRSQGLSSNTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMGGGRNSNFNASDVNNYGLSASRGVTPRPSNYEEDASNAKKLKHYPAPNPGMFSPTNKNIGSNVNVKRSNGQNQNQQQKQDDLHMFVWSSSASPVSDVFGGHEFGSHDQKEVKLNVSPGKVEGHREAQEDYLEKDEFSFGNRGMEREMNNQHDQGEKIGDGKSKVMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIVMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPKIIACGNSIAAFAMAVRFLTGPAVMAAASFAVGLKGVLFHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILMGL >CAK8578314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619630590:619633711:-1 gene:gene-LATHSAT_LOCUS30336 transcript:rna-LATHSAT_LOCUS30336 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHHRRMDKSHTFLHSFLKHFKLGSTTEQNNEAEIQKMASREQKIFSYETLVSATKNFNSKLGEGGFGPVYKGKLSDGREIAVKKLSQTSNQGKKEFMNEAKLLARVQHRNVVDLLGYCVHGTEKLLVYEYLPHESLDKFLFKPDKREQLDWNRRFGIITGVAKGLLYLHEDAHQCIIHRDIKASNVLLADKWTPKIADFGMARLFPEDQSQVNTRVAGTNGYMAPEYMMHGRLSVKADVFSYGVLVLELITGQRNSSFNLDVEEHNLFDWAYKMYKKGKCLEIVDTTLVSTAKTEQVAMCIQLALLCIQGDPQLRPTMRRVVVMLSRKSPHGHMEEPTRPGIPGSRYRRPPRNSGLSSTIGSTSGGVSYSQSSDSSKNGTTLSRTGTGTSSATAELDPRGKRPMKD >CAK8578315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619630590:619633687:-1 gene:gene-LATHSAT_LOCUS30336 transcript:rna-LATHSAT_LOCUS30336-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSHTFLHSFLKHFKLGSTTEQNNEAEIQKMASREQKIFSYETLVSATKNFNSKLGEGGFGPVYKGKLSDGREIAVKKLSQTSNQGKKEFMNEAKLLARVQHRNVVDLLGYCVHGTEKLLVYEYLPHESLDKFLFKPDKREQLDWNRRFGIITGVAKGLLYLHEDAHQCIIHRDIKASNVLLADKWTPKIADFGMARLFPEDQSQVNTRVAGTNGYMAPEYMMHGRLSVKADVFSYGVLVLELITGQRNSSFNLDVEEHNLFDWAYKMYKKGKCLEIVDTTLVSTAKTEQVAMCIQLALLCIQGDPQLRPTMRRVVVMLSRKSPHGHMEEPTRPGIPGSRYRRPPRNSGLSSTIGSTSGGVSYSQSSDSSKNGTTLSRTGTGTSSATAELDPRGKRPMKD >CAK8577459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565711408:565712853:-1 gene:gene-LATHSAT_LOCUS29565 transcript:rna-LATHSAT_LOCUS29565 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNQDLHIIFFPFLANGHIIPCIDLARVFSSRGLKVTIVTTHLNVPLISRTIGKAKINITTIKFPSPEETGLPEGCENSESALAPDKFIKFMKSTLLLREPLEQVLEQEKPDCLVADMFFPWSTDSAAKFNIPRIVFHGLGFFPLCVLACTRQYKPQDKVSSYTEPFLVPNLPGEITLTKMQLPQLPQHDKVFTKLLEESNESEMKSFGVIANSFYELEPVYADHYRNELGRKAWHLGPVSLCKRDSEEKACRGREASIDEHECLKWLQSKEPNSVVYVCFGSMTVFSDAQLKEIAMGLEASEVPFIWVVKKGGKTQKPEGEKPEWLPEGFEERIEGSGKGLIIRGWAPQVMILDHESVGGFVTHCGWNSTLEGVSAGLPMVTWPMYGEQFYNAKFLSDVVKIGVGVGVQTWIGMGGGEPVKKDVVEKAVKKIMVGDEAEEMRSRAKEFGKMARRAVEVGGSSYNDFNSLIEDLRSHSQ >CAK8575403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:109874095:109881977:-1 gene:gene-LATHSAT_LOCUS27672 transcript:rna-LATHSAT_LOCUS27672 gene_biotype:protein_coding transcript_biotype:protein_coding MYISTSSLLGTTNTNTLFSRTFSASPPSLTAYSSCTSRSFTCSFHRLRCNSSPTLRPQIKAVAPAVESFHHKIATENPFKGNLTSLPRPGGGEFGKFYSLPSLSDPRIDRLPYSIRILLESAIRNCDNFQVTEEDVEKIIDWENTSTKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGNLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSKLSLADRATIANMSPEYGATMGFFPVDHITLQYLKLTGRSDETVAMIESYLRANRLFVDYNEPQQDRVYSSYLELNLSDVEPCISGPKRPHDRVPLKEMKADWHTCLDNNVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSIVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFHIVGFGCTTCIGNSGDLNESVASAISDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKNVYLRDIWPSTEEIAETVQSSVLPDMFRSTYESITKGNPMWNQLQVPADTLYSWDSDSTYIHEPPYFKNMTMDPPGSHGVKDAYCLLNFGDSITTDHISPAGSINKDSPAAKYLLERGVECKDFNSYGSRRGNDEMMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVYDAAMRYKASGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCYKRREDADTLGLTGHERYTIDLPNKISEIKPGQDVKVTTDSGKSFTCIARFDTEVELAYFNHGGILPYVIRNLIKQ >CAK8540125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540758479:540760362:1 gene:gene-LATHSAT_LOCUS9158 transcript:rna-LATHSAT_LOCUS9158 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSPPKINIKPEYCREALIEWYRLAKLGDHKECFHKKDLVLKAKQHLLFLGWKVQYINKKYRWKMCYTSPTNGKHYFTLRRACKNCIKDEGYSVNQLSTTLQASPTNLISSTTLPSKKRPRALEETDESNFNKDYEASISNPVKKPIVITTSTSEENEKHGCQSESKFTDLVGNNGRREKVINMSVMEKNSESHGKRGKVLNMSTRERYTLVSWLINNQVLILDTKVSCRGRNNIVKRGSLSFDGIVCDCCQVIFTITKFEAHAGCTRHRPSTSIMLDDGRSLLECQRDALSLRDQKKDRFVVEENVKQENDSVCSICGLGGNIILCDRCPSSFHIYCLNLDQVPDGDWFCPSCCCKICHQPKSKQECYDLNDNNILVCVQCEQNYHFGCVNNEGIGLWKMDRNAKNKNWFCSVVCGNIFLNLNKLLGKSIKVADNLTWTLMKNTSIVVDDDEGDNDKEFISKEFSQKESKLNAALGVLYESFDPTIDASSGRELIKDVVFSRGSKQRRLNFRGFCNVILEKKGEVISVATIRIHGQKVAEIVFVATKEQYRGHGMCRMLMNELEEQLSRLEVESLILHSSEEAINTWTKSFGFVTITGEDKRRFINHTFLEFQNTIMCLKYLK >CAK8530342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15755460:15757071:1 gene:gene-LATHSAT_LOCUS212 transcript:rna-LATHSAT_LOCUS212-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSEKMKDEAVKIIESFQVLPKLVVFDLDYTLWPFYCECRSKRETPSLYPHAIGILFALKHKGLDIAIASRSPTADIAKAFLNKLGITSFFVAQEIYSSWSHKTDHFQRIHSTTGISFRDMLFFDDENRNIQAVSKMGVTSILVDNGVNLGALSQGLTQFSRNWNTSQKNKQKWISNYSKKPDSSNPAPSNSTSK >CAK8530341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15755460:15757649:1 gene:gene-LATHSAT_LOCUS212 transcript:rna-LATHSAT_LOCUS212 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSEKMKDEAVKIIESFQVLPKLVVFDLDYTLWPFYCECRSKRETPSLYPHAIGILFALKHKGLDIAIASRSPTADIAKAFLNKLGITSFFVAQEIYSSWSHKTDHFQRIHSTTGISFRDMLFFDDENRNIQAVSKMGVTSILVDNGVNLGALSQGLTQFSRNWNTSQKNKQKWISNYSKKPDSSNPAPSNSTSK >CAK8561901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:407580001:407580939:-1 gene:gene-LATHSAT_LOCUS15449 transcript:rna-LATHSAT_LOCUS15449 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKPSLATFSTNPKHSSKYGVRSISLPTRSHPTTVQIEEELTKLKSWETSSSSKSETICFGLSGLTKLYKCIEELLKLPLTQQALSQHKTEKWVDELLDSPLRFLDLLSKTRDETLLLKAKVEELQSVLRRRKVGDSDMENHVAEYWSMRRKMRKECTKSLLLLKQIDVSIGSSFFSLDLNNHLCAIVKVLIEASLMTSSILQSLVVFLSSPILRSKVNKWSLVSRLMQKGVFGCDNQHENNINELEKVDFGISSLVMESLSKDGEVEKIQCAHGRLQGLGVAIEGIENGLECLFKRLINTRVSFLNIISP >CAK8561675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:353054460:353054840:-1 gene:gene-LATHSAT_LOCUS15243 transcript:rna-LATHSAT_LOCUS15243 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVFKDYGLILEVYIPAMMDKRGMRYGFIRFRKVIDARIMAVKLNSIQILGKKIYADIQRFKCGRDTVKPNAVNSGLVGRKGDKKHTKGWNNKAHGKSFAYVVGNKQAGDDVRVGRAEKPSFSLL >CAK8533168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586524686:586525418:-1 gene:gene-LATHSAT_LOCUS2815 transcript:rna-LATHSAT_LOCUS2815 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWNSFPLEIIYQLFGWLAFLSWSLAGYPQLISNFRRKSVVGLSLDYTILNFTKHWSYLIYNASLFFSPVIQKQYFEKYGYRQMIPVAANDVAFSTHAVIINLIVLCQFVIYGNGSEKLSKYAIVIFAGVWFSAAVCFFIALPTESWLWLVSIFK >CAK8565805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336687284:336687727:-1 gene:gene-LATHSAT_LOCUS18986 transcript:rna-LATHSAT_LOCUS18986 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLGFTGLSSRPLQFSDDCSNSSNRRMVSVRASAEVVDTRRPASTLYEVLRLKPGVSHSEIKSAYRSLAKVYHPDAAAQRLPECDDRDFIEIRKAYETLSDPSARAVYDMSLMAAVCERKRQFSASVTPQKRYSRYVRWETDQCW >CAK8568090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572881340:572881636:-1 gene:gene-LATHSAT_LOCUS21086 transcript:rna-LATHSAT_LOCUS21086 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSWMKADRLGPVYENRVLEFLEYADKHFPDNNGIFYCPCVVCANIKIGIKKEILHRLCCDGICQNYIIWMWHGEMDKEESRGSQSQRVDEDEYMED >CAK8540830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24226531:24230643:1 gene:gene-LATHSAT_LOCUS9797 transcript:rna-LATHSAT_LOCUS9797 gene_biotype:protein_coding transcript_biotype:protein_coding METQSAEDNSGDIDVNVGGVSANVDVIGDLELSVACFSEKVSNLSIFMMNLETLEAEFEGLVIMGEENMDVECVLKGFEFDLLCGVLDSEVRDLGLFLDTLHAGISDAKDRVSSFEDWQDRLIELEQCLKLSEEQFYEIKKQSVNFQRFFASDKKEENGNVEEGGNVQEDNQVLDVNNTMNMETTLRMLEKSLANEIDLEKNFNDSKKIEESLKQRIASLQDELIQMEEEAIEVWERWSEADNACEILKGISNELLAKLKLSQFNLVGLRKSESELTAKLETCIQQLKSRDANLDKIIESKKAEDSEAVYLSIKVCSLEKQLQETECQLVNVKTSADEYQQQYNVACSEIRDMDNLIMELKENVSNAENRANAAEVQCKVLRETNEELNKELSLLKDGGITSESVELLERQLKEYDLKLQNAVASAEASQEKQKMLYYTIEDMKHVIKDLKSKVSKAESLADSAEEKCIILSESNADLNEEISFLRNRLKYLEGSLRQAEEAKRATAKDIGKRTKVFKSLVTQLALEREHLNKKFSALASENKILVVKLKQAYKDPSQEVSATLSSEHKDNKSFKTSSANASEVKSDSTSDVGTVRRIDAGVLSFKPLLISLFVVVISVAIFLYFQGLELN >CAK8578637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643045061:643049098:-1 gene:gene-LATHSAT_LOCUS30630 transcript:rna-LATHSAT_LOCUS30630 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRKQASKLRDQVAKQQQAVIKQFSGSGYESSDVVVIDEVELQRHQHMEKLYRATRAGRDFQKEIVKAAETFTAISYKHIETGTKLSEECCRYGAENNSDNILAKAASVYGDARKHVEKEHEELNRLLSSQVLDPLRQMIIGPPLEDARHLAQRYSRMRQEAETLREEISRRQARVRESQTAEHVAKLHAAEAKMQELKVNMAVLGKEASAALAAVDAQQQRLTFQRLVAMVESEKTFHSRVAAIFGEIETEIVSDRQKKESAPPAVLFQNGSEKTMYFLAEAVHPYDAESEKELSFSKGDFIVVRKVSQTGWSEGECNGKGGWFPSGYVEKRQRIPSSNMAGEVY >CAK8541202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:88601648:88602247:-1 gene:gene-LATHSAT_LOCUS10138 transcript:rna-LATHSAT_LOCUS10138 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGKQHGMVRTYRILPNPNPGTRFVTRFDSPPTAGLFTKVPSKPTNHSKFTGKCGKARCTDCRLHPVSKSKNKSKGSLKHFQVMDQPGLKFTGLSATGTLNHISNWYVDGDDEEEESVVDHVDDYDDDVKDESVVDHVDDDDVKDESVVQHVDDDEVEDEKISCTLDEKEVEYPLRVEQVKEEIYEDEDWCFVECCS >CAK8572357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531574104:531575777:1 gene:gene-LATHSAT_LOCUS24930 transcript:rna-LATHSAT_LOCUS24930 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKDYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8566410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420391373:420394967:-1 gene:gene-LATHSAT_LOCUS19542 transcript:rna-LATHSAT_LOCUS19542 gene_biotype:protein_coding transcript_biotype:protein_coding METKDDYTQDGTVDFHGKPAVPSKTGKWKACAFLVGYEAFERMAFYGVASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWITPILGAYIADSHLGRFWTFTLSSLIYVLGMTLLTIAVSLKSLKPTCTNGVCNKASTSQVAFFYTALYTMAIGAGGTKPNISTFGADQFDDFNPREKELKASFFNWWMFTSFLGALIATLGLVYIQENLGWGLGYGIPTAGLVLSLVIFYIGMPIYRHKVRTSKSPARDIIRVLIAAFKNRKLQLPSNPSDLHEYEMEDNVGRGKRQVYHTPTLRFLDKAAIKKDPTRGSSRVPMTVNQVEGAKLIFGMLLIWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPDFKIPAASLGSFVTLSMLLSVPMYDRLFVPFMRQRTGHPRGITLLQRLGIGFSIQIIAIAIAYAVEVRRIHVIKANHILGPKEIVPMSIFWLLPQYVLIGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMTDKITGRGYRKSWIADNLNDSHLDYYYGFLLIMSSVNLLVFLWVSSRYIYKKESTRVKEALCVEMEVNPTLDASLGLQV >CAK8566355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:412931500:412932519:-1 gene:gene-LATHSAT_LOCUS19490 transcript:rna-LATHSAT_LOCUS19490 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPLFPTNNSYESKKLSEITKTTYQCDAKNQKEDSNTYLVIGQSVQVDAKFPNSRHELFPAATTGDMKGAQLRDGQAPNVVPSHSKLHQLLLEERIENGYSNPTRLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFSLSVKPAPDNSSEAGIKILEISSIKKSIGDENSCSSPYEPESELHQFPEEVGEANGDLVMVKEQISVGVRDVKVSDETELEINEQKKIEGSLVRYNSDDVTSEVDNYMDALTTMESDNNNSFSGNEEEHVELQAHFSDSQSTGKSFMSDVNIIEHCG >CAK8544405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682484045:682486405:-1 gene:gene-LATHSAT_LOCUS13090 transcript:rna-LATHSAT_LOCUS13090 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRLNHYLLGKALASHFCVNHLPCIALNLHSVLGSYSTSSKGKSNNENFNDGFGKVGYGFSEELGFAASVNDNAGEDDEEIEEEGSDIDESLEIISSFHGNDNKKRESIARFEIDESEFRHPLVREVCRLITLRTSWNPKFEGNLRHLLRSLKPKLVCAVLRSQDDERIALSFFYWADRQWRYRHDTVVYYTMLDILSKTKLCQGARRVLRLLTRRGIECSPEAFGYVMVSYSRAGKLRNALQLLTLMQKAGVEPDLSICNTAVYVLVKGSKFEKALRFLERMQVAGIEPDIVSYNCLIKGYCDLYRIGDALKLIAEMPSKGCPPDKVSYYTVMAFLCKDRKVEEVKRLMENMVRNSNLMPDQVTYNTLIHALSKHGHADDALAFLREAEEKGFHIDKVGYSAVVDSFCKKRRIDEAKSLVIDMYSKGCNPDVVTYTAIIDGFCRVGKIDEAKKMLQQMFKHGCKPNTVTYTALLNGLCRNGKSLEAREMIRVSEEHWWTPNAITYSAVMHGLRREGKLSEACDLIREMIRKGFLPNPVEINLLIESLCKNQNVVEAKKFLEECLHKGCAVNVVNFTAVIYGFCQIGDLDAALSVLDDMYLSNKHPDAVTYTALFDALGKKGRLDEAAELIVKMLGEGFDPTPVTYRAVIHRFCQWRRVDDMMKLLDKMLARQPFRTVYNQVIEKLCDFGNFEEAEKLLGKVLRTASKLDAKTCHVLMESYLNKGIAMSAYKVACQMFRRNLIPDLKLCEKVSKKLMLDGKSAEADNLMLRFVERGIQQNEMHL >CAK8578823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656968291:656968908:-1 gene:gene-LATHSAT_LOCUS30807 transcript:rna-LATHSAT_LOCUS30807 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIFKTILRHRDIMTDMGDWNDMKVKYSVGKVYQYLKMDEHDVRWNHMLSNSIARPKALFTLWMACHRRLATKMWLKKFGITTDDRCKFCNKEETIDHLFFQCPPFQSCWQEILGWMRVQHTPCDWHEELNWIMTQCKGKGWRKCLLRSSIAETIYEVWKYRNNAVFGNTVHTMEIRDVVISILVNRGWVNTSLRRHIANLLID >CAK8578824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656968291:656968857:-1 gene:gene-LATHSAT_LOCUS30807 transcript:rna-LATHSAT_LOCUS30807-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWNDMKVKYSVGKVYQYLKMDEHDVRWNHMLSNSIARPKALFTLWMACHRRLATKMWLKKFGITTDDRCKFCNKEETIDHLFFQCPPFQSCWQEILGWMRVQHTPCDWHEELNWIMTQCKGKGWRKCLLRSSIAETIYEVWKYRNNAVFGNTVHTMEIRDVVISILVNRGWVNTSLRRHIANLLID >CAK8578698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647755578:647756144:1 gene:gene-LATHSAT_LOCUS30688 transcript:rna-LATHSAT_LOCUS30688 gene_biotype:protein_coding transcript_biotype:protein_coding MNNETMLVQLCINAACKTTQTIDKWSLQRRSLDRLPSHLADALLRRLITRRLLHPSLLAVFKHSAEEIDLKGDNSVDAEWMAYLGAFRHLRYLNIAECHRINSSALWPTAGMNSLKELDLSRCSKVNDAGINHIISIPNLEKLHISKTSVTAKGIKLLASLKLLSHLDLGGLPVDDEALASLQVLENL >CAK8535169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820362413:820363330:1 gene:gene-LATHSAT_LOCUS4645 transcript:rna-LATHSAT_LOCUS4645 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8563769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630176221:630177033:1 gene:gene-LATHSAT_LOCUS17145 transcript:rna-LATHSAT_LOCUS17145 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKAQVAADRIKAAALTAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKEVKPTMSTIGGNARCQKCYGSGHWTYECKGERVYISRPSRTQQLKNPKLRVKVSEVTMERDLDYNNHHDAKEENHIPSSKKTKRKHRSATGSDSEDSVFETDSGSESSSVTGSDYSSESSSGSSSSSDSEEERRRRKRKSLKKKQTQKKSKGKSRRYSTSSESSDSDSGSDSDSDDKSSKKKKRHSRRR >CAK8571066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275159172:275159703:-1 gene:gene-LATHSAT_LOCUS23760 transcript:rna-LATHSAT_LOCUS23760 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVVVEEVGEDNVVQVVTDNAANYKVVGEMLMQKRIKLYWTPCVVHCLDLMLEDLEKKISVYEETFPKDATRFATSYLILGCLYENKEALIRMLTSKEWKSSKCAKLRDGKAIEDVILDQKNWKNIVICLRGATPLIKVLRLVDSD >CAK8535676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874133480:874135024:1 gene:gene-LATHSAT_LOCUS5108 transcript:rna-LATHSAT_LOCUS5108-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDPQEARAGINEVHFLPFNLVDKRTALTYVDTEGNWRRERKGAPEQILDLCNCKENMRRSVHAMIYKFAERELRSLGVAYQEVLEKTKESPGAPWQFVGLFPLFDPSRHDSADTIRQALNLGVNVKMITGDQLAIEKETGRRLGMGTKMYPSSALLGHDKDASTSDIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTRDGVNDAPALKRADIRIAVADATVAARSASDIVLTEPGLSVIISDVLTSRAILQNT >CAK8535675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874133228:874135024:1 gene:gene-LATHSAT_LOCUS5108 transcript:rna-LATHSAT_LOCUS5108 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSHKLSQQGAITKIMTAIEEMAGTDVLCSDKTRTLTLNKLSVDRNLIKVFSRGMDKDLVILLAARASRIENQDSIYAAIVRMLSDPQEARAGINEVHFLPFNLVDKRTALTYVDTEGNWRRERKGAPEQILDLCNCKENMRRSVHAMIYKFAERELRSLGVAYQEVLEKTKESPGAPWQFVGLFPLFDPSRHDSADTIRQALNLGVNVKMITGDQLAIEKETGRRLGMGTKMYPSSALLGHDKDASTSDIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTRDGVNDAPALKRADIRIAVADATVAARSASDIVLTEPGLSVIISDVLTSRAILQNT >CAK8561374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:175296540:175296862:1 gene:gene-LATHSAT_LOCUS14974 transcript:rna-LATHSAT_LOCUS14974 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIEEKYDLDFDFEKGRLILAQGAELYVESPEGKYMPRSVSIILNSEAKVIITKYFSIYRQRFLRVAY >CAK8565924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354265701:354268435:-1 gene:gene-LATHSAT_LOCUS19097 transcript:rna-LATHSAT_LOCUS19097 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLFQESLKALEADIQYANTLALEHPRDKEGGCFQMRLSYSPVAPLFLSLVQWTDYRLAGALGLLRILIFVTYGNGKTTISIYERKASIRQFYSVIFPALLQLQKGITDLEERKQKEVYAIRYQKKTDFKDRRESEIDIEREQECGVCLEVKAKVVLPNCCHQMCFECYTDWCLRSQSCPFCRDSLQRVNSEDLWIYTDTSDIVDVGTIFRENCKILFIYIEKLPLIIPDPRHVSYDPFFR >CAK8569698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8516277:8516809:-1 gene:gene-LATHSAT_LOCUS22519 transcript:rna-LATHSAT_LOCUS22519 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKLLAASFLASLLFLHLVDAADQSEHTETEGSLFRKKIDCNGSCVARCRLSSRPRLCKRACGTCCRRCNCVPPGTAGNQEKCPCYASLTTHGGKRKCP >CAK8573005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576475323:576476126:1 gene:gene-LATHSAT_LOCUS25500 transcript:rna-LATHSAT_LOCUS25500 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRTHELFSLVSLIFVLLTTNINSVQALSFNYTKFTSGNSAITLQGDTQILANGVLALTNSTPLPPSTTFPTTGRALYTTPLTLWDSATGNVASFVTSFSFVVQSPAGRAPTDGVIFFIAPADTVIPNNSNSLYLGVVDSKTSINRFVGVEFDLYPNSFDPSVRHIGIDINSLISTKTVRWNWVNGSLNKVSIIYDSPSNALTVVVTYANGQISTISQGVDLKAVLPNKVRIGFSSTSITGVAHDIHSWSFKSHLEKSSSRVSDI >CAK8577436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563671854:563672369:1 gene:gene-LATHSAT_LOCUS29544 transcript:rna-LATHSAT_LOCUS29544 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDIDGLIHDVFGVHLTEDQISGKGERNPEVGESTKFYQLVKQNEEMLYPNCKKYSELSFMVHLYHLKFLNRWSDKSFSMLLDLLRDVLPEENIFPKSYYKTKKIISRLGLGYEKIHVCPNDCILCRDKYVKDQICPKCGTSRWKATNEDVHTNGIETYKKKKNLPAKCY >CAK8565035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:29814375:29828301:-1 gene:gene-LATHSAT_LOCUS18276 transcript:rna-LATHSAT_LOCUS18276 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIRSSVIIIGAGISGISAAKVLAENGVEDIMILEASDRIGGRIRKECFGGVSVELGAGWIAGVGGREANPVWELAVEHNIRTCFSDYSNARYNIYDQSGKLIPSGIAADSYKKAVDSAIQKLRNEEEDESVDDAINNNSINDCNKVTKPPSTPKTPVELAIDFILHDFEMAEVEPISTYVDFGDREFLVADERGYDYLLYKMAEDFLFTSEGKILDDRLKLNKVVRELQHSRSGVTVITEDGCVYEANYVIMSVSIGVLQSDLLAFTPPLPRWKLEAIEKCDVMVYTKIFLKFPYRFWPSGPEKEFFIYAHERRGYYTFWQHMDNAYPGSNILVVTLTNGESKRVEAQSNDDTLREAMAVLRDMFGPNIPDAIDILVPRWFNNRFQRGSYSNYPIIANRKVFHNIKAPVGRIFFTGEHTSERFNGYVHGGYLAGIDTGKALVEEIRKENERESENQTLLLEPLLALTGSLTMSKPETVSNIHKCDIPTQLYLSGKLGIPEAIL >CAK8534618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749050831:749051725:-1 gene:gene-LATHSAT_LOCUS4149 transcript:rna-LATHSAT_LOCUS4149 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTSSSAKLKTVDVDVPLHTIGFEFEDISADKVSGNLHLTQKCCQPYNMLHGGVSALVAEALASIGAHVACGYKRVVGIQLSINHLKSAVIGDFIHAEATPLTVGKSIQVWDVRIWKIDPSNSQSRLLIASSRVTVKCNMPVPKNGKDADDWLKKHSKL >CAK8561690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:356791017:356793260:1 gene:gene-LATHSAT_LOCUS15256 transcript:rna-LATHSAT_LOCUS15256 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSSSNHYCALLKLCCETRNFTNAKNLHSHIIKTFPNPETFLLNNLIASYAKLGSIKYARRVFDRIPHPNLYSWNTILSAYSKLGFVPEMEYIFDKMPKRDGVSWNSLISGYAGCGSVYQSVKAYNLMLNEGLFNLNRITFSTLLILASKRGCLQLGKQIHGHVVKFGFMSYVFVGSPLVDMYSKMGLISCARQAFDELPEKNVVMYNTLITGLMRCGRVEDSKRLFFDMRKRDSISWTSMITGFTQNGLDKEAVNLFRDMALEKLEMDQYTFGSVLTACGGVAALQEGKQIHAYIIRTDYKDNIFVASALVDMYCKCKNIKSAETVFKKMTCKNVVSWTAMLVGYGQNGYSEEAVKTFSDMQKYGIDPDDFTFGSVISSCANLASLEEGAQFHARALISGLISFITVSNALVTLYGKCGSIEDSHRLFSEMSFRDEVTWTAIVSGYAQFGKANETIRLFESMLAHGLKPDKVTFIGVLSACSRGGLVEKGNKIFESMIKKHGIVPIQDHYTCMIDLFSRSGRLEEAKIFINNMPFSPDVIGWATLLSSCRFYGNMDIGKWAAEFLMELDPHNTASYVLLSSIYAAKGKWEEVARLRKGMRDKGLRKEPGCSWIKYKNQVHVFSADDKSNPFSDQIYSELEKLNYKMIKEGYVPDMNSVLHDVEDSEKIKMLNHHSEKLAIAFGLLFVPPGLPIRVVKNLRVCGDCHNATKYISKITQREILVRDAARFHLFKDGTCSCGDFW >CAK8543392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604537325:604543888:1 gene:gene-LATHSAT_LOCUS12150 transcript:rna-LATHSAT_LOCUS12150 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPQFTPAASSLSVNEFWSNNCGDVSYNQLQKFWIELSLQARQELLRIDKQSLFEHARKNMYCSRCNGLLLEGFLQIVMYGKSLPQEGVGAQFPCNKLGALKKQTNGGSSFITGCQDEIPDPSMHPWGGLTTTREGSLTLMTCYLYSKSLKGLQTVFDGARARERERELLYPDACGGAGRGWISQGLVSYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRFSLLRMKEEDFMERLMFRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCSSWFCVADSAFQYEVSDDSVQADWRQTFPDTLGTYHHFEWAVGTSEGKSDILEFKSVGLNGCAKASSLDLGGLSACFITLRAWRLDGRCSELCVKAHSLKGQQCVHCRLIVGDGYVTITKGEGIRRFFEHAEEAEEEEDDDSVDKDGNDLDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRIKEREKKLRRKERLKGKEKDREKTCSESTDIIGSSEISKEEFAAGSDMDKNNLTSGRNSVVETDEVNHSDDSSNIQDKELSSENDTLRTQHLSDDDCDEENSNTNDETGQQSTVEQTMLSHQRLRYRKEFPQDDMPIKWSGRRQYATVSDNGGMVGKTESRHYGESQLTSSRVVNGLNRQSRINVPAKSNGRNVSPKYGDKFYNSKNRMNDRCDIHSCSCSPNSEYKMRVEQHSPVTRVSRESKPASQYESAKHFYRGSKYNQVDYMHENNGRNKSKIILGNYSSRDLFQSKKVWEPTESLKKFHHSNSDSGVILRSTEVQEAQPDLIKSSIGEIVDSGENDYNDCSSKHLSRTDAGCQNNFQVEGEGSCSSKEIVSEESGICATGGSVLNNSSDPTQSSTFSSDSCSSCLSEGDNNMSSNRVNQESSTTSDSEDVSQRSEVRDNAACLENVLSDCHETAMENNQTTNGESLSRSSNSLIGPSLDGRRSDAFDESFVEIAQSFDNGVSTTNVSTQPQSMLPPASNQNIQFPSFQAPSTMSYFHQNPVSWPAAPTNGLMPFPYPSHYLFAGPLGYGLNEDPRFCLQYGSLQQQTPSFNPAIPVYQPVARANVLNSEEWTRVSKPASLQEHINGSVAERIVSSGNNLKKPAFIGEVKHDRSAKSQENNGDFSLFHFGGPVALSTGCKLTHASSNGDAVGDFSPKSSADHAEKVHTCNKKETTTMEEYSLFAASNNLRFSIF >CAK8537682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420683197:420686176:1 gene:gene-LATHSAT_LOCUS6948 transcript:rna-LATHSAT_LOCUS6948 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTFSLLVLNITFRTTPDDLFPLFDKYGKVVDIFVPKDRRTGESRGFAFVRYKYADEASKAVDRLDGRMVDGREITVQFAKYGPNAERIQKGRIIETSPRSKSSRSRSPSRRRHRDDYRGKDYRRRSRSRSYDRYERDRYRGGRDRDYRRRSRSRSASSDHKGRGRGRYDDERRSASPDYKGRGRGRYDDERRSRNRSRSVESRSPGRRSPSPIRSSSPKKSISPQRSTSPQKSPLHERPDNRSRDERSLTPRSVSPRGRPDVSPSPSPRNSNGDE >CAK8566593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440104152:440106785:1 gene:gene-LATHSAT_LOCUS19714 transcript:rna-LATHSAT_LOCUS19714 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTIGAVKTPKSLNGSVVAGPSIPSSSFFGTSLKKITSRLPNTKLSSGSFKIVATAEIEPEKQTDGDRWRGLAYDISDDQQDITRGKGLVDSVFQAPQNAGTHYAIMSSYEYISTGLKQYNFDNTMSGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGVWGGKGQGKSFQAELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRHDKIATEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWISGIGVEGIGKRLVNSKEGPPEFDQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANQDAITRGAF >CAK8532214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221428787:221433646:-1 gene:gene-LATHSAT_LOCUS1945 transcript:rna-LATHSAT_LOCUS1945 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSSDQTLQDGKLFRHLNSLIVAHLRHSNLTQAATAVASATMTPLNVEAPSNKLLDLVAKGLAAEKDDVSRGTSSSPFQDLGSSLPLPRPSATAIDFSSLADLKGSSKSFPKHETRHLSEHKNVARCAKFSPDGRFVATGSADTSIKLFEVSKIKQTLLPDAKDGPVRSVLKTYYDHTQPINDLDFHPQGTILVSGAKDQTIRFFDVSKANAKRSYRLIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSANVPDNSPNGAINQVRYSCTGSMYVTASKDGAIRLWDGVTANCVRSITAAHATAEATSAIFTRDQRFVLSCGKDSTIKLWEVGSGRLVKQYLGAAHTQLRFQAIFNATEEFILSIDELNNEVIIWDAMTTDIVAKWPSNHVGAPRWLEHSPVESAFISCGTDRSIRFWKEV >CAK8542255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494003946:494009063:-1 gene:gene-LATHSAT_LOCUS11104 transcript:rna-LATHSAT_LOCUS11104 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQGIIDSFNSVFSPQSFDPNSSSSSQTNLTAMEASPSSISNERVAYKLKGYFDLATQEIDKAVRAEEWVLIDDAILHYRNAQRILLEANSTPVPSFITSSEKQKVQSYRQKISKWQGQVSERLQALNRRAGSSFSNQSTSNSNIAQTAAIPSKLSNTTKKVLQKNPQRKDDVNKVPSPKSSQTSGANYDTKLVEMINTAIVDRSPSVRWDDVGGLEKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVTAASLTSKWVGEAEKLVRTLFMVATSRQPSVIFIDEIDSIMSTRTANENEASRRLKSEFLIQFDGVTSNPDDIVIVIGATNKPQELDDAVLRRLVKRIYVPLPNENVRKLLLKHKLKGQSFSLPSRDLETLVKETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRGLRYEDFKKAMSVIRPSLNKSKWEELEKWNEEFGSN >CAK8563934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639941051:639944663:1 gene:gene-LATHSAT_LOCUS17290 transcript:rna-LATHSAT_LOCUS17290 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRLTRVHSLRERLDETLTANRNEILALLSRLEAKGKGILQHHQVIAEFEEIPEENRQKLTDGAFGEVLRSTQEVIVLPPWVALAVRPRPGVWEYLRVNVHALVVENLQPAEFLKFKEELVDGSANGNFVLELDFEPFTASFPRPTLNKSIGNGVQFLNRHLSAKLFHDKESLHPLLEFLRLHSYKGKTLMLNDRIQNPDSLQHVLRKAEEYLGTVAPETPYSEFEHRFQEIGLERGWGDTAERVLESIQLLLDLLEAPDPCTLETFLDRIPMVFNVVILSPHGYFAQDDVLGYPDTGGQVVYILDQVRALESEMLNRIKKQGLDIVPRILIITRLLPDAVGTTCGQRLEKVYGTEHCHILRVPFRDQKGIVRKWISRFEVWPYLETYTEDVAHELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFEEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADQTIYFPYTETSRRLTSFYPEIEELLYSTVENEEHICVLKDRSKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDLEEKAEMKKMYELIETYKLNGQFRWISSQMNRVRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMATGLPTFATLNGGPAEIIVHGKSGFHIDPYHGDRAADLLVEFFEKVKADPSHWDKISHGGLQRIEEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPLAVEE >CAK8530353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16272486:16273853:1 gene:gene-LATHSAT_LOCUS222 transcript:rna-LATHSAT_LOCUS222 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSENKHVVVYVFPIGSHPIPLLSLVLKLAHASPNTFFSFIGTQQSNQPLFTKPNIPSNIKFYTISDGVPEGHVLGFHPIERVNFYLQAGHQNLQNGIDLAVADTKEKVTCIIADAFVVPSLVVAQKLNVPWIAVWPPLSCCLSAHFHTDFIRQKCAENNAKDRSLDFLPGLSKMRVEDLPEDIINVGEDEETLFSKTLASLGEVLPKAKTVVMNFFEELDPPLFVEDMRSKLQKMLYVGFLTLSIPLPPLPPSESDETGCLSWLDKQKGTSVIYVSFGTVVTPPPDELVALAEALEESGFPFLWSLKDHLKGVLPQGFVERTSDVGKIVAWVPQTQVLGHDSVGVFVTHCGCNSVFESISNGVPMICRPFFGDHGMTGRMVEDIWEIGVKIEGGVITKNGLLKSLKLILGKEDGNKMRENAQKVKRTVLDAAGPNGKAAQDFKTLVEIVSS >CAK8539515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514696915:514703918:-1 gene:gene-LATHSAT_LOCUS8601 transcript:rna-LATHSAT_LOCUS8601 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNSPVHNSAEEAIAADESLLIYCKPVEYYNIIYRRFLHNPSFRKKCLSYKIKARRNRRLRAGIVIFNYRDCYNMLRKTEVTEDFSCPFCLMQCASSKGLRLHLCASHDLFNFEFWVTDDYQAVNVSVKIDILRSENVADGVTPQSETFFFCSRPLKRRREKDSVQNGKHANVKFLKLDSPEGTQNGIPQKNNDILSHNGENMSRASHTDLQNEGNGGVKFGPDHPSTTDHLEHVDSSVNITGVSIAMPQSSEDPECGKSIHRSDPALPTKAKKLNADRSDSKNRILLQKRQFFHSHRVQPMAPEQVVSDRDSEDEVDDAVANLEDRRMLDDFVDVSKDEKEFMNLWNSFMKKQRVLADGHMPWACEAFSKHYAEELISSRALHWCWTLFMIKLWNHGLLDACTMNNCSSLLERFKNGGSDTVTN >CAK8538213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471332194:471333089:-1 gene:gene-LATHSAT_LOCUS7437 transcript:rna-LATHSAT_LOCUS7437 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHVSGAAGYIKSFRRSWSPAAIRSALMTTAKLMSAINNHDAEFAYGAGQIDPVKALNPGLIYDAEKMDYIRFLCTLGYNKSVLTKITGNSDSYYNVSYTSARDLNYPSFALKALNPHHIRGTFKRTVTNIGSPSSTYRAFLTFPDGLNISVKPDVLSFSSLGERQTYILTIDGSIKEPIRSASLIWDDGEYQVRSPIVVYDERAEKDRKAPDFNVWAVVIFAVVFVIILILILILVKYKLFTLNI >CAK8579303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690489320:690489698:-1 gene:gene-LATHSAT_LOCUS31258 transcript:rna-LATHSAT_LOCUS31258 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHAFGFGVVAILVTLILALSVPAAVHAQSLAPAPAPTSDGSSVDQGIAYLLMLLALVLTYIIHSADISSTL >CAK8531380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105943276:105944547:1 gene:gene-LATHSAT_LOCUS1176 transcript:rna-LATHSAT_LOCUS1176 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQIFSAAYLSSSLKTVNAAIHLPKLPRVFSIPQLPKTKPLLDDFINVSIDQTIQFEKNNVVLTTPSHCRHNKNTDDIIIKLYAVLEAVSDRVEMHHNIAQQRNNWNTLLLNSINMITLTATTLAGVASAAVTTCSDSSLLALKLSSALLFSAATGLLLIMNKINPSQLTEEQRNATRLFKQLQRQIQTTIAIGNPSEEDVKDAMDKVLALDKAFPLPLLGAMLEKYPAKFESAVWWPSKKGKPQSKKMGKMNNGWSEELELEMKEVVEVIKRKDAEDYNRLGNIALKINKNLAVAGPLLTGIAAIGSTFIGNGSFLAPFVPLLAGSLASAVNTFHHGGQVGMVFEMYRGSAGFFNLLEASIESTLSEKHLEKRENGELFEMKMALQLGRSISNLRELASKSASYRMEGIGDMDEFASKLF >CAK8571900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490943555:490944004:-1 gene:gene-LATHSAT_LOCUS24523 transcript:rna-LATHSAT_LOCUS24523 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKLNPFTKKQPQHVVVSSISNANTKKLWRLPHVFSRMLELPFPSDADVSIEETAQFFRFVTSCNQTNIFLSGGVCAHAIEILPGITKIVIKRLDGGDVVVPGQQERRSSFSLDLWRFRLPPCTQPERVTAVCIGGKLVVTVPKTKG >CAK8576180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:419011167:419013874:1 gene:gene-LATHSAT_LOCUS28387 transcript:rna-LATHSAT_LOCUS28387 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSKTSMSLLLLFILFSTSYLTSHMLHLQRIPSPTTFPPNQPAEKLIKSLNLFPKDPVNIIHGQSFDFVPGKIVEKKFSFFSEDLGHHVGYYSLPRSKAARMFYFFFKSRNSTNDDPVVIWLAGGPGCSSELAIFYENGPFQIADNLSIVWSEYGWDKASNILFVDQPIGTGFSYTSDDSDIPHDESGVSNDLYDFLQQFFSDHPEFVRNDFYITGESYAGHYVPALASRIHRGNIDNEGVIINLKGFAIGNGMTNPIIQYSAYTQYAFDMKLITKEDQDNINKLLPPCQDATKICVNGDRESCSLAFDHCENIINSILFIAGNINFFDIRKTCEGSLCYDFSNVETLLNEKIVRDALGVGELKFDICSKVVYQAMLQDWMRNLEVDIPELLEDGIKVLIYVGEFDLICNWLGNSNWVHAMEWSGQNQFATSKTVQFLVDGVEAGMLKSYGPLSFLKVNGAGHMVPMDQPKVALEMLVKWMQGKLNKTSI >CAK8531118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82187271:82188527:-1 gene:gene-LATHSAT_LOCUS930 transcript:rna-LATHSAT_LOCUS930 gene_biotype:protein_coding transcript_biotype:protein_coding MASILQTSVLTSCSLSSSERVINAAIHLPKLPSISLPPKIQTSRKQQLDHQHLIQENNNNNNITEILHDQQQQQYSNATVQLYAVLEAVSDRVEMHQNIGEQRNNWNNLLLNSINMITLTATTMSGVAAVMSGAGAPLLALKLSSALLFSAATGLLLIMNKINPSQLTEEQRNATRLFKQLQTQIETTIAIGNPSEEDVKDAMDKVLALDKAFPLPLLGAMLEKYPENFEHAVWWPSKNGKAQSKKMGKMNNGWNEELEMEMREVVDVIKRKDAEDYNRLGKIALKINKSLAIAGPFLTGIAAIGSTFIGNANPVAAFVPLLAGSLASAINTFQHGGQVGMVFEMYRGSAGFFNLLETSIESTLSEKDLEKRENGELYEMKMALKLGRSISNLRELASKSASYRMEGVEIDEFASKIF >CAK8572366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532466877:532467580:1 gene:gene-LATHSAT_LOCUS24939 transcript:rna-LATHSAT_LOCUS24939 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAAEDRDNKFLTKAVEEAYKGVECGDGRPFGAVIVQNDELVVSCHNMVLRNKDPTAHAEVIAIREACQKLDQISLADCEIFASCEPCPMCFAAIHFSKIKRLVYGAKAEAAVAIGFDSFIADAQKDTSSPQKPQLEIKKIDGTAAVIAEQVFEKTKGKYALY >CAK8578463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630532145:630533888:1 gene:gene-LATHSAT_LOCUS30473 transcript:rna-LATHSAT_LOCUS30473 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVNGSAVVGCTNVNYELVEQLLGVPLERCDRKGQSLKITWLKRIYGNLTITNESPEEQKIYKTRIYLLLLFGCFLFPDTNGNTIHLQYLPLLEDFREVSNYSWGAATLAHLYRNLCRCAMKNVHNFAGCGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKTLHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSAANDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQPQVLFYPSQNFTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAAIPADDDFNDDLVAQFMNPNNDAGSSTQTQNSEVDRRRSTRNVQAPACGTRQRLRRPG >CAK8536081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905800038:905802231:-1 gene:gene-LATHSAT_LOCUS5482 transcript:rna-LATHSAT_LOCUS5482 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLASTSSNTALGGWTVLQLSKIRSNRTVSSSSSFSLRISATCDDRPHGPSCIYVGPLQTATKETLEALYSQARDAYYRGEPLIVDDMFDRVELKLKWYGSKSVVKYPRCSIRRQSTYADAEEDLSMVFTLASTWTLFFAFGSSACFGPMFYTISLAHQNALNSGLSYGSQGSELGFLFMMNTIIFTALGFVIGYPVASASVKVLQGLWRNDLAALKGSCPNCAEEVFAFVKTDNSNSPHRAKCHVCECLLEFRTEVEQSTSRFGRQWVYGRIYLVRRSRLQREM >CAK8531289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97360004:97360669:1 gene:gene-LATHSAT_LOCUS1092 transcript:rna-LATHSAT_LOCUS1092 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPERGELRRIQREQERERRRIRDRQRRQAMTQEQRERHLARRRRNYQLRRQRAANTNGNASNVNAPFISLPLNPLTLESSAGEASTSDEFQGANSSTSLDYRVLSRGIGIPRYGQETLNLETKVSHGSSVNVETLVYRLDSSPRLRLKQIRHLARNLTGLVVDSAGTDQVAVDLKTNKEVSIGDSSE >CAK8567166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492055689:492057552:-1 gene:gene-LATHSAT_LOCUS20241 transcript:rna-LATHSAT_LOCUS20241 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSAIIVEPLQFQFQFKPLLFNLNPNKRGGFRCKTVKARSFHRGGGGVLRCSHNDKPSFQDDQSPPQEAVLKAISEVSKTEGRIGQTTNMVIGGTVTDDSTDEWVALDQKVNSYPTVRGFTAIGSGGEDFVQAMIVAVESVIQQPIPQGCVKHKLSTMGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >CAK8567183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493070749:493071108:1 gene:gene-LATHSAT_LOCUS20256 transcript:rna-LATHSAT_LOCUS20256 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDIRDLCEEGSNVSRLRNNWQKASQKNRCKRKTTVMEFESDWTQHQKSSDYTAQVISSATSFMPWSGRIPIGEGFHEFL >CAK8560907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56652589:56653218:1 gene:gene-LATHSAT_LOCUS14546 transcript:rna-LATHSAT_LOCUS14546 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVLHPHSETQPLKEIAIDYTPELCSHCPISNRITLTYDHRGGSRWRSTTRFLHGTCTAKIQSPKGNTSGLNFNLYLSSLEGDNSQDEIDFEFLGKDTTIVQTNYFSNGTGNREQIHELGFDSSDGFHEYGIKWGSELIEWLIDGNVVRREEKKEGEGFPEKAMFLYASVWDASYIADGMWAGKYHGTDAPYVCLYKDIHVPLTNAV >CAK8563996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644803521:644804096:-1 gene:gene-LATHSAT_LOCUS17346 transcript:rna-LATHSAT_LOCUS17346 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCFSKEGLNRGVWTALEDKILIDYIKLHGEGKWINLPKRAGLKRCEKSCRIRWLNYLRPDIKRGNISSDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGRKKRKI >CAK8534363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720601796:720602840:-1 gene:gene-LATHSAT_LOCUS3913 transcript:rna-LATHSAT_LOCUS3913 gene_biotype:protein_coding transcript_biotype:protein_coding MQEENDANNISQTQEPTKVSDFDEDSDVDSDELETPQGSEKKFVRDAIKTYATKRNDRKRIVIKCDNECPFHMRFNKRVVNQSWQLVSLTNDHTCHRTAKNKQSKNDWLAKKFISVLSITPEMRPKGLVAESLKKCGVKLSSTQAYRAKKIALELIQGVETEQYSNLRNHAEELRRSNLNSTMMIKCDVSDIGPIFERIYVCLKACKAAFAYTCRPLIGMDACFLKGEYGGQLMAAVGKDRNNQIYLIAYVMVEPETRDSWKWFLNLLLHDMNNLLEISYGFISYQQKGLVLAIVNLGPNV >CAK8578230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613597234:613597677:-1 gene:gene-LATHSAT_LOCUS30260 transcript:rna-LATHSAT_LOCUS30260 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQSHSQSPIPCHDHQQVAGFDWMVLLAAILCAFVCALGLNTMLQCVFQCLGRVLTEPLQWIASRRLNSGIKKKDMVALPTSTYSSHDSASPSSASSCVICLGEFCNGDQIRFLPKCNHHFHVVCIDKWLLSHSSCPTCRNLTRV >CAK8576200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:424027003:424031036:-1 gene:gene-LATHSAT_LOCUS28406 transcript:rna-LATHSAT_LOCUS28406 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGHIFKTQKNSILLRNVTTTFNSISSSPLHQTPFILPTLSQVRLIGNVAESVCGFDEMVKGNDRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTVVVSTDPAHSLSDSFAQDLTGGNFVPVEGVSSPLYALEINPDKSMAEVRAAVQQLGGRGAKSLMQSMGLGAIADQLGELKLEELLHTPPPGTDEILAITKVMQFLESEEYSKFSRIVFDTPPTGHTLWLLSLPEILDGSIGKLIKMKAKLGSVFNSLLGKEQPQNNPLDKLEKLKERVAKVHDLFHNPDTTEFIIVTIPTIMAISESSRLHASLKKESVTVKRLIINQVLTPTSDCKFCSMKIKDQMRAIETIRNDSELAGLRLCQVPLVDMEIRGVPALKFMGDKLWR >CAK8541822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409073577:409076008:-1 gene:gene-LATHSAT_LOCUS10716 transcript:rna-LATHSAT_LOCUS10716 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLLSEQRSELAENQNEKWSSYQYVGRTGSAIPTASLAGTEVSVDEIRSAAAVSSSAGYYPPSLHGALVGSPEPDPAVQALVYQGGYGGDYGEARPESRRETLDEVEIRELLIDHVGHHCCWGSRPARTWKIHAVEDCNVYVGTLDTFIEEREIVKETEPYLGGSIDGKDNGPALSIWELDLRSQFPVLFVPHKEVREKIPHSEIIEKCSGCAGRGGIVCGTCNADQEPGHYKENQMTQCPSCYGRGLIAHKDGSDTICVKCNGKGKLPCATCGSRGLLKCETCNGSGSLLARSVAIIKWKTLSTRKVNATRGAASVPDEVFHRSQGVQLCNTQAYQCTPAFFADSFFLNKFSSEVIAERAQVPTTARVICERHTISVVPVTRVTMSHRRQSFSFYIVGYNRDVYLKDYYPSRYCWGLCPCLEWLKL >CAK8570259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31780150:31781479:1 gene:gene-LATHSAT_LOCUS23023 transcript:rna-LATHSAT_LOCUS23023 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKRHSLESSFSRVEAVKKLENVQRRRLKIRRMKYSCQAKIHVGDDSAGGEEKEFHESVEISLSLASSSSSSEEEDRASKRNDEDEVISYGSVSVIGCRKEMEDAVSVEKGFTVKENQKCDFFAVYDGHGGAQVAEVCRERLHQVVAEEVERCGNDVEWDWERVMEGCFGKMDREIAGNAAVRTVGTTAVVAVLATREIVVANCGDSRAVMGRGGEAVELSSDHKPERPDELMRIEEAGGRVINWNGHRVLGVLATSRSIGDEYLRPYVISKPEVTVTKRSSDDEFLILASDGLWGVMSSEIACQVVRKCFKGKIRRVCDGVGNQTSRAAEAAALLSEIALAKGSRDNTSVIVVDLRGTLTSS >CAK8530623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36164053:36164382:1 gene:gene-LATHSAT_LOCUS475 transcript:rna-LATHSAT_LOCUS475 gene_biotype:protein_coding transcript_biotype:protein_coding MSENNPKTPLPPSSTGDHASTKYSRNKTHINPPETAIPDTATLRDQWKFAIRQYSKWYSHAWGTAILAGTAFFALGWFIKGENPIPSFNSNNNKPSSSHQDKDKPPQPQ >CAK8569856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13753040:13753240:1 gene:gene-LATHSAT_LOCUS22664 transcript:rna-LATHSAT_LOCUS22664 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDRSIIESFGDGGRACITSRVYPLYAIEKDAHLFAFNDGSQSVVISQLNAWSMKQAEFGRESSI >CAK8565873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346163869:346164677:1 gene:gene-LATHSAT_LOCUS19051 transcript:rna-LATHSAT_LOCUS19051 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTMKRKSLATDRDDGKKETKKARASYQGKSSKRISCGICFDSVTVSNMFNITSCNHPFCTKCISKYVKLRINQNAVKVSCPNPECSVELKPQHFQSVLPKQVVIEWESAIYESSISLEQKIYCPYKNCSVMLVNDGVEFVTSCECPSCHRLFCAQCKVPWHADMSCRRFQKSSEGEDEKELDEKFMELAKRKKWQKCPKCCMHVQINGGCEHISCRCGCNFCYNCGKDWEHGHKCNRS >CAK8565874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346163884:346164677:1 gene:gene-LATHSAT_LOCUS19051 transcript:rna-LATHSAT_LOCUS19051-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSLATDRDDGKKETKKARASYQGKSSKRISCGICFDSVTVSNMFNITSCNHPFCTKCISKYVKLRINQNAVKVSCPNPECSVELKPQHFQSVLPKQVVIEWESAIYESSISLEQKIYCPYKNCSVMLVNDGVEFVTSCECPSCHRLFCAQCKVPWHADMSCRRFQKSSEGEDEKELDEKFMELAKRKKWQKCPKCCMHVQINGGCEHISCRCGCNFCYNCGKDWEHGHKCNRS >CAK8541553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:240209776:240214956:1 gene:gene-LATHSAT_LOCUS10466 transcript:rna-LATHSAT_LOCUS10466 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFVSQFVFSIFVIALLFFLNVANAVDHNESSVVDGEEKLQMQSLKNSSMAESSSDALNEHAVDNPEELASMVDTTIRNHTERRNLNFFSCGTGNPMDDCWRCDRRWSFRRKRLADCAIGFGRNAIGGRDGKYYVVSDPKDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIAFGACITIQFITNVIIHGVHIHDCKPTGNAMVRSSPSHFGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVILLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPQNPFAKEVTKRVDTGSGIWKGWNWRSEGDLLLNGAFFIPSGAEAGASYARASSLGAKSSSLVGSLTSNAGVISCRRGGMC >CAK8579336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692275150:692277751:-1 gene:gene-LATHSAT_LOCUS31289 transcript:rna-LATHSAT_LOCUS31289 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLCKSASRAARSLLSASFHSQGRALAAAAAVASIRNVPVFASNYRRTGSGNGSTSWIAGALALPAAAYMLQDQEVHAAELERTFIAIKPDGVQRGLISEIISRFERKGFKLVGIKVLIPTKEFAQKHYHDLKERPFFNGLCDFLSSGPVIAMVWEGEGVITYGRKLIGATDPQKSAPGTIRGDLAVVVGRNIIHGSDAPETAKDEIKLWFKPEELVSFTSNSEKWIYGDN >CAK8560240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11524107:11526891:-1 gene:gene-LATHSAT_LOCUS13930 transcript:rna-LATHSAT_LOCUS13930 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRYDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8572620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551576291:551577959:-1 gene:gene-LATHSAT_LOCUS25163 transcript:rna-LATHSAT_LOCUS25163 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLVLTYFIVALVVVVVQPGNGAGFVERKGTHFYLNGKPHYVNGFNSYWLMNLATDPSTRSKVTSTFQQASQNGLNLGRTWAFNEGFLQTSPGSYDENAFKGLDFVISEAKKYGVQLILSLVNNWEEFGGKIKYVQWAKGRGQNVINDDDFFTHPVVKEYYKNHVKTVLTRENTINGILYKDDQTIFAWELMNEPRLNGSGKSIQNWISEMASYVKSIDNNHLVEIGLEGFYGETKQQFNPDSLLVGTDFISNNQIPQIDFATIHLYPDQWLLGSNEANQSAFVDKWIEEHIQDSKNVLGKPIIVAEFGKSSKSPGYSIDARDDYYKKIYNIISASATSGGSCAGGIFWQLLSQGMGSYGDGYEVILENSPSTAQIIKQQSTKMSNIKI >CAK8531442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110580999:110582618:1 gene:gene-LATHSAT_LOCUS1235 transcript:rna-LATHSAT_LOCUS1235 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPILFSLSFFLLTVFYTSLAAPPASESLHTTFLNCLKQNNTDPSISNIVFPQSNPSFSTVLQNYIRNARFNTSSTSKPLIIVTPKQTSHVQSTVICAKTVNIQIKIRSGGHDYEGISYISNQSPFIILDMFNLRTINVDITNEVAFVQAGATLGEVYYRIFEKSKVHGFPAGVCPTVGVGGHFSGGGYGTMLRKYGLSVDNIIDAEIVDVKGRLLNRKSMGEDLFWAIRGGGGASFGVVLSYTVKLVAVPETVTVFRIEKTLEQNATDLVVQWQQVAPTTDNRLFMRLLLQPISSKTVKGTKTVRASVVALFLGGAEELVGILGKDFPLLGLKKTDCLELSWINSVLWYNNADEFKNGAKPESLLDRNLNSASFGKRKSDYVQKAIPKDGLNLIWKKMIELGKVGFVFNPYGGRMDEIPADATPFPHRAGNLFKIQFSVNWDDPAPNATLNYLNQAKSLYSFMEPYVSKNPRSAYINYRDLDIGINSFGENSYEEGKVYGAKYFNNNFDRLVKIKTAVDPDNFFRNEQSIPVLAGKV >CAK8565258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:85368275:85419445:-1 gene:gene-LATHSAT_LOCUS18486 transcript:rna-LATHSAT_LOCUS18486 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSQQEEHFDVLTKDGVKTGITKPRGQVHRDGDYHRAVHVWIFAESTHQLLLQRRASCKDSWPNLWDISSAGHISAGDSSLVTARRELEEELGITLPKDAFELIFVFLQECVTNDGKFINNEYNDVFLVTIIDPIPLEALTLQETEVSAVKYIAYDEYKRLLAKEDSDYVPYDVHGQYGQLFDLIEKRYKENTEARSLTLQKQLSRYAPVSLNVELTGLTDSDKEALLFIVKAASVMDEIFSLQSWYSNPALREWLKEQADTSELNKLKWSYYQINKSPWSCLDDDEAFLTTADSAIRLLSKAARTVREWKGLEYRAAFPILKPAGANFYPPDMDKMEFNLWNDSLAKDQQTEAASFFTVIKRHSEFILDSGLSNDKVASSKDLYIVPYSQEYKSLLVKAAKLLHKAGDITNSTSLKKLLHSKADAFLSNDYYESDIAWMELDSKLDITIGPYETYEDKLFGYKATFEAYIGIRDDEATAQLKLFGDNLQLLEQNLPMDNAYKSKYVSAAPIRVIQLLYNSGDVKGPQTLAFNLPNDERIVKDRGTSMVMLKNVSEAKFNHILLPIASACVAEEQQELVDFESFFTHTICHECCHGIGPHTITLPNGKESTVRLELQEFNSALEEAKADIVGLWALRFLISQDMLSESLLKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLYEKGAFVLHSEDTFSVDFSKIEGAVEGLSREILTIQAQGDKEAAGLFLKKYGVMTEPLEVALEKLENIQVPVDVSLTFPVADKILQ >CAK8569980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18982097:18982453:-1 gene:gene-LATHSAT_LOCUS22773 transcript:rna-LATHSAT_LOCUS22773 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFIIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >CAK8544847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708788892:708789020:-1 gene:gene-LATHSAT_LOCUS13494 transcript:rna-LATHSAT_LOCUS13494 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDSASVLPGSDAFFASTLRYIQFQKQKGGILGEKYDSIKV >CAK8564157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654676342:654677423:1 gene:gene-LATHSAT_LOCUS17486 transcript:rna-LATHSAT_LOCUS17486 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFHRGNNGLIICNFMIFALSLFPNLSYLHYCICYK >CAK8567543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523716529:523723754:1 gene:gene-LATHSAT_LOCUS20589 transcript:rna-LATHSAT_LOCUS20589 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNNFVPNGVLSSSEETFVARVLEGKRWSQIEDRTTELLQCVHLNHKSEALRNNIISYLKGLITSNVPCQVFEFGSVPLKTYLPDGDIDLTVFGINQILPENSIHEILQIIQNQKENEFAEFRVKEVKLVQAEVKIIKCLIENFAVDISFNQLSGLCSLCFFEEINHLIGHHHIFKRSVILIKAWCYYESRLLGSNSGLFSTYGLEILVLYIFNLYNTDFAGPLQVLFRFLEFFSKFDWENYCISLSGPVPKDSLPNMIAESPRKDCRSQDLLLNELFLNACKTCYGNMPRSQENHERHFVTKHIDIIDPLCADNNLGRSISKGSFFRIKNAIAFGAKRMLRILECTDENLIAEFDYFFKNTWNRNGNGYWIHVSVYNLYIRHRKFGKPTRQESEDEQALASCEYQYNNQKPGNQLSDVGLHKEEPDCLNKTESRRNLQVSGYLHALMGQSAAKEEPGVGNMTENSRIEYLEAVKGAKSENNEVVRQQKEEHHLESTTESCTSLMSNGYLQALLGVKKSDASFSSPPPKISFSLDVVQFPELQEFPRGKRSLNMVQDSVHPPAVIITDSIVMNPEICKNTPASDSTSETTLSSLPLKPTYPQEVLCPSTENANIFRHENTTAESDALNVHALQSPYASGSSEIEFPFSISNSSHVEASDYLGNQFSDIFNGDFLKYWTNLQYGRYCENGPLEEPLLYPPTFELPVDFQEQYHLNRRPSSSTSAVAPVVPDMPPTLASDSHEGVNQNVSFWLPRFSGGTGSFMPNPLAYDQRYYDRELYNKHQIANYRSERPGNSNFDSRGASRSNNVPRNLNSNFRERFPSRSQKYYRPREKPEDKKKALHQGEGSSSAVNELKNFEKGSKDISPHQP >CAK8567544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523720970:523723754:1 gene:gene-LATHSAT_LOCUS20589 transcript:rna-LATHSAT_LOCUS20589-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAESPRKDCRSQDLLLNELFLNACKTCYGNMPRSQENHERHFVTKHIDIIDPLCADNNLGRSISKGSFFRIKNAIAFGAKRMLRILECTDENLIAEFDYFFKNTWNRNGNGYWIHVSVYNLYIRHRKFGKPTRQESEDEQALASCEYQYNNQKPGNQLSDVGLHKEEPDCLNKTESRRNLQVSGYLHALMGQSAAKEEPGVGNMTENSRIEYLEAVKGAKSENNEVVRQQKEEHHLESTTESCTSLMSNGYLQALLGVKKSDASFSSPPPKISFSLDVVQFPELQEFPRGKRSLNMVQDSVHPPAVIITDSIVMNPEICKNTPASDSTSETTLSSLPLKPTYPQEVLCPSTENANIFRHENTTAESDALNVHALQSPYASGSSEIEFPFSISNSSHVEASDYLGNQFSDIFNGDFLKYWTNLQYGRYCENGPLEEPLLYPPTFELPVDFQEQYHLNRRPSSSTSAVAPVVPDMPPTLASDSHEGVNQNVSFWLPRFSGGTGSFMPNPLAYDQRYYDRELYNKHQIANYRSERPGNSNFDSRGASRSNNVPRNLNSNFRERFPSRSQKYYRPREKPEDKKKALHQGEGSSSAVNELKNFEKGSKDISPHQP >CAK8577340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556086432:556096852:-1 gene:gene-LATHSAT_LOCUS29454 transcript:rna-LATHSAT_LOCUS29454 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFPKFIISLLLVLLFSFSSSSKPLDPFLGIAPQDLKYFKSHDVIRCKDGSANFNKEQLNDDFCDCPDGTDEPGTSACPRGKFYCQNAGHAPVYLFSSRVNDGICDCCDGSDEYDGQAKCPNTCWEAGKVARDKLKKKIATYQEGVKLRKQQIEQAKLSMEKDGAELSKLKSEESILKGVVKQLEDHKEQIEKIEEKERLQKEKEEREKKEAEEKANEKTVKADEEDTKHENEAETDSHIEDNTVASNHDKTEVQEDSLADQGEAGEKLADPLDNFVEATDALGSEGSPLNKVEENAKEAEREPTVESEIDLMTGKTESSGEAIDTGKDVFENTDGLSKEELGRLVASRWVKEDVGARSAEADTALDNEYQEDLLHGTTNGESEGYASETDDENHKYDEDVNDDEFQDDDNDDISSSYKSDTETEPDLSDVTTTDDPSWLEKIQKSVWNIFQSVNIFQTPVNQSDASRIRKEYDESSTKLSKIQSRISSLTQKQKLDFGPAKEFYSFYGRCFESKQNKYTYKVCPYKQATQEEGHSTTRLGSWDKFEDSYKVMVFSNGDKCWNGPDRSLKVKLKCGLSNEITDVDEPSRCEYVALLATPALCQEEKLKELQHKLDLLNSEQPESHDEL >CAK8575659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237234312:237234923:-1 gene:gene-LATHSAT_LOCUS27902 transcript:rna-LATHSAT_LOCUS27902 gene_biotype:protein_coding transcript_biotype:protein_coding MWMAGLTLAFVISIALAQKVRGYTGLPLGIWHLATLPISPSYSTDAGSLSDWVKEMGKCLDEEQLELMLVVAWALWSDRNLLLFQDIQNYLVDMVNKAISFF >CAK8574520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1507428:1507982:1 gene:gene-LATHSAT_LOCUS26860 transcript:rna-LATHSAT_LOCUS26860 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFCSKGGDVELWSEIIMGSSNDRIFKKNLMGRGQDIGGAKITNLAFGGSRMFLTRKDLQCVEVETILQLVGFAAIIQFKTRKQD >CAK8573866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643081711:643083482:-1 gene:gene-LATHSAT_LOCUS26266 transcript:rna-LATHSAT_LOCUS26266 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVKISKLKLGIPILCLCTIFFFFGLFVSPIIFQNFVDERPHFRILQDSVKKEYDPIQHGKSGESIVESIPFQILSLNPRVVYFPNFTSAETCEQIIEMAKPVLEPSKLALREGEDEESAKGARTSSGVFIGASDDKSGILDIIETKIAKVTMIPKTYGEDFNILRYEVGQKYDSHYDAFDPAEYGHVDSQRIASFLLYLSNVEMGGETMFPYEDGLNVDTNYDYQKCIGLKVKPQQGDGLLFYSVFPNGKIDKNSLHGSCPVIKGEKWVATKWINDEQQHQYY >CAK8579187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682656647:682659226:-1 gene:gene-LATHSAT_LOCUS31153 transcript:rna-LATHSAT_LOCUS31153 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYADRMSQPSRAILIFCKVNGIEFEEIHIELSKRQHLSPEFQAINPLKKVPAIVDGRYKLFESHAILIYIASAFPGVANHWYPADASRKAKIHSVLDWHHQNLRRGAAGYVLNTVLAPLLGLPLNAQAAAEAEKVLVSSLSTIENIWLNGDGPFLLGSFRPSIADLSLVCEIMQLQLLDEKDHDRIIGPYKKVQLWIESTKNATKPHFDEVHNVLYKLKARLSAQQSSKADGQIKSGIKAPIFSKM >CAK8535115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815017985:815020491:1 gene:gene-LATHSAT_LOCUS4592 transcript:rna-LATHSAT_LOCUS4592 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVVEIPPPIFKHTPRFKKHKQSIFHDVIDIVDDSENDGDDLMILGEVTRKRSKGKTPEALHEGYGDNHLVARGVEKSGTVSGIGSSNRQPSVSHNVIDVDEYALLQAQFDNAKQGAGSSSSSFHSNFVGHDGSLYSSGVESGYIWSNSSYNFNATPMHVHGSTSALESGRDVHNGAAAVLPQFTIIDKAENETLRKLRSFKQFDTVVDTSDHHFINHNSSTKQNPKSWAKKIQEEWKILEKHLPDTIFVRVYESRMDLMRAVIIGAEGTPYHDGLFFFDILFPSGYPNVPPKVHYHSGGLRINPNLYNCGKVCLSLLNTWAGSTKEKWTKGVSTMLQVLVSIQGLILNTMPFFNEPGYEASRGTPKGEMQARQYNENTFILSLRTMMYTIRKPPKNFEDLVVGHFYSRAHDILASCKAYMEGVQVGCSVKGGVQDIDGSKGKICLPIFKSGLVGCVPSLIKEFENVGVKDCEFGNVGVKDCEKLTSRVRRSTTSRK >CAK8579676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715596696:715599434:1 gene:gene-LATHSAT_LOCUS31603 transcript:rna-LATHSAT_LOCUS31603 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMEMERSDGN >CAK8540391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557522665:557523042:-1 gene:gene-LATHSAT_LOCUS9397 transcript:rna-LATHSAT_LOCUS9397 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSKNANPNPAKGYAEGPPAMAPPPQYALPPPKSQSTEFFEFCITALCCCCVLDGCFCDPSVLCMSCC >CAK8565334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:136502061:136502429:1 gene:gene-LATHSAT_LOCUS18556 transcript:rna-LATHSAT_LOCUS18556 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVIGILSPKKQV >CAK8578516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634663059:634669240:-1 gene:gene-LATHSAT_LOCUS30521 transcript:rna-LATHSAT_LOCUS30521 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMVPKSITELGVDDFVNAGLSPVEANQFKELLLSLSLSSDDDPAHTWRRIVSRRLLKPSYPHPLHQLIYYTIYSNHHSSSSLPPLYWFPSIEQARHTNLGRLMEAHGSELLGASSYKDPITSFPLFHKFSVQHPEVYWSLVLKELSISFVEPPSCILDTSSNPSKHGGTWLPGSVLNIADCCLQPSSHPNKQDDGIAIVWRDEGFDDSEVNRITLKQLRQQVMLVANAIDVNFSKGDAIAIDMQMTVNAVIIYLAIVLAGCVVVSIADSFAPKEIATRLRVSDAKGIFTQDFIARGGKKFPLYSRVVEAAACKVIVLPVIGNDVGVKLREHDVSWNSFLSSGKHHPRSHNYSPIYQSVDSVTNILFSSGTTGDPKAIPWTQLSPIRSAADGWASINIQPGDVYCWPTNLGWVMGPTLIYSCFLSGATLALYHGSPLGHGFGKFVQDACVTILGTVPSLVKTWKSSQCMESLDWTKIKTFCSTGETSNVDDDLWLSSKSYYKPIIECCGGTELASCYITGSQLQPQAFGAFSTASMTTGLVILDENGVPYPDDVPCVGEVGLFPVYLGATDRLLNADHEEIYFKGMPLYKGKILRRHGDIIKRTVRGHFVVQGRADDTMNLGGIKTSSVEIERVCDRADECVLETAAVSVAPANAGPEQLVILVVLKNGYNSDAETLKKIFSKAIQTNLNPLFKISLVKIVPMFPRTASNKLLRRVLRDQMKHELSVHSRL >CAK8537331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:272878231:272878824:1 gene:gene-LATHSAT_LOCUS6628 transcript:rna-LATHSAT_LOCUS6628 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDINGFNYIAPLENSFQIPQNYVLPQSEIPNFHLNNLLSTLPNYHYPSAAAGHEFAPPSCLSSNSTTSDEADELQFNNVIDERKHRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHNLVDKLNHVSESHDRVVRENARLKEETFDLRQMVADMQIGNSFPGNMEDFSEIPGTTTSQLNDDDSSKPINST >CAK8568670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:630453068:630454041:1 gene:gene-LATHSAT_LOCUS21608 transcript:rna-LATHSAT_LOCUS21608 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFQHLIIILFSSLLLHFSQADVGTASHYGPPFLPTACFGGGASQFPSTNMFGSAGEGIWDNGAACGRLYEVRCISAAVARTCIPGQTVQIKIVDRAQSSVSRPSTDDTSMVLSTTAFQVIANVSSPLINIEFQQV >CAK8532641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:338823499:338824395:1 gene:gene-LATHSAT_LOCUS2324 transcript:rna-LATHSAT_LOCUS2324 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHNFLFFITSMVMPRGTVAPLLLKWFVSRDVPIGAPFSNGTIIPILIPSFPLLVYLHSRKFICSMDGAKSGVLVRASRPILLPDIIGRSASETRVGNASFRFVLVLHFLLIESKGDFSYLEYFYGVLCLLFFRTLFSLLCNRPAKRERARRRIHQILRPNPNGNEQQRNDKMGCFGHPYLERRVEGFGPVAFPVPPLSSGACVEGVPPEPEIELEALALPTSRQLMAVGHDYHQKAPMKMNISHFGVCICMLGVLLSCDPVAYVRLVAHASYLFGAGGLNSNSIRMFNPAAEMLC >CAK8540446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561453988:561457555:-1 gene:gene-LATHSAT_LOCUS9449 transcript:rna-LATHSAT_LOCUS9449 gene_biotype:protein_coding transcript_biotype:protein_coding MEESIFVFLSLLLLSSVLQRVHSHGNHPLSKLAIHKSTFSLLHLAHIKATPSLLGLQGKTSEWITVEYSSPIPSIDDWIGVFSPANFSDSTCPKENGRVYPPLLCSAPIKFQNASYLNPQYKTTGKGLLKLQLINQRSDFSFGLFSGGLSTPRLAAVSNKIAFANPNAPVYPRLALGKTWNEMTVTWTSGYGISDAEPFVEWNPKGGDHVHSPAGTLTFTRDSLCGAPARSVGWRDPGYIHTSHLKELWPNRIYEYKIGHRLNNGTYIWSKQYQFRAAPFPGQKSLQRVVIFGDMGKEEVDGSNEYNDFQHGSTKTTVQLIQDLENIDMVFHIGDISYANGYLSQWDQFTAQVEPIASAVPYMIASGNHERDWPGSGSFYGNMDSGGECGVLAETMFYVPASNRAKFWYSIDYGMFHFCVADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSCICYAEEGSFAEPMGRESLQKLWQKYKVDIAIYGHVHNYERTCPIYQNICTSEEKHNYKGTFNGTIHIVAGGGGASLSTFTSIKTKWSIFKDYDYGFVKLTAFNHSTLLFEYKKSRDGKVYDSFKISRDYRDILACTMDSCPSATMAS >CAK8544431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683787114:683788649:-1 gene:gene-LATHSAT_LOCUS13110 transcript:rna-LATHSAT_LOCUS13110-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITTPHLNYMINAHIDNNNVKQAHKLLDENPLCCNIVSWNMIMTAYVQHNQIGLAHNLFDKMPHKDAVSWNIMLSGFHRARNSEGLYSCFLQMGRAGVVPNDYTVSTLLRAVVSTELDVLVCQVHAIAFHLALNLNVFVGSSLIRAYAGLREEEALSKAFDDILMKDVTSWNALVSSYMELGRTVDAQTMFDLMPLRNIISWTTLVNGYVKNKRVNKARSVFDKMSERNVVSWTVMISGYVQNKRFMDALELFALMFKAETRPNHFTFSSVLDACAGCSSLLMGLQVHLCIIKSGIRNDVIWLTSLVDMYAKCGDMDAAFCVFESITDKNLVSWNAIIGGYASHGLAARALEEFDRMKIVGVKLDEVTFVNVLSACVHAGLVEEGEKHFADMLTKYGMQAEMEHYSCMVDLYGRAGKFDEAEKLIKNMPFEPDVMLWGALLAACGMHSNLELGEYAAERIRKLESNHPISYSMLSKIQGEKGIWSSVNKLRDAMKERGIRKQKAISWAE >CAK8544430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683787114:683788697:-1 gene:gene-LATHSAT_LOCUS13110 transcript:rna-LATHSAT_LOCUS13110 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLKRLWLQPPCSRNMGTITTPHLNYMINAHIDNNNVKQAHKLLDENPLCCNIVSWNMIMTAYVQHNQIGLAHNLFDKMPHKDAVSWNIMLSGFHRARNSEGLYSCFLQMGRAGVVPNDYTVSTLLRAVVSTELDVLVCQVHAIAFHLALNLNVFVGSSLIRAYAGLREEEALSKAFDDILMKDVTSWNALVSSYMELGRTVDAQTMFDLMPLRNIISWTTLVNGYVKNKRVNKARSVFDKMSERNVVSWTVMISGYVQNKRFMDALELFALMFKAETRPNHFTFSSVLDACAGCSSLLMGLQVHLCIIKSGIRNDVIWLTSLVDMYAKCGDMDAAFCVFESITDKNLVSWNAIIGGYASHGLAARALEEFDRMKIVGVKLDEVTFVNVLSACVHAGLVEEGEKHFADMLTKYGMQAEMEHYSCMVDLYGRAGKFDEAEKLIKNMPFEPDVMLWGALLAACGMHSNLELGEYAAERIRKLESNHPISYSMLSKIQGEKGIWSSVNKLRDAMKERGIRKQKAISWAE >CAK8563314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591986006:591990777:1 gene:gene-LATHSAT_LOCUS16738 transcript:rna-LATHSAT_LOCUS16738 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDSEKRFRSIMDKLFHSSKSSSNNPSFSNSSSSGVQLSSSRGKKRGFQSIVSGSGGVMDRRGDDQLSSATAAESQGHLCRPWDRADFMKRLATFKSISWFAKPKKVSAVNCARRGWINVDVDTISCEECGARLLFSTPASWNHQQVEKAALVFSLKLDNGHKLLCPWIDNACSETLARFPPISPPALVDNFKERCSALLQLSALPRISSSVIDHMQSPFLDDFLGQSLMQECGNESAGNSGIEDVGSQEELKLYYQAQRLISLCGWELRYLPYAVDCKNVSGQSHKNSTILYCPQVVTDAKNDNLIVSSADNNESSKMDENSKYSIGEQMDPNSAVLDCSLCGATVGLWAFCTVLRPVQSIRLVGYTEVNGENDLESRQEGVNNAMSDVATSSKDTPSSLNMTIAGGPPPTKQNFKAIISLPVIGQNLRARLSYDYDIRDHFFVDRGSSQSASHETRIQEKTDNTVNASIGQLVPISSEIREISNCETDSRASIHDSVVDNALEGTHSAEQSSSFKDKMPIQAKTDGLKISSVGNPSGSQKNLAEGEALSVSHKTKEANNVETPGLKERVENPTNSEDVHNSLGKFKNPTLSEKAMEFDPIRQHRHFCPWIASEDDGEPGWKQTLSALYRPKEHSPHSPNTSPSSMPIIKVDDPIGSIRKLFKSPPTRRMKLTHISNQNVEHG >CAK8578934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662822797:662823425:1 gene:gene-LATHSAT_LOCUS30912 transcript:rna-LATHSAT_LOCUS30912 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASALASESVGYSGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVEGHGLKLTQRVPLQLTREMESWISRSDLTSLQRTSLTKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWVVDYLGVSQRITQQQVRECRGSYYKVE >CAK8543120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580001848:580004267:1 gene:gene-LATHSAT_LOCUS11902 transcript:rna-LATHSAT_LOCUS11902 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSGFRILLSSKSRSLTTTAVAKSWWSASYSSSAAVASDESLESGAYLKDYADYRRSLYGQITHKALLVDAVGTLVLPSQPMAQIYRQIGEKYGVEYSEEEILDRYRRAYSQPWGKSRLRYVKDGRPFWQYIVSNSTGCDDSQYFEELYNYYVTDKAWHLCDPNAGEVFKALRKSGVKLAVVSNFDTRLRPLLRALNCDEWFDAVAVSAEVAAEKPNPTIFLKACELLDVKPEDAVHVGDDRRNDVWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >CAK8570663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83944693:83945388:-1 gene:gene-LATHSAT_LOCUS23399 transcript:rna-LATHSAT_LOCUS23399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps2 MTKRYWNITLEEMLKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKTARFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTETRLRKFRDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVIDTNCDPDLADLPIPANDDTMASIGFILNKLVFAICEGRSS >CAK8531918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:176512293:176512733:1 gene:gene-LATHSAT_LOCUS1675 transcript:rna-LATHSAT_LOCUS1675 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFKVIFYTKGYFVKDTMLKYEGGEVYAFNGQDNESWSFFEACDLIKGMDHGFEYNNVKMWWKHGDGTLKNALRPFRDDGEVEIYTEAKPSIGNETFMENARKKNKDKICEDEVDLNTDEGSSDEDVKDVKFEDSEEERTKGFDE >CAK8578141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609519875:609521584:-1 gene:gene-LATHSAT_LOCUS30180 transcript:rna-LATHSAT_LOCUS30180 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVSRDFVFSVSVLLLLFATPCLGSFVKTENKIKSAVFLSPKFELGPGSVINRYYYGIDFPKGHIALKSFNAEVVDEAGNSIPLHETYLHHWVVARYHQSKHVTHTENDSHRMLHNSNHVFVRNSGICQGNVLGQYFGLGSETRGTETRVPDSFGIEIGNPEEVPEGFEEKWMLNIHAIDTRGAEDKIGCTECKCELYNVTVDEYGRTIRPDYVGGLLCCSDYAQCRLKEGFEGPKRNLYLRYTVKWADWDDSVVPVKIYIFDVTDTLKLSDNSEGINSDHNCKIEYRVDSCSTDRKEGNGCVHVKKTSLPLQTGGYVIYGGAHQHSGGIGSTLYGQDGRVICSSIPSYGNGTEAGNESGYIVGMSTCYPKPGSVKIIDGETLTLESNYNSTKEHTGVMGLFYILVAEKLPYQPLRHSIRSSFFMDSNNMLLDS >CAK8571835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482250796:482251455:-1 gene:gene-LATHSAT_LOCUS24461 transcript:rna-LATHSAT_LOCUS24461 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDATPTKDNLPTSYYDAKRLVSKLGLKVRKIDCCINGCMLFYDNEFGINDEALEECKLCSSLRYQVRSKTINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTCSGIMWHPSDGEAWKHFDRVHFDFAGEPRNVRFGLCSDGFTPYVQASAIAYSCWLVIVTPYNFPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRL >CAK8534067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690819780:690822253:1 gene:gene-LATHSAT_LOCUS3640 transcript:rna-LATHSAT_LOCUS3640 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLISIASSNVPPPNVIQTNYPDSLESISPRQQPPPRSREAMNDEPLPNVPNAKLRLMCSYGGHIMPRPHDKSLFYVGGDTRIVVIDRSSSLKDLCSRLSRTILNGRPFTLKYQLPNEDLDSLITVTTDEDLENMIEEYDRIASASSTMKSSSRLRVFLFFTKPESTLSMGSLLDDAKSETWFVDALNNSGILSRGVSDSAGDSFVNLDNVPASDSSNNLEAQGVAVVESLNLLENNNNNVKNVFDVANVVNSTPGSPMLENSPSSSSLSSSMANLPPIRVRVDETGGSRLQQENKVGMKQDDGFVVSSANVAMAAIPATLTMASAGVVTTTITNDVNMNRVVSDDERSDYGGVTGARKPPLPLQLVQPRTSGGLSFPSPDSVTSDNSSIASTNSFSKIIYHQEQVQVQVQPTNIDNKPQDSSYILPQQLDQNQQQFVHSNTHYIHHPTSTNQVPISSYYQVYPPQSQQQQQLHNPIGQQQYPIYVMPIGTTQQQPYNMTLQHTISDPNVVSSIRPLIPQSGTPPIYPSKSPNPNVPPNSTFVQVPSNQFQQQYVGLPQFHHQPQHPINVAPSSGTNYGYEYGGNVEGQVYYTQQTTNAPLVTQYQSMTPAAAAAALSDTSQQFPADNVQQPNRTSQPV >CAK8533803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661327169:661329859:-1 gene:gene-LATHSAT_LOCUS3399 transcript:rna-LATHSAT_LOCUS3399 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNEVHSVGEFSLDAKWIIDPKQLFVGPKIGEGAHAKVYEGKYKNQNIAVKIINKGETPEEISRREARFGREVAMLSKVQHKNLVRFIGACKEPVMVIVTELLLGGTLRKYLLSMRPTCLDMRVAVGFALDIARAMECLHSHGIIHRDLKPDNLILTGDHRTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNTRPSAEELPEDLAMIVTSCWKEDPNDRPNFSQIIQMLLRYLSTISPSEPVVPMRMASSDNIVLPPESPGTSALMFRRDDPGETPKANVEDRSKGYFFCFNRCY >CAK8579487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702761586:702762155:1 gene:gene-LATHSAT_LOCUS31431 transcript:rna-LATHSAT_LOCUS31431 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSKTYWVDKDDDVTNSISNGFMTEDLMSSYFSPSEMDDDDDQEESTSSTSNLSSSSSSNLNGPLYELSELMNHLPMKRGLSMFYQGKAQSFGSLARVESIQDLPKKEKVNYKNKVKSCKNFGLCTPKAKIAKKSSRGSSLSVTISGRRSFVGESS >CAK8570538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57210573:57211785:-1 gene:gene-LATHSAT_LOCUS23278 transcript:rna-LATHSAT_LOCUS23278 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGSVDDLLDFSSDIGEDDDDNTKKAFPSLKPKCGDPLSLDSLGLADPNSFSECAEEELEWLSNKDAFPAVETFVDLACIQPDIMKYKRTAPMLENSTSSSNSNNSSNSITLLSGYNHIKFPVRARSKSRSKPRLGLAYTSNQHFSWRIPSNKVSKEQAVQTLTIGRKCNHCGAESTPQWRAGPKGPKTLCNACGVRYKSGRLVPEYRPANSPTFRSDVHSNSHRKVLEMRKHKGMG >CAK8573531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618101904:618103859:-1 gene:gene-LATHSAT_LOCUS25967 transcript:rna-LATHSAT_LOCUS25967 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPCCEKHGVRRGAWTPEEDQALADYINKHGHGSWRTLPKHAGLLRCGKSCRLRWINYLRPGIKRGPFTNEEETTIIQLHTMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRVPRSLGEKHSCLNSDRNVQSNAPSTNHMVQWESARVEAEARLSIESTLHNSGSTTKTCPDYFLKLWNSDVGQSFRMIKGKEELASHSLVSQASASSLSSAKLDESCSDVSSQVKNTQSLKVSTTPKLEIANMNQEQNMPRKQTLSSYKPKLGDDRAGSDSGNYEFLDTSDSALKHLLHMPDDIEFLGHTDNFLNILDGRYD >CAK8577158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540986815:540990622:-1 gene:gene-LATHSAT_LOCUS29287 transcript:rna-LATHSAT_LOCUS29287 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVYTEPLKIHNPSLNLCHRTSWQMLQKSPTSPWNFATRSSKRRGFGRVKVATDQDSFSTSDTVGAEDYYAVLGLLPDATPEQIKKAYYNCMKTCHPDLSGNDPETTNFCTFINEVYEVLSDPVQRRVYDDIHGYSLTSINPFEDDSSPKDHVFVDEFSCIGCKNCANVACDVFAIEEDFGRARVYSQCGNPDLIQQAIDSCPVDCIHWTSAAQLSLLEDEMRRIERVNVALMLSGMGSAISDVFRMANTRWEKRQLNFLAQARMRMMKQKGYDKANSYWDNLRGKPRDYESSEEEAKERAKRAAAAARKWREYSRKGVSKSPSVKLPEATSTKDK >CAK8573256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598337934:598338662:-1 gene:gene-LATHSAT_LOCUS25726 transcript:rna-LATHSAT_LOCUS25726 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDFYVDFHHGGYFVENKYVGGEMSNWKCDDDRWCCFEILGVVKEMKYPIVQEMLYDFAGTLKALEDDFGALEALHWSKTKGKVNIYIIHPIEEPDLDVSLPETQPENNVEDCMDQGVEDNVEDYEDQGVEDNMEDCGDQGVEDNIEDCHYYQGVKDNMEDCGDEAFEEIVEDCRDQASEDNVEDNMDQDEEQNLDACVEQTGDHHEEENVDHHEEENVDQSVNHHEEENMDQSMDQLEE >CAK8572114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511863821:511866016:1 gene:gene-LATHSAT_LOCUS24713 transcript:rna-LATHSAT_LOCUS24713 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQTVILHMLDIAPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMTKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEYAPSIPEKNITCLTRLDHNRALGQISERLNVEVSDVKNVIIWGNHSSSQYPDVNHATVKVSSVEKPVRELVADDAWLNAEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTTRNGEWEIVQGLSIDEFSRKKLDLTAEELSEEKALAYSCLS >CAK8569532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4275353:4282707:-1 gene:gene-LATHSAT_LOCUS22374 transcript:rna-LATHSAT_LOCUS22374 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMMGLRSLFRSLNSSRSYSSRAFTAAAAATTNLRALSSPRFLNPLSPSSECRSPFSNGVGSLRFYSEDVSHVPEIKDAELYSVFKDLLAENWSDISDAVVSDAKHALAKSTEDEAGKEVVTNVFRAAQAVEEFGGILVTLKMEIDDSIGMSGENVKPLPDHVNKALHTIFDRYTTYLNAFGPEENYLRKKVESELGTKMIHLKMRCSGLGSEWGKVTVLGTSGLAGSYVEQRA >CAK8535786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882154825:882159389:1 gene:gene-LATHSAT_LOCUS5209 transcript:rna-LATHSAT_LOCUS5209 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGRQGLRSSATGVHHQRQYSENFLDGASTGNRWLQSAGLQHLQSSSAVNPLQDYNLYGGGGAQGGRMYRNVQRSFNGGGSDYYMEPASPPDAYRGASTLKLSGEDSTGDFSPGLLDLHSFDTELLTEIPTSNAYESNSLYRGRSFDDSEPYMSKQTAKARAPDNAVKSIPSDKEKSSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNSMTVHETKLKVDLTQYLEKHEFVFDAVLNEEVTNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLYDLLNDRKKLCMREDGKQQVCIVGLQEYRVADVENIKDLIEKGSATRSTGTTGANEESSRSHAILQLAIKRSVDGSESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDVLSSNFNLKESISVPLSSVTAPTYEDHTADAWQDENEGDDFSPPEEYYEQVKPPLKKNVRMEPYAITDDRLKKPSGLVKWKDLPKAEPKPTNSEEDELSALLQEEEDLVNAHRTQVEETMNIVKEEMNLLVEAGQPGNQLDDYVKRLNTILSQKAAGILQLQNRLAHFQKRLKEHHILVSSSGY >CAK8567380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509771922:509772371:1 gene:gene-LATHSAT_LOCUS20440 transcript:rna-LATHSAT_LOCUS20440 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIECDSNQREDVEVDHGDGDESLWVPAIGMSFSCLEEVKTYYQEYALKKGFGWRITSSKKGDDGELNYLILSCSREGSNISKISCTLKRLPSRAKNCPAKICIKSKQDGLWYITQFEANHSHETSLTKARLFKANKKMNLHVR >CAK8575721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:279587038:279588282:1 gene:gene-LATHSAT_LOCUS27959 transcript:rna-LATHSAT_LOCUS27959 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIPSYFLCPISFQIMKDPVTTVTGITYDRESIEKWLLKATICVCPVTNQSLPRSKEYLTPNHTLQRLIKAWISSNEANGDDDDQIPSPKYSLNRTHLQKLLKNLVVPNCFRTAMEKIHDLAKQSESNRTCMVEVGVTKAMVMVIKKSFRQGNTICLEEALKIIRLLWHEAMVKSKIKPLVGENMEFINSMTWILKSYIDNNDVKMVNEVMLLLKLTIEVVNSSLIGNLNIEFFREIVRILQKRGLFSKQTIKSALHVLIGTSVLGRNRTRIVEAGGVFELIEFELENPKKSVTELIFNLLAHLCSCAEGREQFLRHAAGLAMVTKRILRVSTSNDDLAIQVISVIAKYSSSKETVLEMLRVGAVSKLCMVMQADCASYLKEKARDILRLHSTTWKSSPCIQVYFVTKNQR >CAK8569788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10836230:10837370:-1 gene:gene-LATHSAT_LOCUS22598 transcript:rna-LATHSAT_LOCUS22598 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRENGRHKADQYKSAQGQWLMQQHQHQHPSMKQIMAIMAERDAAIQERNLALSEKKAALAERDIAFLQRDNAIAERNNALMERDNAIATLQFRENTLANGGMSSCPPGCQISRGVKHIHHLPQQVNQLPTMGDSSYGTRELPTTNALPESPIPSEVGKPPRRAKRPKESKSVSPNKKTPKTNRKVKKEGEDLNKTMFANDKALEWKSSQEIINESDELNKQLVVSKADWKPQDLALNQVAYDDSTMPAPACSCTGVLRQCYKWGNGGWQSACCTTTLSVYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSHPVDLKDHWAKHGTNRYITIK >CAK8533787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660328393:660330975:1 gene:gene-LATHSAT_LOCUS3385 transcript:rna-LATHSAT_LOCUS3385 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLIACIIFVWITSLFKIFLLARIRSTKHFTLNGRAFRKRNVLLVIAHPDDESMFFAPTINFLTSRGHNVQILCLSNGDADGKGNVRKQELYQACVSLKVPMQQVKIINHPDLQDGFGKVWNHSLLAKIIEEEITSHCIDMIITFDKYGVSGHCNHRDVHYGVCSKLLYDTSRGDIEVWELVSTNILRKYSGPNDIWLSMFWAMLPSNGTVQCLVNEHSRRSFIAMSQHKSQWVWFRKLFVILSSYTYMNTLRKIK >CAK8533788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660328393:660330975:1 gene:gene-LATHSAT_LOCUS3385 transcript:rna-LATHSAT_LOCUS3385-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLIACIIFVWITSLFKIFLLARIRSTKHFTLNGRAFRKRNVLLVIAHPDDESMFFAPTINFLTSRGHNVQILCLSNGDADGKGNVRKQELYQACVSLKVPMQQVKIINHPDLQDGFGKVWNHSLLAKIIEEEITSHCIDMIITFDKYGVSGHCNHRDVHYGVCKLLYDTSRGDIEVWELVSTNILRKYSGPNDIWLSMFWAMLPSNGTVQCLVNEHSRRSFIAMSQHKSQWVWFRKLFVILSSYTYMNTLRKIK >CAK8537371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:312087911:312089166:1 gene:gene-LATHSAT_LOCUS6666 transcript:rna-LATHSAT_LOCUS6666 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIERLKDEIHGCDENVQNHRSNITSMESQIAQSREGFNIYKEKRDMLNDKRKSLWNQENELTAEIDKLRAEVEKAEKSLDNAIPGDVRRGLNLVRKICKSYNISGVHGPIIELLNCDETFFTVVEVTAGNRSPCNSLNKHV >CAK8532975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:558735058:558735590:-1 gene:gene-LATHSAT_LOCUS2629 transcript:rna-LATHSAT_LOCUS2629 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCGRGCACCDCSHCGYYDTRCGVDTLNILKKESKIKTQDELVEDMPWEFWSQLLLLIDGWTLEKKISLDDAKFLREMTWKKDKTISDIYMACRGQNEDEAISAFLGLISSSTRFSWDKVEDLILRVMNFRV >CAK8535314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:837010854:837011309:-1 gene:gene-LATHSAT_LOCUS4782 transcript:rna-LATHSAT_LOCUS4782 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSTASPCSEATLYYYGLSAEYQDQYEYLGSMKKYNLEMQCPKLKPNDVLNFHISVVSHSVDSNSVPRLNTLLHNFQHVSCKRFFQEGEDWIQSILFHPDFSCESLEGLTKRIVHEVHELFDFDQVADGVGASVSHRFTLYLRIVLQK >CAK8578139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609414805:609420995:1 gene:gene-LATHSAT_LOCUS30178 transcript:rna-LATHSAT_LOCUS30178 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEHHSVAPKKSNLTPKAIIHQKFGKTACYTVEAVKEVSQTECPGLCIPQTGPCLYRCTLQLPELRVVTGTFTKKKDAEQSAAEIALEKLSIIPETIDLTPQEAQESLVARIAYLFSEKFLTSDHPLGGHIRATLRRKGNHCGSIPVSVIAVSDPKIFSLCKCINPEVESNPFLVLPYIVKAAAKLHEFLTTSEQHIWIKKLCPYPQDIIESLLEEGGSQECIQVKAIRIPSSMEKCVEAVTLCMSLREYYLDIIANELGLEDGANVLLSRNIGKASSETRLFVAAPQSYILDRSFILRNGKETIRFEGSLNERARYLSGQDMVGDAILACIGYTRKSRDLFYEDVTVQLYHRILLGKTPGGIYKLSREAILTAELPSKFTTRVNWRGSLPRDILSMFCRQHRLSEPDFSIISHPFKILTESSESSFKAAELGTNVIECANGASVNACPKKSNSEMFKCEVKLLSRSKDVILLCSPEDCYKKQNDAIHNASLKLLSWLNKYFNSVTAPFDQLYETACNFSIHIFSKNLFREILIGQSVQNCQIYAMQCNKVLEPMCTTSSQDMSGNGVCNLKIEGPDSGVCPCNGSLPCISYSVYLVVDGENTKEVIEVCNEFEFEIGVGAVISYVEEVVVQMSVGQYAYFKTNLLTSDLIFASVGDSAKMLSLLSSKACCVEYEISLTKVAEPPEERMEQAFFSPPLSKQRVEFAVQHILESHATTLVDFGCGSGSLLEALLNYTTSLEKIAGVDISQKGLTRAAKVLNSKLDANPDASVTSKPIKSVILYEGSITNFDSSLHGFDIGTCLEVIEHMDEDQACLFGDVALSCFRPRILIVSTPNFEYNVVLQGSKPPTQEQEELDGQNLLQSCKFRNNDHKFEWTREQFIQWASDLAARHNYNVEFSGVGGSADAEPGFASQIAVFKREWSYEDDGQKHTDIENHYNVIWEWNSKSK >CAK8563890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637325003:637328185:1 gene:gene-LATHSAT_LOCUS17251 transcript:rna-LATHSAT_LOCUS17251 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVNVVETKDGTVSVASAFAGHQEAVQDRDHKFLRKAVEEAYKGVDCGDGGPFGAVIVHNDEVVASCHNMVLNYTDPTAHAEVTAIREACKKLKQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKATLEIKQADGNEAMIAEEVFEKTKAKFQMY >CAK8577939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598203287:598204989:-1 gene:gene-LATHSAT_LOCUS29998 transcript:rna-LATHSAT_LOCUS29998-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGGRSARPAPRAAPRPAPVNRAPPPANTQNGGGSMLSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHEAVVNEAAAAAPAPTANSFGGDACNIHSKAFQDCLNNYGSDISKCQFYLDMLSECRKNSGSSLNM >CAK8577940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598203287:598204989:-1 gene:gene-LATHSAT_LOCUS29998 transcript:rna-LATHSAT_LOCUS29998 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGVNRAPPPANTQNGGGSMLSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHEAVVNEAAAAAPAPTANSFGGDACNIHSKAFQDCLNNYGSDISKCQFYLDMLSECRKNSGSSLNM >CAK8578744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650886584:650890036:1 gene:gene-LATHSAT_LOCUS30730 transcript:rna-LATHSAT_LOCUS30730 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTPCLVSFWFLFGFWLQSVSSRNFSVNDIQRAASPPRGWNSYDSFSWIISEQEFLQNAEIVSQRLREYGYEYVVVDYLWYRKFVNGANSNSLGFDVIDQWGRMVPDPGRWPSSHDGNGFSQLANKVHSLGLKFGIHIMRGISTQAVNANTPILDPNTGRAYEESGRVWHAKDIAIPERACAWMSNGFLSVNTNLGAGRAFLKSLHQQYAAWGVDFVKHDCVFGTDLDINEITYVSEVLSQLNRPITYSLSPGPGATPAMAKDINGLVNMYRVTGDDWDKWSDVEPHFDVARDFSNAKLIGANGLKGNSWPDLDMLPFGWITDPGVNEGPHRYCNMTLKEQKSQITLWAIAKSPLMYGGDLRKIDPFTFRMITDPTLLEINSFSLNNREYPYARGVVHSKNKDLKVKKITHSLGLTSCTESKASGWTAENLDHDLQRICWKAGLENKNQKPFCVKKRELQFKLDGDNAYQEKYRGKNHLVATNEMRLCMDGSPKQKVTASEFKIGTFSPCTLDSNQMWEINSNGTLVNSYSGLCATVNSVEVNADDSGEIRSWVATGRKGELYLAFFNLSEQEMTIETTTSDLDRFFPEGGIHTCQGTEVWTGQKIVPKETQGRIAAEVGVHGCAIFAFTC >CAK8561875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:402314883:402315698:1 gene:gene-LATHSAT_LOCUS15426 transcript:rna-LATHSAT_LOCUS15426 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTTYAVSGTPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPYISISYDWAKATSNLHDFTLAAQACIPIISALLADIRNQRYPKKCFLNIDIPSNVANHKGYKLTKQGKSIIKMGWKQIASETEGRKMSSDMTTAEVAARSDFNTSSISPGSLLFAREVRGAQHDHDDTDHRCLQEGYIHKA >CAK8576818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516371055:516371375:1 gene:gene-LATHSAT_LOCUS28983 transcript:rna-LATHSAT_LOCUS28983 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSRSTPTMSTDSLEQKGQNITESNASIIQCPLSQQHRSSLDGPVSILWDIENCPVLSDVLPEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNAFPRRLREGC >CAK8566824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465511379:465514900:1 gene:gene-LATHSAT_LOCUS19929 transcript:rna-LATHSAT_LOCUS19929 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKTAFVWCFWLGLLELSYGARIRHYNFDVEYMIKKPDCLEHVVMGINGQFPGPTISAQVGDTLAIALTNKLFTEGTVIHWHGIRQLDTPWADGTAAISQCAINPGETFHYRFKVDRPGTYFYHGHYGMQRAAGLYGSLIVNLPENQTEPFHYDGEFNLLLSDLWHTSSHEQEVGLSSAPMRWIGEPQSLLINGRGQFNCSLAAKFVNTSLPQCNFKGGEECAPQILHVEPKKTYRIRIASTTSLASLNLAISNHTLIVVEADGNYVHPFAVNDIDIYSGETYSVLLTTDQDPNKNYWLSIGVRGRKPNTSQALTILNYKTISASVFPTSPPPVTPLWNDFERSKAFTKQVISKMGTPQPPKYSNQKILLLNTQNLIGNFTKWSINNVSLALPVTPYIGSFKFKLKNTFDRKPPPRTYPIDYDIFKNPVNPNTTTGNGVYVFQLNDVVDVILQNANQLNGNGSEIHPWHLHGHDFWVLGYGEGKFKPGVDEKKFNLTRAPLRNTAVIFPYGWTALRFKADNPGVWAFHCHIEPHLHMGMGVIFAEGVQKIGRVPPQALTCGATARFVSNGH >CAK8541151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:75340080:75341537:1 gene:gene-LATHSAT_LOCUS10092 transcript:rna-LATHSAT_LOCUS10092 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFSIVKDINDGKEFWKMALRIHHKWSLNSKNKEHFEIIVVDKKRSDIHVKVSTMYKQSFDFVLAVNNTYTIANFQVMLNELLFKSSDHNYVLVFIGGTSVCNKNKHAIPPKNMKFTQFVDIIFGKWKRDVLIDVIGMVREIGYTQLQIGSKKQQINLVLKDLGNNTIHCTLWKVYALHFHDCIQKRKDSSLPTIISLQFAKLKEEGKYHLCVLNTFNVIKLHINDDSPDINDFLNRSSVLAYTIHYLFSNLNMVYISFNDALSILSVINHKIPKMEGKQWSCQNLTTQSHNWSQTSTSSQLTPYDKFMYKVVVLPLAHIIQLKM >CAK8576006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384086521:384087378:-1 gene:gene-LATHSAT_LOCUS28225 transcript:rna-LATHSAT_LOCUS28225 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIISKGNKGNHPIKFKEHFKRELRQWEDIDRRFAQNFQTIEASTSETAGDTEAPSFETTGDHQSPNIEYSTSGAYGIPVVEETNIQLEQNEVELNDNVEIVNDIGDENAGRDGFRRVRRRGRDIATPPAPLTSDRRERKKPDKFTHSTDHVKAIRAKTKRKSMIQILFSIRKKCGISFRKSNTILGPGLHRMHI >CAK8566609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441972265:441973051:1 gene:gene-LATHSAT_LOCUS19729 transcript:rna-LATHSAT_LOCUS19729 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEHELLNIEVQTFVLKVHMNCEGCRAKVKKVLRKVEGVYGVDINAEEQEVIVTGIVNPSTLVQKLAKLGKHAEIWNEDYNQELIDDENKAEDNNQEYIMNDTSNSFENQYMIPNFYGQDINQHLAAPTPPLFFESFNNGANENVIRINEYPKWQWPVNFHSGLWNQERPHNILGVSSTIGDGEYGYQPSLRPNKHGYYHGY >CAK8579720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718494015:718504459:-1 gene:gene-LATHSAT_LOCUS31644 transcript:rna-LATHSAT_LOCUS31644 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPELSETYACTPSTERGRGILISGDSKSNSILYTNARSVVMMNLQNPLQVSVYGEHAYPATVARFSPNGEWVASADVSGTVRIWGTRNEFVLKKEFRVLSGRIDDLQWSPDGIRIVACGEAKGNSFVRAFMWDSGTNVGEFDGHSRRVLSCAYKPTRPFRIVTCGEDFLVNFYEGPPFRFKQSHRDHSNFVNCVRYSPDGNKFVTVSSDKKGIIFDGKTGEKIGELSSEGGHTGSIYAVSWSPDGKQVLTVSADKSAKVWDISEDNNGKVKKTLISPGSGGIEDMLVGCLWLNDYLVTVSLGGTISIYLASDLDKAPTSFSGHMKNVSSLTILRSNPRVLLSCSYDGLVVKWIQGIGYSGKLQRRENSQIKSLAAAEEEIVTSGFDNKIRRISLHGDQCGDAEAIDIGTQPKDFSISLSSPELVLVSIDSGVVMLRGTKVVSTINLEFTVSASIVSPDGSEAIIGGQDGKLHIYSISGDTLIEEAVLEKHRGAVSVIRYSPDFSMFASGDVNREAVVWDRASRDVKLKNMLYHTARINCLAWSPDSSRIATGSLDTCIIVYEVDQPVSSRSTIKGAHLGGVYGLVFTDEYSLVSSGEDAFIRVWKITPP >CAK8573109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585575400:585576180:1 gene:gene-LATHSAT_LOCUS25595 transcript:rna-LATHSAT_LOCUS25595 gene_biotype:protein_coding transcript_biotype:protein_coding MEICAETTHSNGKQTLKHSKSAIEVKRSSENTYRYFHSNNVRQNLKQTSTFVNHAANAWHENRKKWVGDKSQNSPRAPKDPIISWSTSYEDLLSTSEPFAERIPLPEMVDFLVDIWLDEEGSFD >CAK8572378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533345692:533354319:1 gene:gene-LATHSAT_LOCUS24949 transcript:rna-LATHSAT_LOCUS24949 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGKKEKPTEKKERMKNIFKKLHIGSSHDPHRSNEIPPPVPSTSDAAAEPVHTSGASSATTSSSSPSTVPIPPASAGGGLSAVVNRQDFFSSEEEFQVQLALAISASNSEFRGDDPEKDQIHAATLLSLGGHRIDSNKDDVAEALSRQYWEYNVLDYEEKVVDGFYDVYGLYNDPAMQGKMPSLADLETNSGGSSFEVVIVNRTIDPALDELVQIAHCIALDCPVTEIGILVQRLAELVTSHMGGPVKDANIILAKWTERSTELRTSLHTSVLPLGSLDIGLSRHRALLFKILADNIKMPCRLVKGSHYTGVEDDAVNIIKLDDEREFLVDLMAAPGTLIPADILNAKDNAFKSYNPKIVPSLPSIEETGLSYSRPIPPSNGEGSSQTSVIKGPTMPWNGQSYTEKSEFMPSNFGLNRDTGVGPSKIPNRGSPNQRENLPLSYGNSLYKGTLGMNTVGDGTRLNVNVVPYAQNNPTDSQNLFADLNPFLIKGTGKSSVHNKPVENKPPEHGTKINTVSGRPVSPLMWKNQHAYNEVPRKTNHNPNEYNPPLFVSNITFTSEHTDLSTSNSSYNSNINNDISSQTSAQIIGSASSAVVGELNRIEGHNADFRRDDLESSQNVMVKRFNEPESTELGYRDRRKCIYDRFMGSNLQLKDSDSPSSSIDSITNRVDQILDDADVGECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFSGAALSEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIISEFLPRGSLYRILHRPNCQIDEKQRIKMALDVARGMNCLHASTPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLKLPWTGMNPMQVVGAVGFQNRRLEIPKDVDPLVARIIWECWQQDPNLRPSFAQLTVALKPLQRLALPSHQDQVASLLPQEISVNSTP >CAK8571653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:455664560:455667684:1 gene:gene-LATHSAT_LOCUS24294 transcript:rna-LATHSAT_LOCUS24294 gene_biotype:protein_coding transcript_biotype:protein_coding MITRYPSHPLLLVHHNPSPFASLTLPPQRSRHVRTLLLPKTLSCHLSPSHNTSSSKPLHFALSSALSLCLLFGGAEIAEAAKVGVNKPELLPKEFTTVIDVAGFLSDGQEKRLAQEISALEKDTGYKLRVLAQNYPDTPGLAVKDFWQVDDSTVVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNIFYWREKGEDASIESAVMAISNCLREPVGPNNCSEVK >CAK8562150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448454431:448455569:-1 gene:gene-LATHSAT_LOCUS15674 transcript:rna-LATHSAT_LOCUS15674 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPALYKWLNKKYPKVTEDANINTTPTVEYDNLYLDMNSIIHPCFHPNDDNINSCPPTTFMEVFANMFDYIDHLVTIVKPRKLLYMAIDGVAPRAKMNQQRTRRFRTAKDDEMRLRKLFEMEGKQVVPKQECEVSDSNIITPGTKFVHQLSKALKTYISSRISSHSLWKDIIVILSDANVPGEGEHKIMSFIRKQRGLPDYDPNTVHCLYGSDADLIMLGLSSH >CAK8541780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393541498:393542297:1 gene:gene-LATHSAT_LOCUS10675 transcript:rna-LATHSAT_LOCUS10675 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLVSKVKRVLQGCSVIAASEEDVKLLGSVGSPFVIRVQIALALKGTDFKFIPENLANKSELLLKYNPVYKKIPVLVHNEKPVSESLVILEYIDETWKENSIFPSDPYQRATARFWSKFIDDKCVAAALKSVFMVDEKERKKASEELSNGLQFLEDELKDKFFGGEEIGIVDIAALFIPLLQEVAEFQLFTKGKFPKLHKWSREFYNHPIVRETMPSKEQQFAYFKVVTERLAALSK >CAK8567496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518672421:518674502:1 gene:gene-LATHSAT_LOCUS20543 transcript:rna-LATHSAT_LOCUS20543 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVGQVIKCKAAIAWEAGKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDQALPVFTGECGDCPHCKSEESNMCELLRINPERGVMINDNQSRFSIKGQPIHHFLGTSTFSEYTVAHAGCVAKINPDAPLDKVCILSCGICTGLGASINVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGIDLVSSRFELAKKFGVNEFVNPKDHNKPVQEVIAEMTNGGVDRAVECTGSIQAMISAFECVHDGWGVAVLVGVPSKDDAFKTHPINFLNERTLKGTSFGNYKPRTDLPNVVEKYMKGELELEKFITHRIPFSEINKAFDYMLKGESIRCIINMD >CAK8560903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56120559:56121032:1 gene:gene-LATHSAT_LOCUS14542 transcript:rna-LATHSAT_LOCUS14542 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFSGVVSTNTPVGFSFSNTDTCAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPSLNEDDCTIFYIMTPIKKDEDVKAMFRCHMMFGQLPTIEVYVRLLDNPETFPTQETQSHWYGMGQTSDDEPTQKNLPFIPNEEVGESSDEDI >CAK8578390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626204524:626205642:-1 gene:gene-LATHSAT_LOCUS30405 transcript:rna-LATHSAT_LOCUS30405 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNSLFLVKCSHREKLFSNGDLYIGSFQGNLCHGNGKYKWSSGIIYEGDLVDGEISGKGKMTWPSGNKYEGEFYKNRSHGKGTKTWKDGCIYIGNWEKGKQDGGGIMKWAKGSFFEGFWSNGLRRYGVYRLAQGDVYFGSFKNNLFHGNGKYTWSSGIIYKGDWVDGEISGKGEMAWPSKYDGEIYKNYRHGKGTETCKGEYIYTGNWKKGKKDGRGIVKWASGSVFDGYLSNGLLRSGVYRFVNGDVYNGEFKSDTFHGIGEYRCSNGTIYMGYWEDGKMIKIIWKINDPQNIVGVLFRDFSSTSIPDGLSFMTIKRKYKQGDVEKIRQDIMDKGENKSIVKQVKKSSPIWIFFNTFKSNLELILQLCSR >CAK8566983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477612841:477614221:1 gene:gene-LATHSAT_LOCUS20077 transcript:rna-LATHSAT_LOCUS20077 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAYILRASIAHLCLLILVSTVFPLSGAVKHGRGIVSRSSSIKSWKKLESQNRFNKFSSFEYLDIDSYGSPSSQPLPPFNSLAPQPYSSLPNPPPSPKTTVTISPPPPPSPPKHVPSPPKTVISPPMAYPPPPPSPHKNSPPHYAIWCVAKPTVPDPIIQFAMDYACGSGADCKSIQPNGLCFQPNTLLAHASFAFNSYWQNTKIGGGTCDFGGTAMLVNVDPSYDKCNFMST >CAK8542924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560104164:560105486:-1 gene:gene-LATHSAT_LOCUS11721 transcript:rna-LATHSAT_LOCUS11721 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLNKFQAAALESSNSTTYASKSFSDRSIDITNNHASSLKLSTNNQNSHQSSSSTYASSTENISRLLEGWMRSSPNSQQQIKGNYQYGHHQEFQSNGDDNVIIDDDNNSFENNGNLSVKSASVPADLNLQNHHHQQQQKTSKDEMVSHDQEFDSILSFENLNNGVAWDKSTCDSMPAEKCSSSSQKSIKNLCNAPPPLSFLEKWLLDENVGQVEEMMELSSPMF >CAK8560283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12974793:12976454:-1 gene:gene-LATHSAT_LOCUS13971 transcript:rna-LATHSAT_LOCUS13971 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSFKFTFHFCIIIFILHFFAATPIFSDNSSVNNFLDIAKSPEVFDWMISIRRKIHENPELGFQEFETSKLIRSELDKMDIPYKHPVAITGVIGFIGTGLSPFVALRADMDALPVQEMVEWEHKSKVAGKMHACGHDAHVAMLLAAAKILKKHENDIQGTVVLVFQPAEEASRGAKTVVESGVLENVTAIFGLHINPKLPIGEVASTSGPIMAGSGYFDAKIIGKGGHAGIPQQTIDPVVAASNAVISLQHIVSREADPLDSQVVTITKFQGSIAYNIIPDYVTIGGTIRALTKQSFYQLRQRVEEVIIGQAAVHRCNATVEFLGGVEPFYLPTINNGDLHEHFMNVAVNMLGMTKVNSAMAPLMVAEDFSFYQEVIPGYFFMLGMQNASNKRLDQSLHSPYLEINEDGLPYGAALHASLAFSHLLKHQQNVPVVERIYHEEL >CAK8567917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556730578:556733584:1 gene:gene-LATHSAT_LOCUS20926 transcript:rna-LATHSAT_LOCUS20926 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPNGLPFSVDTWTPPSKTSKRHHFLTHAHKDHSSNITSHSSFPIYSTLLTKTLLLQHYPHLHPSLFLQIEVGQSLIIHDPDGNFTVTAFDANHCPGAVMFLFEGKFGNILHTGDCRLNLECLLNLPDKYVGRKGKKATCSLDCVFLDCTFGNFSRSMPTKQSSIQQVVNCIWKHPDASTVYLTCDVLGQEDILVRVSETFGAKIYVDKAQNPECFKNLMVTVPEILCEDPSSRFHLFDGSPGLYERAQAKLVEAKATLQTEPLIVRPSAQWYACEEVSDVQNTRKKRMNEAVRDQFGVWHVCYSMHSSKEELEEALQILAPRWVVSTTPTCRAMELNYVKKYCFNSKASLNNSVLKLLDMTVESYDDVDAIFKPVNCYPVPEGNPQPCAKTKSPIKQCTDVKALEELTLNRSPVTLFGRARLGLQDVGFLRVPCNILPVNAPLQTDSSDARQECLGAAAEAKWERSPERKEDLHNVNKNPQSEVQENTRVHKSASNESIGSPDLSEYIKKYYRPMTIPTLLPSLVDLRNSMKRAKRRIDQFSAVNFDRKMPMD >CAK8564985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21756877:21759300:-1 gene:gene-LATHSAT_LOCUS18231 transcript:rna-LATHSAT_LOCUS18231-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKRLYDLQPRSSVSWKTNSSHIPIGKPMTWYKAEFKALFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8564984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21756877:21759345:-1 gene:gene-LATHSAT_LOCUS18231 transcript:rna-LATHSAT_LOCUS18231 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSCYNILLISIIMLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKRLYDLQPRSSVSWKTNSSHIPIGKPMTWYKAEFKALFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8561233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:125578540:125579142:1 gene:gene-LATHSAT_LOCUS14842 transcript:rna-LATHSAT_LOCUS14842 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGKLSTELGVKAPAEKFFNYFVTQLHEMQNHCEKVHHTKLREGDEWHNSDIVIHWTYVIDGEVHTCYESFEGVDKQNKKITWKLFGGDIDKHYKTFKLILQVIDKADGTAVAKWTVEYEKINEDIEPPNGWMEYLSKCTRDVDAHLSKARATRKD >CAK8539116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504180743:504183401:1 gene:gene-LATHSAT_LOCUS8244 transcript:rna-LATHSAT_LOCUS8244 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTEDEITRLYRIRKTVMQMLKDRNYLVGDFELNMSKHDFKNKYGDNMKREDLVINKAKKDKQSDQIYVFFPEEAKVGVKTMKTYTNRMNSENVYRAILVCQTSLTPFARTCVSEMASKFHLEVFQEAELLVNIKDHVLVPEHQVLTDPEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRFVV >CAK8531296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:98692942:98698690:-1 gene:gene-LATHSAT_LOCUS1099 transcript:rna-LATHSAT_LOCUS1099 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKPKPRTPIDIVRQTRDLLIFFDGSGIETRDSKREEKQMVELCKNIRELKSILYGSSDSEPVSEACAQLTQEFFKENTLRLLIKCIPKLNLEARKDATQVVANLQRQPVQSKLIASDYLEKNMDLMDVLIAGYENTDMALHYGAMLRECIRHQIVAKYVLNSPHMKKFFDYIQLPNFDIAADAAATFKELMTRHKSTVAEFLSKNYEWFFADYNSKLLESSNYITRRLAVKLLGDMLLDRSNSSVMTRYVSSRENLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIISIFVANKSKMLRLLDEFKIDKEDEQFEADKAQVMEEIAALEG >CAK8539148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505386484:505387551:-1 gene:gene-LATHSAT_LOCUS8269 transcript:rna-LATHSAT_LOCUS8269 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVVDHKRDEMGKRKTTANRRKESKSHTLPSSPSHSFSSSSSSDFEFTISISPRKSSNHLCPADELFYKGQLLPLHLSPRISMVRTLLLSSSSTSSATSSSTAPRDSTGSSNSNDSTSSFISDLALFPDSCDSSRPSSVTEDDELKRLHHNNNNRSSSQLKKTTKYFSLSRFSSVFRRETVVKTRERDSETETAASNIINNSSMKRMSVTAKEVIRKYFKKVKPLYEKLSQKQQPTEELTTATNTNTTLTVMSLLTKSERSEKEMKKENVGMLSHSFSGNLRYPRKRNFVSSCPSSMRSSPSHSGVLSSKGVLRDSSSLEELQSAIQGAITHCKNSLITNHKTVISTNNQMNLI >CAK8531880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:169909892:169922683:1 gene:gene-LATHSAT_LOCUS1641 transcript:rna-LATHSAT_LOCUS1641 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNGSSPRRNPAENIDIEAGPLSRRSSDIDDDGDISDPFDIATTKHASIIRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGQGQGQGQGQGELSETTKPLQTSTGEFPIGPEQLASISREHDIASLQQYGGVAGVSNLLKTDLEKGVDGDDADLLRRRNAFGSNNYPRKKGRSFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAVSDYKQSLQFRDLNEEKRNIHLEVVRGGRRVEISIYDLVVGDVIPLNIGNQVPADGIVISGHSLSIDESSMTGESKIAHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGFTVALIVLVVLLARYFSGHSKNTDGTKQFTAGKTSVSDAVDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVVEVYAGGSKIDPPHQLESSPKLRSLLIEGVAQNSNGSVFVPEGANDVEVSGSPTEKAILHWALKVGMNFVNARSESSILHVFPFNSEKKRGGVAIQTADSVHIHWKGAAEIVLACCTGYIDRNDQLVEIDEEKV >CAK8563633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621416856:621417567:1 gene:gene-LATHSAT_LOCUS17025 transcript:rna-LATHSAT_LOCUS17025 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDAEDRDNKFLTKAVEEAYKGVECGDGRPFGAVIVQNDELVVSCHNMVLRNKDPTAHAEVIAIREACQTLDQISLADCEIYASCEPCPMCFAAIHFSKFKAGLFNPFHFLFTLHISITSFKLISNLFILIQQRLVYGAKAEVTVAIIFDSFIADAHKDTSSPQKPQLEIKKIGGTAAVIVEQVFEKTKGKYALY >CAK8544390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681586392:681587048:-1 gene:gene-LATHSAT_LOCUS13076 transcript:rna-LATHSAT_LOCUS13076 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNHELANNITIRNPSRDDDDVGLEPKPTPILTKKKNHGPMRALRVALHMMRGNSKKPNVVSTDDESKNLWKKLVGSMRPLHLQSNHSPRLSSQSKSQRFDQTIALPPPSPYESTGGSSAFVDFSTDDEPFSPFSPSPASSRYASAIGLNELVTSDEENDRPGVIKEECNVENGDGDEKIDSKAEDFIAQFYHQMRLQRLNNVHRDYQKRSERSLGW >CAK8543092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:576419028:576420116:-1 gene:gene-LATHSAT_LOCUS11876 transcript:rna-LATHSAT_LOCUS11876 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVEPEPEPPPPNMVNEFNPNEIVRDPGRRKQINEYAPDIQDQVRRAYILKGPMQPELLSFPRTPFGSVKRAFSKSWYNNYTWLEYTEIKDATYCFYCFLFKKPGRAEHFGFEVFTKSGYKDWKHASQGLKDHVGSHNSFHNSCVKHYDDYNNQRQSVASKFVKATKESEELYKIRLTCSIDCSRYLIAQGMSFRGHDESSISLNKGNFREMVDWVKVKNEQVQDAFDRGGKNCTMISGDIQKELAMCCAHEVTKVILGELGDRQFSVFIDESRDISVKEQMTVMLRFVNDKGNVVERFVALHHVKDTTSESLKDSLYGILDKYTLSISRI >CAK8564140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653998932:653999579:1 gene:gene-LATHSAT_LOCUS17470 transcript:rna-LATHSAT_LOCUS17470 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHKQTLFSYDPQTWNIIGASSSSSNNSSSLANFHGSRNCNNLLEDDDLVSTVVPAVTVVLEGRSICQRISLHNHDSYQSLAVALRQMFVDCTDDDGEGDRHLDLSNAIPGHVIAYEDMENDLLLAGDLNWKDFVRVAKRIRVLPAKGNSRKRSIS >CAK8573441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612714692:612716362:-1 gene:gene-LATHSAT_LOCUS25888 transcript:rna-LATHSAT_LOCUS25888 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIWLWIAFVEPSREFEEDHKTEKSNAEDSDALEKGLQQPLLITLKDKVDEDADQDNDDSEEAPEESHRPTTSIRSAYSLLTPSVKVQLLIYFMLKYVMEILLAESSVITTYYFKWSTGTVAIFLAGLGLTVLPINVIIGTYVSNLFDDRQILLASEIMVLIGIVSAFHVIIPYSELQYICSGLIMFVSAEVLEGINLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYMGVGSLLNITLAPSLFICIISIIATCYTYNSLYY >CAK8571475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:427597828:427600456:1 gene:gene-LATHSAT_LOCUS24138 transcript:rna-LATHSAT_LOCUS24138 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGNGNRNGFLKVADKFKESDVSFVRIFWITHSGQHRSRIIPKKHFYDTVTKNGVALPPVTIVMTSLLEKPAPGSGVGFVGEARVTPDLSTTRTIPWCKQDEMVLGDLNVKPDQAWEHCPREVFRRVSKTLKDEFDLVISAGFEIEFYLLKSITTREGKQEWLEFDSSPYCCSSALDVACPILREIASALHSTGIQVEQLHTETGKGQFELVLKHTICNKAVDNLVYTREIIKAIARKHGLLATFLRKYNLDDVSSGIHAHISLWQNGKNVFMASDESSTYGVSTFGEEFMAGILYHLPSIMSFIAPLPISYDRLQSTIWGSYLFWGNENKEAPLRASSSPGTPDGLKSNFEIKLMDGCANPYLGLSAIIAAGIDGLRRHLTLPEPVDTSPNLETLKRLPKSLSESLEALHKAYFLEEFFGDKLLTAIKAIQKVEIDHYSKNKVAYKELIHRY >CAK8574625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4254195:4256627:-1 gene:gene-LATHSAT_LOCUS26953 transcript:rna-LATHSAT_LOCUS26953 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSRFSYTPSPFNNTNSKPILSPLHHSCFLFSQYSKKLKTTSFNFKSFSSLKDENETNSSPVSIAPNKQDNINNNSDNNNNDDVGRETNEDEKEQQEMDWKTDEEFKNFMGNPSIEAAIKLEKKRTDRKLKELDDERTKNSPIVGVFNNLVRRNLVMEKERLEKVEETFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRRPIDEVIPKLEKKLSDAAGREVVLWFMEEQKDDITKQVCMVQPKAEIDLQFELTNLSNPFGYLSSIALAVTTFGTVASMSGFFLKPDATFDDYLANVVPLFGGFLFILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLVLAVAAFVADGSFNGGDNALYIRPQFFYNNPLLSFIQLVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTAALLSFGTSLLLGIGGLSGSVLCLAWGLFATFFRGGEEIPAKDEITPLGESRYAWGIVLGLICFLTLFPNIGGTFSNSFLSDPFFRG >CAK8544239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673665533:673666144:1 gene:gene-LATHSAT_LOCUS12938 transcript:rna-LATHSAT_LOCUS12938 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLPIWIKLPNLPLHLWGEKSLSKIGSAIGNPVTTDECTAHKFRISYARLLVEVDVTQALAKEIIINDRNGAKLIQPIEYEWKLKFCEQCQRFGHIREAPKLVKVWQPKQKQKAEHVRTDDAILLTPTTTVAKSSNTPTVEGTKLNIRKNANPENWTTITKSGRDKHKGTPKDKLLLNCTNGFGLLGDMNVSTMLVDSDPC >CAK8540740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18248491:18249927:-1 gene:gene-LATHSAT_LOCUS9714 transcript:rna-LATHSAT_LOCUS9714 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNIKIHQHFKVVPTSSTQITTTIKPLTYFDLFWLRFHPVERVFFYTLPVSQSHPSFFFQKLVPKFKSSLSLTLQHFLPLAGRIVWPSDSPKPFIQFNLNDNDDGVSLLIAESDLDFNHVIENSPQEASLSRSLIPFLESTDSFASVLSIQVTLFPESGFSIGISTHHAVLDGKSSTMFIKAWAYLCNKIIKTEEETPTLLPELEPLFNRVIIKDRNELEVTLTNNWMDTMTKLFPNEKGNKRCLKILPFEPKLKDCVRATFKLTREDLNKLNKRVLSTWEIFNTNESKPRNLSSFVLTCAYSLVCIAKAFQGVEKERKKFTFAFTIDCRSRLEPPIPNNYFGNCVLGHFINTQPLDFIKEDGLNLVTKSIYDQIKLIKEKGVFEGIKDVFAKYTCLASEGVEIIGVAGSNRFGVYETDFGWGMPEKVEIVSIDRGLTIGLAESKDGNGGIEIGLVLNKHVMDLFRTLFLEGLSID >CAK8564398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672313052:672314331:-1 gene:gene-LATHSAT_LOCUS17708 transcript:rna-LATHSAT_LOCUS17708-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVNAPTAIINSHLYRQDSASTQHAYKINNVNFINLNLAFPKTNGSSCSNIFHSSSFSWICEKQHKGVHSILQCGLHDSISSDDEYRSSRNIAITLFKRYRNFADRGGGDNLKEFITAGVNAYELGCTDEGLRKELTDMKDSGIEIEAMQSYGGSTSLKSKIFSDEIDECILWLSIIFITILCTPQLTIVRWSSTPSVSDEVRIQWKGFCALIANAYFMKGMAWLPVKTLQLEQTAVMDEAEKPSVVASRMRLVFSTLEVVSPQWPKV >CAK8564399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672313052:672314331:-1 gene:gene-LATHSAT_LOCUS17708 transcript:rna-LATHSAT_LOCUS17708 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVNAPTAIINSHLYRQDSASTQHAYKINNVNFINLNLAFPKTNGSSCSNIFHSSSFSWICEKQHKGVHSILCGLHDSISSDDEYRSSRNIAITLFKRYRNFADRGGGDNLKEFITAGVNAYELGCTDEGLRKELTDMKDSGIEIEAMQSYGGSTSLKSKIFSDEIDECILWLSIIFITILCTPQLTIVRWSSTPSVSDEVRIQWKGFCALIANAYFMKGMAWLPVKTLQLEQTAVMDEAEKPSVVASRMRLVFSTLEVVSPQWPKV >CAK8535426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847227309:847232965:1 gene:gene-LATHSAT_LOCUS4890 transcript:rna-LATHSAT_LOCUS4890 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIYVQRGSSTGGTSQNPNPNPNNPNQNPNHSRAGSSSVARPEHGAQVQSAAKDEESVEEQHKLSVGDEILEYAGTSNRAKSDELFMESLRMEENDTPLGMEVLADLPGNDVKEEVDGSLESIRGLTGERQPKEKEGLCEDDPANVGGGSSHPPPPPVPPPKPSATNLTSRRSASGSPNAVNAGSPRRTAVWPVVSARSSPAGSRPSSPKAHSESEGYNSADEQNPCYVSSYADAERERQFEIDIKRVKGYEVKRMTADGNCLFRAVADQVYGDSELYDLVRQMSIDYMERERDHFSQFITEGFSSYCKRKRRDKVYGNNVEIQAMSEMYNRPIHIYSYSTEPINTFHGSYDTDSPPVRLSFHYGNHYNSLVDPRRPTTGEGLGFSSLRGTNADKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERSVMEASRAEYLAGSTLKHQRGHRESSTSTAEPSSSGARSSGSDPKMEHGKESSLSSSMHTLLSMGFSYLQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >CAK8572142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514441609:514448990:-1 gene:gene-LATHSAT_LOCUS24738 transcript:rna-LATHSAT_LOCUS24738 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRLHLQLFTPPHTTFHHHTTNHLPSPTLSFPPRRFPIIRASSTSQIIASDSNGAGPGVPPDLGSIEVDAVTETELKENGFRSTRRTKLVCTVGPATCGFEQLEALAVGGMNVARINMCHGTREWHKTVIDRVRRLNHEKGFAVAIMMDTEGSEIHMGDLAGASSAKAEDGQIWTFSVRAFDSSLPLPQRTINVNYEGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRNGSLVRERNSMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVITHLKSYIAARSRDSDISVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPAAQQRIVQVCRQRNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPDKALTVLRSVSLRIEKWWREEKRYEATQLPSVGSYFSEKISEEICNSAAKMANHLEVDALFVYTKTGHMASLLSRCRPDCPIFAFTTTPSVRRRLNLQWGLIPFRLSFSEDMESNLNKTFSLLKARNLIKSGDLVIAVSDMFQSIQVMNVP >CAK8566808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464524789:464527842:1 gene:gene-LATHSAT_LOCUS19914 transcript:rna-LATHSAT_LOCUS19914 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSSRQLFIDGEWRTPILNKRIPIINSSTEYIIGDIPAATKEDVDLAVDAAKRAISRNKGRDWSTASGSLRARYLRAIAAKIKEKKNELGKLESIDCGKPLDEALADLDDVVACFEYYAGLAEGLDSKQKAPISLPMDTFKSYTLKEPIGVVALITAWNYPLLMATWKVAPALAAGCAAILKPSELASVTCLELGEICKEVGLPPGVLNIITGLGHEAGASLASHPDVDKISFTGSSATGSKIMTTAAQLVKPVSLELGGKSPIVVFEDVDLDKVAEWTVLGCFFTNGQICSATSRLIVHESIAVEFVNKLVKWAENIKISDPLEEGCRLGPIVSEAQYKKVLNLISTAKSEGATILTGGRRPEHLKKGYFVEPTIITDVTTSMQIWREEVFGPVLAVKTFSTEEEAIDLANDTHYGLGSAVMSNDLERCERLSKAFQAGIVWINCAQPSFIQAPWGGIKRSGFGRELGEWGLENYLSVKQVTRYISDEPWGWYQSPSKL >CAK8566142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384998619:384999864:1 gene:gene-LATHSAT_LOCUS19303 transcript:rna-LATHSAT_LOCUS19303 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVSLGCRTPTCYNLHQQTRNSFIAKTHNNNDKLMMVDWRGKQCNSKKSNRVVKCSGGMGLGDFIGGDLVKFDLGRWLSDVEEHKALAIYTPHEGGYEGRYLSRLRRQGYYFLDLTARGLGDPETTLTKIHPVCPPHLGKQPIARWYFPPEVDYRLDALPPDAKGLVVWIIEAKVLSKAELQFLALLPTLRPNVRVIAECGNWRKFMWKPLNEIAGLTTEA >CAK8571297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366719143:366720261:-1 gene:gene-LATHSAT_LOCUS23972 transcript:rna-LATHSAT_LOCUS23972 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRFRNTDQVSPDNHHHVIEISSPNTAQSGVHLDKFFEEVEAVKEELKELDRLYENLRTSNERSKTLHTAKSVKDIRSSMDNDVSVALKKAKIVKTRLEALDRSNEASRSLPGAGPGSSSDRTRTSVVSGLRKKLKDSMDSFNNLRQEISSEYRETVQRRYYTVTGENPDDKTVDLLISTGESETFLQKAIQQQGRANIMDTIQEIQERHDTVKEIERNLLALHQVFMDMSVLVQSQGEQLDNIESHVARANSYVRGGVQQLTVARKHQKNTRKWTCIAILILLIIILIIVLPIVLKK >CAK8536598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1682785:1687717:1 gene:gene-LATHSAT_LOCUS5953 transcript:rna-LATHSAT_LOCUS5953 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMAILYRTRSLLTKSKSTNITIKAISTFPFLNQEPELVEPTPTPAPSSLPPNPASGSPLYNENWRNPIPKSPSSNAVAPFGLVTRASLSETYDSHALLNIFGDWIASQQWHDVKDLFEAWVRSLDKNGKPNKPDVNLFNHYLRANLMMGASAADLLDLLAQMEHFNISPNTASFNLVLKAMHQAGETLAAEKLLERMLQSGNEALPDDESYDLVIGMLFSTDQIDAAFKYIDLTLKHGNVLSMNLFMNCVRSCVKQGRLDTLVAIIEKCRTTDQNKALCPSWNLCIFIAEVAIREDNSKLAYYGLEFMARWIVKGESARPPVLLSVDEGLVVSALLTAGRTYNSELLGAAWAVLDRSLRKKKLPNPESYLGKIYALASLGNLQKAFGTLHEYESAYGDSNQEADDLFCPFTSLHPLVVACSKKGFETLDTVYFQLENLSRAERPYKSVAALNCIILGCANIWDLDRAYQTFESIGSAFGLTPDIHSYNGLMYAFGKLKKTHEASKVFEHLVSLGVKPNAKSYTLLVDAHLINRDVKSALAVIDDMTAAGFEPSKGTLKKVRRRCIRESDYESDQRVESLAQSLNFRLGSEVRRNMLFNLDYSMDMA >CAK8565229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:77030688:77043895:-1 gene:gene-LATHSAT_LOCUS18460 transcript:rna-LATHSAT_LOCUS18460 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHWYPRAVAHGVVASGQLWQPCGLLRMTTNCISSNRDELDAIFKQKRTLRTQLRKTLKAIDPSLRSQQDYAIQDIVLGAPWFKSSLRLCAYISCSALREVDTFKLLSHILQPQFNGGKKLYVPRVEDKNSHMRMLNISRIDDLVANSMDILEPAPVDADGNAREDVLQANDPVDILFLPGLAFDRSGRRLGRGGGYYDTFLKNYKDVAEARNWKQPLLVALSYSQQILDDGVIPVTSTDIPVDALVSPEGVIPISSTAFNMYH >CAK8543941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650588587:650590161:-1 gene:gene-LATHSAT_LOCUS12662 transcript:rna-LATHSAT_LOCUS12662 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDQKLHFVLFPMMAQGHMIPMMDIAKILAQHHNIVVTVLTTPQNASRFSSIFQRFLQSGLQIHLIQLRFPSKESGLPEGCENLDMLTSLGAASDFFNSSKFLQQEAEKIFEELTPRPSCIISDMCLPYTIHIARNYNIPRISFVGVSCLYLLSLHNLYVSNMTQTMANNEYEYFDLPGVSEKIVINLAQTGLGLKGEAWDQFNIDMLEAEMGSYGKIVNSFEELEPAFAKDYKKVNNDKVWCIGPVSLSNTDSLDKVQRGHNNKNVSVDEWIHLKWLDSQKQESVIYACLGTLCNVTPPQLIELGLALEATKRPFIWVIREGNQLEELEKWTEESGFEGRINGRGLIIKGWAPQLLILSHPSVGGFLTHCGWNSTIEAICAGVPMVTWPLFADQFLNEILIVQILKVGVKIGVKSPMRWGEEEETSVLVKKEDIERGIEKLMNETNEREERRKRIREYGEMAKKAVEKGGSSNSNVTLFIQDIMEKNKENGEKVHDSEINSYGVITNTFEDLEKEYVRESC >CAK8559971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2763276:2764803:-1 gene:gene-LATHSAT_LOCUS13696 transcript:rna-LATHSAT_LOCUS13696 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGNLGSLPLIIVPAICKGRSHPFGDVNVCYRKGLAYTSLTMAVGHIYAWSIVYNILRIYSPKTNIIKFNDSKINIEVNNLENIAKCSTRTLTPIEEKSMSNGYIDQPEIECKVIDGEEKVQEKLKNMKPLKILLSDKINLKELFAPALWGAIFGVIVGIVPQLRKVLVGEHAPLCFVQTSAIMLGDACIPSMILLVGANLLKGLKGLGKKIPVVVSIIVVIYIALPTIGICIVKGAVHFNLITSDPLYQFVLLLHYAVPPAVSASTMTQLLGVGQSECSLIMFVTYSSAPILLTLWCTVFMWLVL >CAK8537021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:60843427:60846051:-1 gene:gene-LATHSAT_LOCUS6341 transcript:rna-LATHSAT_LOCUS6341 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFHFALSFSLLLLLANVSAAPPLPSTISPAKILNGFISNAVPAFTKWVFSLKPTTKKAIPGKSMMKFESGYSVETVFDGSKLGIEPYAVEVLSNGELLVLDSANSNIYKISSSLSLYSRPKLVAGSAEGYSGHVDGRLREARMNHPKGITVDDRGNIYVADIMNMAIRKISDSGVTTIAGGKLSRGGGHVDGPSEEAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLRFDDCAYQYESGFPLGIAMLVGAGFFGYMLALLQRRLSTIVASQDVAHAEGQVMPGDSLSPYQKPLKYVRPPLIPSEDEFYKQEEGLFGSIGKLLTNAGASVVEIMGFKKKPQSYEFQSQPLFHQPEKQVNGWPVQESFIIPNEDEPPSIDPRTPTPKKTYPFMSKDAEKMQQLWQGRPFYNGWDGDLQHQQQQQQQQQQKHLYSGWEGDLQQHPQQQQKHLYNGWDGDLQQQQLQKHHYRHQYHSSVSHTYYEQSREETNEILFGAVQEQDNNSAVIKPLDYGDSLYDHHNMRSRISSIGYIQKY >CAK8534370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720765392:720766822:1 gene:gene-LATHSAT_LOCUS3920 transcript:rna-LATHSAT_LOCUS3920 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTLMGRTRSEVTVLDRTETLGSQGQLLQKLYAELDEEREASATAAMEAMDMILRLQGEKATVEMEASQFKRMTEEMIEHAEETFEAYEELMHDKEMETASLKFQLQAYKNKLMSLGCDLNATEFQYLHSNASDQSSVKVMRRLKSFPSELKSFPSIPIKKIMSLGESDPPKTETSVSSDQEPSLVRESGTLNSYWNKIKMLNAQARLISDPNNIGANLKSRGGRSCSILSKASGKIACEYGQTHRLNSSNSTEVVNHCDLTRDSKRLSNRTCSSNVHDVFEVPQATAKHEVRRRHEKWNFLADIILTKPKSVSEGVIEPPFKHDADKQKGAATIVGQKKDRMGVDCNSQAEVHQKIARLQRQKTSRRYQEITSGLELGDNEEQLRLLREIKSELKLIQSEMRNLKTKNVTPVDDAVSFGLLQEAMMHFWI >CAK8530484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23723366:23723773:1 gene:gene-LATHSAT_LOCUS344 transcript:rna-LATHSAT_LOCUS344 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSNMSSNGIITLKYGHGLSMKAFISKSVTNPGRKYWKCKIWRKEEDCQLFYWDDEYFGSIDRKRIEKDDDGYSRCDVMIGYLRKFGKDFGKKFGREFGTELCSKEMEDMKKKLESTRKKLEFVVVVLICS >CAK8576659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:502704225:502708138:1 gene:gene-LATHSAT_LOCUS28832 transcript:rna-LATHSAT_LOCUS28832 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLNSGFNMPIIGLGVWRMNKQEIKDLVIKSIQIGYRHFDCAADYKNETEVGVALKEAVDAGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLQLDYLDLYLVHFPVATKHTGVGTTRSALDKDGVLDIDTTISLETTWHAMEGLVSSGLVRSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRDSLVKFCQKHEICVTAHTPLGGAAANTERFGTVSCLDDQALKNLAEKHKKTVAQIVLRWGIQRNIVVIPKTSKIERLKENFQVFDFVLSEEDENLIRNMEKKYRTNQPAKAWGLDPYA >CAK8536528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:950938488:950940028:-1 gene:gene-LATHSAT_LOCUS5887 transcript:rna-LATHSAT_LOCUS5887 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSCLLGLSLCFLFVALVASSKFEELFQPGWAMDHFVHEGDLLKLKLDNYSGAGFGSKSKYMFGKVTVHLKLVEGDSAGTVTAFYMSSEGPTHNEFDFEFLGNTTGEPYSVQTNVYVNGVGNREQRLNLWFDPSKDFHTYSIFWNQRQVMFLVDETPIRVHTNLEHKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVATYKDFEINACECPTPVTSIDSAKKCSSSEDKKYWWDEPMLSELTLHQSHQLIWVRANHMVYDYCADTARFPVIPAECVRHHH >CAK8571359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390648825:390649583:1 gene:gene-LATHSAT_LOCUS24026 transcript:rna-LATHSAT_LOCUS24026 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIDVPESSNMKGKTILLGAQARPGGWKKGIAIMDFILRLGAVAAALGAAASMGMSDQTLPFFTQFFQFEASYDSFTTFQFFVISMAIVAGYLVLSLPFSIVAIIRPHAAGPRLFLIILDTVFLTLATSSGASAASIVYLAHNGNQDTNWLAICNQFGDFCAQTSGAVVSSFVAVVVLVVLIVMSALALGKH >CAK8572575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547819031:547819297:1 gene:gene-LATHSAT_LOCUS25128 transcript:rna-LATHSAT_LOCUS25128 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNNHRKSKSSWSVFNIFKSSSNKQRDGGYYDHAAYANKVWPSDEDRGNWGVADPVIDMRATAFIAQYKKRISESEIHHIQAHHDQ >CAK8534546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740352027:740354123:1 gene:gene-LATHSAT_LOCUS4086 transcript:rna-LATHSAT_LOCUS4086 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFNITKISNIKPFQTRFFTTTSSILNLCTKPQHLEQIHARFFLHGLHQNSSLSSKLIDSYSNFGLLHFSHKLFHFTENPDSVLYNAFLRSLFKFGEYERTLFLYKEMVEKSMCPDEDSCVSVLNSLFYVCRERWMLMMVHGHVVKLGMDGFNLVENCLVELYGFLNCWNSLIYEAYESGKFVESFRIFCRMRKDNIQQFNSVTVINLLRVSVELSSLKIGKVLHSLVVVCDLCKELTVNTALLSMYAKLGDLEGARMMFEKMPEKDVVVWNIMISAYSGKGYPKESLELVYCMVRSGIRPDLFTAIPAISSIMKLKFNEWGKQMHAQVMRNGSDYQVSVHNSLIDMYSTCDDINSAEKIFCLIVDKTVVSWSAMIKGYAIHDQCFEALSLFVEMKLCGTKVDFVIVINILPAFAKIGALHYVRYLHGYSLKTNLDSLKSLKTTLLSSYAKCGCIEMARKVFDEEKNSHKDIIAWNSMITAYSNHGEWFQCFQLYDQMKLSNVKPDQVSFLGILTACVNSGLVEKGKEIFKEMVEIYGYQPSKEHNACMVDLLGRAGKIDEASEIIENSQLNSDARVYGPLLSACKMHNSETDFAELAAEKLINMEPKNAGNYVLLSNIYAAAGKWDKVAKMRSFLRDRGLKKIPGCSWVELNGQVHEFRVADQSHPRADDIYSVLNILELEAGMEDDDDLELSFGV >CAK8533639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644350809:644353096:-1 gene:gene-LATHSAT_LOCUS3251 transcript:rna-LATHSAT_LOCUS3251 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEMTRIMIGVNESSLKGYPHPSISSKGAFEWTIKKIVRNNVSSFNLLFLHVQVPDEDGYEDVDSIYASNEDFKNMKEKERVRGTHLLEYFVSRCNEIGVACQAWIKQGDPRGVILNEVKRLHPDLLVVGSRGLGPFQKVFVGTVSEFCWKHAECPVVTIKRSADETPRDPVDD >CAK8540381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555744297:555749960:-1 gene:gene-LATHSAT_LOCUS9388 transcript:rna-LATHSAT_LOCUS9388-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGNGNVATENGLAKIQTPKQKALNGICHDDSGPTVKAKTIDELHSLQTKKSAPTTPNTGNSSPFASLSEQERQQLQLQSISASLASLTRETGPKVVKGDPAKSRSQKVDHVHHVHVAPTIAVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFVTSNGALATLSGAKTGRSPRDKRVVKDDVTESELWWGKGSPNIEMDEQTFLVNRERAVDYLNSLDKVYVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPSPEELENFGTPDFTIYNAGKFPCNRFTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIRFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMESHGATGWLVNTGWSGGSYGTGSRIKLSYTRKIIDAIHNGSLLKAEYNKSNIFGLETPTAVEGVPSEILDPVNAWADKDAYNETLLKLAGLFRKNFETFTNYTIGKGGDNLTEEILAAGPIF >CAK8540382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555744297:555749960:-1 gene:gene-LATHSAT_LOCUS9388 transcript:rna-LATHSAT_LOCUS9388 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGNGNVATENGLAKIQTPKQKALNGICHDDSGPTVKAKTIDELHSLQTKKSAPTTPNTGNSSPFASLSEQERQQLQLQSISASLASLTRETGPKVVKGDPAKSRSQKVDHVHHVHVAPTIAVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFVTSNGALATLSGAKTGRSPRDKRVVKDDVTESELWWGKGSPNIEMDEQTFLVNRERAVDYLNSLDKVYVNDQFLNWDPENRIKVRIVSARAYHSLFMHNIREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIRFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMESHGATGWLVNTGWSGGSYGTGSRIKLSYTRKIIDAIHNGSLLKAEYNKSNIFGLETPTAVEGVPSEILDPVNAWADKDAYNETLLKLAGLFRKNFETFTNYTIGKGGDNLTEEILAAGPIF >CAK8544522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690359189:690359617:1 gene:gene-LATHSAT_LOCUS13193 transcript:rna-LATHSAT_LOCUS13193 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEIKSKSEVYHGDELGQVKSKELLAEICLPNGLLPLKDIIEVGYNRETGFVWLKQKNRVTHKFEKIGKPVIYSTEVTAYVEKGKIKKLSGVKTKELFIWVTVSEIYVDDPPTEKITFKTPSGLSRSFPVSAFEVEEM >CAK8577758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586680213:586681094:1 gene:gene-LATHSAT_LOCUS29832 transcript:rna-LATHSAT_LOCUS29832 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVISSSGLDWKQTLLESQDLELPKPHHLMRKQQQNPQQQQSAEHLNCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRIKKPTTPVTSSTTTGTTSIQTPLHVSDHHKTIPNSSLYQAIIHPPSLLLQQNMSMMMNTRDLLVESKDFGMFSTTCSTLPIPPHQNQSLLFPFSTSSSSFDTTTCSSSNVYYYGQEFKTMEEHEQEQEQEPIIPNTKPWEIPMAIPTSLPLPATSGGMEASKYWNWEDIDSLVSADLKDPYWDDSDIKP >CAK8537810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:437298776:437301829:1 gene:gene-LATHSAT_LOCUS7064 transcript:rna-LATHSAT_LOCUS7064 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGFVLENLSSLLENEVSTMFGIKSKAEKLSTTLDLIKAVLEDAEQKQVTDRSIKVWLQQLKDAVYVLDDILDECSIQSTRLRGINPKNLIFRRDIGNRLKEITRRLDDLADSKDKFLLRVGGTVGDRSIEVVEWRQTSSFIAEPKVFGRQDDQDKIVEFLLTQARDSHSLSIYPIVGLGGVGKTTLAQLVYNDARVTGNFNTKIWVCVSEAFSVKRILCSIIESIKSEKCDSLHLDAVQIKVQSLLQGKRYFLVLDDIWKKTQELESGLSQEKWNKLKSVLMCGSNGSSILVSTRDEDVAEIVRTCQTYHLSGLTENECWMLFKQYAFGHDREERAELVAIGKEIIKKCGGLPLAAQALGGLMRSRSGVKEWLEVKESRIWALTDENSILPVLRLSYFYLTPTLKQCFSFCAIFPKDRIIMKEALIHLWLANGFISSRENLEVEDVGSMIWNELCQKSFFQDIKIDEYSGDISFKMHDLVHDLAESILGHACVILEIENMANLSKSTHHISFHYASHLSVNKSALKKVESLRTMFVLNDDDYQFTDYFPTNCSLRVLCISSYQVSSLGSLIHLRYLEIFGCNIKNIPDSIYNLHKLEILKLKNITSLRCLPKRLACLQNLRHLVIEDCFSLSQLFPDVGKLTCLRTLSVYIVSLERGQSLTELRDLNLGGTLNIKGLKDVGSLSHAQDANLIAKKELQEVCMSWSVNDEITDTPTVSSEQVLEGLQPHTNLKRLKIHYYNGLCFASWIKTLSSLVSLELKGCNKSVRFSPIGKLPSLRKLELRDMNDVKFVDDNDDNDHEFHESMDVKIFPSLEELILFNLPGLERLWKLERRELFPCLSILEISFCSQLRLPCLPCVKDLSVVECSNVLLSSISSLYGLTTLYLCGGRGITSFPEGMFRNLTCLQTLSIDVFPNLKELPNEPFSLALYSLEIYSCHELESLPEQIWEGLQSLRTLTFKYCEELRCLPEGIRHLTSLEVLNIHYCPTLAERCKKETGKDWNKIAHIPKINIL >CAK8575430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117522847:117524120:-1 gene:gene-LATHSAT_LOCUS27698 transcript:rna-LATHSAT_LOCUS27698-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVSSCNTYDYGDAVYWDARYIQEGGSFDWYQRYSDLKPFLRHYFPLSSTILMVGCGNAENLQGANGCILQLCQRIWSKMVTRKLLTLIFHRLPLT >CAK8575429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117521704:117524120:-1 gene:gene-LATHSAT_LOCUS27698 transcript:rna-LATHSAT_LOCUS27698 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVSSCNTYDYGDAVYWDARYIQEGGSFDWYQRYSDLKPFLRHYFPLSSTILMVGCGNAVMSEDMVKDGYKEIVNIDISSVAIDMMRRKYEYIPQLKYMQMDVRDMSFFSDESFDGVIDKGTLDSLMCGTDAPISASRMLAEVCRLLKPGGIYMMITYGDPKVRMPHISKPVYNWKITLYNIPRPGFNKPECSTSSKKSLLEPIPLTETGLLPADWVVEDPDSHFIYVCRKVKDTDV >CAK8575139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:37630022:37632657:-1 gene:gene-LATHSAT_LOCUS27426 transcript:rna-LATHSAT_LOCUS27426 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLQIHPQELQFPFELRKQISCSLQLSNKSDNYVAFKVKTTNPKKYCVRPNNGVVLPRSTCDVTVTMQGQKEAPPDMQCKDKFLLQSVVASPGATTKDITPEMFNKESGYEVDERKLRVVYVSPPQPPSPVREGSDEDSSPARASVSENGHSSAVEFQASKAFNERVEQKDASFQARTLTSKVIEERNSVVEQNKKLRQELELLRRASNRSGGGIPFMYVVIVGLVGIILGYLLKRT >CAK8541707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359855241:359856527:1 gene:gene-LATHSAT_LOCUS10604 transcript:rna-LATHSAT_LOCUS10604 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAARLKKPDTVSKLVLEEVIGLTTKNGNGLASDSSSSKCAYSAGCVVVILDVNSGTQSHLIASDRLQPKPLRCVALSRDGCFVAAGETGNQSSVLIRDSSTLSVVSELKGHLNGVTCICFSPNGKHLVSVGVYIYLWDWRSGHLITKLQATSYSSTVSSVSFILDAKFIVTAGRKHLKFWTLESSRKAQQNGGMRKTVKTASLTIHEKISNLPIQKECSFTSVVSSVWTNSSDDNRKQAGQLFSMYTLPDLGILYLIHSGLSVKKSVILKVQKAFALSISGKLIACACNNGTVLLYTPESLEYTGCVLYSKAKKFYEEDNTNYHAIFPEQNFQQLPALPDAVACQFSSSEKLVVIYSDHSLYIWDIHDVNQATKCYVLASYSSCIWDIKNLCCENMHDPSLVCTAKGCLGGISFATCSTDGCRRH >CAK8532612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:326488875:326491397:1 gene:gene-LATHSAT_LOCUS2300 transcript:rna-LATHSAT_LOCUS2300 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQNLILHFILLIFIFIPFSFSSFIPLDDYLLNCGSNTNVTLFNRLFIGDDDFTNKGSILISSDKSVSLTNQNPPPNLSTLYHTARVFNKMGSYRFILKQNGTFFVRFHFSSFKAHGFDLKVANFSVLVGGKLILNSFNPKDSANDAIIKEFILKVESSLLEIVFIPVSDSGFGFVNGVEVLSAPEDFVVDYGARFVGSFGIREYKNLTYDVLETIHRINVGGVKLTPFNDTLWRTWIPDSEFLVFKEAAKAVVSTHTPDYQKGGATQEIAPDSVYMTAQEMNRDNQSILASQFNITWNFQVDSVGVRHLVRLHFCDIVSPSLNLLYFDVYINRYSAYKDVDLSSLTFHMLASPVYVDFVVDSDDSGVIQISVGPSSLSSSMRTNAILNGAEIMKVVNVMDSSSHVARRKKRLSEVLVGSIVGGVIVLLLVIAVFLVCRGCRKKKPKLRIVESVGWTPLRMFGGSSLSRMSEGTAYQSPGSYGHFGLKVAFVDIQLATNNFDESLVIGLGGFGMVYKGVLRDNLKVAVKRGMPGSRQGLPEFQSEITILSNIRHRHLVSLVGFCEENSEMILVYEYVERGPLKDHLYGSEGLLQPLSWKKRLEICIGAARGLHYLHTGFTQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCVRPAVDPQLDREQVNLAEWALRWQKKGMLGHIIDPYLVGKIKESSLKKFSETAEKCLAEYGIDRPSMGDVLWNLEYSLQLQESDQEVNVTYADSIADSEVNVTSSIIPRNPPSSIRLEEDYGNNYSDVSNVSTTKKFSHD >CAK8530340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15545463:15545714:-1 gene:gene-LATHSAT_LOCUS211 transcript:rna-LATHSAT_LOCUS211 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVLSFMIPLLLLITFSSITNVEGARNLQSIHEISKVDLPTLPTLPTLPTVATLPTLPTVATLPTLPTIPLPTIPSVPKP >CAK8563357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:595041832:595048544:-1 gene:gene-LATHSAT_LOCUS16778 transcript:rna-LATHSAT_LOCUS16778 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCGQEELELSRDGSHYSISTGILPSLGARSNRRVKLRPLIISPYDRRYRIWETFLVTLVVYTAWVSPFEFGFLKKPEEPLSISDNIVNGFFAIDMILTFFVAFIDKTTYLFVDNPKKIAWRYARTWFVLDLISIIPSELVASLSPAPIQTYGLFNMLRLWRLRRVSSLFSRLEKDRNFNYFWVRCAKLICVTLFAVHCAGCFYYLLAARYHDPKKTWIGETMEDFLHHSLWTRYVTTIYWSITTLTTVGYGDLHPVNEREMIFVIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFSQRNQLPPRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYNLMDKVYLFKGVSNDLLFQLVSEMKPEYFPPKEDVILQNEAPTDFYILVTGAVDLLVLKGGVEHVVGEAKTGELCGEIGVLCYKPQHFTVRTKRLSQLLRLNRTTFMNIVQANVGDGTIIMNNLLQHLKELNDPIMEGVLVETENMLARGRMDLPVSLCFAASRGDDLLLHQLLKRGLDPNESDNNGRTTLHIAASKGKENCVLLLLDYGANPNIRDSDGNVALWEAILGGHETVTKLLVENGATLQSGDVGQYACTAAEQNNLNLLKDIMRYGGDISLPNNTVGTTALHVAVSEDNVEIVKFLLENGANIDKPDRYGWTPRDFADQQGHVEIKAIFEAKGEQPKTQPFVSMSIPERQGSAKVRYLGRFTSEPIIPIPQDGSFHGNDGSWNPIPNRPRRRNNNFHNSLFGIMSAATKGENDQFLSVNMNNIARNGVKSNVCPCRVTVSCPEKGEVAGKLILLPESFKELLEIGGKKFGIVANKVVCKDGAEIDDIEVIRDGDHLIFVGDGGVLDSNYATQCNGVIP >CAK8537593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407168742:407169231:1 gene:gene-LATHSAT_LOCUS6873 transcript:rna-LATHSAT_LOCUS6873 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGEAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFELRRRGRFAARDVVRSPLNLII >CAK8537594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407168742:407168885:1 gene:gene-LATHSAT_LOCUS6873 transcript:rna-LATHSAT_LOCUS6873-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGEAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8572761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561282021:561282578:1 gene:gene-LATHSAT_LOCUS25289 transcript:rna-LATHSAT_LOCUS25289 gene_biotype:protein_coding transcript_biotype:protein_coding MNQREGLVILQQVWDNTMLQQKFKIKPFYAVLNKDIGRVDWRNLIKYNKARPRVFMCLWLTCHGNISTKDRLKRFGMVQDSLCGLCKMEEESLNRSFFYCSKTKGIWSYILKWVKVNHVPHKWDDKLRWIISVTKGKGRRVALMKMIVTETIYCIWSYRNDVVFDNTVNNNSLVTKIIDSVVYRG >CAK8544232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673581151:673581804:-1 gene:gene-LATHSAT_LOCUS12931 transcript:rna-LATHSAT_LOCUS12931 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRPFRKKGTIALATYLRTYHIGDYVDIRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVRNKILRKRIHVRVEHVMPSRCTEEFRLRKINNDKLKAEAKAKGEVISTKRKPEGPKPGFKVEGATLETVTPIPYDVVNDLKGGY >CAK8577178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543232753:543233391:-1 gene:gene-LATHSAT_LOCUS29307 transcript:rna-LATHSAT_LOCUS29307 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLSNAVKVQAQELTKEDFKGSNWLVLRYAILNLEAIQAAIALAKQEGLLVSLDLASFEMVRNFKLPLLKLLESGDIDLYFANEDEATELLRGEQNADPIAAVEFLAKYRQWAVVTLGSDGCIARHGKEMIRIPAIGESKATDATGAGDLFASGFLYGVVKGLSLEECCKVGTCSGGSAIRSLGGEVTLENWQWMYKQMQVKGLPTPEGL >CAK8566678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448127666:448134830:1 gene:gene-LATHSAT_LOCUS19792 transcript:rna-LATHSAT_LOCUS19792 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSASTPKSFSTPASPSSSASTQMSLSPLASPSSSASTQMSLSPPVSPTSQPEDGSLRDPFVPEIKEPPRNYLTERVKKRKRVEEIQDKSLIHGFELQEHAAKLLESNDLSSLREAIDEYAISNKETFKTVFKSFTRYYPNAFSFKLAKILELHPPLLIRTEIVDLVHEVLPEGVNNPLNSSILIELKIPLLQSLMLESEEILFRSLCESIGLLADKLYRTSLGGWEELLEYSVTCLSGESESENKKGLMLLAELPLDVALDREFWLSRGNFDLVFASIFQSIYSTDEVIKGLAYNASISLMLFSKELQRTDICEFLVPVLLNIVDQHGEEEILLSRLKRLGDLISLDDGNIFKMKHDVLFWCMIRVAEATDASEQLRSEAIYVIKELDTANVNVMEHMIKIFSEYEVRRIFTVVMNMFSYVIDDPLWYDVDNKSCKDAGLTESFKRGQFLLDCLCIDGNESVFVPTVIGMITMKYLPSIDWQLRHAAMMAFATVAQNNFKEMNMFQNFEQVAILVLKSVDEQNDRVLWATMHAIKRLSECQKLLLRAQFQTKFFEKLVPIIKCNSCARVQSYALIALRSLVKTCDFDKISHFGEQVITSLLLLLKHEKLKLQSEAIETLKSFAVFMAETFRKNYYDTTIEALRVTALNSLQKSVLCAKCLECIVHLIKNVGRDNFKEQEVVQVVETLILLERQSSNEDYLLKCIVIKVLDQICQCPRVSIDKFIEDIMPMLIGSIQPLIDLMDNKLSDDSLNDENKRLVELTRVRACNTLSYCAIRSSKSFSPHISTVAPMFIRLLGFSSFQIRKASILGLPKLLLSVKVGDKSNDTKRDVTFVIVQSLIAGLTLGDDRDLSTTILRLLARCIQSSSSFFTDQLVKVIADGINDTVKKIIKFEMEKAQEVGTLEDIFESLTTDEIVEELVILIATMIDTSRDQFLVHVDDLMSNVVAFLADDKPDRIIAFTISIFNVILPLFPDQLTLYFDRYRSISYLALAQDYPCSLPHASRAVGICAMFGGARFKESANACILVLYNVLDKELGVLVLRYDDRTLKTLWDTTVAAIGKICEFHRDCITPEQVVQKWLSFLPLRHNYNEAKYAHGLLSKLIQRLDEHLFGSNNENLPKIIWAVKRILSGPDRLGTEETINQMIYFIEQHGGMEIVTRRAYQALNLRRFSNY >CAK8566679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448127666:448134830:1 gene:gene-LATHSAT_LOCUS19792 transcript:rna-LATHSAT_LOCUS19792-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSASTPKSFSTPASPSSSASTQMSLSPLASPSSSASTQMSLSPPVSPTSQPEDGSLRDPFVPEIKEPPRNYLTERVKKRKRVEEIQDKSLIHGFELQEHAAKLLESNDLSSLREAIDEYAISNKETFKTVFKSFTRYYPNAFSFKLAKILELHPPLLIRTEIVDLVHEVLPEGVNNPLNSSILIELKIPLLQSLMLESEEILFRSLCESIGLLADKLYRTSLGGWEELLEYSVTCLSGESESENKKGLMLLAELPLDVALDREFWLSRGNFDLVFASIFQSIYSTDEVIKGLAYNASISLMLFSKELQRTDICEFLVPVLLNIVDQHGEEEILLSRLKRLGDLISLDDGNIFKMKHDVLFWCMIRVAEATDASEQLRSEAIYVIKELDTANVNVMEHMIKIFSEYEVRRIFTVVMNMFSYVIDDPLWYDVDNKSCKDAGLTESFKRGQFLLDCLCIDGNESVFVPTVIGMITMKYLPSIDWQLRHAAMMAFATVAQNNFKEMNMFQNFEQVAILVLKSVDEQNDRVLWATMHAIKRLSECQKLLLRAQFQTKFFEKLVPIIKCNSCARVQSYALIALRSLVKTCDFDKISHFGEQVITSLLLLLKHEKLKLQSEAIETLKSFAVFMAETFRKNYYDTTIEALRVTALNSLQKSVLCAKCLECIVHLIKNVGRDNFKEQEVVQVVETLILLERQSSNEDYLLKCIVIKVLDQICQCPRVSIDKFIEDIMPMLIGSIQPLIDLMDNKLSDDSLNDENKRLVELTRVRACNTLSYCAIRSSKSFSPHISTVAPMFIRLLGFSSFQIRKASILGLPKLLLSVKVGDKSNDTKRDVTFVIVQSLIAGLTLGDDRDLSTTILRLLARCIQSSSSFFTDQLVKVIADGINDTVKKIIKFEMEKAQEVGTLEDIFESLTTDEIVEELVILIATMIDTSRDQFLVHVDDLMSNVVAFLADDKPDRIIAFTISIFNVILPLFPDQLTLYFDRYRSISYLALAQDYPCSLPHASRAVGICAMFGGARFKESANACILVLYNVLDKELGVLVLRYDDRTLKTLWDTTVAAIGKICEFHRDCITPEVVQKWLSFLPLRHNYNEAKYAHGLLSKLIQRLDEHLFGSNNENLPKIIWAVKRILSGPDRLGTEETINQMIYFIEQHGGMEIVTRRAYQALNLRRFSNY >CAK8563287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590389983:590390320:1 gene:gene-LATHSAT_LOCUS16713 transcript:rna-LATHSAT_LOCUS16713 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGVIADKWSMRVLWACAIGSAVSLYMVAVERQKQNRQKMLAEGLRGMELGESNEDV >CAK8568684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632199555:632200841:1 gene:gene-LATHSAT_LOCUS21619 transcript:rna-LATHSAT_LOCUS21619 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESGVPILDFQSSGITLEEGSEGWKEMSKKVREAFESHGMFLLRCDEIPKELQNGMFTGIKSLFDLPEETKSKFTGKRVYRGYSSKSPALPNSQTFGIDDTFDPNETQSFTNLMWPEGNPNFCEALISFSSEARELSSIILKMVVEGFGLPAKYFLEVEELCKGNDTRLTKYPLPKETNDFAVTFVPHTDRSSLTFISENEIQGLQLLQKSGNWVNVNVPPNGFIVMVGDILQAWSNGRFEAPIHRVAIKGNKDRYVFILFSFPKEETFIKVPTELVDEEDHPLRYKIFSYEDYINFIKTVGTKLGALEEFVGI >CAK8560208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10523778:10525145:-1 gene:gene-LATHSAT_LOCUS13902 transcript:rna-LATHSAT_LOCUS13902 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETIDFSARCQGVGSRADIMTEITRKEKEQGIFPDPDIDTYMKAISVEGQSENLQTEYVLKILGLDICADRGISGGQKKRLTTVQACKQLVLQSETYFLQVSTHFAIQN >CAK8568918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654801273:654805112:1 gene:gene-LATHSAT_LOCUS21825 transcript:rna-LATHSAT_LOCUS21825 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPKKSDSNRRGKRRKSSGGFSPLDAPSSNVVFRLLCPASRIGGVIGKGGSIISQIRQETGVKLKIEEPVPGCEERVITFLNSDKETEEGSAEQGKEVNNNNNNNDNNNDGDDETEKKGKDDDGKGNGDDNEDKDSASVEDSQSDKGSSNLTILKAVTLVYEKMVEGIEDMGEGGDESKKASYFALRLLVLSNQVGCILGKGGSVIKRMSADSGAHIRILPKEEIPSCAMDSDELVQITGGVEVVKKALQSVFQQLLDNPSRDHESLSTNHTGPSSHSHSFGQFPQNKRTFAGQGAPFATGPNEIPVFHSAPMIPKFHEGPVRGRMRLPPEILTFRLLCPSENVGTLIGKGGSIIKTMQQETASEIKVIEGNPGSEDCIVVISGPAHPDDRISPVQEAIFRVQNRISRAIPDTKAQSMLARIIVSSNHIGCLLGKGGSIIAEMRNLSGAHIRMLGKDKGPKCVSEDDEVIQVSGEIEAVHDALLQITTRLRNHYFRDAFPSADFPSNSGFLDQHSSFPPYLGRRGLSPPRMYSDLGPPPPHAGFPLDDHPHFTNNMYRPGLPPHISERKPWGPKGLLEGGGHMGLPEFAGGPRRISGFAGGSLPIITSTTVEVVVPRGLVPVIYGEDGECLRQILQISDANVTITDPKPGAAETKIIISGTPEQTNAAQSLIQAFVMIEGESG >CAK8531173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86834222:86834458:1 gene:gene-LATHSAT_LOCUS981 transcript:rna-LATHSAT_LOCUS981 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSMDSGRGVGVVVAGTVLFPMCFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLALGYHQYKFFFC >CAK8530215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7806587:7807741:1 gene:gene-LATHSAT_LOCUS100 transcript:rna-LATHSAT_LOCUS100 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGWIIVCWALLFLSTCDAIWLTLPGPSGTKCVSEDIQNNVVVLADYLVLQVDQPYHPTISVKVTSPYGNNLHHKENVTGGNFAFTSQESGSYLACFSVAHNPGGGDVQMNIDWKVGVAAKDWDSVAKKDKIEGVELELRKLEGSVEAIHENLIYLKGREAEMRNVSEITNSRVVWFSLMSLGICIVVSVLQLWHLKRYFLKKKLI >CAK8565750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:321165646:321170990:-1 gene:gene-LATHSAT_LOCUS18937 transcript:rna-LATHSAT_LOCUS18937 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSFIEDVGNVGMTEHFDASTILREKIEQLAVMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAVPSLTHMAIVELEKAGILKFVISQNVDGLHLRSGIPREKLSELHGNSFMETCPSCGAEYFRDFEVETIGLKETSRRCSDAKCGARLKDTVLDWEDALPPKEMNPAEKHCKQADIVLCLGTSLQITPACNLPLKALRGGGKVVIVNLQKTPKDKNASLVIHGFSDKVIAGVMEHLNLRIPPFIRIDLFQIIVVHALSNDKRYVNWTLQVASSHAQKAALPFIKSVEVSFSDKEGFKEAILDKQPFRLKRRTAYNKTFEMVLNLNFGEGCGCSSLEVDVPIDFMSSTDCFNFDKDVIFQKLRDKAVFESKCGQNAVIERKTILTPRSEITTYAIVTNVVHYSKPVPDSLTNCDLKKRKDIMIGTGSSWKRSKVSKGKSLSKKE >CAK8574735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7723034:7724499:-1 gene:gene-LATHSAT_LOCUS27050 transcript:rna-LATHSAT_LOCUS27050 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNIGEERRRRLAERGSDRMALITGRINALPPTPPSNTSSPSYSRHGQSMSISAFDSHYEKVGSPLPRHARPHSIASSAFASEFNQDNAGNAEENKQDTSTASRLKHQGGFKYSNFEAKTEDEPEQVLEESKANITKSSSIEKLTECININQAKRLHNWSRNTFFSSRELNFSILASENMRALSSLIIALVVVFYYLISSEGVFASRPIYVVLVTDITIVVYRIYCEKARVSKERQGQMAEAGEDGQNWGDAVKLLERGLVLYQAIRGFFIDCSIYLVVVVCCISLM >CAK8530934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64663325:64669337:1 gene:gene-LATHSAT_LOCUS761 transcript:rna-LATHSAT_LOCUS761 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKDQIASLLENGLYNSAQMLGCFLVSSPAANAESSPHLKTESLVLLGDSFYREREYRRAIHTYKQALQYYKMIPKQNMSSARSSLSSNRSSSPNSCNIAVINENEVKFKIASCHCFLNENKAALVEMEGIPNKARSLPMNLLLGKLYRFSRHSRAAVAIYKECLRHCPFILEAITALSELGSTAKDIISLFPQTLNRTGRAPFDHADSSRWLQRYVEAQCCISSNDYKGGLELFADLLQRFPNNIHLILEIAKVEAIIGKNEEAIMNFEKARSIDPYVVTYMDEYAMLLKLKSDYSKLNKLVHDLLNIDPARPEVFVALSVLWERKDEKRALSYAEQSIRIDERHIPGYIMKGNLLLTMKRAEAAVSAFRGAQELRPDIRTYQGLVNTYLALSKIKEALYASREAMKAMPQSAKALKLVGDVHASNSSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLSEALSHYQAALRLNPQNEAAKRGLERLEKQMKGVDPDAPEEDEDNDVEDGDGDQDETELL >CAK8540337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553525531:553532083:1 gene:gene-LATHSAT_LOCUS9351 transcript:rna-LATHSAT_LOCUS9351 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNEGDERKKEHKVPFLKLFSFADSYDYFLMFVGSIGACVHGASIPVFFIFFGKLINVIGLAYLFPKEASHQVAKYSLDFVYLSIAMLFSSWTEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIIVQDALSEKVGNFMHYISRFIAGFTIGFVRVWQISLVTLSIVPLIALAGGLYAYVTIGLIAKVRKAYVRAGEIAEEVIGNVRTVQAFAGEERAVKSYKAALMKTYVNGRKAGLAKGLGLGSMHCVLFLSWSLLVWFTSIVVHKNIANGGESFTTMLNVVISGLSLGQAAPDISAFIRAKAAAYPIFKMIERDTVSKQSAKTGRKLNKVEGHIQFKNVCFSYPSRPDVAIFNNFNLDIPAGKIVALVGGSGSGKSTVVSLIERFYEPLSGHILVDKNEIRELDLKWLRQQIGLVNQEPALFATSIKENILYGKDDATLEELKRAVKLSDAQSFINNLPERLDTRVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTIVVAHRLSTIRNADVIAVVQGGKIVETGNHEELMSNPASVYASLVQLQSASSLQGLPSVGPSLGHQSSTGYSKEFSRATTSIGGSFRSDKDSIGIVGGDDGSKPKHVSAKRLYSMVGPDWPYGVFGTLCAFVAGAQMPLFALGISHALVSYYMDWDTTRHEVKKIAFLFCGGAVITVIVHAIEHLFFGIMGERLTLRVREKMFSAILKNEIGWFDEIGNTSSMLSSRLESDATLMRTIVVDRSTILLQNIGLVVASFIISFLLNWRITLVVLATYPLIVSGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCSEEKILDLYANELVGPSKNSFRRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALAPDLLKGNQMVASVFEVMDRKSEIRGDIGEELRTVEGTIELKRINFSYPSRPDVIIFKDFNLRVPSGKSVALVGQSGSGKSSVISIILRFYDPTSGKVLIDGKDVTRINLKSLRKHIGLVQQEPALFATSIYENILYGKEGASDSEVIEAAKLANAHNFISGLPEGYSTKVGERGVQLSGGQRQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMQNRTTVMVAHRLSTIRNADQISVLQDGKIIEQGTHSSLIENKHGPYYKLVNLQQQQHQQS >CAK8564067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649194362:649196007:-1 gene:gene-LATHSAT_LOCUS17408 transcript:rna-LATHSAT_LOCUS17408 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDHAFSISDEDVMMETSYTANNKPPIKEIGLAIALLVFGTLGIIIGSIMAYNHVGGDTAHGLFFAILGSVLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >CAK8564068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649194362:649196007:-1 gene:gene-LATHSAT_LOCUS17408 transcript:rna-LATHSAT_LOCUS17408-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDHAFSISDEDVMMETSYTANNKPPIKEIGLAIALLVFGTLGIIIGSIMAYNHVGGDTAHGNFREGLFFAILGSVLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >CAK8566352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:411802556:411803773:-1 gene:gene-LATHSAT_LOCUS19487 transcript:rna-LATHSAT_LOCUS19487 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSFFLSFPSKITNSKTLINPNNNFTLQFSTSFLVTKTPKKLKKKQKPKSSPRTNPIQTQPNRITEFERIVERDTLMRFVTRSKQYLSNQPEHVLQLDDAGKLHRELGFPRGRKVSRSIQQHPLLFQTYRHTNGKMWLGFTDLMEELLAEEQSVMESMELDRVDKVRKLLMMSANHRIPLSKFHHCRNLFGLPDDFRDRVVKYPNYFRVVVDNNNDGKHVLELVNWDPLLAVSALEREFMVNEEAAKRKFHFPVKHGKDLKLELDDKRKLNLLNALPLVSPYSDGGKLDVWTLEAEKYRVGLIHEFLNLTLEKRASIHHLVEFKEEFSLTKHTYHMLLKQPRAFYLAGTEMNWVVFLKDGYDRNGVLIHKDPQVVFNEKLYKYAQIEEMEPGSDVGLENQHIT >CAK8565731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:314201067:314202710:1 gene:gene-LATHSAT_LOCUS18919 transcript:rna-LATHSAT_LOCUS18919 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQTSQKHEISYGSDRFYVEPVQNISGENLDNYSSSDNSSPAFYPSHQDLEPLSAHESASTSNNSFPYQNSLTTLSFSRNNSCLVSKLESNSHVISQQNSLEFVYGSPQDDDSYLTQHDFDDLRHKIQELKSVMLGPNADMIDLYDTEIREESGLLSLEAENWKKNVEMVSRGDLKEMLYTCAKAVAVNDTDTIEWMVTELRKIVSISGSPIQRLGAYMLEALVSRMASSGSTIYKSLKCSEPTGNELLSYMHVLYEVCPYFKFGYMSANGAIAEAMKDESEIHIIDFQISQGTQWVSLIQALARRPEGPPKIRITGIDDSFSAYARGGRVDIVGERLSALAQSCHVPFEFHAVRVPASEVQLEDFELRPYEAVAVNFAIMLHHVPDETVNIHNHRDRILHLAKYFAPKVVTLVEQEFNTNNAPFLQRFVETMNYYSAVYESIDVVLPRDHKERINVEQHCLAREVVNLVACEGEERVERHELLTKWKTRFARAGFTPYPLSSFINSSIKDLLDSYRGHYTLEERDGALYLGWMYQTLIASCAWS >CAK8530756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47338186:47342884:-1 gene:gene-LATHSAT_LOCUS599 transcript:rna-LATHSAT_LOCUS599 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNSVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNNGTCYVWRLLRGTQTMTNFEPLHKLQAHNGYILKCLLSPEFCDPHRYLATASSDNTVKIWNVDGFTLEKTLIGHTRWVWDCVFSVDGAYLITASSDSTARLWSMSTGEDIKVYGGHHKATICCALHDGAEPATC >CAK8539411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512128650:512130914:1 gene:gene-LATHSAT_LOCUS8510 transcript:rna-LATHSAT_LOCUS8510 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKMELTPILLLAFILVLSVCQTSAHKYQEFTATNDELEDESSLLTYIVHVKKPSLQSKESLHGWYHSLLPSTTITQNQKRIIFSYQNIVDGFAVKLTPDEAKALEEKDEVLSARPEKILSLHTTHTPSFLGLQQSQELWKGSDLGKGIIIGILDTGIYPFHPSFSDEGMSSPPAKWNGHCEFTGKRICNNKIIGARNFIKNSSLPFDDIGHGTHTASTAAGRPVQGANVFGNANGTATGMAPDAHLAIYKVCNINGCTESAILAGMDAAVEDGVDVLSLSLGIPSTPFFEDGIALGAFSAIQKGIFVSCSAGNSGPLYGTLSNEAPWILTVGASTIDRKIEAYAKLGNGAEYLGESVFQPKDFASTLLPLVYAGALNTSDDSILFCGPTSLKNIDVKGKVVLCELGGIVARIAKGQAVKDAGGAAMILMNSENHAFNPIADVHVLPAVDVSFSAGSSIKDYINSTSTPTATILFQGTVIGNPLAPQVASFSSRGPSKASPGILKPDIIGPGLNILASWPVSLDNSTQGFNIISGTSMSCPHLTGIAALLKNSHPDWSPAAIKSAIMTTANKVNLHGKPILDQRLLPADVFATGAGHVNPSKANDPGLVYDIETNDYIPYLCGLNYTDKQVGLILQQKVKCSEVKSIPQAQLNYPSFSIQLGSASQFYTRTLTNVGPVNTTYNVVIDVPLAVGVSVSPSQITFNEVKQKVTYFVGFIPEDKENRGDNMIVQGSINWVSGKYSVSIPISVVFM >CAK8536523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:949737622:949738221:-1 gene:gene-LATHSAT_LOCUS5882 transcript:rna-LATHSAT_LOCUS5882-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNCELCKLPARTFCESDQASLCWDCDSKIHSANFLVARHVRTLLCHRCQSPTPWKASGARLSNALSLCETCARGGGGRKLEGEQEEESEGENEEDDEVDTDFDEEEEEEDEVDGDEDGDNQVVPWSSTAAPPPASSSSGSEESVSKCNDNDDEVVSKLVTTNFISLKRGREEDRDFQVIFFFSIFFCIFLLMFVIFR >CAK8536522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:949737459:949738221:-1 gene:gene-LATHSAT_LOCUS5882 transcript:rna-LATHSAT_LOCUS5882 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNCELCKLPARTFCESDQASLCWDCDSKIHSANFLVARHVRTLLCHRCQSPTPWKASGARLSNALSLCETCARGGGGRKLEGEQEEESEGENEEDDEVDTDFDEEEEEEDEVDGDEDGDNQVVPWSSTAAPPPASSSSGSEESVSKCNDNDDEVVSKLVTTNFISLKRGREEDRDFQASDSKICVNQRRYGAERRRYDGESSSKASIRQETDGKGPENSQPHD >CAK8569811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12489806:12491284:1 gene:gene-LATHSAT_LOCUS22620 transcript:rna-LATHSAT_LOCUS22620 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISVASSEIHGIPQVHDENVMIFEANKVQHETKRLCSVSSKQGTKGLNQDAASLYQDYGIEDGAFCGVYDGHGRNGHIVSKIVNNTLPSLILSQKNAIENDTTKNGVNNKQNKFSNNFLILKEAILGAFNVMDDEVKQENLDCSCSGTTAVVVIRQGNGLVIANLGDSRAILGTIDDAKLKAIQLTTDLKPGLPSEAKRIRRCNGCVYALKEEPHVQRVWLPNENYPGLAMSRAFGDFILKDHGVIAIPDIWYHPLTSNDQFIVLASDGVWDVLSNEEVVSIVWMVESEEEAARAVVEAATAAWAKKYPSSRVDDCTVVCLFLQKKPQNLGCTESGKLG >CAK8569095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670622291:670624743:1 gene:gene-LATHSAT_LOCUS21985 transcript:rna-LATHSAT_LOCUS21985 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVICDEHGIDPTGKYVSEGGNDTQLERINVYYNEASGGRYVPRAVLMDLEPGTMESIRSGPFGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQIINVQNKNSSYFVEWIPNNVKSSVCDIPPKNLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAIADEEEFEEEEGEEHQYAEH >CAK8579472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701269133:701270338:-1 gene:gene-LATHSAT_LOCUS31416 transcript:rna-LATHSAT_LOCUS31416 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQIVQSPARLGLTNPNSPSILNPNPQKLPPSQTHHHQNHHSATPSAALLSLLPPLPRAQALLAQMATLASKLFEVSPNRSVWLTAFRGSLPTFLSSQTQSHPSSLLESSSPSSTKEIISLFTSLQTQIFESVAELQEIIDLQDAKKKLDGEIRSKDSALLAFANKLKDAERELDILVDDYSDYRRSIKRFKSEDGSEDDSVTTSTITSQLKLSDILSYAHRISYTTFAPPEFGAGTAPLRGAMPPAPQEEQMRASQLYNFADLDIGLPKAVDYKEKTVEAIIEPPPLQPVDTNPLANLSAIQGMLPPNFSIPPGWKPGMPVQLPIDMPIKPPPGWKPGDPVALPPIDSVPRFEEPKIPPHIPQPKQPEIIQVQHVNLDLGGTDSSDYSSDEASSDDED >CAK8571785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473046726:473047988:-1 gene:gene-LATHSAT_LOCUS24416 transcript:rna-LATHSAT_LOCUS24416 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVSNWSNIQSVPENYIFPPETRPGEDLKIPFSHSIPIIDLNEAQNGDRTTTIQKIIKAAREFGFFQVINHGISLNEMKETMSVFKEVFQMPDEYKQNIYLDDDLKTCKIFTSTLRYETEKVHLWRDSLRHPSHPLEQWQHLWPENPTTYRECVGNFSVKIKDLGSRILDLICEGLYLKCGHFDNDLTGSMIVSVNHYPPCPKPSLTLGLTKHKDPYLITILMQDGVSGLQVLKDGKWIAVEPLPHAFVINIGHVLEIISKGKLISAEHRAVTNSSHTRTSAAFFIAPSDDCLIQPAQDISDENDHPILKSFIYKEFLKQFFNIDGDTDQLLKSFVALNS >CAK8544973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715366618:715367814:1 gene:gene-LATHSAT_LOCUS13610 transcript:rna-LATHSAT_LOCUS13610 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASTLSLSFATASSSSSSLNHNNLLLRISKRFVSFSTLPYLVAGYSSKPRVSTPFVLAVVVNGQTTIVDGEENDKEKPRKLGNPTQIYVCNLPRSCDTQQLTHIFTPHGTVLSAEICRNAESGESKGSAYVTMGSYNAAKNAIDALDGLDVGGREVRVKFSVQMNTKKKSLETLPPVKTLYYEGPYKVYVGNLARSTTPEDLRNLFGKFGNVASVRVLQDLKQGKIRLYAFVSYLSQTECDASMSLNGTEFRSRNLVVRATVERTET >CAK8544340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679153172:679154576:-1 gene:gene-LATHSAT_LOCUS13029 transcript:rna-LATHSAT_LOCUS13029 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQQYMQGQENKKLPEVGFKKLKKILKKCSTDHSHSQSQTCPNQCPVCDGTFFPSLLSEMSEIVGCFNQRAQKLLQLHLASGFRKYLLWFKGKFHKNHTAVKFHKNHTALIQEGEDLVTYALINATAIRKILKKYDKVHYSKQGQLFKSQVQSMHKEILQSPWLYELMAFHINLRETKVDSRKETALFDECSLTFEDGKPSLTCELFDSVKIDIDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKAANPKEKCPLCRERAAYEGAVHLEELNILLGRSCGEYWEQRLQSERLERIKQIKEHWDSQCRAFMGV >CAK8537339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:282382097:282383209:1 gene:gene-LATHSAT_LOCUS6636 transcript:rna-LATHSAT_LOCUS6636 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHSKVCHKNPNLLQKYPTQRNLVSGDAGFLGSTSQRFNSQACRKAITSFFILDEHSFRVVEGEGFKKLCKQLQPQITIPSRRTVARDCFQLYLAEKLKLKAIFKSDCARVALTTDCWTSIQNLSYMAITAHFIDNAWIYHKKIISFSLVPNHKGDTNGKKVEDVLKEWGLRKVSTITLYNATCNDVAVSYLGKRLKSKNSLIGVGSFLHMRCVAHILNLVVRDGQKDHELSIESVRDVVRVVRSSPQRALKFNECIEIVGITCKRKLGLDVSTRWNSTYLMLDATEKFQTAFDVGSCMEFFEHSGPPCSTDWEKVRAFVIFLKTFYEATKLFSSSQEVSLHLAFHNLSDILCKLQEASLNMNYSITLL >CAK8568844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:645726676:645729880:-1 gene:gene-LATHSAT_LOCUS21759 transcript:rna-LATHSAT_LOCUS21759-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTLDLAARRFVRYLDFTAVCGGPAYLTLSPPTPQSQTILQSPTQSRSPAQLTLDFQSLSQRPWLPSQPRWQQQRTSQSPPVMPHLRSPRPQLVSPVHRLQHPLQKLPVKRKHESPRSQPQHNAGLKDNTPKKQKQCNCKNSRCLKLYCECFAAGIYCDGCNCLNCHNNVDNEAARQEAVGITLERNPNAFRPKIASSPQKPEVSMEEVSEIQVTGKHNKGCNCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSDERRAVFQEECKLVQIRQTTNAAISGAVGPFNPRTHITPKKRKIPEILSGKAFIDQTVKMTAQYQQELDPTASSLLSLSASCVSDTANTIIPGSSRSTYRSVLADVLQTQNVKNLCSVLVVLSGEATKKNAEMRGKEVRKVETRKSEASTASSAQLLQDSRKVVKPVCENHANKDVTDAVDIDICNRPLSPETLELMCDEQVGMFFDTGSANGVTIDNTKHNMIQKSSNSDGCTDTYAEQERLILTKFRDVLGGLITLGSIKG >CAK8568843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:645725849:645729880:-1 gene:gene-LATHSAT_LOCUS21759 transcript:rna-LATHSAT_LOCUS21759 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTLDLAARRFVRYLDFTAVCGGPAYLTLSPPTPQSQTILQSPTQSRSPAQLTLDFQSLSQRPWLPSQPRWQQQRTSQSPPVMPHLRSPRPQLVSPVHRLQHPLQKLPVKRKHESPRSQPQHNAGLKDNTPKKQKQCNCKNSRCLKLYCECFAAGIYCDGCNCLNCHNNVDNEAARQEAVGITLERNPNAFRPKIASSPQKPEVSMEEVSEIQVTGKHNKGCNCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSDERRAVFQEECKLVQIRQTTNAAISGAVGPFNPRTHITPKKRKIPEILSGKAFIDQTVKMTAQYQQELDPTASSLLSLSASCVSDTANTIIPGSSRSTYRSVLADVLQTQNVKNLCSVLVVLSGEATKKNAEMRGKEVRKVETRKSEASTASSAQLLQDSRKVVKPVCENHANKDVTDAVDIDICNRPLSPETLELMCDEQVGMFFDTGSANGVTIDNTKHNMIQKSSNSDGCTDTYAEQERLILTKFRDVLGGLITLGSIKGQITEAESGI >CAK8561245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128402514:128403309:-1 gene:gene-LATHSAT_LOCUS14854 transcript:rna-LATHSAT_LOCUS14854 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPSQTSKKLKLSQYSQKKSQPSQASKNLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSYGNCGLRVIVSLHGFGEDGSPMVYRDLGLEIIHNKRSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFARCLQHWQQLTPILPTHYTL >CAK8530945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65823942:65826297:1 gene:gene-LATHSAT_LOCUS772 transcript:rna-LATHSAT_LOCUS772 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKESLVEERREEVREEKVDVDGGGEVENVKEGGEEKRVRHGGERRRRSSKANPRLSNPSNNVHGEQVAAGWPSWLSKVAGEAINGLVPRRADTFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMAHDLAGLATNPAIKFTEPQVKCYMHQLFSGLEHCHNRHVLHRDIKGSNLLIDNDGILKIADFGLASFFDPDHKHPMTSRVVTLWYRPPELLLGATEYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIVETFKNFPPSSLPLIETLLAIDPDERLTATAALHSEFFITKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGRSNAEGVKKSRPRERIARGLPVPDANAELQANIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHMDPMFDPPDVPFSSTNLSLPKTNIHTWSGPLTEPGSVGAPRRKKKHGR >CAK8533901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669692563:669695769:-1 gene:gene-LATHSAT_LOCUS3488 transcript:rna-LATHSAT_LOCUS3488 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEPIVVSGPSGYILEDVPHLSDYIPDLPTYHNPLQDNPAYSVVKQYFVHVDDTVPQKVVVHKDSPRGTHFRRAGPRQKVYFESDEVQAAIVTCGGLCPGLNTVIRELVCGLHHMYGVTRVLGIEGGYKGFYSRNTIALTPSSVNGIHKRGGTILGTSRGGHDTNKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEVRRRGLKCSVVGIPKTIDNDIPIIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRDSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIEERLKENGHMVIVIAEGAGQELLSASMQSDKKKDASGNKVLLDVGLWISQNIKDYFAREKTLAITLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPFYRITERRNNVVITDRMWARLLSSTNQPSFINVEVSNEDENGKNSLDQLADGHCLEDTLVDENGSISTAIAC >CAK8540701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16488274:16490954:1 gene:gene-LATHSAT_LOCUS9680 transcript:rna-LATHSAT_LOCUS9680 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTTIPCPKCLSFHPLGLNSQTPSRRNVQLGFNKSQLFGSLTCDLVSNGIQCLERKQFSAWKAQAHPNEAATIENSSNSAPVLVNEPNDVALPKESDNHNGKPPGPTTSTDESSVSTFMNQVSKLIKLVDSRDIVELELKQAGYELMIRKKEALQPPPVSQQSFSYPAYPYHQASPPPPPPPVAASAPASDPPAKAALALPAKSSASSHPQLKCPMAGTFYRCPAPGAPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQTGTIADILIEDGKPVSIGLPLFAIGP >CAK8578599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640788500:640789597:1 gene:gene-LATHSAT_LOCUS30595 transcript:rna-LATHSAT_LOCUS30595 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGGRKTSSASSSSKPSFISHVSPFTWLSKFKQMKINSDSETKPEKPKQNTPSDDTSSQFPHGNRGRFHPGDDDAFWRLSFGEEVVNEQKKKSEDIMKPIMYNLEAKRNGRRRRRQDRNLMNETKSAKEVEYLKKRYEKKAERVLQDQLLKLRNAEEEEQFASSKCKSLEKDELRFESPRTRFFSSYVDAESSNLGLGSIRENGVKQCDEFKAKGNKKRESVHVSRELQRRKPKQSSKVRVRSPKMATKVEICKIKTIEDKKKAKLKMKKEEEIVEETEQGLDSFAVVKCSLDPQQDFRDSMIEMIKEKQISQPEEMEKLLACYLSLNSNEYHDLIIKVFRQVWLCMSQSSLCTKPDKQCCYYD >CAK8571637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453507113:453509499:-1 gene:gene-LATHSAT_LOCUS24279 transcript:rna-LATHSAT_LOCUS24279 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNCFDLKTMTYSSPRQPIHLPTDPNLSLTSFLFQSTSSVPDTVALADAQTGDSLTFRQLETQVTAVSRSLLRLGIQRGDVVLLFAPNSIRFPVCFLAIVSIGAIATTCSPLYTVSELSKQIQDSNPKLVFTVLELLHKIEPLPLNLPAILLDDTSSRIFSSNIFSSLVWDYEDIIGVSNESSDELPANGIVSQSDVAAILYSSGTTGRSKGVMLTHRNFIATAVAGTADQVFYGERNNVFLCLVPMHHVMGLGVITYTQLRRGNTVVMMSKFDLEKTLAVVEKFRVTHLYVPPTVLVELVNQRHIVNGYDLSSMKQLAGGAAPVGKDLMQECAKILPQIEIIQGYGMTEACGLISMENPNEEGFLSGLGSTGTLLPSFESQIVSLETSKPLPPNQVGEIWLRGSTMMKGYFNNPEATKQTINDEGWMITGDLGYFDEKGQLFVVDRIKELIKCSGYQVAPAELEDLLISHPEISDAGVIPSPDAKAGEVPVAFVVRSPNSSITKEDILKFVAKQVAPYKRLRRVTFIDKIPKSPTGKILRKDLVILDRQLISKL >CAK8534504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736694304:736694750:-1 gene:gene-LATHSAT_LOCUS4047 transcript:rna-LATHSAT_LOCUS4047 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFTKSNTPVRSTSPTYANVKGSSSSASSCIPSKSSIIVAVAGTAEVVKRLSHRKKRTCMCSPSTHPGSFRCSLHKNSGPAVAPLPKSLNTRISAMMNSLVRISGVKEELLRRALAAQIRCSSHKQHRRNDFRPRPSRFSVMSRYS >CAK8567548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523876610:523883009:-1 gene:gene-LATHSAT_LOCUS20592 transcript:rna-LATHSAT_LOCUS20592 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGRCVSSIFVRAGILSRRLRFNFVGKGLLPRVDPEVAVYFPNRVFKRYLGMMVDTGSMSTRAGPSMDTAASQKDDDSARSGFVTGGWKSEDERLVCGYSSFRGKRVTMEDFYDVKTSIIDGRSVSLFGIFDGHGGSRAAEYLKDHLFENLTKHPKFLTDTKLAISETYQQTDAEFLDSVKDNFRDDGSTASTAVLVDNHLYVANVGDSRTVISKAGKAIALSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKPFVVAEPEIQEQKIDEETEVLVLASDGLWDVVQNDDAVSIARAEEAAEAAARKLTEAAFNRGSADNITCIVVRFNHEKRHPANPDEADPASSQHA >CAK8542003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:451357560:451357871:1 gene:gene-LATHSAT_LOCUS10880 transcript:rna-LATHSAT_LOCUS10880 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSWNIMGLNKVGKLRDISSHLLELKPAIVILIEARVKKSKAKVIREKLHMNNNFWKTIKIMRMVEFGRAGMIVELISILCRVLVNLFIVECMMQLVGSNTG >CAK8542074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469182964:469191156:1 gene:gene-LATHSAT_LOCUS10946 transcript:rna-LATHSAT_LOCUS10946 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSIAGTYSISTLLRRDGILHLGAARVKRVELKQSLSTCRAIHSSTVAHASTGELVVVGDGVDGKRRDEGRILKVGLICGGPSAERGISLNSARSVLDHLQGDDLQVSCYYIDCNLNAHAISSAQVYSNTPADFDFKLESLAQSFPTLADLAEHLAAAVDIVFPVIHGRFGEDGGIQELLEKYNVPFVGTGSSECRQAFDKYKASSELRKHGFVTVPNFLVEGYETDRSELSKWFKKHQLDPDVGKVVVKPTRGGSSIGVTVAYGVTDSLVKANEIMSEGIDDKVLVEIFLEGGKEFTAIVLDVGSSSDSCPVALLPTEVELQFLGANDLKENDAIFNYRRKYLPTQQVAYHTPPRFPLDVIESIRKGASVLFQRLCLQDFARIDGWFLPDSGCKLSSSESEFGRSESGTIIFTDINMISGMEQTSFLFQQASKVGFSHTNILRSIVHHACLRFPNIAPASSISGQIPRSSKSSEINKPLPHRKGDQKVFVIFGGDTSERQVSLMSGTNVWLNLLGFSDLEVTPCLLSSTSDSASSGSMGAKADDVWNRTVWSLPYSLVLRHTTEEVLEACTEAIEPKRAALTSDLRKKVMNDLTEGLKDRNWFTGFDIADELPKKFSLRQWIKLVKEANGTVFIAVHGGIGEDGTLQSLLEAEGVPHTGPGALASRICMDKVETSVAIKHLASSGVLTINKEVWRKEDLSNNPINDIWHDLTEKLQSETLCIKPAKDGCSTGVARLCCSNDLVIYIKALEECLLRIPPNSLSKAHGMIEMPNPPPEHLIFEPFIETDEIIVSSKTKNEMGHGFMWKGHSRWVEITVGVIGKRGSMHSLSPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIMSEKALQNCKHHIELIANTLQLEGFSRIDAFVNVDNGEVLIIEVNTVPGMTPSTVLVHQALAEQPPLYPHQFFRTLLDLASERSI >CAK8568190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580751966:580757103:1 gene:gene-LATHSAT_LOCUS21177 transcript:rna-LATHSAT_LOCUS21177 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLILQYNSTMAYSGSIRLSCDVKRYSSIGRCSFCHKPNPGNRLCFVAFNRLRYGLRTESSPHISSRLYTQIKPIPSSCNALLCRSALVSAGGCEAPLIKVATVSLSRSFNAISGRPTVLQLIPALGIIGFAVFGLEPLLRFCGSLFLQEWTDRSWKKSNSCYIMTSYFQPLLLWAAVLLICRSLDPLVQQSKTSSVIKQRLPSFVRSFSTVFTFAYCSSSFVRQAQKLCMETNGSSDERNMSIDLIGKAVYTAIWVAAVSLFMELLGLSTQKWLTAGGMGTVLLSLAGREIFTNFLSSLMIHATRPFVVNERIKTEIKGYEVSGKVEHVGWWSPTVVRGADCEAVHIPNHKLSVNVVRNLSKKTHWRIKTHLAISHMDVNNINRIIADMRKVLAKNPLVEQRKLHRRVFLEDVNPENQALMILVSCFVKTSHSEEYLRVKEAILLDLLRVISHHGARLATPIRTIQKMYSDSDLEIDPFDSAIFTRSRAKGNHSVPFIDDGEKIAATWTPSSSVKSQDKFKSSSQAKPQNVGSDNSVQKASKSKTAPKTLLSSNGTSPSKKDEEK >CAK8568191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580752002:580757103:1 gene:gene-LATHSAT_LOCUS21177 transcript:rna-LATHSAT_LOCUS21177-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGSIRLSCDVKRYSSIGRCSFCHKPNPGNRLCFVAFNRLRYGLRTESSPHISSRLYTQIKPIPSSCNALLCRSALVSAGGCEAPLIKVATVSLSRSFNAISGRPTVLQLIPALGIIGFAVFGLEPLLRFCGSLFLQEWTDRSWKKSNSCYIMTSYFQPLLLWAAVLLICRSLDPLVQQSKTSSVIKQRLPSFVRSFSTVFTFAYCSSSFVRQAQKLCMETNGSSDERNMSIDLIGKAVYTAIWVAAVSLFMELLGLSTQKWLTAGGMGTVLLSLAGREIFTNFLSSLMIHATRPFVVNERIKTEIKGYEVSGKVEHVGWWSPTVVRGADCEAVHIPNHKLSVNVVRNLSKKTHWRIKTHLAISHMDVNNINRIIADMRKVLAKNPLVEQRKLHRRVFLEDVNPENQALMILVSCFVKTSHSEEYLRVKEAILLDLLRVISHHGARLATPIRTIQKMYSDSDLEIDPFDSAIFTRSRAKGNHSVPFIDDGEKIAATWTPSSSVKSQDKFKSSSQAKPQNVGSDNSVQKASKSKTAPKTLLSSNGTSPSKKDEEK >CAK8540425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559803815:559806079:-1 gene:gene-LATHSAT_LOCUS9430 transcript:rna-LATHSAT_LOCUS9430 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKCLGACRSQLYAARNYLKVLGFPSFHTAPNSSIELHTQEEEVVIALGSNVGDRLHNFKEALKLMRKSGIHITRHASLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLSALKRIEKGMGRTDGIRYGPRPIDLDILFYGKFKVRSDILTVPHERIWERPFVMAPLMDLLGTAIDSDTVASWHSFSGHSGGLNALWEKLGGESLIGEEGMYRVMPVANGLLDWSGRTLVMGILNLTPDSFSDGGNFQSVKSAVLQARLMIAEGADIIDIGAQSTRPMASRISVEEELGRLIPVLEAVMSIPEVEGKLISVDTFYSEVALEAVRKGAHIINDVSAGKLDASMFKVMAELDVPYVAMHMRGDPSTMQDSENLKYDNVCKDISSELYSRVREAEISGIPAWRIIMDPGIGFSKKTEDNLEVLMGIPDIREEISKRSLAISHAPILIGPSRKRFLGEICSRSSAVERDPATIASVTAGVLCGANIVRVHNVKDNLDAVKLCDTILKQKSFPMKFKQ >CAK8535746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879255354:879255671:1 gene:gene-LATHSAT_LOCUS5174 transcript:rna-LATHSAT_LOCUS5174 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRTIVNDIAKNIELINEHQTVETEKAKSDKEIKSLKKELESKTEILVQKEQEVANIKTKIDRIIRERLGELEVKSSDLEKNMLSIKSKVDNLDRRSLLDELL >CAK8530208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7741613:7742377:-1 gene:gene-LATHSAT_LOCUS95 transcript:rna-LATHSAT_LOCUS95 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGFPGMLGSIDCMHWEWKNCPVAWKGQFCRGDHGKPTIMLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNVFNDILEGHAPTVQYTINGTPYNMGYYLADGIYPEWATFVKTISMPQGEKRKLFAQHQESARKDVERAFGVLQSRFAIIRGPARAWHMETLKHTIYACIILHNMIVEDERHTYEGHFDYSYDNVDDNNSTTETFNGPHPNLATRLQRRANIREKQVHRQLQGDLVEHIWERFGHEEDET >CAK8530209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7741613:7741843:-1 gene:gene-LATHSAT_LOCUS95 transcript:rna-LATHSAT_LOCUS95-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYEGHFDYSYDNVDDNNSTTETFNGPHPNLATRLQRRANIREKQVHRQLQGDLVEHIWERFGHEEDET >CAK8577461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565757620:565761037:-1 gene:gene-LATHSAT_LOCUS29567 transcript:rna-LATHSAT_LOCUS29567 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHLINTLALGAPHRFALPQSHSPPLSPAVSKRQRFSPSARFRLVSAQTVNSVPRANGSYRVSDFMTKKQNLHVVKTSTSVDEALEALVRNRISGLPVVDDDWNLVGVVSDYDLLAIDTISGGSQCGAGLFPDVDSTWKTFNEIQKLLSKTNGKVVGDLMTPSPLVIHESTSLEDAARLLLETKYRRLPVVGKDGKLVGLITRGNIVKAALLSKRSRLP >CAK8530627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36281657:36281971:-1 gene:gene-LATHSAT_LOCUS478 transcript:rna-LATHSAT_LOCUS478 gene_biotype:protein_coding transcript_biotype:protein_coding MITITMAKHFLFSPCLLLFNTACLAHHSELDRFNQCQLDSINALEPDHRVDSEAGLTETWNPNHPELQCIGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGFII >CAK8577422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563102424:563106941:1 gene:gene-LATHSAT_LOCUS29535 transcript:rna-LATHSAT_LOCUS29535 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPTSSSSLELTISIPGFASSPITFLTTSSSSGKKLDMNQVAIEEEWMRIEEEEESNVNPRKKLRLTKEQSRLLEESFRKNHTLNPKQKECLAMQLKLRQRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEDLRAMKVGPPTVLSPHCSEPLPASTLSMCPQCERITTNATAAVAVDKQANAAVTLSPKVLTLSTQSRQM >CAK8535682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874273680:874274864:1 gene:gene-LATHSAT_LOCUS5114 transcript:rna-LATHSAT_LOCUS5114 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPSLRSTRRFCNNFSSSSAANFKTLKPLQLPVLDNLKSEWDPHKLFHLFKANATNRLLIEDRFAFYDTVSRLAGAKRFDYIEQLLEQQKTLPQSRREGFVVRIIALYGKAGMITHALDTFNQMHSFKCRRTVKSFNAILNVLASSRNFDEVVKFLDEVPSRFNIKLDVYSVNIAVKAFCENEKLQEAYLFMLDCENNKGVKPDVVTYTTLISAFYEVKSWEIGNGLWNRMVLKGCMPNLHTFNVRIQFLVTVRRAWDAKALMGLMQRVGVMPDEVTLVLVIKGFFLAGYPEMAMRVFSTLNRKGYKTSANIYQTMIHYLCKREDFVQAYTMCEDSMRKNWFPNVGTIFMLLEGLKRFGEIDKAKEIVVLAESRKPPFYSSYLASMQSLLLGH >CAK8544052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660193563:660194069:1 gene:gene-LATHSAT_LOCUS12761 transcript:rna-LATHSAT_LOCUS12761 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCETPEGHRLCANNCGFFGSSATMNFCSKCYRDIHLKEQEQAKTKSTIETALSSASSSAVASSAAAVVVESSVLEIEPLPQPPVPSIVTNPSESSIGPVQANRCGTCRKRTGLTGFKCRCGITFCGTHRYPEKHECGFDFKAVGREEIAKANPVIKADKLRRI >CAK8561006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:71588361:71591088:1 gene:gene-LATHSAT_LOCUS14635 transcript:rna-LATHSAT_LOCUS14635 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWQGGLDQEGIDYMFKVVMIGDSGVGKSQLLNRFVKNDFHMKSKATIGVEFLTKTVLMDHKLVKAQIWDTAGQERYQAITTAYYRGATGALLAYDITNRHSFNHIEKWLDELQHHADKNIVVMLVGNKSDLSSIRKVSTEEAEDLAKQKGLFFIETSALDSNNVEPAFLGLLSQIYTKVGKKHITADGHEPNWNKVNLEFEGTKLLVSPQEPECQKSKSKFNCCSIL >CAK8579061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671087816:671092217:1 gene:gene-LATHSAT_LOCUS31034 transcript:rna-LATHSAT_LOCUS31034 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPICAPSSSSCSYIRPHSCLTCASRNFKFPLLLPQAICTTQKVYRCSGGHVEASINANPLKTCIVGKITPGWSEARPLVSKRSLNRHLLPVEALVTSTTQDVSDTPLIGDDKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFTFLQKPLAQFVSVLRAPKSKEGYASIGGGSPLRRMTDAQAEELRKSLSEKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMGNLIEKELNSFDSPEKVMIFFSAHGVPVAYVEEAGDPYKAEMEECVDLIMEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIIELGKKGIKSLLAVPISFVSEHIETLEEIDVEYKELALESGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPILVWEWGWTKSAETWNGRAAMIAVLLLLFLEVTTGEGFLHQWGILPLFR >CAK8536105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:907423865:907424284:-1 gene:gene-LATHSAT_LOCUS5505 transcript:rna-LATHSAT_LOCUS5505 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLSCRGTNHDHGLFTVVQQGNLEIVTTMLQQDPSLFHQTTLYDRFLPLHIAAANSHIEILSRLLHGSVKPNILNRQKQVNCCSSLSIMVFGIWVFVFVCKNVIFA >CAK8541100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:66076125:66079617:1 gene:gene-LATHSAT_LOCUS10051 transcript:rna-LATHSAT_LOCUS10051 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFTAHPLAFLASNRFRFGTGSEFQFVRVQKNQRLGFPVCGAGSSSLAFRHLDADDFRHPLDKQNTQMLRAIPGLNQLGKALLGTVTEQVMFLENIGTSVLVSKNQLSDLHDLMAEAAEILNVSAPDLYVRQSPVPNAYTLAISGKQPFVVIHTSLVELLTRAELQAVLAHELGHLKCDHGVWLTYANILTLGAYTVPGIGGIIAQTLEEQIFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYEKAASNPVGWYIRNAQTRQLSHPLPVLRANEIDEWSRSSAYRSLLKRGIPIRSQQQKL >CAK8568790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641398268:641399884:-1 gene:gene-LATHSAT_LOCUS21715 transcript:rna-LATHSAT_LOCUS21715 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFIRDLENRYITSLKNCLKITELKKIHAHIVKLSLSQSNFIVTKMLDSCDNIGHIGYATSLFQQLIHPNIFSYNAIIRTYTHNRHHSLAINFFIRMLTHSSKSVFPDKFTFPFVIKSCAGILCCSLGMQVHGLVFKFGVDSGNITGNALIDMYTKCGDLVNACKVFEEMGHRDVISCNSLIFGYVRFGQMKSARELFDEMPVRTIVSWTTMVTGYARTGCYAEALGVFREMQMVGIDPDEISIIAVLPACAQLGALEVGKWIHIYADKNGFSQKSEICNALVEMYAKCGCIDEAWSLFDQLVEKDVISWSTMIGGLANHGKGYAAIQLFEEMQKARVAPNEITFLSVLLACSHVGLWDEGLKYFDVMRADYRIEPEIEHYGCLVDLLGRSGRLGQALDTILKMPINPDSRIWNSLLSSCRIHRNLEIAIIAMQQLLELEPEESGNYVLLANIYAELGMWEDVSNIRKLIRNKRIKKTPGSSSIEVNNVVQEFVSADDSKPFSQEVFRILAELALHQTRTSDLMELAEEDSDTSSP >CAK8575576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:179891925:179894541:1 gene:gene-LATHSAT_LOCUS27829 transcript:rna-LATHSAT_LOCUS27829 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGGSNDGGGGGGGMMMGFSKSSPFTVSQWQELEHQALIFKYMVAGLPVPPDLVLPFHSHNLFHHSIPTLSYCSFYGKKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVESQTMPSSSLSSTLVAAPTTRPPNFHNLPSTTAFATQDYHLDTIPYGIPSKQYRYLQGLKSEGGEHSCFAEASVGGNKGLQMESQLENTWPLMSTRVSSFSASKSSNNSMLQSEYPQHSFLSTEYGSGEAVKEEGQPLRSFFNEWPKSRESWSGLEDERSNQITFSTTQLSISIPMSSSDFSATSSQSPHDN >CAK8532659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:458279429:458279648:-1 gene:gene-LATHSAT_LOCUS2342 transcript:rna-LATHSAT_LOCUS2342 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWTSHSYSCHGWYWSWCNQGLLIKHGQVLEIAHKVSCIVFDKT >CAK8574297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674468441:674469502:1 gene:gene-LATHSAT_LOCUS26655 transcript:rna-LATHSAT_LOCUS26655 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKHFLLTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINKLYKIVIFRNNTLIELLRTSGFTPAELVMSQEKLVQEAVDALLDNGIRGQPMRDDHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQSILVEGRAICLHPLVCKGFNADFDGDQMVVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDPISVPTQDMLIGLYVLTSGNRRGIYANRYNWFNCRNSQNEKMSNNNFKNLKYMKKKNPVFAIPMMQLELIDRKE >CAK8561637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:333584859:333585242:1 gene:gene-LATHSAT_LOCUS15211 transcript:rna-LATHSAT_LOCUS15211 gene_biotype:protein_coding transcript_biotype:protein_coding MYKELRGERTSEPWSHILIDNYARPRSQFILWLALMGRLSTNDRLYRFGIITDEKYPFCDQKESLDHLLFDCNTTGRIWEDVLVWVGYRRQAKPWQEDQAWILQEVKKKGWRRRVLKMGLEETVYEV >CAK8561925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:412846689:412848527:-1 gene:gene-LATHSAT_LOCUS15471 transcript:rna-LATHSAT_LOCUS15471 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGAGSFQPYGYAGGFQPLPPFPVVRLRGLPFNCTDIDILKFFAGLTIVDVLLVNKNGRFSGEAFVVFAGAMQVEFALQRDRQNMGRRYVEVFRCKKQDYYNAVAGEISYEGIYDNDYQGSPPPSRVKRFSDKDQMEYTEILKMRGLPFNVTKSQIIEFFKDYKLIEDRVHIACRPDGKATGEAYVEFVSPDEAKKAMFKDKMTIGSRYVELFPSTPDEARRAESRSRQ >CAK8561388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:183710923:183711957:-1 gene:gene-LATHSAT_LOCUS14988 transcript:rna-LATHSAT_LOCUS14988 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAANLTDLPPNKRHRFIQQPQQQQHSPCSPLPTKKRKESRNSSLFHTPPSTPSPSIYSLPTKKRVSALHPHFYHHHNTSNDAVLHPLIDLNVEYNPALHSPIPTDKKPQQQQTQDTNNNNKEVVAEEDGILCCVCQSTDANSEDPIVFCDGCNLMVHASCYGNPLAKEIPDGDWFCGQCCFTGTVPTIRCCLCPTKEGAMKQTIDGKWAHVVCALLVPEVYFVDPEGREKIDCSKVPRKRWLEKCYVCGCCDGCALVCSEQKCGLGFHITCGIKEDVCIEYREGKKGATVVAGFCKTHSQIWEKNKGSGKYKIVADKE >CAK8578967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664964158:664965612:1 gene:gene-LATHSAT_LOCUS30944 transcript:rna-LATHSAT_LOCUS30944 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPMNNGLDHSSDRNRMHNESPFAIDKKKANCRTAANAADNILISQSVGGGFWPGDEKMSPDWNYGARSSCHINDEHAQNKQQGAPGQGLNQNAQNVTALHVVGQSQATVSIVPPRSRIIEGMQNQELFTNTNYTVEPTIMNASLMQIGSGNTPIQNVVSKEQLSCLSASLAHFLGTNQQLTQLHSTINSCDAKDTLFVSKIEGQSSKQYDPMCDSMEPKNINASGVSPTFSPYTKIPKDTARIPPLLSNLGQNFDHSCKKETSKMDAEKKAISQSENKITEENSPLVNTGQNDGPDEGKKLKDVKGIRAFKTSLVELIKKILKPTWKDGKVSKEDYKAIVKKVTDKVTGTVNRVHIPQTQEKIERYLSVSKPKLSKLVQVSDNVSRHAASEIIEFSIRFVLDVERVVNK >CAK8572542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545444512:545445024:1 gene:gene-LATHSAT_LOCUS25095 transcript:rna-LATHSAT_LOCUS25095 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDEIKAKSEMYYGDEMCQIKSKELLGEISMPNGLLPLKDIEECGYHRESGFVWLKQKASYDHKFVKVDRHVIYGTEVTATVEVGKIKKLTGVKVKELLMWLPLHEIVMDEPPTGKITFRAVTGLFRTFPASAFEIEGEVKDVKEENKDEVKETAPAAAAPVEVKEV >CAK8537828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439521243:439522067:1 gene:gene-LATHSAT_LOCUS7082 transcript:rna-LATHSAT_LOCUS7082 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKEIIIREVWAYNLEYEFNLIRQAIHQNHFIISMDTAFPGVIHSLKTDHFRLQPSDYYRYLKANVDDLKLIQVGLTLSDSRRNLPDFGSNNTYIWEFNFSDFDVNHDLCNQDSVDMLRRQGINFERNICHGVDSKRFADLMFSSILVFKESIVWVTFNSAYDFGYLVKILTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMTKFCNSLYGGLEQVATILNVSRAIGKSHEAASDSLLTWHAFLNMMKTYFKDDEARKHAGVLFGLEISAYNE >CAK8541812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:406173713:406175230:-1 gene:gene-LATHSAT_LOCUS10706 transcript:rna-LATHSAT_LOCUS10706 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASIPDELISKILLLLSLENIVQLKCVSKSWNNFISGPIFIQMHLKKSSQNPHLILTPTPKSPGKYPMSRVKSFPVSRILENTSITVSGDNFRSSIGSCKIIGSCHGLLCLLFHSRFRSLNDEYRKYWFCLWNPATREISKKIGTFKDCNTQPNTYKFTFGCDISTGTYKVVTLRKVLAERGEENKIDWEGQVRVFNFHDNCWRQIQSCPMIPVILMNIHINQINNGVHLSGTVNLLALPNFVQPAYEYGWKSITNAQQFVIVSLDLSTETYTQVLLPRGFDEVPHFQPILHVLMDCLCFSHDFKGIEFVIWKMKDFGVQESWTQLFRIEYLKIYHDLNFYVGREFGTPLLPLHLSMNGDTLILANCEDDRAIIYNRRDKGVERIRISNKLCWFSTMDYVESLVSTRWISGTSTPNTSSIHESMVGKFVLFLTNEEDELRDSDSNDEDELSDGDSEDNE >CAK8533833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664088700:664089586:1 gene:gene-LATHSAT_LOCUS3427 transcript:rna-LATHSAT_LOCUS3427 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAINVPPVRDNLLRHPQFQFPPNQVKFPIPSSARIGCSSPSSSFNDISLKSVTPNTNNSFVCRARATQLEVPAEIDQPYAETYAVGRNIRMSANKARRVVDQIRGRPYEETLMILELMPYRACEAVIKIVFSAGANAANNLGLSKSSLVISKAEVNEGRTLKRVRARAQGRAAQILKRTCHITVWVKGLPNETVVEANPS >CAK8540931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33304145:33305308:1 gene:gene-LATHSAT_LOCUS9888 transcript:rna-LATHSAT_LOCUS9888 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALVHHAIQSGVTFLDTSDFYGPHTNELLLGKALKGEVREKVELATKFGVRAREGKYEICGNPNYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEEVIPTCRELGIGIVAYSPLGRGFFSSGPNLLENLSHDDYRKDLPRFQPENLQQNQTIFDKVNELAAKKGCTPSQLALAWLHHQGNDVCQIPGTTKIENLNQNIGALSVKLTPEELAEIESLADGVRGDRYADK >CAK8577037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533013513:533018573:-1 gene:gene-LATHSAT_LOCUS29180 transcript:rna-LATHSAT_LOCUS29180 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLHHSTSFRTAVDDPILPFLRSIKIALEDSKCSVQNLSKLLKDCIDKFKGNHRYQNDPRFLKIWFLYMDASADFDSVFKGMLNSNICANDASLYVYSACFFEAKGRLCDADTIYKLGISKNAEPLKWLEKAHTLFLSRISEICNAATSQKVDYIESATWENNGINPWDTSTMDDLLKKINPLMKKFDGYRSSTKSYTGIVALSALKNASRNKVIEIGGMKYHIKGCAGRGGFAQVYKANVNNDSDDVVALKIQKPAFAWEFYMYRQLDERISGRERSSYGFAHRIHLFSDCSIIVCNYLAHGTLQDVINSYVVIGKSMEEVLCIYYTIEMLHMIETLHDVGLIHGDFKPDNLLVRYASNLTEDGFLDRSGSWHDQGLCLVDWGRGIDLRLFPDHTVFKADCRTSGFRCTEMQEGKPWKFQVDAYGLCGVVYVMLHNSYMQIVKKESSDGGYVYHPRLPFKRYWKVELWKNFFSKMLNNYPCHDDKRLLQDLKKSFEDYMNSDPQRIRKLKELLVKQRISLCSA >CAK8561495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:250215055:250215633:1 gene:gene-LATHSAT_LOCUS15082 transcript:rna-LATHSAT_LOCUS15082 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQPTHLNLGGTHPPQLNIPTNNKHPTIHSLNKTITTTTTNNISPNPTSDHPGSSPQSHPPPNFDLTSPRFQYQTFNTTFSQPTSTFTPDDVYYPTLQQTQPETYPQPPPPPHSFQKVLLTDEQLMHMPDFNIDDLLDEQPGPFSRQANPPTAHHNEDMSSDSSQSPRNERLGRGYRERRSTRCGTGGHLR >CAK8567610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528663129:528667243:-1 gene:gene-LATHSAT_LOCUS20647 transcript:rna-LATHSAT_LOCUS20647 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYYYDHEYDSETFARTRPRYNHHHHHGSSYRHRGAGDRGGDRGGGRHHVKTYDSQLTVTTSYRILCHDLKAGGVIGKSGSIIKSIRQHTGAWINVHEPVAGDEERIIEITDTRRRDPDGRMPSFSPAQEALLLIHDRILESDPGFGEEEDEYSGGRGGGGSKRVSSRLIVSKMHVGCLLGKGGKIIEQMRIETKTQIRILPRDHNLPRCVALSEEIVQVSGDIHNVKTAFQIISSRLRESQHRDRSLGGGGGGSGGHFHGRGYSPERYYAPDDDYVPYVTSGSRRSSVERASFGSRAANTNSRNNNRSSLAYAMDRGVSPVADDGQPFYEALVFRILCPVDRVDLIVGESDGIMGLLQNEVGVNVKVADPVGGSDEQIVIITSDEGPDHSMFPAQEALLHIQTRIADLVLDRDNIITTRLVVPRRDIECLDGNNASLSEIGRLTGASIQIISREELPPCVANTDELVQIVGEIKEAREAVLDVTSRLRSYVYRDFLQRDTVPPSAPFPGVDASSSHNMATVAEPATTNQNAQSVPVALASKETGGSSTESGKQKESDRRDDLPSGLNRSVSLVTRSILEVVIPEYAVPKLLAKSKSKLAQISELSGASVTLIEDRPDETEKIIQLSGTPEQAERAQSLLQGFILSTQEDGP >CAK8567110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488416634:488417002:-1 gene:gene-LATHSAT_LOCUS20193 transcript:rna-LATHSAT_LOCUS20193 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRFTSTIFLSLTLLLFHTHLQICTSLLSTTVSLSLLKHSETDAVIKNRVGDCLTETELMDSESNRRVLAMQKKYISYDTLKRDKVPCDRAGASYYNCHPRQANPYSRGCEVITACARGS >CAK8544149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667673186:667675267:-1 gene:gene-LATHSAT_LOCUS12851 transcript:rna-LATHSAT_LOCUS12851 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESTNQVKKAGFLSSIGGFFKDLPMKFKSKFMSAIKSVKKIGKDDPRRVIHSLKVGVALTVVSLFYYSRPLYNGFGDAGIWAVLTVVVVFEFTVGATLSKSLNRGCATLFAGALGVGGHQLATAVGERGEAIVLGTLVFILAAVATFLRFVPKIKARYDYGMVIFILTFCLVTVSGYRVEELVELAHQRLSTIIIGAAACMIISIFVCPVWAGQDLHNLVASNIEKLANYLEGFEGEYFHSFEDKEKSKSLLVGYKSVLNSKATEESLANFARWEPGHGGFSLRHPWMQYLKIGVLARECAYKIETLNTYLNPEIQTCLEFKCSIQEACTKMSSESNKALKAISSSMKTMTHPSSAKSHIENSKIAIEELKVVLDAISLEDVELLAIIPVATVAAILEEITISVEKIYDSVSELSHLAHFKNVETNVSPEKPPLLHRGIIKPVADIDNAPHVAIVIQDLCTESPDKAQKRSAEMIKT >CAK8564898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15107890:15108756:1 gene:gene-LATHSAT_LOCUS18153 transcript:rna-LATHSAT_LOCUS18153 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSSSKPVDFSATKDIFVSAVRFAMSIEEPCFPFGDELRISAQEQVDYMLGEDEDITIVVADDEVKSVVRIGVYNIIHLFETNLSMLLLNPSLEFETVADDMIMRRVSDLEWMCNVLPKMDLMKSFVSNWIAISSKILAIIEDEKFVHIMWGLKVKVIELTCKVLEAVSYGSVIVPAPSRVQLLKTWFPYVQKMKPLLDLKATAETSFRSVMDEDLCQAIEGAIVSLVLTLPSNDQAEILADWIGSREVGYPDLSEAFEVWSYRSKSAKRRLVEGLHEKTDAAISS >CAK8573175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591389276:591389629:-1 gene:gene-LATHSAT_LOCUS25652 transcript:rna-LATHSAT_LOCUS25652 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLYWNVRGFANQPTKLAVKRLILKHKHDLCFLGEPWMALDKISPAFFSRLNLRIFTMSQRNGLLPNLWYLYASQLDPQIILQDDQHVSFVINDGPYNFGMVVTYASTSYIKRREL >CAK8575011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21329336:21331020:1 gene:gene-LATHSAT_LOCUS27304 transcript:rna-LATHSAT_LOCUS27304 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFLPLILNTSAPSQFTFQPNTIPNPNFTSLNPKFNKPLSRSTSSLRATNQDSNPQTPQDAEVDNLGVKAALSILRFYKREISPILPRSCRYVPTCSEYSMEAYKRYGVLKGTTLTVWRLCRCNPLGGSGYDPPRWFGEIRQGEELDD >CAK8560048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6150775:6157910:-1 gene:gene-LATHSAT_LOCUS13764 transcript:rna-LATHSAT_LOCUS13764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLQSSFISSSTAISPITPRFARISLRNAAVRCNNAAVSLNSANGIPYRSTEISVSGSTSIPSFLVAPNTRIHDLVNKNRLRIFSGTANPALAQEIACYMGLDLGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVSEKNYFPQLTVLSVANLLGETIWRVHDDCSGGIETFSSLGID >CAK8560047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6150721:6157910:-1 gene:gene-LATHSAT_LOCUS13764 transcript:rna-LATHSAT_LOCUS13764 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLQSSFISSSTAISPITPRFARISLRNAAVRCNNAAVSLNSANGIPYRSTEISVSGSTSIPSFLVAPNTRIHDLVNKNRLRIFSGTANPALAQEIACYMGLDLGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVSEKNYFPQLTVLSVANLLGETIWRVHDDCSLMTLR >CAK8560049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6151154:6157910:-1 gene:gene-LATHSAT_LOCUS13764 transcript:rna-LATHSAT_LOCUS13764-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLQSSFISSSTAISPITPRFARISLRNAAVRCNNAAVSLNSANGIPYRSTEISVSGSTSIPSFLVAPNTRIHDLVNKNRLRIFSGTANPALAQEIACYMGLDLGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVSEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFL >CAK8542444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515235442:515236719:1 gene:gene-LATHSAT_LOCUS11283 transcript:rna-LATHSAT_LOCUS11283 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPMFIPDDLIGEIFSALPVKSILRFKCVSKYCDNLISDPDFVKFHLKRSPTVNPHFILKTDHTIKILCESPYGNDDEYDVDEAFIPYSVSSLIENPSFSVEVDPYYMVKNYEYRLVGSCNGLICFVGENLTSQYYEFWLRLWNPATRTKSSNLGFLSFFHNRSGSVNDGYYKFNFGYDDSTGTYKAVALRYNKRELRSNVRILSLGEDVWRNIGSFPVDPMYLNSAYQTSDVGVYFKSTINWLAIRNRFSYDSNNIKDISVEQFVIVSLDLRTETYNQYLLPRDFDEVPPLAPIISVLGDCLCFSYCYKETDFVIWKMKKFGVQDSWTQFLKISFHSLQIGYDYSDENLKYHFELVPLFLSKDSDTLVLKSSQEYQEILYNWRSNRVVRTNITARKAITDDRTIDFVSCSAIGYFESLLPVI >CAK8532428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259994615:260000612:-1 gene:gene-LATHSAT_LOCUS2134 transcript:rna-LATHSAT_LOCUS2134-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTCSPTSLQLRLAFNSSKFPLSHNHARLRTINPRLPPLCSVHNDKGAASNPKADGFSGWSDSSNHPQNNKDSFGGVVGVGVAGLILFTGLTFLALSLGKRKGSGLEEQMKPLTTHQELHLSPDDCDDNITGPGNAENKLVQENGKMEGQIKTSRDYSSPESDNIQGDYRTDDDSHIGSEYLYDIKNTSNGINDTSNHISVQEDLLHDSPHDNDLIVPSENQIPLQTENTVDFDRNLPVDTTESTAYLKENQFNAEPGNIPNYDGKPLGINNEQQSSGSGNSDISESSYGLGADNKTESAGTVVNPKSNNIISYPKIFTEDDQDNIDLNKTQQVSYEENKSSFEEKSILGNDLLSKSVFSPSTNSLVDDQVGNKNNEVNKDISESPNSGSLFSAPGIPAPSVVSAALQVVPGKVLVPAAFDQVQGQALAALQVLKVIEPDVQPGDLCTRREYARWLVSASSALSRNTVSKVYPAMYIDNVTELAFDDITTEDPDFSSIQGLAEAGLIESRLSRRDIQLTADEDNSPFYFLPESSLSRQDLVSWKMALEKRQLPEADRKMLHRLSGFIDTDKIHPNACPALVADLSSGDQGIIALAFGYTRLFQPDKPVTKAQAALALATGDASDIVSEELTRIEAESIAENAVTAHSALVAQVEKDINASFEQELFLEREKINAIERMAEEAKLELETLKAQREDDSVALEKERTAIESEMQVFSKLRNEVQDQLQSLMNNKLEIAYEKERIKKLREQAEVENNEIAHLQYELEVERKALSMARTWAEDEAKRVREHARALEEARDRWEKHGIKVVVDEDLRKLAEAPAEVTWLNMGEQHSTQGSIDRAKSLLEKLKRMGADVRGKSRETIDKIILMISIFISNLKEWASKTKFQAEELRETAVSKAGKSANELQHSAVEFGFTVKEGAKRVAGDCREGVEKITQKFTHKFKT >CAK8532427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259994615:260000612:-1 gene:gene-LATHSAT_LOCUS2134 transcript:rna-LATHSAT_LOCUS2134 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTCSPTSLQLRLAFNSSKFPLSHNHARLRTINPRLPPLCSVHNDKGAASNPKADGFSGWSDSSNHPQNNKDSFGGVVGVGVAGLILFTGLTFLALSLGKRKGSGLEEQMKPLTTHQELHLSPDDCDDNITGPGNAENKLVQENGKMEGQIKTSRDYSSPESDNIQGDYRTDDDSHIGSEYLYDIKNTSNGINDTSNHISVQEDLLHDSPHDNDLIVPSENQIPLQTENTVDFDRNLPVDTTESTAYLKENQFNAEPGNIPNYDGADNKTESAGTVVSYEENKSSFEEKSILGNDLLSKSVFSPSTNSLVDDQVGNKNNEVNKDISESPNSGSLFSAPGIPAPSVVSAALQVVPGKVLVPAAFDQVQGQALAALQVLKVIEPDVQPGDLCTRREYARWLVSASSALSRNTVSKVYPAMYIDNVTELAFDDITTEDPDFSSIQGLAEAGLIESRLSRRDIQLTADEDNSPFYFLPESSLSRQDLVSWKMALEKRQLPEADRKMLHRLSGFIDTDKIHPNACPALVADLSSGDQGIIALAFGYTRLFQPDKPVTKAQAALALATGDASDIVSEELTRIEAESIAENAVTAHSALVAQVEKDINASFEQELFLEREKINAIERMAEEAKLELETLKAQREDDSVALEKERTAIESEMQVFSKLRNEVQDQLQSLMNNKLEIAYEKERIKKLREQAEVENNEIAHLQYELEVERKALSMARTWAEDEAKRVREHARALEEARDRWEKHGIKVVVDEDLRKLAEAPAEVTWLNMGEQHSTQGSIDRAKSLLEKLKRMGADVRGKSRETIDKIILMISIFISNLKEWASKTKFQAEELRETAVSKAGKSANELQHSAVEFGFTVKEGAKRVAGDCREGVEKITQKFTHKFKT >CAK8576568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493155533:493158703:1 gene:gene-LATHSAT_LOCUS28744 transcript:rna-LATHSAT_LOCUS28744 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDVFSLTSTTIPSTLTRRHIAPAHKPSRHLKLSKYSHFIRYPLIPTLTNNRICTSNSLRAQASVSTKSSTAEGIPEKTDSKDDNLVFVAGATGKVGSRTVRELIKLGFKVRAGVRNAQKAGALVQSVKQLKLDGASGGGEAVEKLEIVECDLEKPDQIGSALGNASTVICTIGASEKEIFDITGPCRIDYRATKNLVDAASVAKVNHFILVTSLGTNKFGFPAAILNLFWGVLIWKRKAEEALIASGIPYTIVRPGGMERPTDAYKETHNVTLSTEDTLFGGQVSNLQVAELMATMAKNPDLSYCKIVEVIAETTAPLTPAEKLLTKIPSQRPYISSPKTVQKADTAIVSNTGPSANVVAEVPSTAPPKETAQPKPVAKTEQPLSPYTAYDDLKPPSSPSPTKPSEKKQINVTDAVPTPISSDTPSSIQEIDGVSQTTSSSKGKEYLSPYAAYPDLKPPSSPSPSVPTTSLSKLDTVVSSNGPAQLSVEDTPKDDGQQHLHEPKSRPLSPYAMYEDLKPPASPSPSFRKS >CAK8565746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:319444733:319447244:-1 gene:gene-LATHSAT_LOCUS18933 transcript:rna-LATHSAT_LOCUS18933 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYIENHVEENEHIFRSQYSPVPVPENVTLPEFVLQNAEFYGDKVAFVDAESGKEVTYNEVARDIYRFSKALRSLGLRKGNVVIVVLPNVVEYAIVALGIMASGGVFSGANPASHTSEIKKQVESADAKLIVTDSATYEKVKSLGLPVIVLDEEFVEDAMNWKKLLEAADRAGDDQMKEPIHQNDLCAMPFSSGTTGMSKGVMLTHRNLVANLCSTLFAVVPEMIGKVTTLGLIPFFHIYGITGICCATIRNKGKVVVMERYDLKSFLNALIKHEVTFAPIVPPIILGLVKNPIVDEFDLSKLKLQAVMTAAAPLAPELLTSFEHKFPGVLVQEAYGLTEHSCITLTFAQKKSGNTNKNSVGFILPNLEVKFIDPETSKSLPRNTPGELCVRSQCVMQGYYKQVDETAQTIDKNGWLHTGDVGFIDDEENVFIVDRIKELIKYKGFQVAPAELEAILLSHSSVEDAAVVPLPDEEAGEIPAASVVLRKGAKESEEDIMKFVASNAAHYKKVRVVHFVEAIPKSPSGKIMRRLVKEKMVEKIKENNSLTKSNTY >CAK8541726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:370971640:370975437:-1 gene:gene-LATHSAT_LOCUS10622 transcript:rna-LATHSAT_LOCUS10622 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSVTELLKGFCDTMPWQYAVFWKLNHLSPMTLNWESGYQKSNEPLGSMWGDINFESTDDVYSSRTEGTDDVGDYSVRLLMIEMSHRKYSLGEGVVGKLALAKEHCWVSSEDIFTGKFDTSLLPECPDEWLLQFASGIKTIVLVPVLPQGVLQFGSFKVVAEDREFVTNIKEKFHFIHSMEANTTSLNYEIDSQDWSFSDLSKYLMDSINESSAASTSLNMNESARFPQDEYLFSRETQLKSLKRANETQMFSSSFETSTDPTHIGYVDTKPNHLVEEIWPWSHFIGNEEKFGETSYGLSSYSDSNLTGHVNVKNFKDLSSVPSVSAFDKAIGTVAYRNILDKDTFSSSTLISNKKEHDHITSFELPEGLEPEYLLDIVVGDLYSASDDTSCRSNSFRSHITMPSEFTGSIQPKIVSEESTVFVKNSDVGSGLMPAVTTNAKDVFMPSFNGNSSLLVDEAPLEQVNNHVVPISRPKLSSVSKKRAKVGNKKNSRPRDRQLIMDRMKELRELIPDGGRCSIDNLLERTVKHMMYLRMVTSHAEKLKRFADHEVPKRKRQKTNGSHPGRSCAFDLESEQSWPIVIEDLESSGHMLIEMVCNDHGLFLEIAQVIRRLDITILKGILENRSSTSWACFIVEVPRGFHRMDILCPLLHLLQLRRNCVS >CAK8578603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640877271:640877852:-1 gene:gene-LATHSAT_LOCUS30599 transcript:rna-LATHSAT_LOCUS30599 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSDGFERANFGDIYIPTVDDKVKKQIMDALIVTDQGENIVGLCGPHKRVKHFVKTAVRRAERDQLFQKIVTATVTKKPDITKIQTQLGITIGLNFDDTTNQGESTFCTCFGNNKRMTTVERANLLCAKMKELQTVLVVLYDLHGRLDIGKIGIPFGEDHNGCKMLLTSKSLDVLSKEMKVHKLIELSET >CAK8532591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:311333074:311336385:1 gene:gene-LATHSAT_LOCUS2280 transcript:rna-LATHSAT_LOCUS2280 gene_biotype:protein_coding transcript_biotype:protein_coding METATTLTGLLQSVAKTFPSRRGISLAGKFDLTHSHLNELVESAADHLISAGIKPNDVVALTFPNTVEYVILFLAVIRVRATAAPLNAAYTAEEFEFYLSDSESKLLLTPLEGNKPAQDAASKLSIPLGSASLTKSEEETKLTISLKHPESGLKSDSVNSVAKLINEPSDVALFLHTSGTTSRPKGVPLTQHNLVSSVKNIQSVYQLTESDSTVIVLPLFHVHGLIAGLLSSLGSGAAVVLPAAGRFSASTFWKDMIQYNATWYTAVPTIHQIILDRHLNNPEPAYPKLRFIRSCSASLAPVILGRLEESFGAPVLEAYAMTEASHLMSSNPLPQNGPHKAGSVGKPVGQEMAILDESGRVLEAGVNGEVCIRGENVTKGYKNNEAANTAAFLFGWFHTGDIGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDVAQAVAFGIPDQKYGEEIHCAIIPREGSNIDAEEVLTFCKKNLASFKVPKKVFITDSLPKTATGKILRRLVAEHFVSKV >CAK8566309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404926842:404935659:1 gene:gene-LATHSAT_LOCUS19446 transcript:rna-LATHSAT_LOCUS19446 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTKWKIEKTKVKVVFRLQFHATHIPQPGWDKLFISFIPTDTGKATSKTTKANVRNGTCKWADPIYETTRLLQDIKTRQYEEKLYKLVVGMGSSRSGILGEANIDLADFVDALKPTAVALPLNGSEPGVTLHVAVQLLTSKTGFREFEQQRELREKGLQTTSDQGTHDGSADSKESSPDKNVNNHINKANSRVKLKRESKNLRRTSSLEGEAGLNEEYANSAAGFDGSSTTSESVYTEKHDICSTHEVDSLKSTISGDLGALSVGQSPQLDKGESPGNQFPPHDNAWAHGWSIDYSAANNLAAASEDCSSSSLKGSLEAVESSILDLKLKVSCLQNHSDEIGVETKLFSEQIVAEISSGEELAREVAVLKSECSKFKDEFEQLKSSKLNLSFACNEPAEIDRDKLFHNLQFKWHKGLLLMEDKLRDIQKVSMGFPERDFRFFNLELERVVEILQDLKQECRDPISGTKVVKGRENEKMDLQMDGQLLTDIGSDAALFQPESMARYLTVPGLVSHEFDSVDPALAMKEKIFELLRELDESKTEREGFVQKMDQMECYYEALIQELEQSQRQMMAELQNLRNEHSTCLYAISAGKAEMEKMHQNMNEQIMKFSEDKRILESLNNEFERRTISAEAALKRARLNYSIAVGQLQKDLELLSCQVLSMHETNENLINQTLSDSSLTNADGFPEPANYTKNSEGHASNQLLRQNHSSSFHKHLGEDILLSDLKRSLQYQEGLYKQVEEEICQMHFVNIYSDVFSKALQETLFEASLNIQAMNNENFQLSRQLELTNQSNNLLVLRLQNATNDILSLKEYKELCIAKSNDLTHQNQILEANLQNLAHENNLLTQKSNELEVLLTDYRSYKSQYVACSAENSELKSLLKKENLENNQLNDEISILQEELKSFRAKFDELDSMKNDLQNKVIFLSSKLQELVASYDDRHTELSLCSSSACLDSECKDLEGLLLQLEQQQRNAFDKILILVEEKKNLVNEKHMVQVSLNTAESDALVMKQKFECDFQQMVSKISVSGIQLQKLESDFEVFVDRITAGLKSEEIYSQQHDELFSSLDHLEAELQQLNSKNHDLTEEIVKLGTLSSDLEMCKLTLATVTEEKKALELSLQDKTEESAQFSSEVSFLKNNLCSLNNQLHDETIFREKLEKTVIDLTTELNEKQHQLQDSDMNRQELVHLKQLVTDVEFEKSRISDLLDMVQVSLNTAESDALVMKQKYGCDLQQMASKISVSGIQLQKLESDFEAFVDRISAGLKSEEVHSQQHNDLFSSLDHLEAELQQLNSRNHDLTQEIAKLGTLSGDLEICKLTLATIAEEKKALELSLQGKAEESAQFSSEVNFLKNSLCSLQNQLHDEKVFREKLEKTVIDLTTELNEKQHQQQDSDMNRQELVHLKQLVTDIEFEKSRISDLLQISEKRLEDALVDSSSISCLETHLSEVHEFSIATDVVMTFTRAQFEGHVKELTEKLHSACRQVDVLCEKNLDVESELNACLCRELNCMEENMTLSTSLDYLKSELAVYTAQCRALIDQNSVTVSELREHKSRTENVSNSSYLRESECRLEVVRLEQLLESVCRDGAGLFSSNVEAEVKCIVLQGKLNELETSITSMKQSDNELIRLQDQCNELTRRLSEQVLKTEEFKNLSIHLKELKDKAETECLNARDRRGNEGPPAAMQESLRIAFIKEQYETKLQELKQQLSLSKKHSEEMLWKLQHASEETENRKKSEASQIKINEELGMKILELEAELQAVISDKRNLLNAYDLLKAEKECSVISLECCKQEKQELEASLLKCSEEKSKIEAELTLVKEPIETLKSSVNVLNEGNGTLSSLNPQEKSNPAAFSHEPESANSTLSVQPEDPHASRVTNGCQILGTEEDVKKKEENNHMALAESLKSSIDHLNKELERMKNDNMLPTEDGQNHESSFPGLHRELTQLHEANQELGNMFPVFNKISVSGNALERVLALEIELAEALQTKKKSSIKLQSSFSKQHSDDEAVFRSFRDINELIKDMLELKTRHSSMETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNIRVSKKAPNSSTYFRDHSLSPH >CAK8532418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259331598:259331924:1 gene:gene-LATHSAT_LOCUS2126 transcript:rna-LATHSAT_LOCUS2126 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFKIFLENLKLIRSTNKRRLSYKLGVNHFADCTWEEFKSHRLGAAQNCSATLKGNHKITDVNLPNEVYQQQLLSIL >CAK8576528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487821966:487822779:1 gene:gene-LATHSAT_LOCUS28709 transcript:rna-LATHSAT_LOCUS28709-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATDNDALEKAFSQYGEIIDSKIINDRDTGRSRGFGFVTFATEQSMRDAIEGMNGQDMDGRNITVNEAQSRGSGGGGYGGRREGGGGGYGSGGGYGGGGGGYSRGGGGGGNWRD >CAK8576527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487821966:487822779:1 gene:gene-LATHSAT_LOCUS28709 transcript:rna-LATHSAT_LOCUS28709 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATDNDALEKAFSQYGEIIDSKIINDRDTGRSRGFGFVTFATEQSMRDAIEGMNGQDMDGRNITVNEAQSRGSGGGGRGGGGYGGGRGGGGYGGGGGGYGGRREGGGGGYGGGGGGYGGRREGGGGGYGSGGGYGGGGGGYSRGGGGGGNWRD >CAK8561007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:71871747:71873269:1 gene:gene-LATHSAT_LOCUS14636 transcript:rna-LATHSAT_LOCUS14636 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVYTQAHNYSQVEPNLIDTSIGFFNQEIFRNDNEQYYCPSTGSEMETMFTNSQHLAVKQEEKHPFLSHYNGHSSYVSSSNQMIYQTQQNQGLPNDFDASFLASENYVTETNIDSSTKNCSNLIRHKTSPPGFFSNYLLHNDTINMPQIVQNETLQPSCINSKNIGKWYMPSFTSNSWDSSTFNATKTSTINGEIMFSTSNALESQELDFGYQKLGLSHHLSLPSFSMDKYFHIKGSIPCKIRAKRGFATHPRSIAERERRIRISARIKKLQDLFPNSNKQSSTADMLDVAVDYIKDLRKQLKILSDIKAKCTCTSN >CAK8537472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365964196:365964948:1 gene:gene-LATHSAT_LOCUS6762 transcript:rna-LATHSAT_LOCUS6762 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNGGSPHSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPTMPHGAQIGSSGAQSNDQEDETPQFCTQGSLETINLGEEVASVRVVNTHKQRFQQKEDEILIQSWFNISKDSIVGVDQKGDSFWKRIGEAYSKHCDINYKERKPTQLKCRWHKINPSIQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKLLLKLHGDY >CAK8577419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562793404:562795513:1 gene:gene-LATHSAT_LOCUS29532 transcript:rna-LATHSAT_LOCUS29532 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPQQPLLRLEGTDSRNNRVMSQLTHSLLSQLNPSLPSSTLAQRSSYIQSRLQHLFHNFHTPTHPPYALMINKAIVELNDESGSTVKAISEFVRREYEDLPWAHSRILSLHLGRLCEVGEIVCNENGRYMFPVGGLEKKEKENEKERCKGGRKRRRSRKSNREGIERGIQLALLDSDRTGEASTQVAESDDHLIGSVEEKEKLQSKKGIQLQMVSSIVCAEGSPEGVTSTGSGIELSSQTQLQPQKSDDIDADVTTALVSANVDDDELPRDYSQGNIDGSLDENPVIECLDQIQPMRKRSRGRPRKSETDDANWQEKPLLLTQGPTKNKRNQNGQTRGPGRPSKLKKKEERLRKKEEMLREKEEKLMKKDQATLHDCEGKLKKKDQAKLPEREEKLKNENQAGHGRGRVRGEGRPPKPKVCDTEAPIAEATTM >CAK8572815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565298027:565298707:1 gene:gene-LATHSAT_LOCUS25337 transcript:rna-LATHSAT_LOCUS25337 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASAPSQSGLNTLSTKTKVRGCTRMKKLQLLTARDERIPIDFNSDGHPIGELAKDFKYHVACLTREKFSILIDEWDKLGSEDRKEIWKGLEQIWDISKNDVVDKKMMIYAGERWRSFKNNLTSRYLNNGIKSGMSATDIYPYIDEETWKDFVKSREDPSFLEKRKKAQET >CAK8532415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259301859:259303892:1 gene:gene-LATHSAT_LOCUS2124 transcript:rna-LATHSAT_LOCUS2124 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFHFYHQQQYSDRRGRRMASLIPGVLLKLLQTMNSNVKVRGEHRSVLLQVISIVPALSGSELWPNQGFFIKVSDSSHSTYVSLSKQDNELILNNKLQLGQYFYVDRIEAGTPVPVLVAVRPIPGRHPFEGNPKDLMQMLESSEGGKALPDNNRVNNSKSADIIEAKENTNSRQKIVIKEEKVGVASRYMKGVLNPNTKTNVTDTNVGSKGNDSETGVDGKKSGSIKGKQQEIQGQVLLTTSTRTRFEVLSTKQDTDQSNIHETITQPSKSTSIKRSSTKQENLDSNFSSNTQDKTNYAEAISWSTLPAKLLRPGKGILRRKQLASQVVIEAQKEASEAAKIVKCLSMFANICSSAASEDPHVTLDKFFALQQLIDKPNGTTQSKAKSLQSHSIQSPTEKHKYSRKSGLVPAKNTSKSPKPVTELLVTEKQEWAKENGMKQINELKDVFLNETRSWFIKYLEKTLDVGFSRVFQEKGKESKVIVGRETAHANHIAVTLSHLKHANEWLEKLRSSLNSENEGLVETIDRLKQKIYSSLLVHVDSAAFALENRA >CAK8532416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259301919:259303892:1 gene:gene-LATHSAT_LOCUS2124 transcript:rna-LATHSAT_LOCUS2124-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIPGVLLKLLQTMNSNVKVRGEHRSVLLQVISIVPALSGSELWPNQGFFIKVSDSSHSTYVSLSKQDNELILNNKLQLGQYFYVDRIEAGTPVPVLVAVRPIPGRHPFEGNPKDLMQMLESSEGGKALPDNNRVNNSKSADIIEAKENTNSRQKIVIKEEKVGVASRYMKGVLNPNTKTNVTDTNVGSKGNDSETGVDGKKSGSIKGKQQEIQGQVLLTTSTRTRFEVLSTKQDTDQSNIHETITQPSKSTSIKRSSTKQENLDSNFSSNTQDKTNYAEAISWSTLPAKLLRPGKGILRRKQLASQVVIEAQKEASEAAKIVKCLSMFANICSSAASEDPHVTLDKFFALQQLIDKPNGTTQSKAKSLQSHSIQSPTEKHKYSRKSGLVPAKNTSKSPKPVTELLVTEKQEWAKENGMKQINELKDVFLNETRSWFIKYLEKTLDVGFSRVFQEKGKESKVIVGRETAHANHIAVTLSHLKHANEWLEKLRSSLNSENEGLVETIDRLKQKIYSSLLVHVDSAAFALENRA >CAK8561343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:158703003:158703527:1 gene:gene-LATHSAT_LOCUS14944 transcript:rna-LATHSAT_LOCUS14944 gene_biotype:protein_coding transcript_biotype:protein_coding MERPIPSERSTGDDESAVFDCESVASGGHVSERQVRKTDGADMNLIRTRFVYGLSTQGLKADVMSIQRNPCSSVMAQARIQSFQIFARAVAKLRGGNANVKHVWYGASSKEEIDDIIQHGFGHAHSNGLRFSPNDSPLESVRSSVVDKDGLRHLLLCRVILGKMEVYGGGESSI >CAK8578403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626544105:626545433:-1 gene:gene-LATHSAT_LOCUS30416 transcript:rna-LATHSAT_LOCUS30416 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFIAGEKKFTTKKMSFCSDLVNHDKSIVSNGNHRLRSEALDDENSPILSGLSDDVSKYCLALVPRSNFLAMGGVSKRWKRYIQSKEFITVRKLSGQLEEWLYILTSDTEGKGSHWEVMDSFGHNRRSLPPMPGPRKAEFGVVVLNGKLLVMAGHSSIDGPDSVSAEVYQYNSNLDSWSRLSDMNVARYDFASAEVNGLVYAVGGYGAEGDSLSSAEVYDPDTNKWTLIESLRRPRWGCFACGFEGKLYVMGGRSTFTIGNSKSVDVYDPEKQIWREMKNGCVMVTAHAVLEKKLFCMEWKNQRKLSIFDPDDHSWKTVSVPLAGSSSVGFRFGILHGKLLLFSLKEDPTYRTLLYDPNASPGSEWGTTDIKPSGVCLCSVTINA >CAK8532071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:199252406:199254850:1 gene:gene-LATHSAT_LOCUS1808 transcript:rna-LATHSAT_LOCUS1808 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLTASSISTRNVASFQGLRPSGVQFHGARNVRIGNPARRSFKGMVVKAATVVAPKFTSIKPLGDRVLIKVKEAEEKTDGGILLPSNAQSKPQGGEVVAVGEGKTLGKNQVDISVKPGAQVVYSKYAGTEVDFNGEKHLIVKDDDIVGILETDDIKDLKPLNDRVLIKIEKAEEKTSGGLFLTQATKEKPSFGTVVAVGPGLVDEEGNIKPLSVTPGNTVLYSKYAGNDFKGKDGSEYITLRSSDVMAVLS >CAK8534467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:729488195:729489906:1 gene:gene-LATHSAT_LOCUS4011 transcript:rna-LATHSAT_LOCUS4011 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSKSLSLAPALNLFPNLKPPHKTLLFHQICVLFITFLAYASFHASRKPPSIVKSVLGPTAPTNLTQFQVLDSSIDLGWPPFNGTQGTHRLGEVDLAFLTAYSVGMYLAGHVGDRIDLRLFLVFGMMGSGVFTILFGLGYWLDVHVLGFFVGVQIFCGVFQSIGWPCVVAVVGNWVGESKRGLIMGVWNSHTSVGNIIGSVIASGVLEFGWGWSFVVPGFMIILVGVLVFLFLVVNPEDIGFTHPGMDVEMTVEIDGAEDPPKVDSEEVKLIDPDNSVTDSSSAIGFLEAWKIPGVAPFAFCLFFSKFVAYTFLYWLPFYIRHTAVAGVNLSHKTAGLLSTIFDIGGVLGGITAGFISDMIEARAVTSIMFLFLSIPALLLYRFLGSISMFTNITLMFLSGFLVNGPYSLITTAVAADLGTQGFSGGNSRALATVTAIIDGTGSVGAALGPLLAGYVSTRGWNSVFFMLVLSIFFAGLFLIRVARTEIQEKLSGK >CAK8577088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536087208:536088864:1 gene:gene-LATHSAT_LOCUS29226 transcript:rna-LATHSAT_LOCUS29226 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPIPNMTQPWPEFSSHQKMPNLKTIPPNFSNNQHNPSWVDEFLDFSSTRRGAHRRSASDSVTFIEASVMEHCRRRERDSEFERFDDEQLMSMFGVDEVSGKNMMQPPATGVAATLSSNSNPSTPSDNNSINEEEEEDEKHHQQKQLKHESDEDEFDECKQEIARDDDDDTNGNTTSYSSQKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNIKNNASTVVSPSPSPKPPCDNDTHIENEHLINV >CAK8540644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15162741:15166424:1 gene:gene-LATHSAT_LOCUS9630 transcript:rna-LATHSAT_LOCUS9630 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFVSQMVPEWQEAYMNYISLKSILKDISKLNEQNKSKSSMASTPKGSLRRRLTLYRAFSGLNGSNQRDSSSKSEDEVILIRSIEDEDSKGLYQTMFLKSSEDGAEKDLEFFRKLDFEFNKVNVFYKKVVKEVVDEAEELSKQMNVLIAFRIKVDKIGFQNLDTSNGNSSSIPFMRHFNDAKHGHSSLHMDVIHEVEMSNDNHFEEHVNHAAQANSKTSIEGFRPAPLEVLDHVKINVNPPETPVSTIKGLLSSSKSDHTFNKKELKKADEQLSTALKEFYHKLRLLKKYSFLNLLAFSKIMKKYDKVSSRNASKDYLKMVDSSYVGSSDEVNRLMDRVERAFIKHFANGNHRKGMNLLRPTAKRERHRTTFLLGLFTGCAIALVVALIILIHARNILNSEGRTKYMDNIFPLYSLFGYIVLHMIIYSANVYLWRHFKINYPFIFGFKEGTELGYREVFLLSSGLAVLSMAAVLSNLDMEMDERTKSFSALTELVPLGLVIVVLAITFCPFNIIYKSSRFFLVRCVFHSICAPLYKVNFPDNFLADQLTSQVQAFRSLEFYVCHYFWGDFTTRSNKCSDSHIYKTFYLIVAIIPFWIRFLQCLRRLLEEGNTMHGLNGLKYVSTIVALAMRTISSEYHSGTVWTVLAASSSTFATVVNTYWDIVMDWGLLVRNSRNPWLRDKLSVPYKSVYFVAMVLNVILRLAWMQSVLGIKEAPFLHRTALTAIVACLEILRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYQDDDDDEDSADT >CAK8568371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599714293:599716077:1 gene:gene-LATHSAT_LOCUS21333 transcript:rna-LATHSAT_LOCUS21333 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSEAPDINIEAEYCPEAVIEWYNLTKDREKISGRKDLSLKAKQHLVFLGWNLWYIDKKCRWEMRYTSPTNGKHYTTLKNACESCIKDGSCSIKSPVKSLVKSKKRLRDSKESDQSSSSSSSDDESEVSDTVTPTSKENEKCSNQCESEVTNSMHSGKIGKVLKMSTMQKKSEVSDRKRCSLLYWLIENRVLASGTSVLCRGGKDIVKKGNIFRDGIVCDCCRDNFTMTAFEAHACCTRHRPSTSILLEDGRSLLECQREALSSRGEKGSHVVGEEKMERYNDVVCLVCGLGGDIILCDRCPSSFHLGCLGLDRVPDGDWFCPTCCCKICHQPKCKQECEDQKGNDIVIICAQCEQEFHFGCLKSIGFGDVESNGKKKNWFCSVVCGKMFLCLKSMIGKPIKLANNLTWTLFKNVSSSGDDDGDFSSDELSQNESKLNSILGVLHESFDRIVDVITKRELIEDVVFSRYSEYNRCNFRGFYNVILEKMGEVISVANIRIYGPKVAEIVFVATKEQYRRQGMCGLLMDELEKHLIDLEIRSLVLHSSKSTINTWTKSFGFAIMTANDKYQFVNHTLLEFKNTIMCLKSLNRRI >CAK8578233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613724687:613726012:-1 gene:gene-LATHSAT_LOCUS30263 transcript:rna-LATHSAT_LOCUS30263 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHPSMNMSMNMNMNPSFSYTFPITATASGAITNITTTTTTYNTTSTTPWMNSRIWSKLPQRLLDRILAFLPPPAFFRARAVCKRFYSLLFSNSFLELYLQVSPRFHWFIFFKHKIRSKTHIYKNNTITDNNSFEGYIFDPNEVTWYRISFALIPSGFSPSSSSAGLLCWVSDESGPKTMLLSNPILGSITQLPPTLRPRLFPSIGLTITPSSIDVTAAGDDMISPYAVKNLSSESFHIDASGFYSIWGTTSSLPRLCSLESGRMVYSQGKFYCMNCSPFSVLAYDIATNTWFKIQAPMRRFLRSPNLVECKGKLLLVAAVEKSKLNVPKSLRVWSLQGCGSVWVETERMPQQLYVQFADMENGNGFECVGNGEFIVIMIKGSDKGLVYDIGRKRWQWIPPCPYAGYDGFELHGFAYDPRLATPVTALLDQLAMPLPQF >CAK8574756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8660479:8665359:-1 gene:gene-LATHSAT_LOCUS27068 transcript:rna-LATHSAT_LOCUS27068 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIAVMMNLLASVLLIAIVSSVANALTKNDFPPRFLFGASTSAYQVEGAANEDGRTPSIWDTFAHTGNGGLYKGDGDIASDQYHKYKDDVKLMAKMGLDAYRFSISWSRLIPDGKGPINPKGLEYYNNLINELASQGIQAHVTLHHWDLPQPLEDDYGGWVNRRVIKDFTAYADVCFREFGDRVKHWTTINEGNAYSMGGYDAGVLPPQRCSSSSISNCSKGNSSTEPYLVTHHMLLAHASAARLYRTKYKAKQKGIIGFNLLVFGFVPLTNTSEDIIAAQRARDFYIGWYLNPFIFGEYPDSMKKNAGSRLPYFTIKESNLVKGSIDFLGINFYHALCIKNDPKSLQKKDRGYTVDMAVESTRYTDTTEDEVPVIPWILEGLLQSLKNDYGNFPIYIHENGQQTRRNSSLDDWSRVRYMHQYIGSLLDMLRNGLNIKGYFVWSFLDAFELLEGYEASYGLYYIDLKDPTLRRQPKLSSVWYSNFLNNRTMDSKITMKIEEHSSISNTPLMHTAT >CAK8560862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51261096:51261482:-1 gene:gene-LATHSAT_LOCUS14505 transcript:rna-LATHSAT_LOCUS14505 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8534979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799156301:799159493:1 gene:gene-LATHSAT_LOCUS4469 transcript:rna-LATHSAT_LOCUS4469 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSTLDVTKLHPLFPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSYKAYGSGKEDNPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHMIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKAEMLMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPPAPIFS >CAK8542145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478261485:478261871:-1 gene:gene-LATHSAT_LOCUS11011 transcript:rna-LATHSAT_LOCUS11011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMFVKVTFLVMACLVLSTPLANAALSCGQIQLTITPCIGYLRSPTPSVPAPCCNGIRTLNSQAKTVPDRQGACRCLKSTVISFPGLNLPALAALPAKCGVNLPYKVTPSIDCNTYISSNQPSLFI >CAK8560607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28210027:28212312:1 gene:gene-LATHSAT_LOCUS14268 transcript:rna-LATHSAT_LOCUS14268 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIYLYLLFFYITSLHFVIFTFAQSDNYIIHMDSSVMPKVFSNQHTWYHSTLSQVTTTNNNLNSASSKILYTYTNVMNGFSANLSPQDHESLKNSHGYVSSIRDLPLKLDTTHTPQFLGLNPYKGVWPASEYGKDVIVGVIDTGVWPESESFKDDGMTEIPSKWKGKLCQFDNSNHSSFCNKKLIGARFFNKGFLAKYSNLSKTIGNDSRDTAGHGTHTSTTAAGSKVDGASFFGYANGTARGIASLSRVAIYKTVWGAEGNAMSSDIIASIDAALSDGVDVLSMSIGYNNVSLYEDAVAIATFAAMEKGVFVSTSAGNSGPSSQTLHNGVPWVINVAASTSDRKFIGNLTLGNGVSLTGLSSYVGNFSATNIPVVFMDTCENPEELIKIKHKIVVCEDKKGFLSSQFYNAYSTNVVGGVFISNASQQDLSQFLWYPLPSIVINQKDGKIVKDYILRYSNSSKIQNMSFKKTAFDVKPSPRVDSYSSRGPSNSCPYVLKPDITAPGTSILAAWPTNIPVLNFGTKVYNNFKFLSGTSMACPHVAGVAALLKGAHPDWSPAAIRSAMMTTSDILDNTKKPIKEIANDNKDATPLALGAGHINPNRALDPGLVYDAGIQDYVNLLCALNFTQQNIATITRSPFNDCSKPSLDLNYPSFIAFIKAGNSSVRTTHNFRRTVTSVDEGQSTYFASITPIKGFNISVVPNKLVFNKKNRKISFKLKIEGEKVTQNNEVSFGYLTWNDGKHVVRSPIVVTTPNFNL >CAK8541786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:394561177:394561944:-1 gene:gene-LATHSAT_LOCUS10681 transcript:rna-LATHSAT_LOCUS10681 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQKEVKLFGVVGSPFVMGVQIALKLKGIEYEFVEEDLQNKSKLLLKYNPVYKKVPVFVHNEKPISESLVIIEYIDETWKQNLILPSDPYQKAQVRFWSKFIQDMIVAPLFKAVHAFNNEKEREKNISESFLALQILENELKDKFFGGKDIGLVDIAAMFVAFSLPLLQEAAGFIVFTAKMYPKLYNWSQEFLNHSIVKEIVPAREPLLVHFKARYEILVSASK >CAK8579360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694177124:694177741:1 gene:gene-LATHSAT_LOCUS31312 transcript:rna-LATHSAT_LOCUS31312 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSFKDTTGECHHSIRILTDTGSILQLKGPKTVAQILEKYRGYGVFRQGHASLPLREQESLSYGFLYYLLPLKEDQKAGSGERVVRVAACDYVENLSNGSALEVLPYSVKNGVWKVKLVIDTRHLEEILSEQVNTGALIEKMRMAATAYSTGSPTRSRTMSAWKAGWKPTLFGTFLTPVKSGKITKSAVVAAAEESVSDLDSC >CAK8577503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569527185:569531603:1 gene:gene-LATHSAT_LOCUS29605 transcript:rna-LATHSAT_LOCUS29605 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNATSLVFSCRACAGDLSHGPTSFPRIFQSISLPATSAVAGGLKIGQPHDGVAPVVEGGGAAARRAGGNEGMRVKAKERKWSRNREGYMVDNGEPLPLPMGYPDSSPVSPEEIDERLKCDPELEDCKEVVYEWTGKCRSCQGSGYVSYYNKRGKEVTCKCIPCMGIGYVQKITARKDIDLMEDLDNGKPS >CAK8543616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626537252:626540998:-1 gene:gene-LATHSAT_LOCUS12364 transcript:rna-LATHSAT_LOCUS12364 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFTKKPTAREALRESKREMTNATRGIEREIASLQSEEKKLVAEIKRTAKTGNEAATKTLARQLIRLRQQIGNLQGSRAQMRGIATHTQAMHANSSVAVGIQGATKAMAAMNKQMEPAKQAKVMQEFQKQSAQMDMTTEMMSDVIDDALDDDEAEEETEELTNQVLDEIGVDVASQLSAAPKGRVATKNTENVGSSGIDDLEKRLAALRNP >CAK8575396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:108201201:108202199:-1 gene:gene-LATHSAT_LOCUS27665 transcript:rna-LATHSAT_LOCUS27665 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGFNAAASVRVSNELGAGNPKSASFSVVVVTVISFIISVIIALLVIALRDVISYVFTDGEEVSAAVSDLCPLLALSIVLNGVQPVLSGVAVGCGWQSFVAYVNVGCYYGIGIPLGSVLGFYFKFGAKGIWLGMLAGTVLQTIILVWSTFRTDWSNEVEESRKRLNKWEDKTDETLLKN >CAK8568368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599384094:599384693:-1 gene:gene-LATHSAT_LOCUS21330 transcript:rna-LATHSAT_LOCUS21330 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVAGNLITEFKINDQATVSMLQFADDTLLIGDGFVTNIWAFKAILRAFELIFGLKINFSKRCLYGIGVDPAYLEATEAFLHCKSDRLPFNFLGLTVGGNHCRYSFWNLMLSCLRNKLSNWAGRNLSMGGRVSLINSVIANLPIHHLAFYKAPKKVVNEIIAIQRWFLWVGNSSKKFISWISWNSFYKLKDHGGLEI >CAK8573691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630989515:630989715:1 gene:gene-LATHSAT_LOCUS26102 transcript:rna-LATHSAT_LOCUS26102 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYKYNIMGGYTDFLQAKRGIRQGDPISPMLFVLIMEYMNRILLKMQNDPNFNYHAKARV >CAK8564983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21534298:21535182:-1 gene:gene-LATHSAT_LOCUS18230 transcript:rna-LATHSAT_LOCUS18230 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSSISITSQDHGVSFNSKKVVDEKEKKTPNSDSKAPIDFMKVLKEDSVDRSNAHEYDFFIPGSSSVSPNIDNKKKDEKITEEKNTESKTLYSCNFCKREFSTLQALGGHQNAHKAERALKKQREQRYDSDALGLGQTHLNPYFRYPSSIYSPYGSLGVRMESMIQKPSFFSPRVSSNNFSYGHGGLCLQETLNPSLLNLRNNMEGSSRVGILGLGSATSSRVENSPNNKITAFLKFGDSSKDVATSSNSIIDKNFFAAPASNKDDIQQPKFNNEEEPSDESSGLDLTLKL >CAK8538319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476974571:477003302:-1 gene:gene-LATHSAT_LOCUS7531 transcript:rna-LATHSAT_LOCUS7531 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFFHKSSPQQSAQERNVVQSSFSLAKFDPRVVLHYGVPSNASILAFDRVQRLLAVGTLDGRIKVFGGDNIEGIMISPKQTSFKNLEFLVNQGFLASVSSDNEIQVWDLRNRQIASALQWESIITSFSIIYGTSYMLVGTEHGLVYVLKFDLEGRQIDILPYYVPTNVISEAVGMSLDHVSVVRVLHQPCSNGKRLLVVYENGVMVLWDALEDQIILIRGHKDIKLKIKQVPSYSDEPKDKHSEDKLELEEDKEISSVSWASNDGSVVAVGYVDGDIMFWDLSTADSPIDQEDKKTSINVIKLQLSSADRRLPIILLHWCTNKSLSSSGGELFVYGGDEIGSEEVLTVLSIDWSCGIESLKCTGRIDVALRGSFADMVLLSSDCHAEGDCNMLFVLTNPGQLDLYDNNCLSSLMSEQKRKTSSPTMQYTVIIPTLEPQMTTARLDVVCQDMKLFTALSEILVVAKQHSLPNQTSMEMKWPLTGGVPGQLFKEDHLIIQIYIAGYQDGSVRIWDASYPALSLVYNIKPEVNDVKMGSASSPVSALDFCPETLHLAVGGESGVVRLYGLIRSSDDTTLHFVTENGTNVHNVNQGDGPYCKAVFSLQNSAVCGLQFANHGGKLAVGYENGQVAMLDTITSSIMFLTSAESDTSSAVVSLKVKSSDTSSLNISQESVSDISDNSGKGLIFVMTRDAHFVAIDSETGNMVCNRSIHPKVKSNAISMHIIDGTSELSAEKLQSGSPQKNGSETQANMQSENTQDKVETTTTIENSYFGKKESLLVLFCYENELSLQSLNCVIEGSTKYTLKVHLVQQCCWTTIFKDDKEYVLAVLYQTGDIELRSLPTLEVLGESSLMSILRWNLKTDMEKTICSSSNGQTILVNENEAAFLSLLPCKNEFWSPESFPSLHDEVLAAAVDVIESISPTQNEKQGVHAIFHNIAKNFKAKKTDHDTDQAVHNNYLENLERCFSSPPFLKPSSGTEDRLDDFELDIDEIHIDEPMAFLSHQKIHVDKKEKAKETDRQKLLEESTTDSKPKARTTEEIKAKYRKTGDAATAAALARDKLVERQEKLQLLNERTEELQNGAQDFASMASELAKRMENRKWWQL >CAK8565937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356251337:356255092:-1 gene:gene-LATHSAT_LOCUS19110 transcript:rna-LATHSAT_LOCUS19110 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAEAFLSAFVEVLLEKMISHEFIDFFRRKKLDVSLLEKLKTTLLTLQSILNDAEEKQITNHAVKQWLENLRDLVFQADDLFDKINTQALRCKVKAEYQGKTVSAKVKSIFSLSFYGVINSEMQTLLERLEHFAQKGHILGLKESVSCSVWHRTPTSSVVDESTIYGRDCDREKLKGYLLAENESDVGKEIGVISIVGMGGIGKTTLAKLLYNDSEVNEKFDLKGWAYISKDFDIVQVTKTLVESVTSKTNDTTNLNTPHAEFVTSRRIDTSDLNTLQLQLKQSLNHKKFLLVLDDIWDRRYIDWNNLKDIFNAGKIGSKLIITTRDERVALAVQTFLPIHHLTPLGRDECWSLLAKHAFGACNFQQRSNLEVIGKEIAKKCDGLPLAAVAIGGLLRIKSSEDDWNNVLKSNVWSLENVEVQPAMFLSYHYLPAPLKRCFAYCSIFPKSSKLKKKTVVELWVAEGLVRQSRSQKSWEKIGEEYFDELLSRSLIHRRFDDGKVSFEMHDLIHDLATMVSYPYCIMLDEEELHERVRHLSFNRGKYDSYNKFKKLCGLKGLRTFLALPLQVSSHSCSLSNKVVHDFLPRMKQLRVLSMLNYKNITELPDSIGNLIYLRYLNLSYTEIERLPSATCKLYNLQTLLLFQCGNLAELPKDMGKLMNLRHLDIRNTSLKELPVQITKLTNLQTLSNFVVSKQHDGLKIAELGKFPDLHGSLYISKLQNVTEPWDAFHANLKLKEKIDDLVLLWETQGTTTQGSQIQSSVLEQLRPSTNLKYLGIYGYGGTNFPKWLGDSSFGNMVTMTIGNCYRCSLMPPLGKLHCLKALRIYGMESIKSVGVEFYGSSYPSFQPFPSLERLEFRDMPEWEEWNLIAGTTMEFPSLKYLSVDRCPKLKGNIPSTLPSLTELHLSKCDLMLKPRHSEDNSNTILRPSNVFSQLMLPLNSLQKLTLDRLSSLTSFPRDGLPKTLLSLSLHYCENLEFLSHESLHNYTSLEQLSIEYSCNSMTSFTLGSLPMLKSLYIKGCQNLKSMFIAKDASKSLSFIQSIQIRCCDELESFFPDGLSTPNLICLGVYGCNKLLSLPEPMSALDSLQELRVFNLPNLQHFSTEGLPVNLRKLSVGGILWNIKCSLEGMNNLSVLEIGGCDIVNKLMRMEMSLLPSCLMSLHIYQLDDIECLDGKWLHHLTALQDLEISDAPKLKSLPEEGLPSSLSSVNISDCPLLEATWRTKKGKEWRKISRIPCILINYKMIS >CAK8568351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598099462:598099985:1 gene:gene-LATHSAT_LOCUS21314 transcript:rna-LATHSAT_LOCUS21314 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRVFTLSQISQHKSSKNCWLVINGRVLDVTKFLEEHPGGEEVILEVAGKDATKEFDAIGHSKAAQSLVMKYQVGVVEGAMVEKVDDDNDDVVEKESESKQMSAFVIKDDPSSKTVTFLEFFVPIIFACVYFGYRVITVEDSVV >CAK8576300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:450298319:450299002:1 gene:gene-LATHSAT_LOCUS28500 transcript:rna-LATHSAT_LOCUS28500 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISHILRSNKVCAVLGFRDVVSVWKFGFFLQYLHQYISTMRPIHDRYAVLFTVAIVWLFAQLLTASTAYNHKSASTQNSCRTDRVGLVTSAKWVYLPYPFQWGPPTFNVLEAFPMIVASIVSLFEFFGTSYAVARVGSWFTSINIRSRGVSCQPSVSCGGILRHSN >CAK8576301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:450298442:450299002:1 gene:gene-LATHSAT_LOCUS28500 transcript:rna-LATHSAT_LOCUS28500-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIHDRYAVLFTVAIVWLFAQLLTASTAYNHKSASTQNSCRTDRVGLVTSAKWVYLPYPFQWGPPTFNVLEAFPMIVASIVSLFEFFGTSYAVARVGSWFTSINIRSRGVSCQPSVSCGGILRHSN >CAK8574646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4947148:4953057:1 gene:gene-LATHSAT_LOCUS26973 transcript:rna-LATHSAT_LOCUS26973 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKETEVYEEELIDYEEEDEKALDSAKPTTETVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVAALVLCHTRELAYQICHEVERFSTYLSDIKVAVFYGGVNIKVHKELLKNECPHIVVGTPGRILALTRDKDLGLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKDIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLQEPEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLKRYKGFKEGKQRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAGDSEVLNQVQSRFEVDIKELPEQIDTSTYMPN >CAK8541862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418429795:418430427:-1 gene:gene-LATHSAT_LOCUS10753 transcript:rna-LATHSAT_LOCUS10753 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSKGEEARKHPIIKLSYPQKRRSDVDDSEEDSHKRRKPIVTSRWAEVKKEESKISSNDEAVDLGCKKMIKNSDDPRESLKKKPMERYKRMQCWVILKRMIEGRDGWALNEALDLKGSESDDNKLIHSIGLKDIEAKLRLYSTPDEFANDMRLVFSNAFMLHSSRDHVFNIATRFSDEFERRWKSLKKEWALEKTRVNKIHQRKITKEK >CAK8572758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561229969:561235967:1 gene:gene-LATHSAT_LOCUS25286 transcript:rna-LATHSAT_LOCUS25286 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVLSRRLSSRSTSTTLLSLRFTSFYSSSSFREEQDTFGPIQVPSDKLWGAQTQRSLQNFDIGGSRERMPEPIVRAFGILKKCAAKVNMEYGLDPTIGKAIMQAAQEVADGKLNDHFPLVVWQTGSGTQSNMNANEVIANRASEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAGLELNSRLIPSLKTLHSTLNAKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYSIDRVISSLPRMYQLAQGGTAVGTGLNTKKGFDVKIASAVAEETHLPFVTAENKFEALAAHDAFVETSGALNTVAASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVYKPVIASCLLHSVRLLGDSSASFEKNCVRGIEANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKTAHKEGTTLKEAALKLGVLSSEDFDKLVVPEKMIGPTD >CAK8544877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709961873:709965370:-1 gene:gene-LATHSAT_LOCUS13522 transcript:rna-LATHSAT_LOCUS13522 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDISENDDLGFAFNDSSFSDRIIHLEIIKDLIKLFPNAESCSTSADQTSLAKRRREDIQKDNDLLSDQPDQPDGDDSAARKPQDAEADAMVKASTTDDEAANPTNVADSHIDCSAVLKVRTLHISSAILAEKSMFFYKLFTNGMRESQQTHVTLRITASEEAPFMELLNFMYSSSLNATSPPSLLDIFIAADKFEVPSCMNYCSRVLLNIPMTVQSALLYLELPLCVRMANAVRPLVLAAKQYLAVHYKDITKHLEELMELPLAGIVALLSSDELHVPSEDTVYDFVLMWARTRYPSLEERRRILRTNLIHFIRFPFMTCRKLKTVQTCNDFDHEISSMLVADALYFKAEAPHRQRMLAAESASTSRVFIERSYKYLPVKVVEFERPHQQCVVYLDLKREECANLFPSGHVCSQPFYLGGRRIFLSAQCNMDQHSFYHCFGLFFGMQEKDSANISVDYEFSVRSRPTLEYIRKYKGNFIFTGQSAVGSRNFFATPWTSFMAEDSLFFIDGVLYLRAELTIKNQQDLNSPVVWQL >CAK8570780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:112979636:112993889:-1 gene:gene-LATHSAT_LOCUS23503 transcript:rna-LATHSAT_LOCUS23503 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSKALRTLQPIKSLPPGFKVNGNLTRDGMESRGDSKLRSSGLVGSCSPENNALSEEGEDRTGDTGLFNEDLAYSGKVADEDLESVPLPFQSISASSRESRWSDTTPYVSKKKLQSWFQLSNGNWELAKIITTSGIELVISLPDGKVLKVKQDSLVPANPDILDGVDDLMQLSYLNEPSVLYDLQYRYNQNMIYTKAGPVLVAINPFKKVPLYGNNYIEAYKRKTTESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEHEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPPSLREKLKLKNAEDYKYLKLSNCYSITGVNDAEEFRIVMEALDVVHISKEDQETVFAMLAAVLWLGNISFTVIDNEDHVQAVENESLLHVTELIGCDLEDLKLTLSTRNMKVGNDKIVQKLTLSQAIDTRDALAKSIYSCLFDWLVDQINKSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSKSCFKGERDQAFSVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCTCHLPQIFASHMLTQSERPVSGPLHKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPESYEQGLVLQQLRCCGVLEVVRISRSGFPTRMTHQRFARRYGFLLLDTAASQDPLSVSVSILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGYQVRHSLKKLQGGISTLQSFIRGERTRKAYAALLQRHKAAVIIQKQIKAVFVRNKVQIVKDATIVIQSVIRGWLVRRCSGDIGFLKYGDIKTKESDEILVKSSFLAELQRRVLKAEAALREKDEENDILNQRIQQYESRWSEYESKMQSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNNTSDERDYSWDGGSNHRRQESNGTRSTSASLSVISRLAEEFEQRSQVFADDAKFLVEVKSGQVEASLNPDQELRRLKQMFEAWKRDYTARLRETKLIINKLGTEDGAIEKMKKKWWGRRNSTRIN >CAK8534527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739197224:739197652:1 gene:gene-LATHSAT_LOCUS4069 transcript:rna-LATHSAT_LOCUS4069 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTTIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCLATVGQVGNVGVNQKSLGRAGAKRWRGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKTLNSWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8542910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557949004:557950988:-1 gene:gene-LATHSAT_LOCUS11708 transcript:rna-LATHSAT_LOCUS11708 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDTLVFMELAIQQAKLAMDALEVPVGCVIVEDGKAIASGRNRTTETRNATRHAEMEAIDVLLEQWQKNGLSMTEVAKKFSNCSLYVTCEPCIMCASALSNLGIKEVFYGCSNDKFGGCGSILSLHLSDAVSPNKGFKCAGGIMATEAVLLFRTFYEQGNPKAPKPHRPLAHQASA >CAK8562070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:436171160:436171801:-1 gene:gene-LATHSAT_LOCUS15599 transcript:rna-LATHSAT_LOCUS15599 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIEQENHEVRKKVTALRAGMEKLPALVETLVATQNQPSHHISQTPLQRTAIFEIMSMPIPVNPISASKNSNKLEEGLPKEKEQAANAISREKHGGPRKKIRQHMEKFDTIPMAYSELYPTLIQKNLVQTRTPPTISKNLPWWFRYDRFCPFHQGAPGHDIEHCFALKAEVQKLVQSSILSFEDSGPNVSISLLPKYGNAEEGCLGEYYIST >CAK8534049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689406462:689411409:1 gene:gene-LATHSAT_LOCUS3623 transcript:rna-LATHSAT_LOCUS3623 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGLLGRGFAAKCKSLIKLTKNRIDVIRRKRKATEKFLKKDIADLLANGLDVNAYGRAEGLTAELTLTSCYDFVEWSCDFVLKHLSVLQKLSGCPEECRESIASLIVAAARFSDLPELRDLRQIFQERFGNSLECYVNQEFAANLNPKSFTLEQKVWLMQEISSEFSIKWDSKAFELRMSKSSAFAQGYNTYKLDHSVKPSLQGKHEVVLEKSPDYSNDRQKFRNGKEAASSKGDENYLHPKSKLAEKGFKPISKHDEVSLPRDSNPLPGREELTSPNGSYWKEGSMLKPIGSSSQDKRVQQFEDGSDFHDRRGNAVRVRESQDAATARKSPSHAGFHSKNNLNEPFAVNHGGLPDLDYSQRKVQKDETPRVKPYYSNAIPPPYVKPNSKLKTSTHKTELALPHIDNDAIPTNSSMHQKPDAASTTERIRSGLDNSEQDLQATRNAQQSKQGYEKEPYVHEDAKEFPVLKPKSVRRKHSKSRSTHNDATKEDSEVANKSRSRRRDESRRGLQILFDDEQHKKDEEERVIDRLLMHYSKKPSSVTGPEKARRRSRSRHAHQMDDGPEKTPEMAPHAPRSVSLPHEQSQEMEVKKVFTRAASFQPDRSKEARHVHPNLPEYDDLAARLASLRGT >CAK8573801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638067819:638068286:-1 gene:gene-LATHSAT_LOCUS26206 transcript:rna-LATHSAT_LOCUS26206 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRGRPRLVPLSTINSTAVISDQQATEKETIVDDAVRNDSEENGSLAEENVEIVPDTENLGHQSTEVRMEGETSQTKKLWVDVINENRNPAKGLTMEFVAPKIIDGEMEIQIEEEDVEKEVKFWESALIMYALGVDLSMNAVKQFMSKS >CAK8532812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520404149:520404673:-1 gene:gene-LATHSAT_LOCUS2478 transcript:rna-LATHSAT_LOCUS2478 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSNFDLALCCEIVNTDIVFFEQKLNEEASQVANDAVGSIRTVASFCSEEKVMELYKQKCEGPIKTGIRRGIVSEFGFGVSFLVLYAVYACSFYAGARLIEDGKSSFSDVFRVFFALSMAAIELSQSGSLVPDLTKAKSAAASIFM >CAK8562934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558853361:558854584:-1 gene:gene-LATHSAT_LOCUS16394 transcript:rna-LATHSAT_LOCUS16394 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENNNKSSSRITWEGCSVLLDINDGDRLVFARLSPAAKLKIGKKNCSLQSLIGCPFGTVFQLDTSSDGPFLSPFQPKGDVNNADEIKDGHFQDESKDDQLNSELKDNRSLIDNNTAQSLTGEDIEDMRRQGAKGNEIVDALIANSASFDKKTSFSQEKYRRKKQKKYAPRVLIKRPVARSICEAYFKKNPLKIGFLRVDTLSLLLSMANVSSKSDILVVDMVGGILTGAVAEHLGGTGFVCNSYLGQTPHSMDIVRIFNLSDEICNRKKVINQNYLRLLFSSFMTKERSFMAYDITHHHRIKEWDNTNDHHRNFTSHSLLYNHFKTPRQITMDIFFSSTARGQLVSNEPSCSQLLWTKTSISFFYQAASKTTCTTEKAAITNIPTTSQNGTCKITREEIHYAGS >CAK8531881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:170174597:170178343:-1 gene:gene-LATHSAT_LOCUS1642 transcript:rna-LATHSAT_LOCUS1642 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDPKSTKKAKHKKKVDTEPPPEPVHEPVQVKVEVIRSDPEKMPPFVGYFPSGFDPVKQSSRSTDVQVYRNQFMSKRTELVVSPAGSSVEFVGTSYAGEATGHRGMYALGVFDKEAQTLKVVRLGANKIFRLEPRVKGLEYKEPLPSSIPVEEMSQDQWRAKSRLTDAAFGTRRHNEMRNKFDEIRKDEEPEARKNLDEKMKNVEVKGIALANTEAHVTRHIPPYNTNATTPQEAYVLDKIILSLEWNHLQDIYYLLPKGEEADFSGYPTFVRNRIDKLKKIQDESEKQKLCCILSFINYLVKFKDQHTMDGVSSSKNYKIPHILKNRFLTLFEVSETRRLPPEKITLLVSYVLVLTLFTDEFNTDYKDISKDLRMTIAPVRQLYEHLGCKFAKENGCYYAKLPVPLTFPRIRNFKRKKRN >CAK8567102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487108921:487133137:-1 gene:gene-LATHSAT_LOCUS20186 transcript:rna-LATHSAT_LOCUS20186 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVMKFCGQIMYSRTFNDVEKAVTKFLKIIEEKKREMIQIAIGFDIECKPTFRRGVPPGKVAVMQICCDTSQCLVLHLIHSGIPRNLQLLLEDSTVLKVGAGIGGDATKVSRDYDISIKGVEDLSFHANQKLGGGLHKWGLASLTEKLLSKELKKPKKIRMGNWETPILSKKQLEYAATDAFASWFLYQTIKDLPDLQDDTDKSSEVDAVPQPQQ >CAK8567103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487108921:487113746:-1 gene:gene-LATHSAT_LOCUS20186 transcript:rna-LATHSAT_LOCUS20186-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSRKGEAKEEEEVRFSRLASFVYEYEPLSQEDLEAIEASLQSSLPTTTTITTTTTTNNNNKRTITSHSPPRRSRRRLPTSLIALQLPRSPSFSPSPGYSKMRLPVMKFCGQIMYSRTFNDVEKAVTKFLKIIEEKKREMIQIAIGFDIEWKPTFRRGVPPGKVAVMQICCDTSQCLVLHLIHSGIPRNLQLLLEDSTVLKVGAGIGGDATKVSRDYDISIKGVEDLSFHANQKLGGGLHKWGLASLTEKLLSKELKKPNKIRMGNWETPILSKEQLEYAATDAFASWFLYQTIKDLPDLQDDTDKSSEVDAVPQPQQ >CAK8540578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11207945:11209318:-1 gene:gene-LATHSAT_LOCUS9571 transcript:rna-LATHSAT_LOCUS9571 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFSDHEMVLIFGLLGNIVSFLVFLAPLPTFYSIYKKKTSEGFQSIPYVVALLSALLLLYYGFLKTNALLIITINCIGCVIEVGYLIIFIIYAPKKLKISTLILILVADIGGFGMTMIITFFVVKKTFRVHAVGLICAIFNIAVFAAPLSIMRKVIKTRSVEFMPFSLSLFLTLCATMWFFYGLFDKDNYIMMPNVLGFLFGISQMILYLIYKNAKNKVEANSSEKLGDDDGNKQNFNSMVEMKENIV >CAK8563009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566367244:566368741:1 gene:gene-LATHSAT_LOCUS16459 transcript:rna-LATHSAT_LOCUS16459 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLHRKTGLTEVPSDILGLRQLRILDLSLNSLQSVPEGLKNITSLVELDLSDNNISALPPELGLLEPTLQALRLDGNPLRSIRRPILNKGTKAVLKYLKDKLPAD >CAK8535718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876541146:876546264:-1 gene:gene-LATHSAT_LOCUS5147 transcript:rna-LATHSAT_LOCUS5147 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSNPCCEEEDVGDFKWGNRKEIDVGNKDTVFYESFVYDGVEYFLYDCCYFYHTDHVDTSIGKLVKMFETGGRKMTEVVWFFRPLEIRNFFGSHQPLWNELFLASGNGKGVSNCNLLGSIIGKCSVVCTSEDKRNPKSSVTERKKADFFFKCTFNVDRCAIEDKFPDTINGIEVEQFFNRKGDKKTIDNLHLEANKIPRVIKKIKIKKRIPLNVKDKDEVVNGARTKTAPSDILPLKVEDKDELGASKNVLPKILMRASENFKDENEVNIKTASSDMLHRKVENKDELRTCKNVYPKIMIRISENFRDKNEANIKTAPDMLCLKIEDKDELRTSESFRDKIEANFKTAPSDMLCLKVEDKDELRTSENVSSKVKITSDELRTSENVSSKIKITSDELRTSENVSSKIKITSDELRTSENVSSKIKITSEDFRDKDGAKIKIGPSDSLHWKSKDKDQLKISVNVSPKIKMGPSKFFKDKDGVRIKIAPSDMLHLKVKDKDEMIISENVLPKRFLDSYPSKKRKFTEEKSVIDQINNSQKKEVFDGKEEFRQDGSVNQNRKVTEVTERPNAEKRKWFKKMPWEGRLQKAQELDTLVLLSNLDPSYTSYEIEDLVWHALKEKVEARMIESSPASNTYYGRALVIFRTKDAAANAISELTRKCLVLEDGRVVTARKGSVRDPVKQSTFTGHLTISRATQHKQSREMRNAVSTSHCSQHNTIEYAMAIEWAHQYDKSEACWKALCEKQMKEIEAVKTKLRTDRIFFEGS >CAK8533205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590652012:590653046:1 gene:gene-LATHSAT_LOCUS2850 transcript:rna-LATHSAT_LOCUS2850 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRITMELIQNEKARKTTFQKRKNGLMKKVNEFSILCDVDVCVILYAPNFEGQGYLEPETWPKDQKEVQRILQKYYNTTSDRRPKIYDVHEYYKERVKKVEFEISKIRKDRLKIKYPTWDESFNSIGAEHLRILASTLDAKLDACNQKMNMLKGDFQEKAIAQESHKVDQLMNASYIASNPSNYYNLMQNMSQPQICSPLINISEKNLNSLGFWSFQPGQSSQPSSMITNTQDNSYQVEEGRYMQPYPYKQIDPNQGNANVTYDPSIGAMKNDEAENNENMLPYYYNGNYNGNAMQSYPVAVHTLPYQNHPNLPHGYHQLNGFYDMDFLQGQHMFNYMDGRK >CAK8575194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50364533:50364736:1 gene:gene-LATHSAT_LOCUS27478 transcript:rna-LATHSAT_LOCUS27478 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCKPAAGSQSAILYTGLYLVALGTSGIKAALPALGADQFDDKDPKEASQLSSFLTGSCLVLQQEQ >CAK8576255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:436955840:436956313:1 gene:gene-LATHSAT_LOCUS28460 transcript:rna-LATHSAT_LOCUS28460 gene_biotype:protein_coding transcript_biotype:protein_coding MPILGNGSSSEDFTVHSRILQDDPISPFLFLIVVEGLMRLMRNEVNLRKFKGVKVGEMFHLELLQFTDDTMVLCDRKWTNFWSLKAILNGFQMASDLSLNMNKSWVYGMITSADFLKADVTFLAFKVGNVPFVFSGLPVGPNHRKKITWNPIITKMR >CAK8540323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552406822:552411679:1 gene:gene-LATHSAT_LOCUS9337 transcript:rna-LATHSAT_LOCUS9337 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNTKPTSSNWKRTTTSSTTTTTEEEMDLGFWKRALDSRNSLSSSSSSMATTTTTSTKTHDNYTFDLSLSNPTKPLDSNNTSSNNLIHHHFQNNGNINNNAIANPFQSFQQNHYFQHPQPFFQHHHPQPQHHHNQTLSQELGFLRPIRGIPVYQNPPPLSFPQLHNHNHNLNHNLNHNLNHLHVLDGSTTTTTPSSFSNTNTNSTPFQSQALMRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRVGASSGQSDVYDNGSSGDNSDDIMFDINSSRRSSDLSIKQQGRSSVNQDKECYGLWSNSSREAWLHGKPKVDSIGNMQSLEKEMDPKCLSYERISDGSSSTNLSGSSPKKPNVDLEFTLGQPL >CAK8568315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:593743125:593754664:1 gene:gene-LATHSAT_LOCUS21282 transcript:rna-LATHSAT_LOCUS21282 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHLLKQALLLRACFHTYKHFTVRAVAARPRLWCSAAIIPPWEKPNDRLNSVNNTLNLRRDNHSSSSLRLEDEPEYRRWKNKEDEILNDIEPILLLTKEILHSPRYMDGERLTVEDERAVVEKLLAYHPHSEDKMGCGLESIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLREYLREKYPAYAERFIREHFKRGSS >CAK8534666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754088281:754093222:1 gene:gene-LATHSAT_LOCUS4192 transcript:rna-LATHSAT_LOCUS4192 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRFITPLSCTSSTSDSSRITATVKKNMNTTTALCLEESKEKIKSMFNKVELSISSYDTAFVAMIPSSTAPHTPFFPQCLNWLLDNQLVDGSWGLVDRHPLLMNDALLSTLACILALKQWGIGEDKMNKGLHFIESNFTSINDDKQHHPIGFDILFPSLVEYAQTLGINLPIPPTSLEAMIQKRDTELQRGSESNSEGWRAYLAYVSEGMLKSPDMNTIMKYQRKNGSLFNSPATTAAVFHRIKNADCLSYLQSVLQKFGNAVPTAYPLDIYASLYMIDSLERLGIDHHFKEEIRRLLDETYRYWLQGEETIFLDPTTCAMAFRMLRLNGYDVSSDPFYQYSEDKFPHTLKGYLKDASAVLELYRASQVVIHPEESVLAKQSSWTRNLLKQDSSGYQLYADKLRIYVDNEVNDVLKFPHHANLERILNRRSVEHYNVDGTRVLKISYRSCNLANQEILKLAVGDFNLCQSVHSEELKQLSRWVVESRLDKLEFARQKLAYCYFSSAATSFSPELSDARISWAKNGVLTTVVDDFFDVGSSKEEQLNLIQLVEKWDVDVSTVCCSEAVKIIFSAVRSTICEIGEKSVQRQGRNVKDNVIKIWLDLMRSMYKEAEWLRTKATPTMDDYMQNAYVSFALGPIVLPALYLVGPKLSDDVAENQELNHLFKTLSTCGRLLNDIQGFKRESEEGKLNAVSLHMIHSNGVVTYEDAVDKMKGVIEDKRRELLRLVLKEKGSLVPRDCKDLFWKMMKVLNLFYIKDDGFTSNEMHSTVNAVLKDPIILTELW >CAK8542583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527417330:527418896:-1 gene:gene-LATHSAT_LOCUS11411 transcript:rna-LATHSAT_LOCUS11411 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPNEMPKLIAFLSSLLNKVAESNDTNQQLEQQKISVFQGLTRPNISIQSYLERIFKYANCSPSCFIVAYVYLDRFTQKQPSLPVNSFNVHRLLITSVMVAAKFMDDIYYNNAYYAKVGGITTIEMNFLELDFLFGLGFHLNVTPNTFQAYCVHLQSEMMLMQPLNFTDYSLSLGKSLNTHLCFNEDESSHQKQQQLAV >CAK8531271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95083123:95085189:1 gene:gene-LATHSAT_LOCUS1075 transcript:rna-LATHSAT_LOCUS1075 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAYPLCSSSSSTFCDFTTRISSPHSFTSRKFKTFFSFQPTNSLEDAKHNNPHSNSSPLSKSKIWVNPKTPSSKRGWSKSHNAVSSLAKLPSSNPNEQQLFEILKGLGDSIAERDAARILYKITNPEIAMLALKYFQKKIKPERHVILYNALFNLFREINDFEQAEKVFEEMLQRGVKPNVATFSSLIRCASVCSLAHKSVELFERMPSFGCEPDLNVSSTMIYVYARTGNVDMALKLFDDAKNEKWPVRTVAFSALIKMYGMLRNYDGCLSVYNDMKALGVRPNVTTYNTLLYAMARAKRANIAKGVYQEMENNGISPNWATYSALLEAYSRGRLSKEALSVYKEMKKQGMSMNNVLYGMLLDMCADVGYVDVAVEIFEDMKQSETCKPDLIAYSSLINMYSCLGKVSEAEAMLNEMISHGLKPNILIFTMFVHCYGKAKRTDDVVNIFNQIWETGITPDDRLWDCLLSVMAELPKEELGEITNCIEKANPKLGYIVTCLTEGSEDDGYFIKEASELLSSTADDVKKSLCHSLLDLCFNLGVQDRARDILDLGLTLKIYSDIQSRSETQWCLHLKKLSVGAAMTAFHVWIDDLSKAFESGEELPQLLGICTVPWRQGRSEKDLASIFESYLKELSAPFHKATEMDGWFFTTSQDAKSWLQSRGSTQTIADLNSTVLVASTEDLSRG >CAK8565006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24142390:24146205:-1 gene:gene-LATHSAT_LOCUS18248 transcript:rna-LATHSAT_LOCUS18248 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGTAFLSATVQTLVEKLASKEFLDYITNTKLDLSLLNQIRLTLLTLQPLLDAAEEKQISTPSVKDWLDGFKDAVYDAEDLLNHISYDSLRCKMENTQAASKTIQVWNILSSPFKNIYGEINSQMKDMCETLKLFAENKDILSLQTKSVRVSHRAPSTPMVNESVMVGRKDDQEKLINMLLSESNTCMDVLAIVGMGGLGKTTLAQLAYNDEKVQKHFDLTAWACVSEDFDVVRVTKNLLESVTKTPWETNNLDLLRVELKKNLNGRRFFIVLDDLWNHNHCDWEELVSPLIYGKTGSKVIITTRNKKVADAARTFPIFELDPLSEEDSWFLLSKIAFGSGDFSETQQQNVEAIGRKIARKCGGLPIAAKTLGGLLRWKIDTKEWIDVLNDDIWNLENDTILPALRLSYQYLSSQLKRCFSYCSIFPKDYPLDRKQLVLLWMAEGFLDHSQDKKTMEEVGDECFAELLSRSLIQQLHDDSRGQIFVLHDLVNDLATAVSGKSCYRLEFGAKSYENVRHLSYNQEKYDIFKKFQTFEKFKRLRSFLAIHFAWTECKLSRNAVNYLLPTFGRLRVLSLSNYGNITSLPVTIGNLVQLRYLNLSYMNIASLPDTICNLYYLQTLILYGCFKLTELPEHVGKLINLRHLYIDMTSIIEMPKQIVELKNLQTLNVFVVGKKNIGLSVRELGKFPKLRGKVVIKNLQNVIDVMEASDTNLKSKEHVEKLTLQWGKETDDTLNERNVLDMLQPSAKLKKLSIDLYGGTSFPSWFGDPSFSNMVSLSISNCVNCMTLPPLGELPSLKDLNICNMPILETIGQQFYGMAAGGSNSSFQPFSSLENLVIKKMSNWKEWHPFPDSMFPFPRLKTLRLYRCPKLKGHLPSHLPSLEVIEIHGCDHILATPPTQHWLSSIKDIYIKGDLDSESNTKKTQCSLLESDSPCLLQDITIRNCHMIKSVPKMIINSTCLRSLTLHGISSLTAFPSNGLPTSLQSLYITKCENLIFLSPEKWSSYTSLVSLYLLRSCNALTSFPLNCFPMLQYLFIRECRSLESIFISETSSCSSSTLKTLVMVDCEALRSLPQPMDTLTSLEFLSLSNLPNLNLSLCEGAFLPPNLQRIDVDSVRITKPVTEWGLQGLTVCSSMLIGGEDNVNMLLKEPLLPISLVSLHIKSLSEMKSLEGNGLGHLSSLERLDISDSPGLVSLPEKAFPSSLKTLSFRDCPRLESLPEDSLPTSLEDLTIIRCPLLEERYKRNEHWSKIAHVPVIKINNQVTI >CAK8579196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683077438:683081370:1 gene:gene-LATHSAT_LOCUS31162 transcript:rna-LATHSAT_LOCUS31162 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRFTQLPTSDDDDDEPLSKPHSTLPNLVDDNASNRRKRKVLNLQEEEDEEEEEQANVNDKKMKLNGDDGEKEEEESSSEEEESPLEDAKPVGGPVRVSGKGRGMKSHFQSFEFDDNLYSLEDPVLLVPEGKDQKPYVAIIKDITQSLDGSLKITGQWFYRPDEAVKKGGGSWQTSDTRELFYSFHRDEVPAESVMHRCVVHFVPIHKQLPNRKEHPGFIVQKVYDTVEMKLWKLTDKDYEDNKQAEIDELVQKTFQCLGELPDIETDEAPADQEDLIGNKRILKKKSISPLDVSREDGTSRRSVQPLKVETPRTCLANTSEHYRILVKFNALTGDTYRDNGLVKLLQDVQNLFHTDDIRKKKEKCSDSSDAINNGGTNKALEIENECKDKVLKISKSFIWPDAAVPAVVALENALHDTLSSDSQKYSQKLRQLAFNLKINPFLLTRLLNGELEASKILTMTPTELKEGLTADEISKNEPDEPQHMQMTDTRCKRCTEQKVGVRDIIRAGHADRYMLECIECGYSWSASRDAVSMLTLDTSDSKRYVGTAPWATAKFENVKKKLATPRETDKSANDIFMPPVLEAHKSFGKSRKDENMEASKRGD >CAK8544055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660391795:660393945:1 gene:gene-LATHSAT_LOCUS12764 transcript:rna-LATHSAT_LOCUS12764 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHFSNWNLLVLVVIIGICLGFEDVHCYNRPPARKTVFVPHDEDDSAPQQVHIYQVGQNKMGISWITDSSTPATVKYGPSPSADASSATGTTSSYHYTGYNSGEIHNVVIGPLNPNTVYSYKLGDTPITYSFKTTPDQFPIKFAVIGDLGQTEDTESTLNHISKSNYDMLLLPGDLSYADFIQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKIPIIHKTPFTAYNARWKMPFEESGSDSNLYYSFDVSGVHVIMLGSYTDFDQGSSQYKWLQGDLQKINRGKTPWVVALLHAPWYNTNEAHQGEDESVNMKASMEELLYNARVDVVFTGHVHAYERFTRVYKDKSDNCGPVHITIGDGGNREGLATKYQDPKPDISLFREASFGHGLFDVVNATHARWTWHKNDNDESVVSDSVWLTSLSSNTACKA >CAK8574766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8769726:8772651:1 gene:gene-LATHSAT_LOCUS27076 transcript:rna-LATHSAT_LOCUS27076 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVLKSFENLNHWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVISEKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEMYLPDTIDVGNGGRQQRSTGCEC >CAK8539993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534428669:534429025:1 gene:gene-LATHSAT_LOCUS9036 transcript:rna-LATHSAT_LOCUS9036 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGQCMPRLKSNSFKNKIPSPMTLLERFREAVFRLMMLSAFSKTTTSNHGGSGDGERQRRYSHYDTHHNSEAVADCIEFIKKKAIADTDEKNRLSSASSSDDTSEIMVSLSVMSSNM >CAK8533491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625479853:625482899:1 gene:gene-LATHSAT_LOCUS3114 transcript:rna-LATHSAT_LOCUS3114 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEAQPPTSFVSDVLLWKRWQVSFAAIVVSTIAWLLLEWTDLPFLTICSDVLLLLIVLLFLHSNYAALRNRQPPSLPELVVSEEMVSNVAASFRVKINNVLLIAHDITIGKDFRVFFKVVICLWLLSVIGSIFSFFTLAYIGTLMMITLPALYRKYGDYVDKCCGVINHQFSKHYRIVDENVFNRLPHNIPKDKDS >CAK8540277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548542956:548543144:-1 gene:gene-LATHSAT_LOCUS9297 transcript:rna-LATHSAT_LOCUS9297 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPNPAKHESARLKSLAQTKNSKTALKYAAHSAPQSQGISELVNSQHSNRSRFLRCSRR >CAK8542648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535026887:535027825:1 gene:gene-LATHSAT_LOCUS11470 transcript:rna-LATHSAT_LOCUS11470 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRRLTLNFMEGVRGFITWAFAQECCRHEGGVRCPYLKCECRPIISDPEEVERHLKRNNFIKNYWVWTYNKEQLPSNVHAETTNTHTSSSRSYMEFEEQFNLIDDMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQFLKEMNTPSFEGSTDSKLSMCVRLLAAKSNWNVPGQGLEYFAKMMLDVTPTKDNMPTSYYDTKRLVSKLDLEVRKIDCCINGCMLFYDNEFDINDEALEECKLCKSLRYQVRSKAINRKKKTCSSEVHVLFADNTKVKKIVCFNAQCKSNDMASYKQNKFRHYATSI >CAK8568355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598438919:598441270:-1 gene:gene-LATHSAT_LOCUS21318 transcript:rna-LATHSAT_LOCUS21318 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIKKAKDGGLDAIETYIFWDLHEPIRRQYDFFENLDFIKFLKNVHEEGLYVVLRIGPYVCAEWNYGGFPMWLHDLPGIQLRTDNVVFKEEMKIFTTKIVTLCKEAGLFAPQGGPIILAQIENEYGDVINNYGEDGNAYIKWCAQMALAQNVGVPWIMCKQNNAPSPIINTCNGYYCDDFKPNNPKSPKMFTENWVGWFQKWGERKPHRTAEDVAFSVARFFQKGGVLQNYYMYHGGTNFGRTAGGPYIITAYDYDAPLDEYGNLNQPKWGHLKKLHAAIKLGEKVLTNGTVTEKQYGDSVYLTTYANNATGEKFCFLSNSHNSKDVEVDLQQDGKYYVPPWSVSILQDCNKEVFNTAKVDAQTSVYVKKLSTELGNQLIWTWASDPVEDTLQAIGTFNASQLLEQKSVTVDASDYLWYMTKVFINETFTWNNATLQVNTSGHVLHAYVNGEYIGPQWGTHDNLRFTYEKMVSLKQGTNIISLLSGTVGHANYGALFDMKETGIVGGPVKLIATNSSNTLDLSKSSWSYKVGLNGEARRFYDSKINNGVQWNIDNIVIGKPLTWYKTTFKTPEGKDSVVLDLIGLTKGHAWINGQSIGRYWPTMVADKNGCDTKCDYRGNYKADKCLSGCGEPSQRFYHVPRSFLNNDTKSNTLVLFEEMGGSPFNVSVQTIAIDFICARTDYGKTLELKCPDGKTISEIQFASYGDPQGTCGSFQVGEWESRHSVTVVEKACSGKQSCSINVTTSVFGITKGGINGQLAVQLLCDGSNPEDNRVQQIHV >CAK8561931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413388900:413389847:1 gene:gene-LATHSAT_LOCUS15476 transcript:rna-LATHSAT_LOCUS15476 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLNKLLSRSLSVAGKWQNQQLRRLNIHEYQGAELMSKYGVNVPRGVAVSSVEETRKAIKDAFPNQSELVVKSQILAGGRGLGTFKSGLKGGVHIFKTEQVEDIAGKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACRKGGTSIEDLAEKFPYMIVKVPVDVFEGITDADAAKVVDGLAPKVADGNQSIEQVKNLYKLFVDSDCTLLEINPMAETADNQLVAADAKLNFDDNAAYRQKEIFTLRDTTQEDPREVAAAKADLNYIGLDGNDGCRFVWCSSIQDASLSLGSSS >CAK8578374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625119670:625120539:-1 gene:gene-LATHSAT_LOCUS30391 transcript:rna-LATHSAT_LOCUS30391 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTFDDEPTQNNEPLIPNEEVGEATEDDLEEVRMQDLFGNNDDDVSEDMFDASTQTISVEPINLYNPPLHMQNICLENDEPIYVFGCVIPNHIEDEIDIGMEFENKEACILALQHWHITHSVDYWMRKSDNAQYVIKCKKTYCNFKCRASLRKKNSKWNIGKLFGPHTCTTTSMAHDHRKLDSEMISHNIKELVNRNTLLKVKETSYSDLPLWILVMKTYRPGSIIVLQTLPAISNDGSQIADKRIFHRLFWEF >CAK8565800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336336171:336336975:1 gene:gene-LATHSAT_LOCUS18981 transcript:rna-LATHSAT_LOCUS18981-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDVVLTKDVKDDLAEELVSKCPAKVFDIEDIGKGRRRATVVNARACTLCRECIRGGQEWEDRVALRCVKDHFIFTIESAGALPPDVLFTEVVKILEDKCERVITELS >CAK8565799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336336140:336336975:1 gene:gene-LATHSAT_LOCUS18981 transcript:rna-LATHSAT_LOCUS18981 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGCHCLVVLTKDVKDDLAEELVSKCPAKVFDIEDIGKGRRRATVVNARACTLCRECIRGGQEWEDRVALRCVKDHFIFTIESAGALPPDVLFTEVVKILEDKCERVITELS >CAK8534632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750910077:750910504:1 gene:gene-LATHSAT_LOCUS4163 transcript:rna-LATHSAT_LOCUS4163 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLISRLFGSLVSFEQIGWEVRFWCIFWEVHKSFQSAAPRSQTFECWWFLVCQFTRRPTSAAACFFAISLQLVVIVPTLRLRLSLDLDSLEKDP >CAK8567562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525440875:525447969:1 gene:gene-LATHSAT_LOCUS20604 transcript:rna-LATHSAT_LOCUS20604-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRAAHEEVERLERLIVKDLQNEPTSNKDRLFQSHRVRNMIDTITSTTERLVEIYDDKDSARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPVARVVDANDDTEALLKEEPQIEFSGEEAFGRYLDMHELYHQYVNSKFGEPIEYSAYLDVFSETDKIPRKMKTTRQYREYLENLLEYLIYFFQRTEPLQDLDRIFSKVTNEFEENWATGTVHGWENVNQENGHVPTQHTAIDLDYYSTVEELMEVGPERLKEALAALGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFTKGARGLGKSGVAAAPQEGNLKQENLREVALMEAKMNRLCDLLDETIVRTKDNVVKKQALTYEEIEAEREEEETQEDSESEDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKKIQQRQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >CAK8567563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525440875:525447969:1 gene:gene-LATHSAT_LOCUS20604 transcript:rna-LATHSAT_LOCUS20604 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRAAHEEVERLERLIVKDLQNEPTSNKDRLFQSHRVRNMIDTITSTTERLVEIYDDKDSARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPVARVVDANDDTEALLKEEPQIEFSGEEAFGRYLDMHELYHQYVNSKFGEPIEYSAYLDVFSETDKIPRKMKTTRQYREYLENLLEYLIYFFQRTEPLQDLDRIFSKVTNEFEENWATGTVHGWENVNQENGHVPTQHTAIDLDYYSTVEELMEVGPERLKEALAALGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFTKGARGLGKSGVAAAPQEGNLKQENLREVALMEAKMNRLCDLLDETIVRTKDNVVKKQALTYEEIEAEREEEETQEDSESEDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKKIQQRQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >CAK8533076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575564001:575568040:1 gene:gene-LATHSAT_LOCUS2727 transcript:rna-LATHSAT_LOCUS2727 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPASRFRRGVRSGGFDGVVDGVYGNPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLADLTCRQGVIEVAKIIYGVHDEAKDKDFELEMSWVCEESNRQHEKVPEELLEEAKTAAKAALEEMDAD >CAK8567803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546497256:546497611:1 gene:gene-LATHSAT_LOCUS20825 transcript:rna-LATHSAT_LOCUS20825 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSKATLFLFGFFIFIVTCVVQSEAVVNEGVVSGGGGSGSNYVTVVRGGECEKQGVECKKVNGGIEETDLENEDYIYTNSMLP >CAK8577853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594290856:594291830:-1 gene:gene-LATHSAT_LOCUS29922 transcript:rna-LATHSAT_LOCUS29922 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNDHRLYVSIEDLGFNGENCNNRGKLRKINHYDDDTHVYTSKNLETERKRREKLSSRLLMLRSLVPNITNMNKASIIEDAITYIQKLQNEVKNLTEELHDMGGTKEKKSELKISDSAAEEMKNWGIQEEIEVAKVDKNKIWVKMILVNKRGRLLKLIQDLNDSRIEMIDISVTTIEGAYLVTATLQGLDGEPLEEYHIPTLIKLD >CAK8565811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337862902:337864117:1 gene:gene-LATHSAT_LOCUS18992 transcript:rna-LATHSAT_LOCUS18992 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGKSNLPICFFKIILQTNLQTIKIPNKFTRKHGAALPNPVMINPPDGTKWKVFWKNINGDIWFQKGWKTFTRYYSLQHGCLVVFKYKERTPELDVIILGQHALEIDYDSSYGTLDVTDNLDHSDDESVEILNDDDSDDESVEILNEWHNEKKPRQRSTLASPRPHKKVRGEIQHISQRTTSLNRPVGARARQVAQEFISSNPFFTVLINSACLTTDRPKVPNFKGIIEDKTMNVKVKIGKRSWNLKLLPCYNNSSARRLSAGWSSFARESGVQPGDVCVFELINKEDLVFNVHVF >CAK8542138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:477379108:477379659:-1 gene:gene-LATHSAT_LOCUS11005 transcript:rna-LATHSAT_LOCUS11005 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFLDTEMSIPPSYENNTSDSFINAENFDTNMVIILAALLCALICALGLNTIARCAMRCSRRFSEETPEQATARLNKTGLKKRELSKIPVAVYGTGENIPATECPICLGEFEKEDKVRMLPKCNHGFHVRCIDTWLVSHSSCPNCRNSLLEKEKKTVCSSSTVAGDGLPENGPVVVEMQAS >CAK8541101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:66081531:66083797:1 gene:gene-LATHSAT_LOCUS10052 transcript:rna-LATHSAT_LOCUS10052 gene_biotype:protein_coding transcript_biotype:protein_coding MPGITGPSDYSKEPIRHHSLQINSKEPFNSEPQRSALISSYLTPADLFYKRNHGPIPIVDDIEIYCVSISGLIENPKQLFMEDIRILPKYNVTATLQCAGNRRTAMSKTKTVKGVGWGVSAIGNAVWGGAKLTDVLELVGIPKLTSTTKYGGKYVEFVSIDQCKEENGGPYKASITLSQVTNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLEAINIIAEECQGFFVQKDYKMFPPSVNWENINWSSRRPQMDFPVQCVICSLEDMITITPGKVSISGYAASGGGRGIERVDVSVDGGKTWMEASRFQKSDIPYTADDDGNCDKWAWVLFEVTAEIQQSTEIIAKAVDSAGNVQPEKVEDIWNLRGILNTSWHRIQVHVTDSNS >CAK8567282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501101676:501103665:1 gene:gene-LATHSAT_LOCUS20348 transcript:rna-LATHSAT_LOCUS20348 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLIEGGHDEAKHEPSDLIAEKMNPTVVNLLLPNETFLKAAVSLKDEVVEVNWNRREVVDPTVYTGLLGTAFTCLRSFEVTGCREDLLLCSEIIDTCVTVARASLRHVTFLCGRGGVCALGAVVANYMGDLPKRDLFLGLFIEVAKERALPIGPEEGGLGMSYDLLYGRAGFLWAALFVNKHLGEDVVPKDILMPIIDAVLAGGRAGASDIKDCPLMYRWHGTRYLGAANGLAGILHVLLHFPLRSEDAEDVKGSLRYLISKRFPHSGNYPSSEGNPRDKLVQWSHGATGMAITLSKAAQVFPNDRELRDAAIEAGEVVWKSGLVKKVGLADGVSGNAYAFLSLYKLTKDSIYEERAKSFSCFLYENARALAAEGQGLTGGKDYSLFHGLAGTACLWFDLIAPDNSRFPGYEL >CAK8541031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46545120:46551910:1 gene:gene-LATHSAT_LOCUS9984 transcript:rna-LATHSAT_LOCUS9984 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYEGPAIGIDLGTTYSCVAVWQEQNNRAEIIHNEQGNRITPSFVAFTDSQRLIGDAAKNQVASNPANTIFDAKRLIGRNYSDITIQNDLLLWPFKVIAGFNDNPMILVNYRGEEKSFSPEEISFMILTKMREVVEKFLETPIKNAVVTVPAYFNDSQRKATIDAGTIAGLNVMRIINEPTAAALAYGLQKIPHCVEDRNVFIFDLSGGTFDASLLTIKNNVFHVKAVAGDTHLGGEDFDNRMMNHFVEEIKRKNKVDISGNPGSLRRLRTSCERAKRILSFSVVATVEVNALFQGIELSSSITRAKFEMINIDLFEKCMEIVRRCFVDSRTDKSSVDDVVLIGGSSRIPKLQQLLQDFFNGKDLCMSINPDEAVAYGAVVQAAMLNVKYVPTLKLRDVIPLSLGTSEKGDIMDIVIPRNTSIPITKKKTYFTDVDNQSRVSIKVYEGERIIASENNLLGVFSLSLPLAPRGLPIQVYFAIDADGILNLSAEEETSGNKKDITITNETESLSTEEPERMIQEAENFKAEEKKLEEKVKAINNLNDYLCHVRKVIADNDVNSLISPVDKLKIYTAVVKGQNLLDGNKDEETHVFVDFLKEFQSTVDSALYKITSGV >CAK8539074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502934130:502934964:-1 gene:gene-LATHSAT_LOCUS8207 transcript:rna-LATHSAT_LOCUS8207 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFVVIMQKHPEWSLLDICIENVGELIRINFGKEVIYELATGGFEDILHPTLDDKINSFHKAIESLAVVYKSEDSQEEEHVFEKKLLSWTIRFRFWMFVVSF >CAK8565126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:43440510:43440713:-1 gene:gene-LATHSAT_LOCUS18363 transcript:rna-LATHSAT_LOCUS18363 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAIQIFSFLFVVVFAAVTSAQDLSPSLAPAPGPDAGAAGSVTNSVAMIGASVVLSMLAIFKN >CAK8536179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914677809:914681677:1 gene:gene-LATHSAT_LOCUS5572 transcript:rna-LATHSAT_LOCUS5572 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLSLKPSSLLLKFNFNTSSLRSRVLNTQAFSSAVSPPSKAIVYEAHGQPDAVTKLVTLPGAELKENDVCVKMLAAPINPSDINRIQGVYPVRPEPPAIGGYEGVGEVYSVGSAVTSFSPGDWVIPSPPSAGMWQTYVVKDQNVWHKVNKGVPMEYAATITVNPLTALLMLEDCVTLNTGDAIVQNGATSMVGQCVIQLAKSRGIHSINIIRDRPGVDEVKERLKHLGADEVFTENELEVKNVKSLLDGIPEPALGFNCVGGNSASLVLKFLRRGGTMVTYGGMSKRPVTVSTSSFIFKELSLRGFWLQNWLNTDKTEEGRRMIDRLLGLVQDGKLKYKMELTPFDDFNTALDKALGKLGSQPKQVIKF >CAK8568974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660775522:660776583:1 gene:gene-LATHSAT_LOCUS21877 transcript:rna-LATHSAT_LOCUS21877 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISSTFNIMIVFQAEHNILMHPFHMLGVAGVFGDSLFSSMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHSYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVKAPSING >CAK8542939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561273903:561274667:1 gene:gene-LATHSAT_LOCUS11736 transcript:rna-LATHSAT_LOCUS11736 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWKDGQWFWKVRETLIDEGPNIETEWSDCSFLLEQVAANINVEDNWNWLLHDSLSYKVSSFYNDLSSSDPALNIGSDSVTLLEILRKTVLPAKVQTFIWRLALDRLSTRSNLMRRKVIDFSQNSDCVFCSSSYKDVPHLFFSCSKSSLVWNRICDWVDIENISKDCCSTHVKVWKLRLLGRCKEDKINSIWFISCWSVWRDRNNTFFRNETIDVEDIVIDIKVISWNWLILGRQESNHCSLYEWFKFPFDFM >CAK8567316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503135864:503146940:-1 gene:gene-LATHSAT_LOCUS20380 transcript:rna-LATHSAT_LOCUS20380 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLKLTRHGQSFLASRRKAILLASGILIAGGTAAYMQSRFRVNKRDLFGHCNEHNNNKEVTKEEVINDSTAPKNKRKKGGLKSLQVLTAILLSEMGQLGGKNLLALVATVVLRTALSNRLAKVQGFLFRAAFLRRAPLFFRLISENIILCFLLSTIHSTSKYITGTLSLHFRKLLTKLIHSHYFENMVYYKISHVDGRIANPEQRIASDVPKFCSELSEIVQDDLTAVADGLLYTWRLCSYASPKYVFWILAYVLGAGAAIRNFSPSFGKLMSTEQQLEGEYRQLHSRLRTHSESIAFYGGEKREEAHIQQKFKTLVGHMSRVLHDHWWFGMIQDFLLKYLGATFAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIVSLFQSLGTLSISARRLNRLSGYADRIYELLAVSRELSLVDEKSSLQRKGSKNCISEANYIEFSNVKVVTPTGNVLVDDLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLISGHIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTANQEVEPLTDYGMVELLKNVDLEYLLERYPSEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYRREDSSTEMGIDTMKTSETNRKNDAKAVQRAFAMSKTDSTFSSSKAESYISDVICSSPSKNHTILPYTVPQLHGNTRMFPLRVAAMFKVLVPTVFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKFVLEQDKVAFIRLIGLSVLQSAASSFIAPSIRHLTARLALGWRIRLTQHLLQNYLRSNVFYKVFHMASKSVDADQRITQDLEKLTTDLSGLVTGLVKPSVDILWFTWRMKMLTGQRGVAILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGIFRFMHERLCTHAESVAFFGGGAREKSMVESRFKDLLAHSQYLLKKKWLFGILDDFITKQLPHNVTWLLSLFYAMEHKGDRASISTQGELAHALRFLASVVSQSFLAFGDILELNRKLVELSGGINRIFELEELLDAAHSGEFINGGAISSVRDYHSKDVISFSKVNIITPSEKMLARELTCDVELGGSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEGVDQDAASGCGIFYVPQRPYTCLGTLRDQIIYPLSRDEAEFRALKMYGKGEKHPDTVKLLDKHLEVILEDVRLNYLLERDTNGWDANLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKKMDITVITSSQRPALIPFHSMELRLIDGEGNWQLSLIKQ >CAK8570659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82910746:82912107:1 gene:gene-LATHSAT_LOCUS23395 transcript:rna-LATHSAT_LOCUS23395 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILACYQLLELNVISAQDLAEVCRSMRTYAVAWMDPDRKLSTRVDSQGGTNPAWNDKFVFRVDEDFLYDENSTITIDIYAIHWFKDIHVGTAVVLSDDIVPPSRPSHSNNYKPPGIRFVGLQVRRPSGRSKGILNVGVAVLDSSMRSMPLYTHNNTPSIGYHNDHHNQQNHEAMPEIRRSKSDTSSMIVSEVMEHEKRLKAKRGKSSSQGTASEVSSISKKKAMSTLSGSDVMPKKTSKKSKPGKTPRIYPKEFNEPAKVSYDYEVKPSPKPQFQNTPGRSYNKGGVRATPLHAFAVHNAAANAMEYGTPYRTNKGHHRLMITDSELGPSASEVAAIVARQPVMDEGENSTIGGWSLDESVEELKPKIDRWRTELAPVYDGGEMSSRLSSSRKKGKHSRRNTDGDGGGGGNGLFSCFSVICGVECSIVCGGDKKKNRLRRNQSVQDSASFA >CAK8576434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475559297:475564883:-1 gene:gene-LATHSAT_LOCUS28622 transcript:rna-LATHSAT_LOCUS28622 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRAPPAASPSNPPDQIMKRQPPFSSLKPPFVASGDYHRFAPDHRRILDQETEAIVVKTPQLKRKSEAAGFEADSGVRMTPGSTEAASSPSQTPVSGKMGKGGKSSRLTKCNRSGIQTPGSNIGSPAGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSKPGEADDSFASLQAEIENLTMEERRLDEQIREMQERLRVLSEDENNEKLLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAADYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEINGVEVAPKIPSSPEVSQHPSTVVPEDKGKEIEVHRQDGEGPSSDLTNSHDFVSGIMKIVPSDVASDADYWLLSDADVSITDMWRTEPGVEWNELNVLQEDYCMAREDNTTPNHPLNIAGEDKTTPNHPSNIGEVSSAANPTVG >CAK8576435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475559519:475564883:-1 gene:gene-LATHSAT_LOCUS28622 transcript:rna-LATHSAT_LOCUS28622-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRAPPAASPSNPPDQIMKRQPPFSSLKPPFVASGDYHRFAPDHRRILDQETEAIVVKTPQLKRKSEAAGFEADSGVRMTPGSTEAASSPSQTPVSGKMGKGGKSSRLTKCNRSGIQTPGSNIGSPAGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSKPGEADDSFASLQAEIENLTMEERRLDEQIREMQERLRVLSEDENNEKLLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAADYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEINGVEVAPKIPSSPEVSQHPSTVVPEDKGKEIEVHRQDGEGPSSDLTNSHDFVSGIMKIVPSDVASDADYWLLSDADVSITDMWRTERILYRHLSLHFIHYSSLSLTNTLGEKFESSIFLIK >CAK8544086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662181435:662185878:-1 gene:gene-LATHSAT_LOCUS12792 transcript:rna-LATHSAT_LOCUS12792 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMPGTRQKEGTAQNSESTGGNSDPEKPTDSGEQIDLDGDNDQEESSEEEVEYEEVEVEEEVEVEEEEEEEEEEEEEEAEEGSKPSDGEDEMRVDDTLDEVEKKRHAELLALPPHGSEVYIGGIPHDVSEKDLRVFCRSVGEVVEVRIMKGKEAKGYAFVTFKSKELASKAIEELHNTEFKGNKIKCSTSQVKHRLFIGNVPKDWTVEDMKKVVAKVGPGVIGVELLKDPQSSGRNRGFAFIEYHNHACAEYSRQKMSDSKFKLDNNAPTVSWADPRNSDSSASSQVKAVYVKNLPENITQDRLKELFEHHGKITKVALPPAKAGQEKSRYGFVHFADRSCAMKALKNTEKYEIDGQILECSLAKPQADQKSSGVSNSHNSAILPTYPPPLGYSMVGGGYGAVGAGYGATGFAQPLMYGPGATPAGMAMMPMLLPDGRIAYVLQQPGLQQSGLQQPGFQQHAPSPVSRHGRSGGGSSSGEKRNHDNNRNRGPGRYNPY >CAK8579211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683568780:683570333:-1 gene:gene-LATHSAT_LOCUS31175 transcript:rna-LATHSAT_LOCUS31175 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTALMILTAIAAYMIWFLFIIRSLKGPRVWPLVGSLPGLIHHANRMHDWIAENLRACGGTYQTCICAVPFLARKQCLVTVTCDPKNLEHILKLRFDNYPKGPTWQSVFHDLLGEGIFNTDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKSRFCPILATSQEEELPVDLQDLLLRLTFDNICGLAFGQDPQTLAVGLPENRFALSFDLATEATLQRFILPEIVWKLKKWLRLGMEVRLTKSLKHIDQYLSKIIQNRKLELQSGSEALNDDLLSRFMKKKESYSEEFLQHVALNFILAGRDTSSVALSWFFWLCIKNPHVEEKILIELCTVLMETRGEDLSKWTDEPLAFDEVDRLVYLKAALSETLRLYPSVPEDSKHVVNDDVLPNGTFVPAGSMITYSIYSVGRMKFIWGEDCLEFKPERWLSTDGEYMQASYKFVSFNAGPRVCLGKDLAYLQMKSIAAAVLLRHRLTVVPGHHVEQKMSLTLFMKYGLMVNVHPRDLAPVVEKITAN >CAK8577387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559507356:559508371:1 gene:gene-LATHSAT_LOCUS29500 transcript:rna-LATHSAT_LOCUS29500 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQLWASRAASYLRISVFHRSFSNVLNNLKYADSHEWVKVDGNSATIGISDHAQDHLGDVVYVELPEVGAAVTQGDGFGAVESVKATSDVYSPVSGKVVQVNEELSSSPGLVNSSPYENGWIIKVELSDSGELNKLMDSEQYSKFCEEEDSKH >CAK8541828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:410145170:410145643:-1 gene:gene-LATHSAT_LOCUS10722 transcript:rna-LATHSAT_LOCUS10722 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIPSVAAYCCLAKGLCEIGEIDEAMKLIRDYLGNVASGPMEFKYCLTILHICKSNDAEKAINVLNEMMQQGCSLGNVVCSAIISGMCKYGTIEEARKVFSNLRERKLLTESDIIVYDELLIDHMKKKTADLVISGLKFFGLESKLKSKGCRLLPD >CAK8578471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631449375:631452559:1 gene:gene-LATHSAT_LOCUS30480 transcript:rna-LATHSAT_LOCUS30480 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSQDEQESLLYDVRLSSVGPGRGSGSDIFHHPNGLDLALKLHYFKVVYLFDSEAAQDLNITKIKDSVFNLFNHYIVTCGRFRRSEAGRPFIKCNDCGARLAEVRCTKTLDEWVDMKDWSSYKLLVSQQAIGPELSFSPSVHLQVTQFKCGGVAIGLSWANVMGDPHSASDFMIKWGDFFNNLNLKMPYTIPRSIPTPLNLEGPEKDPVTVKRVDSVGDHWILANNKKMDSFSFHITNSQMNNLKEHIWGSSVENNPSFESLCAIIWRCIARVREGSKLTTVTVCRSDPNGRGNDIIGNDQLICKVDAGNDCSIVDTNLKTLARLLVEQGIDERKQIEEIVDKDQGATDFFVYGANLTFLNLEDVNVYDFELRRKKPIFVYYTLQGVGDEGAVLVLPWSRGHNKSDIDGKFVTIILPEDEMVKVKDELKMNGVMVDGDF >CAK8534893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787709710:787712012:1 gene:gene-LATHSAT_LOCUS4395 transcript:rna-LATHSAT_LOCUS4395 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTSLSPSNLLHPTNQPNLNPFTASLTTFNQIQFLRFNNQNDRQNLPILRSATNPSSQLPPVVVVGSANADIYVEIDRLPKEGETISAKSGQTLAGGKGANQACCGARLSHPTYFVGQIGDDAHGNLVADALRERGVVLEYLVVVPSAPTGHAVVMLQASGENSIVIVGGTNVSFWPPSLPIQHLEVVSSAGIVLLQREIPDFVNVQVAKAARNAGVPVIFDAGGMDAPIPQELLDFVDIFSPNESELGRLTGLPTESFEEITQAAAKCHKLGVKQVLVKLGAKGSALFTEGEEPIQQPAIFAKTVIDTTGAGDTFTAAFAVALVEGKSKKECLRFAAAAASLCVQVKGAIPSMPDRKSVLELLNHH >CAK8566858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467567400:467573980:1 gene:gene-LATHSAT_LOCUS19960 transcript:rna-LATHSAT_LOCUS19960 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVINVEEENDHQAKSDDGDAGQPSDGEINNAENSGFYVEDGISEPYLGMEFDSEDVAKTYYDDYAKHIGFNSKVGSRSRSKADGPNMYKEFVCEREGLKKRFNEGCDAMIRIELKSQSKWVVTKFVREHSHSLGSSSEAPSLHQRKHFSSVGRTMPETYQGVGLVPSGVMYLSVDGNHISNQNSCAVLNIHAATASELSHSVNNATLRNCTARPPFQNRTLGKDAHYLLEYFKKMQAENPGFFYAIQLDEDNHMSNVFWADARSRTAYSHFGDAVHLDTTCRAKQYRMPFAPFTGVNNHGQVVLFGCALLFDDSEASFLWLFKTFLTAMNDRQPVSIITDQVRAIQAAVSQVFPQTRHCINKWHVLREGQEKLAHVCLLHPNFQGELYNCINLTETIEEFESSWNSILDKYELRSNDWLQSLYNARAQWVPAYFRDSFFAAMSPNQGFGGSFFDGYVIQQMTMPLFFKQYEKALESWIEKEIEADFETICTTPVLRTPSPMEKQAANLYTRKIFLKFQEELVETFVYTANIIEGDDINSTFKVAKFEDVDKAYTVAFNHAELRANCTCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTKNAKSSTGSDERTGELHSQESLTLRYSNLCREAIRYAEEGAVTVETYNAAVSGLKEGGKKVAAMKRSVAKAAPPNNQASSGTTYNDRKTTTSSTSDLTPLLWPRHDEITRRFNLNDSGGPVQSVADLNLPQMAPVSLHRDDGSSGNMAVLPCLKSMTWVMENKNSSLGNKVAVINLKLQDYGRSPSTESEVKFQLSRVSLEPMLKSMAYISEQLSTPANKVAVINLKLQDADTTSGESEVKFQVSKDTLGAMLRSMAYIREQLSHAGDGQSEPLLKKLRK >CAK8576899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522996063:522996662:-1 gene:gene-LATHSAT_LOCUS29056 transcript:rna-LATHSAT_LOCUS29056 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLARNILPTRDNLSKKGITLDRSCPFYNSKVEIMHHVFLDCIFAKQTFFSSMLSLRTLSNIDICSWLKKYLASKDSLVCQLISATLYKIWLARNSVVFCAKTSSPLQVATKALDMVQEFNFWNENKKSKSSPNTKEFGSIGNVVIIQVDAGVFKDGLSAFGCLVKNHENKVLLSASKSERRLFEPTVAECLAIRWHI >CAK8543934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650428184:650428500:-1 gene:gene-LATHSAT_LOCUS12656 transcript:rna-LATHSAT_LOCUS12656 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMER >CAK8541867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419155578:419156045:1 gene:gene-LATHSAT_LOCUS10758 transcript:rna-LATHSAT_LOCUS10758 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNRVVNKLINHIMKHGKKLLAYRILYRAMKRTQQKTEKNPLSVLREAILQVTPKIVVKARHVSGSTHQVPIEIKKPQGRAFVIRWLIAASRKCPGRNMAFIFSSELMDAVKGRGDAIRKKEDIQKMAEANRTRAPFR >CAK8566165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386809852:386810601:1 gene:gene-LATHSAT_LOCUS19324 transcript:rna-LATHSAT_LOCUS19324 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDHQKIHPANDLESQTKPTIPLYPRNTSKSDSRVPPIPKRHFPVRHSQPPKKRRSCCCRFLCCTLTILLILIIAISITIGTLYLAFRPKLPKYSVDRLRITQFNLSDNNNLFVTFDVTVIARNPNKKIGIYYVSGSNISAWYKQTELCEGSLPNFYQGHRNITVLNLPLTGQTQDATGLVNTLQQQLQEKGNIPLNIKVNQNVRVKIGKLKLFRVKFHVRCEIVVDSLGADNDISISDSSCKFKLRL >CAK8573669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628006340:628008241:1 gene:gene-LATHSAT_LOCUS26084 transcript:rna-LATHSAT_LOCUS26084 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHIMKSPILQNSYVICVISVAVILGSLAECRVQNSKLASFDYHAINCRKHSAVLTDFGAVGDGKTSNTKAFNSAISKLSQYSNDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKDAVILASQDESEWPQLPVLPSYGRGRDAPKGRFSSLIFGTHLTDVIITGDNGTIDGQGSSWWKKFKSDQLKLTRPYMIELMYSDQIQISHLTLINSPTWFVHPIYSSNIIVNGLTILAPVDSPNTDGINPDSSTNVRIEDNYIVSGDDCIAIKSGWDEYGIKLGKPSQHIIIRRLTCISPDSAMIALGSEMSGGIQDVRAEDITAITTESAVRVKTAIGRGAFVKDIFVKGMKLNTMKYVFWMTGTYGQHPDKGFDPKALPKVSGINYRDVTAKNVTIAGKLEGISSDPFTGICVSNVTIEMSEVRSKKKLPWNCTDVAGVSSNVSPQPCELLPVKKDKLDCAYPSDKLPIENVQFKTCSFKSSVF >CAK8568243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585549466:585559590:1 gene:gene-LATHSAT_LOCUS21221 transcript:rna-LATHSAT_LOCUS21221 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSSPNRGKGQSIQQRRLTRQKRLCYPTDHQDGEPFLSTEGVSFSLPGSPLRSSSDHWSSSAVPLPLPLPESPLTRRPDHHAALPCARKTVDHDAVRSSRSSSNLGRPFFATTGNAKNDLRVNIPPVRSLVTSKSSCKDTRKHSHDNECEGVTDERLQFAARSAPTSIFSSPVTSPRRLSNVDLFDPSINFPQDFNDILRMPAKTSHSPDLSPRRSLGNHSPKHHYTIQGGSHPHQSKICIRVWPENNHADAHPLPLPPRASPQPQQSPSQHQSSATMHHSTENFHSMKGQWQKGKLIGRGSFGSVYHATNLETGASCALKEVDLFPDDPKSADCIKQLDQEIRILGQLNHPNIVQYYGSEQVGDRLCIYMEFVHPGSLQKFMQEHCGALTESVVRNFTRHILSGLEYLHSNNTIHRDIKGANLLVDASGIVKLADFGVSKILTEKSYELSLKGSPYWMAPELMMATMKKESNPNIAMAVDIWSLGCTIIEMLTSKPPWSEFSGHQAMFKVLHRSPDIPENLSPEGRDFLEQCFRRNPADRPSAAALLTHAFVQNLHDHDVIVHSLGCHKEHTTVHSQGFQKEDDSGPKDESRKHSPGHSSKNSRGVVPSSFRSRIFCKIQNLIGDTSKKADTEVHNHIRSSPVSPCSHNSPQSPFKSNTRNCMTVTKSSNIPFPAMRFVKHL >CAK8536948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44417583:44418140:-1 gene:gene-LATHSAT_LOCUS6271 transcript:rna-LATHSAT_LOCUS6271 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPNKKEFTIVRLIWVPPFTGELFYLRMMLTMIKGPTSYEEIRKAGDTQYESFRDACFAMGFLEDDRKCIMVIKEASEWGSGHFLRKLFMVMLMLGNMNRHTHVWKESWVILSDGLLHRQRQLVQNKDLLLSDAQLQNLTLVEIE >CAK8541415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157201056:157206387:-1 gene:gene-LATHSAT_LOCUS10336 transcript:rna-LATHSAT_LOCUS10336 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLSFHRSFLLLLNLLPLFLSSGNCHIPTTLEDPFLPVTVPFDVSTRGNTFDLPDTDPRVLRQVVGFEPEQISLSLSSTHDSVWVSWITGEFQIGYNIKPLDPKTVASVVHYGTSRFELVNEAEGQSLIYNQLYPFEGLQNYTSGIIHHVRLTGLEPNTLYYYQCGDPSLHAMSDIYYFRTMPISGPQSYPGRIAIVGDLGLTYNTTTTISHMTSNEPDLILLIGDVSYANLYLTNGTGSNCYSCSFPDTPIHETYQPRWDYWGRFMQSLVSRVPIMVVEGNHEIELQAGNKTFEAYSSRFAFPSEESGSPSTFYYSFNAGGVHFIMLGAYINYDKTGEQYRWLKRDLANVDRSMTPWLVATWHSPWYSTYVAHYRETECMRVEMEELLYSYSVDIVFNGHVHAYERSNRVYNYTLDPCGPVHIAVGDGGNREKLSVPFVDEPGNCPEPSTTPGSYMGGFCATNFTSGPAAGKFCWDRQADYSAFRESSFGYGIFEVKNETWALWTWYRNQDSYKEVGDQIYIVRQPDKCPVGQRVYSNCVASF >CAK8536734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11938237:11939188:-1 gene:gene-LATHSAT_LOCUS6068 transcript:rna-LATHSAT_LOCUS6068 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNISFLSNLLILQYLSLQCLSAQDFAFFYFIQQWPGSYCDTKQSCCYPKTGKPIPDFTIYGLRPNYNDASFPSNCDPHSVLDKSKISDLIKNLEKNWPSLSCPSGTGIRLWSHEWKQHGTCSESKLIQHDYFETALKLKKKLNLLQILKNAGIEPDDKFYDQYSFLDAVQQSTGFLPGIECNRDSSHNSQLLKVYMCVDTSGSKFIECPGVPMGSCGAKVQFPKF >CAK8570633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:76220047:76223237:-1 gene:gene-LATHSAT_LOCUS23369 transcript:rna-LATHSAT_LOCUS23369 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITALTRLDHNRALGQISERLNIQVSDVKNVIIWGNHSSTQYPDVNHNHATVKTPAGEKPVRELVSDDSWLNGEFISTVQQRGAAIIKARKLSSALSAASSACDHIRNWVLGTPEGTFVSMGVYSDGSYNVPAGLIYSFPVTCANGEWKIVQGLSIDEFSRKKLDLTAEELSEEKNLAYSCLS >CAK8538508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485490060:485490755:1 gene:gene-LATHSAT_LOCUS7697 transcript:rna-LATHSAT_LOCUS7697 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATRAYLLMLVGSTIFADKTSTLVEARYLSLFIDLDGLSGYSWGAAALVTLYIYLIDASMFSCKQLGGYPPLLQCWIHEYFPTLGKKRENWIPASNVGLPRAMRWSYRQGALKVDDLRPILDELTPSDVIWRPFENHRVFVGNIGISCCQCCRRPANPSIQDVAAGERNFFQSTVTGGNGNPSFLFT >CAK8570102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23823032:23824288:1 gene:gene-LATHSAT_LOCUS22885 transcript:rna-LATHSAT_LOCUS22885 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLGELKAHIVKKVGPDKSKRYFYYLNRFLSQKLSKNEFDKLCFRVLGRENLPLHNLFIKSILKNACEAKTPPSVHPSGSSKSGTRVTNLSPGREDGHEQSVANFQNHNASIWPNGVLPVSPRKLRSGMRDRKLKDRPSPLGPNGKIDSVSHHFMATEDGDGKVDMENGTLTLCDYQRPTQQLQAAAELPKNVIADGMQRLAKKPRIHGKGLTEMSTAEDGMEAEQLNRLNFARNPLIAPLGIPYCSASVGGAHKALPVNSAGDFVSCCDSGRLSDPDTLRRRMEQIAMVQGLGGVSTECASMLNSVLDVYLKRLIKSCVDLVGARSANEPSKLPIPKKQIQGKVLNGMMPHNHLHVQNAGRLAESEPEHRPQFSVSLQDFKVAMELNPQQLGEDWPLQLEKISMQSFEE >CAK8544165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670049677:670050465:1 gene:gene-LATHSAT_LOCUS12867 transcript:rna-LATHSAT_LOCUS12867 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMRSSFIRKMKLIPTKTNIKQSLVFHFNTTDMFCIQKCPTPPFFDDHKGNDSRWASVQSGTGNNLDGSEKNNDDKIHHLEGQEFNFKLATKEYPSLKSFEEKCPPGGSNSIILYTTSLRGIRKTFQDCNTIRFLLRSLRIMYHERDVSLHLEYRQELWNILGEKVIPPKLFIKGRYIGGADEVIGLNEMGWLAKMLEGTPTVVSSDCLCIGCANMGFTICSTCFGSCKVFINNGDSSNNECFLRCHDCNENGLVKCPFCC >CAK8537696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421291242:421292642:-1 gene:gene-LATHSAT_LOCUS6960 transcript:rna-LATHSAT_LOCUS6960 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNQMKRKTELIFIPSPGIGHLASSLEFAKLLINTNNNLSITVLCIKFPGIPFADSYIKSALASQPQIQLIDLPQVELPPQEILKSPEFFILTFMESLIPHVKATIQTILSDKVVGLVLDFFCVSMIDVGNELGIPSYMFLTSNVGFLSLMLSLQNRRIEDVFDDSDPDRRQFLIPGFSNIVPSNVLPDAVFNKDGGYVAYYKLAERFRDTRGIIVNTFSELEQYSIDALSSHDEKIPTIYAVGPLLDLKGNPNPNLDQAQRDLILKWLDEQPNKSVVFLCFGSMGVSFVPSQIREIALGLKNSGVRFLWANSAEKKGLPEGFLEWIELEGKGMICGWAPQVEILAHKAIGGFVSHCGWNSILESLWFGVPILTWPIYAEQQLNAFRMVKEWSLAVELRVDYRKGSKDVVMAEEIEKGLKDLMDRDNIVHKKVQEIKEKARNAVASGGSSFISVGKFIDNVIGSN >CAK8544862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709547145:709550388:-1 gene:gene-LATHSAT_LOCUS13508 transcript:rna-LATHSAT_LOCUS13508 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAANSSIIIKNDLRFHKIITTTSSRFQYSPTGTAALLRLVSFTRKPSHLHLLRLHSHSRGLRWQCQHHRGERHSSPNPTQILEIGFNRILVSLNDWRNLRIMELAGLLLCILMVVPSADAVDALKTCACLLKECRPELAKCLSNPSCAANIACLQTCNNRPDETECQIRCGDVFENSVVDEFNECAVSRKKCVPKKSDVGEFPVPNPDVLVKSFNISDFSGKWYITSGLNPTFDAFDCQLHEFHTEANKLVGNIAWRIPTPDGGFLTRSARQNFVQDPENPGILYNHDNEYLNYQDDWYVLSSQIENKPDDYIFIYYRGRNDAWDGYGGAVVYTRSSVLPESIVPELEKAAKSVGRDFSKFIRTDNTCGPEPSIVERLEKKVEEGEKTIVKEVEELEEEVEKVGKTEMNLLQKLAEGFKIFQEDEENFLRGLSKEEMEILNSLKLEADEVEKLFGRALPLRKLR >CAK8568829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644883005:644883778:1 gene:gene-LATHSAT_LOCUS21748 transcript:rna-LATHSAT_LOCUS21748 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDYQKIHPANDLESQTKQTIPLYPRNISKSDRRDPLQYDYFNPPIPKRKFQVRHSPPPKKKRSCCCRFLCCTFTILLILIIAISITIGTLYLAFRPKLPKYSVDRLTITHFNLSDNNNLFATFDVTVIARNPNKKIGIYYVSGSNISAWYKETELCEGSLPNFYQGHRNITVLNLPLTGRTQDATGLVNTLQLLLQEKGNIPLNIKVNQNVRVKIGKLKLFRVKFHLRCEIMVDSLGADNDISISGSSCKFKLRL >CAK8535994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896842499:896843441:-1 gene:gene-LATHSAT_LOCUS5404 transcript:rna-LATHSAT_LOCUS5404-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHQSGQGFVSDGSHLDELAEINEKQERVKDEFSNNLELCASEAEKFVEQPVSDSDALEPFIDMQFNSREEAREFYNAYGRRMGFTVRVHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRVLPPPPATREGCQAMIRLALRDEGKWVVTKVVKEHTHKLMSPSEVPWRRSEKHLVSEDEKDRRIRELLLELYNERQKYKRRCAAYEEQLNAILNDLEKHTEHISKKVADVVRNIREIEEEKSDSKGR >CAK8535993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896842499:896844585:-1 gene:gene-LATHSAT_LOCUS5404 transcript:rna-LATHSAT_LOCUS5404 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRMLDHQSGQGFVSDGSHLDELAEINEKQERVKDEFSNNLELCASEAEKFVEQPVSDSDALEPFIDMQFNSREEAREFYNAYGRRMGFTVRVHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRVLPPPPATREGCQAMIRLALRDEGKWVVTKVVKEHTHKLMSPSEVPWRRSEKHLVSEDEKDRRIRELLLELYNERQKYKRRCAAYEEQLNAILNDLEKHTEHISKKVADVVRNIREIEEEKSDSKGR >CAK8536189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915515020:915517900:1 gene:gene-LATHSAT_LOCUS5582 transcript:rna-LATHSAT_LOCUS5582 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGYGSDGIYRSLRPSLTLPKNPNLSLVTHLFNNVASSLSKTALIDADSNQSLTFAQLKSLTIKLSHALIHIGVKKSDVVLFLAPNSFLYPVFFLAVTSIGAIASTVNPAYTTGEVTKQAKDSNPKFIITVAELWEKVKHLHLPAVFLDAGTIMTPISNVTSFESFVKLGESGTEFPKVDVKQSDTAALLYSSGTTGLSKGVILTHANFIAAAMMITMDDELNGEINDVYLVVLPMFHVFGLAVITYSQLQRGNAVVSLKRFEFGVVLKTIEKFRVTKLWVVPPIILALAKHSLVDKYDLSCLKYVGSGAAPLGKELMQECAKRFPRAIISQGYGMTETTGIVSVENTRMGIRHTGSTGMLVAGVEAQIVSVDTLKPLPPGQLGEIWVRGPNMMRGYHNNPQATRLTIDQKGWVHTGDLGYFDEDGHLFVVDRIKELIKYKGFQVAPAELEGLLISHPEILDAVVIPYPDAEAGEVPVAYVVRSPNSSLTEEDIKKFIADQVAPFKRLRRVTFINTVPKTASGKILRRELIDKARSKI >CAK8568456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607061129:607061602:-1 gene:gene-LATHSAT_LOCUS21412 transcript:rna-LATHSAT_LOCUS21412 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLMGLDSPLLNTLHHIMNLTDDTTDKNLNAPTRTYVRDAKAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDENVLLISGERKREEEKEGVKYLKMERRVGKLMRKFVLPENANVEGVSAICQDGVLTVTVNKLPPPEPKKPKTIEVKIA >CAK8533820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662802291:662805183:-1 gene:gene-LATHSAT_LOCUS3415 transcript:rna-LATHSAT_LOCUS3415 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSICKTTPFTSFSNLTTTKNGSIEPSLGFTRRTSIGVLRCSFERSDGKKASVVSNSNYVVPIDDSLSFSNSSSTITRPLAEILRDLNKRIPDTIVKPPHDPSSPTFIPWYHANRMLSFYAPGWCGEIRDVIFSDNGTVTVVYRLTVRGSDGEAYRESTGTISTTDASITDPVSSAEENAFCKACARFGLGLYMYHEDKTSGV >CAK8564170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654995953:654997170:1 gene:gene-LATHSAT_LOCUS17499 transcript:rna-LATHSAT_LOCUS17499 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPASSSKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDMKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINQEMVDKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKILSDNLITGQCPFLFFTKFQIPWIWRWSITISKNKFNIPILERNFFYKWWNKMSSEDIQNKIKLIEESISEDQNNKVKEESSQQMSMENLKNFFKRKYPNEPEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGEGQEDEASAEDFWDAMIQSLIAKGKAKK >CAK8560763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41012841:41014271:-1 gene:gene-LATHSAT_LOCUS14413 transcript:rna-LATHSAT_LOCUS14413 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQNVNTKLKRWRSVYFFIFIAGAIALLLSGASMSKFFSLKSFLDVESFYTHLSPPEGIDRNNRNEVLTTVEIVIQKIQKELEKLREIHRDPSSLLSSSQFVVKQGAFLADILGLLESLHSEEVRNGSFIVHPLMKEKKRSDEPASYFLREEIRKYVRIKPNRLGKQNFMGANATFTSIGHACFSMKEDLEEYMDYDIGEICNDDWKLAQKLMVHGCDPLPRRRCFSRAPKLYYKPLPIKDSMWKLPDDRNVRWSQYRCKNFTCLASNNNARKGFFKCADCFNLTDHEKPRWMRLDGDSTSNQTSEADFFIDEVLGIKLGEIRIGLDFSVGTGTFAARMREFNVTIVSATVNLGAPFSEMIALRGLVPLYLTINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFVLYDWDRVLRPGGLLWIDGFFCLKEDLYDYLQAFKMLRYKKHKWVVVPKIDKHDQEVFFSAVLEKPPRPFR >CAK8543386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604231039:604232480:-1 gene:gene-LATHSAT_LOCUS12144 transcript:rna-LATHSAT_LOCUS12144 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERPDGTNVHNWHWSETNCLEWSRTFFTNLLSNLVILNGEGNLFIKTTALRNLDGEAYINIRKGKIIPGYEVNLTVSWEGEAKDSEGNSLLKVNGTVEIPYISDENADEDPDIRVTVEDEGPIGKRIKDAMFSKGKGLILEKVRVWVQSMAKGGPVKEELDTKKPLPQPLKQNHAPVATATVAKSDSVKKEGVVEKKKEGKKGRKNIVLTEKFSCRAKDLYEILMDENRWKGFTQSNAKISKEVGGEFSIFDGSVTGSNLELQEAKLIVQRWRFGSWTDGVQSQVKLVFEEPEPGVTVVKLTHTDVPEEDRYGNATVVENTERGWREHIFQRIRAVFGFGI >CAK8571028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:271646815:271647315:1 gene:gene-LATHSAT_LOCUS23725 transcript:rna-LATHSAT_LOCUS23725 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDETGCPILCINNCGFFGRAETMNMCSKCYKDTLLNKEQDKLTAASVESIVSGGSTKEIVYDGAIDVQVGNVEVKTKTVCAEISGDSSTSEIPETKVKTGPSRCGTCRKRVGLTGFTCKCGNLFCAMHRYSDKHDCPYDYQAVGRDAIAKSNPIIKADKIDKF >CAK8536459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943058764:943059027:-1 gene:gene-LATHSAT_LOCUS5826 transcript:rna-LATHSAT_LOCUS5826 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDHQETEDLNPDPSNADTSNDASEGFETASDTDLGSDVDANDGSTIKQEEREHLQQKNQHTEQDQEQGDPQRIISSDDALINEE >CAK8578099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607032928:607048951:1 gene:gene-LATHSAT_LOCUS30140 transcript:rna-LATHSAT_LOCUS30140 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIICKNSLKALIPVVLMVLCQIGYAAVNIMYKLAINDGMSMRVAAAYRLCFAAAFTIPLAIIFDRKERPKITWKVLYMEFLCGLFGGSLLLNLYGLGLTLTSATFMLSMLNLVPGVTFIMAISFGLEKLNWKLAEGKAKVIGTIISIGGAMLMIFYKGVEINIWNSNINLMHPLYDKNGIMEPISLKFSSKLLGVPCGIGSCCSFSLWLIIQTRLNEEYPSHHSSTALMSTMGAIQATVLALCFDREWSQWKLDYNIRLLTIVYSGVIASGLLIVVIAWCIKIKGPLFASIFNPLQLLLVAISAYLLLDEKLYLGSVFGAVLIVCGIYAVLWSKSKEMENKKKLLPLETNIKSEAVEFVMPNSYK >CAK8565891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347795997:347796389:1 gene:gene-LATHSAT_LOCUS19068 transcript:rna-LATHSAT_LOCUS19068 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTTYFYEKTNMSWKRNIKKRAARNLHVKCCIVRIPWMSEFSPIEFLKGVAERVTKAMCFSSMRRSSNRVSASMGRSKTIGVSADYFRTAAVEDCIEFFHIQSTFSRSNSLTITPQDDFTRFAVRKFDS >CAK8574579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2970296:2971993:-1 gene:gene-LATHSAT_LOCUS26909 transcript:rna-LATHSAT_LOCUS26909 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRARGLRFVVQFKLFPTSLCNKSAQRSSFCTSTKNSNNNCKDIITDERYRQLENLDMMTAIKILFTDPPKKRKFGFDFHLVQFFFACMPSLAVYLVAQYARYEIRTMEAEVEQKRKKKEEEEAKEREKELELNPPEEKEANPLLSEVNERLDKLEETVKEIAVVTKKQSRSNTEANQITGDEKEPLNSSAPMNTSGEKDNSKSVLGEESKGSVANPNSSLQNPTSQNQSGKAS >CAK8534229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707820133:707822736:1 gene:gene-LATHSAT_LOCUS3789 transcript:rna-LATHSAT_LOCUS3789 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENSTKRNSNVQLLEELEALSESLYQSHTTTARRTASLTLPRTSIPLVPSPKDDNDTAKLDSNKNSEKPRSRRMSLSPWKSRTNIEDVKPSSPSSLSSTQSDNRKFDEKSNSAEKKGIWNWKPIRAISHIGKQKISCLFSVEIITAQSLPSSMNGLRLSVCVRKKENRDGSVQTMPSRVSQGAADFEETLFIRCNVYCNQQGNGKNLKFESRPFLIYLFAVDAKELNFGRNTVDLSQLIQESIEKSRQGNRVRQWETSFGLSGKAKGGELVLKLGFQIIEKDGGVEIYNNEENLKPSSRFKNFTSSLARRQSKSSFSMPSPRIVTRNDAWTPSQRKLAEDIQGMNGLNLDDDPNPNPNQVLDSYSSSQKVFDDKEKVEDLDLDLPEFEVVDKGVEVEDGREGSEKSLEVKSSSSEIVKEIVHDQLHLARLNELDSLSKQIKALESMMGENNKDFDTESQRLDSDEENVTREFLHMLEDQRSRISKINQSEIPHLELEEHDENASLCGESNSKVYLSDLGKGLGCVVQTKDGGYLASMNPLDNHVARNDTPKLAMQMSKPFVLSSQQSLNGFELFQKLASVDLDELSSQIFSLMPIDELIGKTAEQIAFEGIASAIIQGRNKEGASSSAARIVSALKEMANAMSLGRQERISTGIWNVDDISLTAEKILAFTMQKIEFMAIEALKIQADMAEEEAPFDVSSFSTKEGKKEKDLLSSAISLEDWIRDQSFENDEVSNITLMFVVQLRDPIRRYEAVGGPMMVLIHATNVDTKGDGEEEEEEEKRFRVSSMHVGGFKVRSGDGKKNGWDGEKQRLTSMQWLIEYGLGKGGKKGKHALVKGQDLLWSISSRIMADMWLKPMRNPDVKLV >CAK8533005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564806587:564809185:-1 gene:gene-LATHSAT_LOCUS2658 transcript:rna-LATHSAT_LOCUS2658 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRIFLLGLMLLHCWVAMAEGEYLKYKDPKQPLNTRIKDLVDRMTLEEKIGQMVQIDRTVASADVMNKYYIGSVLSGGGSVPKPKASAKDWVDMVNEFQKGSLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPQLVKRIGDATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHTVVQAMTEIIPGLQGDLPNSQKGVPFVAGNKKVAACAKHYVGDGGTTRGINENNTVATRHELLSIHMPAYYNSIIKGVSTIMVSYSSWNGEKMHANHDLITGFLKNTLRFRGFVISDWQGIDRLTSPAHANYTYSIETGVNAGIDMIMVPYNYTEFIDGLTLLVKNNAIPISRIDDAVKRILRVKFVMGLFENPLADYSLTNQLGSQEHRELAREAVRKSLVLLKNGENADKPLLPLPKKASKILVAGSHADNLGYQCGGWTIEWQGLSGNNVTSGTTILSAIKNTVDKDTEIVYQENPSLDYVKSNDFSYAIVVVGETPYAETNGDSLNLTISGKGAETINNVCGGVKCVVVLITGRPVVMLPYVDIIEGLVAAWLPGSEGYGVTDVLFGDYGFSGKLPTTWFKNVDQLPMNAGDSHYDPLFPFGFGLTTKGLH >CAK8532078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200630896:200631378:-1 gene:gene-LATHSAT_LOCUS1815 transcript:rna-LATHSAT_LOCUS1815 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSANTMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8560358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15552833:15556517:-1 gene:gene-LATHSAT_LOCUS14037 transcript:rna-LATHSAT_LOCUS14037 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFGIFDKGQKIKGTVVLMPKNVLDFNAITSVGKGDVFDAAGNLVGGLTSIVGGAIDTATAFLGRNVSMQLISGTKTDASGKGLVGKETFLSNHLPRLPTLGARQDAFSIFFEYDANFGIPGAFYIRNYTSAEFFLVSVTLEDIPNRGSVQFVCNSWIYNFKSYKKDRIFFTNDTYLPSQTPASLKPFREEELQNLRGDGTGERKEYDRIYDYDVYNDLGNPDAGDKLARPVLGGSSTYPYPRRVRSGRKPTRKDPKSEKPGAIYVPRDENFGHLKSSDFLMFGIKSLSHDVLPLFQSVIFDLNFTPNEFDSFDEVRGLYEGGIKLPTDIISQISPLPALKEIFRTDGEQVLKFPPPHVIKVSKSAWMTDEEFGREMVAGVNPCVIRGLQEFPPKSSLDATIYGDQSSTITKEHLETNLGGLTLEEALNGKRLFLLDYHDAFMPYLERINISAKAYATRTILFLKDDGTLKPLAIELSLPHSNGIQYGAESKVFLPAEEGVESTIWLLAKAHVVVNDSSYHQLMSHWLNTHAVMEPFIIATNRHLSVLHPINKLLYPHYRDTININGLARQSLINAGGIIEQSFLPGPNSIEISSAVYKNWVFTDQALPADLIKRGLAVEDPSSPHGLRLVIEDYPYAVDGLEIWDAIKSWVQEYVSLYYPTDDTVQKDTELQTWWKEAVEKGHGDLKDKPWWPKMQTLQDLVQSCSIIVWTASALHAAVNFGQYPYGGYILNRPTLSRRFIPEKGTPEYDEMVKNPQKAYLRTITPKYQTLVDLSVIEILSRHASDEVYLGERDNKYWTSDSRAVQAFTKFGTKLTEIEGKIHSRNNEPSLRNRYGPVQLPYSLLLRSSEEGLTFRGIPNSVSI >CAK8568267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588211932:588216311:1 gene:gene-LATHSAT_LOCUS21241 transcript:rna-LATHSAT_LOCUS21241 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSYEEIRRKRMEENRKRMEALNLTQLSQSLRKSSSRISKPSASKSRTVKNEPDVIRRSNRVANLPPVYKGILIDRVIKPKSRNSSGGSYDKYMDYSKRVYASDEDRLEALDKAEKLQSELNSPHPIFIRTMLQSHVTGRFRLSLPVHFCKKNLPKQGEVMTLIDEDGNESPASYSARNTVLSTGWRGFAIAHKLADGDALIFELVKRTAFKVYIIRVNGPAFRG >CAK8570142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25580598:25582227:-1 gene:gene-LATHSAT_LOCUS22920 transcript:rna-LATHSAT_LOCUS22920 gene_biotype:protein_coding transcript_biotype:protein_coding MANVESTPTETLEFKWGKKRSKGGKKRDTQFYESFTLDGDDYSLFDTVYLQNDAHPEPHIGKIIKIWETPTREKARKVKVHWFFRPREIAKFLIGVQIYYNELFLGSGHGTGLCNINPLESIAGKCNLVCISKDARNPQPSEEAVQNADFVFYRYFDVGKRKVVEEIDDKILGVEVKNLFNKMA >CAK8560615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29360574:29362041:1 gene:gene-LATHSAT_LOCUS14276 transcript:rna-LATHSAT_LOCUS14276 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRQPHMMSGNNQDQYSDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKYTGRSKGYGFVTFKDPEAAIRACQNPSPVIDGRRANCNIASLGANKNRSVTLQHGRFRPPHGVMAPVPYHGSSSSAILHQPTRQYTFPYSAYGYSGYSQDTLYPANYYNVYGVQQYSPYYPSVGGAGTMGLVQNMYPYYGQYAQNIHGPGFGVQYPQMTQIPVLSQNYGSPGILSFPSSTALPTISTVAATATTTTATTVAVTGVTSSQATETTDSEQQQHSTS >CAK8575237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57524250:57525323:1 gene:gene-LATHSAT_LOCUS27516 transcript:rna-LATHSAT_LOCUS27516 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWFSNQQILQLNHKLSHLTRTNQFSDSLKLFTKIHSFYFYKPDHYTLSIVITATANTGHVTTFGNQLHSFAIQTGLKAHSHVANSLLSLYSKAHDIISVQLVFEDVLYTYVYSWTTILSVVTKLIHVDYALHVFDKMPKSYVAVWNAIITGCSDNRREDVAFKLFKDMFRINVRPNNYTFATILSLCSLEVLDYGRHVHSIVFKTGFLVRTYVVNSLITMYSNCGCVANAYEVFEETEDGVRDHVMYNAMIDGFEGMESFEDAFVVFRDMHRACICLTEVTFVSVLSSCCSLRVGCQAQALAIKMGFDHDYIAVDNSTITMYSCFGEVNEARKVFKRMEENRDLVSWNVMISMFF >CAK8541374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:141204550:141204926:1 gene:gene-LATHSAT_LOCUS10299 transcript:rna-LATHSAT_LOCUS10299 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRNTNHHQLHVIQDSFPTPSASVYGLFFRDQMYELRRKKCTCELYGVKLLGSTNSVCSHRYKDGGHLVRRITISIMRASGESFWKENFQEHDY >CAK8579107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675214196:675219659:-1 gene:gene-LATHSAT_LOCUS31075 transcript:rna-LATHSAT_LOCUS31075 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTLKLVCKSNLHLRPLPFQCVRKAVPRSVPSVEPQERNRDLNRKQVNKKNPVWKKFNSKELGFRNSMIASPIKKVLNALKKKGYNVYLVGGCVRDLILMQIPKDFDIITSADLKEVMRTFSWCEIVGKRFPICHVHIDGIIVEVSSFNTATRGKPGRHFAHDIEAPKGCDKEDYLRWRNCLNRDFTINGFMFDPFAKIVYDYMGGMEDIIKAKVRTIAPAATSFQEDCARILRAIRITARLGFSISSETARSIKNLSYSVLRLDKGRLLMEMNYMLAYGSGEASLRLLWKFGLLDILLPFQALYFVRHGFRRRDKRTNMLLSFFFNLDKLLAPNRPCHSCLWVGILALHKSLSDEPRNPLVIAAFSLAVHNGGNLLEAVDIARRINKPHDIRFPELSDPYNLKAKALANEVVDLAKSVKLSLLQMTSRHSVARAMADYPQAPHSDMVFIPLGMYLKALSIFDCLKASGSKKFSSKKGKKIDYESLAHGDLLETRHVFARIVFDTVYPQSPGQS >CAK8536195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916101741:916108135:-1 gene:gene-LATHSAT_LOCUS5587 transcript:rna-LATHSAT_LOCUS5587 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFLNGERLVLLFFISRILYSLPLSLLSHGVSLSLIALAAFFLEISVDSSNSPFPLRTRPGASSGILLGAITLPSLILSKLIQSSRGFSLQLLQPQEIEYLTLQYWATSASVFSVLLFLALTIRRSRWGLRFSFSFAFLQAVLSIAALLTTSQIGLHPALKLSWVFFHGLASVKLIQHFMRTFPYCASIGEAFLVTAGIVLYFGDMLLLTIKKLCGLLVSSELDTTDEIKRSEINIIIQGLVLGLLLYPIALKYILQIWEWLINTIYSEQKRYYEIGRSLIFIASLGLALIVFVPLWMQFVQEFDMHPFFWVLSFVFSEPSKRLSLCIYWMCIIFVSVLRFYTISKNSKIERILLRKYYHLIAVLMFSPALIFQPKFLDLAFGAALAVFLILEIIRVWRIWPLGQPINQFMNAFTDHRDSDFLIVSHFSLLLGCALPIWLSSGYSDRPLAPFAGILSLGIGDTMASMVGYKYGVLRWSKTGKKTVEGTAAGITSVLAACSLLLPLLASAGYIFTQHWFSLLLAVTASGLLEAYTAQLDNAFIPLFFYSLLCL >CAK8574629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4317023:4320753:1 gene:gene-LATHSAT_LOCUS26957 transcript:rna-LATHSAT_LOCUS26957 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGSKRSFFEELPPSPPLSKRLRCSSATSPIRFPSPSLFDQLRNLFPHMEQQVLERALQESDNDLDAAIKSLNELYLGAGGGNFGTAEESEIDVSGDQGKLEDDVNDSASENQSTLNNLPADGAEWIDFFVREMMVATSVDDARARAARMLEVLEKSISERAKAEATDSLQKENLMLKQQIEVLIKEKNSFKNAFRIQHERLSDYDNKNHELQQLKQLASQYQEQIRTLEMNNYALGMHLRQAQQSNGFQGNFPPDIF >CAK8577856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594389343:594389945:1 gene:gene-LATHSAT_LOCUS29925 transcript:rna-LATHSAT_LOCUS29925 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTPKSHVLIFLLVILFTLSLTGTTPEESPLLEILRNHGLPSGLFPQSVKSFQLDQMGRLEVHLDRPCLAQYETTVFFDTVVKANLSFGQLKVFEGMSREELFLWLPVKDIIVTDQSSGLILIDISFALKRLSFSRFEEPPIFGSHQGLSFGLGGRKGKG >CAK8530672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39200620:39208160:1 gene:gene-LATHSAT_LOCUS518 transcript:rna-LATHSAT_LOCUS518 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSSYSSIFSFGDSMADTGNLFFSNQDPSDHCLSPPNGQTYFHHTSGRCSNGRLIIDFIAESLGIPMVKPYLGIKKGVLEDSAGKDGVNFAVIGATALDISFFEERDIHNVATNYSLTVQMNWFKELLSALCNSSESCHKVLGKSLFLVGEIGGNDFIYMLNSRKSIEEIKTYVPDVINAITSAINELIDLGAHTLMVPGNFPMGCNACFLTKYETTDKNQYDSFGCLKKLNEFAAFYNQNLQNEIQRLRGVYPHANIIYVDYYNALLPLYQYPSKFGFLGLKACCGMGGSYNFNGSELCGKSGVVACDDPSQYISWDGVHLTEAAYRLIAHGIISGPYSLPKLKNLCSINLAMDI >CAK8569468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2061831:2064174:-1 gene:gene-LATHSAT_LOCUS22317 transcript:rna-LATHSAT_LOCUS22317 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSMNRSLWLPISNPTTYQSLMDPFPISSQSHSIAWQETWPFFLATLSIYFCVSASLLYGLYGDSRLILGPSSSRLVKTSSVFVEQIQVTNEYTDKNHVHLYAFDKKPELSSQINWTTSKFLSVQAYSRKGISLWLNKGSTIFLRWEADAGSLNQLEGMVIKGERKYEKLKPEQTIFHEAMPIVVRETNNGKEAEYIVEEDDRYHIGVLNMNARNIILTMNVNVSAKIYDTTKSTNMCSTRTGSCKLGTFFPITYYVILTSPKNGNNYYNDDDAWYVEVSFLARVFSYITLLGIFMVVIFLILRCLGPGDGGDNYNVVGEQEVTETEPLVSAQTNIVTYGTNKLQEKKDSDSESESDALSSSSEELYNEKLCIICYDEQRNCFFVPCGHCATCYNCAQRIVDGQSKVCPVCRRLLHRVRRLFNS >CAK8569469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2061831:2064159:-1 gene:gene-LATHSAT_LOCUS22317 transcript:rna-LATHSAT_LOCUS22317-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLWLPISNPTTYQSLMDPFPISSQSHSIAWQETWPFFLATLSIYFCVSASLLYGLYGDSRLILGPSSSRLVKTSSVFVEQIQVTNEYTDKNHVHLYAFDKKPELSSQINWTTSKFLSVQAYSRKGISLWLNKGSTIFLRWEADAGSLNQLEGMVIKGERKYEKLKPEQTIFHEAMPIVVRETNNGKEAEYIVEEDDRYHIGVLNMNARNIILTMNVNVSAKIYDTTKSTNMCSTRTGSCKLGTFFPITYYVILTSPKNGNNYYNDDDAWYVEVSFLARVFSYITLLGIFMVVIFLILRCLGPGDGGDNYNVVGEQEVTETEPLVSAQTNIVTYGTNKLQEKKDSDSESESDALSSSSEELYNEKLCIICYDEQRNCFFVPCGHCATCYNCAQRIVDGQSKVCPVCRRLLHRVRRLFNS >CAK8578992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666290380:666294404:1 gene:gene-LATHSAT_LOCUS30969 transcript:rna-LATHSAT_LOCUS30969 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWSRKSSKNKQERDGNDNDEEDEEEQSRGGVLHFNFMKSPINAIRNGNNGDSKKSKMKKQKPKSFDEIFNRNSPRTSREFDGGAATEKKGLPLPLPAHCDQALGSPSVSGSSVSSSTSFDDHPISPQFVSNNRGQDEVKFNVRSRSPGPASRGPTSPRGPTSPTSPLHPRLQALSLDSPTGKQDDGRSQCHPLPLPPGSPTSPSSALCNTRSSGPFENTTPNLSKWKKGKLLGRGTFGHVYLGFNSENGQMCAIKEVRVGCDDQNSKECLKQLNQEINLLNKLSHPNIVQYHGSEPGEESLSIYLEYVSGGSIHKLLQEYGPFKEPVIQNYTRQIVSGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITSAASMLSFKGSPYWMAPEVVMNTNGYSLPVDIWSLGCTLIEMAASKPPWSQYEGVAAIFKIGNSKDMPEIPEHLSNDAKNFIMLCLQRDPLARPTAQKLLEHPFIRDQSATRAATRDVSSYMFDGSRTPPVLEPHSNRRSITSLDGDYATKSAIAAPRATRSPRDHTRMITSLPVSPSSSPLRQYGADHRSCFYSPPHPSYTIMGQNSYTLNDAPSYPVRSNAAFTLDPWHETSRYKAHTPPGGSPRMRFI >CAK8569402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699086680:699087006:1 gene:gene-LATHSAT_LOCUS22258 transcript:rna-LATHSAT_LOCUS22258 gene_biotype:protein_coding transcript_biotype:protein_coding MINTTRTIVLSSSAGQVNGKQRYAINSVSYVSPDTPLKLADFFKISGVYRPGSISDRPTGGGIYTDTSVMQTDYRSYVEIVFQNDEDILQSYHLDGCSFFVVGMDGGQ >CAK8533682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649249791:649253178:1 gene:gene-LATHSAT_LOCUS3287 transcript:rna-LATHSAT_LOCUS3287 gene_biotype:protein_coding transcript_biotype:protein_coding MNGENFDIKVLIVDHDAVSLALVANLLIAWKYKVQTAKDAHQALKILQGSKGLFDIIISEFNLLGMNAFDFLKLIRNEFHIPIIMMSEEKEENLITNILKNGAAHFIAKPFCDEDFKNIWKYVMDAKEEKLFAKSEEQKSKNKHAKRKINDKDQVEGGFQVAKKTKLVWTPELHNLFMFAIKQIGFEKVGPKKILKIMNVPNLTRENVASHLQKYRKFLHDVEEKGMTGGISQRALRSTFASNLPVPLIKTMQEKRTNKFHTPTFEYLQTLSYQTENQNNDFNLFNRLPSHQVDNFPYAQQGLNLQYLDQMSLKKSNSETNVGSNNSIYDQNLVGIHSWNNSFYPEKNLLYDGGSSSSNFLSYGGGQGWTISPTTSSSNLLTRDLNFNDMNLVNKLFKGGDDISPQAEITSYVINESPINSNESSKKLQENNGISNEGSCDRFTWKKDSKESTSTNDLEFDMDVIEALFGTIDD >CAK8561432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:205167551:205169371:1 gene:gene-LATHSAT_LOCUS15025 transcript:rna-LATHSAT_LOCUS15025 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCSHLFRKIKSSRFAGIDIDISELHNVKIYTYKELRIATAGFSLANKIGQGGFGNVYKGKLRDGCTVAIKVLSAESKQGVQEFLTEIKVISSIEHENLVKLYGCCVEDNHRILVYGYLENNSLAQTLLGHSNIKFSWDVRRNICVGVARGLAFLHGEVRPHIIHRDIKASNVLLDTNLRPKISDFGLAKLISPNLTHISTRVAGTAGYVAPEYAIRNQVTRKSDIYSFGVLLLEIVSGRPNTNRRLPVKEQYLLTRAWDLYEKGELKTLVDSFLEEDFSVEEAVKFCKIGLVCTQDSPQFRPSMSTVLKMLIGEKDVNEDNIIKPGIIFEFVDANGQEDKRCKAELKSTCTSLLPNSGKQHDSSSSGTSFATMSFTAISDRTN >CAK8537157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:115032334:115032720:1 gene:gene-LATHSAT_LOCUS6467 transcript:rna-LATHSAT_LOCUS6467 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8566331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407713584:407714330:1 gene:gene-LATHSAT_LOCUS19466 transcript:rna-LATHSAT_LOCUS19466 gene_biotype:protein_coding transcript_biotype:protein_coding MEERASPPRPPPPHDVNSNPQLPDFGHGTYVVQVPKDQIYRVPPPENALIAESHRKPPTKETKRTCCCCWCIVFIVLFLAIIILVGGVLGGLFSMTNPPKDPEFSIKHFLLQSKLRPQYKITIQAYNPNTNVDILYKEGGDVSLSLKKKKIASGEYPTFSQANQNSTNFEVTLKGSTTKLPKEVQESKTNGKKKVHVTFSLSINVQTHMKLSLLQRESVTYEATCHVTVDSFAKTTKVVSQQCETKGH >CAK8570414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43969159:43970068:1 gene:gene-LATHSAT_LOCUS23162 transcript:rna-LATHSAT_LOCUS23162 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMVSRSGREMQRYNTNGGRQVVGCIPYRYKQNIDGSMSNELEVLLVTSQKAHTFMFPKGGWEVDESIEEAACRESLEEAGVVGIVEQELGEWSFISKRYGIYYEGHMFPLLVKEQLEIWPEKNIRTRLWMNVVEARDVCEHWWMKEALDILVHRLTLHQNKNI >CAK8539107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504000055:504001008:-1 gene:gene-LATHSAT_LOCUS8235 transcript:rna-LATHSAT_LOCUS8235 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPDGYGKEGGYPPQGYPPQGYPPQGYPPQGYPPPGYPPQGYPPPPYPAQGYPPQYAPQYAQPPPHQHNNNSSGCLQGCCAALCCCCLLDACF >CAK8535790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882527712:882531652:-1 gene:gene-LATHSAT_LOCUS5213 transcript:rna-LATHSAT_LOCUS5213 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLIYFSLTLLLLLTFNLVTQCSEVDDEFDELLALDDALERETEADGVKSSEAEVLTKAQRIVHELNNDNTERIVNGYEFVLVLGYAPWCSRSAELMPHFAEAANSLKEFGSSLVLAKVDADRYPKSASFLGIKGFPTLLLFVNGTSQPYSGGFTADDIVIWARKRTGTPVIRIDTKKESEEFLKKYHTFLIGRFDKFEGPEYDEFVIAAKSDNETQFVEVSKVELARVLYPDIKPTDNFLGIVKSEPERYTAYDGAFTSDKIIEFLGYNKFPLVTKMTEMNSVRVYSSPIKHQVFVFANIDDFKNLLDPLQEVARTFKSKIMIIYVDINDEDLAKPFLTMFGLEDSTNTVVAAFDKGMTSKFLLESKPTRSNIEEFCNNLVQGSLSTYFKSQPIPDNTEASVQVVVGKTFDDEILNSEKDVILEVFTPWCFNCEDTTKQVEKLAKHYKGSSNLIFLRIDASANEHPKLQVNDFPTLLLYKANDKANPIKLPTKASLKELAASINKHIKVKNQVAKDEL >CAK8535519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:857449500:857449865:-1 gene:gene-LATHSAT_LOCUS4974 transcript:rna-LATHSAT_LOCUS4974 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTRPTLPNHFHKFLKPGALARIRDSKITARSHRLNSLSQISIYRPPSSPPPPISTDQPQPNASDGFPFFSSGIYGPRCPQRKKLFAAKSVFFVPGSPAADSVDLVADSFGGGDIIAAN >CAK8569563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5155450:5155884:-1 gene:gene-LATHSAT_LOCUS22402 transcript:rna-LATHSAT_LOCUS22402 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRNRFELSIPSTYFGNCLMMCGTALPKRKLLGENGICEAANVIAREISLADPLKEVEKFGIKSNNFVCVMGSPKFDVYETDFGWGNPILSEILHLSDSNGFLLSDSKDGYGSIEVSMLQEEAQVKKFTDILDVQLRDIVAFE >CAK8544787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705695752:705701334:-1 gene:gene-LATHSAT_LOCUS13440 transcript:rna-LATHSAT_LOCUS13440 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASDALSVRQKVQHFLNAARTGNLDLLKKFAEQLDEGKDLTKTVEAIKDANKRGALHFAALEGQTEICNYLLEDLKLQIDSKDDDGETALIHAARQGHTATAKYLIDHGADPTIASNLGATALHHSAGIGDIELLEHLLSKGINPDLESDAGTPLVWAAGHAQQAAVTVLLKHGANPNAETDDGITPLLSSVAAGSLECLELLIQAGAKVNVSAGGATPLHIAADNGSLELLNSLLKAGADPNVADEDGVKPIQVAAARGNRGAVEIFFPVTSKIDAVPSWTIDGILEYMQSESKNQQGELLNGKESNGLKDAVSREQNIPEVSPEAKKRAAESKARGDEAFKRNDHYTAIDSYTQAIDLNPCDATLLSNRSLCWMRLGQAEQALADAKACRTLRPDWSKACYREGAALRLLQKFEEAANAFYEGVTIDPENKELINAFREAVEAGRKFHGTAEAENKS >CAK8571933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494607714:494607857:-1 gene:gene-LATHSAT_LOCUS24552 transcript:rna-LATHSAT_LOCUS24552-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8571932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494607368:494607857:-1 gene:gene-LATHSAT_LOCUS24552 transcript:rna-LATHSAT_LOCUS24552 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVVLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8579305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690625628:690626305:-1 gene:gene-LATHSAT_LOCUS31260 transcript:rna-LATHSAT_LOCUS31260 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRKADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDPISYQRRCFTTNHKFDVGHSTHTGNSNKGLFYQPSSISEITSDTFWKYKKMKYPPTNEKVN >CAK8579122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677073768:677074124:1 gene:gene-LATHSAT_LOCUS31090 transcript:rna-LATHSAT_LOCUS31090 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKKFKRMLRSSKSIYPDETCSGSYVKLSSDTSRKKVKDSHKKTPNGCVCVDVGLERQRFVIKIKIFNHPLFKTLLEGVENEYGYRNDGPLWLPCDVEFFCETLVKIESVFPKSHT >CAK8572555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546240083:546241296:1 gene:gene-LATHSAT_LOCUS25108 transcript:rna-LATHSAT_LOCUS25108 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDIVTAATTITDPQTKTSKRAFVTFLAGNGDYVKGVVGLAKGLRKVKTMYPLVVAVLPDVPAEHRNILISQGCIVKDIEPVYPPENQTQFAMAYYVINYSKLRIWAFEEYEKMIYLDGDIQVFENIDHLFDLPNNYFYAVMDCFCEATWGHTKQYEIGYCQQCPDKVEWPSNFGPKPPLYFNAGMFVYEPNMNTYHDLLQKLRVNKPTSFAEQDFLNMYFKDKYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGVEENMQREDIKMLVKKWWEVYEDESLDYKEAIKANRLTSAILEAGGINFVRAPNAA >CAK8533664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:647175371:647178987:1 gene:gene-LATHSAT_LOCUS3272 transcript:rna-LATHSAT_LOCUS3272 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALSSLINTTTIAFLISLISLGLILVGRSKKREAPIAKGAWPILGHLPIFYGTQSPHRVLGALADKYGPIFSIKLGSKHALIVNNWKMAKECFTTNDMALASRPNLVATQHLAYKGAMFGFAPYGPYWRNLRKIATLEILTNRRVEQQQHIRVSEVRTSIKELFDVWSSKRTESDSPNYVLVDMTQWFTQVTFNMVLRMAVGKRYFGARTNVDEEEAQKSVKALRDMMHLFGVITVGDVIPCLKLFDFGGHVKAMKRTLKELDEVLSEWLKERRHKRSLGDKVDGEDINIMDMLLSLLDGKTIEGFDCDTIIKATILTLFAGGTDTSSITLIWALCLLLKNPLAMKKAKEELDTHIGKERNVSESDISKLPYIQAIVKETLRLYPPASLSGPHEFSENCMVGGYHVKKGTRLITNLWKIQTDSNVWPDPLEFKPERFLTTHKDVDVRGKYFELLPFGSGRRMCPGISFGLQMVHYTLASFLHSFDILSPTTSELIDMTEKFGLTNSKSTPLEVLVKPRLPINCY >CAK8542197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487748179:487748817:-1 gene:gene-LATHSAT_LOCUS11057 transcript:rna-LATHSAT_LOCUS11057 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTQTKQDQEQEQALMIPPKKGRHACITCFCIVLLLLLILVTASVVLALTLFKPKQPKTTISSATLQSISPRVTLPVIDIQINVTLDIKIQVENRNRVSFKHGDGTSLLMYKGVEVGDTDIFPGLIPARSSTILPCRLVLQADKLASNLTGFIGDLTGGQLSLQTVTRIPGKVTFLGFIKKHIVAVSNCQFVFGFPDMKIKSQICKNKAKL >CAK8561544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:285157543:285157873:1 gene:gene-LATHSAT_LOCUS15125 transcript:rna-LATHSAT_LOCUS15125 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLSTKFVLKLVLWPSFLGGNLGVAGDLQRGATIHKAYVVHFTGGRALVPVIATYVLQLILKNFAMRTT >CAK8567802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546482315:546482548:-1 gene:gene-LATHSAT_LOCUS20824 transcript:rna-LATHSAT_LOCUS20824 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEEHVGSPLIVKFDQEAIRRVMVKMFINMEIPFRKVEHESFHEFMSLTSPRFQICSRTTLARDVLKLWDTENII >CAK8566429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422387914:422389521:1 gene:gene-LATHSAT_LOCUS19560 transcript:rna-LATHSAT_LOCUS19560 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSLKIGIVGFGTFGQFLANTMIKQGHTLTATSRTDYSQLCLQMGIHFFRDVTALLEADMDVILLCTSISSLSEVVGSMPLTCLKRPTLFVDVLSVKEHPRNLLLKVLPEELDILCTHPMFGPVSGKNGWQNLTFMYDRVRIKDEATCSKFLQIFSSEGCKMVEMSCEEHDRAAAKSQFITHTIGRTLAEMDIKPTPIDTKGFQALVQLKEPVMGCSFDLYSGLFVYNRFARQELENLEHALHKVKEMLVQSMDEGQNSERTES >CAK8560486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21915716:21918603:1 gene:gene-LATHSAT_LOCUS14154 transcript:rna-LATHSAT_LOCUS14154 gene_biotype:protein_coding transcript_biotype:protein_coding MATKDSSIKFHEIIIDSEGSNPSSECDDSEEDPDFEMLEETHRSFSNLSLGNNAKKRIVDDKGMATTEMNSEVVKISAASVDDENFETVQKLIKDGLLEKLKVDQCKVYLKKNSLRLTGNKDTLIQRIKEHQEIINGGGEKKYPPHSFVLNCKGDACTGDVVLFEQNVYEMFNVASRSAGGPPCGTRVVAGRIVKESYGAAKQQHTFTIEVLWSKGEKSLPPLHPLLIKGRNLYRLKTLRQRWEDEEKRRKMLMEKHSRGFVARADREARIQEKELRKSMKENRISKKDSAKNQFQSHSRSQNQPQETTNVFITSTSASVPKPAAVTEQHVQSIDSRTVTMGSNQFRNSGNSSFNANYHSAFNHYTDKPISIERYHPSIVDTNKPISIERYHPSIVDTNNYAEKTFYPREPLTSASNFFPSLANANHTHAGFPNRESYQQKQFCRHFARGRCHFGDNCKFFHG >CAK8536677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6909566:6913012:-1 gene:gene-LATHSAT_LOCUS6015 transcript:rna-LATHSAT_LOCUS6015 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKKDFKQEMVPEWEKHYMDYEGLKTILKDIKSSNKVEHNNHFHHHHHGSNNQRGEGIEDKIIDVKTLENDVDGSKEFYETNLNEEKSDTDARFFEKLDEELNKVNVFYKEEVEAVKHEATLLSKQLETLVALRGKVKSPNPGLQQEDSMVSTEVDHRSTLHEDEAPSNCERRDPMEILENVKIDNALQSQISSIKNVFTDSNDNELSFNEEELRKVEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKKYEKNAPRGSSTAYMREVDNSYLGTSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRPKIKRERHSITYFTGFFSGCFVALLVATILRIISQHLMKKKAGIFYMENIFPLYSLFGYVTLHMLMYAANTYFWRRYRINYPFLFGIRHGTELDHRDVFLLTAGHAVIAVLCFLINLQLEMNQTDRSYKTATELVPLSLIVLVILITFCPFNIIYRSSRFFFIQSLFRCICAPFFPVSLSDFFLADQLTSQFQSFRSFVLYICYYGLGEHSRRKNKCRSHGIYNIQYFTVSVIPYWFRLAQCMRQLYDERDVDHAINGSKYLSTIVAMVIRTTFETKKGMTWKVLALISSGVAILMNTYWDIVKDWSLLQRHSKNRYLRDELVVSHKSVYYIAMVVNVVLRLSWMQLVLELDWRPLHKVAIITFISCLEIVRRGIWNFFRLENEQLNNVGNYRAFKSVPHPFSYNDDDDDDKNE >CAK8562256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462673751:462674086:-1 gene:gene-LATHSAT_LOCUS15768 transcript:rna-LATHSAT_LOCUS15768 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSDDELTEDLTSKRRKLFLTEKGELNFNFPKLTTRGRRGKRRGLESRNLEGWDWRWNVAGPWKMLCG >CAK8537391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:323660732:323673581:-1 gene:gene-LATHSAT_LOCUS6684 transcript:rna-LATHSAT_LOCUS6684 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTSSCDFHNKRLGLLKDQVHLVKRKDSNRYEIAAIQDQLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTIFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNLQDLKEGKTVQVPIYDFKSSSRVGYRTVEPPTSNIVIIEGIYALSEKLRTLLDLRVSVTGGVHLDLVKRVIRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLKTAHIKITNKFNPFTGFQSPTYILKSAKNVAVDQIKAVLSEDCMETTEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDKVCVKLDWLEQLNRHYVQVQGRDRVVLKEIGDQLGLEGSYIPRTYIEQIQLERLVNEVMALPDDLKTKLSLDEDLVSSPKEALSRASADRVAMRNENMRSGLSQSYTSQRDKNAAKVNGYFTNNQGFDERNSDSSTTQLNQRAISQLSEQISALNDRMDEFTNRIEELNSKLTIRNKSPSQQNMSAQAEACNGSAPTSYFINSLGNGSITGYKMPHSLSSSQLNKESPLIDEISGITRGQRQIMHQMDNLNNLLRGGLGERSQQTRINNKSIITDLNYIGASFVVVIAVGCLGIFLTRK >CAK8532790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516643061:516644793:-1 gene:gene-LATHSAT_LOCUS2461 transcript:rna-LATHSAT_LOCUS2461 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKKNQVPHCLILPYPAQGHMNPMIQFSKRLIQKQVKVTLITVTSLWKTISNKNLTSIEVESISDGYDEGGLSAAESLEIYKETFWRVGSESLSELLHKLSTSENPPSCVIFDAFLPWALDVGKSFGLIGVAFFTQSCSVNSIYFQTHEKVIELPLSKSEYLLPGLPKLAQGDFPSFLSKYGSYPAYFDIVVNQFDNIGKADWILANSIYELENEVVDWLVKIWPLKTIGPSIPSMLLDKRLKDDKEYGINLSDPNTEFCIKWLNDKPKGSVVYVSFGSMAGLSEDQTHELAYGLKQSETFYLWVVRDCDQSNLPKGFEKTSKKGLIVTWSPQLLVLTHEAVGCFVTHCGWNSTLEALSIGVPLIAMPLWTDQVTNAKLIVDVWKIGVRAVADEKGIVRSETIKDCVKEIIETEKGSEIRKSALKWKNLAKSSFDEGGRSDKNIEEFVNSLAKF >CAK8578987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666158413:666158775:-1 gene:gene-LATHSAT_LOCUS30964 transcript:rna-LATHSAT_LOCUS30964 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRRKKTRSRYWLVMKAHDPKSNKGKSLQAADIIRFNFSQKSTIFIVPILKGKHDQNHESIRPFSASLSLSFSPSCLLRLAFIRSFGRFPLRLGFAVQSLLLLPLLVDGLMFFFSFF >CAK8569377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697333873:697334154:1 gene:gene-LATHSAT_LOCUS22234 transcript:rna-LATHSAT_LOCUS22234 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLPAVIRCASLSRSRTSSKVLNMPKGYLAVYVGKEMKRFVIPMSYLNQTSFQELLSEAEEEFGYNHPMGGLTIPCTEDVFLHITSHFNGL >CAK8531748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:146559598:146560002:1 gene:gene-LATHSAT_LOCUS1514 transcript:rna-LATHSAT_LOCUS1514 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNWYGCIHGFAGRKSKMVRNVNGKVVQQTFLCHRKDTRDDKYNNYSVRKREHKPTSRCGCLARMQVHVDFNTERWYTKFFDDFHNHKFVDEKYERMLPAHRKMREYDKYQIKTMRKSGIPTSGIYGFFANQA >CAK8541073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58416384:58418399:-1 gene:gene-LATHSAT_LOCUS10024 transcript:rna-LATHSAT_LOCUS10024 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVAAAKKKQPEKVVNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKEVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKAEKKERLLKRAQAESEGKTVETKKPINVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTIVHKKTAAVLCLTTVKNEDKMEFSRILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERLVAKEAAQRMT >CAK8569873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14063212:14064905:-1 gene:gene-LATHSAT_LOCUS22678 transcript:rna-LATHSAT_LOCUS22678 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETVSFPRVTSRTSVLFDAPGDFDVRKEVDEVCSTTSSSSIGRNSDDDVSSERSTVENENEAESAYNGGALHDMEALEEALPIRRGISSFYNGKSKSFTSLADVVTTPSVKDIVKPENAYTRRRRNLMAYNHVWDKNKNYPLRSNGGGISKRTMSLSRSTLALAVAMTNSDSSSSITSDDSASSTSNSSSLPPLHPRNRVSSLASPLQRNFFSLADLHHCAIAATMNMSSSSIENEKAYHPS >CAK8570875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:143323742:143325582:-1 gene:gene-LATHSAT_LOCUS23587 transcript:rna-LATHSAT_LOCUS23587 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTFELLALVSFFLFILVALKIGRNLKKTGSSTNLPPGPWKLPVIGHIHHLVTSTPHRKLRDMAKIHGPLMQLQLGEIFAVVVSSPEYAKEVLKTHDIIFASRPKIVAMEIISYGCTGIAFSPYGSYWRQLRKICTMELLTQKRVSSFQPIREEVLPNLIKRIDSQQGLPINITQLVVSSTFAIITKAAFGNKCKVQEGLPSLGNGEAVAGGFDIAELFPSAKWLQLVSGLRPKLERLHRQVDELLEKVIIEHKEAKSEAKQGQGDAEEDLVNVLLNFQGGNDIDQDICLTDNNIKAIILDILGAGGDTSASTIIWAMSELIRDPRVMKKAQHEVREIFNVKENIGENCINELEYLKSIVKETLRLHPPAPLLLPRECGQACEIDGYHIPIKTKVIINAWAIGRDPKYWFEPERFYPERFIGSSIDYKGSNFEYIPFGAGRRMCPGITFGLINVELTLALLLYHFDWKLPNGIKGEELDMTEQFGASIKRKHDLYLIPNSPLPSVVR >CAK8574959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18296994:18298519:-1 gene:gene-LATHSAT_LOCUS27256 transcript:rna-LATHSAT_LOCUS27256 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTALSFNGLSSSGKEFNFLPGLFINSFQKRHHACQSPNFTQNRLVFGKSFERGNQQCLLPNQCLELQTRRFRTRQGVKSEDSESALSSENIALDEHTLVEELQKAIAEENYTRAAEIRDTLKSLQKDSKIEVLGLNSKFYNAFRNGDLAGMQAMWAKRDEVCCVHPGLKGISGYDDVIESWNYVWANYEFPLQIKLEDIKAHARGDMGYVTCMEFVKAKGGRWGGQFVTNVFEKIDGEWFICNHHASPVDI >CAK8570359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39143750:39151129:1 gene:gene-LATHSAT_LOCUS23112 transcript:rna-LATHSAT_LOCUS23112 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKVLSALDSAKTQYYHFKAIIIAGMGLFSDSYDLFSITLITKMLGRIYYSNETEIRQVNPVVVSAIISVALLGTAIGQLLFGRLGDLKGRRHVYGMALILMIISSLASGFSICTKRRACVFLSLGFFRFFLGLGIGGDYPLSSTIMSEFANKKTRGSFIAAVFSMQGFGILASATVTMLVCSIFRRGSKPESAYDVPAEADVAWRLILMIGSIPAALTYYWRMMMPETARYTALVEQNVLQAAKDMEKVLDVSMSQIAEEESLPPTTTAASYPLLSREFLRRHGRDLFACSANWFLLDIVFYSQVLFQSEIYKRFLTKPKDKQDVYQEAFHLARIQAILAVSSTIPGYFFTVYFIDRVGRVKIQMMGFFFMAVSFFAIGFPYYSHWTSLENHDNKGFMVLYGLAFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAVGKVGAIIGSVGFLWASHKDKEEGYPRGIGMQASLIILGGVCIVGMFVTYFFTKETMGRSLEENEVEQSHNGEEYNDL >CAK8544484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688198945:688202006:-1 gene:gene-LATHSAT_LOCUS13160 transcript:rna-LATHSAT_LOCUS13160 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASELHGISMLLSSKKPFFDRDRTLSSSFSGSKLHSKNAAFDFPHGKFVSVVQRKTNRRFLTTSTLADVANNFMTLQSPIFAEEEANPRTVASIILGGGAGTRLFPLTQKRAKPAVPFGGCYRLVDIPMSNCINSGINKIYVLTQFNSQSLNRHIARTYNLGGGVNCGGSFIEILAASQTLGESGNKWFQGTADAVRRFLWLFEDAEHKNIENILILCGDQLYRMDYMELVKKHINSYADISVSCLPVDGSRASDFGLVKVDERGQIRRFMEKPKGELLRSMHVDTSIFGLSAQEARKLPYIASMGIYVFKLDVLRKLLRNCYPNANDFGSEVLPKAIKDFKVQACLFSGYWEDIGTIKSFYDANLALMDKPPKFELYDQSKPIFTCPRFLPPTKMEKCQVINSLISDGCFLRECIVEHSIVGIRSRLDSEVHLKDTLMMGADYYQTEAEIASLLAMGDVPIGIGKNAKIMNCIIDKNARIGNNVIIANKENVQEADRSSEGFYIRSGITVVLKNSVINNGTII >CAK8544485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688198945:688202000:-1 gene:gene-LATHSAT_LOCUS13160 transcript:rna-LATHSAT_LOCUS13160-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASELHGISMLLSSKKPFFDRDRTLSSSFSGSKLHSKNAAFDFPHGKFVSVVQRKTNRRFLTTSTLADVANNFMTLQSPIFAEEEANPRTVASIILGGGAGTRLFPLTQKRAKPAVPFGGCYRLVDIPMSNCINSGINKIYVLTQFNSQSLNRHIARTYNLGGGVNCGGSFIEILAASQTLGESGNKWFQGTADAVRRFLWLFEDAEHKNIENILILCGDQLYRMDYMELVKKHINSYADISVSCLPVDGSRASDFGLVKVDERGQIRRFMEKPKGELLRSMHVDTSIFGLSAQEARKLPYIASMGIYVFKLDVLRKLLRNCYPNANDFGSEVLPKAIKDFKVQACLFSGYWEDIGTIKSFYDANLALMDKPPKFELYDQSKPIFTCPRFLPPTKMEKCQVINSLISDGCFLRECIVEHSIVGIRSRLDSEVHLKDTLMMGADYYQTEAEIASLLAMGDVPIGIGKNAKIMNCIIDKNARIGNNVIIANKENVQEADRSSEGFYIRSGITVVLKNSVINNGTII >CAK8541645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:326295879:326298848:-1 gene:gene-LATHSAT_LOCUS10550 transcript:rna-LATHSAT_LOCUS10550 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKEMKITGNVLYSHEVVDSDIKQLVESPLQENVNGSSSFEVEHVDERVHLQDQDVDCATTVMGEDQFEQVSLNDQDKNNESEDSNQSPGSDKIHHPYDGNAEDSRYSSGSCSLEYDSSIVTDLHLDNLSHSPASEGNFGHTNKQLAPSISFDSTGYSSTVTSPPNPRQKHAKPNVSPELLHLVDSAIMGKPEGMDKLKNIASSVEFFENGEEMDSVPFLIVDSLLATMGGVESFKEDEDNNPPSVMLNSRAAIVSGELIPWLPYIGDTEDVMSPRTRMVRGLLAIIRACTRNRAMCSSAGLLGVLLRTAEKIFTVDVGLNGQMRWDGTPLCQCIQYLAGHTLSVSDLYRWFQVITKTLTTIWAPRLTLALEKAISGKESKGPACTFEFDGESSGLLGPGESRWPFVNGYAFATWIYIESFADTLNTATVAAAIAAAASANSGKSSAMSAAAAASALAGEGTVYMPRLFSFLSNDNQGLEAYFHAQFLVVETASGKGKKSSLHFTYPFKPQCWYFIGLEHIGKHGILGNAESEVRLYVDGSLYESLPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGSDKMAGLASRGGDILPSFGNAAGLPWLATNAYVQIKAEESVLLDAEIGGCIHLLYHPSLLNGRFCPDASPSGASGMLRRPAEVLGLVHVATRMRPGDALWALAYGGPLSLLPVTISNIDEDTLEPLQGTFPLSSATTSLAAPIFRIISMAIQHPRNNEELSRGRGPEVLSKILNYLLQTLSSLHVGRHDGVRDEELVAAVVSVCQSQKINHTLKVQLFATLVLDLKIWSLCSYGIQKKLLSSLADMVFTESTVIRDANAIQMLLDGCRRCYWIIHEIDSVNSFSLAGATRPVGEINALVDELLVVVELLIVAAPPSLVSADVRCLLGFMVDCPQPNQVARVLHLFYRLVVQPNASRANTFAEEFLAGGGIETLLVLLQKEVIAEELM >CAK8536060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904024585:904028885:1 gene:gene-LATHSAT_LOCUS5462 transcript:rna-LATHSAT_LOCUS5462 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTSQVYIDVIEDVMVKVRDEFVTNGGGPGDEVLRELQAIWETKMIQAGAVLGPIERSAAANRPTPGGPITPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPIQTPLPGAGETPNYNIPTGPSDYSSSGNETGGNADVKGGRPSPFMQPPSPWMNQRPPLDVNVAYVEGREEADRGASNQPMTQDFFTVPGGKRKRNDMPPPYDVGGYIPQQDGAGDAGSGDFEIEVCGGSISFNSQHTNSKGKMPADLERLTSRIPQLDGPIPYEDDVLSTPNIYYNGGGYNEDYNVANTPAPPEVPVSTPALVAQNEVVNDDDDDEPPLNENDDDDLDDLDQGDDQNTHHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >CAK8571575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442310489:442313270:-1 gene:gene-LATHSAT_LOCUS24220 transcript:rna-LATHSAT_LOCUS24220-3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISMDDDNQGGGSIENRVHISTPKGMASSEITEELTSGQRTMRNLSVETPLRTQEEAKEDFLRINIPLTPSPRRVLFSPCASPGFISNNESPGSSSSRNRPTLKKSLIPKLSFKFKNTASEIEKAAFLALEGSSTVSSKKPFLSKTLSRIKLKGMKTSSLPVSPVPRSNPASVHGGKGYPAMASEKELRLPIHRSRSVPAFTGEDTSVGGRFRVFRMIPPSNEKISTATFAASPTGENVETEDGGEDIPEEEAVCRICMVELGEGAENFKLECSCKGELSLAHKECAVKWFGIKGNRTCDVCKQEVQNLPVTLLRLHSANLQPNRGHLDESSQYRVWQDAPILVIVNMLAYFCFLEQLLVSTMGSSAIAMSLPFSCILGLLASMTATTMVRRNRVWIYGTIQFCLVVLAGHLLYSVVRNSSLQPFIPSSFITQIANNEYNICLRSSAKCVYVCDRNAFDTFFFETAL >CAK8571573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442310234:442313270:-1 gene:gene-LATHSAT_LOCUS24220 transcript:rna-LATHSAT_LOCUS24220 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISMDDDNQGGGSIENRVHISTPKGMASSEITEELTSGQRTMRNLSVETPLRTQEEAKEDFLRINIPLTPSPRRVLFSPCASPGFISNNESPGSSSSRNRPTLKKSLIPKLSFKFKNTASEIEKAAFLALEGSSTVSSKKPFLSKTLSRIKLKGMKTSSLPVSPVPRSNPASVHGGKGYPAMASEKELRLPIHRSRSVPAFTGEDTSVGGRFRVFRMIPPSNEKISTATFAASPTGENVETEDGGEDIPEEEAVCRICMVELGEGAENFKLECSCKGELSLAHKECAVKWFGIKGNRTCDVCKQEVQNLPVTLLRLHSANLQPNRGHLDESSQYRVWQDAPILVIVNMLAYFCFLEQLLVSTMGSSAIAMSLPFSCILGLLASMTATTMVRRNRVWIYGTIQFCLVVLAGHLLYSVAHMEAVLAILLATFAGFGTVMCGTSILMEILKWRRTWLVQWNQRNGDSADAVPPDQSSAATHPAQSDSQHTESNVGNSPRQMS >CAK8571574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442310234:442313270:-1 gene:gene-LATHSAT_LOCUS24220 transcript:rna-LATHSAT_LOCUS24220-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISMDDDNQGGGSIENRVHISTPKGMASSEITEELTSGQRTMRNLSVETPLRTQEEAKEDFLRINIPLTPSPRRVLFSPCASPGFISNNESPGSSSSRNRPTLKKSLIPKLSFKFKNTASEIEKAAFLALEGSSTVSSKKPFLSKTLSRIKLKGMKTSSLPVSPVPRSNPASVHGGKGYPAMASPILQEKELRLPIHRSRSVPAFTGEDTSVGGRFRVFRMIPPSNEKISTATFAASPTGENVETEDGGEDIPEEEAVCRICMVELGEGAENFKLECSCKGELSLAHKECAVKWFGIKGNRTCDVCKQEVQNLPVTLLRLHSANLQPNRGHLDESSQYRVWQDAPILVIVNMLAYFCFLEQLLVSTMGSSAIAMSLPFSCILGLLASMTATTMVRRNRVWIYGTIQFCLVVLAGHLLYSVAHMEAVLAILLATFAGFGTVMCGTSILMEILKWRRTWLVQWNQRNGDSADAVPPDQSSAATHPAQSDSQHTESNVGNSPRQMS >CAK8534781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766969497:766977902:1 gene:gene-LATHSAT_LOCUS4295 transcript:rna-LATHSAT_LOCUS4295 gene_biotype:protein_coding transcript_biotype:protein_coding METSGDTHHTSADENDPVVVQTEPSSSLAGENASGTNNTRDPLEKRSDVLAKGLSSILSSVIRDFDFRAQQTLMSQDHLSSSIDRLTGELDQLLEDAPLPFIMQHAAKISSVRKRVSSLNSLLKSIQGRIDNIDRIMSTGTTHEKATTEGSG >CAK8535491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853842848:853846298:1 gene:gene-LATHSAT_LOCUS4949 transcript:rna-LATHSAT_LOCUS4949 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLTAADVTASTASSLSPSVVPSNLPLISAFLSFALAQFLKIFTTWYKERRWDSKRLLDSGGMPSSHSATVSALAVAIGFQEGTASSAFAIAVILACIVMYDASGVRLHAGRQAELLNQIVCELPQEHPLSSVRPLRDSLGHTPFQVVAGGLLGCIIAFLMRK >CAK8564712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7460956:7464607:-1 gene:gene-LATHSAT_LOCUS17986 transcript:rna-LATHSAT_LOCUS17986 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQTFLARKGRLSTVWIAAHLQHRLKKSQCTSTDIPSTVQHIMDPGVPIALRMSAHLLLGVVRIYSKKVDYLLNDCNIVRTVLYKVFAAVSNHTLPEDGMQAPLHTITMPATFDLDALNLSYGMDVNGYEDHHMKSLEDITLADENPTVLENYVTIRFDEDTTFSSSNTQLLPDSDARPIEEDIIPQSPLTIGADFQDVGPSSHTESHATNHTTDDNVPSFMDPITEQAIPVENNLRDATNDYATNDYGAIENLQNSGHNDIELTKDLDQNVNEKDHTHEMVDVTRDEPSSNQPARPLTPAASGDGASDAQVYGGQDSLNVRVISSPQIEQVQDQKQQRGRKRKQFFDDPIVLTNRFMRENLNNTHNILRKRKDGPSSPLDTWKLKNKRLKDNIFDQPLFTGVCNELINIHNREYICSKPHLVISEEDHMDSTTSTSPINQIVDEPILDASEVVVNEHEIIDNAPEVVVNEHEINDNAPEVIVEATEQGRSVANAPTTPTQNIDTEPIIEGTNKSPVNGNGATPILVSEALEIPYGATSPPAHISEIGTPSTYQDNTFQDFDIPDFTDTNLRNYSAEKGEYPFLEEESNTPVTASSQSTSTTSIGTLTGRSRGLAQYLKDYSPCTPIPEQPAEDFSLNKMLEGKTRKIAARMFFEVLVLKTHDLIDVSQEEPYDDVSFKLTPTLLNAKI >CAK8564713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7460956:7464607:-1 gene:gene-LATHSAT_LOCUS17986 transcript:rna-LATHSAT_LOCUS17986-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQTFLARKGRLSTVWIAAHLQHRLKKSQCTSTDIPSTVQHIMDPGVPIALRMSAHLLLGVVRIYSKKVDYLLNDCNIVRTVLYKVFAAVSNHTLPEDGMQAPLHTITMPATFDLDALNLSYGMDVNGYEDHHMKSLEDITLADENPTVLENYVTIRFDEDTTFSSSNTQLLPDSDARPIEEDIIPQSPLTIGADFQDVGPSSHTESHATNHTTDDNVPSFMDPITEQAIPVENNLRDATNDYATNDYGAIENLQNSGHNDIELTKDLDQNVNEKDHTHEMVDVTRDEPSSNQPARPLTPAASGDGASDAQVYGALGQDSLNVRVISSPQIEQVQDQKQQRGRKRKQFFDDPIVLTNRFMRENLNNTHNILRKRKDGPSSPLDTWKLKNKRLKDNIFDQPLFTGVCNELINIHNREYICSKPHLVISEEDHMDSTTSTSPINQIVDEPILDASEVVVNEHEIIDNAPEVVVNEHEINDNAPEVIVEATEQGRSVANAPTTPTQNIDTEPIIEGTNKSPVNGNGATPILVSEALEIPYGATSPPAHISEIGTPSTYQDNTFQDFDIPDFTDTNLRNYSAEKGEYPFLEEESNTPVTASSQSTSTTSIGTLTGRSRGLAQYLKDYSPCTPIPEQPAEDFSLNKMLEGKTRKIAARMFFEVLVLKTHDLIDVSQEEPYDDVSFKLTPTLLNAKI >CAK8533861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666186998:666188050:1 gene:gene-LATHSAT_LOCUS3452 transcript:rna-LATHSAT_LOCUS3452 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTQLLKCFVLLFFTFVFNLFTAPACALNIGAETTGVAVSVSKECSRQCESSFCSVPPLLRYGKYCGLLYSGCPGEKPCDGLDACCMSHDQCVTAKNNDYLSQQCSQTFIDCMEKFKNTKAPTFKGNTCQADDVIEVIKIVMEAALLAGRVLHKP >CAK8579015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667406035:667414005:1 gene:gene-LATHSAT_LOCUS30991 transcript:rna-LATHSAT_LOCUS30991 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLLASDFGLKPQGKSAPMAQPPKGSSNHPNSTSLNFDFGSRSARASDSRFGSSTARHPRDGGSFDDLFAPTGSKPRGADAPFDLDSMFTGSGGDFASKSGNSSPPPVYDKPVYDDDVFDGVPGLKSTGKINFDNVFASPKTESGAFDDLLDGFGKESKGSGRKGSEKEDKGGSDFDDLLPGFGRSKPPSSDRHAPDIGLSSEPTVSVSKTSSTATEDPFKVFESTSPPMDSSTGKFTDPLEEISKFSSSRSTKNSSSSTSNGRVYEDIDPFDGLGRSVPAFSSEKNSSKTNSSQGLNTNTSWTRDKEPVDKLSETSPDRHSPKDTPVESDPDFLQPPFYMPTFSSDSNKPVGQRSTSPPNSMSPKYEENLESYEDVWLTVSEIPLFTQPTTAPPPSRPPPPRPVHIPKSGTGSPSSTNTRKKANESSFPSSTRFSHAPKSATAAAAASPTSQFDELDDFAMGNSHSNDVESGNGLPEDEWERNTAAAAMKEAMDKAEAKFRHAKEVREREYTKAAKSKEAVQPEKDDRAILEEREKQERLERERQQKEREEKEQRRLMKEREERDKARQAVERATREARERAAVEARQRAERAAVGKANAEARERAERAAVQRAHAEARERAAAEAKARAEKAAAEAKEREAREKATAARAEAEARVKAERAAERAAAEARERAAAAARMNQQKNENDLESFFGMGARPNSAPRPPRTNSTESVFETQFQADTTRKSTSVSSNMKKASSSTNIVDDLTSIFGAAPSSGEFQEVEGESEERRKARLERHQRSQERVAKALAEKNQRDLQSQREQAERSRLGETLDFEIKRWSAGKDGNLRALLSTLQYVLWPECGWQPVGLTDLITAAAVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >CAK8530729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44949620:44951146:-1 gene:gene-LATHSAT_LOCUS573 transcript:rna-LATHSAT_LOCUS573 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLSQKLKTIPSTNKKDPSNLLLGRFEIGKLLGHGTFAKVYYAKNLKTGEGVAIKVIDKEKILKGGLVAHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDGAKVDLWSCGVVLFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPDLSKLLIRLLDTKPETRIAIPDIMENRWFKKGFKQIKFYVEDDRLCNIDDNFGEVEDDSASITSAVSVASFSDYSIESDSEIETRRKNAPLPRPASLNAFDIISFSAGFDLSGLFEEIGDENRIVTAAPVSRIISKLEEIAQLVRFSVRKKDCRVSLEGTREGVKGPLTIAVEIFELTPSLVIVEVKKKGGDRVEYERFCNEELKPGLQNLMAAESATSSALSLTPAEPTLLRVLSEPVQDMFSEIDSPRSLPEE >CAK8538347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478418220:478419442:1 gene:gene-LATHSAT_LOCUS7559 transcript:rna-LATHSAT_LOCUS7559 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCAKDCTFAPYFPSHEPQKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMQNEQVIIPNPEMNQDQKNYFTQDELNQFLNYGSSSSNNNNNNNNNNVVYDSLKRDNIYGHDMVS >CAK8573949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649627561:649629050:1 gene:gene-LATHSAT_LOCUS26343 transcript:rna-LATHSAT_LOCUS26343 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFDLLVDRLLTESTLEAAIESRKRAMQAASSVVNDAEIDLSLLKMGLDSVKCSGKMVECRICHDDDDDSNMETPCSCSGSLKYAHRRCVQRWCNEKGDTTCEICHQPFKPDYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPSDQSLNNSNYDQYSASSSGSLICCRSVAIIFMVLLILRHTLPLLISGSKDFYFPLFMVLLFRSAGIVVPIYFMVRAVALIQRHRRQHREHPSVLVSSSDDEIEQGGLQPQPHIIHVV >CAK8571328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:380749389:380750126:1 gene:gene-LATHSAT_LOCUS24000 transcript:rna-LATHSAT_LOCUS24000 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLNGAYYGPSIPPPQQPHRRHRNDSSCGFCSCLCGCFRGCCGCIFNCILSIICKILTTIIIIAVILGFLFWLIVRPNVVHFTVTDASLTQFNFTTNNTLHYDLAVNITIRNPNRRVGIYYDNVETLAFYKDVMFGNQTLGGFFQHHKSTDFLNPVFKGEQVIPLSSDQKPEFDKEKESGVYGIDVKVLLNVRFKLGLFKSGKAKPKVHCDLKVSLKSGNGSSLSNVFQPTECDWDYKWKLFH >CAK8531515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118200460:118202576:1 gene:gene-LATHSAT_LOCUS1301 transcript:rna-LATHSAT_LOCUS1301 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLAQQLTGVKCTPLLWKPTQAPKQRRSGVLPIVCSIAISSKNNKERANLQQLFEEAYERCRTAPMEGISFTVDQFSAVVGNYDYDSEIGTKVMGTVFATENGGAFIDTPTKSTSYLPLEEASIRRIRHVEDAGLVLGAREEFIIIGQNEVDDGMILSLRSLQYDLAWERCRQLQAEDAVVKGKLVEANRGGVVADVEGLEGFVPFSQLSTQLPGEEIIEMEIPLKFVEVDEEQARLVLSHRKAVAGNQGQLGIGSVVTGTVQSLKPYGAFVDIGGISGLLHVSQISHDRISDIETVLQPGDVLKVMILSHDRDRGRVSLSTKKLEPTPGDMIRNPALVFEKADEMAQTFRQRIAQAEAMARADMLRFQPESGLTLTSDGILGPLGSDLPAEGLDLSEIPPAEDY >CAK8531830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:160121471:160122859:-1 gene:gene-LATHSAT_LOCUS1595 transcript:rna-LATHSAT_LOCUS1595 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTADTTAIEVVAVIVIVVVKVAIVICVCSKRNQVSSGSVIPDTQFITLTMDKFLNDMEREKPIRFTGQQLRIATDNYSNLLGSGGFGTVYKGIFSNGTLVAVKVTLVAVKVLRGSSNKKIDEQFMAEVGTIGRIHHFNLVRLYGFCFERNLIALVYEYMGNGSLDRYLFHEKKALEYEKLHEIAIGTARGIAYLHEECQHRIIHYDIKPGNILLDKNFYPKVADFGLAKLCNRENTHITMTGGRGTPGYAAPELWMPFPITHKCDVYSFGMLLFEIIGRRRNLEIKNSESQEWFPIWVWKKFDAGLLGEAMIVCGIEEKNREIAERMVMVALWCVQYRPELRPIMSVVVKMLEGSLEIPKTLNPFQHLFDGTNFVTQSGQVSNTYTTTVTSSTSSVMVSDSSIVCATPIMRKYEIELASSIV >CAK8574888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13608895:13619901:-1 gene:gene-LATHSAT_LOCUS27187 transcript:rna-LATHSAT_LOCUS27187 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAVHLAMAALVGASIVAVSAYYMHRKTLTQLLEFARTVEPEGVSDDGDSPVHSKRRRGGSKRRGNGGYRRGSGSLPDVTAISGGLDGNGLMHVEGIPAGLPRLQTFREGKSANTGSFKRNILRPTSPKSPVASASAFESVEGSDDEDDLTDGAKMDATYLHTNGDAGGEGKNPYETMSNHVNTNGEQMAITASSMIRSHSISGDLHGVQPDPIAADILRKEPEQEIFARLRITPMEAPSSDEVESYVILQECLEMRKRYVFQDAVAPWEKEVISDPCTPKPNLDPFFYTPEGKSDHYFEMQDGVIHVYPNRNSKEELFPVADATTFFTDLHQILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVISDLEASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKDMGIVTSFQNMLDNIFIPLFEVTVDPNSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPTQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPLFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNDIHRTNVPHIRLEFRETIWREEMQQVYLGKSIIPEEIEK >CAK8562916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:556753575:556753778:1 gene:gene-LATHSAT_LOCUS16377 transcript:rna-LATHSAT_LOCUS16377 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVEEAMKKNKQQQPQHQNQQQQKQIQCNKGKTGKFKRSSSNVEEDGVSSAILLLACIACAPSYG >CAK8568644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626364286:626366175:1 gene:gene-LATHSAT_LOCUS21584 transcript:rna-LATHSAT_LOCUS21584 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVPSKSSSSSKGWIKNISSIAARIYFLLIIFQVPLFRVTCRSGVCTNPLHVTSSQLVASEIFPVPIVKGLLYPGAALNGLIHNMTVPRWDDLFNLYNLTTIKEASAVTDLQRLEVLAGSYFSVAGALVGILKPGRMSIFGSLLVIWGLVKEGILGKPVNNDPSSAVYVYPTMVLAMICAFSSVKYDMKVSARSAVPVRSTAKPLKSSTKSKLK >CAK8541450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:176223913:176225775:1 gene:gene-LATHSAT_LOCUS10370 transcript:rna-LATHSAT_LOCUS10370 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVHEGDSVTIGKLKQLQADFAKERDWDQYHTPRNLLLAMVGEVGELSEIFQWKGEVKRGIPEFKEEEKVHLGEELSDVLLYLVRLSDICGVDLGKAALRKVEMNAIKYPAKANREVSNKEDEVDNSTSANNDTA >CAK8542460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517085597:517088527:1 gene:gene-LATHSAT_LOCUS11299 transcript:rna-LATHSAT_LOCUS11299 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKGFSMPNNMVFRSELQPITPERFLGIDSSSSSPRRRDLVFVVNPQGANGRTGRQWRKLIPYLKSRLSKECNIYESLTSGPCHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWAGKPVTNQMNESTRSTALGLIPLGTGSDFARTFGWKDDPCEAVERVARGLRSKIDIGVISGESSEHHYFINIADIHLTAKAGFHAARYKTLGKVCYVIGALQAFMGHQNQDMRIKFNEGEWVTFPQVTAVCAGNAKYFGGGMKIAPNADPFTGNLEVVILQDFKWYDFVLKLHKLYNGTHLSVKNVSSRSVLSIEVEDISGKGGIYIQSDGEHLGFLPKKICVLPAAIEMIY >CAK8539024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501967178:501967750:1 gene:gene-LATHSAT_LOCUS8163 transcript:rna-LATHSAT_LOCUS8163 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHMMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRA >CAK8578943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663122255:663122920:1 gene:gene-LATHSAT_LOCUS30921 transcript:rna-LATHSAT_LOCUS30921 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSPLLHDLLVESHTRRLLFQKAVDHQSQTKSPVLTNNNNSTDSHFGAREFDANVLMILAVLLCALICSLALYSIIRCALRFSNEAINNNQASPQLANKGIKKKALRTFPTVSYSTELKLPGLDTECVICISEFTKGEKVRILPKCNHGFHVRCVDKWLKEHSSCPKCRQCLLQTCPKIGGSQVQPIMLPVPEIIIQIQPLNHEAVERNYREESR >CAK8539975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533518452:533524391:-1 gene:gene-LATHSAT_LOCUS9021 transcript:rna-LATHSAT_LOCUS9021 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKLETPATMTVTVSETDAKLVEAPTKVVADVDVKMTEKDSGFSARKVVLAVAAADSDVLTKKRSRPPMMEILKAALPPKQKQKQKAKKEDEDVCFICFDGGSLVLCDRRGCPKAYHPACVKRDEAFFRSKAKWNCGWHICSSCQKASHYMCYTCTYSLCKGCIQDADFVCIRGNKGLCGMCKKTIMMIENSAQGNKEMCEVDFDDKTSWEYLFKVYWVYLKADLSLTFDELLRAKNPLKDAAPVVQTSHELYHLNKDDKGSGSENSCIDIESNNLKNKKSKGPSSGDTGVSLPECASWASKELLEFVSHMRNGDTSLLSQFDVQNLLLEYIKKNNLRDPQQKSQIVCDSRLVNLFGKARLGYIEMLMLLEPHFLVKDNTRAENTLGAGVSDAVANEKETMDNYNKQPILVNDKRGSTSKRDDVPMPQNNQDAYAAINAHNINLIYLRRSLMESLTDDTEKIHEKVAGSFVRIRISSGDQKQDMYRLVQVVGTSKVAEPYKIGTRITDIKLEILNLNRKEVISIDEISNQEFSEDECKRLRQSIKYGLSKRLTVGEILNKALTFQEIRVNDLLEAEKLRLNNLRDRASEKGHRKELKECVEKLELLNSHEERQRRLHEIPDIHSDPNLDSLYESDEDAGESDGNKQDGNIPIFSRIWDGVLNGVGGRARDLTTASDPIGNTCLAKKNIDPNETAVDDSANVVIKSEVSSVAVDISSPLPSTGIEQPFDDFMNDKSWHYQDPSSKVQGPFSMLQLYKWNASGHFPPDLRIWRIDEKQANSILLNDALNGKCSKNVPLPHSSLLLSLGASVALGDKESSQDGGRNSMQNEISAASRIIEHTIEHKVDDTSTQSNGKDESVRSNGWHDQSHVHPLQQPTVFAEKFNENHTNKLSENHGIEKNPEDNGNCGSDRISGGQNHQKQSDSEDNSGQSSGQSWRHPDVNISSNCLDSTSAHVSETRISPLRLGFDLTRPPSPSACNTITWQAIIGEPDDFDESVSDLLAEVEAMESLGGLESPTSIMKRSEELTDGSKNLCLSFAELSPILDAGKGDALSSTCDLQLLSQSTVAEEPLQQADVHHHHHQRISGELSSRNSKVDVGSNNICVSSNQWESGSENSSIFPSTETLGMTVDTTWRLGLESSTHLGWGGMEQRNANTSWGVGQTAVHENRSSSSCTSVVTPSFGDSQTRYGSDRFSVPRDRGFPGHGREQGLVRGRNPWNRQQPVFGVGNGGSHRPLHKGQRVCKFYESGYCRKGASCDYFHP >CAK8561187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:115723858:115724850:1 gene:gene-LATHSAT_LOCUS14799 transcript:rna-LATHSAT_LOCUS14799 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRLAKIATLQNGKQMKQSLLTRKRKKIPAKVLRYFPLKPRLQRLFLSSKTTEDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWYSSDPRNVRVALASDGFNPFGVMNTNNSIWPVIFIPYNTPPWVCMKHTSFIMSMIIPGKEAPGNNIEVYLQPLVKELKELWTNGVDTYDSFKKDMFKLHANLMWTISDFLGMGALSGWNTYTGLSCPSCNFQTTPLRLKGSRKWCFMGHCRFLDRRHMFRLNRICFNGEQEMRSPPITLSRHEVFEQVKDIEVIFGKDPVKEKSLKRTFEGQPIEGDSTQFDPTLGHPQQWRKKSIFFELPY >CAK8533512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:629127382:629127621:1 gene:gene-LATHSAT_LOCUS3134 transcript:rna-LATHSAT_LOCUS3134 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGKAIFTGIKGRKPPKAKCGSCELILRLLAFVLTLAATIIIGIDKQTKIVPIKIVDSLPPFNVPVSAKWHYLSAFV >CAK8566207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391329226:391332708:1 gene:gene-LATHSAT_LOCUS19361 transcript:rna-LATHSAT_LOCUS19361 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVSYYLKRKINGRRIELEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGSRTGWVMHEYRLDERECDNPSSGLQDAYALCRIFKKNTVIVPKVVDQQYVNNVTRHANHMTSDQSSSIELYSEGRDEVLESSNYLMPWDSNSTQTLNGTNTFSNNGGDITRDNNGIWTQFLSEDLLNLPTSSSSFPNYGSTPNYPPSKVDIALECARMQHRFTMPPLEVQDFPQVGISDLKMTQFASGSMCETRNETDILQEILSVAQASQELINQSNYSHAFGGNENYGSHVHDNDFTFMVGNNNNYNHMSDHMMNSMRYDDKAWEDPNTRSIEIGNLDDEFKTERMVENLRWVGMSSNDLEKSFMEEHQKVVPIEDISSFHTNRKENEVQVESEQQNMNKEINDTDIDNFSMEFINDNDNPNENFIDDGTNIDYSNSTSYEVVEETTKVSHGMFVATRQVANTFFHKITPSQTIKVQLNPIMENNKFIEKAKTLMIPNKQGYSLLRKMKTNLMEYMKKPSKTIASAIVFIFALFLVLCLYLKEQVEEMKPKSESVKKKYCYGANSMKKIIWNEKEKAWFVGIKSGKGFDVVLKKIGIFLTISLAICTMWANHMI >CAK8532463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266865410:266866169:-1 gene:gene-LATHSAT_LOCUS2166 transcript:rna-LATHSAT_LOCUS2166 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWSAENATKAFLSTFKMGQKAKEPSAAQYISALAAGNNAQLMVVACAAAADSTTLALIAAANQTNGNVVCIVPNNEDLIASKTVLGVVSNKVQFMTGKEARELSVLNQADFVVIDCNLVNHKEILKLVQIGEGNMKKGVVVVGYNAFSCKGSWRSNGSKTKLLPIGEGLLVTRFGSEMSKSGRSRWIVKVDKCTGEEHVYRVRLPQGKVI >CAK8542797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547388428:547402786:-1 gene:gene-LATHSAT_LOCUS11604 transcript:rna-LATHSAT_LOCUS11604 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMKVAEAVHVLNHDTQSCNRVAANQWLVQFQQTHAAWDVATNILTSDLRHTSDFEVEFFAAQILKRKIQNEGYLLQSGPKDALLNALLLAVKRFSSGPPQLLTQICLALSSLVLQVVAHGNPIEQLFYSLRSLQSEDNGNIAVLEMLTVLPEEVVDNQRIDSKINSLHKSHYTQELLSHTPMVLEFLLRQSEINFDGSAQQNERNRKILRCLLSWVRAGCFSEISPGTLAAHPLLNFLFNSLQDSTSFDLAIEVLVELVTKHEGVPQILLCRVHYLKEVLLFPALNRGDIKVIGGLACLLSEIGQAGPSLIVEASAEALVLTDALLSCVAFPSEDWEIADSTLQFWSTLGSYILGIDVDGAKRKHVEAIFSPVFSALLDSLLIRSQVDDSTYNDEGRVIDFPDGLVHFRMNLVELLVDICHLLGSVIFMQKLFIGGCTSSNQALPWKEMESKLFALNAVADVIMQDGQSFDFSAVMQLVTMLSSKPCDGLKGFICIVYRSLADTIGSYSKWISALKENFRPLLLFLAIGISEPLSSNACACALRKVCEDAPIVIYEPSHLEILMWIGEGLEKWHLSLEDEEEVMHAISLVLGSVPNLELKSNLLAKLLSSSYEAIGKLVNPENVSLKQNPASYTQILNAASRGLHRIGTVFSHLSISVATEPAADDLILLLLRVFWPTLEKVFTSEHMESGNLSIAACRALSLAIQSSGQHFVTLLPKVLDCLSTNFVLFQNHECYIRTASIVIEEFGHREEYGPLFVTMFERFTHSNSVMALNSSYICDQEPDLVEAYTNFASIFIRSCNKEALSVCGSLLEVSIQKAAICCTAMHRGAALAAMSYLSCFLDVGLLSLLESMNCITEGSSNSTTIHVISHSGEGLVSNVVYALLGVSAMSRVHKCATILQQLAAICTLSERTSWKSILCWQTLNGWLQSAVQALPAEYLNQGEAETLAPLWSKALAAAASDYLESKNSNGLKSDFGHMQGKGGRVLKRLVREFADAHRNIPNLT >CAK8560603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27919846:27921379:-1 gene:gene-LATHSAT_LOCUS14264 transcript:rna-LATHSAT_LOCUS14264 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYLWSEAHKLSNLLSVNSKVGNFKSFICYPSRPSIHPFRCCLSVKSISLTSSGWLKNNHSVNDAKKNYIHHLILSAPLVINNFLPKEILLISESGGLNHTVRVSEVETSIYHIDHSHDLGLEICIDGFKCCYFKFPRLETLCTMAKLGATKFSISETLIFEPNNSNGHISVTVEKVMDAYSEFWRDLGRKVL >CAK8578721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649825432:649828336:1 gene:gene-LATHSAT_LOCUS30709 transcript:rna-LATHSAT_LOCUS30709 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSLTFNCWSCCGSTRSRARTAYSASTFTTLIHRSRYRTCCFAKKSLKKSKREVPPESYGGDLFDEKFVQNDNLDTSLIPPAQNANPIASRNAVLQACVLTCGFIATFGTVIRQVSHVVRIEGLPVLDCSTEVSFGFEMWHLELITGLLVLISSSRYLLLKTWPDFAESSEAANRQVLSSLQPLDYIVVAVLPGISEELLFRGAVLPLLGMNWQSIGVAALIFGVLHIGAGRKYSFAIWATFVGLAYGYATVLSSSLVVPMASHAVNNLIGGLLWKYTSKVALMEEKEDTNPL >CAK8540311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551480092:551481531:1 gene:gene-LATHSAT_LOCUS9326 transcript:rna-LATHSAT_LOCUS9326 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEICILPFFGQGHLLPCFQLCNHLTSSNFHVTLLISSTLSTSVPSSLHQHPLFQITLIPSPPPSPEYHHELAKGLQNILSNYHHPSLPVCAIVDAMMSWSIDVFKEFKIPTVAFFTSGACSSAMELAVWKAQPLDLKPGEIRFLPGLPDDMALSHSDLRRRRHVPPPPPHPQHGFPPPSQHGFLPPPPGKRGPPKVGEQPPWLNETQKATALLINTCDDLERPFINYMANYVGKPVWGVGPLLPEQYWKSSGSVLHDSDFRSNRLSSVTENEVNQWLDSKPRGSVLYVSFGSEVGPTVEEYAELAQAMESCEQPFIWVIQSGSGRPGPGKPEAEEGYFPHGLDKKVGNRGLIIRGWAPQLLILSHKSTGGFLSHCGWNSTLEAIGRGIPMLAWPIRGDQHHDAKLVVSHLKVGYMVSEDLSKDVTKDDIVMGIKRLMDDGEMKKNAEVLSEKFRNRFPRSSADALDDFKDCINRRFV >CAK8539872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529718561:529724254:1 gene:gene-LATHSAT_LOCUS8930 transcript:rna-LATHSAT_LOCUS8930 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKVKNDDPAVESPTSVLEEEEMEVKLEDEVVADDGSSLVPKLMVEEEEKLLEARAKEEEEQLQEAPNLNDSQFDKLDELLTQTKLYSEFLLEKMDDITLTAGEQEIKEEEEEESQLDTKPKGRGRKRKAAKQCNTGKAKKAVEAMITRSKETVKTEDVNLSEEERTEKEQRELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGLLSHLKSKGLDGPYMIIAPLSTLSNWMNEISRFAPSLHAVIYHGSRDQRDEIRRKHMPRTIGPKFPIVITSYEIAMNDARKFFRAYQWKYLVVDEGHRLKNSQCKLVKMLKYISVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSLEEFESWFNLSGKCTSGATMEELEEKRRNQVVAKLHAILRPFLLRRMKSDVELSLPRKKEIIIYANMTEHQKSLQDHLVHKTFEKHLDRKLTIGRSVGSINNLMIQLRKVCNHPDLLESPYDGSYFYPPLNEIIDQCGKFQLLNRLLERLFARNHKVLIFSQWTKVLDLIDYYFSEKGFEVCRIDGSVKLDERKRQIQEFNDINSHFRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRMLKRAFSKLKLEHVVIEKGQFHQERTAPSIMDEMEENDLLALLRDDETAEDKMIQKDISDEDLENLLDRGDLVVDGSTDVKPPVSTFPLKGPGWEVVIPTASGGMLSTLNS >CAK8566627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443781251:443790006:1 gene:gene-LATHSAT_LOCUS19745 transcript:rna-LATHSAT_LOCUS19745 gene_biotype:protein_coding transcript_biotype:protein_coding MMEINIARCHHLEARGIFFSNILRTKGRENSHKLLCNVVSLNSRWDFHILASSCQMFTAVYPRRPFFCRIHSMATGLEKSESLQGDNPNKDVDPSTDSEDERLSQSLTSEQIKVLLADTQRANLTKKLSEANQQNRFLKRQLYIKEDALVKFKSELAVLELEVQALARLAEEIAKSGIPEGSRKINGKYIHTHLVARLKAVHEQLNEQIKDVDAAQSKEVSVFWAGMAESVQIMGSFDGWSQGEHLSPEYTGSFTRFSTTLMLRPGRYEVKFLVDGEWHLSTELPVTGEGLTKNNLLIVE >CAK8537547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:392004736:392006181:-1 gene:gene-LATHSAT_LOCUS6836 transcript:rna-LATHSAT_LOCUS6836 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAIPSTVTATATTTPPQPPPPPQITTPIKHTKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLFALLGFLIFPFIWSIPEALITAELTTAYPGNGGFVVWAEKAFGPLAGSLMGTWKFLSGVINIAAFPILCIDYMEKLFPVFGSGWPRNIAILLSTLSLSFVNYIGLTIVGYVAVGLGVISLAPFILMSLIAIPQIKPHKWISLGQKGVKKDWNLYFNTLFWNLNFWDNVSTLAGEVEEPAKTFPLALLVSVIFTCVSYLIPLFAVIGAVNVDQSEWENGFHAQAAEMIAGKWLKIWIEIGAVLSAIGLFEAQLSSSAYQVSGMAEIGILPKFCGVRSKWFNTPWLGILVSTLITIGVSYMNFTDIVSSANFLYSLGMILEFSSFLWLRWKKPLIERPYKVPMNMPMLVVMCLIPSGFLVFIMAIATKIVFLVSGLMTVGGIAFFLFIRLCKSKNWFKFEVKKDDEEDSYYDAVASL >CAK8536829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25248828:25249190:-1 gene:gene-LATHSAT_LOCUS6159 transcript:rna-LATHSAT_LOCUS6159 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNTTSYVFSNNIPTPFHEIEIKIVRVQALVPVPFCYNGTLDMLEAPIITAHFRGADVQLNALNTFYQVDHEVLCFAFLKNGNSSLVIYGNVAQQNFLVGFDIKNNVTTFKPTDCTKY >CAK8575052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24899589:24899816:-1 gene:gene-LATHSAT_LOCUS27344 transcript:rna-LATHSAT_LOCUS27344 gene_biotype:protein_coding transcript_biotype:protein_coding MVHENPKTILIDQDTIRAKAISLVMPETFHGLCTWHIRQNALRHINHLYQKSSHFGLDFEACINLHEEEGEFLNA >CAK8544178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670738584:670740464:-1 gene:gene-LATHSAT_LOCUS12879 transcript:rna-LATHSAT_LOCUS12879 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVENHMTSAAAFVEGGIQESSDDACSICLEEFSNSDPSTITVCRHEFHLQCVLEWCQRSSKCPMCWQPISLKDPTGQELFEGVEQERKWRETTSRNVNTFHHPSIGNFGFESLRMEERILQNLSIVASIERRHRLGQREGRGIQPLDHDQSQFLLFSNQQATPSSIFGSSVERGENPNAIYMSSQSTPITSNEDEPLQQAQQLQNEIFSTSESIDKETNDLEMHSFSDTLRSRFNTLSTRYKESISKGTKDWKERFFSRSCSMSKLGLEARKKVNLGIASVSQSMNHRFVDTSLSSHLKDSSMEKERKNDYVEASGENSSCNNNTHVASSTSSHSN >CAK8569475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2388585:2390162:1 gene:gene-LATHSAT_LOCUS22323 transcript:rna-LATHSAT_LOCUS22323 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLPLLHSLLQHTLRTTCSLPTSSSSSKWVYAVFWRVVPRNFPPPRWEFGGTSLDRSKGNKRNWIIVWEDGFCDFNECEQRKNGYMNERFGADVFFKMSHEVYSYGEGLVGKVAADNGHKWIYSDTQNGCESNYTGPWNASIDHHQPKAWGFQLNSGIQTIALIAVREGLVQLGSFNKIAEDVDIVLNIQRKFSYLQTIPPGLFSIQRPPYTPFQHPYITKPNFQIIENNEISKNHVIKLNNLHEERSNYLSMLSINLGRNLLPQNGTSIPSLWSPQPSLPNNHKVKIEEGSFHIDDYAPNS >CAK8533385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611479145:611479635:1 gene:gene-LATHSAT_LOCUS3016 transcript:rna-LATHSAT_LOCUS3016 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTFVLVFATFVFHIWLKLIDFSKMLATPAAKYPDQYFWPNFEEKQCYLLDMHSVGQQEKGARYAPELRNYGRITAFPIFC >CAK8575251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:60586787:60588280:1 gene:gene-LATHSAT_LOCUS27530 transcript:rna-LATHSAT_LOCUS27530 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGVVEILLAIILFTTIHYWKLNINTPIAKWPLMGMLPGLLYNISNIHDYINSILKQNGGTFIFEGPLLTNMNIVFTGDPMNVQHITSTKFENYVKGNDFREIFEVLGDGIFRSESHIWKYNRTLLHSIFKQESFQVFIQNTIEKKIYSHLLVFLDHVCKKGLQVDLQDVFQRLTFDNICSIVLGFDPICLSIDLPEIVFERAFSQIEETFLYRHAKPKFWWKLQKWLRVGEEKKFIENQIILDEMLYSEIKSKRVQNQNQQKPDLLNTIMNEMGDGQNLIDDKFLRDTAINLLAAGRDTISSGLTWFFWLVATHPFVEAKILEEIKEKLPSREDDKKDLGVEGLSKLVYLHGAICEALRLYPPVPFEHKSPLKADVLPSGLEVKENAMIVYSLYSVGRVEEIWGEDCLEFKPERWISNKGGIIHVPSYRFIAFHAGPRSCLGKSISFIEMKMVAVAILLNYHVQVVEDHPIIPSVSVVLHMRHGLKVNIKKRLI >CAK8543859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645043368:645043577:-1 gene:gene-LATHSAT_LOCUS12584 transcript:rna-LATHSAT_LOCUS12584 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYRYYCSWMYDRLYPGRHRLKPNFEEGVKGFIMWAFSQECCRNEGGVRCPCLKCGCRPIISDPEEVG >CAK8563853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635358921:635370370:1 gene:gene-LATHSAT_LOCUS17220 transcript:rna-LATHSAT_LOCUS17220 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNHSTIRSKMLKETTTTPSTTTSTRNSNRRITQMEANENEFESQNPINFPPPRTPLNSIPDPSQCHEHEPLRHARSTSDRVGSGVSVRIGKLNSEPGSAHSTPARNSSRISLGGGNSRVSLGKGMLKGTEMATEVQHFELEHDPSFWTDHNVQVLIRIRPLSTMEKFSQGSGRCLKQESAQTLVWLGHPETRLTFDHIACETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKETQGHLAEDSGITPRVFDYLFMRIKAEEENRKDCRLKYICKCSFLEIYNEQITDLLEPSSTNLQLREDMKKGVYVDNLTEHNVVTVDDVLKLLLQGTANRKVAATHMNGESSRSHSVFTCNIESQWEKDSMTHIRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDVSALQWQIQQLKGQLSFLTKNKVFPPLVSNLEPNSDSCRFSEASEEHDSMGERTTTDGKLLTPNQEIKRMKAALVGALRREKMAETTIQNLNIEIDRMKCLAQQKEEDAQHTSIMLSHCKEKVKQLELLVDGQLSAEKYLMEENRALKEEFRVHKTKIDKNSESSRFALENDRLSQQLQIFQNFYEHGERERLLTELSELRDQLLVHLQEKCTFSMKNENEDIDATQELEVCQNMNSKLLREAGKLQTELGKYLNYNKVKSNSVETVSVGSNSGDEMPSSTWEYKNNKELEVKLERMSNDLKEVRLLNDQHQKKLALQLSQKQQMERVCQEVETETTSTILHLQEEVASLQSELEGRLCSIDQENAELRNVVAAKEEEIKSLCLDWEKAVLELTTFLLEGSRSLKDACGQVQNISSSFPKVNTWISEHVGMAVKKYIEKEEIIHQLQSNLEDARKMIMEMELKICSLKEATLTLGAFEHLDDENGVEEAIQLRVLLNEKTNMIWTLESEIKYKSNQLCRATKQVDAAFLVAKWLSDRFYAAHMNNDAEDISIPNLDMQGRLGSCTTENLDVGYNVVLNDLMGQVELTKLEVLEMENAIKTSFVDMEMQTAGFQTDVLSLFSAYRDLIQDTVKETKDMRGEIRDLKMHRISFGGYTVDSLISNANNCQLFANQHHTLNQIKEQLVVMNNRLNIIENCISNDVDVSGFKLADEDFIDADELSTDSSSLSAFSTETESVASGSKSQGFTSNNDFKLTGEMTEEKVPLNSERGIIIQSDDACNLSHTSEFMRRPLYNETERSCLRRELNATYVDFQRLYNSLSALLKHMDDGSSSYPKDLEKVQKDESGYESDTEVFDYSDVKPDERFLNKFMEAHAAVKEADLTLHALTQAFEDSKQLTAMWKQACENLTINRTSLVEEIQKLKISTNQTEEENQLLKEHLNFSLIEMTNSISMLEECFLQMQTDVEKKFMVIYSDVLLMGQEILCFMNSLRSSVEDICSQIVDGGFVSFASYSCCLTELVSKFIGFSVNHELQSARQGEPHKLPKTAEPVPTTFNEDIGKIDHHMLVQNVQEEPDSPNVKVLSENMTLKKDLERKQELLEGLLFDFRLLQESASNSKEIKDQTEQLIISLSQARYELEIKSSQLDNILIQNRKLESSLADTEKVLTRSNYELELAKESIEKFADQNEELRNLLKELYADKTETEGQLDEHKEVIKGLEKEIANLSASLENQSLSLFQSIEDELNQVMMERDHLHEEVRVLNDKLEMAYFLVDEKEAIAIEARQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVNEMNEEVERHRSISDSLKVELQSFKERLLLVENLHQNSDSESMSVQTGEKMSRQLPSKVLGHHDALIQIKLLEKENAEKDWEIKKCKEYISEIVLHAEAQTLQYQQKYKGLESMFREVKTDMPYSTSTVRASEKIEKTSTRTRGSSSPFRCISNLVQQMNQEKDQELSVARLRVEELEALAASRQKEVCMLQTRLAATESMTHDVIRDLLGVKLDITNYANLIDQNQIVKLVEEAHQQREEFSAKEKENLNLRQYINGLIEERESCMLDLKTKESDMLASQIALQQLRERDQMLSAQNEMLKRDKTNLTRKIAELDDTVKTLVGTGNSQHVPQSSKTKDKGAQNFGNVRFTNRLSQSERLLARVNEELTQYRKSSGDNLHN >CAK8563854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635358954:635370370:1 gene:gene-LATHSAT_LOCUS17220 transcript:rna-LATHSAT_LOCUS17220-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKETTTTPSTTTSTRNSNRRITQMEANENEFESQNPINFPPPRTPLNSIPDPSQCHEHEPLRHARSTSDRVGSGVSVRIGKLNSEPGSAHSTPARNSSRISLGGGNSRVSLGKGMLKGTEMATEVQHFELEHDPSFWTDHNVQVLIRIRPLSTMEKFSQGSGRCLKQESAQTLVWLGHPETRLTFDHIACETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKETQGHLAEDSGITPRVFDYLFMRIKAEEENRKDCRLKYICKCSFLEIYNEQITDLLEPSSTNLQLREDMKKGVYVDNLTEHNVVTVDDVLKLLLQGTANRKVAATHMNGESSRSHSVFTCNIESQWEKDSMTHIRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDVSALQWQIQQLKGQLSFLTKNKVFPPLVSNLEPNSDSCRFSEASEEHDSMGERTTTDGKLLTPNQEIKRMKAALVGALRREKMAETTIQNLNIEIDRMKCLAQQKEEDAQHTSIMLSHCKEKVKQLELLVDGQLSAEKYLMEENRALKEEFRVHKTKIDKNSESSRFALENDRLSQQLQIFQNFYEHGERERLLTELSELRDQLLVHLQEKCTFSMKNENEDIDATQELEVCQNMNSKLLREAGKLQTELGKYLNYNKVKSNSVETVSVGSNSGDEMPSSTWEYKNNKELEVKLERMSNDLKEVRLLNDQHQKKLALQLSQKQQMERVCQEVETETTSTILHLQEEVASLQSELEGRLCSIDQENAELRNVVAAKEEEIKSLCLDWEKAVLELTTFLLEGSRSLKDACGQVQNISSSFPKVNTWISEHVGMAVKKYIEKEEIIHQLQSNLEDARKMIMEMELKICSLKEATLTLGAFEHLDDENGVEEAIQLRVLLNEKTNMIWTLESEIKYKSNQLCRATKQVDAAFLVAKWLSDRFYAAHMNNDAEDISIPNLDMQGRLGSCTTENLDVGYNVVLNDLMGQVELTKLEVLEMENAIKTSFVDMEMQTAGFQTDVLSLFSAYRDLIQDTVKETKDMRGEIRDLKMHRISFGGYTVDSLISNANNCQLFANQHHTLNQIKEQLVVMNNRLNIIENCISNDVDVSGFKLADEDFIDADELSTDSSSLSAFSTETESVASGSKSQGFTSNNDFKLTGEMTEEKVPLNSERGIIIQSDDACNLSHTSEFMRRPLYNETERSCLRRELNATYVDFQRLYNSLSALLKHMDDGSSSYPKDLEKVQKDESGYESDTEVFDYSDVKPDERFLNKFMEAHAAVKEADLTLHALTQAFEDSKQLTAMWKQACENLTINRTSLVEEIQKLKISTNQTEEENQLLKEHLNFSLIEMTNSISMLEECFLQMQTDVEKKFMVIYSDVLLMGQEILCFMNSLRSSVEDICSQIVDGGFVSFASYSCCLTELVSKFIGFSVNHELQSARQGEPHKLPKTAEPVPTTFNEDIGKIDHHMLVQNVQEEPDSPNVKVLSENMTLKKDLERKQELLEGLLFDFRLLQESASNSKEIKDQTEQLIISLSQARYELEIKSSQLDNILIQNRKLESSLADTEKVLTRSNYELELAKESIEKFADQNEELRNLLKELYADKTETEGQLDEHKEVIKGLEKEIANLSASLENQSLSLFQSIEDELNQVMMERDHLHEEVRVLNDKLEMAYFLVDEKEAIAIEARQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVNEMNEEVERHRSISDSLKVELQSFKERLLLVENLHQNSDSESMSVQTGEKMSRQLPSKVLGHHDALIQIKLLEKENAEKDWEIKKCKEYISEIVLHAEAQTLQYQQKYKGLESMFREVKTDMPYSTSTVRASEKIEKTSTRTRGSSSPFRCISNLVQQMNQEKDQELSVARLRVEELEALAASRQKEVCMLQTRLAATESMTHDVIRDLLGVKLDITNYANLIDQNQIVKLVEEAHQQREEFSAKEKENLNLRQYINGLIEERESCMLDLKTKESDMLASQIALQQLRERDQMLSAQNEMLKRDKTNLTRKIAELDDTVKTLVGTGNSQHVPQSSKTKDKGAQNFGNVRFTNRLSQSERLLARVNEELTQYRKSSGDNLHN >CAK8544272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675132481:675135893:-1 gene:gene-LATHSAT_LOCUS12965 transcript:rna-LATHSAT_LOCUS12965 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEERIELKFRIYDGTDIAHDTYPASTTTVGTLKQKLIAEWPQGKTVIPKSVNDVKLIHAGKVLENTKTLADSRITFGDIHGAITMHVVVQPPIAKKKTEKKKKENMQKKSSCSCTIL >CAK8566935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473900050:473900627:1 gene:gene-LATHSAT_LOCUS20030 transcript:rna-LATHSAT_LOCUS20030 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGYSKGTGLGAEIIGTFVLVYTIFSAIDPKRNARDSHVPVLAPFPIGFAVFMVHLATIPITGTSINPTRSFGAAVIYNNENAWDDQWIFWVEPFIGAAIAAIYHQFVLRAQAAKVLGGRDQAL >CAK8573519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617833724:617834344:1 gene:gene-LATHSAT_LOCUS25960 transcript:rna-LATHSAT_LOCUS25960 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVLTMTDDKTKKKSIEAVADIYGVDSIETDVNEQKLTVIGEMDTVAVVKKLKKVGKVDIVSVGPAIEEKKEEKKEEKKEEKEEKKEEKQEEKK >CAK8573518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617833724:617834344:1 gene:gene-LATHSAT_LOCUS25960 transcript:rna-LATHSAT_LOCUS25960-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKKKSIEAVADIYGVDSIETDVNEQKLTVIGEMDTVAVVKKLKKVGKVDIVSVGPAIEEKKEEKKEEKKEEKEEKKEEKQEEKK >CAK8541969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:444827067:444839001:-1 gene:gene-LATHSAT_LOCUS10848 transcript:rna-LATHSAT_LOCUS10848 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMPISWKYFRRRPSTQSQRDTGDDIQSSQRDTGDDIQSYLYESPQRGTSSCFSKDDNVQSYRHETPQRVKNSCSSKDSSNNNQSYIYDVFISFRGSDSRNTFVDHLYASLIRKGIFVFKDDKQLQKGDSISPQLLHAIQHSRISIIIFSKDYASSTWCLDEMLAIADSRAEMKQIVFPVFYDADPSHVRKQNGVYESAFVLHSDQFKHDPNKVDKWKRDMTCLASLAGWDVRDKPEVEQIQNIVQAIIEKLGRKFSGIADELIGIQPRVKILENVLKLSSHRDKFRVLGIRGMDGIGKTTLATVLFDTISHHYQFGACCFIENVSKVYRDGGAIAVQKQILRQTLNEKNLETYTSSDISGIILNRLYNMKLLIVLDDVDQFEQLQELHINPKLLRPGSRIIITTRDVHILKLYGADRIHEVELMSDSDARELLCRKAFRSDNSSSDYAELIPMVLKYAQGLPLAIRVMGCFLYNRNTSQWRATLEGLENNPESGIMKVLQSSFEGLEQREREIFLHVACFFDGEREDYVRRILDACGLQPDIGISLIAEKSFITIRNQEIHMHKMLQELGKKSVREQHPDEPRLWSRLWLYSDLYDSTITKPEPITAKAIILNQKKDVCEFNPLSVEDLSKLKMLKVLILYHSNFSGSHKFLSDSLCYLSWNGYPSASLPSNFQPYNLVELNMPDSNIEQLWIGIQRLPYLKRMDLSNSKNLKVTPCFEVIPNLERLDLTGCINLLNVHPSIGLLTKLVFLSLQNCSSLVSLDFGNASRLKSLRVMRLSDCTKLEITPEFNGLVSLQYLEMDRCASLSMFHKSVKDLANLKFLSLRNCPNLVEIPDTFYKMTSLITLDLYGCFKFTKFPLRGIYISTTQSLISIDLSFCGITTIPDAIGGLRCLERLNLQGNKFTKLPPFLKSLGSLSYLNLSHCHKLESMPELPIESGESDSTGRYFQTTSGSRNHRSGLYVFDSPINTTSESLFSNEYICRLFSRWVQRLVREPLQFRCGFDIVLPWHEEINGFLENSSIQEFFGHGFKGGSIGRINNTGMHDDWLGFLFYVTFELNNHHVLSNSPLFPPPLPHPFYLSFENEHTEERFDMPLNLDQKKILGDHYLWIIYISREHCHFVETGAHITFKAHKGLILKECGLRVITKGDIEDSTMVMGAPLPLPIVKVKQSRSKKSRFESKIQLPYNWLVSDKDEAEKNAAKGKETDLFNLGLFTERSH >CAK8541970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:444827067:444838992:-1 gene:gene-LATHSAT_LOCUS10848 transcript:rna-LATHSAT_LOCUS10848-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISWKYFRRRPSTQSQRDTGDDIQSSQRDTGDDIQSYLYESPQRGTSSCFSKDDNVQSYRHETPQRVKNSCSSKDSSNNNQSYIYDVFISFRGSDSRNTFVDHLYASLIRKGIFVFKDDKQLQKGDSISPQLLHAIQHSRISIIIFSKDYASSTWCLDEMLAIADSRAEMKQIVFPVFYDADPSHVRKQNGVYESAFVLHSDQFKHDPNKVDKWKRDMTCLASLAGWDVRDKPEVEQIQNIVQAIIEKLGRKFSGIADELIGIQPRVKILENVLKLSSHRDKFRVLGIRGMDGIGKTTLATVLFDTISHHYQFGACCFIENVSKVYRDGGAIAVQKQILRQTLNEKNLETYTSSDISGIILNRLYNMKLLIVLDDVDQFEQLQELHINPKLLRPGSRIIITTRDVHILKLYGADRIHEVELMSDSDARELLCRKAFRSDNSSSDYAELIPMVLKYAQGLPLAIRVMGCFLYNRNTSQWRATLEGLENNPESGIMKVLQSSFEGLEQREREIFLHVACFFDGEREDYVRRILDACGLQPDIGISLIAEKSFITIRNQEIHMHKMLQELGKKSVREQHPDEPRLWSRLWLYSDLYDSTITKPEPITAKAIILNQKKDVCEFNPLSVEDLSKLKMLKVLILYHSNFSGSHKFLSDSLCYLSWNGYPSASLPSNFQPYNLVELNMPDSNIEQLWIGIQRLPYLKRMDLSNSKNLKVTPCFEVIPNLERLDLTGCINLLNVHPSIGLLTKLVFLSLQNCSSLVSLDFGNASRLKSLRVMRLSDCTKLEITPEFNGLVSLQYLEMDRCASLSMFHKSVKDLANLKFLSLRNCPNLVEIPDTFYKMTSLITLDLYGCFKFTKFPLRGIYISTTQSLISIDLSFCGITTIPDAIGGLRCLERLNLQGNKFTKLPPFLKSLGSLSYLNLSHCHKLESMPELPIESGESDSTGRYFQTTSGSRNHRSGLYVFDSPINTTSESLFSNEYICRLFSRWVQRLVREPLQFRCGFDIVLPWHEEINGFLENSSIQEFFGHGFKGGSIGRINNTGMHDDWLGFLFYVTFELNNHHVLSNSPLFPPPLPHPFYLSFENEHTEERFDMPLNLDQKKILGDHYLWIIYISREHCHFVETGAHITFKAHKGLILKECGLRVITKGDIEDSTMVMGAPLPLPIVKVKQSRSKKSRFESKIQLPYNWLVSDKDEAEKNAAKGKETDLFNLGLFTERSH >CAK8572604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550641611:550642622:1 gene:gene-LATHSAT_LOCUS25154 transcript:rna-LATHSAT_LOCUS25154 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWVMIHQPHSAPYEGPSGECMLEADEMVILRETITAIYSQRTRKPVWQISKDLERDHFMSPEEAQAYGIIDSVSDSLPTEAIFDSGADSFF >CAK8579160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680538840:680545676:-1 gene:gene-LATHSAT_LOCUS31127 transcript:rna-LATHSAT_LOCUS31127 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPLTTKTPFLTATTLLPWLSSSNRTPTRTLYLNQRKTNKVFASSSSHDEQDHKNLKFNSEDLMDLKFGRLLGEDPKLTLAKIMGRKINPDASYLDIEKSFYKRKKGKVVEIEELPFEVDRPWAKTSPPKPQLEPNDDDGDKNVAMEIKKPSLTPRKLGTVRKSSAPKAILRKPSLFKKEEDEEESVSSRLMLKPNLSLSGQVKEKFSDMTLLRKPGLSIGGNRDGGLKTRKEEPGYEVANLTLLEQPHRPGSNKKLEKFVKPDDGVANLTLLEQPHRPGCNKELEKFVKPGDGVANLTLLEQPHRPSSNKELEKFAETGDEVGTLSLLEQPHRPSGKKEEEKFDEPSDEVADLSLLEQPHRPSGKKKQEKFEDSSDEVAKLSLMEQPHRPSGKKEQEKFDKPSDEVTNLNLLEQPHRPSGKKEQYMFEEPSDEIAKLSLLEQPHKPSSKIEREKFEDPTDEVAKLNLLEQPHRPTAQKEQEQSGARSDEAKKVILLDQPHRPNDKKEQEKFEEPSDEVAKLSLLEQPHKPSSKIEHENFEDPSDEVAKLNLLEQPNRPSGKKEQEQSGEPSDEAKKGSKQDEQRQPEVHQEPINLNQPSDLNYVGSKTELSVEAAIEGSPKRLDQYVKQTSKPVEEKTASLDPGSRGNSEESGNFVDVSDIQEGEDADWTKAKSLIKNGYRDDVELISCSTQGFVVSFGTLVGFLPYGNLLSKWKFLAFESWLRQKGLDPSMYKQNLVNVTHNDAEINNLSSDSPSHMENDSKLEDKISPDMKLEDLLRIYGQEKINFLSSFVGKKTKAYVLLADRKLKKLIFSLKPKEKEALTEKRRELVARLQVGDIVKCRIQKITYFGVFVEVEGVSALIHQSEISWDATLDPSKYFKIDQVVEAKVHQINPTLGRIFLSLREVTPDPMLESLEFVVGDHESFDGRLEVAQTDAEWSEVEFLMKELLKIEGVQSVSKGRFFRSPGLAPTFQVYMASVFEDQYKLLVRSGNKTQEVMVQTVLDKEMMKAAIITCASKVE >CAK8579159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680538840:680545676:-1 gene:gene-LATHSAT_LOCUS31127 transcript:rna-LATHSAT_LOCUS31127-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPLTTKTPFLTATTLLPWLSSSNRTPTRTLYLNQRKTNKVFASSSSHDEQDHKNLKFNSEDLMDLKFGRLLGEDPKLTLAKIMGRKINPDASYLDIEKSFYKRKKGKVVEIEELPFEVDRPWAKTSPPKPQLEPNDDDGDKNVAMEIKKPSLTPRKLGTVRKSSAPKAILRKPSLFKKEEDEEESVSSRLMLKPNLSLSGQVKEKFSDMTLLRKPGLSIGGNRDGGLKTRKEEPGYEVANLTLLEQPHRPGSNKKLEKFVKPDDGVANLTLLEQPHRPGCNKELEKFVKPGDGVANLTLLEQPHRPSSNKELEKFAETGDEVGTLSLLEQPHRPSGKKEEEKFDEPSDEVADLSLLEQPHRPSGKKKQEKFEDSSDEVAKLSLMEQPHRPSGKKEQEKFDKPSDEVTNLNLLEQPHRPSGKKEQYMFEEPSDEIAKLSLLEQPHKPSSKIEREKFEDPTDEVAKLNLLEQPHRPTAQKEQEQSGARSDEAKKVILLDQPHRPNDKKEQEKFEEPSDEVAKLSLLEQPHKPSSKIEHENFEDPSDEVAKLNLLEQPNRPSGKKEQEQSGEPSDEAKKVILLEQPHKLSDKDEKQFGDGSKQDEQRQPEVHQEPINLNQPSDLNYVGSKTELSVEAAIEGSPKRLDQYVKQTSKPVEEKTASLDPGSRGNSEESGNFVDVSDIQEGEDADWTKAKSLIKNGYRDDVELISCSTQGFVVSFGTLVGFLPYGNLLSKWKFLAFESWLRQKGLDPSMYKQNLVNVTHNDAEINNLSSDSPSHMENDSKLEDKISPDMKLEDLLRIYGQEKINFLSSFVGKKTKAYVLLADRKLKKLIFSLKPKEKEALTEKRRELVARLQVGDIVKCRIQKITYFGVFVEVEGVSALIHQSEISWDATLDPSKYFKIDQVVEAKVHQINPTLGRIFLSLREVTPDPMLESLEFVVGDHESFDGRLEVAQTDAEWSEVEFLMKELLKIEGVQSVSKGRFFRSPGLAPTFQVYMASVFEDQYKLLVRSGNKTQEVMVQTVLDKEMMKAAIITCASKVE >CAK8530970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67175362:67176545:1 gene:gene-LATHSAT_LOCUS793 transcript:rna-LATHSAT_LOCUS793 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGLFQKDVQKFVNIEYMRCNPENRFFPDLSSLSRPDIIFFCSPNNPTGAAATREQLVQLVQYAKDNGSIIIHDSAYAMYMTGDNPRTVFEIPGAKEVAIETSSFNKYVGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNISQAGGLACLSPDGLKAMCGVIEYYKENTNIIMETFDSLGFKVYGGKNAPYVWVHFPGQSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRASAFGHRENVLEACRRLKQLYK >CAK8530878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59025938:59026261:1 gene:gene-LATHSAT_LOCUS710 transcript:rna-LATHSAT_LOCUS710 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEHVIEDAYMTDELDSGDDDDSCDERPCVIKFNQEESLSKDFVFKVGMEFSSLCSRVLTSTIFRIKTLFAKHKYGRQFFNKSDKAEWVAKVIVDGLKNNSRIRLK >CAK8540539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10325380:10325991:1 gene:gene-LATHSAT_LOCUS9536 transcript:rna-LATHSAT_LOCUS9536 gene_biotype:protein_coding transcript_biotype:protein_coding MTDECTANRLRVSYARILVEMDITKELPQIITIGDNEGEKIQQPIEYEWRPLFCSKCQKVGHSCDKPKVTQQWKPKPAPQHVDNVKTVMDNTTKRIHRTEGNNNIVGDKVNSPAVENNAKGNTLGECPTDLVSKAADPPLENGVNIIEQVEAVMEKWIEVIRSGKDRGKPQDNPNNINKIVCANGFEALEISKDLIESQNTGQ >CAK8562027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:428082851:428083423:1 gene:gene-LATHSAT_LOCUS15563 transcript:rna-LATHSAT_LOCUS15563 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFYNQYAKMNGFAILKSKILRSKKGEILQRTFVCHRQRFKEDKGLTIENRKRECKLESRCGCEAKFRVHIDMVSQRWWTTVFNDQHNHELLDEEYHGMLASHRKMKESDIMQMNDMLKVGIRPSQFYGYFANKSEGYEKIGFRRKDIYNQIGKQRLLQQCDGKNVLHHLCGLPSDDPMMFYRHTVDG >CAK8565577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:282602762:282603067:-1 gene:gene-LATHSAT_LOCUS18776 transcript:rna-LATHSAT_LOCUS18776 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKGESSTKYATDDEDDWDFPASKGDYVTKNTGVTAAKTTTEVKIKITKKQLEELLRKVDIRELRVDQVLAQLMNHSNGYKSFERSWRPALQSIPEMD >CAK8578841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657868504:657868737:-1 gene:gene-LATHSAT_LOCUS30824 transcript:rna-LATHSAT_LOCUS30824 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAMLVAEEYERRVKSLKTTAAASDGGAVKTWEIDMSCFSLLVSKMKEEKKQLVRCILEPKTQFAVAASNSFFSA >CAK8578084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605708068:605709060:1 gene:gene-LATHSAT_LOCUS30126 transcript:rna-LATHSAT_LOCUS30126 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSCMFLVLLILTARHSTLSLSEQCNLYDRNTLLQIKKELGNPTKLSSWNPTTDCCDNWYGVSCDYNTSLYRVIHLGFDNLDLPQPVQIPPSITNLPFLLCLSLDNNPNLVGTIPPTIANLTRLEYFFLSHTSISGEIPNTLSKNKRLLSLDFSNSKLTGPLPNIGGIIFSGNKLTGTIPESYGSFPESFTVLNLSRNRLSGKVPASLAKLNLLFVELEHNMLEGDASVFFGSKKSTFKILLGKNSFSFDIGKVGLSKDLRALDLSNNKIYGSLPEGLTKLKYLHELNVSNNNLCGQIPKGGEMQRFDDSSYAHNKCLCGSPLVACKA >CAK8573698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631106869:631107351:1 gene:gene-LATHSAT_LOCUS26109 transcript:rna-LATHSAT_LOCUS26109 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAKPYMFLTCLIPGLSSPKAGIDVYLQPLIDDLKRLWIGECTYDISCKQNFNMGASFMWTINDFLAYVMLSGWGTHGKMGCPYCMDDTKAFTLEKGGKNSWFDCHRRFLPENHGLRKNKNDFRKGLKVTDLPPRHLSSIELWNMVRDLPKSTNNGKAI >CAK8561124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:98625992:98634583:1 gene:gene-LATHSAT_LOCUS14741 transcript:rna-LATHSAT_LOCUS14741 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENSENMQMSCEASLKCLQGKGPPFTFQCSGSSMEGFPELTNEPGTHSAGNVPESNRRLGSEFLEHSNEFHSKPAYHHDYSAWTACHFNPHKLQQCQMNAFESHFYPYPVENQLQCAPINMLSQGYSREQFQEFQYFVVIDFEATCDKDKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVKPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNANFAVVTWSSWDCRVMLESECRFKKIRKPPYFNRWINLRIPFSEVFGDVKCNLKEAVEIAGLAWQGRPHCGLDDAKNTARLLALLMHRGFKFSITNSILWQTADRSLIWKQSQEQQSAHPHCPYKSRDVIHMNTPVPVIQYHPCCYCGVKSSRGMIRKPGPKQGSLFFGCGNWTTTRGARCHYFEWAST >CAK8533980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:678873479:678877760:1 gene:gene-LATHSAT_LOCUS3560 transcript:rna-LATHSAT_LOCUS3560 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVVQSSPVQQMMASNPNWWNINNMRPPSPQPPPFFSNPNSNFLIPNFTPNSSFSSSIPFPSWHDNHQDLPESWSQLLMSGIVGEEDKIGMSQFQNQMLITQAPNSSHVDVKQESSGNSYAYGHVNEELNSCVTSFNTNNMLDFSNNNNNTDLRHLPPDLSSECNSTASGGAMKKARVQQATTQSTFKVRKEKLGDRITVLHQLVSPFGKTDTASVLLEAIGYIRFLQTQIEALSLPYLSNGSGNTRQSHSVQGDKNCLFPEDPGQLLHENSLKRKAAEEVSQEEARKDLQSRGLCLVPVSCTLQVGSDNGADYWAPALGGAFR >CAK8575222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56375919:56380118:-1 gene:gene-LATHSAT_LOCUS27506 transcript:rna-LATHSAT_LOCUS27506 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKALLSRRASLAHNPIKSSSSGISYSFCEVSLVFVLWGLLVLFSLWVRYTDGSEEISDGVSNWKNHGFCEISDTADEYFIKRNDACIPSETLLYSQGGGTKANGLVGESLTLDYAVPGDFNKVSTGSANREEHVVESSESAVKHENDVKKSDLLSRAVPLGLDEFKSRAISSKVKSGTGQSGSVIHRLEPGGAEYNYASASKGAKVLGSNKEGKGASNILSRDKDKYLRNPCSVEEKFVIIELSEETLVDTVEIANFEHHSSNLKDFEIHGSLNFPTDVWVFLGNFTASNVRHAQRFVLKEPKWVRYLKLHLQSHYGSEFYCTLSVVEVFGVDAVERMLEDLINTQDNLFASGEGNDDKKTVSSHPDSAESEHVHVHQNIIEGISSDPASDVSSGNHETANTNVPDPVEETRQQVGRMPGDTVLKILMQKVRSLDLNLFVLERYMEDLNSRYVNIFKEYGKDIGEKDILLQKIKEDIRNLIGQQDVIAKDARDLNSWKSRVSLQLDHLLQDNAALRFEVEKVREKQVSLENKGVIVFLLCCIFSFIAVLWLCLDMAKNIYRALLCVDRTADSRNFCAGSSWFLLLFSCISIIFILIL >CAK8566769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461280317:461281910:1 gene:gene-LATHSAT_LOCUS19878 transcript:rna-LATHSAT_LOCUS19878 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIAKKLVGTASFKTPIPAVRVLPRFYHERVVDHYDNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDEVTGKIVDARFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKASAAATA >CAK8565109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38804260:38804638:1 gene:gene-LATHSAT_LOCUS18346 transcript:rna-LATHSAT_LOCUS18346 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYLRSWFIETSNALGKDLLLSYFFFHSTAARALDACDLITDYSTSLVSCQVEQNSVHKSLLAKETTLLRLNMELEVVINNALLPKQSEHVIHLVEVL >CAK8536388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937356794:937361354:-1 gene:gene-LATHSAT_LOCUS5763 transcript:rna-LATHSAT_LOCUS5763 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPAKLSAYRDRRFPGNQEEFEHALITSSTVYVGNMSFYTTEEQIYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDTEDACKYISGTILDDRPIRVDFDWGFQDGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQKELEVQRQLVDYGTGSLGSFPPVIPSYGRHGGGHGHGHGSGHRHGRDYHHRKRYRDDDRHTHEPSKRTSDHETRRNSDHESRPEKNPRFRESGDSDDEEEDDRKRRG >CAK8574718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7283188:7283989:1 gene:gene-LATHSAT_LOCUS27035 transcript:rna-LATHSAT_LOCUS27035 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVLSDVILVVLKLWDIEVLSSMEDANNTFLSSKKTGAERELSRDTPLHDEVNPKAAYSLADPEEHPSLKIGMMHLLLNLKMQKQGDFMAELSELK >CAK8532751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510632502:510632798:1 gene:gene-LATHSAT_LOCUS2425 transcript:rna-LATHSAT_LOCUS2425 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFQKKGLAYFGKYIQPTPILLLINILEDFTKPLSLRFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8568983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661407315:661408021:-1 gene:gene-LATHSAT_LOCUS21883 transcript:rna-LATHSAT_LOCUS21883 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGEMSLTLDDVSCLLHLPIRGVFWPPQHITKATVVGLVVDYLGVSQYRAQEHVRHCRGSYYKLECLYDLFKKYRAASRWGYATRAYLLMLVGSKIFVDKTFTLVDARYLLLFTDLDRLSGYSWGAAALVTLYSHLGDASMFSCKQLGGYHILLHCWIYEYFSTIEKRGENWIPSQNCGLPRAMRWSYRRKLLSML >CAK8538648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490607215:490610219:1 gene:gene-LATHSAT_LOCUS7826 transcript:rna-LATHSAT_LOCUS7826 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCRRLPDAGAVTLPGGDRLRRAEVIAGTGWVKYGYSNREMRTLKMKLTVVDGGGYGGVRRRKEKVRPCYYAVEDGSVGNDDVAESSENRAAEVAVAAAATVVMGVGNRVLYKLALVPLKQYPFFLAQLSTFGYVIVYFGIMYIRHRAGIVTDEMLSLPKTPFVVIGLLQALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYKVNHLFGCFLVTMGVVVTVASGADAGNSLKDGGLFWSLLMIVSFLLQAADTVVKELIFSDANRKLKGGSVDLFVVNSYGSAFQALFISLLLPFLSKLWGVPFSQLPNYLKDGAACFLNVGKLSSVPGCDGAPLLPILFIIANIGFNIALLHLLKISSAVVSCLASTFSVPIAIYVFTLPLPYLGVASSLPRGFVAGAIVLIFGLLVYAWTPSNGCSSSGASFPEAST >CAK8538647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490607215:490610219:1 gene:gene-LATHSAT_LOCUS7826 transcript:rna-LATHSAT_LOCUS7826-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCRRLPDAGAVTLPGGDRLRRAEVIAGTGWVKYGYSNREMRTLKMKLTVVDGGGYGGVRRRKEKVRPCYYAVEDGSVGNDDVAESSENRAAEVAVAAAATVVMGVGNRVLYKLALVPLKQYPFFLAQLSTFGYVIVYFGIMYIRHRAGIVTDEMLSLPKTPFVVIGLLQALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYKVNHLFGCFLVTMGVVVTVASGADAGNSLKDGGLFWSLLMIVSFLLQAADTVVKELIFSDANRKLKGGSVDLFVVNSYGSAFQALFISLLLPFLSKLWGVPFSQLPNYLKDGAACFLNVGKLSSGCDGAPLLPILFIIANIGFNIALLHLLKISSAVVSCLASTFSVPIAIYVFTLPLPYLGVASSLPRGFVAGAIVLIFGLLVYAWTPSNGCSSSGASFPEAST >CAK8569400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699053557:699059997:1 gene:gene-LATHSAT_LOCUS22256 transcript:rna-LATHSAT_LOCUS22256 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAVQLPRFLPFPLPKYPILISTSNSTSTGFISLKQLQLSPRCRRFPFCSSSSHSQPQAEQLTVLAAENDNCVTGDSIRQRFLAFYASRGHKLLPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPRQFPRATTAQRCIRTNDINNVGQTSRHHTFFEMLGNFSFGDYFKKQAIQWAWDLSTVEFRLPPDRLWISVYQDDDETFQLWSQQVGVPVERIKRLGEDDNFWTSGATGPCGPCSEIYYDFHPERGYDDADLGDDTRFIEFYNLVFMQYNKKEDGSLEPLKQMNIDTGLGLERMARILQKVPNNYETDLILPIIEKASELANVSYGVSDDQTKRYLKIVGDHMRAIVFLISDGVVPSNVGRGYVVRRLIRRVVRTSRLLGIKGDGRGDLEGAFLPIIAEKVVELSTHIDADVKNRAPRILEELKREELRFVQTLERGEKLLEEKLADAISSAARNGTVPCLAGEDVFILYDTFGFPMEITKEVAEERGVSIDMDGFDIEMEKQRRQSQAAHNTVKLAIGSGTNIADNIPDTEFIGYDSLYCKAIIASLVVNGDPAVRVTEGSNVEILLNKTPFYAESGGQIGDHGFLYISEGENQPKAVVEIIDVQKSFGNIFVHKGTVHKGVVEVGKQVEATVDVKLRQRAQVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLIDSELVEIEVLINGWVEDAILLQTKVMPIADAKREGAIAMFGEKYGEEVRVVDVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGVRRIEAVAGEAFIEYVNARDSYLKQLCSTLKVKPEEVTARIENLLEELRAARNENSAVRAKAAVYKASVIASKAFLVGNSNQYRILVECLDDVDAESLKSAAEYLMETLADPAAIVLGSCPGEGKVSLVAAFTPGVVDQGIQAGKFIGQIAKLCGGGGGGRPNFAQAGGRKPENLTAALEKAKSELIANLSEKGN >CAK8575636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:224750937:224757916:1 gene:gene-LATHSAT_LOCUS27880 transcript:rna-LATHSAT_LOCUS27880 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKVLLFGSFTEDETKSLRVKKSSGRKDKSVDKNQLQFGSLNSVTVKSSNLPNSAKAPKNVPLPDSQKLNGVNGVGADLPKVHETIKENGSITNFSPNPSSITSANSVKEPHMSSVALDRNGTANNFANLSLDASGTESLKSSLKNGNDDDTSELFDKDLNKAPNGHAVMHVKELLPRGLINSGNLCFLSATMQALLVCSPFVQLLQELRSRNIPKVGYPTLKAFAEFVTQFDMPSGIKLKKDTNSFEFGRAFCPVMFEDVLKNFTPDVPNSISGRTRQEDAQEFLSFVMDQMHDELLKLEGQSSSLNGSKSFLVSSVEDDEWETVGPKNKSAVTRTQSFIPSELNDIFGGQLQSLVRTKGNRSATVQPYRLLHLDIHHDAVHTIEDALHLFSAPETLEGYRTSVTGKARTAKKSIQIQTLPKIMILHLMRFGYGSQGSTKLLKPVYFPLEFVLGRDLLVSPSTEGRKYELVATITHHGREPSKGHYTADAQYPNGRWLRFDDASVFAIGANKVLHDQAYVLFYKQI >CAK8532540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:286130408:286132282:-1 gene:gene-LATHSAT_LOCUS2235 transcript:rna-LATHSAT_LOCUS2235 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECITSSTKLMTQKNDKNENEESSSLVFDASFLRHQLNLPKQFIWPDDEKPCMNVKELDVPHIDLKNFLSGDPFAAMEASKTIGEACEKHGFFLVVNHGIDAKLIEHAHSYMNDFFEIPLFQKQRCQRKTGEHCGYASSFTGRFSSKLPWKETLSFQFSDEKNSSKIVKDYLSNTLGEDFQQFGEVYQKYCEAMSKLSLGIMELLGMSLGVGKECFRDFFEENKSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVFVDNEWHSIRPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKTTRKSLAFFLCPKGDKVVSPPSELVNDLTPRIYPDFTWPMLLEFTQKHYRADMRTLEAFTKWIQQKQN >CAK8570767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:110753866:110756194:-1 gene:gene-LATHSAT_LOCUS23493 transcript:rna-LATHSAT_LOCUS23493 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIIFIAFLLSTSTLTFSVSESSESNIISRFQQFLQIKTNHPTPNYKQSSDFLTSQANSLSLHSQTIEHAPGKPLVLLKWTGTSPELPAIMLYSHTDVVPAEQDKWDHPPFEAHLDSEGRIYARGSQDMKCVGMQYLEAVRRLKEWGFQPKRSVYLAFAPDEEIGGHDGAEKFSLSSLFQDLNVGIVLDEGLASPDDHYRAFYAERSTWWLKIKAVGIPGHGAKLYDNSAMENLLKSIESIRRYRASQFDLIKAGLKADGEVISINMAFLKAGTPSPIGFIMNLQPSEAEAGFDIRVPPTADTESLERRISEEWAPTWRNMSFTLRQFKEKVSGFDASGKPVITKTDSSNPWWALLETAVQEAGGKLGKPEIFPASTDSSYFRNLGLPAIGFSPMANTPILLHDHNEYLHKDEYLKGIKIYESIIKAYASYDEHVTDEMSKDEL >CAK8537008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:55585324:55588599:1 gene:gene-LATHSAT_LOCUS6328 transcript:rna-LATHSAT_LOCUS6328 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGNFINESGLIDVPCKGKKFTWYNSNGNSMSRIDRFLISNSTVNDWGVSGQLVGPRDISDHCPIWLAADKENWGPKPFKFNNEWFAKDDFLVFAEREWKDIHVEGRGDFVLKEKLKIFKDRLKWWNRVVFGKIDLEVEETVGDINAGDVLAETVAPGGLSLEDTNSRKEAVTKFWTNLRIKENMLVQKSRIKWLKEGDSNSGFFHRAIKERRRHNHIGPLNVAGSMIEKVEEVKFEVHRHFARKFKVMEGEESFLEGITFDGINEEDRIFLEGPFLEEEIKEAIWGCGISKSPGPDGYSFLFIKRCWSFLKDDIFRYCNYFHSGGRISKSVTSSFLSLIPKSTNPVTLDDYRPICLVGCLHKIVSKLLASRLKKVLDRIISPCQSAFVPGRLMLDGVLVANEVMDYARKERKGCVLFKVDFEKAYDNVSWNFLRSMLTKMGFGSLWRKWMDNLIFQSKMSVLVNGSPTKEFEVEKGLRQGDPLSPFLFVIVAEGLAGLVRKSQELGEFEGFVVNGKCMVDLLQFADDTLIVGEGTWKHVWAIKAVLRAFELVSGLGINYHKSKLIGVNISSNFLDAASFVLSCRKEDSSVNFLGIPIGSNPRKASTWNPLLLKIKKRLLGWKNRFLSLGGRITLLKSVLCSLYIFTLSFFKMPSAVSKEVNKILSDFLWGSVEERRKIHWVSWKKVCLPIDKGGLGMKYLPDFNVALLNKWRWRILKGGDEVWLRLLKARYGDISSVMLSKGTHSLISNSSPPHSTTSSPSSSYWWKDLISIGKFGHLDPMVRLCNFKIGRGFTTPFWEVNWTGNFCLTDEFLNLYKETRLRLVSVAGMGGWVDHVWRWGDLGLAPAEVSGGSGPNGQEVGSLRELIQPFEPESSGSDTVSWVGEPDSIFSVASCYKFYEFFRIPMGPPNIHDDAFRLIWKDEVPFKIKAFAWRLFNNRLPTKDLLAARGVVFSGENSLCVFCAIHPEDCVHSFFKCNVLKVVWRSIANWIGKSWIEEDDCFCSYKDWFIYCKDNNFKKGKLGVVWLALTWCIWLTRNGFCFRNEAWNVDSLIWNVKILVWKWRPIGEITYPNCCFYDFSKEPLFFLK >CAK8565919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353685400:353685786:-1 gene:gene-LATHSAT_LOCUS19094 transcript:rna-LATHSAT_LOCUS19094 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRENGRHKADQYKSAQGQWLMQQHQHQHPSMKQIMAIMAERDAAIQERNLALSEKKAALAERDIAFLQQDNTIAERNNALMERDNAIATLQFRENALANGGMSSCPPGCQISRGVKHIHHLPQQVN >CAK8531548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:123848570:123849531:-1 gene:gene-LATHSAT_LOCUS1332 transcript:rna-LATHSAT_LOCUS1332 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLQVELESSGESNASDLQEEDKSQTVPGTLLIPCRTAMKGYFPLNGTYFQINEVFADFASMTKPINVPRRLLWSLTKQITYFGTGTSAITRGTSAEKVREFFSEGYICVRAFETKKGAPRRISPMMHLNTTQI >CAK8541727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:373059343:373060065:-1 gene:gene-LATHSAT_LOCUS10623 transcript:rna-LATHSAT_LOCUS10623 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSGRGNPDSKGFDFASDDILCSYEDFSNRDSNSNGNHNDSIIAPTSTTDFHKSRVARTSVFPVTAYNPLEDSLSQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLNRNHREKDLKLKYLENHLQEVHRSVQILRDKQELAETKKELAKLQPAQKESPSSSHSQSNEDRSPSADPKKTDNASDANNHQLALALPHQSTPQQQPAGSPSQVPSHQIMKI >CAK8566990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478228533:478231100:-1 gene:gene-LATHSAT_LOCUS20083 transcript:rna-LATHSAT_LOCUS20083 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTSQQIPIIWLLLLLLLSTTIFERCNSTQFGNCNEKDRSALLNFKHGVDSPSSNRLSSWSINEKDCCSWKGVQCDNTTGSVTSLDLHQQYLEGEINLHSLFQIQSLNYLDLSLNGFTTLSSFDDSDDNNHNLSNIQYLDLSFNDDLHLDNLNWLSKFSSLKSLNLSQINLQNQSNWFHTMDMLHGSLLELRLSSCYLTNIFPSIKHVSFTKSLVTLDLSANNFDSELPAWLFDLGSDTKISHIDLSFNMLQGQIPKSLLGLRKLEFLRLSNNELNGSIPDWLGQHQNLKYLNLAENLFHGSIPPSLGNLSSLVDLSVGSNFLTGNIPPTIGKLFNLKSLFIGGNSLSGVLSEKHFSNLSNLETLVLSAPISFDIDSKWIPPFQLQGISLSNTILGPKFPAWIYTQKSLQYLEVANSRLSSIDGDTFWRFVANITQLNLSYNDIDADLSNVTLNSELIFMDNNNFRGRLPHITENVVLLDLHQNSLAGSVSPLFCHKIGRENSLDYLDISSNLLTGNIPDCWEYWKGLSFLYMESNMLTGELPPSMDSFIDLITLDLHNNSLSGNFSLDLSNLTNLEFIHIGENNFSGTVPVMPHGMEVMILRSNEFEGNVPPELCNISSLILLDLSHNKLSGPIPKCINKITGMGGAKKTSHYPFGFHLYNKGQELEYRDYGLARTLDLSDNQLSGEIPTQVFSLVQLHTLNLSRNHFTGKIAKEVGDMKNLESLDLSNNELIGEIPATISILSFLSFLNLSNNNLVGQIPVGTQLQSFVASCYDGNPRLCGAPLRICSNESNPHGEHNDIDKDNSFTQSLYFGMGVGFAVGLWSIWGSLYLNRAWRHTYFRFLNHVLGSSR >CAK8562617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516463811:516464431:-1 gene:gene-LATHSAT_LOCUS16102 transcript:rna-LATHSAT_LOCUS16102 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLSLLAVLLLATFSMFSMKNVGATGCLGACSPFEVLPCRSTDCRCIPVSLFLGYCAPTSPSVMKMIEEHPNLCQSHADCIKKKSGSFCARYPNPDIEHGWCFASNIEAQDIFFNVSSNSEISKTYLKIHPIT >CAK8576605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497448615:497450095:-1 gene:gene-LATHSAT_LOCUS28779 transcript:rna-LATHSAT_LOCUS28779 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFHIDFHHGGYFVNDKYIGGEVSNWKCDGDRWSYFEILGVVKEIKYPGVQEIWHDFVGTLKALEDGFDSDYATEDLESEDDISCEHSHEDENVKYPSFVMPKRFNHYKWVLRSFFSTKRELEEVIASYVVHNGRDLRCLKNDNIRVRVGCKEGCGWVALRSKFPNAGTWQLRTLNDNHTCNREFNVKMFNSNWLRKKVYTTVRINPNVKLTAICEKVHEKWNVGMSRMKAYREWNTSLNIVEGSFKKQYHRLCDYAHELLR >CAK8543442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608092879:608094877:1 gene:gene-LATHSAT_LOCUS12199 transcript:rna-LATHSAT_LOCUS12199 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSVSEKSIYEFTVKDAKGKDVNLSIYKGKVLLIVNVASKCGFTNSNYTQLTELYSRYKDKGLEILAFPCNQFLNQEPGSSQDAEQFACTRFKAEYPIFGKIRVNGPDTAPLYKFLKEKKSGFLGSRIKWNFTKFLVNKEGVVIQRYSPTTTPFSFEDEIKKALGEA >CAK8568467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608391250:608394195:1 gene:gene-LATHSAT_LOCUS21422 transcript:rna-LATHSAT_LOCUS21422 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNKHQGGGVSMMEKKGEVVVGIPNVGGESHDFDHDHDHGLKLFESMPQCENELASKSPPFECASPEIRFTPSPNKPPRHPTTNANLTKRKSFVRSVYSKPKSRFGEQPNYPIDGNLLEENVLQEQLAVSSSYRSSFNKDSHSSNYKSASVNRSVSIASFLTTRTSWRASPSHAGEEDLDDIIYKKVEFSKGKHKRLTTRTSIELFVFVMIAGNMLASLTVEELRRIHIWSLRLWRWWMLVMVTFCGMLVTKWFMHIVVFLIEMNFLLRKKVLYFVHGMKKCVQVFIWIGLVLLTWVLLINYEVQRSKLAEKILDGVTWTLVSLLIGAFLWVIKTLLLKILASNFHVKSFFDRIQESIFHQYVLQTLSGPPLMDEADKIGRSQRLSHFSFQSITNKGDTKKEVIDMAKLHKMKQEKVSSWTMKILVDSVMNSRLSTISSSLDETFYDVENKQTDKEITNEMEATAAAYHVFRNVAASPSCTDIDENELRRFLIKEEVPWVFPLLAHAETGLITRKSLVDWVLKVYQERRALAHALSDTKTAVKQLNKLVTVVVVLVTILVWLILMEIASTKVLVFIASQLVLATFMFGNTCKNIFEAIIFVFIMHPFDVGDICVVDGVELLVEEMNILTTVFLKLNNEKLYYPNSVLAIKPISNYYRSPDMFDAIEFSIDFTTPAEKIGALNEKIKRYVERNPQYWHPNFGLFVREIENVNKIKMSLFVGHTMNFQEVVVRLRRKSELVLEVKRIFEELKIRYYLLPQAVHLRHTELDTS >CAK8576492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482989326:482989574:-1 gene:gene-LATHSAT_LOCUS28675 transcript:rna-LATHSAT_LOCUS28675 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGWAKSKVPPSPENHTKPEVQGEKPSTKTKSDDDVFNNNEKSKIERSETLTEDVKSMPKEQKLYMDVLSDNWDLQKN >CAK8564187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655324217:655325779:1 gene:gene-LATHSAT_LOCUS17514 transcript:rna-LATHSAT_LOCUS17514 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYWTSLASILGVFAFCQTILQTVFPPELRFASAKLFNKIFNCFSSYCYFEITEIDGVNTNELYNAVQLYLSSSVSITGNRLSLTRAVNSSAFTFGLANNDSIADIFNGVNVVWEHVVTQRNSQTFSWRPLPDEKRGFTLRIKKKDKNLILNSYLDYIMEKASDIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPEKKIEIMNDLQDFANGQGFYHKTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKNNKNNGLGSSSGSGLSCSRSYYESGVGEIRGGAGEENVNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSMQALKILLKNYLGCEEGVDLGESVLKELEEVIGMARMTPADISEVLIKNRRKKERAVDELLEILKVRAEKNKKNGEVDEDDEEEEEEEQEKRALDSDSPKQESEIEDDCKEGSEEEEKIK >CAK8562339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475523058:475562712:-1 gene:gene-LATHSAT_LOCUS15843 transcript:rna-LATHSAT_LOCUS15843 gene_biotype:protein_coding transcript_biotype:protein_coding MLANSLIRRKILSLLQPWLREEPQLDLKLGFFHSLAVITNLRFDVSVLNKLFHSPPLLFIKDLTVERLVVRFSTWSPPAFYIEFHGVQVVLSLENPEDDECMRRLQIPKTDYSDDFRKKLSEFDPEGCSFHQILETILFAVHGKKSFSSSFLNFILKNCHLEAHHIHVDVQFPILNNKYACFGEIKEFSAKSTHLDKKCLLRSFVSSVFVPIKESSFELDGTGFKVGLNGKNHTDGTTISSDMKSFIKFRDLKLVDCTLCFPELTCAFFPDDIPLCLLLDKLLSNKFNQARSAKELWKIASSRIDCVTVTPRFSLQRLVGVIGQWTHYVKAYEKILLLTGYSTGNIWKKSFSKMSRDKLSSAKQHWELISDIEKKLTVEGISLARRIARHRAALKVPFDYHEGSAATHNFFHPLLFIVAFMWDMISKVIHFLGDKFFEKNKVQAPEIDGCCLGSLIKDPCQSSSFVLNFGKIIITVSQTNEIHPSVSETLHSRTGVAYLDFLSLCFCIDSLLLVSVKDILEQRVFLSCGQVKAELTPSTMSAEESTLSMLSYTEGKGKEGSHEMKSLMWIEPAKRFPLSETNTMQAEDSFDSHIVSFMGKLSVSCKEICSNFNESEIQYSQNPCLLCKIETSSSYSDHKNPDYGFCECGLLVGKLNLIFNRSSISSLSLILSQMQHAVYWEEGKEVSTVSNLLDKTESDWVKKYECFSKKMILAMLQKLPERDIHFGVFVDGPSLRFSHRLEANISGQGTNDTSSQDDFDLIFDFHEIEVVAGSPLSLVGMTLLTGQSGLDDTKTECITLEPRVIEIPKPNNDKYASVGKISIGFYLHLNGLNAFLENSTNDHQIQLLILKPITVQMLCIRDCIYSLSTKMSAFSSALDITAGGFTVLSFLDEVYMIYKAIAKFSSVVSYLFKSFEDVDCTHPEIMKWEAFFAVPDSNGAIIPGGLQTNDIFPFFIDGTCKFNSVDVILHNSRTSDNLERNPRKFDILTGNRKAMKSLPDCGIWISIQQALAVISCEEAKMDFLIDISGIMSSVFEYQNPIGNNHENIVLQSLLSRSVNCLHEISLSGGIFTLCLGLVQNTPSSGNGIKTFGSSEGSTSHLVQESNLSVFERSNNQSPLIVKKMAPPTNISMQDSASHWLVMNVAVCNIFIGRCSMKSDLLRAHKVNKLLSLVSIGGDFNMIFWEIQGGVIVLETSSLPKAIDNYSLYCHYIGNLTSVAQQHDKSINLAEDGKESYNIDIDQGTVGTSQQAESGLSDAFDLSLSHFALVLALENESGDIQEIVLEVDILLTFELRTTGMKLTVELSRLLILSRVIHKRVEEEMIIPHFSSVTSKGLSSHLASADPFSEFQNFGELNSDSDASSSKDPNPVQLSHHNQILKNLRASISLEKLDSDSLHGHWFGIGCLSGFDMTLSVYEIQTILSMSSSFSGISSHKTTEASEKNRWSSSQVENSFEAIVPDGAIVAIQDANQHMYVTVDGEENFSIGGAIHYSLAGERALFRVKHCFQKGWTSTVLWFSLISLFAKNDTGVPLRLNYRPGSCFVDISCTNDGGCALWRVYPPEAESYEGVTDLEAFNQSEKRAFYLENKMNNSAIAFVDGALEFVKKPGSPIKFKVFNELSETSSYPRMAMHTYLPTDEESTSSLGGKLPCIDIKIEKISLNIVHELSDTEDLFPLICLSLNDTQLTVQILATKSRVISTSSASINYFDAQRNLWGEVLHPVEISLFYRSNVQAQLSEYASRAVPTNFFCRIKELDISLNENSLDVLLFMIGELKLSGPYSLQSSVILANFCKVENQSGLNLLFHFDQQRVTIPRKQSASVLLRRLCDFKVQDSESAISVSIQLADGGSFATSPIRLLLPQTQTLAWKTQIMSREGSRTFPGPMLVVNISRNSEVGLSFVVSPLIKIHNETGFSMELQFQRPAPMENEFASVLLNPGDCIDDSMAIFDAINFSGGVKRALMSLSVGNFLFAFRPKLTEEFSNSETSLLLEWSDYIKGGKAVRLTGVFEKLNYKVRKALFVESDKCSFSTVHCKLMSEGLCVSDMHFLIQTIAKDIPIAQPEKSATVLKNGNSKVSLLEQKEIYLLPTVRLTNLLHSDIDVLLSETDQLNLVGYEKIGKQARISCGSTADFYANPAVIYFTVTLTSSSSSSKPVNSKDCVKKLLKQNTEVQHLDINLDFDGGKFSATLRLYRGNRGMLEVVVFTSYCMKNETELPIYVLATKRWPLSRVELENLNSNIPSELGLCLLPKSTKSWFLKSERVQLKLQEDHTSEALLDLGSLSGLTEISFKKEEGSGIKSVTKLGVSIGPSLGEIVVPSQTVTLVPRYVICNESEQCITVRQYYFQDEMAGVISIDSKQRMSLPLKEGLSKKREFSVFERLIRKHRIDSDNSLLYIQFQTNEPGLGWSGPVCLASLGHFFLKFRKEDTASSNNRMIQFAAVHVVEEGSTLVLSFYKPPNLSLPYRIQNCLSNVSITYYQKDSLEPEVLGPAGSADYVWDDLTLPRRLVVRINDSLQLREIKLDKVRTWRAFYKFGQQRVLATRLLSDKRSRDTISEPDAIEITKVGYEIYAEGPTRVLRICEISDSFKKDAVLDLYAKFQLRVSRLAVHVLEHVTQLQEDENERKDFTPIVVVKLENLHMVTVSNNHQKYNQLSIQYMNLELKRTGAPFASMLRRHQLDCSEPNDSVLKVVFVILTSSSNVKQFRYSSIFLQPMDLNLDEETLMKLASFWRTSLSDSESQRIYFDHFEIHPIKIIANFIPGESHSTYSSSQEALRSLIHSVVKVPSIKNMVVELNGVLITHALITMRELFIKCAQHYSWYAMRAIYIAKGSPLLPPDFVSIFDDLASSSLDVFFDPSHRLPNLPGLTLGTFKLISKCVKGEGLSGTKRYFGDLGKTLKSAGSNIAFAAVAEISDSILRGAETNGFDGLVSGFHQGILKLAMEPSVLGTALMEGGPDRKILLDQSPGVDELYIEGYIQAMLDTVYRQEYLRVRVIDNQVILKNLPPNLSLINDITDHVKEFLMSKALLKGDPSTISHPLRRLRGETEWRIGPTLLTLCEHLFVSFAIRILRRQANKFVLSIKREKQPEVGDHADEPASSIIQKVQKGNFIQKWGIAKFILSGLLAYIDGRLCRSIPNPIVRRVVSGFMLSYIDHSHEK >CAK8575021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22435912:22439448:-1 gene:gene-LATHSAT_LOCUS27314 transcript:rna-LATHSAT_LOCUS27314 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTHKWLTNFISNSNCCNILERHVSNRQRQHHQPSLYFIHSHRRPMCKGCYLSMNGCVEGENRERMRESVRTIETRRLDSVSSAAMAMYSLKMAISELKEDSPFCTSSGIMRVEVPIEEQVEAIDWLHSQSHLLLPRCYFSGREQKSCRGNLISVAGVGSAVFFSQPHAFFHWDWISIRRFLSERCPLIRAYGAIRFNAKAKVSSEWLDFGSFYFMIPQVEFNELEGGSMLTTTIAWDNALSWSWENAIADLQETLCKISSSIVKFPKQAPPTLILSSHNIPSKVDWDIGVNRALQMIERNDSSLTKVVLARSTRVVPTANIDPLTWLACLKGEGENAYQFFIQPPNAPAFIGNTPEQLFHRKRLDITSEALAGTRARGSSLTLDHQIELDLLTSPKDDIEFTIVRESIRRKLEAVCEKVIIKPKKVIRKLPRIQHLFARLTGRLRSEEDEFEILSSLHPSPAVCGFPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKELGALIYAGTGIVKGSNPYLEWDELELKTSQFTKLLKLDLPLRQKVDCK >CAK8533092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577682713:577683249:-1 gene:gene-LATHSAT_LOCUS2742 transcript:rna-LATHSAT_LOCUS2742 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFAILVVLFLFCFTSSYATKGVDVEAICQTSKNPSFCENLLKSKPGGVGGDLSSLAKYTIDVLRTDVSNTIDVITKLIEKSGSDPMKQHKYKNCLSLFEMEDGALSEVEESLEMLKNSDYNGLNVHMTVVMTNADECLTGDSDDSWAQDTPELLKNVGIVDQVAQIILIISNMLRN >CAK8577136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539275970:539276511:1 gene:gene-LATHSAT_LOCUS29267 transcript:rna-LATHSAT_LOCUS29267 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTKVKKGAGGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDQELGKLLAGVTIAHGGVLPNINPVLLPKRTENASSSAKEPKSPKAKKTPKKA >CAK8560445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19791054:19792353:-1 gene:gene-LATHSAT_LOCUS14114 transcript:rna-LATHSAT_LOCUS14114 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQGMHMKGGDGEESYANNSIIFQGNVISSTKLIREEAITSLYSSTLPGSLAIADLGCSCGPNTLSVVSEVIHVVENLSKKLNHSSPEYKVYLNDLAGNDFNSVFRSLDNFKEKLRDETKTKIDRCYFFGVPGSFYGRLFPDRSLHFVHSSYSVHWLSKVPEGIENSKGAIYINETSPLNVTKAYYKQFERDFSVFIKCRAEEIVEGGRMVLSILGRRGDDPFSKESCDLLNLLATALNHMVLKGLIDEDKVNTFNIPNYYPSRNEVKLSILEEGSFAINHVEFSEVDLNNSGESLHDSGYNVAQAIRAVFEPLLVSHFGEAIIDDVFQRYHEIVVDQMSREKMQTVYFTISLTRKAQ >CAK8569365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696562035:696565117:-1 gene:gene-LATHSAT_LOCUS22224 transcript:rna-LATHSAT_LOCUS22224 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKDGQDTQDELKRRNLRDELDERERRHFSSKNKFYNDDRDHGKVNHLFLEGTKRDFEEHIVPRSVDADDSDVEVNSDDESDDDDEDDTEALLAELEQIKKERAEEKLRKERQQQEEDLKVKEAELMRGNPLINNPTSFNVKRRWDDDVVFKNQARGETKLAKRFINDTIRNDFHRKFLHKYMK >CAK8544099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662771046:662771949:-1 gene:gene-LATHSAT_LOCUS12805 transcript:rna-LATHSAT_LOCUS12805 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >CAK8544084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661869877:661871675:1 gene:gene-LATHSAT_LOCUS12790 transcript:rna-LATHSAT_LOCUS12790-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKIKKKLKSDRVTESRRAAAEVKSENFEQQTWVDLPVELLELIFSHLNVADNIRASVVCKRWHSVASSLRPVNQSPWLMYFPIKDNQYDFYDPVKRKIYSLEFPVLDRCCVVYTKDGWLLVRRNLWADGPPFFFFNPFTRELIKLPRFNGANISAFSCAPTSTECVILTVRNVSSTIVAISTCYPGANKWTTVHLPNHFQFSCCTRTKIVFSNGLFYCLSYEGFLGVFDPVECTWTVLEVPPPRSVKSVIARQGRKGKFMTEHGGNIFVIHISCPESPIIFKLDHTLMEWKEVRTLDGVTVFASSLSSLSMTYIAEIMRNSVYFSKVLIYGKRCISFSLDEHRYYPNKQCHDWIEPEAFENLWIEPPKEFAGWM >CAK8544083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661869874:661871675:1 gene:gene-LATHSAT_LOCUS12790 transcript:rna-LATHSAT_LOCUS12790 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGKIKKKLKSDRVTESRRAAAEVKSENFEQQTWVDLPVELLELIFSHLNVADNIRASVVCKRWHSVASSLRPVNQSPWLMYFPIKDNQYDFYDPVKRKIYSLEFPVLDRCCVVYTKDGWLLVRRNLWADGPPFFFFNPFTRELIKLPRFNGANISAFSCAPTSTECVILTVRNVSSTIVAISTCYPGANKWTTVHLPNHFQFSCCTRTKIVFSNGLFYCLSYEGFLGVFDPVECTWTVLEVPPPRSVKSVIARQGRKGKFMTEHGGNIFVIHISCPESPIIFKLDHTLMEWKEVRTLDGVTVFASSLSSLSMTYIAEIMRNSVYFSKVLIYGKRCISFSLDEHRYYPNKQCHDWIEPEAFENLWIEPPKEFAGWM >CAK8577951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598549843:598559928:-1 gene:gene-LATHSAT_LOCUS30008 transcript:rna-LATHSAT_LOCUS30008 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTISPPNLTFPKQNLSVTFPIKRPSRLHFPSQPLCLCLSSVADDNHSSTNNNDGRWNSILREFVTGAMKQFESYVNALRKGRAAAEDRGDVNYEDWDWNRWRQYFDEVDDQERIVIILKSQLRHAVYVEDYEEASRLQVAIAAASNNDSVGKVISLLKRAIKEERYSDAVFLRDKAGAGLVGWWAGISKDVNDPHGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLTRDKKGDFKSQAVYLKRKGSYHGPPTMSSKTSDASELFVVSTEDSESGDDRSDGSDPSERMPAFQNVLKDMIPGKKLKIFKVITPGKVDMDLSKVIKELIEEEESGNENEDGEDDTEDEDGDEDEEKENDTVTLELEDINLETDQEGDDENEINADLGTFEREEQNEIAVKVVIGGLMQKLSSNLSPRDLLRVPAKLEMKERGSFSFTVENEVNQQDGHDKEKSSSDKSIKFQSRRRVDHVISDIAKFIDKENVPAKMLKEVGELLSLTLSQAQNHQPLSGSTIFNRIEIPSSFDPLNGLYIGTYGLYSSEVIQMRHRYGQWQEDGRAKATSDLEFYEYVEALKLTGDPYVPAGQVAFRAKVGKMHQLPHKGIIPEEFGVIARYKGEGRLAEPGFQNPRWVDGELVILNGKHIKAGPVVGFVYWAPEYHFLVFFNRLRLQQ >CAK8577950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598549843:598559928:-1 gene:gene-LATHSAT_LOCUS30008 transcript:rna-LATHSAT_LOCUS30008-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTISPPNLTFPKQNLSVTFPIKRPSRLHFPSQPLCLCLSSVADDNHSSTNNNDGRWNSILREFVTGAMKQFESYVNALRKGRAAAEDRGDVNYEDWDWNRWRQYFDEVDDQERIVIILKSQLRHAVYVEDYEEASRLQVAIAAASNNDSVGKVISLLKRAIKEERYSDAVFLRDKAGAGLVGWWAGISKDVNDPHGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLTRDKKGDFKSQAVYLKRKGSYHGPPTMSSKTSDASELFVVSTEDSESGDDRSDGSDPSERMPAFQNVLKDMIPGKKLKIFKVITPGKVDMDLSKVIKELIEEEESGNENEDGEDDGNEEDDTEDEDADADEDEDEEVIKELIEEEESGNENEDGEDDTEDEDGDEDEEKENDTVTLELEDINLETDQEGDDENEINADLGTFEREEQNEIAVKVVIGGLMQKLSSNLSPRDLLRVPAKLEMKERGSFSFTVENEVNQQDGHDKEKSSSDKSIKFQSRRRVDHVISDIAKFIDKENVPAKMLKEVGELLSLTLSQAQNHQPLSGSTIFNRIEIPSSFDPLNGLYIGTYGLYSSEVIQMRHRYGQWQEDGRAKATSDLEFYEYVEALKLTGDPYVPAGQVAFRAKVGKMHQLPHKGIIPEEFGVIARYKGEGRLAEPGFQNPRWVDGELVILNGKHIKAGPVVGFVYWAPEYHFLVFFNRLRLQQ >CAK8562883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552899811:552900641:-1 gene:gene-LATHSAT_LOCUS16345 transcript:rna-LATHSAT_LOCUS16345 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTMFSSISHVLLLLLLCFFLQKPTQAIKKSYVVYLGQQSYGSRLSVLDVESVTKSHYTMLGSYIGSTEKAKEAIFYSYSKYFNGFAAMLEEDEVVKISKNPNVLSIFLNKPRELHTTHSWDFLGLERNGVISKHSLWSKSKGEDIIIGNLDTGNKF >CAK8564711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7453935:7455803:-1 gene:gene-LATHSAT_LOCUS17985 transcript:rna-LATHSAT_LOCUS17985-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQNDVESQNDNHAANIENWLPVSASRKAKWWYSTFHNVTAMVGAGVLGLPFALSQLGWGPGITVIIVSWLVTFYSLWQLVQMHELVPGRRFDRYFALGEYVFGSKGRVGYWIIMIQQLIVQVASTIVYSVTGGKSLKKFCEILDPSVFEDIRQTYYILFFVFLQLLLSQIPNFNTLKGISLLAAFMSVCYSMVAFGSSIAKGFEHHPTPIHYGVRSHTTAGITFDIFNALGTIAFAFAGHSVVLEIQATLPSTEEKPSKVPMWRGVVVAYSIVIVCYLTVAVSGFWAFGDIVEDDVLVSLERPAWVIAVANLMVFFHVIGSYQVFAMPVFDTMESCLVQKFKLTPSRNLRIIARSIYVALVGFVAVSFPFFGGLLGFFGGLAFAATSYIIPCVLWIKAKKPKVGSFHWTASVICITLGCMIAIVAPVGGIRTIVVSIKTYKFYS >CAK8564710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7453935:7455812:-1 gene:gene-LATHSAT_LOCUS17985 transcript:rna-LATHSAT_LOCUS17985 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSRVQNDVESQNDNHAANIENWLPVSASRKAKWWYSTFHNVTAMVGAGVLGLPFALSQLGWGPGITVIIVSWLVTFYSLWQLVQMHELVPGRRFDRYFALGEYVFGSKGRVGYWIIMIQQLIVQVASTIVYSVTGGKSLKKFCEILDPSVFEDIRQTYYILFFVFLQLLLSQIPNFNTLKGISLLAAFMSVCYSMVAFGSSIAKGFEHHPTPIHYGVRSHTTAGITFDIFNALGTIAFAFAGHSVVLEIQATLPSTEEKPSKVPMWRGVVVAYSIVIVCYLTVAVSGFWAFGDIVEDDVLVSLERPAWVIAVANLMVFFHVIGSYQVFAMPVFDTMESCLVQKFKLTPSRNLRIIARSIYVALVGFVAVSFPFFGGLLGFFGGLAFAATSYIIPCVLWIKAKKPKVGSFHWTASVICITLGCMIAIVAPVGGIRTIVVSIKTYKFYS >CAK8541785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:394175570:394202571:1 gene:gene-LATHSAT_LOCUS10680 transcript:rna-LATHSAT_LOCUS10680 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLLGVVGSPFVCRVQIALKFKGIEYNFVQENLANKSDQLLKYNPVYKKVPVFVHNEKPISESLVILEYIDEVWKNNPIFPSDPYHRALARFWSKFIDDKIVTPSFKSFFTVDEKEREKSIAESTEALQILENELKDKFFGGKEIDNVDIAAVFVAFWIPLLQDIIELKLFNAEKFPKLYKWSQEFLNHPTVKEIMPPRDPLFSFFKGMHDNLHSSSK >CAK8540648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15209460:15210307:1 gene:gene-LATHSAT_LOCUS9634 transcript:rna-LATHSAT_LOCUS9634 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVLNPFKFLQFKTCFFPIIPKPLISFQPQTTSLTKKPIVIKARANARKESAKIRNRKMQKKFNGTARHPRLSVFCSDKQLYAMLVDDQNKKCLFYGSTLQKSFRENPPCSTAEAAQRVGEALVKACVDLNINEISSYDRNGFGRGERLNAFEIAISSYGFLSR >CAK8536657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:5451902:5455898:-1 gene:gene-LATHSAT_LOCUS5997 transcript:rna-LATHSAT_LOCUS5997 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTQQDSTKKTTFITNNETNSHQHLQHHHHHHHHHHHHHHHFYQQHQHLYHQNQFQHYSTQLGFFNNTALLPLPSLQQLPLIPSFPQNQSIKLRTHLQKLPCKLNTSPSSDYNLSQLSLASATKEHHKQNKSTFKDGGKKLISTRRAQEVIVARRPDSGGQEGPVISLLANHFLVKFDSSQKIYHYNVEITPHPSKDVAREIKHKLVNSNSEILSGALPAYDGRKNLYSPIEFQNDKLEFYISLPIPSSKSSSPYGEMNDINERREQHKLFRINIKLVSKIDGKELTNYLSREGDDGIPLPQHYLHALDVILRESPTEKCIPVGRSFFSSSMGRGKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLDFFKDLSQRKATELTCEERKDVEKALKNIRVFVCHRETVQRYRVYGLTEEATENLWFRDRDGTNLRLMSYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTAKILKMGCQRPGERKAIIEGVMRGNVGPTSGDQEKEFKLQVSREMTRLTGRILYPPKLKLGDGGQVRNLTPSRHDRQWNFLDGHVFEGTTIERWALISFGGTPEQKSYIPRFINQLTQRCEQLGIFLNKNTVISPQFESSHVLKNVALLESKLNRIQRVASNNLQLLICIMEKKHKGYGDLKRIAETSVGVVSQCCLYPNLVKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFSIDEPVMFMGADVTHPHPLDDSSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIADLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFHKVLDEELQSIKQACSSRFHGYKPFITFVVVQKRHHTRLFPDETDQSSTHNNFHFQYENIPPGTVVDSVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENKFSSDELQKLVYNLCFTFVRCTKPISLVPPAYYAHLAAYRGRLYLERSESLGLFRSSSTLSRAAPPKTPPLPKLSENIKKLMFYC >CAK8531910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174844807:174845145:1 gene:gene-LATHSAT_LOCUS1668 transcript:rna-LATHSAT_LOCUS1668 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFKINNNFSAAKSKQRNQATTKSKISQTTTEVKSKNKSRRKKMWFVYVCEEEEKELGRQQASGSCPYCSGKVEAMDVEMQWRFCFLPMCFKSKRKYFCTSCARRLELKY >CAK8531911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174844942:174845145:1 gene:gene-LATHSAT_LOCUS1668 transcript:rna-LATHSAT_LOCUS1668-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVYVCEEEEKELGRQQASGSCPYCSGKVEAMDVEMQWRFCFLPMCFKSKRKYFCTSCARRLELKY >CAK8541077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58879207:58879997:-1 gene:gene-LATHSAT_LOCUS10028 transcript:rna-LATHSAT_LOCUS10028 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLLLILSLFTQFLEVEPAKPKVLGKPRFPISQISVMGFVYCDFCSNNTFSRHSYFLPGAEVTVDCMFKAISAKTSEQITLSVNRTTNKYGMYKLEIPSVDGVKCAEGSEVMSSCEAKLIGSSTSSCNVPGYKSTSNVISVKARKTNLCIYSLNALNFRPSKKDVNLCGGN >CAK8533950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674782697:674789070:1 gene:gene-LATHSAT_LOCUS3534 transcript:rna-LATHSAT_LOCUS3534 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIYSRVCIGDNCKGSSINGDAISISRHDFHEVNRFSPSFSVEGEVRDQLNQLSLTRDSEAGIRRLSRVSSQFLPADGSRTVRIGSHNYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGNDVNDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNSKFSVDAIEACHSAFLATNSQLHSDILDDNMSGTTAITVLVRGKTVYVANCGDSRAVIAEKRGKDGDGDGGVVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGSDEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAESIGVVAVPEIVTFELTHNHPFFVIASDGVFEFLSSQTVVDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITVIIVHINGLNEPAVAHSASSRDVLQTPVPQVVEVTGSESPSTFGWNARNHRVRQELSRARLRAIENSLENGQGWVPPSSAHRKTWEEEAHIEQALHDHFLFRKLTDSQCHVLLDCMQRVEVEPEDIIVKQGGESDCFYVVGSGEFEVLATQEEKDGEVPRVLQSYTAEKLSCFGELALMYNKPLQASVRAVTKGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLSILQLSQISDSLTEVSFSSGQTIIDKNEVLALYIIQKGRVKITFDSTLLTGPNTNSLNNDIQNEDDDDDKQSGTELSIEKAEGSYFGEWSLLDEHIGSLTAVAMDDVVCALLTKEKFESVIGSLQKISQEDHKSRDNSKELTKNFELSSLDKVQLTDLEWRKTLYSTDCSEIGLANLRDSENVLTLKKFSKSKVKRLGKESQVLKERDLIKGMSSSACIPQVLCTFADRTCAGILLNTRLACPLSSILSSPFSESSAQFCAASVVTALEDLHKNGVLYRGVSSDVLMLDQTGQIQLVDFRFGKKLFDERTFTICGMADSLAPEIVLGKGHGFPADWWALGVLVYFMLQGEMPFGSWRENELDTVAKIAKRKLNLPDTFSPEAADLISKLLEVEESTRLGSQGPDSVKSHSWFNSIDWERIRNRTYPVPPEITSRVTQYLEARSEECSTSMVSPSQEVEELNVPEWLEDW >CAK8533951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674782697:674789070:1 gene:gene-LATHSAT_LOCUS3534 transcript:rna-LATHSAT_LOCUS3534-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIYSRVCIVEGEVRDQLNQLSLTRDSEAGIRRLSRVSSQFLPADGSRTVRIGSHNYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGNDVNDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNSKFSVDAIEACHSAFLATNSQLHSDILDDNMSGTTAITVLVRGKTVYVANCGDSRAVIAEKRGKDGDGDGGVVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGSDEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAESIGVVAVPEIVTFELTHNHPFFVIASDGVFEFLSSQTVVDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITVIIVHINGLNEPAVAHSASSRDVLQTPVPQVVEVTGSESPSTFGWNARNHRVRQELSRARLRAIENSLENGQGWVPPSSAHRKTWEEEAHIEQALHDHFLFRKLTDSQCHVLLDCMQRVEVEPEDIIVKQGGESDCFYVVGSGEFEVLATQEEKDGEVPRVLQSYTAEKLSCFGELALMYNKPLQASVRAVTKGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLSILQLSQISDSLTEVSFSSGQTIIDKNEVLALYIIQKGRVKITFDSTLLTGPNTNSLNNDIQNEDDDDDKQSGTELSIEKAEGSYFGEWSLLDEHIGSLTAVAMDDVVCALLTKEKFESVIGSLQKISQEDHKSRDNSKELTKNFELSSLDKVQLTDLEWRKTLYSTDCSEIGLANLRDSENVLTLKKFSKSKVKRLGKESQVLKERDLIKGMSSSACIPQVLCTFADRTCAGILLNTRLACPLSSILSSPFSESSAQFCAASVVTALEDLHKNGVLYRGVSSDVLMLDQTGQIQLVDFRFGKKLFDERTFTICGMADSLAPEIVLGKGHGFPADWWALGVLVYFMLQGEMPFGSWRENELDTVAKIAKRKLNLPDTFSPEAADLISKLLEVEESTRLGSQGPDSVKSHSWFNSIDWERIRNRTYPVPPEITSRVTQYLEARSEECSTSMVSPSQEVEELNVPEWLEDW >CAK8578457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630312531:630312872:1 gene:gene-LATHSAT_LOCUS30468 transcript:rna-LATHSAT_LOCUS30468-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTFFYFMFLIIFMQLSSTVSSLRSTHHSNTCNGSIGECNEEGEELMESEISRRLLEQRRYISEGALKRDRPVCNGGAGGEAYSKSEGCIPPPSNPYNRGCSKYYRCRSDS >CAK8578456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630312510:630312872:1 gene:gene-LATHSAT_LOCUS30468 transcript:rna-LATHSAT_LOCUS30468 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPRPTMKLLTFFYFMFLIIFMQLSSTVSSLRSTHHSNTCNGSIGECNEEGEELMESEISRRLLEQRRYISEGALKRDRPVCNGGAGGEAYSKSEGCIPPPSNPYNRGCSKYYRCRSDS >CAK8565116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:40282562:40282765:-1 gene:gene-LATHSAT_LOCUS18353 transcript:rna-LATHSAT_LOCUS18353 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSATTMKFLSFFFIVVFAAAASAQDLSPSLAPAPGPDAGSAGSITNSVVMIGASIVLSMLATFKH >CAK8562374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479623549:479624679:1 gene:gene-LATHSAT_LOCUS15876 transcript:rna-LATHSAT_LOCUS15876 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSVTDSLSSYSDTDFAEIVVVRHGQTIWNFEGKCQGQVDIELNEVGKQQADAVADRLSREPKISAVYSSDLKRALETAQTIASRCGVLEVVKDLDLRERHMGNMQGLVFSESEKTNPIGYNILKSRNENQEIPGGGESLDQLYERCKSAVLRIGRKHIGERVVVVSHGASIEVLYKWAGADGKYAGNIRNASVTVFQLYGDDKWVVKSWADISHLSPY >CAK8572115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511902206:511903989:-1 gene:gene-LATHSAT_LOCUS24714 transcript:rna-LATHSAT_LOCUS24714 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKRGPWSLEEDQILISYIQKHGHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTNEEEENIIKLHEMLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKKLNKTSSELSNKRVISKQKIKRSDSNSSTVTESESVSACTTSSSDDFSSVGKRKDVIKSEDIESMEEPMPEIDESFWSEAAALDETSTDMPSTISNEIMPLQYPSNCGAEIFPQESNVDYNSNLDDGMDFWYDIFIRTGDQIELPEF >CAK8544080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661629993:661630657:1 gene:gene-LATHSAT_LOCUS12787 transcript:rna-LATHSAT_LOCUS12787 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVELAPLAVLMLATILIFSMKRVEATNCWGRCAPFQYPTCGYPDDCRCIPWLLVGGQCIDRFYSKSIVKMVEEHPYLCQSHGDCVKKGNGNFCGSYPNSEIQYGWCFSSKTKAEHFFTIGSKLAANELFNINSNSKAIGFNLAVNELFNISSNSKAKDFLKKAMEIYT >CAK8562361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478303198:478304181:-1 gene:gene-LATHSAT_LOCUS15863 transcript:rna-LATHSAT_LOCUS15863 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIKHNASFSRYGPRNLWPSVSNYVVQVSVLDDLQSPVAFLYFLDSSGGSYPEVISIGQAEWFLQKSKEINSDSRVPEIIFWHIPSKAYKTVAPKFGIRKPCVGSINKEKLAAQEAETGIMDLLVKRTSVKAIFVGRNHGLDWCCPYKKLWLCYARHTGYGGYGDWPRGARILEITNKPFSIRPWIRMEDGNVHNKVVLS >CAK8561097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93359605:93361179:1 gene:gene-LATHSAT_LOCUS14718 transcript:rna-LATHSAT_LOCUS14718 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVQVNATESHSNADQQNKPMLSWDEIIEKNLSSFGWIDFLQAVVVAISMFFDAQQSFISIYTDNYPKWHCTNTTTNSTCSSSSDVCKLPRSTWSWDTHPSNTIISHWNLQCASTFITGLPQSCFFLGSLVGSSFLAALADSSFGRKNMLIFSCVSMSITSMLIIFSTNVWIYSALKFLIGFWRSSMGTYVLVLLTEKVSTEWRFRVGIVEYFTFTIGYMSLPGFAYLNRNSSWKSLYLWSSIPAIIYAVIAYFFVTESPRWLVMQGNEKEILKMLKRVSSEETANDNSVNLASSLPKPPTKENFSIFQLYSSVGELFHKRWAVIRMIAVMILGIGVGMVYFGMPLAVGNLGFNVYLAVVFSASMEFPSCVAAYFLENCKRKPSILVFSILSGVCCVLCAVLEHRVPVAKVVLAMVAFFGVCTAYSVFQIYIIELFPTCVRNTTTSLVRQAIVFGCIFCPFLISAGKKNNIFSYGVFGVVIMLSNVTLLYLPETKGIVLCDTMEQQEKKEIAISDKAGIFTV >CAK8579282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689611487:689611989:-1 gene:gene-LATHSAT_LOCUS31237 transcript:rna-LATHSAT_LOCUS31237 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIIHPCFHPNDDNINEAEEERLRKLFEMEGKQVVPKQECEVSDSNIITPGTEFMHQLSKILL >CAK8536785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20447442:20447678:-1 gene:gene-LATHSAT_LOCUS6118 transcript:rna-LATHSAT_LOCUS6118 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNIARRPKWKKELIEEKLTFSHLQFNVGKENLSIFDKVFVGEFSKAGNTYNLQDAMHAQGYFNIKVTPMGGKFMLA >CAK8534244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709512242:709512838:-1 gene:gene-LATHSAT_LOCUS3804 transcript:rna-LATHSAT_LOCUS3804 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKYIVNAHYNGSVIVSDEVGLIFENTDISRFSVNRRSSFQHFKDRLEMKVQAGSATQITYRNVVHFGNNQFKFVPLKVCDEEDVETMFSNHECSGFPYIDLYITFEQCQETQISQVINASVEETPTIIPHEDVEEEDVKEENEAQVDDLYTTLFEEGNGVNEVNRDEQHIPIGNVFCPPAHMTNLPLNVEGTSF >CAK8543009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565460870:565469767:-1 gene:gene-LATHSAT_LOCUS11798 transcript:rna-LATHSAT_LOCUS11798-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVISDDEDEVELDMDEREHIDGEELEEGGGDMDEDDEEEEEGQDEYEKDGFIVDEIEEDDEQDEEERADSDEERQKKKKRKKKEEYVLDEDDYELLEDNNINIHRRKESKKFKRLKKGQRDEEGQSGQSDEEELYGSGKVGRTAEEKLKRSLFGDDEGTHLEDIAEEEEQGEEEEDADIGEEDEMADFIVDEEEVDENGAPVRTKKPKGVRRTRPAPGVSSSALQEAQELFGDVDELLLARSRTRGSDDYKETRLEDEFEPIVLSEKYMTENDDRIRNCDIPERMQISEESTGAPSPDGSSINEESQWIVNQIKSGAVPWIPKLDLGPKKDDKEGVPVVKDDLPINEAVPVVKDDLPIDDLPIDEGDIVRFLELHHVQKLDIPFIAMYRKEECLSLLKDLKRPEADNDNYDKNNKTPTLKWHKILWALQDLDRKWLLLQKRKSALQLYYNKRFEEESRRVYDETRLNLNRQLFESVMRSLKEAESEREVDDVDSKFNLHFPPGEAGVDEGKYKRPKRKSMYSTFSKAGLWEVASRFGSSSEQIGLCLSVVQLHEFVDPKETPEEVASNFTCAMYDTPEEVLKCARHMAAVEISCEPSIKKYVRNHFIDHAVVSTSPTADGNVTIDSFHQFSGVKWLREKPLHKFEDAQWLLIQKAEEDKLIQVTIKLPEENLNKLIDQFNEYYISDSVSRSAQLWNEQRKLILHDAIFRFLLPSMEKEARGVLASKAKHWVLTEYGKALWSKVSVGPYQQKESDLSSDDEAAPRVMACSWGPGKPQTTFVMLDSSGEVQDVLYTGSLTLRSQNVNDQQRKKNDQERVLKFMTDHQPHVVVLGAANLSCTRLKEDIYEVIFKMVEENPRDVGHEMDGLSIVYGDESLPRLYENSQISSEQLPSQQLGIVRRAVALGRFLQNPLAMVATLCGPRKEILSWKLTPWESFLNPDDKIGIVEQIMVDVTNQAGLDINLAISHEWLFAPLQFISGLGPRKAASLQRSLVRAGSIYTRKDFLTEHELGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYILAQELAKDVYDEDGTGDANDDDDALEMAIEHVRDRPSYLKNLAVEEYAKDNNRQDKIETLYDIKRELIQGFQDWRKQYEEPSQDEEFYMISGETEETLAEGKMVQVTVRRVQAQRAICGLESGMTGILMKEDYADDLRDIIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKESEMRSDRLHHNHNFDPYYHEDRSSLPNEQDKIRKERERSKKHFKPRMIVHPRFQNITTDEAIEFLSDKDPGESIFRPNSKGPSYLTLTLKIHDGVYAHKEIIEGGKELKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVTHLRTMLNYRKFRTGLKTEVDEVLKTEKEENPMRIVYSFGICHEHPGTFVLTYIRSTNPHHEYIGLYPKGFRFRKKMFEDIDRLVAYFQRHIDDPQNDSAPSIRSVAAMVPMRSPATGGSSGASVGSGWGGSNSEGGWRGPSYDRDRSSTPGSRTGRPDYRNNGNRDEHPSGLPRPYGGGRGRGRGSFNNSSRGHNSNNERRAGATRWGSAVKDGDDSLSNFPGAKVQNSPGREAFPGGWGGSSGWGGGASGGDKSGRGGGASGGDKSGWGSGASGDKSGWGGGASGGDKNGWGGGASGGDKSGWGGGASGGDKSGWGAGTSDAEQGNSGWGTGSKKAGDNGWSGN >CAK8543010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565460870:565469767:-1 gene:gene-LATHSAT_LOCUS11798 transcript:rna-LATHSAT_LOCUS11798-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVISDDEDEVELDMDEREHIDGEELEEGGGDMDEDDEEEEEGQDEYEKDGFIVDEIEEDDEQDEEERADSDEERQKKKKRKKKEEYVLDEDDYELLEDNNINIHRRKESKKFKRLKKGQRDEEGQSGQSDEEELYGSGKVGRTAEEKLKRSLFGDDEGTHLEDIAEEEEQGEEEEDADIGEEDEMADFIVDEEEVDENGAPVRTKKPKGVRRTRPAPGVSSSALQEAQELFGDVDELLLARSRTRGSDDYKETRLEDEFEPIVLSEKYMTENDDRIRNCDIPERMQISEESTGAPSPDGSSINEESQWIVNQIKSGAVPWIPKLDLGPKKDDKEGVPVVKDDLPINEAVPVVKDDLPIDDLPIDEGDIVRFLELHHVQKLDIPFIAMYRKEECLSLLKDLKRPEADNDNYDKNNKTPTLKWHKILWALQDLDRKWLLLQKRKSALQLYYNKRFEEESRRVYDETRLNLNRQLFESVMRSLKEAESEREVDDVDSKFNLHFPPGEAGVDEGKYKRPKRKSMYSTFSKAGLWEVASRFGSSSEQIGLCLSVVQLHEFVDPKETPEEVASNFTCAMYDTPEEVLKCARHMAAVEISCEPSIKKYVRNHFIDHAVVSTSPTADGNVTIDSFHQFSGVKWLREKPLHKFEDAQWLLIQKAEEDKLIQVTIKLPEENLNKLIDQFNEYYISDSVSRSAQLWNEQRKLILHDAIFRFLLPSMEKEARGVLASKAKHWVLTEYGKALWSKVSVGPYQQKESDLSSDDEAAPRVMACSWGPGKPQTTFVMLDSSGEVQDVLYTGSLTLRSQNVNDQQRKKNDQERVLKFMTDHQPHVVVLGAANLSCTRLKEDIYEVIFKMVEENPRDVGHEMDGLSIVYGDESLPRLYENSQISSEQLPSQQLGIVRRAVALGRFLQNPLAMVATLCGPRKEILSWKLTPWESFLNPDDKIGIVEQIMVDVTNQAGLDINLAISHEWLFAPLQFISGLGPRKAASLQRSLVRAGSIYTRKDFLTEHELGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYILAQELAKDVYDEDGTGDANDDDDALEMAIEHVRDRPSYLKNLAVEEYAKDNNRQDKIETLYDIKRELIQGFQDWRKQYEEPSQDEEFYMISGETEETLAEGKMVQVTVRRVQAQRAICGLESGMTGILMKEDYADDLRDIIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKESEMRSDRLHHNHNFDPYYHEDRSSLPNEQDKIRKERERSKKHFKPRMIVHPRFQNITTDEAIEFLSDKDPGESIFRPNSKGPSYLTLTLKIHDGVYAHKEIIEGGKELKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVTHLRTMLNYRKFRTGLKTEVDEVLKTEKEENPMRIVYSFGICHEHPGTFVLTYIRSTNPHHEYIGLYPKGFRFRKKMFEDIDRLVAYFQRHIDDPQNDSAPSIRSVAAMVPMRSPATGGSSGASVGSGWGGSNSEGGWRGPSYDRDRSSTPGSRTGRPDYRNNGNRDEHPSGLPRPYGGGRGRGRGSFNNSSRGHNSNNERRAGATRWGSAVKDGDDSLSNFPGAKVQNSPGREAFPGGWGGSSGWGGGASGGDKSGRGGGASGGDKSGWGSGASGDKSGWGGGASGGDKNGWGGGASGGDKSGWGAGTSDAEQGNSGWGTGSKKAGDNGWSGN >CAK8543008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565460870:565469767:-1 gene:gene-LATHSAT_LOCUS11798 transcript:rna-LATHSAT_LOCUS11798 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVISDDEDEVELDMDEREHIDGEELEEGGGDMDEDDEEEEEGQDEYEKDGFIVDEIEEDDEQDEEERADSDEERQKKKKRKKKEEYVLDEDDYELLEDNNINIHRRKESKKFKRLKKGQRDEEGQSGQSDEEELYGSGKVGRTAEEKLKRSLFGDDEGTHLEDIAEEEEQGEEEEDADIGEEDEMADFIVDEEEVDENGAPVRTKKPKGVRRTRPAPGVSSSALQEAQELFGDVDELLLARSRTRGSDDYKETRLEDEFEPIVLSEKYMTENDDRIRNCDIPERMQISEESTGAPSPDGSSINEESQWIVNQIKSGAVPWIPKLDLGPKKDDKEGVPVVKDDLPINEAVPVVKDDLPIDDLPIDEGDIVRFLELHHVQKLDIPFIAMYRKEECLSLLKDLKRPEADNDNYDKNNKTPTLKWHKILWALQDLDRKWLLLQKRKSALQLYYNKRFEEESRRVYDETRLNLNRQLFESVMRSLKEAESEREVDDVDSKFNLHFPPGEAGVDEGKYKRPKRKSMYSTFSKAGLWEVASRFGSSSEQIGLCLSVVQLHEFVDPKETPEEVASNFTCAMYDTPEEVLKCARHMAAVEISCEPSIKKYVRNHFIDHAVVSTSPTADGNVTIDSFHQFSGVKWLREKPLHKFEDAQWLLIQKAEEDKLIQVTIKLPEENLNKLIDQFNEYYISDSVSRSAQLWNEQRKLILHDAIFRFLLPSMEKEARGVLASKAKHWVLTEYGKALWSKVSVGPYQQKESDLSSDDEAAPRVMACSWGPGKPQTTFVMLDSSGEVQDVLYTGSLTLRSQNVNDQQRKKNDQERVLKFMTDHQPHVVVLGAANLSCTRLKEDIYEVIFKMVEENPRDVGHEMDGLSIVYGDESLPRLYENSQISSEQLPSQQLGIVRRAVALGRFLQNPLAMVATLCGPRKEILSWKLTPWESFLNPDDKIGIVEQIMVDVTNQAGLDINLAISHEWLFAPLQFISGLGPRKAASLQRSLVRAGSIYTRKDFLTEHELGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYILAQELAKDVYDEDGTGDANDDDDALEMAIEHVRDRPSYLKNLAVEEYAKDNNRQDKIETLYDIKRELIQGFQDWRKQYEEPSQDEEFYMISGETEETLAEGKMVQVTVRRVQAQRAICGLESGMTGILMKEDYADDLRDIIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKESEMRSDRLHHNHNFDPYYHEDRSSLPNEQDKIRKERERSKKHFKPRMIVHPRFQNITTDEAIEFLSDKDPGESIFRPNSKGPSYLTLTLKIHDGVYAHKEIIEGGKELKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVTHLRTMLNYRKFRTGLKTEVDEVLKTEKEENPMRIVYSFGICHEHPGTFVLTYIRSTNPHHEYIGLYPKGFRFRKKMFEDIDRLVAYFQRHIDDPQNDSAPSIRSVAAMVPMRSPATGGSSGASVGSGWGGSNSEGGWRGPSYDRDRSSTPGSRTGRPDYRNNGNRDEHPSGLPRPYGGGRGRGRGSFNNSSRGHNSNNERRAGATRWGSAVKDGDDSLSNFPGAKVQNSPGREAFPGGWGGASGGDKSGWGGGASGGDKSGWGAGTSDAEQGNSGWGTGSKKAGDNGWSGN >CAK8561079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86589368:86602971:1 gene:gene-LATHSAT_LOCUS14701 transcript:rna-LATHSAT_LOCUS14701 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNDPHNPQHQHPYQQQQQQQHFHHPQPGMEFHRGPPPPMPQQPPPMMRQPSASSTNIAPEFHHPGPGGPPGPPPHYDVHNDIHGAKRMRKLTQRKAVDYTSTVVRYMQIRMSQRDSRDRTVLQSTPAAAIDMLPAAGYSDNPSTSFAAKFVHTSLNKNRCPINRVLWTPTGRRLITGSQTGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEECSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTTLAWHPFHEEYFVSGSYDGSIFHWLVGHDTPQIEICNAHDNNVWDLAWHPIGYLLCSGSSDHTTKFWCRNRPGDSARDRYNNGMSGYPEQNPAAGRVGGSFAIPEGPTTPGPFAPGLTRNEGTIPGVGVAMPLSIPSVDGPQGEQKQPHPGSMGAPPLPPGPHPSLLNPNQQQPFQQNPQQIPQHQHQALSQQMGPLPMPPNMPQLQHPSHSPMVPHQHLPRPPPQMPHGMPGSLQVPASHPMQIPGPMGMQGGMNQMGPPMPQGHYVGMNQMHSGPLPASGGPPLGGFPNNMQGPSNTSYPQGAPFNRPQGGQMPMMQGYNPYQSGNQSGMPPNAQPGGPHSQMPQ >CAK8530216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7863691:7866208:-1 gene:gene-LATHSAT_LOCUS101 transcript:rna-LATHSAT_LOCUS101 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESLPDVILQYILSHISNGRDVAYCNCVSKRWKNSLAYIRSLCFTRNAFDNPPHDEDSDIIVRRMVSAVERLEELIVFCPFTPSGLASWLALAGQSLSYLELRMDNLGDNAIVHESPSKLDCIGAAMNLEILKLWGVLIERIPKWDEFHNLKILEVVGARLEDAAVNAVIRSCPNLTKLLLLGCEGVQSISIDLPFLEDCRLDFYGLGNCSLSLTAPKIESLEVQGCSWIRVPETKHLKKLSISNSAGRVYMIDFGKLTALETLSMRGIQWCWDAICKMLRLASDVKHLFMKVEFTGDYDALQPFPEIDFVDFFNSHPKLLRFDIHGAMFAALCQKNSLKHVDSDFVIPCLEEVLITVRSPLNAEQKMSTLESLLRYGKNLRTMVIKILQMKGSHNSADDFFDEICRFRHMHREIIRIE >CAK8578764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:652046289:652050618:1 gene:gene-LATHSAT_LOCUS30750 transcript:rna-LATHSAT_LOCUS30750 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKVSTLFSSNNNHHYTLPLSNTINQTLVNKPYSHKDSFPFSLFKFFQRFIYTRKVDGGSRTEEEEKVYSWLYTLAQSEKNMVFEYVRSTERGLSFTEAERRLRENGPNVPLEYSFPNSWHLLWNALFHPFNMILIVLSSMSFIARDYPNGFIMFILLFISVIIRFCLEHKSSRAAMKLSEFLSCPIRVQRCAGRIEQKELTVQVDHKDVVPGDIVIFEPGDLFPGDVRLLSSKHLVVSQASLTGESMTTDKTADVREDHITPLLDLKNICFMGTNVVSGTGTGLVISTGSNTYMSTMFSKIGKKKPPDDFEKGLRWIFYMLISVMVVVVTIMFVTDYTASNDLSKSILFAISVASALNPQMLPLIINTCLAKGALAMAKDRCIVKSLTTIREMGSMDILCIDKTGSLTLNHAILVNHLDCRGLPREKVLRYAFLNAYFKTEQKYPLDDAILAHVYSNGFKFQPSKWKKINEIPFDFIRRRVSVMLETDDRHSQFFGRFMVTKGALLEVIKVCSFIENFDKDEISTFSSDDYQRILNLSEELSNEGLRVIAVAIKKLEMQQTCETSNGSKRGNSDFERDMILLGIITFFDPPKDSAKQALWCLAEKGVKAKVLTGDSLSLTTRVCKEVGISTAHVITGPELEELDQDTFHETVKRATVLARLTPTQKLRVVQSLQTIGNHVVGFLGDGVNDSLALDAAHVSISVDSGVPIAKDMADIILLEKDLNVLVAGVEHGRLTFGNTMKYIKMSVIANLGSIISLLIATLFLKREPLTSRQLLTQNFIYSLGQIVIPWDKMDEEYLKTPHKWSERGLPVFILWNGPVFTFCDVATLLFLLFYYKAYGDEKFFHTAWFTEGLLMHTLIVHLIRTEKIPFIQDIASWPVIFSTLVISAIGIAIPFTPIGKVMEFTVLPLSYFGFLFVIFLGYFTLGQVVKRLYILIYKRWL >CAK8532524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:279150868:279151341:-1 gene:gene-LATHSAT_LOCUS2222 transcript:rna-LATHSAT_LOCUS2222 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIPSVADYCCLAKGLCEIGEIDEAMMLVRDCLGNVASGPMEFKYCLTILHICKSNDAEKVINVLNEMMQQGCSLGNVVCSAIISGMCKYGTIEEARKVFSNLRERKLLTEFDIIVYDELLIDHMKKKTADLVISGLKFFGLESKLKSKGCRLLPN >CAK8575423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:116362341:116362694:1 gene:gene-LATHSAT_LOCUS27692 transcript:rna-LATHSAT_LOCUS27692 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRVKQHLAGVVGNVEICKSVPTEIRFRMNQYLNERSKKRKTPDVAESESFSAEGVN >CAK8542471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518430506:518431258:1 gene:gene-LATHSAT_LOCUS11310 transcript:rna-LATHSAT_LOCUS11310 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPYIYILSTTILLLFLFPYSVICQQLYINNTVTECEDPLAPNGYLCNTPQRSSCNSFLVFRSKPPYDNPISIAYLLGSEASTIASINNISKDTKLPSNKTIIVPILCSCSGNIYQHNTPYTVKENDTYFQLVYATYQSLTTCQALKGQNYYTSLSIKIGDELTIPILCACPTTKQMAKGITSLLVYTVNYGETVESIAEAYGVDEQSILEANELQVAPNENRRVNLFALTPTLVPLRGKSCKEDPDSC >CAK8578366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624077061:624078474:-1 gene:gene-LATHSAT_LOCUS30383 transcript:rna-LATHSAT_LOCUS30383 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLSRLPYHDSLKLLEADIHHANALAAAIPRAKGGSVFQMKLVYSHLAPLFLLLLQWMDCSCSCFLHRYLNLFHIIIYKVHNDGRPSITSRGRKATIQEFYAVILPSLQRLHGSLEKLEICMKGHSTLESSSYGKKMIEANAKLNNVDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRKWNTKSESCPFCRGSIRRVNSEDLWVLTCNDDVVDAETVSKEDLLRFYLYINSLPKDYPDALFLMYYEYLI >CAK8566446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424020956:424024692:1 gene:gene-LATHSAT_LOCUS19577 transcript:rna-LATHSAT_LOCUS19577 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRHLEPYINRQFLIKLRNILLLITKTTQNLIMSQTQPSSSDPPPFDPKQPSLPISYPIKTLQHLQSRSYFDSFHYPFNIASLPISSSRLPDRRRLLVCHDMAGGYSDDKWIQGGNNPDAYAIWHWHLIDVFVYFSHSLVTLPPPCWINTAHRHGVKVLGTFITEWDEGKATCDVLLSTKESAQMYAERLVELAVRLGFDGWLINMEVKLDPKQIPNLKEFVDHLSLTMHSSLPGSLVLWYDSVTIDGELNWQDQLNEYNKPFFDICDGIFVNYTWKESYPKLSAAVAGDRNFDVYMGIDVFGRNTYGGGQWNANVALDVIRKDEVSAAIFAPGWVYETKQPPDFETAQNSWWGLVEKSWGVLQNYTRPLPFHTNFDQGRGYHISVDGNNVSDASWCNISCQGFQPLLPIADPRNPIQVTVDLKEASYSGGGNITFKGSLEKQTYFETKILQGEFLLSELPIHFTYSVKSNGNSSLGLKLVFTSNKDETVSALLTSQEVNHFSSKFDKVITTHEQKEVSSGWVINESEIEMNGYTLTEIHAVCYRSDSSLSDFKDFTLASPSDYYALLGHITVKSFDYKPDFPVSSSWLVDGKLIKWTTDSDGSKTLSIKISWTLKDGKNYPSLKYNIYLVKSSKQTGDTPPSKTSEFVKEYLGVAQVNCFYVSDLEVPSESCSLKFIIQVCSVDGTVQALDESPYYELEVESP >CAK8579589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:710462133:710463539:-1 gene:gene-LATHSAT_LOCUS31523 transcript:rna-LATHSAT_LOCUS31523 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLDIHQREYLIRLISENDITKALKGIGDLKAPGLDGFGAKFFKSSWTTIREDVVAAIKEYFETGKIYKAFNNVVVSLTPKGQSASEIQDYRPITICTTFYKIISKILTNRLGAVIPSVVNHNQAAFVPGQNIHQHIMLATELLKGYNRKGGTPRIMIQLDLQKAYDMVNWKALECIMKEMGFPNKFIHWIMLGITTVSYRFNIMGEYTDILQAKRGIRQGDPLSPMLFVLIMEYMNRLLMKMQRDPNFNYHAKCEKLKITNLTFADDVLLFCRGDEISLHMILQTFRAFSISTGLIMNPNKCRIYFRGLDKEKRKVLKEMSDFQEGTLPFRYLGIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSYASRIQLVKSITTAMVQYWLHCLPMPKTVIKKIDSICRSFIWTGKDTVSRKCPVAWKHMSCPTAQGGLNLINLQIWNNVLLLKCLWNLCKKYDTLWVK >CAK8563874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636468827:636470289:1 gene:gene-LATHSAT_LOCUS17237 transcript:rna-LATHSAT_LOCUS17237-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAAATTKLVAPFPSPTSLLSLNSSDAVEVKPNQNGYKWRLVLAYDGTRYAGWQYQESPPTVQCAVEKALIQTTNLQRKELQLVGASRTDAGVHAWGQVAHFYTPFNYENLDRIHAALNGLLPSDIRLREISPASAEFHARFSVKSKIYHYKIYSDTVMDPFQRHFAYHSMYKLNSAAMREAAKYFIGKHNFSAFGNASHNDRVPDPVKHIFRFDVKEMGALLQLEVEGSGFLYRQVRNMVALLLQVGKEAIPPDIVPDLLASRDRRELAKYSFYLPPQGLCLVSINYNESHLLPPPDCPAKSFGMHYTIRKCKALFY >CAK8563873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636468812:636470289:1 gene:gene-LATHSAT_LOCUS17237 transcript:rna-LATHSAT_LOCUS17237 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCEMSCAAAAATTKLVAPFPSPTSLLSLNSSDAVEVKPNQNGYKWRLVLAYDGTRYAGWQYQESPPTVQCAVEKALIQTTNLQRKELQLVGASRTDAGVHAWGQVAHFYTPFNYENLDRIHAALNGLLPSDIRLREISPASAEFHARFSVKSKIYHYKIYSDTVMDPFQRHFAYHSMYKLNSAAMREAAKYFIGKHNFSAFGNASHNDRVPDPVKHIFRFDVKEMGALLQLEVEGSGFLYRQVRNMVALLLQVGKEAIPPDIVPDLLASRDRRELAKYSFYLPPQGLCLVSINYNESHLLPPPDCPAKSFGMHYTIRKCKALFY >CAK8536342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932399775:932402062:1 gene:gene-LATHSAT_LOCUS5719 transcript:rna-LATHSAT_LOCUS5719 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDEIKPDIEKIGKESEDIEPLEIVLFQVPECYVYIIPPRMSAASYRADEWDVNKWTWEGILKVVSKGEECIIKLEDKNTGELYARAFLRNGEPHPVEAVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQHYQHSSSVDYSLKEGETLVLQIKNNRSGGNVKSKFFELSQNNSSEEKNERKESVPCIKLPPPPPSPGSPAVTPEKCPTDSPTKLRLEKPAEIENSKTVKEETEHEKPPENQSTQEVVDDDFGDFQAAG >CAK8571062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275025792:275032007:-1 gene:gene-LATHSAT_LOCUS23757 transcript:rna-LATHSAT_LOCUS23757 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTPDQSKKVGLGMMAASPTPFLTPRPERRRPDSKGSDRNSHRQDKDRETNVQVLLRCRPLSDEEQKSNVPKVVSCNENKKEVIVMQNIANKQVDRVFNFDKVFGPKAQQRSIYDQAISPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLTAEAGVIPRAVRQIFDILEAQKADYSMKVTFLELYNEEITDLLSPEDNSSRPIEERIKKPVALMEDGKGCVMLRGLEEESVYSVNEIYTLLERGASKRRTAETLLNKRSSRSHSVFTITVYVKETVIGDEELIKCGKLNLVDLAGSENILRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHVPYRDSKLTRILRDSLGGKTKTCIIATISPSAYCLEETLSTLDYASRAKSIKNKPEANQKVSKAVLLKDLYIEIERMKEDVRAAREKNGVYISHERFAMEEAEKKERNEKIEQLENDLNISEKQVDKFRELYLTEQEQKLDLENELMDCKVNLEKTSNNLHDLQENYKLVVSKLKEKECIISKLLKSENSLIERAKEMSTDLQNALDDINLLSSKLDHKEKMEAENQTIILNFASLLNGSLKDLHATIIGSISQQQKQLKCMEDHACSHLSRKSDAAQALESRIKKMTEIYTSGVGTLKELANTLHTKASSDMEQIQDKVSSQTLAVENFLATAVLEANDVIDNIHDSLDEQKQLVAFSVKQQEEGLQQSTILAHAVSEATVKFFDDINMHSSRVMKIVEETQNERSYQMTNFEKKFKEEAARDEGEALEKISAILANLTSKRTAMVSEVSRNMQDANVQQSKRLQVEMLNMQQVSKDATKEVNEYVENANSQFVKQIFSVNNFKATMEDCLLDCSNTVDCSRKQWESAHVSFNNFHKNNLAEIKSTVKKNISTNHALDQKFVAASLSMDSDYDAGMRNLLEDLNGTLMLDHENKKEIDSMTTQWLKQLHSLQDKHGGDISDIHGQAEKSLVKDYLVDKHTSSASHKKTVSVPSLASIEQMRTQISEDNSTENLLKWVHSESKIPRPAENRAPFAEVN >CAK8571325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:378281577:378282326:1 gene:gene-LATHSAT_LOCUS23997 transcript:rna-LATHSAT_LOCUS23997 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEILSAIQSWEVLILVAHHLDPKTLAIASCVSKSWLHSMSSDELWKPIVTTHFPSLSTLPSAVSYCRLFALGYSAALRRRQTPSKPTLSLGDLVFVFSITSKCDSCVVAAASIPVGALVVDSPGVFSFGVGLEDCVLRKNEGLEEVVKVTWNVVVKGWRGIFTLMDCERKVKFVIGGQEWFSQELPAPSCCSKVVASSLVADMKVGMCDSGGKVRVEKVSMGILSVVDWRYVGVEDGLRYLQHFLLT >CAK8564226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659741139:659748308:-1 gene:gene-LATHSAT_LOCUS17549 transcript:rna-LATHSAT_LOCUS17549 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSATKDTKKVAVRSSFVESIRGCGLSGIRIDKEDLKKQLTLPQYLRFAMRDSIRLQDPAAGEPLYRNRAQGEDTTFPSSPMVVFINSRSGGRHGPALKARLQQLMSEEQVFDLSDVKPHEFVKYGLACLEMLAALGDSCAKETRQRLRVMVAGGDGTVGWVLGCLTELRKLGREPVPPIGIVPLGTGNDLSRSFNWGGSFPFAWRSAIKRILQKASAGPIHSLDSWRLSVTMPEGTPVKPPHCLKHAEEFTLDQGIEIEGELPEKVTSYEGVYYNYFSIGMDAQVAYGFHHLRDEKPYLASGPIANKIIYSGYSCTQGWFFTPCTSDPGLRGLRNILRMHIKRVNSSEWEQVTIPKSVRAIVALNLHSYGSGRNPWGKPKPEYLEKKGFVEADVADGLLEIFGLKQGWHASFVMVDLISAKHIAQAAAIRLELRGGGWKNAYLQMDGEPWKQPISKDFSTFVEIKREPFQSLVVGGK >CAK8539198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506527678:506530773:1 gene:gene-LATHSAT_LOCUS8318 transcript:rna-LATHSAT_LOCUS8318 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLFDVMEFMKKPSITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLLFIGLRSKFRFLWTVPPGFGARRLWLAFTALSAFSICRSYWSNFKNKAKAQDPSSHSDNATSNSSDGINHATRLGDKADEREQNTVTQVDLEHLLHLLEGKDGVMDWQNFMERSTPNMQYKAWRYDSETGSTVYRSRTVFEDATPELVRDFFWDDDFRPKWDPMLAHCKVLEECPNDGTSIVHWIKKFPFFCSDREYIIARRIWQAGNAYYCVTKGVPYPSLPKRDKPRRVDQYFSSWVIKPVESRKGDGQLSACEVTLLHHEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQNARKTDASLSRCALMASKTTKISFDRNLHLSNAVSLVEERGQGINNTPQNGPGLDWKWVALGGAIAVVLGIQSGAVGRALLLGAGHRFARR >CAK8562737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532435935:532436612:1 gene:gene-LATHSAT_LOCUS16208 transcript:rna-LATHSAT_LOCUS16208 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTYGKFLKATGLVVNPQKCRIYCAGMEEMTKQNITEASGFQVVRLPFKYLGVSVTGKKLSVRHYALLIDKIVGKIKHWTVWLLTYAGRLQLINYIMFAMTNYWLTCFPFPKTVLQKIESICRIFLWTGGFKGNRKALVAWKQIYNPRSHGGLNIVDIEVWNKSTIMKLLWNLSGNEDSLWVKCVQTYYLKNKDLMEIQCKKNDSWIMKGILNLREEFINMSN >CAK8567438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514006477:514008226:-1 gene:gene-LATHSAT_LOCUS20492 transcript:rna-LATHSAT_LOCUS20492 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVNGSAVVGCTNVNYELVEQLLGVPLERGDRKGQSLKITWLKRIYGDLTITNESPEEQKIYKTRIYLLLLFGCFLFPDTNGNTIHLQYLPLLEDFRELSNYSWGAATLVHLYRNLCRCAMKNVHNFAGCGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYEMNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSAASDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQPQFPFYPSQNFTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAAIPADDDFNDDLVAQFMNPNNDAGPSTPTQNAEVDRRRSTRNVQAPACGTHQRLRRPGRN >CAK8533864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666227161:666228537:1 gene:gene-LATHSAT_LOCUS3454 transcript:rna-LATHSAT_LOCUS3454 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKQLEDGEEPLLNNTTRSTSSHKPTLVLSFISAMSLITAITFAALFFSLPSPSKSTTRPLRKLPHPVVILISSDGFRFGYQFKTLTPNIHRLINNGTEAQTGLIPVFPTLTFPNHYSIVTGLYPPHHGIINNFFTDPITGDKFTMASHESKWWLGQPLWETLANNGLTAATYFWPGSEVIKGSWTCPDRFCKHYNGSVNFEDRVDSVLEYFDLPLDEIPVFMTLYFEDPDHQGHQVGPDDDEVTDAVSRIDSIIGRLINGLEQKGFFEDVTIIMVGDHGMVGTCDQKLVFLDDLAPWVKIQQNWVHSYSPLLAIWPTGNYSSEDVVVKINEGLSSGKVENGNKLKVFLKEDLPQRLHYAESDRIPPIIGLVHEGYKVEHSRTGKKECGGAHGYDNAYFSMRTIFIGHGPQFERGKKIPSFENVEIYNLITSILNIKGAPNNGSDAFPQSVLLPNA >CAK8564378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671096292:671101069:1 gene:gene-LATHSAT_LOCUS17690 transcript:rna-LATHSAT_LOCUS17690 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGSKEKNPNEDFSARRGPSATVGGGGGGGSSNTHYHSVQSSSSHVQTSVPPKPNSHSQASSTVITTTTSSNPKPSVTPQNVKTVQKTDTAILGKPYEDIKKFYSLGKELGRGQFGITYFCTENSTGLNYACKSILKRKLVSKADREDIKREIQILQHLSGQPNIVEFKGAYEDRFSVHLVMELCAGGELFDRIIAQGHYSERAAASLCRSIVNVVHICHFMGVLHRDLKPENFLLSSKDEGSALKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRNYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFNAILEGELDFVSEPWPSISDSAKDLVRKMLNPDPKKRITSTQVLEHPWMREGGEASDKPIDSAVLSRMKQFRAMNKFKKLALKVMAENLSEEEIKGLKAMFANMDTDGSGTITYEELKTGLARIGSRLSETEVKQLMEAADVDGNGSIDYLEFISATMHRHRLERDEHLYKAFQYFDKDNSGHITREELETAMTKHGIADEATIKDIISEVDTDNDGRINYEEFCAMMRSGMPQPNHGPLF >CAK8540680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15858628:15860159:1 gene:gene-LATHSAT_LOCUS9662 transcript:rna-LATHSAT_LOCUS9662 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSNEKENNIVETLTPQTDDSDALLAMVLGANMVFPAVLNAAIELKLFEIIGNEFKSAIEIASKLPAQHSDLPNRLERMLRMLASYSLLSVSTRTNDDGSKVRVYGVISSGKYFVNDENDGGYVGSFTSFMCHRALLGVWLNFKEAIIDPEIDLFKKVNGISIYEYFGTDPQINQLLNRSMTDLCNVHIKRILDVYKGFEGVSTLVDVGGGNGQSLKLIIAKYSSIKAINFDLPQVIDNAPPITGIEHVGGSMFESIPQGDAIILKGVCHNWSDEQCIEILSNCHKALPPNGKVIIIELTQPEDPEPTDASRMIATIDNIMFITAGGRERTLKEYESLGKQSGFSKLQVVCHIFSILAVMELYK >CAK8573222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594229153:594229593:-1 gene:gene-LATHSAT_LOCUS25694 transcript:rna-LATHSAT_LOCUS25694 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYAGRLQLVRSVIFFISNYWMQIFPLLKKVVARVEGLCRRFLWTGADERSRKALISWDHVCDPVSASERNMVSLVDWNKATIGKMLWNIWSKKAILWSRWLHTYYMKQVDVKDFNPGQNFSWIIKAIFKSMNMMTNSEVWQKFR >CAK8533013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566044063:566047166:-1 gene:gene-LATHSAT_LOCUS2665 transcript:rna-LATHSAT_LOCUS2665 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLEKVAADLRASIWKQMASAGIKYIPSNTFAYYDQVLNTTAMLGAVPPRYGWTGGEIGFDTYFSMGRGNASVPAMEMTKWFDTNYHFIVPELGPDMKFTYASHKAVNEYKEAKALGVDTVPVLVGPVTYLLLSKAANGVDPSFDLLTLLPKVIAVYKEVVEDLKAAGASWIQFDEPTLVLDLEPHQLHAFTAAYSDLASTLSGLNVLVETYFADIPADAYKTLTSLPGVTAFGVDLVRGSKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLTASLITLNGLEDVVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALANALAGQKDEAFFSSNSAALASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSTRLDAQQKKLNLPVLPTTTIGSFPQTPELRRVRREYKANKISEEEYVTAMKEEIRKVVELQEQLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSAQAQYLDWAVHSFRITNVGVQDTTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLRVFRDGVKYGAGIGPGVYDIHSPRIPPTEEIADRMNKMLAVLETNILWVNPDCGLKTRKYTEVNPALTNMVAATKLIRNQLASSK >CAK8573209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593719873:593721303:1 gene:gene-LATHSAT_LOCUS25682 transcript:rna-LATHSAT_LOCUS25682-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLQTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGGPKITKSALKKK >CAK8573208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593701729:593721303:1 gene:gene-LATHSAT_LOCUS25682 transcript:rna-LATHSAT_LOCUS25682 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLQTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGGPKITKSALKKK >CAK8538079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461685826:461689379:-1 gene:gene-LATHSAT_LOCUS7317 transcript:rna-LATHSAT_LOCUS7317 gene_biotype:protein_coding transcript_biotype:protein_coding MVISETATHMDASVHSTFASRYVRTSLPRFKMGDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLQESEPAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKSCDNPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPAKAVEMVDENTICVAAILGSTLNGEFEDVKCLNDLLLEKNSETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKDDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCKDNMMVLKDALEKTGRFDIVSKDEGVPLVAFTLKDHSQFNEFQISDFLRRFGWIVPAYTMPPDAEHVTVLRVVIREDFSRTFAERLVIDITKVIHELDLIPSKVVSTSTITVTGEEDANDAGHVTLAKKSVLETQREVSTAWKKFVMNKKKTNGVC >CAK8536096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906522813:906524402:1 gene:gene-LATHSAT_LOCUS5496 transcript:rna-LATHSAT_LOCUS5496 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSFSGSLSSPKLDVVIDMGNPFLNLTVDGFLKIGAVAATRSVAEDTFHIIRKGSISSNDFEKSLKKMCKEGAYWGTIAGVYVGMEYGVERIRGTRDWKNAMFGGAVTGALVSAASNNKKDKIAVDAITGAAIATAAEFINYLT >CAK8575387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:105807450:105809626:1 gene:gene-LATHSAT_LOCUS27656 transcript:rna-LATHSAT_LOCUS27656 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNDCDLFSIVHSCKANAYTSSTTILETLPPPQTLTTASTTTSSIISPQNTTPFYLDDFSLTQENRSVGFSPLKPTDFIELDKLKINFNPTTVVPAHTTTIPITVTPTTTALYTPTTTITTRIPVPTHISTTTNTNTSTINHDSNQNSNFFDFPTFNRNIQMQPTDIRGPEKIIPSFLPTTIIPTPTMNNLTTNIPISISTNFPNLSLPTNIFISSAKTTAPSITTMINTNTGSHGTNNYPKMHNFPKLFEQQQTEQNQNNQLSALKPAACVEITTAHFDLAYNHPSISKQNAREINQPPTIQPHTSSMILPNTNPQGELLKNKKKKFDNKKIVEWHISEEKLAEDPWQWRKYGQKLIKGSQHPRDYYRCSTFKDCVAKKLVEKKQHKENIYVVTYIGEHNHPKPFVDWSLQNKSSKKRVSSVKKYESLQECSHAQVHQGQSKKL >CAK8533718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653505979:653507793:1 gene:gene-LATHSAT_LOCUS3320 transcript:rna-LATHSAT_LOCUS3320 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSLARTTVGIIGNVISFGLFLSPAPTFYGIIKKKAVEEFKPDPYLATLLNCAFWVFYGMPFVHPNSTLVYTINGIGIVFEVVYLTIFYIYSANKGRKKLVLYLFIEAIFFAAIVLITMLALHGTVKRSLIVGVICDIFNIMMYVSPLTIMAKVIKTKSVKYMPFWLSVANFLNGLCWTTYALLHPFDIYVLISNSIGAVSGLVQLILYACYCSCNGENNEEDDREMKPTSIHEISIGERVRA >CAK8544851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709092187:709092534:-1 gene:gene-LATHSAT_LOCUS13498 transcript:rna-LATHSAT_LOCUS13498 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGRNDESSFFSCQVTSHIWKEVLDWFNISHDPRPWDAELIWLTNLTKGKGWKAEILRMLVAETIYNIWGYRNGKTFENIVDNTTTISNIIDYVIYRGWNNMSIRKYLVNFML >CAK8562813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:540060936:540061217:1 gene:gene-LATHSAT_LOCUS16278 transcript:rna-LATHSAT_LOCUS16278 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILLHGHQENNCKPLHPPAATQPIPCFMYSTTNSDYGRQFPDLERKMDPVTGRTSKPFIHPSEVQTDGKLKPLTQAEEFLNWQSENMVSQN >CAK8569740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9523749:9524504:1 gene:gene-LATHSAT_LOCUS22556 transcript:rna-LATHSAT_LOCUS22556 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKQSKLKNKFLKAITVTFQNAPFSPGREHKFRSDNNTNRWGAKTNGGKGFSGPMVTMIPYEARRKPKDGGVNVTQEPTSPKISCMGQIKHHKKKHIPKNKTTDANTPKDADLKKSKSSRFQRIFSQRSKSKFVERKFDESGSVGGGSKAPPMGDMKRFASGREAFSSFNWKAVIEPEEIDGRDCFTDAEDDEILIPFSAPILVGGGGDDGDDSRPSLKFKPRNEINLWKRRTMAPPRPLQLNPVLKAK >CAK8571634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453079979:453080935:-1 gene:gene-LATHSAT_LOCUS24276 transcript:rna-LATHSAT_LOCUS24276 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFVIKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPFTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8575818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330765357:330767499:1 gene:gene-LATHSAT_LOCUS28052 transcript:rna-LATHSAT_LOCUS28052 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAARRNNGRVVERGTPSVESDFVSSIKAVTEFPRSSFEFYVWSDQGVSLEVDFTSSPSDWTNKFKNEVRVSDNVNGNKSRSLRQDLSGLRDKSSLSGLKLTEDGVAALGQENNGERMTVKAAKDLLENCSKPLMSYPGRLEVQHSKPDNGGSGNCGLPKGSCIVNPGGVCAGASLNISVELHSLEVASCHKYASVSPGDGDGSPDLSDPKNTPEMKHFRKAAKSVDGRRRSGCSQFDDPLKKSRLDYDDQVSKTELHKKRKDRYPEIQGSSGKPAARILRSIAKTGVMVLPRRSPRLK >CAK8561814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:388432251:388433006:1 gene:gene-LATHSAT_LOCUS15369 transcript:rna-LATHSAT_LOCUS15369 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSLLISAIVSLYYSSDRFQVHHSSINSKSTRLQNSILETSFPCDPLVLQNCNAQSIQPISATATETPLPVQKSSSTGNTRVGINGFGRIERLVLHVATSRDDIDVVAINDPFIDAKYMAFMFKYDSTHGPFKGTIKVLDDTTLEINGKQVIVVSKRDPAEIPWDDFSAGYVIESSGAFTTLEKASSHLKAGAKKIIISTPSADAPMFVVGVNEKTYKPNMDIVSNANQYTTPSPHQNKTITEFFFLLQ >CAK8542854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553887069:553888460:1 gene:gene-LATHSAT_LOCUS11657 transcript:rna-LATHSAT_LOCUS11657 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIISQSIVIPSKPTPNPKLFSLCEQIKLRTHAPLLYVYNPHNNISTFLETLRNSLSQVLVIYYPLAGRLSWIKGGRWELNCNAKGAILLEAKCETNLNDLGDFVPTNLVSQLIPNINYHVPIEDVPLLVVQLTRFRCGGFTLGVALCRAATDGTATMRFMRAWAKLARGENMNPNDFPCHDRTLLNSHKLANSSILYRHPEFEAPPDWLSDSGDNREKSVTIMKLTREQVLKLKKNVNSRVSFQSASKIHKIKPFTTFEIIAGHLWRCVSKARYTHGSSDHQSTRLSTLVNCRNRLKPPLPSSYVGNAAFPTVTPTRSFNDLICKSLGNAVEDVRNALERVTSEYVMSALDYIDNEKDMELLRYNFHYPAKSVCEKGQYKGSPNLFVVSWMNFSYKEADFGLGEPYYFGPGYMDSEGKAFVMNNNSNGVVVAISLDASYIDIFKKFFYNDIEEVFCTSKL >CAK8574118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663384989:663386752:1 gene:gene-LATHSAT_LOCUS26493 transcript:rna-LATHSAT_LOCUS26493 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMNSIGVHGWQDTKSLTVQFITGRWFVVFASFLIMSVAGATYMFGLYSNDLKTILGYDQSTLNLLSFFKDFGANVGVLAGLVNEIYPPWIVLTMGAILNFFGYFMIWLSVTKKIAAPKVWQMCLYICIGANSQSFSNTGSLVTCVKNFPESRGALLGILKGYVGLSGAIITQLYSAFYYDDTKALILLIGWLPAAVSFAFLPTIRYMKPVRQANEIKVFYNFLYISLALAGFLLAMIIVQKEIHFSQSEFGLSAAVVIFLLLLPLLVVFIEENKIWRSNKLSMVDSSPVKIVTESEISEPEKISSVDSVSTSPPKKDPKWWEDVFNPPARGEDYTILQALFSVDMLILFVASICGIGGTLTAIDNLGQIGTSLRYPKKSISTFVSLVSIWNYLGRVFSGFVSEHVLTKYKFPRPLVLTLTLFISCIGHLLIAFDVPDGLYAASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVLVTGSLYDKEGIKQLEAVGRTREEGQELNCVGVECFKLSFIIITAATLFGAVVSVILVMRTRKFYKGDIYKRYRVEAEMVEVKNTGKNEKDAKE >CAK8532658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:458278829:458279407:-1 gene:gene-LATHSAT_LOCUS2341 transcript:rna-LATHSAT_LOCUS2341 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTTELFKNISVRDFYKFVDAAEVNSDHPIAKAIVDHVKDILEDEHNQSFPEAKDFVSALGHGVKAIVQNKELMLDHNIVISIEAEEALAKGETMAQIGILVIIGWRSCWSSGCV >CAK8537279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:202371459:202372709:1 gene:gene-LATHSAT_LOCUS6578 transcript:rna-LATHSAT_LOCUS6578 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKPSFNIVFTNKFDVEEHEMLLEAYFVQIDGTLNKLSTLREYVDDIEDYINIMLDDKQNHLLQMGVMFTTTTLVVSAFVVVAGVFGINIHIELFDSDRYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8575993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:381020811:381021257:-1 gene:gene-LATHSAT_LOCUS28213 transcript:rna-LATHSAT_LOCUS28213 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTIAEKTPAEKKPKAGKKLPKDGSAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8576682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505553018:505554276:1 gene:gene-LATHSAT_LOCUS28855 transcript:rna-LATHSAT_LOCUS28855 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKSRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTVEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAEAKNKSVPQIVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISQMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8542530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523600141:523606527:1 gene:gene-LATHSAT_LOCUS11364 transcript:rna-LATHSAT_LOCUS11364 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVERERSYILQVLFNGLRRLEYRGYDSAGIAIDSSPKPQCSSDSDSDFTSSPPLIFRQEGNIESLVKSVYQEVDQTELNLKETFSTHAGIAHTRWATHGEPAPRNSHPQTSGPDNEFMVVHNGVITNYEVLKATLIRHGFTFKSETDTEVIPILAKFVYDKANEAAALSNISGDQVVTFRQVVLEVMRHLEGAYALIFKSSYYPNELIACKRGSPLLLGVKELAQNKENGSTYEENKFLSKDGKPKELFLSSDANAVVEHTKKVLVIEDGEVVHIKDGGVSILKYENDMGKPVTSFSRASSVQRALSVLEMEVEQINKGHYEHYMQKEIHEQPESLTTTMRGRLIRGGSSKSKSVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPIFEELSGIPVTMEIASDLLDREGPIHREDTAVFVSQSGETADTLLALQYALDNGALCVGVTNTVGSAIARNTHCGVHINAGAEIGVASTKAYTSQIVVMAMLSLAIGGDTISNRARREAIIDGLYDLPNKVREVLELDQEMKDLANLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDESLPIVVIATRDACFSKQQSVIQQLHARRGRLIVMCSEGDAASVCPGNSCRTIEVPLVEDCLQPVINVVPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >CAK8577095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536840002:536843457:1 gene:gene-LATHSAT_LOCUS29231 transcript:rna-LATHSAT_LOCUS29231 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTDGAKERQRYIEGKVYRRRVFRGTKKNPNVGDTVASATTTAKDDNAPTTTVTNDTDDNKNVNNNEAKSNVLAQPLVPQLAVLEDGDLAQPEGNSRLEDGNTAQPPESSRLKDGNTAQPPESSRLEDGNTAQLPESSSLEDGSSPQQLSEDQNLVGQRVISRTGDSDSPQQQLEEQNSAQPQASLTTGDGNSPQRQLEEQNSAQPQASLRTGDWNSPQQLFEDGNTAQAQENSRLEDGSSPQQQLEDQNLVGQQVSSRTGDGNSPQQQLKEQSLHQPQASLRTAGDGNSPQQQFEDHSLAQPQVSSRTGDGNSPQLQFEDENLAQAHVSSRAGDRNSPWPQSSTHKEVNSPQPQENSRPDDGNTSQLDVSSRLEDGSLPHPELISKLEDRASLQQDNSILEDENLSQPQVNLRFEEGSSPQPLVNSSLEDQNLAQPPSPPVSDHLHSHQQPEPSNINIRREDDRSSSPIHSHREISDDLQSHQQAEPSNHNVQQEDDGPSSPIYGHGAVPSTGYRHSENVTVEPSQEDRFKINLALKSKQEKQEIRWKLESELGVVRNLVKRIEVKQGHVGAYGNSNVGAYGNSNVGAYGNSNVGAYGNSNVGVYGNSNAVLGGGISNGGGAKRAHSEVASAGVSRQPTRPLHQLSFPMFHNSQGVSENVEKEKRMPKANQFYHNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMGPGLRMGSKFFKSCSSLLEKLMKHKHGWVFNSPVDVEGLGLHDYFTIITHPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFDNAMTYNPEGQDVHVMAEQLSKVFEDRWAIIESDYNREMRFGMEYGAPSPLPRRAPMFTPPPLDMRRILDRSESLARTPRSMNNTPSSRTPAPKKPKAKDPNKRDMTFDEKQKLSTNLQGLPPEKLDAIVHIIKRRNLALNQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRRAEVARARAEALQNSIQRSQPPAMIEISREPQADERNVPPSLPMQGGSQADNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >CAK8577094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536840002:536843457:1 gene:gene-LATHSAT_LOCUS29231 transcript:rna-LATHSAT_LOCUS29231-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTDGAKERQRYIEGKVYRRRVFRGTKKNPNVGDTVASATTTAKDDNAPTTTVTNDTDDNKNVNNNEAKSNVLAQPLVPQLAVLEDGDLAQPEGNSRLEDGNTAQPPESSRLKDGNTAQPPESSRLEDGNTAQLPESSSLEDGSSPQQLSEDQNLVGQRVISRTGDSDSPQQQLEEQNSAQPQASLTTGDGNSPQRQLEEQNSAQPQASLRTGDWNSPQQLFEDGNTAQAQENSRLEDGSSPQQQLEDQNLVGQQVSSRTGDGNSPQQQLKEQSLHQPQASLRTAGDGNSPQQQFEDHSLAQPQVSSRTGDGNSPQLQFEDENLAQAHVSSRAGDRNSPWPQSSTHKEVNSPQPQENSRPDDGNTSQLDVSSRLEDGSLPHPELISKLEDRASLQQDNSILEDENLSQPQVNLRFEEGSSPQPLVNSSLEDQNLAQPPSPPVSDHLHSHQQPEPSNINIRREDDRSSSPIHSHREISDDLQSHQQAEPSNHNVQQEDDGPSSPIYGHGAVPSTGYRHSENVTVEPSQEDRFKINLALKSKQEKQEIRWKLESELGVVRNLVKRIEVKQGHVGAYGNSNVVLGGGISNGGGAKRAHSEVASAGVSRQPTRPLHQLSFPMFHNSQGVSENVEKEKRMPKANQFYHNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMGPGLRMGSKFFKSCSSLLEKLMKHKHGWVFNSPVDVEGLGLHDYFTIITHPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFDNAMTYNPEGQDVHVMAEQLSKVFEDRWAIIESDYNREMRFGMEYGAPSPLPRRAPMFTPPPLDMRRILDRSESLARTPRSMNNTPSSRTPAPKKPKAKDPNKRDMTFDEKQKLSTNLQGLPPEKLDAIVHIIKRRNLALNQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRRAEVARARAEALQNSIQRSQPPAMIEISREPQADERNVPPSLPMQGGSQADNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >CAK8577093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536840002:536843457:1 gene:gene-LATHSAT_LOCUS29231 transcript:rna-LATHSAT_LOCUS29231-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTDGAKERQRYIEGKVYRRRVFRGTKKNPNVGDTVASATTTAKDDNAPTTTVTNDTDDNKNVNNNEAKSNVLAQPLVPQLAVLEDGDLAQPEGNSRLEDGNTAQPPESSRLKDGNTAQPPESSRLEDGNTAQLPESSSLEDGSSPQQLSEDQNLVGQRVISRTGDSDSPQQQLEEQNSAQPQASLTTGDGNSPQRQLEEQNSAQPQASLRTGDWNSPQQLFEDGNTAQAQENSRLEDGSSPQQQLEDQNLVGQQVSSRTGDGNSPQQQLKEQSLHQPQASLRTAGDGNSPQQQFEDHSLAQPQVSSRTGDGNSPQLQFEDENLAQAHVSSRAGDRNSPWPQSSTHKEVNSPQPQENSRPDDGNTSQLDVSSRLEDGSLPHPELISKLEDRASLQQDNSILEDENLSQPQVNLRFEEGSSPQPLVNSSLEDQNLAQPPSPPVSDHLHSHQQPEPSNINIRREDDRSSSPIHSHREISDDLQSHQQAEPSNHNVQQEDDGPSSPIYGHGAVPSTGYRHSENVTVEPSQEDRFKINLALKSKQEKQEIRWKLESELGVVRNLVKRIEVKQGHVGVYGNSNAVLGGGISNGGGAKRAHSEVASAGVSRQPTRPLHQLSFPMFHNSQGVSENVEKEKRMPKANQFYHNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMGPGLRMGSKFFKSCSSLLEKLMKHKHGWVFNSPVDVEGLGLHDYFTIITHPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFDNAMTYNPEGQDVHVMAEQLSKVFEDRWAIIESDYNREMRFGMEYGAPSPLPRRAPMFTPPPLDMRRILDRSESLARTPRSMNNTPSSRTPAPKKPKAKDPNKRDMTFDEKQKLSTNLQGLPPEKLDAIVHIIKRRNLALNQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRRAEVARARAEALQNSIQRSQPPAMIEISREPQADERNVPPSLPMQGGSQADNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >CAK8544111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663764263:663764670:1 gene:gene-LATHSAT_LOCUS12815 transcript:rna-LATHSAT_LOCUS12815 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGEKRKLFAQHQESARKDVERAFGVLQSRFAIIRGPARAWHMESLKHTIYACIILHNMIVEDERHTYGGDFDYSYDNVGNNNSTTETFNGPHLNLATRLQKRATLREKQVHRQLQGDLVEHIWERFEHEDDEN >CAK8560468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20871372:20871946:-1 gene:gene-LATHSAT_LOCUS14137 transcript:rna-LATHSAT_LOCUS14137 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTLNQPTFHYYRSEIDMANVDALKWVDNIPVEKWTRAFDRGRRWGHMTTNLVESMNYVFKGTHNFPITTLISATYYRLRLLFAERGAMLNSGQTFTENCVKVMNEETTKSNTHQVRIFDYTNNIFSVKETMDHSEGKPMGHYKVNLLNGWCDCRKF >CAK8542572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526432196:526434060:-1 gene:gene-LATHSAT_LOCUS11400 transcript:rna-LATHSAT_LOCUS11400 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFSPSINNNTIPVNGTIPVSSTFATATTPFENPPPSNDSGDRKHIALWPGMYHSPVTTALWEARTKTFERLLDPPRDAPPQSELVTRTPSQSRTSILYNFSSDFVLREQYRDPWNDVRIGKLLEDLDALAGTISVKHCSDEDSTTRPLILVTASVDKIVLKKPISVNIDLTIVGSVIWVGRSSIEIQLEVTQSKQEDGDSDAVVLTANFIFVARDSKTGKAAPVNRLSPETAREKLLFEQAEARNSLKKRKRGGEKKDHESGEEKKLKDLLAEGRIFCDMPALADRDSILLRDTSLENSLICQPQQRNIHGRIFGGFLMNRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRLKSCVLYTERHDPDQPLINVEVVAHVTRPELRSSEVSNTFHFSFTVRPEAKAMKNGFKLRNVVPATEEEARRILERIDADNLNEFFRT >CAK8575761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:311029988:311037150:1 gene:gene-LATHSAT_LOCUS27997 transcript:rna-LATHSAT_LOCUS27997 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPDLIRIAQEQMSRMSPADMARIQQQMMSNPDLMRMASESMKNMNPEDFKLAAEQLKHTRPEEMAEIGQKMANASPDEVAAMRAHADAQIKYQLSAAEMLKKQGNELHNQGKFDDALQKYKLAKENIREIPSFQSRKLLLACSLNLMACYLKTRQYDECIKEGSEVLAYDAKNLKALYRRGQAYKEQGLLQDAVTDLSNALEVSPDDDIIGELLWDTKEQLIKEGGDCAPGRLVIEEITEEIENVPSGINRSSSSEQTFDQPKKSGDSSKSYNIANNGNLKSNSDNIDTLKKDPEAIRSFQNFISKADPATLASLNMGQSQDVSPDMIKASSDMIGKMSPEELQKMLDMASSFQGNNPFLRGGSSDSPFNSGSIPPNVTPDMFKTASDMISKMPPDDLKKMFEMASLMKGKESIPSAAVDKKERNFSQSNFPSSSTTRAFGESSSSDNAFSNIINASEPNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGKQFGFDLSPEDAEKAQQAMSSISAEKLEKMMLWADRLQRGAECAKKTKNWFLGKSGFVFAICMLILAFILHRLGFIGS >CAK8532936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:552089982:552096707:-1 gene:gene-LATHSAT_LOCUS2595 transcript:rna-LATHSAT_LOCUS2595 gene_biotype:protein_coding transcript_biotype:protein_coding MGANHSSQGVEDSDEEEEEQDDEETNINGHIGGSQLRNHLIVKKVLEQEPEMLPCHASASPLSPQLSSLGTPRLGPSIKVWDPYNVLAPPTPPSAAGMFSRSFSSVSGVGSEEEVVEVYLICHGECELNLAPELVGGRCSEAVLTPNGKRQARALAVFLKSQGVRFNAVYCSGLDRARSTAVSVCKEVNFSEEQIQSSDALSEISQGNWEGCLRSETYTPEVMSYIDRFQPDFAAPSGESLRQVEFRMIRFLNETVLGLHEKLRLVFSSHQNDSHTFSQHNSHALTNSIHDQDGNSLHSNQWDSLTRHRPAFSRKKSGKSRLQFVTTTGDEIEDDIPSSNVNHESSLRNSNFNSFSSSVSCIGLFTHSVPIKCLLTGLLGCSPLMSHKFCIEDSSVTVLQHSLRTGWQIKKLNDTAHLRLL >CAK8578853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658595758:658596300:1 gene:gene-LATHSAT_LOCUS30835 transcript:rna-LATHSAT_LOCUS30835 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQNELETIVGTKRKVEESDLDKLEYLDMVIKESLRLHPVAPLLIPHQSIEDCMVGDFFIPKKSRIIVNAWSIMRDPKAWTDPEKFWPERFEGSNIDVRGRDFHLIPFGSGRRGCPGLQLGLTVIRLVVAQLVHCFDWKLPNHMLSSDLDMREEFGLTMPRSNHLIVIPSYRLCSDSD >CAK8538587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487740494:487743430:-1 gene:gene-LATHSAT_LOCUS7768 transcript:rna-LATHSAT_LOCUS7768 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGIYDVGRTIGEGSFAKVKFARNSKTGEAVALKIIDKEKVLRHKMAEQIKREVATMKLIKHPNVVQLYEVMGSRTKIYIVLEFVTGGELFDKIVNHGRMGENEARRYFQQLINVIDYCHSRGVYHRDLKPENLLLDSNGDLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLTDRGYDGANADLWSCGVILFVLVAGYLPFDDPNLMELYKKISSAEFTCPPWLSFSARKLITRILEPNPTKRITIAEILEDEWFKKDYKPPVFEESGETNLDDVEAVFKDSVEHHVTENKEEQPTSMNAFELISMSKGLNLENLFDIEQGFKRETRFTSTSSADVIISKIEEAAKPLGFDVQKKNFKMRLANSKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSTSLDDVVWKTEEDMTKKEAK >CAK8568271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588710995:588714808:1 gene:gene-LATHSAT_LOCUS21245 transcript:rna-LATHSAT_LOCUS21245 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNEHHRQHQHQHHTVPLAVLLKRELANEKIEKPDLVVVYSQASENKKGEDFTLIKTERQRVVADGVSTYSVFGLFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRDEWVAALPRALVAGFVKTDKDFQQKAKTSGTTVTFVIIEGWVITVASVGDSRCILEPSEGGIHYLSADHRLDTNEEERARITSCGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKISTSGGRLIICSDGVWDALSAETVLDCCRGMSAEAAAPHIIKESVQAKGLRDDTTCIVVDILPQEKPPTSAPTQKRPVKGMLKAIFRKKSSEPSYIEKEYVEPDIVRELYEEGSAMLSERFETKYPLCNMFKLFMCAVCQVEMKPGEGISVHEGALNQGKPRPWDGPFLCSSCQEKKEAMEGKRTSDRHNSGSD >CAK8565742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:318401912:318402481:1 gene:gene-LATHSAT_LOCUS18929 transcript:rna-LATHSAT_LOCUS18929 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNDSGGPHGGGSSAHGSEMSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKGYLQRFREMEGEKTVVARDKDAPQGSNSVNNSSFESGGNYGAGMMMHQGHVYGSGGFHQAMGKGGPGYPGPGPGPNSGRPR >CAK8560329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14522471:14524644:-1 gene:gene-LATHSAT_LOCUS14013 transcript:rna-LATHSAT_LOCUS14013 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVLSFSFILLFIIFIASIISLRKTLFKNPKPILTRFPETPDPTLLSLTDSRIPQPGEDESTPNETAPLGTVQSTAFSDDDSNQCELKKKKKRKTKKKKIICSGIEDDDDKRSDGVERESSGSDTRPDSGRLNPFTSSSSVMQKKIKPQYDELVKCNDSKKLTLSQVVQFANSLVAARSELQHKADVTQRKFVITKALLCKADRSSINRLRQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYNKMLEIGVSMEKEKSCKMGERREDEFSDISFEELLAQEKKDSFWQRNGKSK >CAK8561121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97809391:97809699:1 gene:gene-LATHSAT_LOCUS14739 transcript:rna-LATHSAT_LOCUS14739 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMKAMAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAHSSIMTPENIELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8561122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97809400:97809699:1 gene:gene-LATHSAT_LOCUS14739 transcript:rna-LATHSAT_LOCUS14739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAHSSIMTPENIELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8538131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465479944:465481306:1 gene:gene-LATHSAT_LOCUS7365 transcript:rna-LATHSAT_LOCUS7365 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNNNILYNSILNTKFQSCVFDETAMEINTVMSHGINEEEEEDGSQSNESASASTHSPTPCGNTKKGYVYNNASYEVEEEESLINFKGNDDEYYENLMQGSESLLSFQQSWNVVSSDNSLQQWNHLSPKSITNLCMVQGFNSFETSSGSYGSILNNAKEKYNGESSSGWLYSDPNVPCNDDDDRLIKESETHDSAAKKRYSSMGENMQPGNAKKPCTSASKTAKPKSNPSKDPQSVAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLAADEFWPVNGGKAPDIGQVKQAIDEILSSQR >CAK8538132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465480025:465481306:1 gene:gene-LATHSAT_LOCUS7365 transcript:rna-LATHSAT_LOCUS7365-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTVMSHGINEEEEEDGSQSNESASASTHSPTPCGNTKKGYVYNNASYEVEEEESLINFKGNDDEYYENLMQGSESLLSFQQSWNVVSSDNSLQQWNHLSPKSITNLCMVQGFNSFETSSGSYGSILNNAKEKYNGESSSGWLYSDPNVPCNDDDDRLIKESETHDSAAKKRYSSMGENMQPGNAKKPCTSASKTAKPKSNPSKDPQSVAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLAADEFWPVNGGKAPDIGQVKQAIDEILSSQR >CAK8542996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564806951:564810824:1 gene:gene-LATHSAT_LOCUS11787 transcript:rna-LATHSAT_LOCUS11787 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPKASCSFLRICLICVVAFQCNAADQISTLVVNASRGSGRPIPNTLFGIFFEEINHAGTGGLWAELVNNRGFEAGGRRVLSNIDPWTIVGTESSVLVQTELNSCFEHNKVALRIDVLCDKCPHGVGISNPGFWGMNIVKGKKYKVVFFVRSTGALNIMVSFRKAKGGRILASTNVKGSKSEVSNWKRMETMLVATASSPNSTLELTTTQKGRIWLDQISAMPVDTFKGHGFRSDLVDMLIQLKPAFLRFPGGCFIEGQTLRNAFRWKDSVGPWEQRPGHFGDVWKYWTDDALGYFEGLQLAEDIGAAPVWVFNNGISHTDEIDTSVIAPFVQEALDGIEFARGKSTSKWGSLRASMGHPQPFNLKYVGVGNEDCGKRNYHGNYLAFYNAIRRAYPDIQIISNCDASLKPLDHPADLYDYHTYPRDAQHMFNNAHVFDKTPRNGPKAFVSEYALIGRQAMHGNLLGAVSEAGFLIGLETNSDHVVMASYAPLFVNANDRNWNPDAIVFNSNKAYGTPSYWVQYMFRESNGATFLNSQLLTTDPNSLAASAILCHNPQNNNTYLKIKVANIGNNQVKLKISLEGFGSKIQTASKKTVLTSKNALDENSFLNPKKITPQQSQLKSPSKEMNVIIPPVSLTVFDLLR >CAK8569795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11567491:11569660:-1 gene:gene-LATHSAT_LOCUS22605 transcript:rna-LATHSAT_LOCUS22605 gene_biotype:protein_coding transcript_biotype:protein_coding MDFECKDVSVWKEALSSYPSQIQSLSLTKKKPDLVSLNQFYCNELPSLIHQRNPNPFITTQELSKLMQWKLTRGKWRPRLLDFVSSIEDAVVKRASEKAFESLPDVSKAISELSTLKGVGPATASAVLAAFAPNLTPFMSDEAMQAALGNSKDYTLKQYLIFANKLQEKAKELSSEETPFTPSDVERALWSCAMGKLSAPKSNQDPKTNPSKSSKRKRKT >CAK8535450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849650329:849650724:1 gene:gene-LATHSAT_LOCUS4913 transcript:rna-LATHSAT_LOCUS4913 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSWMRVNRLSVEYEHEVMEFLEFAESNAKKVFAPPKSDAEKSIHLLFLCPCVRCTNHEPKYNKKEITDHLICHGICQSYTQWIWHGEVVAKSNVSQRDNVSAEMDDRLEDMMRDIGQDSFKKAHAYDTL >CAK8532266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:234355867:234364074:1 gene:gene-LATHSAT_LOCUS1993 transcript:rna-LATHSAT_LOCUS1993 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANTVEGILDFLKRNRFTRAEAAFRSELNNCSDVNGFLQKLTLEEKNLCDLSQNDKGKLAVENRGLDSCDNVEVSKELIVKEIECGTGRNTTESKWKNATPAEERNNSNEVVGTSGTNFTFLKSSEDSVFDLQSWKINGPAEAYQNDSGSKASNNTLKPSISQQSKNQTSETFDAANSNVNAAEENNVSAEKKSPWLGSSSSGKASMEPKFNVAQNKESKEIDRQQLKFNSSSLKENLADNVLLRADENANSSSEVWKDCSIKTVFPFSKGDVSTSYSSSNYSEKVDEKRKSEISDARAYIKEQVDEVGRAFYLAKLQGSSEQNNTGALTFPIAPEKHKEEYPRLPPVKIKSEDKPLTINWSEKFESDGLAAKLASADSSLLIGSYLDVPIGQEIKNAGMRKATGGSWLSVSQGISEDTSDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQAKDEDDDQSFAEEDSYFSGEQYLQAKNVEPVTGSDDPIGITVTNMYGRANGNDLMAQYDGELMDVEELNLMHTEPVWQGFVPQTNDLIMLGDGKVLNHSGRSRLEEMEDDQHGSVRSIGVGFNSDAADIGSEVHGSFIGGSSEGDLEYFRDRDSGLGGSKHSHRDFGKNSMDKSFKNKKNDVIESNKYVIGGHKDAHSQIKTHTDGNFSFPQSLNDSQIIQGGSSKTPWSNNCDNAGETDDCINAFEGSDEMLSSWRQKSSDSSPEKSSRDENNANAVRSSNSSPTTVDDYVYADKERAKLEKDDEEVDITREDDLGVSQEDEEIAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGVDVCIKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQYLHNLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLSYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIGQSMLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKSSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISS >CAK8537278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:202198758:202199070:-1 gene:gene-LATHSAT_LOCUS6577 transcript:rna-LATHSAT_LOCUS6577 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSREEITFSFCDLDLVLQQIRNNHIPAFHYDNDVAGSREVTLLLISRMQPFWFQQHNNYRVSILEM >CAK8567427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513590432:513591579:-1 gene:gene-LATHSAT_LOCUS20481 transcript:rna-LATHSAT_LOCUS20481 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAFYSHPVFCFSCRNLSTNAKRNSIKIRAPYPSQLDSKCEFNRRPHTYSSPFNIKPNRLFVLPNTNDGHPTASTEDDETNTNHAPNVISPMFLDNWSPPRYLWRGLSVLILAGQVVMKILKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTAIVVAGRIGSAFAAELGTMQVSEQIDTLRVLGSDPVDYLVTPRVIASCIALPLLTLLCFTLGLASSALLADGVYGISINIILDSAQRSLRAWDIISAMIKSGAFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFIADFALSCCFFQGAGDQLKNCM >CAK8535384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845751345:845751548:1 gene:gene-LATHSAT_LOCUS4849 transcript:rna-LATHSAT_LOCUS4849 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTPTGEYSISTQPSTSPNQTWSPSTSSSNTPAKRVAILASLDKIFQNEVLTPKQSTTKSKHLKKE >CAK8538465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483749990:483752330:-1 gene:gene-LATHSAT_LOCUS7657 transcript:rna-LATHSAT_LOCUS7657 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVASEVQHHQLKKKNQETQMCRKLKLSITDHNNLKLTTTVDDDDDVCDKIDGEDLFIPPLNFSMVDNGIFRSGFPEPSNFSFLKTLGLRSIIYLCPEPYPEANMEFLKSNGIKLFHFGIEGHKEPFVNIPDDTIREALKVLLDVRNHPVIIHCKRGKHRTGCLVGCYRKLQKWCLSSVFDEYQRFAAAKARVSDQRFVELFDISSLKHLPIPFSYLKR >CAK8573540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618686404:618686922:-1 gene:gene-LATHSAT_LOCUS25976 transcript:rna-LATHSAT_LOCUS25976 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSDLSTIFIFLLLLVSYHHHYCTAEAPPEKQPLFPYRSPTTLLNVPSVGVFIGVGIATILLVFILLLFLRCCLLSESPKCESAIGIDPQLLETFPILLYSSIMKHVKEGDEGPLPCAVCLSDFNDNDTVRVLPQCNHYFHPPCVDVWLSTHVTCPVCRSNLNCGGGIENV >CAK8565375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156449909:156451487:1 gene:gene-LATHSAT_LOCUS18595 transcript:rna-LATHSAT_LOCUS18595 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAFSMLCFKTEKSGNDYESSYSSNFNTGNAFSYLSKSYLNLSSLLHCRNSFTQLEAASKDKVFHLQGVVGHGGSGLLFPKELVATFERRSELPLLLNFHRSPLVVIPGLGEDVNSDESLPRLLRSICQKEFSNITALLPRRLKTFDSFRLKYVPVSARKGLLSARTISISFQKTKNEARQLACLYLVRHCTPPKSTNLADKNIKLENKVLLAPVDYKAWALLQAW >CAK8533943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:673985370:673987485:-1 gene:gene-LATHSAT_LOCUS3528 transcript:rna-LATHSAT_LOCUS3528 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFSDAGTLKRAHLYEHKITSYLIFSCIIGALGGSLFGYDLGVSGGVTSMDDFLVEFFPNVYERKHAKLQETDYCKYDDQILTLFTSSLYFAALVSTFGASSLTKTKGRKASILAGSVSFFIGAVLNAGAKNIAMLIIGRVLLGIGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILIANLVNYATEKLHPWGWRLSLGLATVPATCMFIGGCFCPETPNSLVEQGRIDEGRLVLEKIRGTKNVDAEFDDLLEASREAKAIKNPFQNLLLRKNRPQFIIGALCIPAFQQLTGNNSILFYAPVIFQTIGFGSGASLYSSVITSVALVLATLISMAFVDKFGRRAFFLEAGTEMILCMLATAIILAVSFGKGKQLSFEVAVFLVIVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSVVVCVNMIFTAIVAQFFLMSLCHLKYGIFLLFAALIILMSCFVYFFLPETKQVPIEEIYLLFERHWFWKKVVKDEGSSSGTSV >CAK8530362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16736384:16750085:1 gene:gene-LATHSAT_LOCUS230 transcript:rna-LATHSAT_LOCUS230 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDADVGLIVFSSTGRLYDYSSTSMKAVIERYNKQKEEQHQLMNPASEVKFWQTEAAGLRQQLQYLQKSHRQLMGEGLSGLGIKELQNLEHQLEISLKGVRMKKDHILTNEIKELHQKGTLVHQENVELHKKMDSIQKENAELQKKVYEARSTNEENAASNVSCTIRNGYDLHAPISLQLSQPQSQYSEPATKIMKLGLQLHS >CAK8560202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10339485:10341847:1 gene:gene-LATHSAT_LOCUS13897 transcript:rna-LATHSAT_LOCUS13897 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSIFLIFTIFVIASALVAAKNVADVTELQIGVKYKPASCEVQAHKGDKVKVHYRGKLTDGTVFDSSFERNNPIDFELGGGQVIKGWDQGLLGMCLGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVGVNDKSLNEEKPTSSEL >CAK8571074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:276519742:276521674:1 gene:gene-LATHSAT_LOCUS23768 transcript:rna-LATHSAT_LOCUS23768 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSLVTGLSGPSGYGSSTTAEQVTEGIDARNLTAIITGGASGIGLETARVLSLRKVHVIIAARNMESAKEAKQIILQDNESARVDIMKLDLCSVKSVRLFVENFLALDLPLNILINNAGVMFCPFQLSKDGIEMQFATNHLGHFLLTNLLLDKMKQTAKTTGIEGRIINLSSIAHTYTYEEGIRLDDINDQNSYSDKKAYGQSKLANILHSNELSRRLKEEGANITANSVHPGVIMTPLMRHSSLLMNFLKMFTFFIWKNVPQGAATTCYVALHPSLKGVTGKYFLDCNEFKPSAFASNGILGRKLWDFSNKLINSISKT >CAK8530402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19257297:19257788:1 gene:gene-LATHSAT_LOCUS269 transcript:rna-LATHSAT_LOCUS269 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSVLCVLSLIVITGSYVVQAQDSPADYLEGHNAARSAITKVKIPNLVWDNKVAAFANNYANQRKDCQLVHSGTDRYGENIAMSGGEMFGRDAVTMWVGEKPYYDYNSNSCADGQMCGHYTQVVWKNTVRIGCAKVKCENGGTFITCNYDPPGNYIGERPY >CAK8533074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575015167:575018192:1 gene:gene-LATHSAT_LOCUS2725 transcript:rna-LATHSAT_LOCUS2725 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIAISTSLCLGNHHCLKLVQMFMRSERETVSVTLGIISVIVWMIAEIPQLITNYHEKSAHGLSVAFMLTWIIGDLFNVVGCLLEPSTLPTQLYTAVFYTMITLILCSQATYYGYIYPRLKYTKQFKIETSIEDGQGNVGVENGNDVEQKTVLGMSSPVSFPALAQKSHVGGQSYYQSARYLSKSYTQRSDLEERFTSSSRTLDPIEEPLLVSSVLTQSAPSLKIKNTLCLVSTLTFLVALNLLHSQDTINHFEVSKPGKEFVIGVERKLLQASGDRLPSYGVEMHRGIGTYLGWGMAVIYMGGRLPQICLNFRRGSFEGVNPLLFLFALIGNTTYVASILVRSLEWSKIGPNLPWLVESGGCSLLDSFILMQFLYYRYRASKALENKYKHQVVA >CAK8533835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664174117:664174931:1 gene:gene-LATHSAT_LOCUS3429 transcript:rna-LATHSAT_LOCUS3429 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCSLSGGGNRQWRSIKVIFPSGEICKFEEAIKAAELMVEMPSFFVVNTRSLHIGRRFCALHADEELERGNVYVMIPMKKLNSAVTASDMGSLLITAKRVSAKVNKILPTAESNLEMVLSQPQLLNLDDIDQDLSMHRLSICSRSKKPLLETIAEEKVC >CAK8533836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664174117:664174608:1 gene:gene-LATHSAT_LOCUS3429 transcript:rna-LATHSAT_LOCUS3429-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCSLSGGGNRQWRSIKVIFPSGEICKFEEAIKAAELMVEMPSFFVVNTRSLHIGRRFCALHADEELERGNVYVMIPMKKLNSAVTASDMGSLLITAKRVSAKVNKILPTAESNLEMVLSQPQLLNLDDIDQDLSMHRLSICSRSKKPLLETIAEEKVC >CAK8560312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13955238:13959185:-1 gene:gene-LATHSAT_LOCUS13996 transcript:rna-LATHSAT_LOCUS13996 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRASATLHLKKYDVFISFRGDTRIGFTSHLHASLCRSYFQTYIDYRIQKGNHVWEELVKAIKQSTLFLVVFSDDYASSTWCLNELIEIMECHKNEPEKVVVIPVFYQIEPSHVRKQTGSYATAFAKHQKQHIDKIQKWKDVLSQAANLSGFHSTAFGTESQMIEEITRFVLGKLDHKFKHALPSNFILDENYWSIESLLKIDSAEVQVIGLWGMGGIGKTTLAEAIFQKFSFQYEGRCFFKNVAEESKRHGINYTCNKLLSELLREDLDIHSPKVTQSAVMRRLKRMKAFIVLDDVRYLELLQNLIGMRYCWLGAGSTVIVTTRDKHVLESGGIDKIHQVKQMNSQNSLQLFSLNAFDKVFPEEGYVDLSERAIDYAKGNPLALKFLGSFLRCKSEIEWNCALAKLKQFPNKEIDLILRWSYDELDDTEKYIFLDIAFGFKGHKRDMITKILNKCGFYAEIGIRNLLDKALISVDSNECIQMHDLIQEMGKQVVREEFPKNPGQRSRLCDPKEVCDVLKNDRGTEIVEAIFLDAMECTHINLRPDAFAKMPNLRLLDIRNRRGIKSIRLPSGLDLLPENLRHFLWDDYPLKSLPPSFCPEMLVSFSLRHSHLEKLWNGVLNLPNLEILVLSHSEKLIECPNVSGSPNLKQVILTGCTSLPEVDSSIFLLQKLESLLMFNCTSLKTLSSNTCSPALLDFDAMYCNNLQEFSVAFASVDGLNLSLPKFGANELPSSLLHLKNIGWFTSPISDSLVDLPENFANNIWLIRGSDDEHDVSITLRKVLSSPAFLSVKILIIEHVSILSKIPDNISLLSSLEHLSLTGIEIRSLPESIKYLPRLEYLEIIDCDMLESIPSLSQFIPYFFVWECGSLKKVLSSTNEPSDKPNRGFMFLNCTELDSHSYQTVLNDAIVGIDLRARLNSENGDPSLDHDNDIIEYFLPAMSGMENWSRYPSSQASVTFELPPDLLGFAYYLVLSQGTVGDGVDFGCECCLDNSSGERIYITSFKRDNFSSTVFSYVHPSEYMMMDHLVLWYDPASCKQIMEAVEEVKAISDVNNTSYNAKLTFTFFIDESQYNEVAIVECGFHWIYPFEGTAVPNRNDDSESDDQEDTVPPTSKLEQRVVGIHSSLEVDESENLSYSLERLLDSMESSWSGEKKISSDLKRHNK >CAK8572760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561281112:561281624:1 gene:gene-LATHSAT_LOCUS25288 transcript:rna-LATHSAT_LOCUS25288 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTVSYKFNINGEYSEFLQAKRGIRQGDPISLFLFVILMEYMHRSLTKMQKNANFNHHAKCESLNLTNLTFADDALLFCRGDSISVGLMMKAFNIFSDSTDLVVNYSKCKIFFGGIDDNSKESIKTMSSFQEGSSPIKYLGVPLSSKKLNINHYIPLVDRIIGCVHH >CAK8575822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:331407997:331408788:1 gene:gene-LATHSAT_LOCUS28056 transcript:rna-LATHSAT_LOCUS28056 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLHSIQHHSLMKRQHYYNPSETAPPSTLSSSLFLKPTSTNITLSHSSTSNTFTTFSLSQTTSSTPSSTSQSSSFELLQQLLSAKNFREADDETRRLLIVLAGEAAQRRGYVFFSEVQFISETDLQTIDKLWREHSDNKFGYSVQKKLFGKAKKDFTNFFIKVGWMKKLDTEMEQYNYRSFPTEFIWELNDDTPVGHLPLTNALRGTQLLSNIFSHPAFDAVQDEVEVSFGKTGGNGGFKGLKDSSKTSAQNIFKSDYSF >CAK8565901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:348838174:348840756:1 gene:gene-LATHSAT_LOCUS19076 transcript:rna-LATHSAT_LOCUS19076 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDFKLDIATSTTDHDSQEPGLGKTIGSNISLDEKGNTLHTSFNGIYEKGKLSPNNYVTNAERRALYDRYLKGNKATDGDNGSFHVDDEERHRLHGSAKKSVPLISGAAYCISSCSMIMLNKIVLSSYNFNAGISLMFYQNFISTLVVVLLALCGRVSVEKLNWRLVRVWLPVNVIFIAMLVSGMYSLKYINIAMVTILKNVTNILTAIGELYLFRKRQSSKVWTAMFVMIISAVSGGVTDLSFDAVGYAWQIMNCVLTASYSLTLRWVMDEAKKSTKSGSLNEVSMVLLNNLLSLPFAIIMIFLFGEWDYVIHADIVKLPEFWVVATASGLIGLSISFTSMWFLHQTSPTTYSLVGSLNKIPISIAGILVFKVPLSVSNLFSILFGLFAGILFARAKMS >CAK8570796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115590993:115592375:1 gene:gene-LATHSAT_LOCUS23517 transcript:rna-LATHSAT_LOCUS23517 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSFSLPSSKSSSKSNNSVKPSQTFDEDSSTKQQHLQKQLITEFDPSKLQTLDSNPKTLIPPIENQWRPYKKMKNLDLPLTDSHSSHSLTFEPDASSISDQLESDKSTYGLNLRAANSENKKQQDDGDAPRPRISIEVNMMQKFKEDMERLPDDQGFDEFKDVSVDGFGAALLGGYGWKEGMGIGKNAKEDVKVVEVKRRTAKEGLGFVADLPPPTSKKGERKGKAESEKRKKEEKVVRIVRGRDVGLKANVFGRVGDDVLVLKVLGSSEEVKVRVDDVAELGSVEEDRCLRKLKDLKVKDCEEERGSRSKRGRDEVKERRVDGNRGGKEEKGKKQVPWLTSHIRVRVVSRSFKGGRFYLKKGEVLDVIGPTICDISMDESREIIQGVSQDMLETAIPRRGGPVLVLLGKHKGAFGSLVEKDLDREIGIIRDADTHQMLNVKLEHMAEYIGDPSLLGH >CAK8561175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:111643883:111652319:-1 gene:gene-LATHSAT_LOCUS14787 transcript:rna-LATHSAT_LOCUS14787 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNQVTNIATKSWRTKESRRRVLRAITGELTKQGSPNVKLRLPEVIRFEANVNASAKTQDEYISLVKEQLKRIRSMSWKTVEVKKEITIPSASEIDANGDWQEHVYERPNRKQSPDVKPKQLFFGPSNSIFSQPNNMVSQDHRVNLPIGSSQHDIINQSSIVKGQSNAVKQQTNIAFQEFGVNNNTPRISATEAFGTRTNTKRILPLINESNAVKQHTNIALQELGVNNNAPRILATEAFGARTNTDRILPLIKESNDQNQGFHNTEVQSHAMQKLIRALTSISPEALSAAVGEIEEVVHLNDEKPEMVDEQGLPIFLTPGGWKIPRSFVSTTCDTSSMRESFNQFTYTAESNENQNLLAEIKDINNRLFDCEVVIAEKESAKSAVGIATDQSEGLLVKILYNAVTINQNLVSHFIADKKSLIEPLRLLIPSSYPSRSLVILDELPLEVSDDLNALSVRAKEKLRFNLGKMNEPLLIKDIARVWERCAREAILDYVHANGGGTFTSIQGGWEAC >CAK8575323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:78445339:78445785:1 gene:gene-LATHSAT_LOCUS27595 transcript:rna-LATHSAT_LOCUS27595 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8579783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722533479:722534940:1 gene:gene-LATHSAT_LOCUS31699 transcript:rna-LATHSAT_LOCUS31699 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAATGVSIFVPRSRLLPFPSSKQLCTSRQVHTHRSLSLGISTTRTARGGFAVRAATSSSSSSPDSSNNNKIAPLHFQSPIGQFLSQILINHPHLVPAAVDQQLNQLQSDRQADQQNSDPPSTTSTDLVLYRRIAEVKANERRTALEEILYTLVVQKFMDANISLIPSLTADPSATLDSWSNEVAKLEKLHSQEAYEMIQNHLALILGNRAGNLSSVAQISKLRVGQVYAASVMYGYFLKRVDERFQLEKSVKVLSNATQDTSDHQTIMEDVRPGSQVGTSHPEVSTWPGGDVSPGGFGYGIKPTRLRNYVMSFDGDTLQRYATIRSKEAVSIIEKHTEALFGRPEMVITREGAVDHSNDENIKISFGGLRRLVLEAVTFGCFLWDVEGYVDSRYHFVLN >CAK8562890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553986097:553993491:1 gene:gene-LATHSAT_LOCUS16352 transcript:rna-LATHSAT_LOCUS16352 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIPAHHRSATNLFSDPLDSHPLWFKPASFLSPDFDSESYISELRTFVPFDTLRSELNNYLSSLNHELIDLINRDYADFVNLSTKLVDVDAAVVRMRAPLVELREKIEQFRGSVDVSLVAIKNGLKQRSEAASARETLELLLDTFHVVSKVEKLIKELPSVPSDWSNGDVNLPEKNSLSNGVPVQHVENGTSVRETQSMLLERIASEMNRLKFYVTHAKNLPFIENMEKRIQNASLLVDASLGHCFVDGLEHRDATAIYNCLRAYAAIDNTKNAEETFRVTVVAPLIQKIIPHGSSAGAAGSSGDGLENDYQLIKECIYKDCKFLLDISSAENSGLHVFDFLANSILREVLFAIQKGKPGAFSPGRPTEFLKNYKSSLEFLAYLEGYCPSRSAVAKFRSEAIYTEFMKQWNLGVYFSLRFQEISGSLDSVLTTSSLVPIPNLDPGEANYHDLTLKQSVTLLDSLRLCWREDVLVLSCSDKFLRLSLQLLSRYSNWLSSGLTARKSHSTSTSTGREWAVSAVIDDFILVIHDIRCLEEHVRGDYLQHVVQVLSSCSSDVLESVRQSILQSGQSLKSLEPLVVKAVVESLVEKSVEDLRQMKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGERTSRYLASETKKEILLCAATEITDRYYELAADLVSVARKTESSLQKIRQSAQRRAGASSDISDNNVSDTDKMCMQLFLDIQEYARNLSALGVEAVNIASYRSLWQCVAPADKQNTIKL >CAK8572665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554477876:554478685:1 gene:gene-LATHSAT_LOCUS25200 transcript:rna-LATHSAT_LOCUS25200 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSKPTNGIFFLGPHPIRTYWPFVCCNFRHLLAADLLASNFTSLTKEELVFSTTLRSVRFLLQSV >CAK8575236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57521948:57522316:-1 gene:gene-LATHSAT_LOCUS27515 transcript:rna-LATHSAT_LOCUS27515 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPLFPTNNSYESKKLSENTKTTYQCDGKNQKEDSNTYLVIGQSVQIEAKFSNSRHEFFPAATTGDMKGARLRDGEAPNAVPSHSKLH >CAK8566015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367776804:367791481:-1 gene:gene-LATHSAT_LOCUS19183 transcript:rna-LATHSAT_LOCUS19183 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFGYAGGIPERKVRPIWDAIDSRQFKNALKHVTTLLAKHANSPYALALKALVLERMGKPEDAFSASLNAKEHLFSNDSLLIDDLTLSTLQIVFQRLDRLDLATECYEHACGKFPNKMELMMGLFNCYVREYSFVKQQQTAIKMYKLAGEEKYLLWAVCSIQLQVLCGNGGDKLLVLAEGLLKKHVASHSLHDPEALMVYVSILEQQAKFGDALEILSGKMGSLLMIKVDKLRLQGRLLALACDYTAAADIFKKILESCPDDWECFLHYLGCLLEDGSIWCNEAVNDPVHPPKFVSCKVSHLTDEQFDSRISIASAFILKLQTDTSDSSVRCPYLATIEIERRRHLRGKGNDDNLMDVIVQYFCRFGHLACFTSDVEMFVEVFTPDKKTELFGKLMKHNDTLSTPPTKALGLSISLSKIKQQLLLGDMFKSSASDVEVSCAHMFEMYCKNLPLSKGFDPQESMHGEELLLITCNILVQLFWRTKNVGYLVEAVMVLEFGLSIRRYVSQYKILLLHLYCHFGALSVAHEWYKSLDIKNILMESMLHHILPQMLLSPLWSELNGLLKDYLKFMDDHFRESADLTSLAYHHKNYSKIMEFVQFKDRLQHSSQYLVARVETPLLQLKQNANNIEDEEGILQSMKCGAHFLELSNEIGSKSLTFNEDLESRPWWTPTLEKNYLLGPFQGISYCPREVLTKERETILKRDIEKKSLLPRMIYLSIQSASSSIKEHVVNGSVTPGITSELKSLLERFAQFLGFSLSEAVEVVKGFSNGERSVVSDSNLIDWLNFTVFLNAWNLSSHELVQPDRNERKPIIWNILDSMLEKYIFEKVRSMEPQLCSPWSDIQLLMQLVAEPLAWHGLVIQSCLRSCLPSSKKKKRSGSAYQSSSNLAHAITDSVQQLSLVLEDVMKWLNEWSKRPEDENSEDILSLLRKDGHNDGPGRVFHILETFISSMSNAEVGDRIYHSLKSWSPADVARKMMTGKLKVLMEFSAICESKLKLLQSLKQQIAQV >CAK8544012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655783492:655783719:-1 gene:gene-LATHSAT_LOCUS12723 transcript:rna-LATHSAT_LOCUS12723 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGWSTAGIFACPVCKGGLKAFSLEKGKKRSWFDCHRQFLPRDHAFRRNKVMFYKNRIETREPPPRLSGEQVWK >CAK8560878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51783615:51784538:-1 gene:gene-LATHSAT_LOCUS14518 transcript:rna-LATHSAT_LOCUS14518 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVVLISAKGLEDNDFLTSIDPYVILSYRAQEHKSNVQEGAGSNPQWNETFLFTVSDSASELNLKIMEKDPYTDDNIGEAVIPLDAVFEERSVPESVYKIVKGEEYCGEIRVALTFKPERIQERGYNMEEESGGWKESAREF >CAK8573467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614298865:614301253:1 gene:gene-LATHSAT_LOCUS25913 transcript:rna-LATHSAT_LOCUS25913 gene_biotype:protein_coding transcript_biotype:protein_coding MASCILTLRSSSSNSFSRLKPLLPPPNFNSRSSSSSPFIKFKSHNFGINVLQSEFNRSFVTQATGGNLNLSFPLISPNDQWGNWTALFAAAAIGIWSEKTEIGKTVSGAIVTILVCLAASNLGILSVDAPAYDLVLKFLLPLAIPLLLFRADLRRVISSTGVLLLPFLLGSVATTIGTVVAYLLVPMRSLGSDSWKIAAALMGRHIGGAVNYVAISDALGVQPSVLVAGLAADNVICALYFSTLFALASKVPPEASTSENDDAMNSISGSGDKLPVLQMATSLAVSFAICKVANILTGYFGIQGGTLPLVTAIAVISATVFPKPFASLSSSGEAMAVILIQVFFGVIGASGSIRSVMNTAPSIFLFSFVQITVHLALILGLGRVFHFDLKLLLIASNANVGGPTTACGMATAKGWKSLILPGILAGIFGIAIATFLGIGFGLKVLQHM >CAK8542249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492894044:492895105:1 gene:gene-LATHSAT_LOCUS11100 transcript:rna-LATHSAT_LOCUS11100-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDIDFSQLPWNVLDTISQKLSFDDLFDFASVCREWRVVKKIYWKNFLESQSPLLVETTSYAKKFYSFYSIPDQRAYLSEMSYFWGLFYCGSSSGYIIMAGANKTLQLMNPFTRKQHNIDISTIINYLNYCACRVLLAFAKGSGEFVIVASCKSSFNLHVYQSRNSSWVTNIEKGNPLKVVDFVVLHNIIYVITNKAEVGVLSLNSTSLKFLKLKNTPDITSSFPQLLSCDGKLLVVYFIPGRLLDVYMIDFGTMSYTKLETLGDIALFYSRNKCYALANPRKWGYESNSVYYINCMSTECEVYSGSSNERLKCIVPVGRRRPSRRSSRSRTYWLDWCFRNLHDEVDYSLVD >CAK8542248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492892990:492895105:1 gene:gene-LATHSAT_LOCUS11100 transcript:rna-LATHSAT_LOCUS11100 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKSGMQQGNNEKDFIRSKSSNGLILSLSRGKKMENDIDFSQLPWNVLDTISQKLSFDDLFDFASVCREWRVVKKIYWKNFLESQSPLLVETTSYAKKFYSFYSIPDQRAYLSEMSYFWGLFYCGSSSGYIIMAGANKTLQLMNPFTRKQHNIDISTIINYLNYCACRVLLAFAKGSGEFVIVASCKSSFNLHVYQSRNSSWVTNIEKGNPLKVVDFVVLHNIIYVITNKAEVGVLSLNSTSLKFLKLKNTPDITSSFPQLLSCDGKLLVVYFIPGRLLDVYMIDFGTMSYTKLETLGDIALFYSRNKCYALANPRKWGYESNSVYYINCMSTECEVYSGSSNERLKCIVPVGRRRPSRRSSRSRTYWLDWCFRNLHDEVDYSLVD >CAK8563146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577622731:577625643:1 gene:gene-LATHSAT_LOCUS16583 transcript:rna-LATHSAT_LOCUS16583 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSGRSGDGDGGVLKEEKRSDDETHHHHHHQKEETIVEEPPIASTEKSIETRPITSSPKNAKVDDQLETTKAQMGEVREENQRLKMCLSKIMTEYRALEMQFQDMVKQEIKKNNVDHNDNNNHEEIMVESDLVSLSLGRVPSNNIPKNEEKVNKVSKLALNNDDEEFKQELALGLDCKFETSKSGSTTEGLPNQNPSPVNSCEVVPTKDEETGVTWPPSKTLNNKTARDAAEDEVSQQIPTKKARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCVDDMSILITTYEGTHNHTLPLSATAMASTTSAAASMLLSGSSTSLSGSIPSTQTNNNLHGLNFYLPDGSKSNQLYLSNPALSSQHSHPTITLDLTTNPSSNSSTSSPFVRFNSNYNNNNNQLPRYPSSTSLSFSSPESNTMHWNSFLNYATTQNQQPYNNNRNPNINTLSTLNFGRQQNTMESIYQTYMQKSNGLPSDSTITAATKAITADPTFQSALAAALSTLIGNNTQQQGNHQNQGVGENLSQKMKWAEMFPVSSSSLPSSSSKVIGCASSFLNKTVPVNSQTPSLMSLSPSLPFSTTKSASASPPGNNSDNSN >CAK8568020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565094085:565096931:-1 gene:gene-LATHSAT_LOCUS21022 transcript:rna-LATHSAT_LOCUS21022 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFLQNGLDSSPGRSQDGSFRKSSSVISATTVSGASGLSKFFPISKRVLKGLKEYGRKLVDFELFTQYLEEWVLENLSNDSAGGMHGFSSLFTIDELRKLDMALEGVPFQQLVRMPIFSEDSEELIEDQYLAAEDFLHAVITGLWRTFWHKSGPLPLCVSCPSHPGSKFSSVEKAISRGRLREMHGLGLISKTSTSSKVKWDQVVEFAVFKPEILLDNALRVSANTICEALFYGFHVLISRSLSKVSSVNSDSVFLLVLDSNSGLVIKFSGDLGKLDLSNSSNPYLSVAEWIKTYAEICITPVEPIWNQLGNPNWGDVGTLQILLATFYSIAQWNGPPRKSVASLISDHSFRLQKRRTECCIIETENALVPYHDHQGGEIVALDQNDLFSNNHASRLQLKHGDILVLDDPQQGQKSFQIYEYLVGGNYYLYSAICLDHPSALLSLYVGAHPSRLEPSWEDMSLWYQVQRQTKVLNILRNQGILNKYLPEIVASGRIVHSGRCNKESPGGKCDHPWCGTPILVTSPVGEPLSSVVSNERTFSADEAIRLCRDCLVALRSAAIANVQHGDICLENIIRIVEKQGNARNHHQQAMYVPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESIIYIVYFITGGTMTQQDSIESALQWRENSWAKRTIQQHLGQVSALLKGFADYVDSLCGTPYPVDYDIWLKRFNNAVEGSADKGKMIEEVAIMLRIEDAAESSGASGP >CAK8570215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29342946:29348171:-1 gene:gene-LATHSAT_LOCUS22987 transcript:rna-LATHSAT_LOCUS22987 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNATEDLSSEMEVDAFRRLFPLRYFERHLAESIRPDGRPLKEARETSIFLGAVASANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCLAIDFHMPSICSPIVRPGRPAEAEPVVSKQLSDTISSSGMIDLKELSLVGGKAAWMAYLDIYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLMSEEDGQKQTKEQVNKEKRKLTLKSIPLSLTCILHKNYILADPTAEEESIIETHVTIVLDTSGQLISLYKPGGPVLAFTSAIQDCVALTRQRVKELKSFLDQANSVMEVE >CAK8539481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513796987:513800421:1 gene:gene-LATHSAT_LOCUS8571 transcript:rna-LATHSAT_LOCUS8571 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNANTLKWLLLLSIALFHFSLTPYIEATSSSISSTHKSFKNAYATMMYVGTPRDYEFYIAVRVLVRSLSKLNVQADLVVIASLDVPLPWIRALEEEDGAKVVRVPNLDNPYKHQDNFDKRFKLSLNKLYAWSLVDYDRIVMLDADNLFLQNTDELFQCGQFCAVFINPCVFHTGLFVLQPSMSVFKDMVNELQNGRENPDGADQGFIASYFPDLLDKPLFHPPSNGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIQWHEQRRQTIGYPSEMVVILMQSAIYIGIIAMTRLARPSLSKLCFRRSDKSINLAHNSLKFVALWCILAAYVTPYFIIPHTIHPLLGWILYFLGSFTFCSIAIDVFLLPMLPVLVPWLAILGVLLVMAFPWYPDGIVRAMSVFFYAFCCAPFLWTSLVRIVAGLQLSLRREAFLPRFAECYPHSWFNKLF >CAK8570637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:78323886:78325005:-1 gene:gene-LATHSAT_LOCUS23373 transcript:rna-LATHSAT_LOCUS23373 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCKGFGFKVEVDKSLPNYVIGDDKRVFQVILHMVRSLIDGNHGGGTLVFRVFAESGSRRRTDQGYATWRPNSSSGDVHVRFEIGINSNDSESETSAASGQLSCRMSTSDRAFDEKLSFSNCKKIIQSMKGSIRTVPNARGFPQVMTLALWFQLRRSIAVTIPEPGESSDSSSSNSLFRGLQVLLADNDDVNRAVTQKFLQKLGCVVTSVSSEFECLSLNGPAVTGYIRWTQQSICAGDFHQYPNDKH >CAK8532747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:509990213:509994270:1 gene:gene-LATHSAT_LOCUS2421 transcript:rna-LATHSAT_LOCUS2421 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSTLFIALQCFQCSTMQVKQKKKCSNKWSCAVCNEKQSVRKVFAQGYKAKDVRTFVQTFNMSRKSLEDDQQRLLAGTLTPTPEHSDGESEFPDELNSNKKCTDWTVYLEHDDHHAEQEQHEDDFEPLVVTELPKDMFKKRKLVDNSTSRKGRRFESPLFRNSQDAGEPVKDQQRITSLTESNSERNNTVTTANQRNQKCKQTINSSSSKWNDYLTEDNDKLEFGCKRGFNFKDTSGSSNNDILEAITCEQRVEDDIHPDFM >CAK8538287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475306845:475307171:1 gene:gene-LATHSAT_LOCUS7502 transcript:rna-LATHSAT_LOCUS7502 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEDYWPTYHGETIYHNENMRRNKKSRPKSTRITTEMDTTEKMERCRICRLPGHTRNNCPNVGTSSR >CAK8561281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135650551:135651309:1 gene:gene-LATHSAT_LOCUS14889 transcript:rna-LATHSAT_LOCUS14889 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCFGSNVEVSLKQKCKSTTEEEEERTISSGENGCFDCNICLDSANDPVVTLCGHLYCWPCIYKWLNVQNSSVEPDKQPTCPVCKAVISHTSLVPLYGRGKSDSETRSNKLQVGLVIPHRPPPYNLNAMLTSNRPSNLHHGEQQLHPNYFQTQSRPIHYQHYIPHLYEGYGGSGLPYHGGAASFVNPVIGMFGDMVLTRIFGVSEANLVTYSHNGSTSPRMRRQEMQIDKSLNRVSIFLLCCFILCLLLF >CAK8565580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283673458:283679095:-1 gene:gene-LATHSAT_LOCUS18779 transcript:rna-LATHSAT_LOCUS18779 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGPSSTKTVGKHGVTRLQKIHKAKSNGKRIEVQWNARGQPIKHNSKSFASYIGVTVRRLVPISLDNWSAKENKEAVGVYKQNIWDEIEKAFVIGEEHRAYIYKEAGRLHRAFRTKLAKFYLRDSKGGFVKHRPAKYSYCIKQEDWDKFVAQRQNEKFQKVSSENRERVLNPQHPYRKSRLGCARLEADMVEESGEDEINRSQVWKAARVNKNGVIDNENVQRVVDQCEKLTEAIIEEERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQIRPTQMDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPEQVSNNLQQPEKGAQGLQQLEDVAERQQPEQVSKSLQQPEKGTQRQELSDKGSCNPGSFGNIPEGLLPVNIYLSSPSRCLVARGKLYNTKGNTVHGMTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFIEHLRVEGIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKIRCPRQINSIDYGYFVMRFMKEVIMENEIMIPINYFPDHKCRTYSKDKLTEVKDDWATYMVDDIFGKQEAMVLPS >CAK8571497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:431634126:431636749:1 gene:gene-LATHSAT_LOCUS24157 transcript:rna-LATHSAT_LOCUS24157 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTFMVYVLSCFSFALLSALFLMHNNQNPLSSSSLSSTSTRYDRIWPELKPSWKLVLATVIGFLGSACGTVGGVGGGGIFVPMLNLLLGFDAKSAAALSKCMIMGASASSVWYNLRVAHPTKEVPILDYDLALLFQPMLMLGITLGVTLSVVFPFWLITVLIIILFIGTSSRSFFKGIQMWRVETILKNETAKQADLVNSNGEYETVLIDTRYEPLIPKEEKSTMQILCFNLKWKRILVLLAVWISFLLIQIIKNHVEVCSVWYWVLFGLQFPIALLVFGFEAVKLYKDHRKRKGTGSLEYICEASIEWSVTSLAFCAMCGIVGGIVGGLLGSGGGFILGPLLLEIGVVPQVASATATFVMMFSSSLSVFEFYILKRFPIPYALYLVTVSVLAGFWGQFFVRKLVAFLGRASLIVFILSAVIFASALTMGIVGTEKSIQMIQNKEFMGFLGFCTS >CAK8540275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548529548:548532189:-1 gene:gene-LATHSAT_LOCUS9295 transcript:rna-LATHSAT_LOCUS9295 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSLRSFQTSLPKTLSIFYFPLFLLFSSSTSAFDLATIPFNDGYSHLFGDGNVVRSADGNGVQLLLDRYTGAGFISSNMYQYGFFSANIKLPSNNTAGICVAFYTSNGDVFEKSHDELDFEFLGNVAGKPWRFQTNLYGNGSTYRGREERYRLWFDPSKGYHRYSILWTQKNVIFYIDEVPIREVLRSEEMRGEYPSKPMSLYATIWDASNWATSGGRYKVNYKYAPFGAEFKDLVLKGCSTDPTQEFSDIEACVEQNTHLEAQEYAEVTPMRRMRMRRFRQRFMYYSYCYDTIRYPIPPPECHFNLAEKQRFKETGRLKFGGSHRRQSRRRGRTTTPTEDSDM >CAK8565520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:254109034:254113750:-1 gene:gene-LATHSAT_LOCUS18727 transcript:rna-LATHSAT_LOCUS18727-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAHGKKVNINHKKTIVKSGCDLFKGRWVYDESYPLYETSQCPFIEKEFDCQNNGRPDQFYLKYRWQPTGCNLPRFNGEDFLRRYKGKSVLFVGDSLSLNQWQSLTCMLRIAVPQAHYNLLRMGDLSIFTFASYNVKVMFSRNAFLVDIVSENIGRVLKLDSIEAANTWKGIDVLIFDSWHWWLHTGRKQPWDFIQVGNNRFRDMDRLAAYEKGLKTWANWIDANVNITKTKVFFQGVSPDHVNSEQWGTPTESAKFCEGQEKPLLGTKYPGGPHPAELVLERVLSSMKKPINLLDITTLSQLRKDGHPSVYGHGGHRDMDCSHWCLAGVPDTWNQLLYATLIQN >CAK8565518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:254109034:254128785:-1 gene:gene-LATHSAT_LOCUS18727 transcript:rna-LATHSAT_LOCUS18727 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIIIFVLVFHALSMNLAHGKKVNINHKKTIVKSGCDLFKGRWVYDESYPLYETSQCPFIEKEFDCQNNGRPDQFYLKYRWQPTGCNLPRFNGEDFLRRYKGKSVLFVGDSLSLNQWQSLTCMLRIAVPQAHYNLLRMGDLSIFTFASYNVKVMFSRNAFLVDIVSENIGRVLKLDSIEAANTWKGIDVLIFDSWHWWLHTGRKQPWDFIQVGNNRFRDMDRLAAYEKGLKTWANWIDANVNITKTKVFFQGVSPDHVNSEQWGTPTESAKFCEGQEKPLLGTKYPGGPHPAELVLERVLSSMKKPINLLDITTLSQLRKDGHPSVYGHGGHRDMDCSHWCLAGVPDTWNQLLYATLIQN >CAK8565519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:254109034:254113795:-1 gene:gene-LATHSAT_LOCUS18727 transcript:rna-LATHSAT_LOCUS18727-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIIIFVLVFHALSMNLAHGKKVNINHKKTIVKSGCDLFKGRWVYDESYPLYETSQCPFIEKEFDCQNNGRPDQFYLKYRWQPTGCNLPRFNGEDFLRRYKGKSVLFVGDSLSLNQWQSLTCMLRIAVPQAHYNLLRMGDLSIFTFASYNVKVMFSRNAFLVDIVSENIGRVLKLDSIEAANTWKGIDVLIFDSWHWWLHTGRKQPWDFIQVGNNRFRDMDRLAAYEKGLKTWANWIDANVNITKTKVFFQGVSPDHVNSEQWGTPTESAKFCEGQEKPLLGTKYPGGPHPAELVLERVLSSMKKPINLLDITTLSQLRKDGHPSVYGHGGHRDMDCSHWCLAGVPDTWNQLLYATLIQN >CAK8573970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650820415:650823002:1 gene:gene-LATHSAT_LOCUS26363 transcript:rna-LATHSAT_LOCUS26363 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLLEKDREGEPGRSITRGLFVQEVKDVGFLALPMITVTLAQYFLQIISMMMVGRLGKLALSSTAIAISLCGVSGFSLLYGMSCALETICGQTYGAKQYKRVGVQIYTGIISLVIVCVPLSILWLNLGKLLTLLGQDPLISQEAGKFAVCMIPALFAYATLQALVRYFLMQSLIAPLVISSSVTLCFHVAFCWLLVFKSGLGCLGAAFSIGTSYWLNVIILGLYMNFSSDCEKTRVPITMESFLGIGEFFRCAIPSAGMICLEWWSFELLVLLSGLLPNPQLETSVLSICLSIISTLYTIPEATGSAASTRVSNALGAGHPQAARLSVYASMTLAVSEAILVSSTIFASRRIVGYIFSNEQDVVDYVTSMVPLISLNVIVDSLHGTLAGIARGSGWQKLGAYVNLGAYYACGIPIAVILGFRFELRGKGLWIGILVGAFCQALLLFLITSFTNWEKQANRARERVFEGSFAEEDRIVLS >CAK8579382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695069239:695074899:-1 gene:gene-LATHSAT_LOCUS31333 transcript:rna-LATHSAT_LOCUS31333 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWAMEFDSNTNQIKDSISKAKQAGAVIRLGPELEISGYGCEDHFLELDTVNHSWECLKDILVGDWTDGIVCSIGMPVIKGSERYNCQVLCFNRKIIMIRPKMWLANDGNYRELRWFTAWKQKDQLDDFQLPLHVSEALGQKSVPFGYGFVKFQDTAIAAEVCEELFTPSPPHAELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCASVVVNGDVVAQGSQFSLNDVEIVVAQIDLDVVASLRGSLSSFQEQASCKVKVPSVDVPYNLCLPFDLRIRLSVPLQIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVRADAIRIGNYKDGQYPTDSREFAKRIFYTVFMGSENSSDMTRSRAKVLGEEIGSWHLDVSIDGVVSALLSLFQTLTGKRPRYKVDGGSNVENLSLQNIQARIRMVLAYMLALLLPWVHNKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRAFLRWAAIHLGYTSLADIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCYRWGARLTPSQVAEKVKHFFKFYSINRHKMTTLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFQKIDELVRELDVKDVQESGNHETVAALSNGVGGMGVAAAGSGNPNVGI >CAK8577606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577922182:577923689:-1 gene:gene-LATHSAT_LOCUS29697 transcript:rna-LATHSAT_LOCUS29697 gene_biotype:protein_coding transcript_biotype:protein_coding MNSILRTVTTSLIPRTVSVSKFMDSSSPATIGGSQRLLALAQHLRLYKPPPFPEDIFEQSIEDNGSSKVVSQLGFPESATTIQHPEKFKPKKAAVLICLFEGDHGDLRVILTKRSSKLSSHSGEVSLPGGKADEGDKDDADTAKREAKEEIGLDPELVNVVTVLEPFLSKHLLRVVPVIGILHDKKAFRPVLNPAEVESVFDAPLEMFLKDENRSQEEREWMGEKYLIHFFDYDDIEHKKYLIWGLTAGILIRAASVVYQRPPAFVEQNPKFKLPQVVSKDSSMT >CAK8537857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442239563:442246591:1 gene:gene-LATHSAT_LOCUS7110 transcript:rna-LATHSAT_LOCUS7110 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRDSGKVCHVLAMPFPGRGHINPMLSLCKILASRRPDEILITFVITEEWLGYIGADPKPEAIRFATIPNVIPSEREKAGDFPGFYEATMTKMEAPFERLLDQLVPPVDVIIGDVELRWPVAVSNRRNIPVAAFWTMSASFYSMLHHLDVFSRDRHLTIGTLGEKPENIPGISSLHMEDLLTVLRKTDERAMQLALECISAASKASYLLFTTVQEFEVETIDTLKDIFSFPVYPIGPAIPYLELEENNSGNTHNRHDYIKWLDSQPDESVLYISFGSLFSVSNAQMDEIVEALNNTGIRYLYVARGETSRLKDKCGDKGMAIPWCDQLRVLSHSSIGGFWTHCGWNSTLETVFAGVPILTFPLFLDQFPNSSQIVDEWKNGWKIAVLPSSESEVILEKEEIEVLVKSFMDLECEEGKKIRDRARELKVMFHKAIGKGGSSDKNLDAFFNDISFQGL >CAK8574722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7337640:7339831:1 gene:gene-LATHSAT_LOCUS27039 transcript:rna-LATHSAT_LOCUS27039 gene_biotype:protein_coding transcript_biotype:protein_coding MDECNNNRGEFQLHSSSSRSIQQQHSPSFRRREIKSSSNVPLSWIGSNRLVLWLFLITLWAYLGFFVQSKWDDYDKQQEIKGFDFHLSNHQDFVVKKSSLFDHNEKVVVLAKKEEKGKSTSRKRKSRRSLRSEVKDKQKRKLKVEKELERFSLVGPFGLLEDRILKLSTNNNDKCCGKCDKKSDFAKAVFSKRFVLIFHELSMTGAPLSMMELATELMRCKANVSAVVLSKKGGLMEELIRRKIKVVDDKVDHSFKAAMSADLVIAGSAVCSSWIEQYIEYFPAAANQVVWWIMENRREYFDRSKHVLNKVKTLVFLSESQSKKWRKWCEEETIRLKFQPAIVPLSVNDELAFAAGIHSSSTSAKIDEKRKLLRESVRREIGLNDNDMLVISLSSINPGKGQLLFLESANKIVENESSQNSSEVTWDRRHHIRKLLPMSSNGKMKQSLKIMIGSVGSKSNKVKYVKSIASFLSQHSNLSKNVLWTPATTYVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLAVLGTDAGGTKEIVEHGVSGLHHPIGRKGNDVLAENLRFLLENKFAREKMGMNGRKKVQRMYMKQHMYRKFVEVLVRCMRSK >CAK8535116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815035430:815038159:1 gene:gene-LATHSAT_LOCUS4593 transcript:rna-LATHSAT_LOCUS4593 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLSLLILVFTFFSLNPLFSLASKSTYKDQCASLIPETYSTTKLKFNSFPLSDKYTGYYIGGDSIINVDTTWNQFSLYFPTRHTHATLHPDIFKLEGTVSFRTTYDDHRGYLTFKLDGFWSQSSGNVCMIGQSKGVSKKGDSLNLEAVFKLNNVFNSSNITTLVSGSLESLSSEKGDDDRYFEPISLMMFPKANYNYSLDTKEVENDFSFDSDDDDEEGLSLNFDSLSFCSYPLSSAITTLQLEYTHECSSSKNCTPIISGSSDQLPSHMSLKGIECSSSHIKKHRVKVLVEFSNSVDYYWDRNNQSLNTETMLIGEGWWDEKKNMLYVVLCHFIGGRKSSSFNGIHVGDCSIRLRLRFPSIWSINSTSSIVGQIWSNKSASDPNYFKNITFMNHHNYRIGGKDLKYEYSKLERVNKSCSTNKVVANQGKRYPDAYSYDMKFDMSVRESRKRVAWGSSSPLFVDDDSYESDLSTLSSGFDAGILNNDNGGLFNISYKISLSVMSSLPFERNSLFNTSYYSVKISAEGIYDSRNGTLCMIGCRDLVLKDGTPTSHSLDCEILMKFQFPPLDTNDRSYIKGSIESMRHKSDPLYFKTLEVSARSYNVVFARRNAWRMDIEVIMTLISTTLACVFVGLQLYHVKKHPNVLPFISIFMMSILTLGHMIPLILNFEALLAQNPNNKSYIIGYVEKWLEVNEISVRLITMVAFLLQFRLLYLTWSSRKTNESENHLWIAEKKASYVTFPLYAAGLLIALLLKLKNDRNSVASMYRQHVSSWENIKSYGGFILDGFLVPQVILNLFSNTKENALSSPFYFGTTFVRLLPHAYDLYRTHNYGDQDSYSYFYADPSEDFYSTSWDIFIPLVCILLAIIIYLQQHFGAQCFLPGRFKGSKGYAKVPKSEGEVETTNM >CAK8566448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424273968:424274508:-1 gene:gene-LATHSAT_LOCUS19579 transcript:rna-LATHSAT_LOCUS19579 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSHSPCASCKLLRRRCAKDCIFAPYFPSHDPQKFAIVHKVFGASNVSKILQELPVQQRTDAVSSLVYEAYARVKDPVYGCVGAISYLQNQVSELQMQLAVAQAEILYIQMQHHDEPVMQIPEMGMALDHNFPSSSNVISNESLSNETSFWL >CAK8575559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:169380642:169380992:1 gene:gene-LATHSAT_LOCUS27814 transcript:rna-LATHSAT_LOCUS27814 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFDSSVNLEETHLMEGYDEGYSDGLVAGKEEGKQVGLKVGFEVGEELGFYSGCIYIWTSATQIDPTCFSSQAKTAITQMQDLIHKYPLTDPEDLQVQELHYKGYPAEANDTQF >CAK8539475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513667729:513669091:1 gene:gene-LATHSAT_LOCUS8565 transcript:rna-LATHSAT_LOCUS8565 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNDFAIVVADSSAVHSILVHKSNEDKIMFLDSHKLIAASGEPGDRVQFTEYVQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILLAGYDKDIGPSLYYIDYIATLHKLEKGAFGYGSYFSLSMMDRHFHSGMSMEEAIDLVDKCIMEIRLRLVVAPPNFVIKIVDKDGAREYAWRESVKDAPAPSAE >CAK8570101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23802648:23804555:1 gene:gene-LATHSAT_LOCUS22884 transcript:rna-LATHSAT_LOCUS22884 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVDHYTVLGLPSGEEGANLTDKDINKAYKSKALELHPDKRRDDPNAASNFQQLRTSYDILKDEKARKLFDDLLRVKRDNERRQSQRDGKRRKMVSELERRERDAFSPDPAAKGREEEDRIAKQLKDEIARIRAMHAKKIVPGFDSKKENTTSVGGGGGGGGADEEKVLKVSWEKDGEDYSADKLRELFSKFGEVEDVIRRNKKKCSAFVVMATKQGAVATIGNVIGHLANPLLVLPLKPAMPADSWGSPKSVESEVPSKLFGSGYQAFEDSVLMKLQKAAAKQR >CAK8571170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:321913641:321915121:1 gene:gene-LATHSAT_LOCUS23860 transcript:rna-LATHSAT_LOCUS23860 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIASILLIGILALAYQAIKPPPPRTCGSPGGPPITAARIKLRDGRHLAYKEYGVPRQSANNKIVFIHGFGSCRHDTVIATNLPSGLLEELGAYIVSFDRPGYGESDADPKRSPKSLALDIEELADKLELGSKFYVMGFSMGGQAVWGCLRFIPHRLAGATLLTPVINYWWHGLPYNMSVKAYYEQLPQDQWTVRVAHYVPWLTHWWFTQNWFPTSSVVQANPAVLSSQDLSIVSNSVKKEHQSQVKQQGEHESICRDAIIGFGTWDFDPLDLYNPFSDNTTQVHLWQGTDDRLVPVTLQRYIVQKLPWIQYHELPGAGHLFPHIEEVSAAIIKTQLADTK >CAK8572169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516831593:516832150:-1 gene:gene-LATHSAT_LOCUS24762 transcript:rna-LATHSAT_LOCUS24762 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIVVKYKECLKNHAAAIGGNATDGCGEFMASGDNDTLEALMCCACDCHRNFHRKEIESDSQHYALSLIPDHNINAPFLPHLSPIKSESNSPSDQSYYEKDCIKEVEYRAEKMIKKRSRTKFTKEQKEKMLCFAEKAEWRIQKLEESVVQMFCQEIGIKRRILKVWMHNNKNTFAKRNVSSII >CAK8575751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:306196992:306198443:1 gene:gene-LATHSAT_LOCUS27987 transcript:rna-LATHSAT_LOCUS27987 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVQIAKHLHKPLNLKPKPLTLFFSSSSSQQQQQISVTSAFSILTNQRSKSRWNTLHSLYPHGFNPNDFSQITLHLHNKPHLALHFYQWTKSKSLCHHNLSSYSTIIHILARARLYSHAYNTIRTALLDSKTQSTSTPLKLFEILVNSYRDCGSSPFVFDLLIKACLQSRKIEASIEIIRMLLSRRISPNVMTLNSLISRVCSKFGVDLGYAIYREFFRLDEEKYDFSKRGFRVVNPNVHTFNTLMLCCYQNGLMEKVEEIWGEMSCDPNAYSYSLLMSAFCEGGRMGDCEEMWKEMRKKEIEPDVVSYNTIIGGFCKIGDVGKAEEFLREMGLVGIDATGSTYEDLVQGYCNVEDVDSAVLVYKDMLRKDFRPDSLILDMVVRLLCDRGRIEEAMEFFRSGVVKFELDPKDKSYEALIKGLCFEGRMEEGLKLQAEMVGKGFEPNSEMYEAFIDGYIRQGNEEMAEALRREMVQTLAEITD >CAK8565765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:329453060:329458800:-1 gene:gene-LATHSAT_LOCUS18950 transcript:rna-LATHSAT_LOCUS18950 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRNKPSKPAKLQKAPKKVQIVPVKDDSSSESEEEAPPQQLELGDDGGNDSGSDSDLSSDGDDQLADDFLQGSGEDDDEENASGSDSGSELDSDSDDDDDDIEKKSKAIDEAREREKEDAEAEMQTNIQEESDEFRLPTKQELEEEALRPPDLSNLQRRIKEIVRVLSNFKALRQDESTRKEYVEQLKNDIRAYYGYNEFLIGALVEMFPVVELMELIEAFEKPRPICLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQSASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIFANEIKVPRLKSLTANLHRMGVSNTVVCNYDGKELPKVMGLNSVDRVLLDAPCSGTGVISKDESVKTSKNYEDIKKCAHLQKELILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKRRDVKLVPCGLDFGRPGFTKFRELRFHPSLDKTRRFYPHVHNMDGFFVAKLKKMSNSKLGAKPYETSEKGEEETELVKDDDKPSNGITETSENDEEETELLKDDDKPSNGIKENGKQSLGSESKKRKSEKFPSKPSSNGVNANVKASFEPESKKRKFVKTKFPSREEISKSREEKRLALIESKRKGIKQPENKTTGENKRIWAKKQRKGGKKQRTK >CAK8567657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534084281:534085733:1 gene:gene-LATHSAT_LOCUS20689 transcript:rna-LATHSAT_LOCUS20689 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >CAK8565979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364239158:364239799:1 gene:gene-LATHSAT_LOCUS19151 transcript:rna-LATHSAT_LOCUS19151-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVATVTDLQLNALGSFLSLPSVITTCVAQIMKNTIQKKYKVSSTQILYQSCPYQAATLLISSLYLDKLLTSQNVFAFKYTTQVTIFIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLQDPSSWRNIMGILLAMVGMILYSYYCTLENQRKAIEVVALASQTREGESDTLINLEKGSNVVPDSVGHMSHVWSKDKD >CAK8565978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364239056:364239799:1 gene:gene-LATHSAT_LOCUS19151 transcript:rna-LATHSAT_LOCUS19151 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEIIPCTVLLETLFLGKKFSKRIQFSLPILLMGVGVATVTDLQLNALGSFLSLPSVITTCVAQIMKNTIQKKYKVSSTQILYQSCPYQAATLLISSLYLDKLLTSQNVFAFKYTTQVTIFIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLQDPSSWRNIMGILLAMVGMILYSYYCTLENQRKAIEVVALASQTREGESDTLINLEKGSNVVPDSVGHMSHVWSKDKD >CAK8561115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97456005:97456355:1 gene:gene-LATHSAT_LOCUS14734 transcript:rna-LATHSAT_LOCUS14734 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRISKRDKKEIKVTYISSPVKVKTNASNFRALVQELTGQDSNVAEITTMPLDDERLVHMDASSTHQQWRVDRNHVPHQDHTFLKSGYSEFLSRQSFGEPLSEHLQYDLLSFDM >CAK8576379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468299712:468302684:-1 gene:gene-LATHSAT_LOCUS28571 transcript:rna-LATHSAT_LOCUS28571-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRIGEIGLSESGPSSQHVPYGVLHGITTSTSTTLMNQGSTFDFGELEEAIVLQGIKIRNDEGSKPAATLEMFPSWPIRFQQTPRVVASLTSPSLTNPYGVSKSGGESSDSGSTEVQFETDSPISIKASSSSDHHHNHGFDQIQQQQEIATTDDALRTGTSAQNQSKAKSLQQKKKGAVSTSEKTLDPKTLRRLAQNREAAKKSRLRKKAYVQQLESSRLRLSNLEQDLQRARSQGLFLGCGGGNISPGAAMFDMEYARWLEEDQRLLTELRGGLHAALADNELRVIVDGYLYHYDELFRLKEVAVKSDVFHLIKGIWTSPAERPFIWIGGFKPSELITMLTQQLEPLAEQQVVGIMELRHSSHQAEDALSQGHEQLHHCIVDTIAGGPVIDGVQQMVAAMARISNLEGFVHQADNLRQQTLHQLCRILTVRQAARCFIVIGEYYGRLRALSSLWASRPRENLMNDDNSCQATTELQMVQSSQSHFSSF >CAK8576381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468299712:468302684:-1 gene:gene-LATHSAT_LOCUS28571 transcript:rna-LATHSAT_LOCUS28571 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRIGEIGLSESGPSSQHVPYGVLHGITTSTSTTLMNQGSTFDFGELEEAIVLQGIKIRNDEGSKPAATLEMFPSWPIRFQQTPRGVSKSGGESSDSGSTEVQFETDSPISIKASSSSDHHHNHGFDQIQQQQEIATTDDALRTGTSAQNQSKAKSLQQKKKGAVSTSEKTLDPKTLRRLAQNREAAKKSRLRKKAYVQQLESSRLRLSNLEQDLQRARSQGLFLGCGGGNISPGAAMFDMEYARWLEEDQRLLTELRGGLHAALADNELRVIVDGYLYHYDELFRLKEVAVKSDVFHLIKGIWTSPAERPFIWIGGFKPSELITMLTQQLEPLAEQQVVGIMELRHSSHQAEDALSQGHEQLHHCIVDTIAGGPVIDGVQQMVAAMARISNLEGFVHQADNLRQQTLHQLCRILTVRQAARCFIVIGEYYGRLRALSSLWASRPRENLMNDDNSCQATTELQMVQSSQSHFSSF >CAK8576380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468299712:468302684:-1 gene:gene-LATHSAT_LOCUS28571 transcript:rna-LATHSAT_LOCUS28571-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRIGEIGLSESGPSSQHVPYGVLHGITTSTSTTLMNQGSTFDFGELEEAIVLQGIKIRNDEGSKPAATLEMFPSWPIRFQQTPRVGVSKSGGESSDSGSTEVQFETDSPISIKASSSSDHHHNHGFDQIQQQQEIATTDDALRTGTSAQNQSKAKSLQQKKKGAVSTSEKTLDPKTLRRLAQNREAAKKSRLRKKAYVQQLESSRLRLSNLEQDLQRARSQGLFLGCGGGNISPGAAMFDMEYARWLEEDQRLLTELRGGLHAALADNELRVIVDGYLYHYDELFRLKEVAVKSDVFHLIKGIWTSPAERPFIWIGGFKPSELITMLTQQLEPLAEQQVVGIMELRHSSHQAEDALSQGHEQLHHCIVDTIAGGPVIDGVQQMVAAMARISNLEGFVHQADNLRQQTLHQLCRILTVRQAARCFIVIGEYYGRLRALSSLWASRPRENLMNDDNSCQATTELQMVQSSQSHFSSF >CAK8540813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23039319:23042411:-1 gene:gene-LATHSAT_LOCUS9780 transcript:rna-LATHSAT_LOCUS9780 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRQYYGRDRISGLPDSLLLQILSNLKVKQAVQISIVSTRWKDMWKHISILYIWGDYRNLESMESFSNFVSQFFSFRNDKTSVQALTFRGLHSFDPELLKRILQYLFSHNIQQLNMMVACSLEHFPLSTNFSCHTLTSLKLFPCPEWGEYGRLPPVFPNSLQFPALNYLFLRCFTFVCTTNDGYADPFSVFQSLKTLTIQFCQLLNQKTLLISSVSLVNLTIRLPVEDESYKLKLSTPNLCSFDFSGHSLQNLCGHNFISNTNFSYIKHVRINLSRLQPQLSPSILFNWLVELGLMESLTISSKTLEVLSLIPDSWKVDFPYLHNLKLLKIETHEFFPSPPDGTEDFLLQNAPSAKKVILPRPTLDDYFKS >CAK8572990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575115296:575115927:-1 gene:gene-LATHSAT_LOCUS25487 transcript:rna-LATHSAT_LOCUS25487 gene_biotype:protein_coding transcript_biotype:protein_coding METYCVDLKKETCSCRKWDLFGISCCHVISCVWNIKKQPENYIAACYKKTTFMDTYSNIVYPTNGPQLCPIADMNTVAPPVMRRAIGRPKKQRNKTNDEPRNPHILPRRISIVTCAKGGAMGHNKMKCKGKREPDIAIPKGGNKPKMDKKVKGGKGTKKLKEKNTEIAQSSQAPQPTQE >CAK8531046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:75078889:75081006:1 gene:gene-LATHSAT_LOCUS862 transcript:rna-LATHSAT_LOCUS862 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEILKIQKCVLKVNIHCDGCKQKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPKILIKKLTKSGKHAELWGAPKANNNNQNNNIANQMKNMQIDNGKGGGNNNNGNKGPKGDGNNQNGGGKKGGGGGGQGQNPQLQQLQQQQQQQQQLQQQLQHLQQLQQMKGFQDLKVPQFKDMNMKMPPGNQNPNMKAVKFNLPDDEDMSDDELDEFDDDEYTDDEFDDEMEQHPLNKMKLPMGGNGNVNGPPHMMMGGGNHPQFMNAPKGGGGGGGNHPQLMNGPKGGGGGNGGGNGKKGGGGGSGPVPVQVHGMGGGNDGKNGGKKGGGGGGGGGGGGGGGGNNPNQGGGNKNNGGGKNGGGMPEGKNGNKNVGGGGGGGGNGGIPNNNGGKKVNVMGGEGGFQGMMNNGFPNMNGGAGHHPNVGPMGNNNMSGIPMGGGAMGNNMPMMNQMGGGSNIPAVQGLPAAAAGVGGGGYFQGGGGGGGAGQEMMMGGNPYQQQQYMAAMMNQQRAIPAGGGGNDRFQPMMYARPPMAVNYMYPPPYSYPPPPQHPQDPYSNFFNDENTSSCSIM >CAK8540283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548880843:548883408:-1 gene:gene-LATHSAT_LOCUS9302 transcript:rna-LATHSAT_LOCUS9302 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSGAISDVLVGLPSSSSLRPPEFRHMHAHDAKNFLSGRFLKSCPPSYFVKHNNEPYNFRARRLSVRASAESSDNFVPSPPLEFESPVGQLLEQILQTRPHLVSETIDQELEKLLAERDAHKEESSTSDVDSLYKRISEIKEREKRTTLEEIMYCSIVNRFKESKISMIPKISTTSNPNVPVDLWPNQEFKLEAVHSPEAFEMIQSHLSLVLEERAVGPLQTIVQISKIKLGKLYAASIMYGYFLKRVDERFQLERSTGILPQDVSKGNMSFDEPSPPNKLWDSDSLIRIYPDEEGYYEKDYMDTSEGKSYGLKAYVMQLDADTLQRLAFLRSKEAISLIEKQTQAIFGRPDVQVTEDGSIKTTNDEVLSLTFSGVTMLVLEAVAFGSFLWDQENYVDFKYPFLDK >CAK8565786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:333092349:333094928:1 gene:gene-LATHSAT_LOCUS18968 transcript:rna-LATHSAT_LOCUS18968 gene_biotype:protein_coding transcript_biotype:protein_coding MSETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNSLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKITLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTIEKEISDDEDEEDKKDEEGKVEEVDEEKEKEEKKKKTIKEVSHEWDLVNKQKPIWMRKPEEIGKEEYSAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLAFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKGKIAELLRYHSTKSGDEQTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEETEDEKKKQEELKEKFDNLCKVIKEVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMEELRKRADADRNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDAAEADADMPPLEEADADAEGSKMEEVD >CAK8564236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660578931:660579323:1 gene:gene-LATHSAT_LOCUS17559 transcript:rna-LATHSAT_LOCUS17559 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGEVSTPLTVAKPVQANGAPGIGSESGVFVDAEVGEVVDFVGEEGGGWRLEAAGLGGTEAEAVEGAGEEEEVEEEESGEAEEEEEEGGEKEHDDGFEEEGEEVRVGFVFGIGAMGMVRKRRHGWDWN >CAK8576808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516155357:516156097:-1 gene:gene-LATHSAT_LOCUS28974 transcript:rna-LATHSAT_LOCUS28974 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAEYKSDLKKEKVLQALLEDPILSDVPKNPSLEDVETLLGLELGSAMRISVLKLDSSSFDVIVMNTATVKVLKLAIKKKVNYMEQSSMGHRHISWKSVWANYCLAFDNNKLLNDDDVLQDLGVRNNSQVHFVPYVMTKESRRHSKRRNHRFFHGLSKLF >CAK8570919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:170878573:170881823:-1 gene:gene-LATHSAT_LOCUS23628 transcript:rna-LATHSAT_LOCUS23628 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSISHSSSFLTKLIKVHENNYWRKTSTTKNVVVFCHQGGCGGGNVIKSSNLSSVITERTPLVTSTDHGATTLMDAGSLVLSQNADIVVKDMVPYGGTTSTPLIGLDEGIGIVKFLKGKKFFVTGATGFLAKVLIEKILRTEPDVGKMYLLIKAKNKEAAMERLQNEIIDTELFRCLKQIHGKSYQSFMLSKLVPIVGDICETNLGLDEDLSDIIADEVDIIVNSAANTTFDERYDTAININTRGPCRLMAIAKKCKKLKLFLHVSTAYVNGQRQGRIMERPFSIGDCIAREKLISGVPPKYLPTLDIENEINLVLKNKGNIEDNELAQKMREMGLERARRFGWQDTYVFTKAMGEMMIDKLRDDIPVVVIRPSVIESTLSEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVVPADMVVNSTLSAMAKHGTTRKRDISVYQIASSVVNPLFFQDLTSLLYEHYTTSPCIDSKGNPIQVPLMKLFSSSEEFSGHLWRDAIQKSGLTSMASPNGKMSQKLENICRKSVEQAKYLAKIYEPYTFYGGRFDNSNIQRLMEIMSEEEKREFGFDVKGIDWKDYITNIHIPGLRRYVMKGRGMSNQ >CAK8541644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:325485874:325486953:1 gene:gene-LATHSAT_LOCUS10549 transcript:rna-LATHSAT_LOCUS10549 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEMKDRQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVISLQATHGNKWKKIAAQVPGRTAKRLGKWWEVFKEKQQRETKGINKNVDPINDSKYEHILESFAEKLVKERPSPSFVMAASNSSYLHTDSQAATPGLLPSWLSNSNNTAPVRPNSPSVTLSLSPSTVAAPPPWMQPVRGPDNAPLVLGNIAPHAAVLSYGENMVMSELVECCKELEEGHHALAAHKKEAAWRLSRVELQLESEKASRRREKMEEIEAKIKALREEQAVALDRIEGEYREQLAGLRRDAEAKEQKLAEQWAAKHLRLTKFLEQVGCRSRHAEQNGR >CAK8543672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630635327:630636310:-1 gene:gene-LATHSAT_LOCUS12416 transcript:rna-LATHSAT_LOCUS12416 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNIIPRRNYKERAQPASRERCGVLEKHKDYVIRAKAFHKKQDTIRKLKEKALNRNPDEFSFKMISSRLVDGVHRKNQDIIHHVTKNNEMKPILQKIDDEKKKIKKLKTTIDSQTIFYTERKINSSNKQLKESKNRLKELENIYVNKTLLMETQNNSKKRKLHEESKPFKNKLCEGKC >CAK8573721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632990588:632997515:-1 gene:gene-LATHSAT_LOCUS26130 transcript:rna-LATHSAT_LOCUS26130 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCRYLFSKGILSHTSDVPPYRLFLEAHPGAYTTSRTHDNASYLMFWERHIKRLSQSIQILSNSAPQLLFKSHSAASLQPLLSNLPVWQLALKMLVNNSLEKVLPIALKERVDSEELVVNTLVTGNPEELMNACETMSEDNVSKLFDVHVHIETYVPPQFGIRGNGEHLAVGGYGRNVAAAKYSDWVRIRKTLEKLRPPSVTELLLSYNGDQILEGSTTNFFVVSRKEDWNSDDGKAPFDYGNKNSFEVQTAPTTDGVLPGIIRQLVLEVYMNEGITFREVAPSWSKHEIWEEAFVTSSLRLLQHVESIQVPTEWQSAHSKTWKDISWTKKLFQDGPGMITTLIQGKVMEKAVLEGYPISNICRR >CAK8576884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522156366:522157310:1 gene:gene-LATHSAT_LOCUS29046 transcript:rna-LATHSAT_LOCUS29046 gene_biotype:protein_coding transcript_biotype:protein_coding MILCQQATIKHYIGDTREKIEQNYLSKFVRRIFSGDVNMRNPEEEDQKTQFQVSMQWIPECPNLRDSVVVA >CAK8534382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721965320:721965959:-1 gene:gene-LATHSAT_LOCUS3932 transcript:rna-LATHSAT_LOCUS3932 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPILIKDLEKGKQVWKMLIRVVDLWIVKEKSGLQHFKMVIQDSQGDQIHVTTQNREFKDWSEQLTEHETYCLYNGEPMTNDGTFKVCPNKLKLVFNGGTTVSKLPIPEIPKHQFKFKLIVDFLTGNSITDLLYDVVGVLQDVVKT >CAK8565602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:289715907:289716485:1 gene:gene-LATHSAT_LOCUS18799 transcript:rna-LATHSAT_LOCUS18799 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKYRLVRKHSELWRTLRDGDFEEEEIWDVVKEREDYTCEEVFHHKAKNKELSSFPIPIGSRTIPIPRTSSESSSANSSHETKGFQQSSAPVNIPDWSKIYGGGEKVNKSVKNVSRYGNDNYGYYDDHEGGDDEVLKHGGEGSDEDEDDGENGTRLPPHEIIARRLARSQISSFSVLKVLVGHLRVGILAK >CAK8578545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636879605:636887157:1 gene:gene-LATHSAT_LOCUS30546 transcript:rna-LATHSAT_LOCUS30546 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPFIHARCSYSSRISNFINKSGSHQSPVASSSSHASPSSSSSSSASNSGTNSGQRHQGLRAQAMSATTNGKPISSMGNSRNEPDHLLVLVHGILASTADWTYAEAELKRRLGKNFLIFVSSSNTYTKTFTGIDGAGKRLADEVLQVVRKTKSLKRISFLAHSLGGLFSRYAISVLYSHDTYNRDQPDDLAHNMEENSQSTSFSKGGMIAGLEPINFITLATPHLGVRGKRQLPFLLGVPILEKIAAPMAPLFVGRTGSQLFLTDGKPNRPPLLLRMASDCKDGKFLSALGAFKCRIIYANVSYDHMVGWRTSSIRREMELSKPPRQSLDGYKHVVDVEYCPPVPSDGPQFSQEAMKAKEEAQNAPGTQKTVEYHEIIEEEVIQGLQQLGWRKVDVSFHSSFWPFFAHNNIHVKNEWFHNAGIGVISHVVDSLRHQEASSILTASL >CAK8564614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2514566:2516314:-1 gene:gene-LATHSAT_LOCUS17901 transcript:rna-LATHSAT_LOCUS17901-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVNLRHLDVCDTALREMPVQIAKLENLQFLSDFIVSKHNDGLKVAELGKFPHLHGKLFISQLQNVNDAFEADQANIKMKEQIDELVLEWDYGSTFPDSQIKSVVLEHLQPSTNLKSLTIKGYGGINFPNWLGDILFNNMVYLKISNCDDCLWLPPLGQLVNLKELIIEGMQSVHTIGTEFYGSDNSSIQPFPSLEILHFADMHELEEWNLTGGTATKFSSLKTLSLSKCPKLIVGNIPENFPSLIELELRECALRVQLMPSPNNVFRQLMFPLNSLRQLTIDGFSSLMSFPVDSLPKTLKILIINNCENLEFLPCEYLSSYTSLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSILIAEGASQKSLSFLRSIKIWDCNVLESFPILDTQNLVYFALWKCDKLPLLPAAMHTLTNLQELEIDDLPSLRYCFIVDLPSSLQELTLGSVRGISWGARSTWEHFTCLSGLRINGDDTVSMLSKTLLPVSLVKLCICGLNDTNIGELWLQRLTSLQNLEIINAPKLKLLPKNGLPSSISVLCVTRCPLLEASLRKKRGINWRKVAHIPAIIINDELIT >CAK8564613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2514566:2518291:-1 gene:gene-LATHSAT_LOCUS17901 transcript:rna-LATHSAT_LOCUS17901 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVEEKLMSASVKVLLEKLFSPEFIDYYQSTKLDDSVLINLKTTLFNLQSALVHHHDEEKQITDPTFNEWLDMVRYVVFELHALFQQINTESETLTPSTKVRNFFPSSFKQFHEVINYEMQRLILRLEYLILRQQQPGSGFSDCSNAYHGTPARSILRDESSIYGRETDKQKVKHLLLSSSDGDGKISVISIVGMGGIGKTALAKLLYNDYQVKEKFEFKVWVYISKDFDVHRVFKTILESITSQSISSDNLNFQTVESDNTRGSYTSGMTTYYPNLLFVLVKQILSTSKFLLVLDDVWDTKSVDWIYFMDIFNAVETDSRIIITTRDERVARSMQTFLFVHYLRPLGSEDCWSLLSRCAFGVRENPQRSYLEEIGRKIVKKCDGLPLVVIELGALLCFKLFPDDWNYVLESNIWDQVKHEVHASLESSYHHLSTPLKRCFAYCSIFPKKSILEKQMVVQLWIAENLVELCPGQESLEEVGEEYFDELVSRSLIQQRSTRDEESNFEMHNVIHDLATMVSSPYCMRLDEHNLHESVHYLSYNRGLYDPFNKFDKLSGLKRLRTILALPLQTQLPCCLLSNKVVHELLPTMKQLHVLSLSNYKSITEVPNSIGNLLYLQYLNLSHTKIERLPSETCKLYNLQFLLLAGCKRLVELPDDMGKLVNLRHLDVCDTALREMPVQIAKLENLQFLSDFIVSKHNDGLKVAELGKFPHLHGKLFISQLQNVNDAFEADQANIKMKEQIDELVLEWDYGSTFPDSQIKSVVLEHLQPSTNLKSLTIKGYGGINFPNWLGDILFNNMVYLKISNCDDCLWLPPLGQLVNLKELIIEGMQSVHTIGTEFYGSDNSSIQPFPSLEILHFADMHELEEWNLTGGTATKFSSLKTLSLSKCPKLIVGNIPENFPSLIELELRECALRVQLMPSPNNVFRQLMFPLNSLRQLTIDGFSSLMSFPVDSLPKTLKILIINNCENLEFLPCEYLSSYTSLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSILIAEGASQKSLSFLRSIKIWDCNVLESFPILDTQNLVYFALWKCDKLPLLPAAMHTLTNLQELEIDDLPSLRYCFIVDLPSSLQELTLGSVRGISWGARSTWEHFTCLSGLRINGDDTVSMLSKTLLPVSLVKLCICGLNDTNIGELWLQRLTSLQNLEIINAPKLKLLPKNGLPSSISVLCVTRCPLLEASLRKKRGINWRKVAHIPAIIINDELIT >CAK8563162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578940703:578943494:1 gene:gene-LATHSAT_LOCUS16597 transcript:rna-LATHSAT_LOCUS16597 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGIMDSAYFVGRNEILSWINNRLQLNLSRIEEAASGAVQCQMMDVTHQGVVPMHKVNFDAKTEYDKIQNYKVLQEVFNKLKIEKHIEVSRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRNKVGKDRNLKCSMKKSKSMQTNIINNSGSGNTLGPNRTSGGANSSAEIQSLSKEITGLKLAVEVLEKERDFYFAKLRDIEIICQTPEVENICITVAIKKILYANDTKESALDDAQDYLNQTMNTVEAQDYHGQIIDAIEVEAEIEADSQN >CAK8560831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48257106:48259369:1 gene:gene-LATHSAT_LOCUS14476 transcript:rna-LATHSAT_LOCUS14476 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDQMAALSGSAPYYMPRGLPGAGNQHELLSSASIRQLSNTNNSPFQSGIGGGGGGTIGSTLPMDSSGISSQSINVGGPSGGAASTGEPGKRKRGRPRKYGADGSVSLALTPTTPASQPGSGSGSGSQVQKRGRGRPPGSGKKQQLASVGGLISSSAGNGFAPHVINIAIGEDIATKILAFSQQGPRAIFVMSANGAVSTVTLCQASTSGGSVTYEGRFEILSLTGSYLVADNNGLRNRTGSLSVTLASPDGRVIGGGVGGLLIAASPVQVIVGSFMWGAQKAKNKKNEDSENQEVGIEQDQHQHQHHGVHNPVAVNSISPNQNLNPSSLNSWPASRPLDMRNSHMDIDLMRG >CAK8560452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19959364:19960659:-1 gene:gene-LATHSAT_LOCUS14121 transcript:rna-LATHSAT_LOCUS14121 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSTERKSPNMNSEEDDSRSTLHCNLIPVMLCIWNSLISIHKFAGKHFNLPTAKRASTNPNIIMESLPSLPFDLVTEILSRLPVKMLVRFRCVCKPWNSLISDHDFSRKHFKLSTTRNLYFTSYSGRSRRFVLKSYPLQSVFTDLTTSFTRLEFPFNCPYSYGHNLHYIVGSCDGILCLAYIRTSFVVLWNPSIRKFKELPLFENPEDLPAIRLVVKYGFGYDHVSRNYKVVVLYNCGIHEGTTKIKVYTLSTNSWRNIGTLPFPFVYRHFDTLPFPFVYRHLDDACGIYVSGSINWLAYTKWHHPFCIVSFDLGTESCQRICPPGGLEMQWLRLCVLKDCLCVVSRDDVWVMKEYGVKESWTKLFELSNSLVQFVPFYILTNELYIFEDDKVWLEEKWKKKLIKNDTFKVTAKTLPQICTESLISPCS >CAK8573428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611780565:611792557:1 gene:gene-LATHSAT_LOCUS25875 transcript:rna-LATHSAT_LOCUS25875 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGLSQICSGLGAIEEDDEGNRIGYSKGEYCLDNLKDLLRFLRRDDPQTRDVFKQVCKWNIVSKDLVPIIEHYHEDRSMLLNAVKVLVFLTMPIEPGSTDVSQQLEYLWDLKSAVTNSDVATVIVSILEKPLENLELNAFTEDDWKLVQLVFTLFRNILAVQEIPLHQKSAGSATHFLSLRDRFLELLFRENVMDIILVVSQNVGSSNVYLRQDNLLLLEIFHYIFMGQEPELIVRGHLNGLKVEEDSQASLDSLQSIMEEEKKKRVINRLGNVSRHSQFSGTFARVTMDGSKAVFKGNPNSSRNMHLKSQNVIRGRAKKIAWDHPRLPSTKDKILEMLKGFVNQFLSGGYNVLMRSVREDIVKEHPAIQKSDVVVFFQVADFISSFQFYKYSTSKMEEEKDIFGDNDANASDFSGKICGPIEASLNESMFQLVISQWRQAYDGLKETNDYKFLSAAGSLLKSMIRMLDLVLKSLPDDSKEPQTARILLYKLFYDQTEEGMTQFLLNLIRTFDTHKQCKSDLADLVEIICKVVKLMDYLQSRGTLRVSKKARKLKRKTSNGTESGNKQTGDHSCVKKEAGISIDNPLGENHLLQKESLPNAISTDQEGIADDNEHESLDKDVNSQVRLESMENTHLDGNEHENVKGDVNSQVHLESMEHTHLDDNEHENVKGDVNSHVRLESMEHTHLDDNEHENVKGDVNSHVRLESMENTHLDDNEHENVMGDVNSQVRLESMENTHLDDNEHDNVKGDVNFQVGLESVKKTNLDDNEHKNVEEDVNSQVGLEPIENTNLEHLNEDMLDDTGDFSEDEQLNTVSEVDFNVSTLVSAFANHSIIQKLCWLLKFYKSNSLAINHYIISMLRRISDELELHPMLYQLSLLTTFYDILAEQKSCPCKEYASIVDFLNSLVRKMLKKMKKQPLLFVEILFWKTRRECHYINAEYMLDELGHLRKESKNWNDTREGEIGSSPVKARTHRSIADALGDDEADVVISHDSRYQNNGEKLDDVEGFASTSGSKNGRDVNNGEPWLEDEFQTAPRRKKKLVLDAELEMQIKNLYEKFKDERNCSRRIAEELDPDGKISQAQVSNRLKKLGLTTASRKKKDNANESSSTSPNQLEGAGVAGVVNLEGSLLVQHRQKRKRVSAFNEDQEALIKVLYEQFKDHRRCSYMIANALDVVGKFTPAQISRKLKQLGLFVQQKSFKGNTHKKGEDLMDYSKDGMDRSDEETLISLIERKKVKNPRKSSKPLHEQTNEDKMSKDGSDDEILGSILKKKGKKRKASSKQVHEQTGEDKLSKDDSDDEILGSILKKKKNRSVSGEHLHRNTNEGELFRYDSEDEILQSALKKKKNRSVSGDHLHENTDKGELSRYDSEDEILQSALKIKKNRSLSGEHLHENTNEGELSRYDSEDEILQSALNENQVDFKNSQVEYKQVDPDLEDSEDDVAVNVLPDNAVSRRKLRMVMDLEDDD >CAK8567742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540998125:541001475:-1 gene:gene-LATHSAT_LOCUS20765 transcript:rna-LATHSAT_LOCUS20765 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGRRITVSPRPCCGRRVIAKKTGRGGTGDGFVNSVKKLQRREISSKRDRAFSMTDAQERFRNISLQEEYDTHDPKGPSALILSFLRKRSKIIEIVAAQDIVFALAQSGLCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRGKHDSGFPLFESESLKWPGFVEFDDVNGKVLTYSALDSVYKVFDLKNYSMLYSISDKNVQEIKISPGIMLLIFAKASSHVPLKILSIEDGTVLKSFNHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELREVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEGSAGSINVSNILSGKCLAKIRASNSSSKDDKCSCCDSSSSESCNSKKRKHVSAVSKMRSTVAEALEDITALFYDEDRNEIYTGNRHGLVHVWSN >CAK8579228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685364892:685366209:-1 gene:gene-LATHSAT_LOCUS31191 transcript:rna-LATHSAT_LOCUS31191 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLYSFATTFHPHSTSEHDGTQDLPFLSDSFPFFNNTSSNPFDEPLLPSQDPFSPSFFSFSPPTDHNLTSYHQTNGSFSDFDLSQVKNEDSSSQLSVDFYNNNNQFLPHSYSSVENVSKYMQRSFSSNSFEKKPSFQFQTHRDSLIDSSKFQMHDLSSSENSLRRVCSTGDLQNMKENNMSPKEGNSQEESNFKVGRYSAEERKEKISKYRAKRTQRNFNKTIKYACRKTLADNRPRVRGRFARNDEPNEIPKVPCRDEDEVDFWMEELRLYEDDVTVGAAEQYLKSNSYGVSQFQYFGL >CAK8534584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:745692259:745693761:-1 gene:gene-LATHSAT_LOCUS4118 transcript:rna-LATHSAT_LOCUS4118 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSYNAADSYIHFPNFQILESKSLYKLQEVIDSSQLPYFLGGSCTCSRDGGCLRTNKGPWNDHNIMKLVCNTEGTFVRQITRASNEQHNLDSFQLQSLKERCSGSSTVESGSDFNDYSSPTRQQSSNYPHLTPIYEEVRAPDANGYYNCDDSALSTQNVIENDQLHLIRVHSFQINDTENVVYRTNSEGALVSNLLSVIKEKLAKINFLYVPQALASFIERLVGFVFSLRFEFWNT >CAK8534651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752537255:752537701:1 gene:gene-LATHSAT_LOCUS4179 transcript:rna-LATHSAT_LOCUS4179 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKRGRGRPKATVPPSLETLTSLKTPEHESRNTIVAGQTSGNASRTIIGEDKAMTNTLVRENKETLTEPTQPQPEERKPWVDVINDNRNPARGMAIEYVAPKLINGVFEIDTEQEDIETEIQFWDNALILYIVGDDLSMNTVKNFM >CAK8544004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655312111:655313393:-1 gene:gene-LATHSAT_LOCUS12716 transcript:rna-LATHSAT_LOCUS12716 gene_biotype:protein_coding transcript_biotype:protein_coding MISETRTSIFNVAIITILFATNVVLSDDNTPIPADRSQVDSWFDKNVGPLEQRKETLEPALVSAEAGARVIKVMQDGSGEFKTITDAINSIPTGNNKRVILNIGPGNYNEKIKIDRSRPFITLYGTPNNMPNLTFDGTAKKYGTVDSATLIVESDYFVAANIAISNSSPRPDGKTSGAQAVALRASGDKAAFYNCKIYGFQDTVCDDRHNHLFKDCLIQGTVDYIFGSGTSLYLKTELRTLGTKDVTVIVAEARTSPSEEAIYSFVHCDLTGTGTRTFLGRAWMSHPKVAFAYSTMSNVIEPEGWSNNMHPEYDKTILFGEYKNTGPGANPIKRSKITKQLTDAEAKPFITLGIIQGSKWLLPPPQI >CAK8575979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:379027886:379028788:-1 gene:gene-LATHSAT_LOCUS28200 transcript:rna-LATHSAT_LOCUS28200 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFETLATTLNLKAIIFMVLILFLTITSTTSTRILSELETPEEPITHSALSPVSSTILPPLPQPAATTGTDVPDQHHTISFFLHDILGGSNPTARAVTGVITNPALNAQVAFAKPNGANLPLNSGVPQNNNNNGILNNNNLPFLTGLSGNTGNVFNNNNNNNFPVTNMNQIPQGMTVQELMFGTMTVFDDELTEGEELGSGLVGKAQGFYIASSVEGTSQVMAFTAKFEENGYEDSLSFFGVHRTTQVSQSQLAIIGGTGKYVNANGIAIIKTFPVTNSQQHNTDGLETLLHLTAYLSY >CAK8543193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586912526:586914732:1 gene:gene-LATHSAT_LOCUS11966 transcript:rna-LATHSAT_LOCUS11966 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSILGKINNNTASNGSSQNHNNGLEEKLDEFRSLIGKTNGDTLRIVSIGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRSGRKVDRETAKHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGLCLNMIDTPVCPLKVVTNLQEAVWDADVVVNGLPSTETREVFEEISEYWKERISVPVIISLSKGIEAALEPVPHIVTPTKMIHQATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVASLTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDRISGKGMIQGVSAVEAFFELLSQSSLNVLHPEENKHVAPVELCPILKTLYKILISREQSTQAILKALRDENLNDPRERIEIAQSHAFYRPSLLGQQ >CAK8573920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:647085405:647090038:-1 gene:gene-LATHSAT_LOCUS26315 transcript:rna-LATHSAT_LOCUS26315 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSIGAHLSMFQHLGNSRLSSIQSSPTQSKPFFATCSSSSSSCRTRFGVPNPKLLRNNVLARAEDKARDSNSSFQPPQSSQQQYQDLTSESGTCDPLCSVDETSSQDFEDNYQPKTDLLKAVAVFAAAATGTVAINHSWVAANQDLAMALLFVIGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELSHASAEVSEIVFFLLGAMTIVEIVDAHQGFKIVTDNIKTRNPRSLLWVVGFITFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTVQTMKGLFLPSAISLAVPLALMSLTSEANEKGPESPNVLASEQMAPRGKLVFSVGLGALIFVPVFKAVTGLPPYMGMLLGLGVLWILTDAIHYGESEKRQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILREIANYLDAHVQNSELIASAIGVISAVIDNVPLVAATMGMYDVTSFPQDSEFWQLVAFCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYFKKVSGFAFAGYAAGIAAYLALHNLNISLPTTLAEVPFLSGS >CAK8533728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654642540:654648722:-1 gene:gene-LATHSAT_LOCUS3330 transcript:rna-LATHSAT_LOCUS3330 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASPSFFRLTTTTTTTTKMFSSTSSISSLGNPNFLFPSTSSPHSSKLSLPPHSLSFSNNRNRISFRCFASRPIHVINPIVEMDGDEMTRIMWTMIKDKLIFPYLDLNIKYFDLGIENRDATDDRVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIIPGWKKPICIGRHAFGDQYRATDTVINGPGKLKLVFVPEDGDTPVELDVHNFKGPGVALAMYNVDESIRAFAESSMSLAFTKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWQKKFEEHSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRFHQKGQETSTNSIASIFAWTRGLEHRAKLDNNEKLLDFAKKLEAACVETVESGKMTKDLALLIHGPKVSREFYLNTEEFIDAVASNLESKLREPATATV >CAK8543060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572703377:572704105:-1 gene:gene-LATHSAT_LOCUS11847 transcript:rna-LATHSAT_LOCUS11847 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIECDSNQREAVEVDHGDGDESLWVPAIGMCFSCLEEVKTYYQEYALKNGFGWRIKSSKKGDDGELNYLILSCSREGSNILKFSCTLKTLPSRAKNFPAKICIKLKQDGLWYITQFGANHSHETSPTKARLFKANKKMNLHVRRTIQINDDAGVRINNTFQSLVKDAGGHENIPFCEKDVRSCINKERHAIGKEGDGKALISYFCKMREQDTNFFYDIDLDDDFHERNVFWADTRS >CAK8535209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:825752796:825754761:-1 gene:gene-LATHSAT_LOCUS4682 transcript:rna-LATHSAT_LOCUS4682 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVEYDDLSQKIKKVLEFIEDATTHADEIQKKVLAEILSHNANVEYLQRHGLSGQTDSETFKKLIPVITYDDIKNDINRIANGDTSPILTSNPISHFLTSSGTSGGERKLMPATEEESGKRYFLSSLLMPIISQFVPDLEKGKGMYLMFIKSESETPGGIKASPVLTSIYKSSQFINKSYDPYTNFTSPNETIFCLNSYQSMYSQLLCGLCQNKEVLRVGAVFASGLTRAIGFLQKYWSVLCHDIRTGTVNPLITDNSVREAVMKILKPDKNLADFVESECTKGSWQGIITRLWPNTKYVDAIVTGSMSQYIPTLDYYSNGLPLVCTVYAASEGFFGVNLNPLCKPCDVSYTLIPNMCYYEFLPVNRSNKGSLHEKEKQQLVDLVDVKLDQEYELVVTTYAGLYRYKVGDLLKVTGFKNNAPQFSFVGRKNVVLSIDIDKTDEVELQNAIANAITHLVPYDADVADYTSYADLRTIPGHYVLYWELNLKGSTTIPDCVYEDCCLTIEESLNSVYRQGRVSEKSIGPLEIKIVEQGTFDKLMDYTIGLGGSINQYKTPRCVKSAPAVELMDSRVTARYFSPKCPHWVPGHRVH >CAK8565046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31621482:31623996:-1 gene:gene-LATHSAT_LOCUS18287 transcript:rna-LATHSAT_LOCUS18287 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIYLGLFGIVIGFVLWWWNEYWYVLPLKYKCLKSSTKLPPGHMGLPFIGEMISFLWYFKIVRRPDDFINAKRRKYGDEVGMFRTHLFGAPSILVYTPNIHKFVLHKEDRLITIWPTIELMGRTSLVGVHGKAHTRVRNFVTNAINRPDALNRIATLVQPRMINALQSWAKIGKINARLETQKLTFENIGKLFMGKEPSPLLNSLDKLYQELLLGVRAYPINIPGFAYHHALQCRRKLEDFFWMELDNRKNEKKPETIDLMDGLMKIEDDEGDKLSEKEVIDNIVSLVAAGYISTSLISTWAIYLLAKYPIVLKKLREENMTFTKGSPEDFITAKDVSNLKYTNKVVEEVIRMSNVAACIFRKVDTEVNYKGYKMPKGWTIILFLRYLHTDPENFKNPMYFNPDRWDEPLMSGTYQPFGGGPRLCPGNTLARIQLAILLHHLSIGYRWELVNPNADIIYLSHPAPMDGVEVKFSKL >CAK8571255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:355222917:355225934:1 gene:gene-LATHSAT_LOCUS23933 transcript:rna-LATHSAT_LOCUS23933 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLELNPNSASELSESSLPPLEDDDTPALSSYALAALQEFLSEEQNHSDAGDSEVSLVSEDWRLSQFWYDAETAKTVAEEVIALCNGGDSCVACIACPTLYAYLKKMDPNVSVQLLEYDKRFEQHGSDYTFYDYNHPEELPSELKHSYKVIIADPPYLSKECLEKIAETISFLRQPGESFLLLLTGEVQKERAAEILGLHPCGFKPHHSSKLGNEFRVFTNYDPGTRLGGWKK >CAK8535474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851375220:851376311:-1 gene:gene-LATHSAT_LOCUS4935 transcript:rna-LATHSAT_LOCUS4935 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPFVLTFSLLLSLPILFFLAPRILPPHPTPIPISPSDELDDINLFNNAIAHSSAPPSSPHPSKFFHLSSKNPNLKIAFLFLTNTDLHFTPLWNLFFQTTPTKLFNIYVHSDPSINITTINNPLFKFIPSKKTYRASPTLISATRRLLANALLDDPSNAYFIVLSQFCIPLHSFDYIYRSLFLSPTFDLTDIESTQFGVRLKYKSFVEIISNGPRLWKRYIARGRYEMMPEVPFEKFRVGSQFFSLTRKHALVVVKDRTLWRKFKVPCYRNDECYPEEHYFPTLLSMVDPDGVTSYTLTNVNWTGTVNGHPHTYQVDEVSPELILRLRKSNHSESYLFARKFVPDCLEPLMAMAKSVIFKD >CAK8576515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486603969:486605727:-1 gene:gene-LATHSAT_LOCUS28697 transcript:rna-LATHSAT_LOCUS28697 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTTPLMSLKVLILAGIFLVIVIIIVLLIFLCFRGSRSCKKRKMLPKLHSSGTTPLVSKEIAVVREIDLTRSTEKQTRIEIIEDGDDGSKKEADMKVEIGVVRKSDVSCGTYMQEDPNIGWGRWYSLKEVEIATRGFAEGNVIGEGGYGVVYRGVLQDGSVVAVKNLHNNKGEAEKEFKVEVEAIGKVRHKNLVRLVGYSAEGARRMLVYEYVENGNLEHWLHGNVGPVSPLTWEIRIKIAIGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKNWNAKVSDFGLAKLLGSEKTHVTTRVMGTFGYVSPEYASTGMLNERSDVYSFGVLLMEIITGRSPIDYSKPPGEMNLVDWFKAMVASRRSDELVDPLIEIPPSPRSLKRVLLICLRCIDLDVIKRPKMGQIVHMLESDDFPFRSVS >CAK8531068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:77709362:77711098:1 gene:gene-LATHSAT_LOCUS883 transcript:rna-LATHSAT_LOCUS883 gene_biotype:protein_coding transcript_biotype:protein_coding MISSIKCTKLLLPRSQLSIQPTIQNVEQNMALPLLSFKKPLYLSSIKNFALLKKPKRKNVTECQAYEADRSRPLDINIELPGEEAAQKVKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLIMLISWATRVAEAPKVNLDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRILLGEAFPMQVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMNGMSVSGMNYYACLSMLSLLILTPFAIAVEGPKLWAAGWQTVSQIGPNFAWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSILIFHTPLQPINALGAAIAILGTFIYSQAKDK >CAK8567239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496930599:496935397:-1 gene:gene-LATHSAT_LOCUS20308 transcript:rna-LATHSAT_LOCUS20308 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQETKNRLRGLDAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVIISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGSLLEQAERLLERGIHPIRIAEGYEMASRIAVEHLEQIANKFEFGETILEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKQIVDANIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRNQEQKYFDDMVQQCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTAEKLGKAGIVREKAFGTTKDRMLYIEHCANSRAVTIFIRGGNKMIIEETKRSIHDALCVARNLIRNNSIVYGGGSAEIACSIAVEAAADKYPGVEQYAIRAFGDALESIPMALAENSGLQPIETLSAVKSQQIKDNNPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >CAK8536410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939084437:939084826:1 gene:gene-LATHSAT_LOCUS5781 transcript:rna-LATHSAT_LOCUS5781 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEETVHKLASLLLVFLDGMYIVLFFMGKGGHSHSHNQPMKKMAVAGLILVPALSPYTTTLPVFLAVGNSSSMVVLVIIVLLFSTISVMTSLVALSFYGASQLKFHWVECWRACIEGCFPYERV >CAK8573318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602906925:602908106:-1 gene:gene-LATHSAT_LOCUS25780 transcript:rna-LATHSAT_LOCUS25780 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHNNHNDTVLIQPELGTTVKKRKHHSPIGYELPSHLPPPILPDDLIFEILLILPVRSLLQFRCVCKSWKTLISNPLFTKNQLRLSMLNPTITHQQLVSSNITHNCKIVSCPVKPLFENPSEPVEPVSVRMNRKYHILGSCNGLLCLYDIHLGYVRLWNPATRLRSRKSPKFVGSDGVITCHGFGYDHVNDKYKVLVVVGDLNESVTKLYTFGETENSWTTIQDFPCIPTRWLGKFVNGSLNWFAKEGFGCNQWIILTFDLAKETYGKLLLPRQKDGDRICNPVLDVLSNCLCICFDSNETHWVLWLMKEYGVEESWTKLMLIPHAKFWHPKYRPCFKPLCILDNGVILMKTLYSILVLYDANTGMLDYPRTLGKLGLDLHVYHETLISPLW >CAK8539839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528516600:528517742:-1 gene:gene-LATHSAT_LOCUS8899 transcript:rna-LATHSAT_LOCUS8899 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFSKGASSNTDVPTPIKMGAHSLYAADLTSYEAACVDDPNLQSFDATIQERTNRVINSLAQGIEVRSISLESLGEVTRSLLDMNQDVVKVILECKQDIWNKKDRDLLSLVEDFFDSSLQTLEFCNALEKCLKQAREKLVAVNSAITCFDEEVQNGVEGSTYLKTLKGLKDFKETEDPFTEEFYSLFQKVYTQQSSMLKKLLITKQKLDKKLKSFKTLKRVSSVIFVAAFVAVLIFSVVAAAIAAPPVVTALAGALSVTIGSVGKWCNSLFKKYETALKGQREVISSMQFGTSIALVDMNNIRVRINQLEINIESMMQTADFALGNEDAVKLAIDEIKKKIETFAEIIESLSVQADQSSQWTRKARTVVIQNIIKYSS >CAK8578191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611763569:611764531:1 gene:gene-LATHSAT_LOCUS30225 transcript:rna-LATHSAT_LOCUS30225 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEELQFLSIHNILTEAISIPKTSPKTFYLITLTLIFPLSFAILAHSLFTHPLISHLQSPFTDPSQTSHDWTLLLVFQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTISAIPKVFKRLFVTFLWVTLLMTVYNSVFVLSLVLLIIAADTDNTFLLFFSIVVILLLFVVAHVYITALWHLASVVSVLEPVYGFAAMKKSYELLKGRVRYAAVLVCGYLFICGVIAGVFSSVVVHGGDGYGVFTRIVIGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >CAK8536134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910713703:910715625:-1 gene:gene-LATHSAT_LOCUS5532 transcript:rna-LATHSAT_LOCUS5532 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTANVHNRYRKPIFKSYNCIMDFEGYSALVEKNWNIPIAGNPMFILWNKLMRLQPALRNFSKPARHNDQQLIQARNRLNTAQSSLEADPMNATILTRIKEQKLEILKLEELEKNILRQKSKLDWLKWGDGNNSYFHASVKSKNNSKNISHLTKEDGTVLTVQADIENEVLDYYKNLLGTADSTIRHIDVAAMRDGPQLNMDQRLSLLAPITEQEIHTALKGIRDLKSPRIDGYGACFFKGSWETIKFDVVTAVQDFFRHDRLFKAFNGIVVTLIPKHYDAQSIKDYRPIAGCTTVYKIISKILTTRLGNVIGDIVHNSQAAFVPGQQIHNHILLAYELIKGYTRKGGTPRCMLQIDLQKAYDMVNWDALECIMKEIGIPTQFSRWIMITVTSVTYKFNINEYHTKTIQAKRGLRQGDPISPILFVIIMEYLNRCFRKMQKNPNFNHHAKCEKLHITNLSFADDLLLFSRGDCMSVELLMEAFNGFSDSTGLKLNPAKCKIYFGGVDADTKQNIINITNFREGPFPFRYLGVPLTSKKLSIHHYMPLIDKIMSRINHWSAKLLSYAGHAQLIKSVTFAIANYWMQCLPIPRSVIHKIEAMCRSFMWTGGADISRKSPIAWDNVCRPLNYGGLGIIDLKI >CAK8575075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26778338:26781506:-1 gene:gene-LATHSAT_LOCUS27366 transcript:rna-LATHSAT_LOCUS27366 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNEEDSSSQVVEVYEHEKECVNSCTRDGSVDFYGKPALKARTGGWRSASLLLVNQGLIALAFSGVEANLVLFSKLVLKQTNVEAASTFSIWMGTTYFFSLIGAFLSDSYLGRYLTCIIFQLVFIIGLVILSLSTHFFFLKPHGCEQNGVPCESDIQNQFPLFYVSIYLIALGSGVSDPALPTLGADQFDEEEPDEQRSKTLIYGYFYVALNLGSLIAETVLAYIATTGHWVMGFWICTGCACVSFVVLLSGTLRYRHYKSFGNPFSKLTQVIVSFLRKVKSQIISIGEGFYGIQRDGTRVRRIHHTNGLRFFDKASMVSDDTTERLLGKGQKSYKWNFSSVTQNEGVKYILRVLPIWFCTIFSSSVFIQMQSLFVEQGSTMDRTFFKFQIPPASMTTFDIINTSTFIILFDVLIIPLYKKVMKKPPKLPTELQNIGIGFAIATITLIVAGFVEKERLNCASESGEETSSLSIFWLVPQYMLLGVAEAFVYVAQMNFFTSQSPDGLESLGMGLYMFSSALGCYVGNIILTVVNKITSSGQGQHGWVSPNLNDGHLDMYFFLSSFLIFIDLILYTICAKRYKGI >CAK8569890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14501581:14501820:-1 gene:gene-LATHSAT_LOCUS22693 transcript:rna-LATHSAT_LOCUS22693 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDNISKELVSTNDVSPNVQGVVVKSVDVGVDFNNKQEFDDCDNMFTWIRRTATRLGLDVVIGRSNNGSERRNAFVT >CAK8541136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:70573689:70574389:1 gene:gene-LATHSAT_LOCUS10081 transcript:rna-LATHSAT_LOCUS10081 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLRSFWNSPIGPKTTHFWGPTFNWSLPIAAAMDTQKPPEKISVNMTTGMCLYSGIFMRFAWVVKPRNIHLLICHMSNETVQLYQLSRWIRSQSGSEVKEEKAEE >CAK8560808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46257715:46262142:-1 gene:gene-LATHSAT_LOCUS14455 transcript:rna-LATHSAT_LOCUS14455 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPKRNFPPITECNGVTSSSSPRETVAADLDGTLLISRSSFPYFMLVAVEAGSLLRGFILLLSLPFVIISYLFISESLGIQILIFISFAGLKIRDIELASRAVLPRFYAADVRRESFEVFDRCKRKVVVTANPTVMVETFVKDYLGGDKVLGTEIEVNPKTKKATGFVKKSGVLVGDLKRLAVMKEFGDDLPDIGLGDRKTDHDFMSICKEGYMVPPSKSAKPVPLERLKSRLIFHDGRFVQRPDPLNAIITFAWIPFGFILSLIRVYFNLPLPERIVRYTYEILGIKLVISGHRPPPPSPGTPGNLYVCNHRTALDPIIIAIALGRKVSCVTYSVSKLSRFLSPIPAVALTRDRAADAARITEILQNGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAIDCKQNMFFGTTVRGVKFWDPYFFFMNPRPVYEARFLDRLPEEMSVKAGGKSSIEVANHVQKVLGDVLGFECTGLTRKDKYLLLGGNDGKVESMYGTKK >CAK8561232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:125363453:125364036:1 gene:gene-LATHSAT_LOCUS14841 transcript:rna-LATHSAT_LOCUS14841 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLITELGIKTPADKFFKLYASELHEVQNHCERIHEAKLHEGEEWHDTDTVKHWTYVVDGEVHTCHETIEEIDQQNKRITWKLFGGDIDKHYKVFKLILEVSDKADGTAVVKWTVEYVKLSEDINPPNGWMDFVCQCTRDIDANLVKARVAL >CAK8530277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11393573:11395021:-1 gene:gene-LATHSAT_LOCUS155 transcript:rna-LATHSAT_LOCUS155 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLVPNNTKARGSVSTSDVLPVLPGDPCKRQRLLLTSDEGYSRLIPSLPDEISVQILARVPRICYLNLKSVSRAWKTALASSDVFCLRKELGTTEEWLYVLTKSNDDKLLWYALDPISGRWQRLPPMPNVFVEDEVKKGLAGLPRRMWSMLGSSIRIADVFVNWLRRRDALDWMPFCGCSIGAVGGCIYALGGFSKASAMNSVWRYDPVKNAWTEMSPMSVGRAYSKTGILNDKLYVVGGVTRGRGGLSPLQSAEVYDPDTGMWSQLPNMPFTKAQVLPTAFLAELLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPDINSWLEMPVGMGDGWPARQAGTKLSVIVNNDLYALDPSSSLNSAKIKVYDEEGDTWKVVAGDVPIHDFADSESPYLLASLLGKLHVITKDANHNIAVLQAGMQNHLASSQSMSPSSDSSFGDLAESSAESETELWRVFASRSDRSAELVSCQSLKV >CAK8534268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711644383:711647339:1 gene:gene-LATHSAT_LOCUS3826 transcript:rna-LATHSAT_LOCUS3826 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDEENDVVTGNHAPKELTRLSSSGAKFVDEVLNGPNQRCLDNFRMDKQVFYKLCDILETKGLLRDTNRIKIEEQLAMFMFIIGHNLRIRAVQELFHYSGETISRHFNNVLNAIMSISKEYFQPPSSDVHSVISEDHRFFPYFKDCVGAVDGIYVPVTVGVDEQGPFRNPDGLLSQNVLAACSFDLKFCYVLAGWEGSATNLQVFNSAITRRNKLQVPEGKYYLVDNKYPNVPGFIAPYPRTPYHSKDFPSGYHPQDACELFNQRHSLLRNVTGRTFGALKERFPILMAAPSYPLQTQVKLVVAACALHNYIRGEKPDDWIFKMYDKDASFTLVDSLPPSEVEIHPKTNVETQNQYQGLSFNAEEIALASQLRVSVTTEMWNKFIQDIPLM >CAK8569138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675104350:675107265:-1 gene:gene-LATHSAT_LOCUS22022 transcript:rna-LATHSAT_LOCUS22022 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKLRSQGKKLSTVTLIFWTLFMLTLVIIFLLALGIVYLPNTSDDSSTTDLSSFRRKTSESLTERKEQWTEILSWEPRAFIYHNFLSKEECEYMINLAKPFMEKSSVVDSKTGKSTESRVRTSSGMFLKRGKDKTIQNIEKRIADFTFIPVENGEGLQVLHYGVGEKYEPHYDYFLDEFNTKNGGQRVATVLMYLSDVEEGGETVFPAAKANFSSVPWWNDLSECARKGLSVKAKMGDALLFWSMRPDASLDASSLHGGCPVIKGNKWSSTKWMHLEEYKV >CAK8560738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39008810:39012159:1 gene:gene-LATHSAT_LOCUS14390 transcript:rna-LATHSAT_LOCUS14390 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGFLGLGIMGKAMSINLLKHGFKVTVWNRTLSKCDELVEHGASVGETPAAVVKKCKYTIAMLSDPSAALSVVFDKDGVLEEIKGKGYIDMSTVDAETSIKISEAIKGKGGDFLEAPVSGSKKPAEDGQLVILAAGHKALYEEALPAFDVLGKKSFFLGEVGDGAKMKLVVNMVMGSMMNAFSEGLTLAERSGLNPGTLLDVLDLGAISNGMFKLKGPSMLKNSYAPAFPLKHQQKDMRLALALGDENAVPMPVAAAANEAFKKARSMGLGDLDFSAVHETLK >CAK8560200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10323849:10325577:-1 gene:gene-LATHSAT_LOCUS13895 transcript:rna-LATHSAT_LOCUS13895-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALISLFIFSLFITSSGEISGQPGVNYGQLGNNLPTPTTSVSLIKNLKAKRVKIYDANPEILKALENTGLQVSIMLPNQLITNISLNQTLSNQWIQSNLVPFYPKTLIRYLLVGNELISSTSNETWPHIVPAMHRIKHSLTIFGLHKIKVGTTSAMDVLQTSFPPSNGTFRDDIAFSVIKPMLEFLHVTNSFFFLDVYPFFAWTSDPVNINLNYALFESDNITVTDPNTGLVYTNLFDQMVDAVYFAMERLGFPGIRIFIAETGWPNGGDLDQIGANIHNAATYNRNFVKKVTKKPPTGTPARPGSVLPAFIFALFNENLKPGSGTERHFGLLYPNGSNIYEIDLSGKSLESEFKTLPSAEDYKGKVWCVVAEGANETAVVEALSYACLQGNQTCDSVQPGKPCFKPDSVMGHASFAFSSYWAQFRHVGGTCNFNGLATQTAKDPSYGSCKYPSVTL >CAK8560199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10323849:10325586:-1 gene:gene-LATHSAT_LOCUS13895 transcript:rna-LATHSAT_LOCUS13895 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMDMALISLFIFSLFITSSGEISGQPGVNYGQLGNNLPTPTTSVSLIKNLKAKRVKIYDANPEILKALENTGLQVSIMLPNQLITNISLNQTLSNQWIQSNLVPFYPKTLIRYLLVGNELISSTSNETWPHIVPAMHRIKHSLTIFGLHKIKVGTTSAMDVLQTSFPPSNGTFRDDIAFSVIKPMLEFLHVTNSFFFLDVYPFFAWTSDPVNINLNYALFESDNITVTDPNTGLVYTNLFDQMVDAVYFAMERLGFPGIRIFIAETGWPNGGDLDQIGANIHNAATYNRNFVKKVTKKPPTGTPARPGSVLPAFIFALFNENLKPGSGTERHFGLLYPNGSNIYEIDLSGKSLESEFKTLPSAEDYKGKVWCVVAEGANETAVVEALSYACLQGNQTCDSVQPGKPCFKPDSVMGHASFAFSSYWAQFRHVGGTCNFNGLATQTAKDPSYGSCKYPSVTL >CAK8563401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600452612:600455195:1 gene:gene-LATHSAT_LOCUS16818 transcript:rna-LATHSAT_LOCUS16818 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRDKPFRLLSPKLLFLLFSSTFLLLFLFFSFLTPHPSNPNPNLFTLNTNLFSTSIPPFDCIKSPQAHPVVASVVEGVRYPFLFSLSDFGNLPDKPHKNIVRLLKGKAFRKPDISVTIQEILEKVKSEGSNGFVVDVGANVGMASFAAAAMGFRVLAFEPVFENLQKICEGIYFNRVADLVTLFDAAASDRIGNITVHKLVGRLDNSAVSATGAKLAFKSNEEIAFQVRTVPLDEVIPTSERVLLLKIDVQGWEYHVLKGASKLLSRKGSQAPYLIYEEDERLLQASNSSAKEIRDFLHSVGYHDCTQHGTDAHCTKKD >CAK8544448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685352880:685353907:-1 gene:gene-LATHSAT_LOCUS13124 transcript:rna-LATHSAT_LOCUS13124 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTDKQEALVKSSWESFKQNLPQNSVLFYTIILEKAPAAKGMFSFLKDSAGVQHSATLQAHAEKVFGLVRDSAIQLRTKGVVVLGDATLGAIHVQKGVAGPHFVVVKEALLKTIKEVVGDKWSDELSTAWEVAYDELATTIKKAMS >CAK8533933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672590185:672596495:1 gene:gene-LATHSAT_LOCUS3520 transcript:rna-LATHSAT_LOCUS3520 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKEGEVRKSKEGGSISIILRYSDWIDVVLMLMGGLGAIGDGMSTNVLLLFASRIMNSLGYNNNSDTNSMNEVEKCSLYFVYLGLTTMLVAFLEGYCWSKTSERQVLRIRYKYLEAVLGQEVGFFDSQETNTSEIINSISKDTNLIQEVLSEKVPLFLMQSSSFISGIAFATYFSWRLALVAFPTLLLLIIPGMIYGKYLIYLSKSSTKEYGKANAIVQQALSSIKTVYSFTAEKRTMEKYSEILDRTSKLGIKQGIAKGLAVGSIGLSFAIWGFLAWYGSHLVMYKGESGGRIYAAGICFIMSGLSLGVVLPDLKYFTEVSIAASRIFAMIDRTPEIDSEDTTKGITLQTINGKLNFEHVKFTYPSRQETVVLCDFNLQIEAGKTVALVGASGSGKSTVIALVQRFYDANEGVVKVDGFDIKSLQLKWLRSKMGLVSQEHAMFGTSIKENIMFGKNDATVDEIVAAATAANAHNFIRQLPDGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESELLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVSNGCIIETGTHNELINTPNGHYAKLAKLQTQLSINDDQDQIQEQQTILLSAARTSAGRTSTAKSSPKSPLPNDVNPITVSKISHSSPSFSRLLFLNSPEWKQGLIGTLSAIAFGSIQPLYALTIGGMISAFFAKSHQEMKHRIMNYSLIFTSLSVASITLNLIQHYNFAYMGAKLTKRIRLRMLEKILTFETAWFDEEKNSSGALCSRLSNEASMVKSLVADRVCLLVQTTSSVTIAMIIGLVVAWKLALVMIAVQPLTILCFYTRKVLLSTLSTKFVKAQNQSTQIAVEAVYNHRIVTSFGSITKVLRLFDEAQEAPRMEARKKSWLAGIGMGSAQCVTFMCWALDFWYGGKLVEKREISSGDVFKTFFVLVSTGKVIAEAGSMTSDLAKSSTAVASVFEILDRQSLIPKAGEENNGIKLEKMSGKIELKNVDFSYPSRAKTLILNKFCLEVKAGKSIGLVGKSGCGKSTVIALIQRFYDVERGSVKIDNVDLRELDIHWYRQQTSLVSQEPVIYSGTIRDNILFGKQDANENEVVEAARSANAHDFISSLKDGYETQCGERGVQLSGGQKQRIAIARAIIRNPIILLLDEATSALDVQSEQVVQEALDRIMVGRTTIVVAHRLNTIKELDSIAYVLEGKIVEQGSYSQLKHKRGAFFNLANHQIQT >CAK8561308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:143521046:143522656:-1 gene:gene-LATHSAT_LOCUS14915 transcript:rna-LATHSAT_LOCUS14915 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSTPLLIQPNTTSCDSETPPNNHLPSFGSTIEKCIGEINWCQFLQAILISVSWIFDAQQTFITVFTDAVPRWHCIGEGQISNNGSRLLDPTRDCYSAKSFNDLCKLPRDSWTWDGPAQVSIISEWAMECESSFITGFPASMFFMGCLVGGLFLSTLADSSSLGRKNMLFFSCLFMSLSSLLTMSSPNIWIYSSLKFITGFFRATIGTSSLVLASELVGKHWRGKIGVIGFFCFTIGFLSLPAIAYANQTTSWRNIYFWTSIPTILYCILVKNFVQESPRWLLVRGKKEEAITSLNYISSITQSNLHLAIDNMTPQEEENNSNVDLFHALKMLCQRKWSSRRLLLIMTIGLGLGVVYYGMPLGLGNLSFNLYLSVTFNALSELPSSLITFIFIDKFRRRIALPMFCMLSAICSVMSSIEGELWNKTQIGFELVSFFSACTSFSIYVIYTTELFPTCVRNSALSMARLAVVFGGALSPLLVATGRGNKFLCYGVFGFSIGIGGMFGVFLPETKGRALCDTMDEEENKAKNSCGILV >CAK8574219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670632973:670635381:-1 gene:gene-LATHSAT_LOCUS26581 transcript:rna-LATHSAT_LOCUS26581 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPRGRGGSGGGFRGRGGDRGGRSFGGGRGTPFKARGGGAGRGGGGRGGRGGGRGGGRGGMKGGSKVVVEPHRHQGIFIAKGKQDALVTRNLVPGEAVYNEKRVSVQIEDGTKVEYRIWNPFRSKLAAAVLGGIDNIWITPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYYLKAGGHFVISIKANCIDSTVPAEAVFISEVNKLKADQFKPFEQVTLEPYERDHACVVGGYRMPKKKKDA >CAK8578192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611781781:611784138:1 gene:gene-LATHSAT_LOCUS30226 transcript:rna-LATHSAT_LOCUS30226 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSLFSCSMHMEVLAPKISKCPKDFVSYSKSTCYSISRFLCVNNLSKCDQMKKTWQRDGIRCFSEGQKFQLDDVIEAQQFDRDILNAIFEVARDMENVERNSPESQILKGYLMATLFYEPSTRTRLSFESAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDLIVLRHFESGAARRAAAIAGIPIVNAGDGPGQHPSQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYKNVKIYFVSPEVVKMKDDIKDYLTSKGVDWEESSDLVEVASECDVVYQTRIQKERFGERLDLYEKARGKFIVNQNILNAMQRHAVIMHPLPRLDEITVDVDADSRAAYFRQAKYGLYIRMALLKLLLVGW >CAK8538027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459377718:459381296:-1 gene:gene-LATHSAT_LOCUS7268 transcript:rna-LATHSAT_LOCUS7268 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILSLGVCFVISTCHYGVNANEITLKLVIDAGSGRLIPDTFFGEFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWMEDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8533953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674878854:674880029:-1 gene:gene-LATHSAT_LOCUS3536 transcript:rna-LATHSAT_LOCUS3536 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLGITLLTLSILFINHVRAQTQSSSSQDAVSNFQPSLAVVMSILGLMFALTFILLIFAKVCHRRQLLPLSDDPNNQSTFMRSRSRFSGIDKTAIESLPFFRFSSLKGSKQGLECSICLSKFEDIEILRLLPKCKHAFHIVCIDHWLEKHSSCPICRHKVNIEDETTFAYSSSLRMLVGDESNIEIFVQREEEKENQHGSSSRFSIGSSFRKIGKSSIKDEESLIQKKSEEEEEHFHKHNHQITISDVVFKHRWSNVSSSDLMFLNSEMINATSSNRFNNTESNFHDGEKYLKHDEGEKRSVSEITGVSRFREFDMKKRVFGDSSLVENDVKEERMRKLWFPIARRTAEWFVNREKRSLQKSFVDV >CAK8533954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674878854:674880029:-1 gene:gene-LATHSAT_LOCUS3536 transcript:rna-LATHSAT_LOCUS3536-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLGITLLTLSILFINHVRAQTQSSSSQDAVSNFQPSLAVVMSILGLMFALTFILLIFAKVCHRRQLLPLSDDPNNQSTFMRSRSRFSGIDKTAIESLPFFRFSSLKGSKQGLECSICLSKFEDIEILRLLPKCKHAFHIVCIDHWLEKHSSCPICRHKVNIEDETTFAYSSSLRMLVGDESNIEIFVQREEEKENQHGSSSRFSIGSSFRKIGKSSIKDEESLIQKKSEEEEERNHTCQHRDYDSSNTFNQKCWCYRDFHKHNHQITISDVVFKHRWSNVSSSDLMFLNSEMINATSSNRFNNTESNFHDGEKYLKHDEGEKRSVSEITGVSRFREFDMKKRVFGDSSLVENDVKEERMRKLWFPIARRTAEWFVNREKRSLQKSFVDV >CAK8530942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65718473:65720153:-1 gene:gene-LATHSAT_LOCUS769 transcript:rna-LATHSAT_LOCUS769 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRSKELKENRVAKSSLEGKCNLLLRLCGLVLTLVAAVVIVADKQTKVVPMRISDSLPPLDIPVTAKWHYMSAYVYYVVANVIACAYATLSLIIALANGHKSKVLVTLITLLDAIMVALLFSGNGAALAIGVLAKHGNSHVLWNKVCNVFDKFCNQVAASVLISLLGSLVFLLLVMLPALRRRT >CAK8564572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:802698:803027:1 gene:gene-LATHSAT_LOCUS17867 transcript:rna-LATHSAT_LOCUS17867 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKPQGTVPPQEVPSDTKTSWPELVGVSADEAEKKIKEDKPDAYIQVVPHDQPVVTGDFVFNRVRLFVDESNKVTRTPIIG >CAK8540227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545964272:545965081:1 gene:gene-LATHSAT_LOCUS9253 transcript:rna-LATHSAT_LOCUS9253 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSSDTDEDSTIEEIISQAQDSILLKQISAINCSSFTHSDLPPDLESRFHKLKSFPANHTTPPPYRPEPPTFSNSNSANPQKSFNLSPTQQTKSINNPDSDSGSVFSHSNSSHEKKGPKPKPKPKNGSFSPSDSSHTSEESSMSSLFMPLQMKKDKEKHSKVKYVSPSPSPPRKWGCFWCSPKKEKKKEKSKENDDMVGSLEEYTSDEFLSDTRSLSTKKRINMIEKALKEEEEKINREAEKIVEMVKHASARMNISDIEDELSDDY >CAK8530498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25141246:25149542:1 gene:gene-LATHSAT_LOCUS356 transcript:rna-LATHSAT_LOCUS356 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEFQFPTLFGDDGDDSDDEKLAMDIESFLSVLDEDCDPSESSHEDSSLKDVSPGESGTHDNFLLQNGNSSMLDYEHENQGPSSQTCYSPNAFAGGYRDSFSIAESDETCYAEGAGVSEREMPFYSTDTSFAEANSNAVTVCRDNLNSSLWKGHNTSQMKHVADDVESEYASHSSIIENVDGTFANYGSALKEIIGVSRQQENDSCTTFEMPFVDVDMPAHFGTSTDSTFCQGSDAPSDFSGYYSSLNCYQGIDARPVVTDSSAYLPNGVCPEFWKNEEATRNVKVEKMEFLTDTANIIGGMHLNTGGSVPFQDRQFLPTDSEYPSFFPGNVIFEDSESVQQSSCAPYISCEGQSFNVKAEGDEMGMPFQNTFHNDHAELNTGLGVKQLPGIFPTIECQNYDFLKDEDSDIIVTTEDGNYYQDFIDETAKCFPGNTENLNFRSLDKSLSIARASITNGKQYNCGMSELESKPSEYKSIDDSQLSKRSNEGSNDEDDCDVCIIEDISHPAPRSRSAELNNSYNMSQSSRFDYTKPYMAGGTRPKPRDEQYILRAALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCSGGILADDQGLGKTVSTISLILKERPSLLKTCKSAQKNVLETLDLDDDPLPENGVVKKESNMCQDTPNRNAITGVNFSVNTKGRPSAGTLVVCPTSVLRQWADELHNKVTCKANLSVLVYHGSSRTKDPCELAKYDVVLTTYSIVSMEVPKQPLVDKDDGEKETYEDHAMPSKKRKCPPSSKSGKKKGLDSMMLEDAARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNSIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISRNPSKGYRKLQTILKTIMLRRTKGSLLDGEPIISLPPKSVELKKVEFSEEERDFYSKLEADSRAQFQEYANAGTVKQNYVNILLMLLRLRQACDHPQLVKRYNSTTLWKSSVETAMKLPREKQLFLLKCLEASLALCGICNDAPEDAVVSVCGHVFCNQCICEHLTGEDNQCPATNCKTRLSMSSVFPKATLNSSLSDQSCDLLLGYSGSEAEDSEPCSQTKPYNSSKIKAALEVLQSLSKPQCRTSQENCVRSTSKESTDCSSTSADSGNSDVPKKKTMLMEKSSDGANGSLGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVIARDKAVKDFNTLPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRKMVASAFGEDETGGRQSRLTVDDLKYLFMM >CAK8579291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689894781:689896412:1 gene:gene-LATHSAT_LOCUS31246 transcript:rna-LATHSAT_LOCUS31246 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDLLLGVSMFAEPISVQIIDRASLAMNELCNVGIIGKPLWHQHNSNQYEILNGIEYLKYVGHDAMLMDIVKLVEVGEIQTLPSFDSYGNQINSISNENSIQGLHIEASRDTTMINAGPNDIVELLMNVNQWGMTFHNIVSRATILGSFMNGVEGSYDGRLHVMNAEFHLPSPVVPTRECCFVRYCKQLSPNDWVVVDVSLEDLFPYPSTNFRKRPSGCMIKEMPNGYSKVTWVEHVEADHSQLNDLFKPLVTSGLTFGATRWLASIVRHFEWAETIITTQFSTDQSCFKNTNIIL >CAK8533007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:565038149:565040596:-1 gene:gene-LATHSAT_LOCUS2660 transcript:rna-LATHSAT_LOCUS2660 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQCVVFVMFVTLASSSCLCVRLISYDLRHTFNVLDYGAVGNGKNDESRAFMDAWKDACSAIYESSTLLIPKGKTFMLQPIWFMGPCNSPTIYVKLEGTIIAPNAIEAWKWPNENDRDSWIRFSYINGLVVYGGGLIDGKGAPWWDCYSSAMCESENPTALHFHACENLILKGLTHINGPRNHISLNACHGSRISKLHIIAPNDSPNTDGIDIAESSNVVIENSKMETGDDCIAINHGSTSIDIVGIFCGPGHGISVGSLGRNGVEESVEKIYVRNCTFNGTTNGARIKTWMGGNGYARKVTFEDIILIEANNPLIIDQEYNPYDSLHGYAVKVSDVTFRNIRGTSTSKHPIQLHCDGSISCTNIILEGINITSSIGEEVHASCKNVNGICTSCIPFVPCLSQE >CAK8540481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8582574:8583164:1 gene:gene-LATHSAT_LOCUS9483 transcript:rna-LATHSAT_LOCUS9483 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLLRYCLYSLPGKWVYYFERSEHGNRYGTKTDYLFQFLCFLKLHTYTRVQVSIDICGVDYPSRKRRFEVVYNLLSTRYNSHILIQTSADEVTRISPVVSLFPSAGRWEQEVWDMFGVSSINHPDLRCISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQHKRWIIQKET >CAK8536858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29125345:29125695:-1 gene:gene-LATHSAT_LOCUS6185 transcript:rna-LATHSAT_LOCUS6185 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPKRGRGRPKSTVPPPPPLESLTSLKTHEIESRTTTPPDTSSKTPEIGARTNKEVTTTLENENKETLTGTTQAQPEERKLWVDIINDNRNPAKGLTVEYVAPKVVNGVIEIDI >CAK8573075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581238297:581239475:-1 gene:gene-LATHSAT_LOCUS25565 transcript:rna-LATHSAT_LOCUS25565 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGSCTDETTTSNDSLSLSVSLIPAKLSPPPPMNTLSRVGSGASAVIDPENCVGSGEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDIAALRFRGKDAVTNCKSLGGVENDTDEAEAEFLNSHSKSEIVDMLRKHTYDDELKQSMRDSCGGRQRRNGESAGLASRGAYDTKAREQLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLNAVAVSVSGDGISPSVAAAKGLLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDAVRFFRSTGPDRQLYIDCKVRSISVVGVSIGETNNIPGGLFIPVQPVVEPVQMVRLFGVNILKIPGSDGVVGVSCTGKRKEMELFTLECSKKAKIIGAL >CAK8559999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3743228:3746112:-1 gene:gene-LATHSAT_LOCUS13722 transcript:rna-LATHSAT_LOCUS13722 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNMEYYLPIIVMVVIQFIYSGMTLGTRIALLEGMSPRVFVVYRHAFATIFLAPIAYLSSRRNSLSCSLNLKSFSWIFFTSLIGITLYQNLYFEGLYLSSSSIASAMINLIPGVTFLIAAFVGMEKVNITSLRTIAKIVGTLICICGALSIVLLKGPKLLNATIIPSKSIMAGSDTDQNWLLGCVFLLASSVGWSVWLILQVPVYKSHPNYLSLSAWMCFISTLQSAVVTVFLEPDLNAWKINSLLQFGSTLYAGIMGSAVMFCLQAWCITQRGPLFSALFSPLVTVIVTVLAALVLHEEIYIGSLIGAIGVIIGLYIVLWGKAGDVVDVKEKIDPKSTAYESGGNENYKTDLEEPLLQDDSTSRY >CAK8542512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521661270:521662702:1 gene:gene-LATHSAT_LOCUS11349 transcript:rna-LATHSAT_LOCUS11349 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMVEVETVDEDDDVLIPPPNFSMVEDCIYRSSLPHPSNFPFLQTLNLRSIIYLCPEPYPEENLEFLKAQNIRLFQFGIEGKTEVSLPILRDSIMEALKILLDVRNHPVLIHCKRGKHRTGCVVGCFRKMQNWCLSSVFEEYQRYAGAKSRTADLTFIEMFDIITLRQCVYTIIYQYQGASKKRRLMYQGEPTQKPPRLTSF >CAK8568325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594977620:594978153:-1 gene:gene-LATHSAT_LOCUS21291 transcript:rna-LATHSAT_LOCUS21291 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSISPATSEDRKMKKVKETEIDEVCDKNRGLASFDENMLFEVLKHVDAKTLAMAGCVNKQWHKTAQDERLWELICTKQWANTGCGEQQLRSVVLALGGFRRLHSLYILPLSKPQTSSSSTSTSSCSSSSSWGPIPQVIRSKPLPRLGKDEVHLSLSLLSIRYYEKMNFSNRNL >CAK8579680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715858968:715860203:1 gene:gene-LATHSAT_LOCUS31607 transcript:rna-LATHSAT_LOCUS31607 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFHKVCTSLKEQSNVSYAKIASAAGFSDMNLIIIKATSPDDLPVHEKYIQYFLKLFSLSPSSCHSFAISFTRRFGTTRSWRVALKSLILLHRLLRSVQGNSPLWAELLWTRSNGLISLYPCHFKDATSSSSTCSISYTNFVSSYAQLLDEALNCVALDSKKLDKQKCQEEKSETFQEKMKEMGEILEILPQLQSIIDRVIDCYPIGVATRSFIVQSAMKHIIRDSFVCYTKFRAEIVIVLENLFEMSYRNCIAAFNIYKKSAVQTNKLCEFYEWCKARGLCGYYEYPLLEPIPGIQIKALESFLSGMWQLTESSSSSMSDQESSSVFTEDDKQQKEMMKSNEEEKPLIDLEGEYDDDVSWETMLESSVSFCHSYDQNDLFSSNPFSQQSYESSYYGRFALNPAYPWGL >CAK8571639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454227902:454228189:-1 gene:gene-LATHSAT_LOCUS24281 transcript:rna-LATHSAT_LOCUS24281 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTYPKLDFSFKSNLSANLNSEEIKHDKFDMCPRKAHGLDEFPATFYQKSWSYVRDSICGYIYKMWNDPSHNQKVNSTEICLIPKVPHPTQVQ >CAK8561466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:236821759:236823807:-1 gene:gene-LATHSAT_LOCUS15055 transcript:rna-LATHSAT_LOCUS15055 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPRDYNLVEQNKKILDFIEDVTSKPDHVQEQVLSEILSRNANVEYLKRYNLNGNTNRDTFKKLIPVISYEDIQPDIERIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMSQFVPGLEKGKGMYLMFIKSEATTPGGIVARPVLTSYYKSSYFRDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVLRVGAVFASGFIRAIRFLEKHWTLLANDIRTGTVNSLITDITVRDSVMKILKPDPKLADFIQTECSKSSWQGIITRLWPNTKYVDVIVTGTMSQYIPILDHYSNGLPLVCTMYASSECYFGVNLNPLCKPREVAYTLIPTMCYYEFLPVNRTNGVTDSLHTPRSLNEKEQKELVELVDVKLGQEYELVVTTYAGLYRYRVGDVLKVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAMANAVTHLVPFSATVSEYTSYADTTTIPGHYVLYWELTLNKSKPIPPSVFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAISLGASINQYKTPRCVKFVPVVELLNSKVMSSYFSPKCPKWVPGHKQWINQN >CAK8535199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823524115:823526185:-1 gene:gene-LATHSAT_LOCUS4672 transcript:rna-LATHSAT_LOCUS4672 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTIRNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8567709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538224964:538225836:-1 gene:gene-LATHSAT_LOCUS20734 transcript:rna-LATHSAT_LOCUS20734 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQPLTISNLPSLPDLLLTALSVCFLFTSSRSHNTTTTTNCPFLSFPLNPRRFLKIPAMPQSATSSLSSSNHNKNTRRHNFASPQSLSEWLKPRLPSDSFATWGVKPGTKNVHNLWLELSQGETLLEDSNPPIRTVQVVTVRVIGKDGKVLVESHQELSDGRVRERGRPLSEKMKPNEEPELAAVRGIKEELGSVIGDETEVCDIVTIDPNSYVMRVEERNSGSYPGLPGCYVLHSLNATVEGLPEGDFCTYEVDEYADSDDKKVAHEAVTVKKHYWKWVSAGSIQP >CAK8540437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560524446:560525611:-1 gene:gene-LATHSAT_LOCUS9442 transcript:rna-LATHSAT_LOCUS9442 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNSSGGGQSSLGYLFGSGEAPKPATTNAQPPAVHVDNAPPSKAAAARTAIDPNKPAGINSNSIDGLNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGPGDGK >CAK8578119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608280471:608281505:1 gene:gene-LATHSAT_LOCUS30158 transcript:rna-LATHSAT_LOCUS30158 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTMQNFPSCMLLLLVILTANLLVPSLSEKCNPDDKKALLQIKKEFGNPTKLSSWDPTTDCCNGTWSGVSCDTDTQTFRVNDLDLSDLDLPQPVSIPPSITNLPFLFYLSLSRIPNLVGSIPPSISSLTKLRYLYLSHNSLTGVIPNTLSQIKSLLTLDFSYNKLSGPLPDTLSSLPNLIGITFDGNQLSGPIPESYGSFPDSFTVLTLSQNSLSGTIPASLAKLDLSFVDLSRNSLAGDASVLFGSNKNTQKIVLATNSLAFDIGKVGLSANLNYLDLRNNKIYGTLPEALTGLKFLHNFNVSYNNLCGQIPQGDNLRRFDEYCYAHNKCLCGSPLPACKT >CAK8567363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508593670:508594343:1 gene:gene-LATHSAT_LOCUS20425 transcript:rna-LATHSAT_LOCUS20425 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLKRLSVGSNKKGIDRVRYLLKRLSVGSSSVASLRYTVFVIDECHLLTSKAWLGFLKFLEEPPQHVVFIFITSDIDNVPRTIQSRCQKYLFNKIKNGDIATRLKKLSTQENLDVDTDAMDLIAMNADGSLRDAETMLEQLSLLGKRITTSLVNELVGVVSDEKLLKLLVV >CAK8540086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538742487:538743461:1 gene:gene-LATHSAT_LOCUS9123 transcript:rna-LATHSAT_LOCUS9123-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSELRSMERAKKREGVDMTYLKNIILKLLETGEVEVLLPVIGMLLQFSPEEMQKCQQTYQNSTDVPPSPASETSGSGLSLFSRFSFS >CAK8540085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538734529:538743461:1 gene:gene-LATHSAT_LOCUS9123 transcript:rna-LATHSAT_LOCUS9123 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGDTVGIMEIQAEDSSRPEEHLSDSDTNRQSKDGSNINLVMENGLSDGNECSADTYDQLLQMVVDLKFQNEFLKSQFEGFSNVNSVSTESSIQKGAGGVEDGESDVVKELQERIESLNKEFLEEKQTRIASEEALKHLQIAYSDAEAKAKDLSEQLVEAQNKSEREIKDWEEKYSELDAKFNRLHKRAKQRIQEVQKEKDDLEARLTEENKSAEQASSQELERTRKQANEALKAMDSDRQQLRSANNKLRDTIEELRRSLQPKEDALEALQLSLAEKEQMLEDTRGLLQASDEKRQAALTELSVKHQKNIESLEAQLNDALSDRSKADESISSLQVLVAEKESRIAEMEAASTGEVARLRAAMESVKGEISHFKQEHEKERESWEAASHALKAKLQIAESNCIRAEIEVAKIRSQLESEVSAQAKILTMRDAELLAAKEEISSLERDFSSYKARAHALLQKKDADLVAAKDSEQLKALEEALKEAENEVLSITEERDRVLQDLQSAMANNEKELAERDTALESVKQQIRSLEIKLDSVSAQHLKEKEEWGLSLQNVEETWRIRCEAMKTENEAAAAEGMEKELEDLKQRCKKLKEEHASFHDLADRMIEDKDNEISRLLDENKNLRESLQSRPRASQNDHYNPALHQLDSSNLSPSDAEHQILILARQQAQREEELAQSQRHILALQEEIEELEHENRLHSQQEAMLKSELRSMERAKKREGVDMTYLKNIILKLLETGEVEVLLPVIGMLLQFSPEEMQKCQQTYQNSTDVPPSPASETSGSGLSLFSRFSFS >CAK8570735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:102030619:102032240:-1 gene:gene-LATHSAT_LOCUS23465 transcript:rna-LATHSAT_LOCUS23465 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVRYEQHVAYRIWFGEERGSKKELKVAGHGVKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSAFAERGVFWNPPDISEALVVEWVVHYLGVSHRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWAAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGTLKVDELRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDIIVPYMPDRCLRQFGYRQYVPHPPLDSRTAGDIDVDWISYHESVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPFSVDATTEMPVPVYEAGPSDPIVARMSSLIHRYLQQTGAEEDDP >CAK8532744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:509514022:509514487:-1 gene:gene-LATHSAT_LOCUS2418 transcript:rna-LATHSAT_LOCUS2418 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNSVLATKAIQNFYHSPDVGDTIELCIHIATPLEKISLMKHRIQSYVDNKKDQWHPSPYIFLKDHEQLNMLRVATWPTHKMDFQDMRERFIKRSILIEELMKIFRDLDIQYHLIPLNFQDTGS >CAK8530204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5715739:5719685:-1 gene:gene-LATHSAT_LOCUS91 transcript:rna-LATHSAT_LOCUS91 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTVAFGIPFCHSTISASSASTTEPSSQTQFPSKSIKFAKSKPFSARKSPKLQLQHASDLPSTLTRVGEMLTVKELNATLSHFGNSNKFNNISQLFIWMQENKKIDVYSYSHYIKFMANKLDASAILKMYDNIQDASAKENVYICNSVLRCLLRNGKFDATIKLFRQMKEDGLVPDLVTYSTLIAGCVRVKDGYPKTLELIQELQDNNLRMDDVIYGAVLAVCASNGKLEEAECYFNQMKSEGRSPNVYHYSSLLNAYSASGNFQKADVLIQDMKSEGLVPNKIILTTLLKVYVRGGLFEKSRELLVELESSGYAEDEMSYCVLMDGLAKAGQIHEAKVIFDEMMKKQVRSDGYAHSIMISAFCRAKLFQEAKQLAKEFQTTLNKYDVVIMNSMLCAFCRAGEMESVMETLRKMDELAISPDYNTFNILIKYFCSKNMYLLAYRTVEDMHIKGYQPIEELCSSLIFHLGRAKAYSEAFSIYNILKYSKRTICKALHEKILHVLLAGKLFKDAYVVFKDNATLISRPTTKKFASAFMKSGNINLINDVMKTLHSCGYKIDPDLFKMAVSRYLSQPEKKDLLLHLLQWMPGQGYMVDPSTRNLILKNSRLFGRQLIAEVLSKQRDKLKPNLSR >CAK8544233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673592300:673593541:1 gene:gene-LATHSAT_LOCUS12932 transcript:rna-LATHSAT_LOCUS12932 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTPEGSQFGAGKFDARMNDLMAGDGNEFYAISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGRTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLCRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINSDLPTQPENYLRRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSNVADLL >CAK8535244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:829426262:829426876:1 gene:gene-LATHSAT_LOCUS4717 transcript:rna-LATHSAT_LOCUS4717 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLSYPAPHLLHNNHFQAKKHFSIKCTTSLNSSSSSPEFPTPDPKNTTMNSPETFPLEKRRRSEIVRQRRPKTDLAKSEPPNFEIGWKRTKEINLEKPIGYVVADFLEKLEELMTRKEFGSTELLAKVGEIVAERAREEAEVLRDDGKVEERMVVELFRVLKLMEMDLAMVKAAVKEDTLGERLDQAKARCRQAILVAYSF >CAK8569374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697267090:697267341:-1 gene:gene-LATHSAT_LOCUS22231 transcript:rna-LATHSAT_LOCUS22231 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEQHSVALKKSNLTPKAITHQKFGKTACYAVEAVKEVSQTECPGLCIPQTGPCLYRCTLQLPELRVVSGTRRMQNNLQQR >CAK8563250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586395294:586398218:1 gene:gene-LATHSAT_LOCUS16680 transcript:rna-LATHSAT_LOCUS16680 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVTPNAISTLQANPSPDSSSDLPDIVLQVLDLKLSGNRYTFTASDGKMKLKAIFPSNQSSEVFSGKIQNLGLIRILEYTLNDIPNKSDKYLFVIKCESVSPALEAEIKSEQPGITLKPKEGSGIDLKPKQGVVSKSAAQIVQEQHGNSAPAARMAMTRRVRPLVSLNPYMGSWTIKVSVTSKGALRTYRNARGEGCVFNVELTDEDGTQIQATMFNDAAKKFYEKFVMGKVYYVSKGSLKVANKQFKTVQNDYEMTLNENSEVEEVASEASFVPETKFNFVPIDQLGPYVNKSDLVDVVGIVKSVSSTMSIRRKSNNETVPKRDITIADESKKTVVVSLWGDLATNIGQELLDIVDKSPIVAIKSVKVGEFQGVSLSAISKSLVLIDPEVPEAQKLRCWYDSEGKDAAMAALSTGPINSNGGRSVYSDRVPLSYITSNPSLGAEKAAFFSVRVYINSIKPDQAMWYRACKTCNKKVTESIDAGYWCESCQKNDQECNLRYIMIARITDKSGEAYLSAFNEEAERLIGCSADELGNLRSQEAEENPYQMKLKWAAWVPHLLRVSVSQNEYNNEKRQRITARSVVPLVDFAAESKILLEDISKMVAAH >CAK8562114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441940365:441941249:1 gene:gene-LATHSAT_LOCUS15640 transcript:rna-LATHSAT_LOCUS15640 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERISGVVQWFNSGKGFGFIKPDDGSEDLFVHQSSIRSDGFRTLAEGDRVEFTIATGDNEKTKAVDVTGPNGAPLQSRQDSYGGGGGGRGFGGGFRGTERRNGGGGGAGCYNCGDTGHIARECNRSNNSGGGGGAAGAGCYNCGDTGHIARDCNRINNSGGGGGGACYTCGSFGHIARDCTRGGNIGGGFDRSSGGATSCYRCGGIGHIARDCATPSDRSGGGGGGCYKCGEVGHIARDCNIEGGRFDGGNGGGRFGGGNNGRFGGGNGGTNTCFNCGKPGHFARECVETSV >CAK8579310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690878745:690883859:1 gene:gene-LATHSAT_LOCUS31265 transcript:rna-LATHSAT_LOCUS31265 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHHLRESIKSLIGSHVDPDKEEQLRGAKTEFEDKVKKLLKLIKDDDNIEEDGTPAENLKRGQLAELIEDFHDQYQSLYTQYDHLTGELKKSIKGKREKGSSSSSSSSSSGSDSDYSSKDRDSKNGQLQNEFQKINDELKQELEVVHKEVAELNQKLTITHEEKEELNSKHLAALNKLQEAEKMNLDLKTDADALEIQRSNLLAESTELNKKLDIAGKVEAELNQRLEDLKTENNSLAKEKETALQQIAEEKKITEDLRNLIDQLKDDKLVAAKDLQTVTDELSILKQELKHSEQKITAISNNLEVTKEENESLKAELSQASNEVQLSQSRIQEFLAESSQLKEKLDEKEREVSTLTQMHEGRQNESSNQIKELEAQISNLGLELESLRNQKRDTEDQFLAESSQLKEKLDEREREVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIENQFLAESSQLKEKLDEREKEVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQMHEGYQSESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQVHEGHQNESSNQIKELEAQISNLGLELESLRNHKRDIEDQFLAESSQLKEKLDVREREVSTLTQMHEGHQSESSNQIRELEAQISNLGLELESLRNQKRDIENQFLAESSQLKEKLDEREREVSTLTQMHKGHQNESSNHIKELEAQISNLGLELESLQNQKGQIEEQLKRFTTEAREQGDHNLRLQNQILELETKSKEREEELSVIAKKLKDNENESSSKVSDLTYQIANLQADISSLHAQKNEQEEQIIIRSNEVSTRIESVTNEMKVLQQEVESLKHQKSDLEVQLEEKSRENSECMIQVQSLKEEVDRKTLEQERVMEDRENLTREARELGEHNLRLQNQISELEIKFKEREEELSAIMKRLKDNEDESSSIISDLTSQINNLQADISSLHAQKNELEEQIVFRSNEASTRIESITNEMNVLQQEVESLQHQKSDLEVQLVEKSRENSECLIQVQSLKEEVERKILEQEKLMEHRESLTTEARELGEHHLGLRNQYSELEIRSKEREEELSALMKKLKDNEDESSSKISDLTSQINNLLADISSLHAQKNELEKDLLSSQKTKEELELYCKKIKEEHAETLTVVDNEKTKLANQNVDLQRTLEEREDAYQKLNEEYIKVDSWFNECKVKLEVAERKIEEIAGEFREGIGSKDHIVGDLEHQVEVLKRDLEEKGDEISTLLENVRNLEVKLRMSNQKLRVTEQLQNENEEKFKQKQSALEDKVATLVARITANNEAFHETITTIKESVNIMISGIDTVSRKFSDETKNHENSISNISHELQVAKEYVCEMNRVKGKLEKDKNRLLEELKGKKEEELSLREKVEKLEAKARKDESENIKVNATVVELKKTVEELKKTVEELEKLVKEKEEGLGEEKRDAIRQLCLWIDYHRERNDYLKEILSKTRRVQRAAG >CAK8579311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690878745:690883859:1 gene:gene-LATHSAT_LOCUS31265 transcript:rna-LATHSAT_LOCUS31265-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHHLRESIKSLIGSHVDPDKEEQLRGAKTEFEDKVKKLLKLIKDDDNIEEDGTPAENLKRGQLAELIEDFHDQYQSLYTQYDHLTGELKKSIKGKREKGSSSSSSSSSSGSDSDYSSKDRDSKNGQLQNEFQKINDELKQELEVVHKEVAELNQKLTITHEEKEELNSKHLAALNKLQEAEKMNLDLKTDADALEIQRSNLLAESTELNKKLDIAGKVEAELNQRLEDLKTENNSLAKEKETALQQIAEEKKITEDLRNLIDQLKDDKLVAAKDLQTVTDELSILKQELKHSEQKITAISNNLEVTKEENESLKAELSQASNEVQLSQSRIQEFLAESSQLKEKLDEKEREVSTLTQMHEGRQNESSNQIKELEAQISNLGLELESLRNQKRDTEDQFLAESSQLKEKLDEREREVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQMHEGYQSESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQMHEGHQNESSNQIKELEAQISNLGLELESLRNQKRDIEDQFLAESSQLKEKLDEREREVSTLTQVHEGHQNESSNQIKELEAQISNLGLELESLRNHKRDIEDQFLAESSQLKEKLDVREREVSTLTQMHEGHQSESSNQIRELEAQISNLGLELESLRNQKRDIENQFLAESSQLKEKLDEREREVSTLTQMHKGHQNESSNHIKELEAQISNLGLELESLQNQKGQIEEQLKRFTTEAREQGDHNLRLQNQILELETKSKEREEELSVIAKKLKDNENESSSKVSDLTYQIANLQADISSLHAQKNEQEEQIIIRSNEVSTRIESVTNEMKVLQQEVESLKHQKSDLEVQLEEKSRENSECMIQVQSLKEEVDRKTLEQERVMEDRENLTREARELGEHNLRLQNQISELEIKFKEREEELSAIMKRLKDNEDESSSIISDLTSQINNLQADISSLHAQKNELEEQIVFRSNEASTRIESITNEMNVLQQEVESLQHQKSDLEVQLVEKSRENSECLIQVQSLKEEVERKILEQEKLMEHRESLTTEARELGEHHLGLRNQYSELEIRSKEREEELSALMKKLKDNEDESSSKISDLTSQINNLLADISSLHAQKNELEKDLLSSQKTKEELELYCKKIKEEHAETLTVVDNEKTKLANQNVDLQRTLEEREDAYQKLNEEYIKVDSWFNECKVKLEVAERKIEEIAGEFREGIGSKDHIVGDLEHQVEVLKRDLEEKGDEISTLLENVRNLEVKLRMSNQKLRVTEQLQNENEEKFKQKQSALEDKVATLVARITANNEAFHETITTIKESVNIMISGIDTVSRKFSDETKNHENSISNISHELQVAKEYVCEMNRVKGKLEKDKNRLLEELKGKKEEELSLREKVEKLEAKARKDESENIKVNATVVELKKTVEELKKTVEELEKLVKEKEEGLGEEKRDAIRQLCLWIDYHRERNDYLKEILSKTRRVQRAAG >CAK8578722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649828878:649829350:1 gene:gene-LATHSAT_LOCUS30710 transcript:rna-LATHSAT_LOCUS30710 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEQQGKPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGGGSTRINSQRRSDSRKEDDKSGKKKWFCFKP >CAK8578209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612593280:612595337:-1 gene:gene-LATHSAT_LOCUS30242 transcript:rna-LATHSAT_LOCUS30242 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLVESEPEPPPPNVVNEFNPNEIVRDPGHRKQIDEYAPDIQDLVRRAYILKGPIKSWYKNYTWLEYSEIKDAAYCFYCFLFKKPGRAEHFGFEVFTKSGYKDWKHASKGLKDHVGSHNSFHNSCVKHYDDYNNQRQSVASKFVKATKESEELYKIRLTCSVDCSRYLIAQGMSFRGHDESSISLNKGNFRETVDWVKVKNEQVQDAFDRGGKNCTMISGEIQKELAMCCAHEVTKVILGELGDRQFSVLIDESRDISVKEQMAVMLRFVNDKGNVVERFIALHHVKDTTSESLKDALYGILDKYMLSISRIRGQGYDGASNMRCEFNGLQRKILDENPYAFYVNCYAHRLQLVVVSVASSCSSIHDFFEYISLIVTTTSASCKRRDALTEAQHQDILNKLESGEIFRGRGLHQSSSLTRPGDTRWGSHHTTLLRLDQMWSSVLNVLSMVDEDGRGPSQATGLIEKMESFKFAFILKLMLKLFGITNELSHVLQRKDLNIVIAMELVDVVKARLATMRDSGWDDLFADVQEFCVAKGIPVPNMDDEIPVRGHSRVEGRTITNLHHYRAEIFYVAIDKICVEMDHRFSEGSNIIFDCFSCLDPKNSFSKFDVDKLARLADIYHVDFSDDDRGTIRD >CAK8540543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10444241:10447832:1 gene:gene-LATHSAT_LOCUS9540 transcript:rna-LATHSAT_LOCUS9540 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSFSVPSLALPKSQSQSQDPDSSCSGKRNDKSGSCCFPPSFERRKMILSSVAVIAGSFCKGGVSLASEFADMPALRGKDYGKSKMRYPDYVETESGLQYKDLRQGTGPKPKKGEMVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRIGSQEVIPAFEEAVSGMSLGGIRRIIVPPELGYPENDYNKGGPRPMTFSGQRALDFVLKNQGMIDKTLLFDIELMKIIPN >CAK8539150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505426532:505426993:-1 gene:gene-LATHSAT_LOCUS8271 transcript:rna-LATHSAT_LOCUS8271 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQRPFFNYMQNYQNPNPQNSQIPSVPTNPAIFLPSPNNPNMYHIPQMNSNSMEFSTQVPPFSTQVPPFSTQVGTEKEEMVVVKKRSRDQFIREQDILLFQSWLNVSKDPIVGVDQKAESFWLRIAASYNQYRGQLREKLGGQLKCR >CAK8540536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10201232:10207288:-1 gene:gene-LATHSAT_LOCUS9533 transcript:rna-LATHSAT_LOCUS9533 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADIAQATRNPHEYQMIMSVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDDIREHAYQISTLSDFQYIDSSGRDQGNNVRKKSQSLVILVNDKERIVEVRQKASVNREKFRNNTPGGMYRPGSHSSSGNYGDRYEDDRYASREEDRNGYGYGREREMGSRDDDRYSRDGDRYGRDYEERNSRDGYRDDDRGRSRSVDYQYDTRSRSSDRERDFDEDGQHSSRGSNAKVEDQSLEARLQRKLSEQNVGAPPTYEEAVGEAQSPVQGERVAEPSAESAPKGSSPHASDNPSLTYAPTGSSPVSNNPTEVTAATSTTASGTQETEPTDDFFDPRGPTSAAPTTSNYGEIDLLGSLSDSFSSNALPPVPDTPATATPEANNGTTASFAAPSFGSNNFNQSFEDPFGDSPFKADTSAETAPSQHYANQSIEPSQSDGFNADTMSNFGFGDSFSIVPYSASATSDAQPFSANSNFLSQESSSQQLETDILADILPPAPLPEMTSQQNSSSPFGQPSPSFSTSSGPFSEPAGQLTLPGFSAATSQPAQTLPSSQFNQQPFSTPNSSFPANTSPYAQTQPPFPSHPSQPGLQGFSSSTGHSMQAPYASQGGQSVQSSGHTYGGLYSLDTSLAPGAPNMYSQSQNGYNGSMNSGNYLPQGSSTGFPSHMTPQAPTPQPAQQPAQITNFPHHGGSTGSPSNSQGFFGQQGNAAPLSSSHTHQAPAPNASPYAVSMTSNSLVSQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLSDIGIDFESINRKEKRMEKPTNAPVTSTVNMGKAMGSGSGIGRAGAGALRPNPNSMMGPGMGMGMGMGMGNAPGGMGMGNAPGGMGMGNAPGGMGMGGYGGGMNPSMGMGMGMGMGGTGGMGMGQQGYPMQPPSGMPPGSNMPGNYNNMMGPGGYGQQPYGGYR >CAK8577947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598428504:598429067:1 gene:gene-LATHSAT_LOCUS30005 transcript:rna-LATHSAT_LOCUS30005 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYGHQMEHAYSTRSLSAASEMGSSFMLELGFYITSFSTTIFIAGFAALGLLLITLLVSMAMMLQSCQNNSAGILELRNVNDDYSYCKVHSLHAKFNHLEEHNR >CAK8578731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650506939:650511438:-1 gene:gene-LATHSAT_LOCUS30717 transcript:rna-LATHSAT_LOCUS30717 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASRPAVVIDNGSGYTKMGFAGNVEPCFIVPTVVAINESFLNQSRSSSKGNWIAQHNAGVMADLDFFIGDDALSKARSSSTYNLTYPIKHGQVENWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDIGDGATHVVPVADGYVIGSSIKSVPIAGKDVTLFVQQLMRERGENIPPEDSFEVARKVKEMHCYTCSDIVKEFNKHDKEPAKYIKQWRGVKPKTGAPYSCDIGYERFLGPEVFFNPEMYSSDFATPLPVVIDKCIQSAPIDTRRSLYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARVLAAEARLNGEIKSHPVEVNVLSHPIQRFAVWFGGSVLASTPEFFTACHTKAEYEEYGASICRTNPVFKGMY >CAK8565688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307849652:307850110:-1 gene:gene-LATHSAT_LOCUS18878 transcript:rna-LATHSAT_LOCUS18878 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATLIATIAFAAAITVPGGNNQDKGIPIFLKDNTFMVFVVSDALALFSSMASLLVFLAILNARFAEEYFFVALPERLILGLASLFIAVVTTMVAFGAALSMLVKERVKWAPIPIALLACVPIALFTKLQLSLFIEMMKSTYGSQFSYIKK >CAK8544615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695932047:695932949:1 gene:gene-LATHSAT_LOCUS13274 transcript:rna-LATHSAT_LOCUS13274 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQMKKYRQVSPERAKVWTEKPPKYHQNLKVPVVYYLCRNRQLEHPHFMEVPLSSPDGLYLRDVIDRLNSLRGRGMASLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFDESNSDRFSHINDVKIQSVKLLPGPASCRSHDEASSSYSMNGKETRHSQDDELSQEQHTGSFDVSPESSAEKSDPVSLALTEQRGLLSLNKVLEKSMFVLRDFIQLFLMLLRFENDIDAGEEFVLMTTKGEAISLGIAEMTTAVMAICDHGVVAKIKRLATENQRLAATHGVLRQELAGA >CAK8575607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202989541:202990890:-1 gene:gene-LATHSAT_LOCUS27856 transcript:rna-LATHSAT_LOCUS27856 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSAEDVQNKIKFIEEAIAKDLNKKVKEQSSQEMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGLMDSHNFEGVAGEGQADETTAEDFWDAMIQSLKEKRKAKN >CAK8539235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507262381:507263678:1 gene:gene-LATHSAT_LOCUS8348 transcript:rna-LATHSAT_LOCUS8348 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTVLKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPQIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALVEAFKPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMRATREVLSEYGNMSSACVLFILDEMRKKSTQDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVVI >CAK8570233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30565751:30567063:1 gene:gene-LATHSAT_LOCUS23003 transcript:rna-LATHSAT_LOCUS23003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGTPQEATQPDCIQALVVEFIATFLFVFAGVGSAITADKLNGDALVGLFFVAITHALVVAVMISAAHISGGHLNPAVTLGLLVGGHITIVRSVLYWIDQLVASAAACYLLHYLTGGLTTPAHTLASGIGYTQGVVWEIVLTFSLLFTVYATMVDPKKGALDGLGPTLVGFVVGANILAGGAFSAGSMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFVYENFFINRDHVPLVDEESY >CAK8534459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728266315:728266671:-1 gene:gene-LATHSAT_LOCUS4004 transcript:rna-LATHSAT_LOCUS4004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAKVQLDPNNADKFVWKGNVSKSFTVSSCYRIFQNLDETSELEITLKTVLDLIWKTKIPLKARIFSWRLVFDRLPTRSNLAARGLISNIHEIACVLCFNVVEDSNHLFITCPHSK >CAK8541941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439733330:439734317:-1 gene:gene-LATHSAT_LOCUS10827 transcript:rna-LATHSAT_LOCUS10827 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTMCSDDNGQIVLANGSELAFISLLASENEFRSLEHLPCLHDKVLAAAADAAITFSSNQKKKQTTKPGILGGIVKGLKGGKTTQPVLHKIQTSNFGHLEDIFFKPPFPDSRPTAVVVDEKEVELDIDDIQIDEPNEPKIVASTSSPNVKNKQKDSYKTIGRNSFKVEVTMT >CAK8567243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497412051:497414286:1 gene:gene-LATHSAT_LOCUS20312 transcript:rna-LATHSAT_LOCUS20312 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGINVPYPGNLNPTAQEFTPTKPIPLSVAVGVPYPYPHFAVTPPPHLSTLPTRSVLLSPVPPTTESDLRKDLQAFGDVRAIQTDSFRYGIVTAHFYDLRHAQAAFTAIRTHHVHCVSFFNPLSQPQFHPPPPPPPPGLVAGVPLWAHYVFSDIQNQGSLVVFNLDDDVSPDQLRQIFDPFGAIKEVREIPGKKKSQRFVEFFDIRDAGKALKELNGKQIGGKSIVIEFSSGYGRRFFPSTNPNSYISNKPFHFNFPPPPPPPPSPQRRFGPPQFSHKNVRTGSMSSNSLNGDENYHYSPRRNFGREFIGEKPWNWKGKQPYKNETRYIIKEDAIVESDSRTTLMIKNIPNKYSQKLLLNMLDNHCIRCNDQIGDGDDKPLSSYDFVYLPIDFKNKCNVGYGFVNMTSPEATLRFYKAFQHQRWEVFNSRKVCHLTYARVQGLEALKEHFKNSKFPCEMEHYLPVVFSPPRDGKQLTKPFPVVGSLVRVGAVDDKMEGRDHRVVAGSSSGGDDRVSCKSGGVVGGDDDDKSDVK >CAK8533557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636379632:636385989:-1 gene:gene-LATHSAT_LOCUS3177 transcript:rna-LATHSAT_LOCUS3177 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSLSLCPSPVAAATTITDLSEDSIGQCATSLTLRDVCNLAMSSTALKRLAYTDSVWQHLFKDRWHRELPSGNGTSARDLYISRHTALQQFKFTDPFSTDCHTYSNRNFDHLLLHQNNIIFAQGSFTGVIGFNDNQMGVLKHTVNDNHKARVTCMRLFSLGEQNVVATSSCDHSIRLWWKDSCLRCLRGHNGPVLSLSNKLLGDGSSKVLASGGEDGTVRLWSINSSGKRGQHALKATLYGHEKAVCLMSVSGHKSSLLVSISRDSKVRVWDTTRSSSVRSSCCVGMTSVHGAPVNMKCHESLLYVAAGPSVTAIDLRTMQRVLTAAVHQPKLYSFDIAPSKSVICTGGDGRALLWDIRRNQNCLKPEPIAELDGHSGPVTKLLMDSYKIVTGGSDDNCVKVWEVGTGEQTNSFIGCLCDDENRRCGCEAMAIDGCRIATATYCKDYNFGGVGVVVTFRDFNSATSPITKLETSKFWDS >CAK8540795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21791194:21791878:-1 gene:gene-LATHSAT_LOCUS9764 transcript:rna-LATHSAT_LOCUS9764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFKLLSLTLFLVLVFKAYGVCALNKSGVCALSEIGVRQYKTSGYAHGMEVWKVNVTNNCECSQSQIQFNCTGFQTYLSVDPAIFSDDCLLIQGGLLHPSKSATFYYAWDPKFTFTPISSKTSCS >CAK8540794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21791194:21792069:-1 gene:gene-LATHSAT_LOCUS9764 transcript:rna-LATHSAT_LOCUS9764 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNLLSLTLFFALVFKAYGVCALNKSGVCALSEIGVRQYKTSGYAHGMEVWKVNVTNNCECSQSQIQFNCTGFQTYLSVDPAIFSDDCLLIQGGLLHPSKSATFYYAWDPKFTFTPISSKTSCS >CAK8533544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634511332:634512742:-1 gene:gene-LATHSAT_LOCUS3165 transcript:rna-LATHSAT_LOCUS3165 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLGCYQVEQSNVAIKEQFGKFIDVLEPGCHCLPWCLGYQIAGGLSLRVQQLDVKCETKTKDNVFVNVVASVQYRAVADKASDAFYKLTNTREQIQSYVFDVIRASVPKLELDAVFEQKNDIAKAVEDELEKAMSTYGYEIVQTLIVDIEPDVNVKRAMNEINAAARMRLAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLQFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAVQIRDGLLQGNAANL >CAK8536206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916960894:916965827:1 gene:gene-LATHSAT_LOCUS5597 transcript:rna-LATHSAT_LOCUS5597 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSKILYLVVVEDEGEKKDKVKVKEREKEKESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRSGSSPEAFKSEGMVLSGFDASKGNVKKDCQEASACPSKTDMDNHLLLGKDHESTSVPFELYKKRTTVFVKREAFLDIVCEVMAEYKYVGPNQRADLMLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRGFASEKENPLLWASTYHAGECLDPVAVTKAKAKAKKKAKKMANVSQSLPKDEVTEGHTFSKSDIHTMEAVCGAAELLNSKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREVGEQLYDPSRNIVTIIDDEYRNQCAANSLTSKGMFQLIQRQGSSRHLMALVNTDGSVAKAWPVNSMDCNGKTIWGHGLEKEIGSPMYGPLRIGKAETVNLQFGFYGISAWPSDGGTSRAGSVDESKADGTDTGSRYVSSCCSSPRMSDGPSKELKEGLSVHGSDEEIDDQLEGGSDDDFSDDGDKHNHEEVGSVDEESTKSDEEYDDLAMQDVLENGYCSDDDEEFKSKVAIDDGDLGTKMQGNKYRQNLDLFLRTRSEPMPDSSFYPYSSLLSEKFERRLPPSGKARLRKRSLSISALGKGSSAIQDPIPSGAPQR >CAK8544020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656983853:656985240:-1 gene:gene-LATHSAT_LOCUS12731 transcript:rna-LATHSAT_LOCUS12731 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLDNLQKKLDESFLNMRLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDMLLHDLDEHNKLKNGVEVQESPNSESISFSPGDRAAISKSFPGALANKALAVVEALEGKRVETFMSSFKAVTEESGLPLKKLDKKLERTLLHSYRKVCPETASLVKI >CAK8541631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:319583329:319585221:1 gene:gene-LATHSAT_LOCUS10536 transcript:rna-LATHSAT_LOCUS10536 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNTSLPSKAEAIVEYKEMKHFKKWIPWLIPFFVIANVVVFIITMYVNDCPHNLISCIARLLGRFSFQPLNENPLLGPALLTLMSMGALDVEKVVNKHQGWRLITCMWLHGGVFHLLANMLGILVVGICLEQDFGFVLIGLLYIISGFGGSLLSSLFIQQSISVGASSALFGLLGGMLSELITNWSIYDKRLGALLTLVSIITINLAVGVFPHVDNFAHIGGFLSGFLLGFVFLIRPQFGWIKQRYATSTYSPTLVKSKFKIYQCISWLLALILLVVGFSVGLVALLSGVDANEHCSWCHYLSCVPTSRWSCNPKNLTCLTEQIGNQVNVTCSRNGKSILDYMKYPTNSKILELCFQICS >CAK8572134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513717016:513717273:-1 gene:gene-LATHSAT_LOCUS24731 transcript:rna-LATHSAT_LOCUS24731 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLEILNWGSESLKAEALGLLEKVFVSKEMVEYYGMTARSRLVCLTGNNIYGDGHLKRKAAKVLSLLERYSKSSSSAISGVLE >CAK8540900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30843616:30844535:-1 gene:gene-LATHSAT_LOCUS9858 transcript:rna-LATHSAT_LOCUS9858 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTNVLSSFVNPTTICTTHKLFLTTPSSFTPFTSSNSSLTNSLKMNAVPKKSFACKSQVSDYVNTENAQELFVYELNERDRGSPAYLRLSNKSVNTLGDLVPFSNKLYTGDLQKRIGITTGICILIQHNEERKGDRYEAIYSLHFGDYGHLAVQGPYLTYEDTYLAVTGGSGIFEGVSGQVKLHQIVFPFKILYTFYLKGIKPLPQELLGQHVEPHVGVEASAAAKALQPHAVIPGFNNN >CAK8563906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638328711:638329786:1 gene:gene-LATHSAT_LOCUS17264 transcript:rna-LATHSAT_LOCUS17264 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGRGISRGMLPLLALHTFTEYYRSDSKPPVTAALIAANTLIYLRPNFLRSLIPPIDHVWFNPHLILKNKDLQRFFLSPFYHIGDSHLVYNMISLLWKGIQLENSMGSLEYASTVASLLALSQSITLILSKSLLIFFDYERSYYYEYSVGFSGVLFAMKVVLNSQSDDYTNVYGVSVPSRYAAWAELILIQMFVPGVSFLGHLSGILAGLVYLRLRRNYSGSNPLSSIFRGFGSLLRWPVRFLGNLFGFRMGRITGRGRVGRAGRNANARPAVDWRCQACTYDNPGLLNVCEMCGTSRGSSSLRRDYYDSDGLSMDELRRRRVERFGR >CAK8574294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674363263:674365436:-1 gene:gene-LATHSAT_LOCUS26652 transcript:rna-LATHSAT_LOCUS26652 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMMEWQKQVQHGNVDAMYVKVMTDEQLETLRKQIAVYATICEQLVEMHKTLSAQQDLAGVRLGNIYCDPLMTSGHKITSRQRWTPTQDQLQILERIFDQGNGTPSKEKIKEIATELSQHGQISETNVYNWFQNRRARSKRKMQNGGTSNTESEVETEVDSKDKKTKPEEFQSHQNVAAGDENLCFQNPEKGSELQYLNPDSNKTYSIFQSDGSIRSTRNLNGASLYDEVLSNSRNDYLAGKMEVSGNYNLYQQTDDYNLAG >CAK8576229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:430993166:430998003:-1 gene:gene-LATHSAT_LOCUS28435 transcript:rna-LATHSAT_LOCUS28435 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAHHRESSSSGGSIDKHLDSGKYVRYTSEQVEALERVYAECPKPSSMRRQQLIRECHILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGFMRQQLHTPSAATTDASCDSVVTTPQNSKRDANNPAGLLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRLSWFRECRNLEVFTMFPAGNGGTIELIYTQTYAPTTLAPARDFWTLRYTTSLDNGSLVVCERSLSGSGTGPNPAATAQFVRGEMLPSGYLIRPCDGGGSIIHIVDHLNLEPWSVPEVLRPLYESPKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFNDNGWSILNCDGAEDVIIAVNSTKNLSSTSNPASSLTFLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGNYAYPGMRPTRFTGNQIIMPLGQTIEHEEMLEVIRLEGHSLAPEDAFVSRDIHLLQICSGTDENAVGTCSELIFAPIDEMFPDDAPLVPSGFRIIPLDSKPGDKKDAVNSNRTLDLTSGLDVGPTTSHGGADSSSQNTRSVLTIAFQFPFDSAMQDNVVIMARQYVRSVISSVQRVAMAISPSGINSAVGTKLSPASPEAVTLAHWICQSYSCYLGTELLRSDSLVGDLILKQLWHHPDAILCCSLKPQPVFVFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFAKLMQQGFAYLPAGICMSTMGRHVSYEQAIAWKVLTPEDNSVHCLAFSFINWSFV >CAK8574613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3903777:3915332:-1 gene:gene-LATHSAT_LOCUS26942 transcript:rna-LATHSAT_LOCUS26942 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSGKGKTNKAKTEKKKKEEKAVAPSLVDIIVVTPYDSQIVLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEVKGQRLNDKVEVVALKPCVLRMVEEDYNQEIQAVTHVRRLLDIIACTSKFGKPKRNLSGPDSKPKKNGKAQNHNKSSLSPPATPNGEGRVGSPTAEVSASPISDNVGMVAIHPTPKLSDFYEFFSFSHLSPPILHLKKCELKDEDERRKGGYFQLQVKISNGKVIEVVASEKGFYSVGKLSLQSHTLVDLLQQLSRGFANAYGSLMKAFSDRNKFGNLPYGLRSNTWLVAPSVGESLSNFPALPAEDESWGGNGGGQGRNGEYDHRQWAADFAILASLPSKTEEERVIRDRKAFLLHNMFVDTSILKAVAAIQHVMESKSSMNSSPGSVLHQDHVGDLSIVVERGGNGKFDSTLNESIKRNDEIQKNLIKGLSADESVIVNDTSSLAVVVVHHCGYTATVKAVGNANTRKSKVQDIEIEDQPEGGANALNINSLRALLHKSGAESSEGTLTSLSNFDDLDASKTIVGKVVEECFEKIKEETNVSNRSIRWELGSSWMQHLQKQETSTDIGSNNKDGNDAAQSVKGLGKQFKLLKKREKKPSDLNVADSSEQNINEPNNDELSSSNELEKLLSKEAFLSLKESGSGLHTKSVDELINMAHKFYDEVALPKLVTDFASLELSPVDGRTLTDFMHLRGLKMGSLGEVVKLAENLPHIQSLCIHEMITRAFKHLLKAVIASVDHVADLPSVIASTLNFLLGGSRTEDTDQTSGDDHHLKIHWLRMFLSKRFGWTLKDEFQHLRKLSILRGLCHKVGLELFSRDYDMESPKPFGKFDIISLVPVCKHVGCSSIDGRNLLESSKIALDKGKLEDAVSYGTKALAKMMTVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVNVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGAEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKTDTSIASKGHLSVSDLLDFISPDSDSKGNDAQRKQRRPKILPISDNSSQEHDDAAIVDDGVFVENAKLAAPVVEGKIEETNATKISEKPKVIEDLSRYKPLVTSETVSETSSDEGWQEANSKGRSANGSKRKSGRRQRPLLSKLTVNGPDSHIYKEASYRNDTIAVHQKASPKVASAVLSPSRKSKTLKALQSKTASTPTSLSSLASKSISYKEVAVAPPGTVLKPLVEKTETEKVSDENETPKIEGSIQNPIADDTVPKEDEKEATHEDEPEQENSASELENVSFSSDQAKPTESNGSKLSAAAKPFSPGTLSASRQLNPVPLASIYDADGSQGILVEPILPPAAARVPCGPRSPLYYRTNYMFRMKHGSAKIREISGSGGPKIMNPHAPEFVPRRASQAETGEVKNNSSKNSLSESEKSEIARQILLSFLVKSVHQNADTVDEPKVSEGKVEKFENSSDEVAKDSAVIKIMYGTEEKNKTAVSSSDDSEEQDKIDGEGFVVVTNRRKSRQKITNGVTELYNQPSICASVR >CAK8568900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652637996:652641981:1 gene:gene-LATHSAT_LOCUS21809 transcript:rna-LATHSAT_LOCUS21809 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKVVSMKQYSNEEVDDDGRIKRTGNVLTATTHIITVVVGAGVLALAWAIAQLGWIFGIAIMIMFSSISIYTYNLIADCYRYPDPVNGKRNYTYMQAVYAYLGGKMHVLCGLIQYGKLAGVTVGYTITSSTSMVAIKKLICFHKNGHEAYCKFSNNPYIIGFGIVQILLSQIPNFHKLTLLSTVAAITSFGYAFIGSGLSLAVVLSGKGEPTSWFGVRVGPELSKEDKIWKILTALGNIALACTYSTVVYDIMDTLRSHPSESKQMKKANAFGITTMAILFLLCASLGYAAFGDHTPGNILTGFGFYEPFWLVALGNVCIIIHMVGAFQVLAQPLFRIIEMGANMKWSRSTFISKEYPNKIGSLTFNINLFRLTWRTIYVVMVTIMAMAMPFFNEFLSLLGAFGFWPLVIFFPIQMHISQKQITKLSSKWCVLQLLSFVCFMISASAGIGAIHGISKNIKKYKLFMYKQ >CAK8540022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536227588:536234296:1 gene:gene-LATHSAT_LOCUS9062 transcript:rna-LATHSAT_LOCUS9062-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKDKASSDSENSLFSTGSNSSMGNKYRYMFNRLFRFANSWKKSSTPRGRRIFHRDVEKEEFQYASSHCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTAKSLSSLAYGLRYELLQRPILRMWNILNSTSEITTAQVKLSQYVIRRYSNPASQAEQVELYEAMRAVTWSLFASKKALNSITINYKNGFVQAFHRDLKDNNTFYIYSDLSNYSMVATTSNMVNTLSTHQAWDDKTIHGNFSAIWYREPLDPVTGEKIGKAMKIAPEDLINIAGLSQVPDGVATWHVAVSKFTDSPLLSAALPVWDSSNKSIMAVVGVTTAFYSVGQLMRELVEMHSGHMYLTSQQGYLLATSTSAPLLTNSTKPPPKLKMAVDCEDGIIRLGAEWLQRTYGNHFPNSTHEVHVENAKLGHQEYYIDSFYLNLKRLPLVGVIIIPRKYIMGQVDERAFKTLVILISAALCILVIGCVCILILTNGVSKEMNLRAELISQLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYSTVTQIRKCSTALLRLLNNILDLSKVESGKLVLDDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPKVVRGDSGRVVQVFANLINNSIKFTTSGHVILRGWCEYPNSCDSPNFYLDQKKSRIVQKNKEKPNANHARRISMRDNNNVILWFEVEDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRNLVNKMGGEIKVTKKEGQGTLMRLCLLLKAPMDVTEQQCPLNLTDNGLVVLLALQGNMSRLVTSKWLTKKGVCTMEASEWNGLTQVLRELFHARSSIHNNNNFDAHYPINLEGFKSKLLTIKDMRNPIFVIVVDISLLDLSTDIWKEQLNFLHKYFGRAKFVWMLNHDTPNTIKMELRRKGHLLMVNKPLYRGKMIHILEAVMKNRNIELQKKNMKEGDLHEFLEIDSTHFDTATSSDDSDISEVSEKPILNITNEKEHLEEKNLRKEESCSSFSTEKQDEKFECEGTNRATRSRKDVNDKKSLEGLRILLAEDTPVIQRVATIMLEKMGAVVVAVGDGQQAVDALNYMVSAEDCRRESLQKERNTRPQTEILTCHPYDLILMDCQMPKMDGYEATKAIRKSEEGTGKHIPIVALTAHAMSCDEAKCLKVGMDAYLTKPIDFKLMVSTILSLTKPAS >CAK8540023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536227588:536234296:1 gene:gene-LATHSAT_LOCUS9062 transcript:rna-LATHSAT_LOCUS9062 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKDKASSDSENSLFSTGSNSSMGNKYRYMFNRLFRFANSWKKSSTPRGRRIFHRDVEKEEFQYASSHCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTAKSLSSLAYGLRYELLQRPILRMWNILNSTSEITTAQVKLSQYVIRRYSNPASQAEQVEQLYEAMRAVTWSLFASKKALNSITINYKNGFVQAFHRDLKDNNTFYIYSDLSNYSMVATTSNMVNTLSTHQAWDDKTIHGNFSAIWYREPLDPVTGEKIGKAMKIAPEDLINIAGLSQVPDGVATWHVAVSKFTDSPLLSAALPVWDSSNKSIMAVVGVTTAFYSVGQLMRELVEMHSGHMYLTSQQGYLLATSTSAPLLTNSTKPPPKLKMAVDCEDGIIRLGAEWLQRTYGNHFPNSTHEVHVENAKLGHQEYYIDSFYLNLKRLPLVGVIIIPRKYIMGQVDERAFKTLVILISAALCILVIGCVCILILTNGVSKEMNLRAELISQLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYSTVTQIRKCSTALLRLLNNILDLSKVESGKLVLDDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPKVVRGDSGRVVQVFANLINNSIKFTTSGHVILRGWCEYPNSCDSPNFYLDQKKSRIVQKNKEKPNANHARRISMRDNNNVILWFEVEDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRNLVNKMGGEIKVTKKEGQGTLMRLCLLLKAPMDVTEQQCPLNLTDNGLVVLLALQGNMSRLVTSKWLTKKGVCTMEASEWNGLTQVLRELFHARSSIHNNNNFDAHYPINLEGFKSKLLTIKDMRNPIFVIVVDISLLDLSTDIWKEQLNFLHKYFGRAKFVWMLNHDTPNTIKMELRRKGHLLMVNKPLYRGKMIHILEAVMKNRNIELQKKNMKEGDLHEFLEIDSTHFDTATSSDDSDISEVSEKPILNITNEKEHLEEKNLRKEESCSSFSTEKQDEKFECEGTNRATRSRKDVNDKKSLEGLRILLAEDTPVIQRVATIMLEKMGAVVVAVGDGQQAVDALNYMVSAEDCRRESLQKERNTRPQTEILTCHPYDLILMDCQMPKMDGYEATKAIRKSEEGTGKHIPIVALTAHAMSCDEAKCLKVGMDAYLTKPIDFKLMVSTILSLTKPAS >CAK8543574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620601496:620602167:1 gene:gene-LATHSAT_LOCUS12322 transcript:rna-LATHSAT_LOCUS12322 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYTSVNLFDISWEDLFMFNNYDSNSSSSSDDHMYLQEFNSNIKEETSPPPPPQTLTQQQPSLPSKALTKKQQQPSCKREKRLYRGVRTRPWGKYAAEIRDTTRNGVRVWIGTFDTPEAAALAYDQAAFLTRGYRAILNFSEHVVKESLQNMNFKTLLNRGCSPLLELKKMHVLRTRSTKSCSKKSKKDSKNGGLNINNAENVLVLEDMGVEYLEQLLMSTI >CAK8575212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:53875797:53877459:-1 gene:gene-LATHSAT_LOCUS27496 transcript:rna-LATHSAT_LOCUS27496 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTWFQHKFTDPVLLVLRGGAEPKQLSFSAALGITLGVFPICGVTVLLCGVAITLLGSFVNAPILLLANCFATPIELSLIIPFLRLGEFITRSPHFTLSSDVLKKVLTGQASKQVLLSIANALLGWLVASPLILASLYISFLPCFKFLIRKLSSPPSSPRMPLQPLSDIGSKARDV >CAK8578334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621784176:621786303:-1 gene:gene-LATHSAT_LOCUS30354 transcript:rna-LATHSAT_LOCUS30354 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITNVSEFEAIAKQKLPKMIYDYYASGAEDEWTLKENRNAFSRILFRPRILRDVSKIDLTTNVLGFDISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVLKDRNVVTQLVRRAESAGFKAIALTVDTPILGRREADIKNRFTMPPHLILKNYEGLDLGKLDKTNDSGLASYVAGQVDRSLNWEDVKWLQTITSLPILVKGVITSEDTKLAIQAGVSGIIVSNHGARQLDYVPATITALEEVVKAAGGKLPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAANGEAGVRKALEILHDEFHLTMALCGCRSIKEITRDHVVTEWDRPRIDPRL >CAK8578335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621784176:621786303:-1 gene:gene-LATHSAT_LOCUS30354 transcript:rna-LATHSAT_LOCUS30354-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITNVSEFEAIAKQKLPKMIYDYYASGAEDEWTLKENRNAFSRILFRPRILRDVSKIDLTTNVLGFDISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVLKDRNVVTQLVRRAESAGFKAIALTVDTPILGRREADIKNRFTMPPHLILKNYEGLDLGKLDKKTNDSGLASYVAGQVDRSLNWEDVKWLQTITSLPILVKGVITSEDTKLAIQAGVSGIIVSNHGARQLDYVPATITALEEVVKAAGGKLPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAANGEAGVRKALEILHDEFHLTMALCGCRSIKEITRDHVVTEWDRPRIDPRL >CAK8537741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425980324:425982672:-1 gene:gene-LATHSAT_LOCUS7002 transcript:rna-LATHSAT_LOCUS7002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSTCPFCFVSLPSSQLQWHANTHFEDDDSRSPPSKKAVSGDLHFDTTFGDSNNWCGGGIGRDNGVWTMEEEISHFVDLQIKGEFHNVNGGLMNLLRNCLESEGGNSKSILSVNVDHFQSLQSEDVGWGCGWRNIQMLSSHLLAQKREAKDVLFGCSGFVPDIPSLQRWLEIAWERGFDEPGSHHFNNSIYGSKRWIGATECAALLRSFGLRARVIDFGPKESESLYLSVPGSSVGEPELVRIDNGRKRKAPNFHGPMDRYLSRGGGGVGGVSQASCGTNAKSCSSLNVTIDKESGGKCMVKGSANQSKAHQVLMDFVWNYFSDKNSIHFGYRRVVFSEKTPLYFQHDGHSRTIVGIQVKYQRNGNPHYNLLVLDPGHRTEVIERSLREKVGWQRFIKRGVHTLTKQQYQLCYVDPGIATEEEMKKLKTMDSVFIQL >CAK8579372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694441449:694443406:1 gene:gene-LATHSAT_LOCUS31323 transcript:rna-LATHSAT_LOCUS31323 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVLLLVISTCTFLKMQFPSMLQHKTGFRGFFWKAARIGERLSPWVAAGFLSMGVSILFF >CAK8571607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447703822:447707730:-1 gene:gene-LATHSAT_LOCUS24250 transcript:rna-LATHSAT_LOCUS24250 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEYLRKCSNMKCQRIQVDEYTPSLQDDELEIEHLFEEPRSDHVSLDGSLASDTALNDKNDLEMEVLDGFLDEGFLDDVDINDLEGTDGFTDVCGGYFLDFDFAKVELLGSGAYEDSLLENSNLESQSPGLSGSSTVSGISESAKAPNSTQSECKIESLDETVTNDTHGVFRNNPSQPSNVGCMYNISLDIQHLHELNNGYPLGGSILSCKTENVTVENCQSAPPRVKRFRKPTRRYIEESSNLRSKEKVPTTGAKYKRRSLSSCNELTKVKGLKNILSEKSSNSDSNSDVTPTELQQCKKLPKKEEIEYDNEPFSSEEFDDEFEEEFEDERSAPKRSRSKDRRKHQRMWTTAEVTKLVDGISEYGVGRWTDIQRFLFSASGYRTPTDIRDKWRNLLRASSAQKFIQKEGEENDKITPRSLPVSVASRVLELAKIHPYPKRRNSKRSSDSQVGSSVTASQSSGSSISLGRRNVRRKKCST >CAK8537919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447889322:447890563:1 gene:gene-LATHSAT_LOCUS7168 transcript:rna-LATHSAT_LOCUS7168 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIIHNYGKPMSLSKLISSLPIHPSKKPCIYRLMRIMTHSGFFSQQNVTENELEIEYTLTDASRLLLKDNPMSVTPFVQAMLSPIMTNPWHQMSTWLKNEDSSAFETIHGKYFWDYAAHDPILNRLFNESMACDAPLVSHMLIEKGKGVFDGLESLVDVAGGTGNLGKAIAKSFPQMECIVFDLPHVVDGLQGTDNLSYVGGDMFQQIPQAHAILLKWILHDWNDEECVNILKKCKESLKKKGKEGKVIIIDLVVDVENTSESVETQLFWDMLMMVMLTGKERNEKEWIKLILSAGFSDYKITPILGLRSMIEIYP >CAK8544362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679758182:679758724:1 gene:gene-LATHSAT_LOCUS13048 transcript:rna-LATHSAT_LOCUS13048-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFSVLVICVIVFAHQTTAELLTGNALIQNVCNLSGNDKDLCVEVLSSDPSKSPNADLSDLAIVALKVAAKNASGILNDVKLLIEDPELDPKIQQGLADCKETILDAESQLEDTIASLLIEDDVDAQTWLKAALAAITTCDDSIPGNDDVLSVKSRNFRKLCNIVVVITRAMPPRPII >CAK8544361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679758182:679768510:1 gene:gene-LATHSAT_LOCUS13048 transcript:rna-LATHSAT_LOCUS13048 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFSVLVICVIVFAHQTTAELLTGNALIQNVCNLSGNDKDLCVEVLSSDPSKSPNADLSDLAIVALKVAAKNASGILNDVKLLIEDPELDPKIQQGLSDCKETILDAESQLEDTIASLLIEDDVDAQTWLKAALAAITTCDDSIPGNDDVLSVKSRNFRKLCNIVVVITRAMPPRPTI >CAK8533417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615818562:615826198:1 gene:gene-LATHSAT_LOCUS3042 transcript:rna-LATHSAT_LOCUS3042 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRKARPTSATTTFTPHRLGSTPGSGLTPSAKGKAVVIADEPLPPPPLGSLTEARGEVMVASRLDGGYAEDWKKFREVGLLDEAEMQRKDQEALMEKLSRLEKELFDYQYNMGLLLIEKQEWSSKFDRLRQELAETEEVLKREQSSHLIALSEVGKREENLKKALSLEKQCGSDLERALRAMQEELAQVKSSSHTKLDEANALVDGIEEKSSTVNMKLYDAEARLAEVNRKNAELDLKLREVEVRESLLQKERLSVATDRESFETVFYKQREDLKEWERKLRQREDMLSDGRQNLGERENNVTVMERNLKLKARDLEVLEKNIDSANSLLKEKEAEISRRVSDVDAEEKKVDSLKKILEMKEKELHALELKLSVKEKEGIQKVLDEQKDILDLKLQQFELEMEQKRKSLAEEFSSKEEALENREIEVNHMETKVRKEELALSKKSERIKEQNKELETKLKSLKEKEKTLKIKEKELEKEKEHLIAERESLENLNVELGKIRDEISQQELRICQDTENLKLTEDERSEHSRLQLELKQEIEHTRMQKDFIMKEAENLREERIRFEKEWEELDKKRSEISGEQQEIDKEKERLRKLKNSEEERLKREKQDMQDHLKKELEKLELDKESFNDSITQEKFLLSEKIKNEKAQMLEDFEWKTRNLENEMQKRKEEMEKNLHERERKFQEEMERELNNIDMLKDATEKEWEEVKSEGTRLENERKELETNKQQLKSDQHEMHADSEMLMNLSQKVRKERERLVAERNHFIALVEKLRTCKDCGEVVRDVVVSDLHLPDSKEIDILPMPRSPVLNDRQNNFEDNVIASGSNYSGSTRPVSWLRKCTSRIFKLSPSTKTDSVGTSYMGGTSPESDVNVNIEKVEEPTASPNIEGPIINVPEQQIAGGAALPSSDTQHFQSDNIVREVNNENSLSMDDHSYMESLIGGDPDDSQQSVPKVGRKRPGRKSKSGIARTRSVKAVVEEAKEFLGKTSKENVSLQSHDTDHIKDISREDSDHTENVSGKRPRKRQRAQTSRIVESEQNTADSEGHSDSITTSRRKKKRETVPPSPQVTRETRYNLRRHKAADTVSSEQDLTNGTKNVEKEDSDSKQKEDDENLKAGVADDNIQTTTLVQVSTVKSVEVKDDRVVRFEIPTYIIDDNGATTNSVDHVEESGTLEYGDEDGSIINDIENEDKGEEEEEEEEGEEEEEEEDPSEVSIGKKILKFFTT >CAK8575100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:29752701:29753255:1 gene:gene-LATHSAT_LOCUS27389 transcript:rna-LATHSAT_LOCUS27389 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLAIASSTSTMLLYPKLGTCSMSLSICTPTSHSKIQHFHLYSLGKRGQCKGKVIYASLFGVEAPEALVIGVVALLVFGPKGVAGVAQNLGKTLRELQPTIREIQDVSREFKSTLEREIGIDDFSNPLQSTYSSNVCNTMSTPPATEITNSSQTVVDPNGKLDKSKAYSSEEYLKITEEHLN >CAK8561829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:390079250:390080894:1 gene:gene-LATHSAT_LOCUS15383 transcript:rna-LATHSAT_LOCUS15383 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCQLHLPIRGVFWSPQDISEALAVEWAVQYLEVSRRVVQQQIRECRGSYYKLAWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLDGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRSTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8563898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637931072:637931980:-1 gene:gene-LATHSAT_LOCUS17259 transcript:rna-LATHSAT_LOCUS17259 gene_biotype:protein_coding transcript_biotype:protein_coding METSNQIEVHQTQSSSSSSSSIDSNNYNYPSAISSICKTTDLSTDLKLGLSISPSSQSQLPFNSTTTSSKEETLDWPPINKSILRRNTLVEKQNLSIIQRQRSLFVKVYMEGIPIGRKLNLLEHHSYDELVKALCHMFRTIILCPNSQPLSSWNFHVLTYEDQEGDWMMVGDVPWEMFLSSVKRLKITRADSC >CAK8569542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4592657:4595607:-1 gene:gene-LATHSAT_LOCUS22384 transcript:rna-LATHSAT_LOCUS22384 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILSQKSLLWSLLVCHFAISCKANQQSEYLHKLIQSKRFQQKDVSSHEEAYYLSDFGDEYVSYEHDVEQQLKLKEADKVKALPGQPKGVDFDQYAGYISVDTKENRKLFYYFVESSLNSSTKPLVLWLNGGPGCSSFGYGAMQELGPFRVNNDAKTLSLNKHAWNKVANVLFLESPAGVGFSYSNNTSDYTVGDRKTSIDSYVFLRNWLERFPEYKTRDFFITGESYAGHYIPQLADLILSKNKKNKNHKPINLKGVAVGNGWIDDNLCLKGMYDFYWTHALNSDETHKGMEKHCHFKSFNESNECFGYQSKANDEVGTIDNYNIYAPVCNSSATETSYSENNFDPCSDDYTTAYLNQPKVQEALHVKATKWSPCSNVLNWTDSPASILPTINRLRSSEISIWIYSGDTDGRVPITSTRFSINSLNLPIHTEWRPWYNEKEVGGYLIGYEGLTVITVRGAGHMAPSYQPQRTLTLISSFLQGKLPP >CAK8577080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535571148:535573456:-1 gene:gene-LATHSAT_LOCUS29220 transcript:rna-LATHSAT_LOCUS29220 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVQRWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKAFAERENTFFMETSALESLNVDNSFTEVLTQIYRVVSRKTLEIGDDPAALPKGQTINVGSRDDVSAVKKVGCCSA >CAK8567027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480366663:480370827:1 gene:gene-LATHSAT_LOCUS20117 transcript:rna-LATHSAT_LOCUS20117 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQLGSKMMSYSTEFRNHEDDAWYTVMVTLEEKEKLRVTYEKFTDEVDQFFLPSFFDSLEDLLEFEKRFRPLSIQVQDHECRKLVPGVKVCASQHFIPDDLRFYDATVEAVEERPHSRKKNEECNCTFILFWIHGPNAGNMTAADIGDICTVQPIIEVDPAVASFLEIVKKRIASKSGQELVANCNKGIENKNKLSLFERMQKGRRRAKRSVLGDGSTKVGLDENMEDIELEGKRNVCMILMGNLDKELCPSTAVEFLHKHTQVFASVFIFSSLSSEIYTRGAIMSQTEQDFQKLCDFLTNPNHIITSSTGRPWTIIEKQVGLKNIKASIGILVPGSEDVAQDGKNRTSNNLKVVYSGTQEFKRASAMRELFLEFSDHQVRLHKKLAFLEGECI >CAK8571463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:423294089:423294988:-1 gene:gene-LATHSAT_LOCUS24126 transcript:rna-LATHSAT_LOCUS24126 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREQLHPSLSKLNYNRKGKIIHKKAMTTSTHVSLFLSFAFLFYAVAGGKVTFTNRCQYTVWPGTLTGDQKPQLSSTGFELGPGAITSLNLPSPWSGRFWGRTGCSFNNGKFICATADCASGQVGCNGAGAIPPATLVELTVASNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPANINLVCPSDLQVRGSDGSVIACKSACLAFNKDQYCCRGAFNTEQTCPPTNYSTVFKNQCPLAYSYAYDDKTSTFTCSSTPDYAITFCPST >CAK8537214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:144525965:144528306:1 gene:gene-LATHSAT_LOCUS6520 transcript:rna-LATHSAT_LOCUS6520 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGNKVEDGFYVGDAVLGKLYSLKEFSTKQELEISLQALTEIDHRLAYFSEKLVNLHVLYIYLLARENDLEEMDSKNTCNLETLFEKAMAFDLLSGVLDSEVRVLDNFMDTLHEEIVDAHRKILSCRHFTEVFFIMDEKLHDFEESAKQVQQQLLELKIQVPQLQNSLAPFQDEYSNTGKALSLSENGQLLDVKVKSNDQMAKQRKYILRMLEKSLAREIDLEKKLADSRNNEELKMKLHYAEQVAFYMEETAEVVWGRFLEADNATEVLMGISKGIMGCLQVTEFNLNSSIQRENELKSKFQNLIEELKVKDVALENHERLSANVDDVQKNSEVIALRENVRILEERKKDYEVQINSLTEENEQLHEQLIEIENFAETLKESIDITESRAESAEEKVTQLTETNMELTEELDFLKASASTAEKKVGLLEKQVRELDIQLQNGKVSSEASQEQQNMLYTAIWDMEILIEDLKSKVSKAESNKDSAEERCIVLSETNIELHEELDLHKSRMVSLKTSMDEASNTKLLSIKEVDTRTKLIMDMVIQLAAERERINKQLDCLKMENKSLVGKLKETQIGAYNNGQSNRNEAQAFNIDSIRDEERIEHLKKTFQVGERSEIANSETRATYSVSANKFAIFILLISVLVFCLLDENMFSFLKGLYS >CAK8537215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:144525965:144528158:1 gene:gene-LATHSAT_LOCUS6520 transcript:rna-LATHSAT_LOCUS6520-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGNKVEDGFYVGDAVLGKLYSLKEFSTKQELEISLQALTEIDHRLAYFSEKLVNLHVLYIYLLARENDLEEMDSKNTCNLETLFEKAMAFDLLSGVLDSEVRVLDNFMDTLHEEIVDAHRKILSCRHFTEVFFIMDEKLHDFEESAKQVQQQLLELKIQVPQLQNSLAPFQDEYSNTGKALSLSENGQLLDVKVKSNDQMAKQRKYILRMLEKSLAREIDLEKKLADSRNNEELKMKLHYAEQVAFYMEETAEVVWGRFLEADNATEVLMGISKGIMGCLQVTEFNLNSSIQRENELKSKFQNLIEELKVKDVALENHERLSANVDDVQKNSEVIALRENVRILEERKKDYEVQINSLTEENEQLHEQLIEIENFAETLKESIDITESRAESAEEKVTQLTETNMELTEELDFLKASASTAEKKVGLLEKQVRELDIQLQNGKVSSEASQEQQNMLYTAIWDMEILIEDLKSKVSKAESNKDSAEERCIVLSETNIELHEELDLHKSRMVSLKTSMDEASNTKLLSIKEVDTRTKLIMDMVIQLAAERERINKQLDCLKMENKSLVGKLKETQIGAYNNGQSNRNEAQAFNIDSIRDEERIEHLKKTFQAGG >CAK8578683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647012322:647012768:-1 gene:gene-LATHSAT_LOCUS30673 transcript:rna-LATHSAT_LOCUS30673 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAALSGTMASASFIRRQPINRSLTAFPNSGQALFGLKAERGSRVIAMASYKIKLITPEGTKEITCGDSEYILDAAEENGLDLPYSCRAGACSSCAGKVTQGEVDNSDNSFLDDDQLASGFILTCVAYPRSDLVIETHKEEELVS >CAK8542949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561672857:561673191:-1 gene:gene-LATHSAT_LOCUS11744 transcript:rna-LATHSAT_LOCUS11744 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVFYPFLSFFFSFSFSSFRAAPHSLFCQCHNTFTSFCLFKQTKQEFPNTLIDYNWDWIDYCGSVVIHWC >CAK8532095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201067557:201067957:-1 gene:gene-LATHSAT_LOCUS1831 transcript:rna-LATHSAT_LOCUS1831-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVVRFTVGDDKGWSFGVQSWLTGKNFKAGDTLVFNYVPPIHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8532094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201067557:201067993:-1 gene:gene-LATHSAT_LOCUS1831 transcript:rna-LATHSAT_LOCUS1831 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSMFAFHTKMTHVVRFTVGDDKGWSFGVQSWLTGKNFKAGDTLVFNYVPPIHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8569415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700434938:700435504:-1 gene:gene-LATHSAT_LOCUS22270 transcript:rna-LATHSAT_LOCUS22270 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGWAKRQGREGRIVDRCQIENEGIDSETIEFEFEYAYGYLLGEKGVHHLIKGSPNESSHLETSSATVDVIPLFLENACDFEIDSVDLIISSPSTHGKRKKQIECNVCIQRVPTK >CAK8578421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628333884:628337829:1 gene:gene-LATHSAT_LOCUS30434 transcript:rna-LATHSAT_LOCUS30434 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKWWEKISGNGYKINPQYYQYIGSKRVKRELWRKLLLTWVLGWTVVSLWIFCFMSLQASVKRKETLASMCDERARIVQDQFNVSMNHIQAMSILISTFHHAKSPSAIDQRTFASYTERTAFERPLTSGVAYAVRVLHYEREQFEKQQGWIIKRMDTLEQNPVHKDDYDTEALEPSPIQEEYAPVIFAQDTISHVISVDMLSGKEDRDNVLRARESGKGVLTAPFRLLKSNRLGVILTFAVYKRYLPSNATPNERIQATNGYLGGVFDVESLVEKLLEQLASKQSVIVNVYDTTNHTHPIAMYGSNVSGDVFNHVSTLNFGDPFRKHEMHCRFKQKPPWPWVSITTSIGILVIALLVGHIFHATVNRIATVEEDCREMMELTKRAEAADVAKSQFLATVSHEIRTPMNGVLGMMHMLMDTDLDVTQQEYVRTAQESGKALVSLINEVLDQAKIEFGKLELEAVLFDIRSILDDVLSLFSDKSQGKGVELAAYVSDHVPKLLVGDPGRFRQIITNLMGNSIKFTDKGHIFVTIHLVEEIVHSIEVDNESNPENTLSGFPVANSCLSWKGFKAFSQEGPLGSFSSTSTDLINLIVSVEDTGDGIPRESQPLIFTPFMQAGSSISRKHGGTGIGLSISKCLVGLMNGEIGFASEPKTGSTFTFTAVFTNGRTSSNDSKISRINNQSQPASSEFEGMNALVIDPRPIRAKVSRYHIQRLGIHVETVSDLNQGLSAINKGNAATNMVLIDQEVWYRDSSMSSHFLSSTRKIELGFPPKLFILVNSNTSSSSKTSSVTSDIYNPTIITKPLRTSMLAASLQRAMNVRDKRTLNRDLHNLSLSHLLLGRKILIVDDNGVNRAVAAGALKKYGAEVVCVSSGKDAISSLKPPHQFDACFMDIQMPEMDGFEATKRIREMEHNENMEVSIKWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEAEQLYREVCRFFPAS >CAK8568220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583254402:583266084:-1 gene:gene-LATHSAT_LOCUS21200 transcript:rna-LATHSAT_LOCUS21200 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDQPQVQPADTNTKPSDSHSHTPSDYAPYPKIDPNDVAPPPPPSQSVATESRATDAATTMPTESNPYVSPAPVPAPTPAKNTLDSVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLLKTYACYLSTSAGPVMGVLYLSSAKLAFCSDNPLSYQTGDQTQWSYYKVVIPLHQLRAVNPSTSKVNPSEKFIQIISVDNHEFWFMGFVNYDSAVKHIQEALQSR >CAK8568350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598094857:598098567:1 gene:gene-LATHSAT_LOCUS21313 transcript:rna-LATHSAT_LOCUS21313 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNFNGAGVGFGFGVGCGFGVGWGFGGMPLNFLGLGAGGGCGVGVGLGWGFGTAFGSRYRLSRITFQGMEFDSKEKSESTELSKPNPEIRSSR >CAK8540115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540292980:540293965:1 gene:gene-LATHSAT_LOCUS9148 transcript:rna-LATHSAT_LOCUS9148 gene_biotype:protein_coding transcript_biotype:protein_coding MPKATSTAKPADNLLKRKGAGTGTKQSKKAAKDPNKPKRPPSAFFVFMAEFRETFKKENPNNKSVAVVGKAGGKEWKALSAAEKAPYVATAEKKKEEYEKAIRSYNISLAEGKAPSEEEGSDKSKSEVNDEDDDDEESDD >CAK8562503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502008460:502014658:1 gene:gene-LATHSAT_LOCUS15995 transcript:rna-LATHSAT_LOCUS15995 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTVKGNWSFRTNKLTFPYVFLICIFFFLAGFFGSTLFSHSQDGDGYGLRPRKMLLESAKETKYNLMTAGEFGDDSITSIPFQVLSWKPRALYFPNFATAEQCETIVAAAKVGLKPSSLALRKGETAENTKGIRTSSGVFLSASEDKTGTLDVIEEKIARATMIPRSHGEAFNILRYEIGQRYNSHYDAFNPTEYGPQKSQRMASFLLYLTDVQDGGETMFPFENGLNMDGSYGYEDCIGLKVKPRQGDGLLFYSLLPNGTIDQTSLHGSCPVIKGQKWVATKWIRDHDQQEEQDD >CAK8543356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602872420:602874387:1 gene:gene-LATHSAT_LOCUS12118 transcript:rna-LATHSAT_LOCUS12118 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELPDCLLSIIFSKLNLKDQFKTCALSKHWLQEWMLSSTNHNFDLYNTFHYNTLPNDILLIQILRSQFTARLDKFVLNYQHATVSSIRVKFPLNDEHSDVIARLISHGIAKGVKHIELLFLPDNRDFDFDFDFICEIKPCRFSFSLLSNSDSLTYLHLENCHLVAPMEFSGLKNLRTLVLHRTIVEQELLEDLFSNCTYLVDLTLDKCDLLVSRIISPTLFHLKIVTLSMHGKMIDIVAPNLSSLEYFCHDIHSIDIESRMLSRSLYISSLLGFVDMSSFRNVTNVVMDGFQFLQTWFWPYLFGQCLQLESLALINCPMVNEVEINSTSLRHLKIIDCCSGRSAPSKISLDSKNLSSFEYSGHTTRKFSVTAPKLSKVFWNAAAGEKSRFDFPTSKLKEKNLNSLCPIPKLNQIENLAMIMGHSQILKLKVLDRFQNLRQLELFIEETYYPLKENFSILDVLTASQHLQKFSLTVRVSSWIGFQIQRENAKFFHNELKYVELHGCVCTTHAIELARNLMKNVNSLRKITFSSLDKFYIGAGRWNKGSDSYWFDRNFIYESLKKEVKEQCELIIL >CAK8540441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560977518:560979933:1 gene:gene-LATHSAT_LOCUS9446 transcript:rna-LATHSAT_LOCUS9446 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKYPRDLLERLFVSSGGSDNNNHNPTTTTRTSEQHHRQQYHQYLRENQHGEQEEEEEDDEDEGIELNLGLSLGGRFGVDKHAKNKKLIRSSSVVGTMPLLREDIAAAAGVASPTPAYPTLVRASSLPTETEEEWRKRKELQTLRRMEAKRRRSEKQRVSLSVSKSEKDSISTVAPTGGGGGGGCSEEVEGANAGAGATMVLNRSTVAATMPPFGLPNWAVASKQVVLGDMLGKGKIGVGFQGLMFAQPSSQGSVESQGGSSSSVSEMDSKPFLGSSSCGETRSPASNQSLQERSNQDSVGSSGVPKPKENLTRTSSRSEVMENASRKPHPTQNIGKEIGTNSMEDMPCVFTKGCGPNGRRIEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGEDVAHPLRHIVVNPSPAPFL >CAK8574634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4544290:4544687:-1 gene:gene-LATHSAT_LOCUS26962 transcript:rna-LATHSAT_LOCUS26962 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDIAIGPVAMVSLLMGTLLSNEIDPVAHKEEYQRLTFTATFFAGITQATLGIFRLGFLIDFLSHAAIVGFMGGAAITIGL >CAK8543253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593020637:593021125:1 gene:gene-LATHSAT_LOCUS12023 transcript:rna-LATHSAT_LOCUS12023 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSLINSILANLPIHYLAFFKAPKKIVNDIITIQRRFLWVRNSSKKFISWISWNSICKTKEYGGLGIKHVGRFNCALIEKWLWRFQSSGNEIWRKTLNLRYGNLSIKVQTFADVGSSKFDSLWMKDILSSSTCNFNVDFCKFTACTIREGHNTTFWQSN >CAK8537187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126053932:126055543:1 gene:gene-LATHSAT_LOCUS6496 transcript:rna-LATHSAT_LOCUS6496 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNHFPILCYLLNHLHPQTYPPLPQHLHQNLLTRFPHFNNPKLLPSLTNLVSNLNITHTLSFLNTLGPRPDPSSIAASRAKVAETDANVAVYQALLRVDDMHEECVKQLRVAEEKLVEAYELFVEKSVKGVDEVNEKIVGILRKVEEGEIVEKVDLSGMKLRIFPEAFGKMKSLLVLNLSHNQLKVIPDSIAGLKKLEELDVSSNLLESLPDCIGLLTNLKILNLSGNKLTALPESISLCRSLVELDASFNNLICLPTNIAYGLINLEKLLIHLNKIRFLPLSIGEMKSLRYLDVHFNELHGLPQSTGKLANLEYLNISSNFNDMTQLPETVGGLSNLVELDMSNNQIRALPCAFCRLCKLTKLNLDQNPIVVPPIEVVNQGAEAIKEFLAKRWLEIIDEERLRNMAETQNRQVETGWLVWGASLLNNVAVVSESVAEYLGVRKATTDMNGSTAETHELLTQTRN >CAK8540431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559920223:559922241:1 gene:gene-LATHSAT_LOCUS9436 transcript:rna-LATHSAT_LOCUS9436 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLVGPPEIYFLKPNPNPTTTPPETIKPNPTTPTTTPPETIATAETTTATITNDGFIDQMVANFNSLATNQQPPMGLTENMSPTFLSTGDPCLDFFFHVVPDTPPETLIERLQLAWSQNPLTTLKLVCNLRGVRGTGKSDRKGFYAAALWFHQHHPKTLASNVPSLADFGYFKDLPEILYRLLEGSQIREIRKQEWTQRKFGSKNKRSPAPFGERKTKKKQSKNNDEDNEGWKGTAKDSVMTEEMVARAKAEKEAAHALKEEKRIALAKKLVERYNRDTDFRSLHDAISDHFADCLKKDLEFLKSGSSTKISLAAKWCPSVDSSFDRSTLLCESIAKRIFPREEYEGVEEAHYAYRVRDRLRKDVLVPLRKVLELPEVFIGANQWGLIPYNRVASVAMKFYKEKFLKHDKERFEKYLEDVKTGKTTIAAGALLPHEIIESLGDGDGGEVAELQWKRIVEDLLKKGKMSNCLAVSDVSGSMIGIPMEVSVALGLLVSELSEEPWKGKVITFSAEPQLHLIQGDNLKSKTEFVRNMDWGGNTDFQKVFDRILDVAVNGNLKEEQMIKRLFVFSDMEFDHASANPWETDYQAITRKYKEKGYGSSVPQIVFWNLRDSRATPVPSTQKGVALVSGFSKNLLTLFLNNEGDISPVEAMEAAIAGPEYQKLVVLD >CAK8573952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649861920:649866179:-1 gene:gene-LATHSAT_LOCUS26345 transcript:rna-LATHSAT_LOCUS26345-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLIMCLFLCTLSVCCYASSHRSILREVSDNDRSGIDRDYAVELNASSFDAVLKDTPATFAIVEFFAHWCPACRNYKPQYEKVARLFNGPDAVHPGIILLTRVDCAEKINTKLCDKFSVGHYPMLFWGAPPKFVGGSWEPTQKNSDIQVIEIVNARTAGQLLTWINKQTSSSFGLDDQKFQNEHLSSNTSDPQQIARGIYDVEEATSLAFDIILENKMIKPETRASLLKFLQLLTAHHPSRRCRKGAGDLLVSFDDLYPTESWSSHKKEDDKSLAKNFQICGKEVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFTAICDFVHNFFVCEECRQHFYKMCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEVYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8573951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649861744:649866179:-1 gene:gene-LATHSAT_LOCUS26345 transcript:rna-LATHSAT_LOCUS26345 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLIMCLFLCTLSVCCYASSHRSILREVSDNDRSGIDRDYAVELNASSFDAVLKDTPATFAIVEFFAHWCPACRNYKPQYEKVARLFNGPDAVHPGIILLTRVDCAEKINTKLCDKFSVGHYPMLFWGAPPKFVGGSWEPTQKNSDIQVIEIVNARTAGQLLTWINKQTSSSFGLDDQKFQNEHLSSNTSDPQQIARGIYDVEEATSLAFDIILENKMIKPETRASLLKFLQLLTAHHPSRRCRKGAGDLLVSFDDLYPTESWSSHKKEDDKSLAKNFQICGKEVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFTAICDFVHNFFVCEECRQHFYKMCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEVYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKPRRTWN >CAK8573659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627292081:627293409:1 gene:gene-LATHSAT_LOCUS26075 transcript:rna-LATHSAT_LOCUS26075 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLEKEKTGIEKKRYQRRTHNSSGELLDNHWHD >CAK8570134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24951259:24955346:1 gene:gene-LATHSAT_LOCUS22912 transcript:rna-LATHSAT_LOCUS22912 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKWGSILTPSSIFSGFEDLKWITEFDHELFTKRPVTVGVETETESANDIDVKPFKVDPFTGVGDVCSGIIADAADFQNLDTVTSFSTCGEPTKNTFVSSQNLTPKDSTITATIDSQSSISATVTSPVSANKPSSRENQTKGVTTTSGSSRDPSDVDDEAGPCEQSTNPIDMKRLRRKVSNRDSARRSRRRKQAHLAGLEVQVEQLRLENAGLFKQLTDASQQFRDANTNNRVLKSDVEALRAKVKLAEDMVSRGTLPTFNNQLLQNQLSTTPPQINTNSLRCMAHVSPTITVHGNDTSYGVTGQNSVIGLGDFDISCSDFNNGVNNDAVSSLTSIWQ >CAK8576142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:415904196:415905749:1 gene:gene-LATHSAT_LOCUS28353 transcript:rna-LATHSAT_LOCUS28353-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSILFTFLVLSLTILCSNAQGPPSPGYYPSSKISPITFRQGFRNLWGPQHQRLDQNSLTIWLDSSSGSGFKSLHSYKSGYFGAAIKLQPGYTAGVITSLYLSNNQDHPGNHDEIDIEFLGTTPGKPYVLQTNVYMRGSGDGNIIGREMQFHLWFDPTQNFHNYAILWKPSEIIFLVDDVPIRRYPRKIDATYPSRPMYLYGSIWDASSWATEGGKYKADYKYQPFVGSYTNFKLQGCTIESPVSCQAPSVSAGYGSLSPQQYRAMQWVQNNYMVYNYCHDPRRNHNLIPEC >CAK8576141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:415904124:415905749:1 gene:gene-LATHSAT_LOCUS28353 transcript:rna-LATHSAT_LOCUS28353 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLTSYPLPLPCILNRVFLLLTTMPSSSILFTFLVLSLTILCSNAQGPPSPGYYPSSKISPITFRQGFRNLWGPQHQRLDQNSLTIWLDSSSGSGFKSLHSYKSGYFGAAIKLQPGYTAGVITSLYLSNNQDHPGNHDEIDIEFLGTTPGKPYVLQTNVYMRGSGDGNIIGREMQFHLWFDPTQNFHNYAILWKPSEIIFLVDDVPIRRYPRKIDATYPSRPMYLYGSIWDASSWATEGGKYKADYKYQPFVGSYTNFKLQGCTIESPVSCQAPSVSAGYGSLSPQQYRAMQWVQNNYMVYNYCHDPRRNHNLIPEC >CAK8575475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131834542:131835225:-1 gene:gene-LATHSAT_LOCUS27734 transcript:rna-LATHSAT_LOCUS27734 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKWLVSKLDLEVRKIVCCIKGCMLFYDNEFGINDEALEECKFRKSPRYQVRSKAINRKQKRLAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGSSSPKS >CAK8537702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421869813:421871518:-1 gene:gene-LATHSAT_LOCUS6966 transcript:rna-LATHSAT_LOCUS6966 gene_biotype:protein_coding transcript_biotype:protein_coding METLPLLLTLTAAFSAYFLWFHLLARTLTGPKAWPFVGSLPALFKNRNQVHDWIASNLRATGGSATYQTCIIPLPFLAHKQGFYTVTCHPKNLEHILKTRFDNYPKGPKWQTAFDDLLGHGIFNSDGDTWLMQRKTAALEFTTRTLKQAMARWVNRSIKYRLWYILDKSVKHNVYVDLQDLLLRLTFDNICGLTFGKDPETLSPKLPENPFSVAFDTATQATMQRFLYPGLIWRFQKLFCIGSEKILKQSLQIVETYMNDAISDRKGAPSDDLMSRFMKKRDVEGKPISAAVLQRIILNFILAGRDTSSVALTWFFWLVISHPKVEEKIIKELTTVLEETRGAERGKWTEEPLDFGEADRLVYLKAALAETLRLYPSVPQDFKQAVVEDVLPDGTVVPAGSTVTYSIYSVGRMEKIWGEDCLDFKPERWISVRGDRFEPPKDGFMFVAFNAGPRTCLGKDLAYLQMKSVAAAVLLRYRLSLVPGHQVEQKMSLTLFMKNGLKVFLHPRQL >CAK8531889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171864063:171865416:-1 gene:gene-LATHSAT_LOCUS1650 transcript:rna-LATHSAT_LOCUS1650 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRFVMRGLDSYRKKYIEKDSIQPLYHVLYGGMISSYLIALPYERRRLGYEETDNVFRFAFLEVNDVPSWLAV >CAK8563516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612069361:612074828:-1 gene:gene-LATHSAT_LOCUS16916 transcript:rna-LATHSAT_LOCUS16916 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDQDSDREEDDDRDEPEESDRAAESDGEGPSNGWLTTGASTDVDMLENQVVLAEDKKYYPTAEEVYGEDVETLVMDEDDQALEQPIIKPVKNKKFEVGVKDSSTYVSSQFMLGLMSNPSLARNVALVGHLQHGKTVFMDMLVEQTHHMSTFDSQSEKHVRYTDTRVDEQERKISIKAVPMSLVLEDSNSKSYLCNIMDAPGHVNFSDEMTAALRLADGAVLVVDAGEGVMVNTERAIRHAIQERLPIVVVMNKVDRLITELKLPPKDAYHKLRHTLEVINNHISAASSVAGDVQVIDPVAGNVCFASGTAGWSFTLQSFAKLYGKLHGVPLEANKFASRLWGDYYYHPDTRTFKKKPPVGGGERSFVEFVLEPLYKIYSQVIGEHKKSVETTLAELGVTLSNAAYRLNVRPLLRLACSSVFGSASGFTDMLVQHIPSPRDAAVRKVDHIYTGPKDSSIYKAMTQCDSSGPLMVNITKLYPKSDCSVFDAFGRVYSGKIQTGQAVRVLGEGYSPDDEEDMTVKEVTKLWVYQARDRMPIAEALPGSWVLIEGVDASIMKTATLCNVDFDEDVYIFRPLLFNTLSVVKTATEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSTDWNRKKLGEFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYLVKAFLPVIESFGFETDLRYHTQGQAFCQSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSIGKFFDEAMMVELAQQAADLHQQMI >CAK8565050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31737929:31738576:-1 gene:gene-LATHSAT_LOCUS18291 transcript:rna-LATHSAT_LOCUS18291 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCDVYEDHSSEFDRNKISSSALTERNFKVEFNCKNMHVLSARRFRMVEVTKCHTFSHVTKDKMIQNTTILSWLSQMDIPQDAYWVVEEKILECLGHMAKTTHKNSRVFSIRVDICITRASEDEGSESDGEGYEINESDEDSSDEDIEFVPAEKSCIEDLERVEKEGKCSICFEDFNVCLVMPCSHMFHPKCISDWLKIGHSCPLCRFDLPT >CAK8542420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512251254:512253119:1 gene:gene-LATHSAT_LOCUS11260 transcript:rna-LATHSAT_LOCUS11260 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEIVFPKSINMLCRFHINKNVGAKRKQYVASEMQKKIDDLWTDVVWASDEVEYDQRLNQLEQECVDCNKFIDYVKDTWLKPHRQRFIGAWIDRVLHLGNTTTNRVEYAHWKLKQMLGNNIGDMVKCWEAINDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRNALRRIAEELKRVDYVGTNKEICRCTLRTTYGLPCACELTGYRIDGIPIPIDAVHVHWRKLSMEVKLNEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPSEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSKQFILQFPNHIRSYIDDLVNVVSDGNCGFRVIASLQGLGEDGWSMIRRDLGLEIIHNERSSLYANLFTNQLAVVRESLMIEEVGSQPPHKWLTLPDMGYMIANHYNVVLVYLGIECWTFFPMTTSFSPNAAIYCIGFVNGNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFAPRLQHWQQLTPILPTHYTL >CAK8534220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707243847:707246476:-1 gene:gene-LATHSAT_LOCUS3780 transcript:rna-LATHSAT_LOCUS3780 gene_biotype:protein_coding transcript_biotype:protein_coding METKHQNSSLQSPLIQNSKQDVIKQRERANVVEEVIKVLWLAGPLVFVSLLNYSLQVIAIMFVGHVGELPLAGASVATSFATATGFNVMTGMASALDTLCGQSYGAKQYRLLGIHMQRAILILMTASIPISFIWINTKSILIFLGQDPQISTEAGNYAKLMVPSIFAYGLIQCLNRFLQAQNIVFPMMFISAVITLLHALLCWILVFKSGLGGRGAALANSISYWLNVTMLSLYVKFSPSCKNTWTGFSKEALHNIPTFLRIATPSTIMVCLEMWSFESMVIISGLLPNPKLETSVLSICLNTVSATWMIPLGLSGAVSIRVSNELGAGHPWAARLAVCIVVVIGIIESILVGVVMILLRNIWGYAYSNEVEVVQYISKMMPILALSNFLDGLQCVLSGNTRGCGWQKIGAYVNMGSYYLIGIPLGIVLAFVLRIGGMGLWLGIICALIVQVFSLMIITIRTDWEKQAKKATDRVYDSITPESLVS >CAK8530297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12581088:12582354:-1 gene:gene-LATHSAT_LOCUS173 transcript:rna-LATHSAT_LOCUS173 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSSSILVIQIPTATTVSAMKQMALFKPLNLNFQNGRGIQRQLNHRGRCHSSVKPLRLRCSTPSSGGDRLHDDAIVLHVRGMMCEGCASSVKKILETQAQVLSATVNLASETALVSPILSDEDKIPPNWQKQLGETLANHLTTCGFTTTLKGQEDSD >CAK8530298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12581134:12582354:-1 gene:gene-LATHSAT_LOCUS173 transcript:rna-LATHSAT_LOCUS173-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSSSILVIQIPTATTVSAMKQMALFKPLNLNFQNGRGIQRQLNHRGRCHSSVKPLRLRCSTPSSGGDRLHDDAIVLHVRGMMCEGCASSVKKILETQAQVLSATVNLASETALVSPILSDEDKIPPNWQKQLGETLANHLTTCGFTTTLKEII >CAK8533606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641070790:641072774:1 gene:gene-LATHSAT_LOCUS3221 transcript:rna-LATHSAT_LOCUS3221 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFISEPPFSSKKKRTNGSAKLKQIKLDVRREQWLSKVKKGCNVDSNGRADSCPSSKHIANEENQSPCKENTRKGGEDSKCTIDSSIQSTYNHNVSRNGFTGSSRSSTSTFSSGNLSEEDGCLDDWETVADTLYADDNEHSTVLETPSEDEMKKSYASNSEAANNHSIKEFRSAVSESHISCRAWKPDDTLRPRCLPDLTKPHSSPMNTNWHGSHKIVPWSWQTIISQPCQCPICYEDLDVTDAEFLPCSCGFHLCLFCHKRIIEADGRCPGCRKLYDHVYENVGFNIEANAFYITQTCSMSTRCVRS >CAK8565150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:49057202:49058037:1 gene:gene-LATHSAT_LOCUS18385 transcript:rna-LATHSAT_LOCUS18385 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDLEKHFAFYGSYHSNPINIIIHVFLVWPIVFTALLFLYFTPPILSPSQTLLNFLPSVLIFNFGFFFAVFYALFYVALDVKAGSFVAVLTLLCWAASSFVANLIGFELAWKVVLGAQLFCWSGQIFGHLVFEKRAPAFLDNLSQAFVMAPFFVILEILQTTIGYEPYPGFETKVKARIDANIKEWKAKQQKKLA >CAK8571046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273708819:273709274:1 gene:gene-LATHSAT_LOCUS23743 transcript:rna-LATHSAT_LOCUS23743 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCISNCVNDARDPRVPVRANYVNLYKWPESDAEFVKSVSLNGGHGHPRVVDSISCRQMYLKSYTFSRKETVPEKTQKCFGRVKEKVAHGGGGGGGGAGRKKRNQGRRRKCLVLRKMREISCNTLFRIFHRFLSCGASVDVVVNEKQPY >CAK8538597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488431597:488432959:1 gene:gene-LATHSAT_LOCUS7778 transcript:rna-LATHSAT_LOCUS7778 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFHGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEKIIMRSSTNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8531232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91729981:91730284:1 gene:gene-LATHSAT_LOCUS1038 transcript:rna-LATHSAT_LOCUS1038 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKSNNGEKPGCNVNPISSQNQPKKGTHQESVQNWAEVNEEGTSQRKTRGKTLCKKIHARTLEERA >CAK8533251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597341881:597342775:1 gene:gene-LATHSAT_LOCUS2895 transcript:rna-LATHSAT_LOCUS2895 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQKFFIASLFMWSVPIAILYAFNHNLLPGISNLSPYSMTLVSGFLAVISVNIVIAFYIYLAMREPADKHEPDPKFLAEAKASINQSTGDAQQSSQPLKKQQ >CAK8576666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504054912:504056766:1 gene:gene-LATHSAT_LOCUS28839 transcript:rna-LATHSAT_LOCUS28839 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSSSKKHGQDQPEFSGPIPISLRTKMGKSEDNDKKSSSKKVKDVEISVPIVYGNIAFWLGKKASEYQSHKWTIYVRGATNEDLGVIVKRAVFQLHTSFNNPTRVVDAPPFELSEAGWGEFEIAITLYFHSDVCDKPLNLYHHLKLYPEDENSSMSTKKPVVVESYDEVVFPDPSEAFLARLQNHPAVNLPRLPPGLTLPPPIPVEDASKRRKGDTKDNPLSQWFLNFSEADELLKLAAARQQVRMI >CAK8573361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605709788:605710930:-1 gene:gene-LATHSAT_LOCUS25820 transcript:rna-LATHSAT_LOCUS25820 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVTEALLAAIGSFIVVTIIFAAIILVCQHRKITQSRRNNQIRTQPLRNPSHYPSSSNSLPVDASWSEDPNLKISMEELSRATNDFSASLIVGDGSFGLVYKACLSNGTVVAVKKLSPDAFQGFREFAAEMETLSKLRHQNIVKILGYWASGPERLLVYEFIEKGNLDQWLHESSSSTHQNDDVSTSTDLIRSPLPWETRVNIMRGVAHGLCYLHGLEKPIIHRDIKASNVLLDSDFQAYIADFGLARRMDKSHSHVSTQVAGTSGYMPPEYWQGSNVAYPKVDVYSFGVLMIETMAGHRPNLSVKLDGTDIGLVNWARKMKERNTEMEMLDVNIPRKEELKEESIREYVHIACMCTSELQKDRPQMTEVVKLLDSMPL >CAK8561906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:408303310:408304188:1 gene:gene-LATHSAT_LOCUS15454 transcript:rna-LATHSAT_LOCUS15454 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYHIRSISLPSRSHPSTIRVTEELNKLKAWEVTSTSTLTSASILIALSLLEDLYISLEHLLNMPSTQQLISHHRGEKFIQEVLDSSMRILDVCGITRDTVLQIKENIQALHSSLRRKKGDSCVETSVAEYKFFTKKMKKNVNKLITSLKHMDTKLGLSPNLELDHHLSSVIRVLREVITMNLSVFQFILSFLTVSSSKSKATKWLLVSKLIHKGVITCEDNSEIANELQCVEASLSILISEANNGEKLQAAHEKLEALENAIESVEIGLENLFRRLIKTRSSLLNITSQ >CAK8579240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686850942:686863963:-1 gene:gene-LATHSAT_LOCUS31202 transcript:rna-LATHSAT_LOCUS31202 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSAAGYGGVISYEAKLKELLHRITSPEIKLCSDATKEFVKLLKSDNGAKLLRDYVLGSPKCSELLEAWKLRQGKQGLHYVFALISALLSHRNGKQKQGFNDAESVSIARDLDKFARLIVTDYLNDLYKELNGKELKRQKAALLLLASIVRRGASIASEVAKSFDFKVAGFAALAKRKRKSEGKREVLLRKSFVGFAMSFLEVGKPGLLRWILQQREMYSGVLRGLENDDDETVVFVLSTLRDYVLVEASLVPPGLRSVLFGSVTLEQLVGICGREGGGDAAKIAFDVLVLVCTDPSNGLMPDLKKRPHPLKGNQKRMMDLLKKMWPTEVQYHRDLLLAIVNARPTFGLLYLKEFPHSVENYKSSSWIFAVSLAADLVSSISNGISKEFGNSQSNVSSLIDNMDLLVIVKCLFPRPFSRSMFNKGLHHANSFVKHGTLRLLLELLKLLDSLFGGLNHNSSPDNPLMQHMASVKQEIQNYVQPYLPDLQVLLNLLSSLDTCYESNNSCLKRNASHQEHDGNSRKKLKRDTSESDIDIIVGGISSAPDIDLSGNRGTVDNALKEDAPDDLEDLKNSIGEIWGLDLHSIDISTLKDAESYLLSKLLDALRYYHRSLPLTLDHSFDSFKGLLKNPLELTSHLQVSLLSLLMEYIQWCPDNEIPIRTPAMLYKYLQPFTNLFMFSPIKKARNLAYRLAMAAMYSTGAFDGNVHEIHAWFLFLPGYQREHSPVNLLEVDALHNLTLFVITFLCDAVSTLGNNLVKYWNILKNHVHSLEGDKDLSPDVSPFIVCLLEKCLKVIRSKSGACSLPKKSIVLLYTCNTVKYLLQTQVNAELLSSVVNADLTERLGDSYEHDEVFPEWKPLKNLLDFVEGISHQQNCCLLSGKKESVHPDGSLGSSLGDVNRLLGGGDDHRMAETTVAFISSIVCESTDKISTNLPSGVVISRDLLDVPFSLLSSIYFLDYSVLVHASKMWPVTFYAGLDMAISDLCSDSQNAALIETSDLKLCPDSLTCGQLLEAFEPDSAAFSIVLKQTPFHVTFPAMMCMNGHCASKLSKMQDLLLHKLRESINDHSLLPSLQLVLFWTHQIQLSHKLIPLAEIEHFLNICVILVQNLLAQLLVPESCSDLCIKDSAFSFSSNYIEEVIKTICCHPSILMSLSFSLGNSRNISNGNTGTNFDILNVLSDEGFKNFGNPILDILTMTLDNMWSLFGAHLCGSKAEDVAYNFVKIFKGLQQKLFLEVKKRIELCIGTKDTTPLLPTLYALHTLHRFLSPFQLLELVDWMFNRIGMDDLQTKMSFLSVGCSLAAYAFSTLSFYFQQSSGNRVSYDLFWEMGESNMKADIFEQIYSKVVDFYVNHKIDCADNCLHEAVNVFYKQKNMQQENFHPLMLVVRKIIMNTPVKMLSLCLYKMNAKRARFLHILTELSSLHSSIYGHLFLGIVKRSLDHDVGFPEAFNLSLSEDQFILLLPASLSYLRLTLKRFGDLSHRDDFKQIPYFYSKILLKGFSQWKSFLSKDIFEEEYGESVPSSVQELLCLTDCSLLGKSIHMLQYHFALNGVSLKLKKRLKLFKSIFPKLALHDELMDCHSQYIDSYSLCQSFNLINHVVAKISLCKMLLFHEEGGDLKEVAVEMRSNLKASGIHFINVLVDIWQLVVQKFSLSSDQPRTGKSTSISLLYNHLEVFVLTTIVELTGEMQSDLIQSQSITFLDTLFRSALLYRFSDTMTMKTLQVIVTRLNEGRLSYDLYLQLLLAHSQFAPTLHSARRPAGSFLKPVSSILKCIDIPFLDHSEINEMHKVLTTKLSKGPLEIVKLLWILLWTKARETDLDSRNEIGINLKELLALLRYSYGATLSEIDLAIYNVMKQIESMTGSCPQNLEVNSEAIEEWTRSQQRDNFPIDPDVCVSTVLSFPYDRSISDDTPSVNKIEMDNFRKKMYSSHVELKERYDPVFILQFSFHGLSKAYIEPVEFAGSGLLAIAFVSMSSPDHGIRRLAYDTLYIFKNALEKCQKRKDVMGLRLLMNSVQNSIEESWKRIPSVIALFAAEASCVLLDSSHDHYAAINTFLIQSSKLNMKVIPLFDNFIWSSSVNFKSERSWILRLVYAGLNSDDDAMIYIKNSILETLMSFYVSPLSDAVSKDLIIEVIKKSVKVQKIARHLVKRCSLFSWLSSLISVTRRVGLNGDENKFFMKHVLVVLKVVNDVILSGSMSKWLQNHGLEQLTELSSNLFSFVLHDVTMTDESVGLVNPFQQMIVSVLKFSQKRKIGQPHFTLSIEGLYQIYQAGSVCNQASKGINPYFALEAILMSPPPISILLMDQERLQNFIIWAITTALASDSSQRLRSNESRIFVKKELGEESHDDSLVSKFLRWLTASVINGKLHQKSNDIYSGFAETHKLESLHSLLVHVENTSGQRHDINIGCEELLASTIFYLQLLPGINQELLPSVVSALCLLTFGTSILQVGRTILLQGYNTIISSNSSRVRCPPEANPDWRWSFYQPRKDQSQKLTGTGTQNMEEYHACQNLLVIVANVLGGKKLESACLSPLDVEISSLIKWERSLLRN >CAK8537475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:366452126:366455878:-1 gene:gene-LATHSAT_LOCUS6765 transcript:rna-LATHSAT_LOCUS6765 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFCCLKSSFAKLVSTRSSCSTGKGKNHQGSIKYGFTLVKGRANHPMEDYHVAKFVQLQGKELGLFAIYDGHLGDSVPSYLQKHLFSNILKEDGFWEDPAASISKAYLSTDKAILSHSSDLGRGGSTAVTAILINGQLLWTANVGDSRAVLSRKGQAVQLTTDHEPNTERGSIENKGGFVSNIPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDVQRTDVDVDIDILILASDGLWKVMSNQEAVDIARKMKDPLKAAKNLTVEALKRDSKDDISCVVVRFRS >CAK8567227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496218737:496231204:1 gene:gene-LATHSAT_LOCUS20297 transcript:rna-LATHSAT_LOCUS20297 gene_biotype:protein_coding transcript_biotype:protein_coding MANHCAVQGGCPTDYAAVVLSVLSLILLLVWSIFPFLVHKVPRTKGSGFWIPVIQVVASFNLLLSIMMSNSFLKFEKRHWKSCYLWAVWGEGPLGFGLLLSCRITQAFQLYFIFVKRRLPLIRSFFLIPLILFPWIVGAAVIHIKKPLNNRCHMSVQWTIPVVCLHSLYVATLVGVTAAVHHIEFRFDELRDLWRGILVSSVSIVAWITAYILNEIHDNISWLQVASRFLLLVLASILVLAFFSISSSQPLLSQISLRRRESREFRTMGQALGIPDSGVLAQSEPISRIDPNEPLDKLLMNKKFRQSFMAFSDSCLAGESVHFFDEVHELSKISENDCVRRIYMARHIIEKYIVAGAAMEINISHRSKQEILSTSDLARADLFHIALNEIVQLMKTNLAKDYWSSTFFLKFQEECDMRCNGYELEQMTGWNYSPRLSSVHGTDDPFHQDHLKNSECGNDTDS >CAK8572904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570476181:570476943:-1 gene:gene-LATHSAT_LOCUS25410 transcript:rna-LATHSAT_LOCUS25410 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKLHGFWYSPFTFRVVWALNLKGIPYEHIEEDRFNKSPQLLQYNPIYKKTPVLVHDGKPICESMLIVEYIDEILPQNSLLPADSFDRAQARFWVKYVDDMFSGIAAHFRSRSDEEREKAKEIIWEGLRVVEDLCLGDGKKFYGGDAINIVDIGLGSFVKFIEVQEDMFDVKILQSERFPRLKLWFDNFKEVPTIKENTPGQEKLVAFLKSLLEKIMARK >CAK8570880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:144342757:144343458:-1 gene:gene-LATHSAT_LOCUS23592 transcript:rna-LATHSAT_LOCUS23592 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDIITPGVDPNGQPIDPRQIQQHFEDFYEDIFLELSKFGYIETLNVCDNLADHMIGNVYVLFREEDHAAAALASLHGRFYSGRPILADFSPVTDFREATCRQYEENSCNRGGYCNFMHVKKIGRELRRKLFSSQRSRSRSRSRSNSPRRRRRSRDRERPRDRDRDYDSRGRRSSDRDRDRDRNKDGDRERNRDSRDEGGRRRNGSSAREGSEERRARIEQWNREREEKP >CAK8531937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:179258485:179260164:1 gene:gene-LATHSAT_LOCUS1690 transcript:rna-LATHSAT_LOCUS1690 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKPKIVIIGAGMAGLTAANKLYTSTASKDLFELCIVEGGTRIGGRINTSEFGGDRIEMGATWIHGIGNSPIHKIALETNSLHSEQPWECMDGNNNDESVVTVAEGGFHLQPSIVEPVSKLFKSLMEYSQGKLTKETAKGEVLSYYNMAAKASSSSFGSKKNLSIGSFLRQGLEAYFESVKEGDEVNENNDWNKKSLEEAIFSMYENTERTYTSAGDLECLDYEAESEYRMFPGEEITIAKGYLSIIDSIASVLPPGLVQLGRKVRKIEWQPQKLCPEHDYDYERNNFFRPVKLHLCDGSVMYADHVIVTVSLGVLKASISHDDDDDDDVDDDDVKGMLFCPKLPNSKVEAISRLGFGVVNKLFMQLSNSTTTKHDVSSKGSFPFLQMVFHSPQNETRDKKIPWWMRKTSTLFPIYNDSSVLLSWFAGEEALALESLKDEEIMKGVVSTVSNFVPPHSKSISKVLTSKWGTDPLFLGSYSYVAVGSSGEDLDTMAEPLPMKMKDDNNSFSYDPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCAGILNN >CAK8543302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:597764268:597765162:1 gene:gene-LATHSAT_LOCUS12068 transcript:rna-LATHSAT_LOCUS12068 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENIDWNNIDSTFVQDDTYEYFDAPKWFDFTASNELLDDEEVDETWFCTQDCEHRKIVKDFPKLTTTTTNSKAKLLKFASFSEILPFRERNRREKSSIVKNCDENRRPICSRNLDEDIENKNPNFTATNFDGGTNKLKKPLLEETDDSKECSVRSNRRSKLKSTFSAQNLLGGREIMSQITGFCSELKRLATRKGASKKGGGSDPNEVLGELKEKTVRRKERVPLLVVKEGALP >CAK8575527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:150451196:150454958:1 gene:gene-LATHSAT_LOCUS27784 transcript:rna-LATHSAT_LOCUS27784 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRTSCAVIYVILLCALAHGGSEGKEDELYRDVPVSSPFLDIHRKQAENVWKHCRKELTEKNNDVKDINLHPLEGSSDIHKKKQVSNFIRTSATPPAPISELKASSRFLLTKHSRSTLALKNDHQHHHRHQTNSIAETPLDHISPLSPFSPLSPRSSDNSPSPKHSLVAPIHVSTPTISSTPSPTPVPVPSTTPVPVPDTSTGIPYLPLPPDNFAQLPPPPRQSAPPSQITSVPVTSPLPPSLNRDERKKKTIILAASLSGIVVLIGLSLCYREAKTNKVERDDDHFLILTSNDYSGGPQKIVRLGDTNIEESGVAINKGKSSSSGRKWSMKAGDNNNITLAETSTSEDVGQVTATSSGIPAPPPPGPPPPPPPRPPTLAPRPPPPPKSSQPPPAPPPKPMAGKNKGIPLGPPKQGSSREGDAPKPKLKPFFWDKVNAKPDQSMVWHEINAGSFVFNEEMMESLFGCANQNKNECRKDSPSLDTSVHYIQIIDPKKAQNLSILLRALNVTTVEVVDALNEGNEIPVELIQTLLKMAPTQEEELKLRLFSGELSQLGPAERFLKVLVDIPFAFKRLESLMFMLILREESSSIKDSFTTLEVACDKLRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRAVSTERASRSLSSLGTEDADYENEESEEHYRSLGLQVVSSLSNELEDVKKAALIDGDALSNAVSKIGHSLGKSRDFLNTDLKNLEEESEFQICLEKFMEHVEGEVTWLVEEEKRIMGLVKSTADYFHGNAGKDEGLRLFLIVRDFLIILDKVCKEVKEATLKSMKASNKKETPSPSVSVPSSPDTRQQSQLPQTQSSDLHRRLFPAIAGRRVDYSSSDDDDDGD >CAK8578751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651187950:651194676:1 gene:gene-LATHSAT_LOCUS30737 transcript:rna-LATHSAT_LOCUS30737 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSSINTSTLPLTFKSHPSRNPSCFLQTLNANPNSDSGSDDDSRNNSATLTLSSSRALASAIRKVSISPVDFTQRLEKNRKNGPVHPSPDFHRLCLQQLHLFRRIVPESFLTVYVRPAGSYVMDQLELRRVALYPGEDAESEEEGIVILVGHFHAQAGLRAAETALSELQVKIVPECKAIVLPMVKHPFVVGFLVAELPVVELETCEKKAQSDRSNNRVSRKEVYSLSPFLDLDKKSWETQAPRFKDEPVSMRNFTSDQRSNAVDISQSLAMAYVMDQKAMLLQQSTWQNNVRMSNLVEQIHGPLSSIQSLSKILSTQTKKSQISHDIVEDILVLGDRLRDVLHQLQDAVYVTKANIMRHNEEAIKKMNHILAESEKTQLLGSSPVDSSANKMNKSGEPPSLSATAKDIEMPLPPLALSPLQHGIRPCNVSEVLVDMVDTIRPLALNQKRVIELSQLSSPLLAAVAEPELRQAFSNLIEGALLRTHVGGKVAIVSNATPAGDTLVCIDDDGPDMHYMTQMHSLTPYGQELLAEGMVEDNMTWNFVAGLTIAREILESYGCVVRIISPRTKDGPLGAGGTRAELWLPAPVMKSNV >CAK8530755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47337053:47337535:1 gene:gene-LATHSAT_LOCUS598 transcript:rna-LATHSAT_LOCUS598 gene_biotype:protein_coding transcript_biotype:protein_coding MHSESVKSSQSPAEAEKHKNLDREIREMVSAITGRVTNFHKPGSTHHLDNDDEHGTRIVTLAGTNEGATLRSEMDEKSGKYFSHEEPELLSTYVNSNFQAINNSIMLGGSYHANDPGVHMDIEDFTENPQNHHKTEKHGKKEKKEKKKGKESSKSEQHSD >CAK8568796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641724146:641726077:-1 gene:gene-LATHSAT_LOCUS21720 transcript:rna-LATHSAT_LOCUS21720 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLPEEEADQIVNSGGGEVALKKGIEVDQTVNSGGGEVALKKGIEADQTVNAGGGEVAPKKGIEADQTVNAGGGEVALKKGIEADSTSTRKRKVSSLSSGSDVGKRSKLSRSILPEEEADQRVEVALKKGPWTNEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGPFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIISKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSVVVPKVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMNFEPPSFQNSHTQQPTWSDMDVSPLPSFEYVDTPVQAPPIESCLPVPDSTDLDRLIDAIELSSVEYVDTPVQPPPIESCPPVADSPDCCHLIDPINYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8566714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455029492:455029833:-1 gene:gene-LATHSAT_LOCUS19826 transcript:rna-LATHSAT_LOCUS19826 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSQDYHNHIAGVRREPSVLSDFDRYPNAELAFNKRVVYDEVEGPHRHHHHHHNPEMVERVEVVEYERVPEVRPYGGEVIYEEYVDVETNQYNPRRNRPNGLGLQKWKTYRA >CAK8532834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:527667813:527677672:-1 gene:gene-LATHSAT_LOCUS2498 transcript:rna-LATHSAT_LOCUS2498 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKCLKKAVIPSTLITNPSPGTIQPTRLALHVTRNRHSCFLYVASGPHIYKLQIILGQTSVTKGKESLLIPVHTKVINPSLVKRCPHRSEIQSIVLADAESLGYLLLGSVDSYGHLIVSKLDASGKDIDRLTYSALPFDNGVGEGSWAGLCFSPNQLSMAAVARSFCKTVDIFDQDMHVRTLRPLWNPTSINFMQNVNGDQNSLLAITEGSQLTIWDLRMNENGGCVHRINGTPGDTLYSVCSSSTGNIAVGGADRTVTIYDSRRWSSLSRWVHCSKFEITGLAFSTVDPDYMYIQGVDYEVFCGQWKEHNKLFTFRGDSNWLGFSKCSNKDVLGGWCDSGSIFVADVA >CAK8530539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28380250:28380954:-1 gene:gene-LATHSAT_LOCUS397 transcript:rna-LATHSAT_LOCUS397 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQHCFPDESKTISNSVSEAENFNGCFDCNICLDFANEPVVTLCGHLYCWSCIYKWLHVQSDDSLGVDEHPQCPVCKDNISHTTMIPLYGRGSQAPSSEKSSCFNGNFVPPRPSASGAQALLKLATESQSNQQQRLLPYRNPYRSGYYQQEDDATSQMLNLGASVTSGSQNHPVVGMFGEMVFSGVFGSSRNSYETARSNDSRMSRQELQTDKFLNRISNFLFCCLFVCLIVF >CAK8577850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594172022:594173134:1 gene:gene-LATHSAT_LOCUS29919 transcript:rna-LATHSAT_LOCUS29919 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLPEHFKCPISLEIMSDPVILSSGHTFDRPSIQRWLDDGHRTCPITKLPLPDNPSLIPNHALRSLISTYTLLPPLHQIMSQPETLISSLTASSSSSDFKIDSLRQLGRLSKRDANFRRRLVDSGAVFAVLFCIDSSSGDTKLQEKALSLLLNLSLDDDTKIGLVAEGAISRVVAFLLRAASADCRALAATIITSLAVVEVNKATIGAFPGAIGALVAILRDGKGRERKEAATALYALCCFRDNRKRAVDCGAVPILMRCVECGLERGVEVIGVLAKCKEGREQLESYSGCVQILARVLRNGSSRGIQYSLLALTSLCFYSKEMLLVTLQEGVLEICLGLLEDDSEKVRRNSSNLIRLLRDNNHHWIS >CAK8537604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407294146:407294474:1 gene:gene-LATHSAT_LOCUS6880 transcript:rna-LATHSAT_LOCUS6880 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRDTVLVSTINDADQGSADVAFRTPLAPYEKSKSLGSGGSMVARLKLKGIDGREPPGVEPAA >CAK8575676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:246357951:246363251:-1 gene:gene-LATHSAT_LOCUS27918 transcript:rna-LATHSAT_LOCUS27918-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNWILKHVSILLILFLTPSMSSTISSSTLDLIVTQTKTVHKNFTAVSDFRLINRRILKDGSIINPYVKLIINKNSSLSNDQFVTVTVTGVSKPRDGDWVAMISPSNSNVKTCLLNEFYYLQTGDTSKLPLLCHYPVKAQYLKNDPDYLSCKNKECKKEQNGKCSVTTCSGSIKFHVINIRTDIEFVFFTGGFLTPYLAGRSTPLSFANPNKPLYGHLSSIDSTSISMRLTWVSGDKEPQQIQYGDGKTVTSAVTTFSQNDMCSSALPSPAKDFGWHDPGYIHSAIMKGLNPSTTYSYRYGRYEASNNSVDWSEQIKFSTPPSGGSDELKFVAFGDMGKTPLDASEEHYIQPGALSVIKAIANEVDSNNVNSVFHIGDISYATGFLAEWDFFMNLISPVASRVSYMTAIGNHERDYVDSGSVYQTPDSGGECGVPYETYFPMPTSAKDKPWYSIEQASVHFTVISTEHDWSINSEQYEWMKKDMASVNRQHTPWLIFMGHRPMYTSNQGFSSKDQNFIKAVEPLLLVNKVDLALFGHVHNYERTCSVYQNKCKAMPIKDQKGVDTYDSRNYSAPVHAVIGMAGFTLDKSSNNAESWSLKRTSEFGYLRAHATKNDLNLEFVTSDTKQVKDSFRITK >CAK8575675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:246357951:246363251:-1 gene:gene-LATHSAT_LOCUS27918 transcript:rna-LATHSAT_LOCUS27918 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNWILKHVSILLILFLTPSMSSTISSSTLDLIVTQTKTVHKNFTAVSDFRLINRRILKDGSIINPYVKLIINKNSSLSNDQFVTVTVTGVSKPRDGDWVAMISPSNSNVKTCLLNEFYYLQTGDTSKLPLLCHYPVKAQYLKNDPDYLSCKNKECKKEQNGKCSVTTCSGSIKFHVINIRTDIEFVFFTGGFLTPYLAGRSTPLSFANPNKPLYGHLSSIDSTSISMRLTWVSGDKEPQQIQYGDGKTVTSAVTTFSQNDMCSSALPSPAKDFGWHDPGYIHSAIMKGLNPSTTYSYRYGSNSVDWSEQIKFSTPPSGGSDELKFVAFGDMGKTPLDASEEHYIQPGALSVIKAIANEVDSNNVNSVFHIGDISYATGFLAEWDFFMNLISPVASRVSYMTAIGNHERDYVDSGSVYQTPDSGGECGVPYETYFPMPTSAKDKPWYSIEQASVHFTVISTEHDWSINSEQYEWMKKDMASVNRQHTPWLIFMGHRPMYTSNQGFSSKDQNFIKAVEPLLLVNKVDLALFGHVHNYERTCSVYQNKCKAMPIKDQKGVDTYDSRNYSAPVHAVIGMAGFTLDKSSNNAESWSLKRTSEFGYLRAHATKNDLNLEFVTSDTKQVKDSFRITK >CAK8541216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90318621:90319112:-1 gene:gene-LATHSAT_LOCUS10151 transcript:rna-LATHSAT_LOCUS10151 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLTMKKPEKEGGRQTTFSSRKLGLFKKATELCILSNAQAAITVSSPAGKLFSFGHPNADTIIDNYVNGTMEFEVKNSSEKSYSFEEYNRQYEEALKKLELEKKKLEELKIFEDVLKSDDWWNDPIDDMSSEELEQFIVCLDELRIKFVKKTEELAKMPPMV >CAK8544646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697429754:697434919:-1 gene:gene-LATHSAT_LOCUS13304 transcript:rna-LATHSAT_LOCUS13304 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASQFQSQHHNSSDARVSRNENSEDEPEEFRVRVSPDDTSTVDIESENEHRPRKRDTEKFPISDSKSLLLEFDEYVASERSTGVTDLGFGFEVGDLVWGKVKSHPWWPGHIYNEAFASPSVRRARREGHVLVAFFGDSSYGWFEPEELIPFEANFAEKSQQTYSRTFIKAVEEAVDEASRRRGLGLACKCRNPNNFKPTRVKGYYSVDVLDYEPGGFYSDSQIRKARDSFDPIETLDFVRDLALAPLEEEHGSIGFVQNKATVNAYRKAVFEQYDETYAQAFGVQRSRPSRPQNVPINQPARQPPKAPLTGPLVIAETLGGGKSTAKSVKFKDNPKKDRYLFKRRDDPSNSSQLTYREEIPDAAGRYVFQNRSPTVPVIPDSLENCADSGSVTHDGATSTPDAKEASRSQVKAENSGLAPQSISLDPKPHVEKGKMAYSEETAHSFEQDDTSSKNIVRSDLSGELTSQRTVDETSQSSHLESKIHVNVEPDRAAKLLESCADLKQSEQGISTVADGGKDTHQVKRENNSPVEANHRETIAVKKIKGHKRPADDMNSKISIIEERKKKKKKNLNIQPTSDHLEKHSTSVQSVHHSGNLSGKSVLTTLPPREGIQPEQMQEEFSARNLLPVDTLGDVNLEVPQLLGDLQALALNPFHGIERKIPVAVRQFFLRFRSLVYQKSLPSSPPTENEAPEVRATKSSSDVKTSDNYEDHVRASPLVKPVKNVRPDDPTKVGRKRGPSDRQEEIAAKRLKKIKGIKALAADKTATNQKTSEARREDKATSSQKILEARREDKTSSTQKTSEARREDGKEPVSQAPSKLVKPDSAKKMKSPTTMVIKFPPQTSLPSVAELKARFARFGPMDQSGFRVFWKSSTCRVVFLYKADAQAAFKFSAANPSLFGSTGVRCLLREFGDPASEASEATKVRGDDGANEIPRVRDPAAAQQQTSVSSQKPLVPHATVQLKSCLKKSTGEESGQGTGNASSKGNPRVKFLLVGEESSSRGEPLLVGNKNNNLSDAGAPVATDFISKNIQKVTTTTSSSSQPPLLPTPPQFIKTPPQNLRNSELAMASRNNPNFINTTSTSATVDISQQMISLLTRCSDVVTNLTGVLGYVPYHPL >CAK8564682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7022954:7024041:1 gene:gene-LATHSAT_LOCUS17960 transcript:rna-LATHSAT_LOCUS17960 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMITSSIIRVPLLPPLSFPRTLLKLKCRSKNSDENDFKDALSGMMSDQVEQLLSRQENKGLMDNLQKASQRVEIAKTQLALIEKQELALKQFKDYTQQLQGNASQIAESQREISEAKAMLEEAERSLLLNVGGAEEGSGEIDRDEERRESVKVASVSALVGTLSSLPICFVQATGTTQLLLSLAINFICCALFGVTFRYAVRRNLDDFQLKTGVAAAFGVVKGLAILSAGPFLELNFESLLSYAWDGTIYVSENVIIFLSAAISLDYCLKTRLLSPFPIDRTG >CAK8533441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617898109:617898792:-1 gene:gene-LATHSAT_LOCUS3064 transcript:rna-LATHSAT_LOCUS3064 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMMDETSKAASHQVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTVEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8537146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:109188639:109190525:-1 gene:gene-LATHSAT_LOCUS6458 transcript:rna-LATHSAT_LOCUS6458 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGSKEKKPVVDGNSSSLKESESLKFPLVKGTNQRRVKKKWRSREEKRVDRESDVVLVSSDGGGGCLSGSESDDSDWSIGWLEPHGSDFQSDDESDSSFAVLVPCYRPGCKEVEGPNNHLLTAIKNLPNEFSSAGSNYMEQWLASLQKF >CAK8541000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40809619:40810013:-1 gene:gene-LATHSAT_LOCUS9953 transcript:rna-LATHSAT_LOCUS9953 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTTFADKTFMLVEARYLSLFIDLEGLSRYSWGVAVLVTLDRYLRDTSMFSCKQLSGYPTLLRCWIHEYFPTLGKKERIGYQLIMRVSL >CAK8567088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485938441:485939743:-1 gene:gene-LATHSAT_LOCUS20173 transcript:rna-LATHSAT_LOCUS20173 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVYEICQAQKADGPATVLAIGTATPPNCVDQSTYPDYYFRITNSEHKTELKEKFQRMCDKSMIKKRYMHLTEKILKENPSMCEYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGMVLRLAKDLAENNKGARVLIVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPLPQVEKPLFELVWTAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALVEAFQPLGISDYNSLFWIAHPGGPAILDQVEAKLSLKPEKMQATRHVLSEYGNMSSACVLFILDEMRKKSKEDGLGTTGEGLEWGVMFGFGPGLTVETVVLHSVAT >CAK8541704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359748572:359751768:1 gene:gene-LATHSAT_LOCUS10601 transcript:rna-LATHSAT_LOCUS10601 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIASKFSSRISPKALVSLTAKPSPISFRSFSNGLALDKSLAIDDVVRMSAYALRQARSEKSVGSYGMGYLVLKHCLTTELTEGNDPKHENSKGIALLAMSTLFSERGDYVDAIEQLDGVQELTNSYLGIRVAAFEAQAGLHLELEQDDMASAVADKCIELVENEKTEDFEALNVRARALKGLIELVKGDIKSAEPYFDKTLRTKLCEGTAALSYAEFQQTRQNYSMAREVYQNVIEGAADLKESGNVYLGGGNMNMEGLMMGAMCALGQLESHLCNFRNAEEHLTKALTKAEEIYGEKHPKVGVVLTCMALMYRHKAIVQQSSSLMVQEGLYRKISGILKFPSAETESEGTNAAAPSVKRSDIVALSSGGYAEVLNVQEKRKNEGEKLRNLSDSLWKNNRMSLDDYLGNTEANLCPVIDARICRLL >CAK8537043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66114066:66114539:1 gene:gene-LATHSAT_LOCUS6360 transcript:rna-LATHSAT_LOCUS6360 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEQPMQQQQQQQQQQPVQVYPTTVTYLSPPEPDQHSSNGSFGSVFVVLAIIIIISAVACCLGRFCSRRGNGKSHSQKHVKPQKQQQKQNQHSRPKEVDIEFGFDKKIAASKPMNNGHGGGGVRVQKPVSHGHHDMKSFEIKLGPPPQGKFRQGA >CAK8566488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428590264:428590635:-1 gene:gene-LATHSAT_LOCUS19615 transcript:rna-LATHSAT_LOCUS19615 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLLLCFTLHSGFEAEALSYDYSASAECLEHPEKPLYNGGIIQHPKLNDGLQDWTAFGEAKIEHRESLGNQFVVAHSRKQPYDGVSQKIYLRKGLPYTLSAWIRPTYLVETEITGIIRTTH >CAK8532516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:275281790:275286808:1 gene:gene-LATHSAT_LOCUS2214 transcript:rna-LATHSAT_LOCUS2214 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKILWAFAFYAVIVLLCEVPSVLAGDIVHDDSTPKKPGCENQFVLVKVQTWVNGVEDAEFVGVGARFGKAIVSKEKNARHTRLVLSDPRDCCSPPMNKIAGDVIMVDRGNCTFTKKANSAQNANASAILIINNQKELYKMVCDPDETDLNIHIPAVMLPLDAGTKLENMLMSASSVSVQLYSPRRPTVDIAEVFLWLMAVLTILCASYWSAWRTREASVELDKLLKDASDDIPNTKDAGVSGVVNMNAKAAVVFVLVASCFLFMLYKLMSSWFIEVLVVLFCIGGIEGLQTCFVAILSRWFKNASETYIKLPFIGAVSYLTLAVTPFCITFAVFWAVYRDKSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCSLLYDLFWVFVSKKFFKESVMIVVARGDRSGEDGIPMLLKFPRILDPWGGYSIIGFGDILLPGMLLAFSLRYDWLAKKSLVSGYFLWAMFAYGFGLLITYVALNLMDGHGQPALLYIVPFTLGTILALGRKRRELKILWTSGEPERFCPHVRLQNSGESSPE >CAK8579483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702105092:702106474:1 gene:gene-LATHSAT_LOCUS31427 transcript:rna-LATHSAT_LOCUS31427 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLLCDIEGNHLTHAAILGQDGSVWAQSASFPQFKPEEITAVNSDFNEPGTLAPTGLYIGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTTLALVIGIYDEPMTPGQCNMVVERLGDYLIEQGL >CAK8565452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:217324734:217325190:1 gene:gene-LATHSAT_LOCUS18669 transcript:rna-LATHSAT_LOCUS18669 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMSFAYVGEPFSKVALLTSLLNRKVFIEWICEVFMKSVYTSLYKFSTSNIYGGVVSDIYDSMGVKEMSQTCIMKLHFIVLAPPTKS >CAK8533453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:619914918:619915718:-1 gene:gene-LATHSAT_LOCUS3076 transcript:rna-LATHSAT_LOCUS3076 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCIAGNAGLKKDSPNPTHSTSECSDDETSVVGREEGLECPVCWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLRFPRKNYFLLWMVEGMNGDRVKSHSTFCGDNQQNCTSRGNLTLGGQESHSNLQRGQVHHPDTSNSSQRQGSTGNYLNMENAHISLRKSLIFFVQLTAKFPLILIFLLIILYAIPASAAILAMYILVTILFALPSFLILYFSYPSLDWLVREIVA >CAK8567487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517872988:517873697:-1 gene:gene-LATHSAT_LOCUS20534 transcript:rna-LATHSAT_LOCUS20534 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVEKKNNVKAKKPIAPPFNLERTTSIDNEPKTLLQEELNLAREEALKVINTHPKEEALKIFLKGLKPVTISAQPSENDVKYDDEDEDEYNYEDEYELDDEVDDYDE >CAK8566246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397457014:397458171:1 gene:gene-LATHSAT_LOCUS19396 transcript:rna-LATHSAT_LOCUS19396 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHTGEFLRDSKTSRINDSIIKPNNHSSEEEFLSSQDSLDSSVTQDTRIRSTLESEVHDSGCEPSKAQFLTSTNSLDVGKTTMFQEFYHCVNGVSMLEERTNGKLQQCVKQSSRVGRNDIHSFHPASGHPCSFGNPQKQQLSVATPTNYESYYSYIQGLDTFQMNGEEFSWPNTASIHNEFQNNTYMRFGITGVGDSVDKPTEMQHENGTLGCPELPTMNLYRQLSEHSAFIGNTPQSRSHTNYNQTSPNHHLEGQKTFQSEGKTYAESSHNSHILGKERGMNNMLAERIKEFLNRLVRDHGSIDLEWLRHAPPDKVKLSSTGLQNYIKACMKTEFSRIPNWSFKDIITLKKKVNI >CAK8541805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:403849919:403852619:1 gene:gene-LATHSAT_LOCUS10700 transcript:rna-LATHSAT_LOCUS10700 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDFDVRPQIDKGKKKISLKPGMEFRNRDKLKMKSAVDHSQQPKLETLGEILKRGSINESAMQHEELVKHMSNLPGYLKSSDRGKNIQEKALNFGVLDWSRLEKWKNKQSFNRNGESSSSSRAATTSSSTARGYKKFDDKKGLCSSQNVKQYRNSGKKIIGGERRMRSREFESISKIQLDKSLRKGKRSNYDGEAISMQGFQQHSFKKKERGSNSGFDDRFPSLEGKDKCISFGSEKKMSSRRSEAKIKMNQLQESDIEFDQNHCHIMPRDIVLLRPRKFLQSNFEDYFPHSQSISSSDENFSESSLSSSSYISLPGEAYTENILPSVASLASSSSAQENFDTDLDVDFSSVRSEKPGCSNKMSNFSSNKDTCIEKEMLDMNQRNRYSFGNMKESTESDRNNLSQHRLSFGSNRLGRSLSFKEGPTLPKQSAKKVSAKSGPLIFESSSYLNKSCKDHKASDHKRTRSSTFLRLLDPLWKHKASSTQQHSSEISLTPKGSPNSTIFSTNNLHDENHKESSVKAILQLTIKNGLPLFHFVINSERKVLAATMNSLASPEKDDGSCYFTFYLLNEIKKKSGRWTSHWSKEKNSGGYAYNIAGHMKISNSRITEPKDQNFKGQCMVKDYVLFGVGIDQPDQGPKDFVKRKELAAAVIEIPCENVNLLKKECLKCLLADKRCFCISQENDISGSSITVILPGGLHASPKKGEPSPLIHRWKLGGLCDCGGWDVGCKLLVLSNQNLSSKPHLERFQLFVQEGTEEDTPLFILEPMKDGFYSVEFSSTIPHLQAFFISVSVLSSKKLPSSMEIPSKELNSKEEASKYYNSGPPLSPVDRV >CAK8574532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1738357:1744488:1 gene:gene-LATHSAT_LOCUS26871 transcript:rna-LATHSAT_LOCUS26871 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKSSSRSRATAASVKENGVKLEEGLTPFKSDRFDAEFYVQSNSSLNDKEIKQLCTYLVDLKRASAEEMRRSVYANYAAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLADGVHIDQLSISDSNGFSVNGTLDSEDPEISDLDKWLVEFPDLLDVLLAERRVEEALAALDEGERVVSEAKEMKSLNPSLLLSLQSSITERRQKLADQLAEAACQPSTRGAELRASVSALKKLGDGPHAHSLLLNAHLQRYQYNMQSLRPSNTSYGGAYTAALAQLVFSAVAQAASDSLAIFGEEPAYSSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIQESTAAMAAADDWVLTYPPNANRQTGTTTALQHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQALEGLFQVFNFYMNMLIKALPESMDEEENFEGSANKIVRMAETEAQQIALLANASLLADELLPRAAMKLSSLNQDPYKDDNRRRTSERQNRHPEQREWRRRLVGSVDKLKDTFCRQHALSLIFTEEGDSLLTADMYINMEGNADDVEWIPSSIFQELFIKLNRMANIAADMFVGRERFATLLLMRLTETVILWISEDQSFWDDIEEGPRPLGPLGLQQFYLDMKFVVCFASNGRYLSRNLQRIVNEIITKAMTAFSATGLDPYGELPEDEWFNEICQDAMERLSGKPKEINGERELSSPTASVSAQSISSVRSHNSS >CAK8540049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537127524:537130569:-1 gene:gene-LATHSAT_LOCUS9088 transcript:rna-LATHSAT_LOCUS9088 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRYSRLPSRKSSSSYTIIFALFIAFTFLILILLVFGILSIPSSNQNLPKPNDLTSIAHNTVDSVDDDDGKGEQWVEVVSWEPRAFVYHNFLTKEECEYLIDIAKPSMHKSTVVDSETGKSKDSRVRTSSGTFLARGRDKIVRDIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLTDVEEGGETVFPAAKGNFSSVPWYNELSDCGKKGLSIKPKRGDALLFWSMKPDASLDASSLHGGCPVIKGNKWSSTKWIRVSEYKT >CAK8543212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588731760:588732287:-1 gene:gene-LATHSAT_LOCUS11985 transcript:rna-LATHSAT_LOCUS11985 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPEAELPAWVVCYIPGVMSLLSVLPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWVVTKKLGRSSETDLVAFEKQSEPLMRSHSLHRSSSDSGIEELSKLELSKLAPKTKKNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >CAK8562615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516234882:516235027:-1 gene:gene-LATHSAT_LOCUS16100 transcript:rna-LATHSAT_LOCUS16100 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRGHSGYGNP >CAK8561545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:286204101:286208550:1 gene:gene-LATHSAT_LOCUS15126 transcript:rna-LATHSAT_LOCUS15126 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRIREIVEQSWTAD >CAK8537933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448450683:448451508:1 gene:gene-LATHSAT_LOCUS7181 transcript:rna-LATHSAT_LOCUS7181 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEVACVDHSEFEKQNQLCCMARRMKKKFNKYWNSYSVVLSFAVILDPRYKLQFVEWCYVRLLGGEGVQVAKVIFDKLKAFFQEYLKSSNEESTSSSQRSIRGSPNIPSNDLQDFGSYESKLCGPSKDESDLEIYLNEKKIDHEQYADLDVMQYWKANEGKYPKLSILARDILSIPITTVASESAFSIGGRILDKYRSALLPENVEALLCTHDWLCGTPAAFDFDGPDFVEDLSTFFSTT >CAK8565619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:293340496:293342979:1 gene:gene-LATHSAT_LOCUS18816 transcript:rna-LATHSAT_LOCUS18816 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKRVPTVVSNYQKYEDDAVSGCGRNCLKSCCIQEAKLPLYGFKRGDKVDGEELALLACNERRVAFLDSIILGQWEDRMQRGLFRYDVTACETKVIPGEHGFIAQLNEGRHLKKRPTEFRVDTVLQPFDETKFNFTKVGQEEILFQFEASSDGDAQFFPNAPVVVENSPSFVAINVSPIEYGHVLLIPRIFECLPQRIDHASFLLALHMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLKLPFPIEKAPTKKIGKLNRDVKISKLLNYPVRGLVFEGGHALDDLANTVSEACICLQHNNIPYNVLISDCGRRVFLLPQCYAEKQALGEVSAELLDTQVNPAVWEISGHMVLKRKKDFNEASEANAWRLLAEVSLSEARFKEVNSLIFQAITSDKIEVTHEPQCIEISDAVSSSTTQTSMVAGSHECLVLQ >CAK8576751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510761025:510761931:1 gene:gene-LATHSAT_LOCUS28920 transcript:rna-LATHSAT_LOCUS28920 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKSVGYDVYRDPSYHEYVDQASQSSQRQSQPSQTSKKSYIDDVVNVVSDGNCGFRVIASLHGYGKDGWPMVRRDLGLEIIHNERLSLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDIGYMIANCYNVVFFYLGIECWTFFPVTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPLVTVD >CAK8530850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55620546:55625649:-1 gene:gene-LATHSAT_LOCUS685 transcript:rna-LATHSAT_LOCUS685 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTEVHDNSSPPSSTPTQNHEIRETKTNQKVPFYKLFNFADRLDVTLMIIGTIAAVANGLSQPLMTLIFGKLINAFGGSDPSAMVKQVSKVSLLFVYLAAGAGIASFLQVSCWMVTGERQAARIRSLYLKTILKQDIAFFDTETNTGEVIGRMSGDTILIQDAMGEKVGKFVQLASNFFGGFVIAFIKGWRLAVVLLACMPCVIVAGGFMSVMMSKMSSRGQVAYAEAGNVVDQTVGAIRTVASFTGEKKAIERYNSKIRVAYSATVHQGIVSGLGMGTLLLIVFCTYGLAMWYGSKLVIERGYSGGSVITVIIALMTGGMALGQASPCINAFASGQAAAYKMFETIERKPKIDAYDTSGVILEDIKGDIELKDVHFRYPARPDVQIFAGFSLFVPSGTTTALVGQSGSGKSTVISLLERFYDPDVGEVLIDGVNLKNFQLKWIREQIGLVSQEPILFTTTIRENIAYGKEGATDEEITAAITLANAKNFIDKLPQGLNTMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALEKVILKRTTVVVAHRLTTIKNADTIAVVHQGKIVERGTHSELTVDASGAYSQLIRLQEGEKEAEDSRTSEADKSGDSLNIESHIARSSTQRHSFMRSISRTSSASLRHSQSHRGLSGEIKDADIEQGQLDNKEKPKRVPLMRLAKLNKPEVPVILFGSIAAIVHGVVFPIFGLLFSSVISMFFKPPEQQRKESRFWSLLYVGLGLVTLVVLPLQNYFFGIAGGKLIERVRSLTFKKIVHQEIRWFDDPANSSGAVGARLSTDASTVKSLVGDTLALIVQNISTIAAGLVISFTANWILAFIVLAVSPMVLMQGVVQMKFLRGFSADAKVMYEEASQVANDAVSSIRTVASFCAESKVMDMYRKKCLGPERQGARLGLVSGAGFGFSFFALYCTNAFTFYIGSVLVQHGKATFQEVFRVFFCLTMTAISVSQSSALAPDANKARDSTVSIFEILDSEPDIDSSSKEGVTQGMVVGNIELQHVSFNYPTRPHIQIFKDLSLSIPSAKTVALVGESGSGKSTVISLLERFYDPDSGHVYLDGVDIKKFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGGATEDEIIAAATAANAHSFISSLPNGYETSVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAESERIVQEALDKVSVDRTTVVVAHRLTTIRGADTIAVIKNGVVAEKGRHDVLMKINDGVYASLVALHSSAS >CAK8572072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506977685:506981075:-1 gene:gene-LATHSAT_LOCUS24677 transcript:rna-LATHSAT_LOCUS24677 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGATNSEMVHHYIILLFCLCTSISAHQHEHDPSIITTVEQFSGYSIHEPTSISSLSVDAQGLQNQIDQLSGFSDAPAPAVTRVLYTHKDVLARRYVKNLMGNAGLSVREDAVGNIFGRWDGSEPELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSSFKPKRPLEVILFTSEEPTRFGISCLGSRLLAGSENLANTLQTITDSQNISFLDAARSAGYAKDENDLSSVFLKKGTYSAFVELHIEQGPILEDEGISIGIVTAIAAPASLRVEFEGNGGHAGAVLMPNRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHIEIDTRDIDEERRNQVIDKIHETAIRITKTRGVKLSEFHVINQDPPAPSDKAVINAMETATKELNLTSKLMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEFSSIEDMSNGVKVLAFTLAKLSLQ >CAK8572073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506977685:506981048:-1 gene:gene-LATHSAT_LOCUS24677 transcript:rna-LATHSAT_LOCUS24677-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYIILLFCLCTSISAHQHEHDPSIITTVEQFSGYSIHEPTSISSLSVDAQGLQNQIDQLSGFSDAPAPAVTRVLYTHKDVLARRYVKNLMGNAGLSVREDAVGNIFGRWDGSEPELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSSFKPKRPLEVILFTSEEPTRFGISCLGSRLLAGSENLANTLQTITDSQNISFLDAARSAGYAKDENDLSSVFLKKGTYSAFVELHIEQGPILEDEGISIGIVTAIAAPASLRVEFEGNGGHAGAVLMPNRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHIEIDTRDIDEERRNQVIDKIHETAIRITKTRGVKLSEFHVINQDPPAPSDKAVINAMETATKELNLTSKLMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEFSSIEDMSNGVKVLAFTLAKLSLQ >CAK8561636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:333556917:333557504:-1 gene:gene-LATHSAT_LOCUS15210 transcript:rna-LATHSAT_LOCUS15210 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSCIVLLAFFFTTALATLTMHEQRFLSECANTVGEYCGNQLYNKLFTHNKTSITRDCCYKILQTGYSCHIKMSVFILESNPDLKHADRVPYLTKSDGLFQKCDRATEPENSKFLATCVEQVGSDCGEEVYNKLVHDKDVSQQCCKKLVQSGQKCHTSMAKALIRTPPMKNVDAIQFLKKNKKIYSDCKRAE >CAK8570339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37698756:37700832:-1 gene:gene-LATHSAT_LOCUS23093 transcript:rna-LATHSAT_LOCUS23093 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWVFGYGSLISKAGFNYDERLVGFIKDYRRVFYQGSTDHRGTPEFPGRTVTLEPAEGEICWGAAYKISKKEDQEIALTYLEVREKQYDRKEYVDFFTELNATTPAISGALVYIASPNKNVNVNYLGPASVEEIARQIVQAEGPSGPNREYLFLLEKALLQIGSKDKHVIDLANEVRRIISEEH >CAK8576758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511420097:511420708:-1 gene:gene-LATHSAT_LOCUS28927 transcript:rna-LATHSAT_LOCUS28927 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCICSIDVGIVVELIVMYLIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVFCSDKTGTLTLNKLSVDRNLIEVFSRGMDKDLVILLAARASRIENQDAIDVAIVGMLSDPQEARAGINEVHFLPFNPVDKRTALTYFDT >CAK8569502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3519580:3522386:1 gene:gene-LATHSAT_LOCUS22347 transcript:rna-LATHSAT_LOCUS22347 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFPYIIVLFLLTLLSFKFFSKCKNRTNQTSAKLPPGSVGWPYIGQTLQFYSQDPNVFFFTKQKRYGEIFKTNILGCPCVMLASPEAARFVLVTQSHLFKPTYPKSKERLIGPSALFFHQGEYHLRLRKLIQKSLSLYSLRNSVQDIEALAISTIKSWSDDENDGRIINTFKEMKKFSFEVGILKIFGNLEPRLREELKKNYWIVNNGYNSFPTQIPGTQYKKALVARKRLGSILKEIISERKEKKLVENERDLLSCLLNWKSENGEMLSDDEIGDNIIGVLFAAQDTTATVMTWVVKYLHDEPKLLESVKAEQKAIYKQNDGNLPLSWNQTRNMSITNKVVLESMRMASVISFPFREAVADVEYKGFLIPKGWKAMPLFRNIHHNPEFFPEPNKFNPSRFEVSPKPNTFMPFGSGVHACPGNELAKLETLIMIHHLVTKFRLEVVGSQCGIQYGPFPLPLNGLPARCWRESTATRKSINHIIAEK >CAK8563681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624937388:624938776:1 gene:gene-LATHSAT_LOCUS17066 transcript:rna-LATHSAT_LOCUS17066 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNSKPLFPMALSISPITVSIITLFLLFNPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRSDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECDNSSGSSKNVNSFGYEKLCDTVDCSNGVCEHDPIRFMKPMLGANFCLQPPGDTPTRRSTFDAILAGCIPVFFEDLSAKLQYSWHLPQNEFEGFSVTIPKEDVVFKGLRILDALQRIPRARVRRMREKVMELIPRVVYRKHNSSPGLRAKKDAFDLTIDGTLDKIRTRLQELDLVV >CAK8563682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624937421:624938776:1 gene:gene-LATHSAT_LOCUS17066 transcript:rna-LATHSAT_LOCUS17066-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISPITVSIITLFLLFNPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRSDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECDNSSGSSKNVNSFGYEKLCDTVDCSNGVCEHDPIRFMKPMLGANFCLQPPGDTPTRRSTFDAILAGCIPVFFEDLSAKLQYSWHLPQNEFEGFSVTIPKEDVVFKGLRILDALQRIPRARVRRMREKVMELIPRVVYRKHNSSPGLRAKKDAFDLTIDGTLDKIRTRLQELDLVV >CAK8576616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497891888:497896723:-1 gene:gene-LATHSAT_LOCUS28790 transcript:rna-LATHSAT_LOCUS28790 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHFNHTSLLQNRNLTSHSNRHLPLHFLAPSLLKNSTGFNPTYQYDTLSNHRHLGFVPARVAQNHDVIEESEDTDQISEGFDVDIDKEEVNELVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYSFMFLSIATSNMVATALAKQDREEVQHHISVLLFIGLSCGLAMLLFTRLFGATMLAAFTGPKNGHIVPAANTYVQIRGLAWPCLLVGSVSQSASLGMKDSWGPLKALAVASIINGIGDIILCRYLGYGIAGAAWATLASQVVAAYMMSQTLNEKGYNAFAFSIPSGKEFLSIFSLAAPVFVTLMLKVAFYSLIIYFATSMGTHKMAAHQVMLQIYMLCAICGEPLSQTAQSFMPELMYGVNRSLAKARSLLRSLVTIGAVFGLLLGIVGTFVPWLFPYIFTPDQMVIKEMHKILIPYFLALVVTPATVGLEGTLLAGRDLRFISLSMSGCFCLNGLVLLILIRYGLLGCWFALAGFQWTRFSMALLRLLSPKGILYSEDTSQYELQKLKTA >CAK8535684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874350099:874350545:1 gene:gene-LATHSAT_LOCUS5116 transcript:rna-LATHSAT_LOCUS5116 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLARWAISYHFIHSFEASTKELKRVITAESIKYGFDILQGIQNENKSVKKAHGRTTKSGKALPQEI >CAK8578892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661071607:661075578:1 gene:gene-LATHSAT_LOCUS30873 transcript:rna-LATHSAT_LOCUS30873 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKYTPPRHRNRSRHVESLKDQPAFKPIIHNKNHPSKHVQVKTKKRVVIVNTTTSAAEKGERVDDKIKDVARDIDDDKSEEGKKKKMNAIYDVKVGEDEWPKWLVENISQNVLATLIRKTADSYEKLGKVGRGTYSNVYKARDKESGKIVALKKVRFDTSDSESIKFMAREIMILQTLDHPNVIKLEGLATSRMQYSLYLVFEYMQCDLTRVISRPTERLTEPQIKCYMQQLLLGLQYCHERGVMHRDIKASNLLIDTKGVLKIADFGLANSLKIKPKGPLTNRVVTLWYRAPELLLGSTDYDYSIDIWSVGCLLAEMFVGRPIMPGRTEIEQIHMIYKLCGSPSEDYLTKMKLKTSFRPPQRYKASFEENFKDFPLSALNLLTTLLDLHPQNRGTAASALQTEFFKSHPLACSISELPVIINKGDGERSQHKRRKRRKGLKKGQLSKRSASNLSLSGMNQTPEKGKTDSETPKEEKGIEQTMLGQETGNSGSSRSSSIFTSERSMNASISPVFLSSSKISPKTEGHPNALKNIKNYTLLQASILDMINPKEGNDFGQFRRSFSTLDFRLDPEKLSSLYGSKLDHEV >CAK8540720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16969663:16972029:-1 gene:gene-LATHSAT_LOCUS9696 transcript:rna-LATHSAT_LOCUS9696 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGKIGKLLKSSAVKHINHDISMSTPLAFQAIRSMSSAKLFVGGISYSTDDTSLREHFARYGEVIDAKVIMDRETGRSRGFGFITYTTSEDASAALQAMDGQDLHGRTVRVNYATERSRPGFGGGGGGYGGGGGYGGGGGYGGGGGGYGGGGGYGGGGFGGGGYGGSTYGRNDNNNSGSYGSGGYGAPGSYSGGNAKTSYAAPGEHGSNSNLGYDGQHGSPQDGGVFVEPLENNHVTENNDEPNDYAETRR >CAK8537808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:437034971:437038024:1 gene:gene-LATHSAT_LOCUS7062 transcript:rna-LATHSAT_LOCUS7062 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLGFVLENLSSLLRNEFSTIFGIKSKVEKLSTTLDLIKAVLEDAEQKQVTDRSITVWLQQLKDVVYVLDDILDECSIESRRLRGFINPKNLIFRCDIGKRLKEITRRLDDLADSKNKFLLQEGITVRESSIEVPEWRQTSSFIAEPKVFGREDDKEKIVEFLLMQARDSSLSIYPIVGLGGVGKTTLAQLVYNDVRVTGNFNTKIWVCVSEAFSVKRILCSIIESITSEKCDSLHLDAIQLKVQDLLRGRRYFLVLDDVWNKTQELESGLSQEKWNKLKSVLLCGSRGSSILVSTRDEDVAEIVRTCQTYHLSVLRENECWLLFKQYAFGHDREERAELVAIGKEIVKKCGGLPLAAQALGGLMRSRRGVKEWLEVKESRIWDLTDENSILPVLRLSYFHLTPTLKQCFSFCAIFPKDRIIMKEELIHLWLANGFISSRKNLEVEDVGSMFWNELCQKSFFQVIKIDEYSGDISFKMHDLVHDLAQSIMEQECVILEGGTMTNFSKSTHHVSFHDASHLSVNKSALKKLESLRTMFELDHYGYQHTNYFPTNCSLRVLSISSDPVSSLGSLIHLRYLELFGCHIKNIPDSIYNMHKLEILKLNHISRLRCLPKRLACLQNLRHLVIEYCFSLSQLFPDVGKLTCLRTLSVYIVSLERGQSLRELRDLNLGGILNIKGLKDVGSLSHAQDANLIAKKELQEVCMSWSVNDEITDTPTVSSEQVLEGLQPHTNLKRLKIHYYNGFCFASWIKTLSSLVSLELKGCNNCVRLSPIGKLPSLKKLELCDMNNVKFVDDNNDDDDVSYDSMDVKIFPSLEVLMLEGLPGLERLLKLERREMFPCLSILKIYFCSQLRLPCLPCVKDLSVVGCNNVLLSSISSLYGLTSLSLGGVRGITSFPEGMFRNLTCLQTLSITYFPNLKELPNEPFSLALDSLEISFFNELESLPEKIWEGLQSLRTLTISYCAELRCLPEGIRHLTSLEVLNIHYCPTLAERCKKETGKDWNKIAHIPNINIS >CAK8576149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416121923:416122477:1 gene:gene-LATHSAT_LOCUS28360 transcript:rna-LATHSAT_LOCUS28360 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFPSAHSLFTRLSKQRRKGGQQVPRALCPTHLSRSKCSSPVPPNAPIYRQRSCECAVMLRMLRHRIDRPSSCSFPVHSLYISDTQGRTRWEGSSPSLCPADHSAGILHSRLRLTVARGWSCRYVSLSGSLVPPVISFYDMLLSSPYSICHLVISASLRVSTSERNGGLHSVTPRSPSKRSE >CAK8577291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552856179:552856490:-1 gene:gene-LATHSAT_LOCUS29412 transcript:rna-LATHSAT_LOCUS29412 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFSPNVSIYCIGFVNINHWVQVNMREGFPLPPMTVDWKKFHSPAATSWMIGFVGRLQHWQQLTPILPTHYEL >CAK8541902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:428659635:428662279:-1 gene:gene-LATHSAT_LOCUS10791 transcript:rna-LATHSAT_LOCUS10791 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKERDEELALFLEMRRREKENEKNNLLLFQNSDELDFSNLESNHENSMVSEMVSSVPPKKTVAEEFLNSENDKSDYEWLLTPPDTPLFPTLDKESHISVKSETETRNSRSTALKPRVSNIQTEPTSRSNVVSKNHAAMTGLGSSINSSKRISSSRGPSPATSRSSTPSGRPTIPSITKSSRPSTPTSRATIASTKSTAPPARSSTPTRSAPRASTPTSKASVTAPKTSQRSITPSIRSSTPSRAFGVSAPPARPSSASKARPVVVVKSPVQSRGISPAVKSRPWKPPQIAGFSNEAPPNLKISIPERPSSVTRNRPGVPNSRSASVEVTGNAKTRRKSITPSKGHDSTRLPHNNHSSLHALSRARFTDGDEESPVIIGTKMVERVVNMRKLAPPKHNDPSANNNSYGKSSLSSSTGFGSTLSKKSLDMAMRHMDIRRSVQGNMRPHVTSIPAVSTYSIRSGSSSKSPTVSFSDSPHATSSTASSEPSVNNSSSISYGSEIEENDF >CAK8561255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:129497123:129498331:-1 gene:gene-LATHSAT_LOCUS14864 transcript:rna-LATHSAT_LOCUS14864 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKVFSHSKLVPFGFSIVSNNISLRPFSKQVHADVVNNSNQLHPRPKRMTFQLNCSCSRGKPFSSLLKPVRKRADLFPLPRCSISNEASTDSSNPVLKYFKNISFDSIKATVLQLTPIDIVKLTAILSVITTAIKWAINMLLNPFFWMYFSWTWMYWPWLVAVALAAYGIYCFRKHVIGEANIFEQLAIVTSAFTWLTLVPPAYFNGYLEGWPMVFFFVYHYFFFYNVSVRKRLYGDYYARRHDPKWDVNLPMLSRLLFSAGIMVGHWLAAFEGPELNLIPGGWSNLGIWGLIIATLLFHYNATLYLAKYSENVVVPISVVQFGPYRWLRHPVYSSTALLFVTYCVALRAPLSLLFIVAICLLYYKQKADMEEALMIESFGQRYTEYASKVKYKFIPFIY >CAK8531850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:164714512:164715153:-1 gene:gene-LATHSAT_LOCUS1614 transcript:rna-LATHSAT_LOCUS1614 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQEKQGMSWIVKAILRHRIIIYGMDDWNGMTTKYSVGKVYQYLKKDDPDVGWKHMLSNNIAIPRAYFTMWMACHRRLATRGRLKRLGLTTDDSCKFCDKEETMDHLLFDCPPFKTCWQQILVWLGYQHFPCDCSEALEWLIAHCKGKGWRKCILCSSVAETIHEIWRYRNNVVFGNTVNFWEVRELVTSTLANRGWVNTSMRHHIAQLLLE >CAK8539530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515122048:515123628:-1 gene:gene-LATHSAT_LOCUS8614 transcript:rna-LATHSAT_LOCUS8614 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLMSQIGFFSVILLLPVVCNSQDSYICSRATYYGSPDCYANPRGACGYGDYGQTVNDGNVAGVSWLWKNGSGCGACYQVRCKIAGLCDENGAYVVVTDYGVGDRTDFIMSPRGYSRLGKNADASAELFKYGVVDIEYKRVPCKYNGYNVLVKVHERSKNPHYLAIIILYVGGTNDVTDVQLWQEDCQEWRPMRRVFGAVFDAENPPRGEIKLRFQVSGSAGLYWVQSENAISSDWQAGAVYDSQIQFD >CAK8532769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511632688:511633032:-1 gene:gene-LATHSAT_LOCUS2442 transcript:rna-LATHSAT_LOCUS2442 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNPFHIAKMFMDYMNDDMDEELVRLYMEEEASSSRRPRRQRRNIESNHEEGHDRLFKDYFSETLVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPL >CAK8578345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622186035:622188839:1 gene:gene-LATHSAT_LOCUS30362 transcript:rna-LATHSAT_LOCUS30362 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRQVQCLMRRVCRGTRTFAVATQSNASSSSQTIIDKEHQHSAHNYHPLPIVFAHAKGSSVWDPEGNKYIDFLSGYSAVNQGHCHPKILKALHDQADRLTVSSRAFYNDRFPVFAEYLTALFGYDMVLPMNTGAEGVETALKLARKWGYEKKKIPNNEALIVSCCGCFNGRTLGVISMSCDNEATRGFGPLMPGHLKVDFGDAEAIERVFKEKGDRVAAFILEPIQGEAGVVIPPDGYLKAVRDLCSKYNVLMIADEIQTGLGRTGKMLACDWEDVRPDVVILGKALGGGILPVSAVLADKDVMLCIKPGQHGSTFGGNPLASAVAIAALEVIKEERLTERSTKLGGELLGLLHNIQKKHPDHIKEVRGRGLFIGVELNSESLSPVTGFELSEKLKERGVLAKSTHDTIIRFTPPLCISEDEIQQGSKVLADALEIDLPLLKKTKPKDAVPLAGPSPCDRCGRLVYG >CAK8532221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:222854595:222877600:1 gene:gene-LATHSAT_LOCUS1952 transcript:rna-LATHSAT_LOCUS1952 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRCGLLKKAHEISVLCDAEVALIVFSNKGKLYEYSSDPCMERILERYERYSYAERKLVQNDDQPQNENWIIEHAKLKARLEVIQKDQRNFMGEELDGLSMKELQNLEHQLDSALKQIRSRKNQVVYESISELQKKDKTLQEKNNLLIKKIKEKENELAQLELHNDDMDLAATALVPQTLETLNIGRSPEDRGDNEGSQTRSNTLLPPWMLRPIID >CAK8562079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:438750355:438755325:-1 gene:gene-LATHSAT_LOCUS15606 transcript:rna-LATHSAT_LOCUS15606 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMDFLSACWRRRSSARKSSDVCGKKEGLLWYKDAGQHLFGDYSMAVVQANNLLEDQSQIESGPLSFLDTGPYGTFVGVYDGHGGPETSRFVCDHLFQHLKRFATEQKSMSVEVIRKAYQATEEGFLGVVTKQWPINPQIAAVGSCCLVGVICGGSLYIANLGDSRAVLGRTVKATGEVLAIQLSPEHNVAIESVRQEMHSLHPDDPKIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNKEPLYAKFRLRETFKSPILSSDPSISTHELQEHDQFLIFASDGLWEHLSNQDAVDIVQNHPHSGSARKLIKVALLEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNLVSRASTVRGPPLSLRGAGVPLPSRSLAPMELPGPG >CAK8532118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:208126865:208127212:-1 gene:gene-LATHSAT_LOCUS1853 transcript:rna-LATHSAT_LOCUS1853 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTATTTTTSVVPPSTTEVIDVESFHLPPTTTRTSTPIVIDLSDGEDDDEVRILNFVPKINPLRKQQRFNVEKGESSSANASKNVVPFICEICTETKTANEAFFHQQFLTCLLL >CAK8575051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24852357:24855640:1 gene:gene-LATHSAT_LOCUS27343 transcript:rna-LATHSAT_LOCUS27343 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNVVVLLFATIAFSLYNSAVSLNRSSFPSDFLFGTASSAYQYEGAAHEGGKGPSIWDTFTHSHPDRIADRSNGDVAVDSYHRYKEDVAMMKDIGFNAYRFSIAWSRILPRGNLKGGINQEGVIYYNNLINELITNGQKPFITLFHSDLPQALEDEYGGFLSPKIEQDFADYAEVCFREFGDRVKHWITLNEPLLYSTGGYGNGGSPPSRCSKWVANCNAGDSSTEPYVVTHHLILAHAAAVKVYRAKFQNTQKGQIGVTLNSAWLVPLSQSKADRDAASRGLDFMYGWFMEPLNSGTYPATIVNKVKERLPRFSRSQSLMVKGSFDFVGLNYYTSTYATDIPCQGGKPSVFTDSCVRFTSVRNGLLIGPKAASDWLYVYPPGIQGLLQYTKEKFNNPIIYITENGIDEVDDGKRSLNDIARIDYISHHLLFLQKAVMNGVRVKGYFAWSLLDNFEWNSGYTLRFGLVYVDYKNGLRRYRKRSALWFKLFLRK >CAK8571480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429236795:429240568:-1 gene:gene-LATHSAT_LOCUS24142 transcript:rna-LATHSAT_LOCUS24142 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLPLSHLSLSSLSKTPLTSSPSPQKPYPLHHRLSVKSSINKPPETTTKTSSWVSPDWLTSLSKSLTTAKDDSGIPIASAKLDDVSDLLGGALFLPLFKWMNEYGPVYRLAAGPRNFVVVSDPAIAKHVLKNYGKYGKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKRYLSIMVDRVFSRCAERLVEKLQPDAINGTAVNMEDKFSQLTLDVIGLSVFNYNFDALNSDSPVIEAVYTSLKEAEARSTDLLPYWKESFFLIPVHDMEFKFSLFLFFMSFISRSLLLQVGFLCKIIPRQIKAEKAVSVIRETVEDLIAKCKEIVESEGERIDADEYVNDADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPSYEDIKGLKFLTRCIMESLRLYPHPPVLIRRSQVPDELPGDYKINAGQDIMISVYNIHRSSKVWDRAEEFLPERFDLDGPVPNETNTDFRFIPFSGGPRKCVGDQFALLEATVALAVFLQHMNFELVPDQNIGMTTGATIHTTNGLYMKLSQRSK >CAK8571827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479961389:479962973:-1 gene:gene-LATHSAT_LOCUS24453 transcript:rna-LATHSAT_LOCUS24453 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEQASRTAVESCHRVLSMLSQPRDQVHHKNLMVETGEAVNRFKKVVSLLHDGMGHARVRKFRNPKQVPFPQNVFLDNPVCKKPNNHRTKKVHFSETTYPENSVQELALTVRNPNPNTSLELSSNGKSPLPLAQQAASTHSTHYQFFQQQQQQQRLMMQQQQHQQHQQMKHQAEMMFRRNANSVNLNFDNTTSGTLTMSSTRSFISSLSIDGSVANLDGSSFHLVGAPISSDQNSQQHKRKCSARGDEGNLKCGSSSKCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPTMLIVTYEGEHNHPKVPTQSANA >CAK8561323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:149223649:149227601:1 gene:gene-LATHSAT_LOCUS14925 transcript:rna-LATHSAT_LOCUS14925 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSRTRSKNVQLFNSLSLDGPSSSKLKRSRKNYEDETGFDSASCSKKTRVKEKPFVLSQNIKVICIDDDDDDDDDDEKMFEGGGDVKRKVGSDESGRIDGFDAKKNVENVGGSHDDFVDKDENFVDENCDWTSENLVDENCDWTSENPITNSDDESESDEDESETSDEEFQVNDSSSYENDDDDDDDDDEEEEEEEAKEEKKNVWRKYYSVVEELVRKVKDEKSGVKGGKSGVEEVEIENSPSSVHNEESENADYGSVTTSSALEKKGYFDPMKACCSSNLNEAFSETTKAKSVENVSLDDDDDDVNESVTVDDYDEGKEQCVRGLGVERVSLFQTKQEKLGDSDKHTIMESKERDHEGRANICNGEKKESMDNNGLMNQRDKNTLFLPKELRLTKRLAEFYWGNKTTVKNDDSIVMEVKGDVVDQQPPLVSVETHPLIWSLKKVKKLQKTKEEEEEELLWDEMNASLREEEAESMIGNLGANGKMASPYSLCEHNTFLDEEIGVYCKLCGFVVTDIKDVSPPVVDRFPNEGSGKRASFDNSVNISRFDGSQFNVSDGGDSEANHFFHDKGTVWDLIPDVKHTLYPHQQEGFEFIWKNLAGNINLRELKYADPHREGGCIISHAPGTGKTRLTIVFLMAYLKLFPECHPVIVAPASLLLTWEDEFKKWDIGVPFHNFNNLELSDKEYKVAVNADNWYNSPHSKDATRKAKLISWFKEKSIMGISYNLYEKLAGGGGESEDKEKKKYASVKKTKENSDIGKVLREIPGLLVLDEGHTPRNQRSRIWKVLSKIQTKKRIILSGTPFQNNFYELYNTLSLVKPSFPNTIPCELKKFCQKQEPKKTLKEWSWEPIYGNRRENPCDNKIMQLKFLMDPFVHVHKGAILEKKLPGLRDCVLALKPDLLQKQILESIQSCQNRLIYEHKLTMASVHPSLLLECGLLEEEESVIDKNRLEKLRLNPYGGVKTKFLVEFVRLCDAVNEKVLVFSQFIRPLSLIKDQLNTAFNWSEGKEMLYMDGKVDLKDKQSLIHSFNGENCQAKILLASTKACSEGISLVGASRVVLLDVVWNPSVERQAISRAYRIGQKKVVYTYHLLTQGTTEYLKYCKQAEKDRLSELVFSTKNTDNDEFKSCAVEFEDRVLDQMKQHEKLKDMFAECVVQPKERDLVETFGHSL >CAK8569607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6803886:6804357:1 gene:gene-LATHSAT_LOCUS22441 transcript:rna-LATHSAT_LOCUS22441 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPNQTLIDEVQDELANERDVQKGHQDHKEENNDMISGELIRDSVADMEVSLKRPRKLKSLETCNWTTAMDEVLLDAYLHRQTLGNKIVIV >CAK8567905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555873956:555874495:-1 gene:gene-LATHSAT_LOCUS20917 transcript:rna-LATHSAT_LOCUS20917 gene_biotype:protein_coding transcript_biotype:protein_coding MASKINFSQFLLLLFLAHYPFVNGDTTLIKSTCKNTKYYKLCFSSLKSNPTSPNADTKGLALIMVGIGMTNATSTSSYLSSKSLTPTNNTTLQRVLKECADKYSYAGDSLQASVQDLAGEEYDYAYIHITAAKDYPNACHNAFKRVPDLVYPPEIASREKGLKHICDVAMGIIDNLINL >CAK8567418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513221446:513224017:-1 gene:gene-LATHSAT_LOCUS20474 transcript:rna-LATHSAT_LOCUS20474 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRCTVYADSDYDDDDDDNLTATITRCLSCDENYDPDEAGTCKECYEEANETEEELKRQIQDLKSKVSFLTLSSPLDSPSTTDLVLLPSADAFSPSIPAHKAVLVSRSPVFRAMLEHDMDESRSGTIKIADVSYDALRAFVNYLYTAEAFLDNQMACNLLVLAEKYQVKHLKAYCEKYLISKLNWEKAVVNFAFAHQHNANQLQDAALAVIMENMDNLTKNEDYIELVDSNPRLVVEIYEAYLGKQVNTAASHGL >CAK8572733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558766319:558768694:-1 gene:gene-LATHSAT_LOCUS25262 transcript:rna-LATHSAT_LOCUS25262 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTKRRSEVENGDEGEDLVLATLIANGDDLSPLVRHAFEMGRPEGLLRQLNFVVKKKEAEIEDMCKTHYEEFILAVDELRGVLVDAEELKSELQSDNFKLQQVGMTLLVKLEELLESYSVKKNVTEAIKMSMNCIQVLELCVKCNNHISEAQFYPALKTVDLLEKSYLQSIPARALKLVIEKRIPSIKLHIEKKVCSQVNEWMVLIRSSCKKIGQTAIGRTASVRQRDEEILERQRKVDDLNVSVVDDRLYSLDVEEVDDDSAMQFDLTPLYRACHIHSCMGILEQFHQYYYKNRLLQLNSDLEISSSQPFVESYQTLLAQIAGYFIVEDKVLRTAGGLLVEDQVETMWETALSKMTSMLDMQFSHMNSATHLLLIKDYVTLVGSTLRKYGYDISPLLDVLDSCRDKYHLLLLADCRRKIIEVIENDSYEQMVIKKETDYENHVLSFNLQTSDIMPAFPYVAAFSSMVPEACHVVGSFIKGSVDYLSHGVRTSFFDIVRKYLDKLLIEVLNETLQDTINGGNITLSQAMQIAANISVFERACDFFLRHVAQLCGKGVSVRSIDKPQAALAAKVLLQTSRDAAYITLLSLVNTKLDDYMKLTDGALWTTEEPKPNGNEYLNEVVFYLDSLMSTARQILPLDAMYKVGTGALEHVSDIIVTAFLSDSVKRFNATAVMNINNDLMMLEGFADEKFYSSGLGEIYKERSFRSCLIEARQLINLLSSSQPENFMNPVIREKNYYALDYKKVANICDKFKDSADGLFGSLSNKNTKQSAKKKSMDMLKKRLKDFN >CAK8566881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:469178298:469183269:1 gene:gene-LATHSAT_LOCUS19980 transcript:rna-LATHSAT_LOCUS19980 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSFQCLTTPFTFSLSSLSFSHHHSPRSFLRFSVRATSSRAMTSHIVGYPRMGPKRELKFALESFWDGKSSAEELKQVAANLRSAIWKQMADAGIQHIPSNTFSYYDQVLDTTAMVGAVPDRYNWKGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPNVKFSYASHKAVDEYKEAKALGVNTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYKQVVTELKAAGATWIQFDEPTLVKDLDAHQLQAFDYAYAELESTLSGLDVLIETYFADVPAEAYKTLTSLKAVTAYGFDLVRGTKTLDLIKQGFPSGKLLFAGVVDGRNIWANNLESSLNTLQTLGDIVGKEKVVVSTSCSLLHTAVDLVNETKLDQEIKSWLAFAAQKIVEVNALAKALSGQKDEAYFSSNASALASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSSRLSAQQKKLNLPILPTTTIGSFPQTADLRRVRREFKAKKISEEDYITFIKEEIINVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSLTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEEAFYLNWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLESNILWVNPDCGLKTRKYTEVKPALTNLVDAVKLIRKQLTSTK >CAK8570502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52677975:52681956:1 gene:gene-LATHSAT_LOCUS23245 transcript:rna-LATHSAT_LOCUS23245 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSKKSKSKRVPLKKKYKILKKVKEHNKKKTKEAKKLLQSGKKKVEKDPGIPNDWPFKEQELKALEARREKAIQELEQKKADRKERARKRKLGLPVDDDDSKSVETASLENVATAAKTKVDSSDRAFYKDLVKVIEASDVILEVLDARDPLGTRCVDIEKMVMKAGPEKRLVLLLNKIDLVPRESVEKWLKYLREELPTVAFKCSTQQQRSNLGWKSSKKVKPSNTLQLSDCLGAETLLKLLKNYSRSYEIKKSITVGLVGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVHLDKNVKLLDCPGVVMLKSQGNSATIALKNCKRIEKLEDPIGPVKEILKLCPARVLVALYKIPTFDSVDDFLQIVATVRGKLKKGGIVDIESAARIVLHDWNEGKIPYYTMPPVSEPEPSEAKIVSEFSKDFNIDEVYSGESSFIGTLKSTVDLDPVEVPSSCPLNFDETMLEDNEHGTLPKQDEAPEKMADNDEDESMESDKDDVSKDKGKTASSKQNEKLYTADGILNPKLRRAEKKKKKKANKAGSSDPMDGDYDFKVDYFKKDTMDVEGGSGDDDNDGDDEQVDSEVPMSGVQVDE >CAK8577056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534972385:534973158:1 gene:gene-LATHSAT_LOCUS29198 transcript:rna-LATHSAT_LOCUS29198 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVRNHLIAFGFQKGYDVWVRHGEKKPKLGDLNNNHMNEEEDQIDDIDGLLHERFRDVVQEENDVNVSLNEDAKKFYNLVEEAKQDLYPGCKNFSKLSFTIRLYLLKCLYGWSNVSFDALLELLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDACKYPKGRKNTISQRKERRLYLLLQMMLGDDTNARLKGSILASIKPCASS >CAK8530310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13962512:13966320:-1 gene:gene-LATHSAT_LOCUS185 transcript:rna-LATHSAT_LOCUS185 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEESTVKMEWLSSIDSTTLSHSELHALSLSSLSAFDLKSTRDIITPKIDPSTFNHSAGSHRQTYSRPRRLCRVAPLLPTPALPSDHRIIVDYLKQFIREDPKFDMVELLPPAVPSPPVMFTGEVRKRKRGRKPKLKMHLDERGMGILNKNGVAIDLAALFNVEHPFAAELARRTEGMNSEEELLGFLSDLVGQWGSRRRKRRIVDAADFGDVLPLDWKLLLSLKRKDGRAWIYCRRYISPSGQQFVSCKEVSSYLQSRFGHSDLQLQISHKSENILQEQRVTTDNSAGVAREEQDQRQIVATNSDVSALSISNERLKEVSLLETENLADVQIHDLFECHKCSMTFDEKDAYLQHLLSIHQRTTKRYRVGASVSDGVIIKDGKFECQFCHKVFLERRRYNSHVGIHVRNYVRRVENLPSQPNVMSADKSPVTDEMPSRISKMDALVEIAQNSILEDSVMEPDCSSKLNTIPLSEIAVGDLDENINIESSINEQQMEESLIGTNVVHNSNQQGSPPLPMDGAVEKIDYNNQVIDAKMFSFQDNMGLLSVNKKNVDAPDTSTGKGDVPLTVEGFDHSGINLQGVSQSLLFPSSGNHMKPEKSENSGCTNTQGDLKLDEDNSNKSNLKIGLDGCKDAPGVANVQVTAISTSKENVVQSRVSNTSISPEQSLYSFSAFSSDKGFQELKLEDIGSLEYDFASVQGSLDVSAELANHLVVQGTCASSAQSASQEVMLNVDDNNLLTTACVWCGIEFNHDAVNSEIQSDSVGFMCPVCKAKISGQINMLDSGSPHAGHL >CAK8531166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86228100:86228477:1 gene:gene-LATHSAT_LOCUS974 transcript:rna-LATHSAT_LOCUS974-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALEIEIQATQVQVLKTATSIATLLWKSTSNKDIADSLTICKSQYRNIVEAVTESVSLVSKRNVGEARFKFSAVISYYLACKESFEEGQITSPIAADAQVVHDLSGNCLDLMKAIEDKGRKTY >CAK8531165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86224641:86228477:1 gene:gene-LATHSAT_LOCUS974 transcript:rna-LATHSAT_LOCUS974 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKIHLVLVIISISILFAPTTHAVGTIETRLLNMCKTTTNPSLCYKTILPQALVTPKFNMYRALEIEIQATQVQVLKTATSIATLLWKSASNKDIADSLTICKSQYRNIVEAVTESVSLVSKRNVGEARFKFSAVISYYLACKESFEEGQITSPIAADAQVVHDLSGNCLDLMKAIEDKGRKTY >CAK8563985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644028279:644030440:-1 gene:gene-LATHSAT_LOCUS17337 transcript:rna-LATHSAT_LOCUS17337 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDQNTQEQEQPSCSSALSKRLLELEDDTDAYYSGLLPPEAYQTSKRIKVYDFLATFDKPKGETIVISDSESDDDDRSNAADKMDDDDDRSNATPTLTLLPRNQHDVVSNAADKMDDDDDVEDEVDEVDDDSPTPFSEAIKAIQERAERNEKRGIVEEPPVWIPKRNEKDSVRRRSYISSLQELSLNILAQHSDAIASLDCVNDEFRQRLSNLLCDSRKMNCHFLELLLKGVPTQILLTDCSWLTEKEFTNYFQTHVTSELEVLQLDKCGRIMNEYTLPATLAKSPNSLSKLTILSLTGACHLKDEGLRLLVSSATELRSINLSQCSLLTCASLDILAGSLGSILKELYIDDCIFIDVARILPALKRFKQLQVLSLAGVPTVSDKFIKSYFIARGHNIKDLVLKDCVNLTDASMRVIAKHCPGLRVLDIMNLGKLTDLSIGYLANSCCRLSTLKLCRNPFSDEAISVFLELAGKTLEELSLNSIVKVDLLTATSLAKNGQNLHTLDLSWCQNLSDNELGLIVDSCLSLRSLKLFGCSQLTDMFFKGHSNSGTRIIGLKLSPLFQQFESVSL >CAK8571467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:424219261:424224461:-1 gene:gene-LATHSAT_LOCUS24130 transcript:rna-LATHSAT_LOCUS24130 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSELRKAIEEVELVDGHAHNLVALHSNFPFIHAFSEAQGDALASSHHSLSFKRNLRDLGELYGCESSLQGVEEYRRVSGLESTCSACFKAARISAILIDDGLELDKKHDIEWHKNFTPFVGRILRIEKVAEKILDEDFPDGSRWTLDLFTEAFVSKLKSVAGEIFGLKSIAAYRSGLEINTNVTKQDAEEGLTQVLLAGKPIRIANKNLIDYIFLQSLEVAQSYDLPMQIHTGFGDKDLDMRLSNPLHLRSVFKDKRYSKSRIVLLHASYPFSREASYLASVYSQVYLDFGLAIPKLSVHGMISSLKELVDLAPINKVMFSTDGYAFPETYYLGAKKSREVVFSVLRDSCIDGDISVSEAVEAAKDILARNAINFYKINISNSAVSSHNILPLNVIDDLESDVSFVRIIWVDNSGQHRCRAVPKKRFNDVVTKNGVALAFAVMGMTSFLDGPAAGSGLGAVGEARLTPDLSSKRVIPWSNQDEMVLGDLNVQPGQAWECCPREALRRASKILKDEFGLVMNAGFENEFFLLKSLTREGIEEWIPVDSSPYCSSSAFDAASTILHEVASGLHSIGIQVEQLHAEAGKGQFELVLEHTVCTKAADNLVYTHETVRAIARKHGLLATFIPKYKLDDLGSGSHVHLSLWQNGRNVFMASDGSSKYGISTLGKEFMAGVLYNLPSILPFIAPLPISYDRLQPNTWSGAYLFWGNENKEAPIRASSPPGIPNGLTSNFEIKSFDGSANPYLGLAAIVGAGIDGLRKHLSLPEPVDTDPNPDNLQRLPKSLSESLEALDKADFLEEFIGVKLLTVIKAIRKAEIDHYLENKEAYKQLIHRY >CAK8567793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:545747181:545747408:1 gene:gene-LATHSAT_LOCUS20816 transcript:rna-LATHSAT_LOCUS20816 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLTWTINDFLAYVMLSKWGRHGKMGCPHCMGNTKVLTLEKGGKVRGLTVTIDSYQEIIPLEETRLISKKINE >CAK8572104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510083376:510089427:-1 gene:gene-LATHSAT_LOCUS24703 transcript:rna-LATHSAT_LOCUS24703 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDSAVFQLTPTRTRFDLVITVNGKKEKIASGLLNPFLSHLKAAQDQMDKGGYSIVLEPDDGSDSTWFTKGTLERFVRFVNTPEILERVYTTESEILQIEEAIAIQGNSSIGISSAEENQAKHAENTEGRKTQHDTNEEKAIVLYKPDAHLPEVNGNATSEGNSKVQLLKVLETRKSVLQKEQGMAFARAVAAGFEIDYIPALMSFAECFGASRLMEASAKFRDLWKRKHENGQWLEIEAAEMLSNRSEFSALNGSGIILPNSPFASHADSENNGKSSSDVPPMDSQGHQDNMHGQFPHHMFPPWPIHSPPGAVPVYQPYPVQGIPYYQTYHGNSPYMQQNYSPMEDPRLAAAQNMGFRRHSMDSRHSNTESEAFAVEASKSRLQDEVDVEREGSQTGDRRKKGSRSGRQKSGMVVIRNINYITKTENSSGSGSYSDSASETDEDIDNQEHVKTSKRRGSRKEFLKKLNSSDKEETDYVKDADGGHWQAFQNCLLRDVDEDRHAIDHDQFGMEKVDDRRRKNHVSVNDPLVLNGREMHEVQGSRSIDDAQSLEANGRSGGYRRGASDDFIVSKQENQSGNSYLSSGLEITGSGLGYSNDNLQRKLFHDMNDDSYMLEHRSIQVNDAGNVERNMIDIDSEFPMVSQKKEKPVNGIDSSNYQLDVLSMMPERGAEGGSKSYDPALDYEMQAQSGGALQNKNKEVLADAKPGSKRPDKEPKSKTTPNSSDKRKSVGPIRRGKPSKLSPLEEARARAESLRNYKADLQKMKKEKEEEAIKRIETLKMERQKRIAAKSSSVTSQSPAASHVTKKQVPTKLSPSSHKGSKFSDSEPGPSSPLQRFPTKTASVGSADSLKTSKTSRLSSRSHLNDNKLSQSVSSLPEPKLEKGDGETNTKASMARIRRLSEPKMSSIPQTSSVKPRSTGTISKTKASDVPESKKISAIVNHDKSKIAALPELKVRTSKASGTVQNVSSVKVKTQKLNDNKSSTNSEGTNSMLKKKETGISSIDDGDDNPVIEKTVVMLEHEKPYVPSIYAPVKEYDSDNVKEKTETTSNYVAIRAPVSPLSMDTIDKETSEIQSHLQPIYTEVKIDNTEKEPSKSSSISIAEEAYRAPYARVSSMEDPSTRNSEYGKAAPTSSETAAMDADTGKARVSDIRNSTLEKIPEATEKPHVKESAKGFRRLLKFGKKSHSPTTRNMESDNVSVDGSEADEIGTNSSSNEVNTLKNLISQDETPTASTTQQKSSRSFSLLSPFRKNSEKKIQMA >CAK8569264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688360603:688361490:-1 gene:gene-LATHSAT_LOCUS22139 transcript:rna-LATHSAT_LOCUS22139 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPLNTKSNFHGRSTSLPSRAHPLILKCNDHLETLLKSSNETYSSSLLFHKIDGLRDLIECVENLIQLPLTQEALINEHQENWVNNLLDGSLRVLDVCSAAKDAVIHTKECTRELQSIIRRRGGGAEVTAEAKKFLTSRKIVRKAICKALTNLKGNTKNCNDLSRNKDHKKEALIKLLQDVQVATLSTFQTILQYISGTTQSKSNSWDSISKLIQPKRVACSLVADESEFSQVDVALQSFVFTKTGKHEAINDLQNHLEKTECCIQDLEEGLEFLFRRLIKIRVSLLNILNH >CAK8540871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27420991:27422111:-1 gene:gene-LATHSAT_LOCUS9831 transcript:rna-LATHSAT_LOCUS9831 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNSAIGFEGYEKRLEITFSENGIFSDPAGLGLRALSKDQLDEILNPAECTIVDSLSNDYVDSYVLSESSLFVYAYKLIIKTCGTTKLLLSIPAILKLADGLNIAVKSVRYTRGSFIFPGAQSFPHRCFSEEVAVLDSYFGNLGSGSKAYMMGDGDKSQIWHIYSASAKLEASQEAVYGLEMCMTGLDKEKASVFFKTRTSTAALMTKNSGIRKILPKSDICDFEFDPCGYSMNGIEGNAISTIHVTPEDGFSYASFEAVGYEYEEKSLNEVVERVIACFYPAEFSIALHIDMNGEKLDKFPLEVKGYNCGERSNEVVGEGGAVVYRSFVRNDGCASPKSTLKCCWSEDESEEEEVKEI >CAK8540872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27421026:27422111:-1 gene:gene-LATHSAT_LOCUS9831 transcript:rna-LATHSAT_LOCUS9831-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNSAIGFEGYEKRLEITFSENGIFSDPAGLGLRALSKDQLDEILNPAECTIVDSLSNDYVDSYVLSESSLFVYAYKLIIKTCGTTKLLLSIPAILKLADGLNIAVKSVRYTRGSFIFPGAQSFPHRCFSEEVAVLDSYFGNLGSGSKAYMMGDGDKSQIWHIYSASAKLEASQEAVYGLEMCMTGLDKEKASVFFKTRTSTAALMTKNSGIRKILPKSDICDFEFDPCGYSMNGIEGNAISTIHVTPEDGFSYASFEAVGYEYEEKSLNEVVERVIACFYPAEFSIALHIDMNGEKLDKFPLEVKGYNCGERSNEVVGEGGAVVYRSFVRNDGCASPKSTLKCCWSEDESEEEEVKEI >CAK8572053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505524300:505525458:-1 gene:gene-LATHSAT_LOCUS24660 transcript:rna-LATHSAT_LOCUS24660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISEDPSRFKALSSSSGDSNGKDLCVSPSKFAKSDELWESYVYLEPPLIQDVQIQQDSELKSSNTSLEDCSSSSCGFTFLDEDNLITYDGPFSTLEVTIPPRVDSCA >CAK8572052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505524300:505526911:-1 gene:gene-LATHSAT_LOCUS24660 transcript:rna-LATHSAT_LOCUS24660 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKLGKPVVDSLCQLGGTLTLSGLILGGTGIGNEGAVKVTESLLKGTEELAKLDLSYCGLTSDYILNINVRSLLLYVILREASCNEFCRSVGVDLALDDFQKVSDEVPFIADLKPSGKYVMEDVHKIGGTPAVIRYLLEQGLLDGDYLTVTGKTLAENAELFPPLSKGQEIIRPIENPIKKTAHIQILYGNVAPQGSVAKITGKEGLYFSGPALVFEGEEAMISAISEDPSRFKALSSSSGDSNGKDLCVSPSKFAKSDELWESYVYLEPPLIQDVQIQQDSELKSSNTSLEDCSSSSCGFTFLDEDNLITYDGPFSTLEVTIPPRVDSCA >CAK8575008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21154591:21154978:1 gene:gene-LATHSAT_LOCUS27301 transcript:rna-LATHSAT_LOCUS27301 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTDSCHCIQYVILQHKIPVIVISSDATQSSVINSITHGACDYWLQPLHEKQFKTMWQHVARKTLIENKQHHDLGFLKVGTDKEPEVLGILETQSHQ >CAK8538931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499715742:499719665:1 gene:gene-LATHSAT_LOCUS8077 transcript:rna-LATHSAT_LOCUS8077-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEESINTVSKTDSNVNVTPKKTLNDLSDKELESEGGKTLEDNEVEDLKEDDIKEMEVDKKADDGEKLEEDKKVNEEEELKDDKKVDEREDFIEDKKVDEGEELEEDKKAGEGEELKEDKKLNAGEEIKDDKEDVGLKESEDDTKDDFVNVTEINKKDDNRFEDEKLGEETDVKETMDSKEEKESVEAKKPALDVMEEDDVPEKKDESSEQDKGQEKVEDVREVNDESGKKEKGQENEENDKVKVGNKSAEEDIPAEKVSKKRERGKGNVEKVKKKIKKQKGAEGIPDDKDENSEKVESQEEEEDVNDKINYKLNQDDSEDMEVEKGLKKCRRRNIHEQQVEETEPRTPASATNRPVRERKSVERLVESYERDVSKEFFIDEGSGMYLKDIPNVAFKLSRRKVDDTLKFLHTILFSRRRKAAEVKKNISRFSGFVWYENEEKQMIKVKEKFDKCNKEKLLDVCDVLDIQITKANTRKEDIIAKLINFLVAPHVTRAILLEEQEKSIKGKKRKRITKQGSSRSGAATSKRSAKSRKKNEDSSDEERKTTTDTESDSEKEEENEKGLPDRSEDERPQKSESEDKSDSDNESEDVKKASKINKTFSREKEFAAKGKAKETTFQKKPRTPRKRTMKSLSTLSESDDDISEGSPKVFSRKKKNEKQKTLTLTKSSSKDNTEKVTKRKGKTKEKSKPSDDQLRNAICDILKQVDFNTATFSNILELLGKQFDTDLTPRKASIKTMIQEELTKPADEDEEEDSENDETHTSGKEVEA >CAK8538932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499715742:499719665:1 gene:gene-LATHSAT_LOCUS8077 transcript:rna-LATHSAT_LOCUS8077 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEESINTVSKTDSNVNVTPKKTLNDLSDKELESEGGKTLEDNEVEDLKEDDIKEMEVDKKADDGDEITDDNQIGDGEEIKKDEKVDEGEKLEEDKKVNEEEELKDDKKVDEREDFIEDKKVDEGEELEEDKKAGEGEELKEDKKLNAGEEIKDDKEDVGLKESEDDTKDDFVNVTEINKKDDNRFEDEKLGEETDVKETMDSKEEKESVEAKKPALDVMEEDDVPEKKDESSEQDKGQEKVEDVREVNDESGKKEKGQENEENDKVKVGNKSAEEDIPAEKVSKKRERGKGNVEKVKKKIKKQKGAEGIPDDKDENSEKVESQEEEEDVNDKINYKLNQDDSEDMEVEKGLKKCRRRNIHEQQVEETEPRTPASATNRPVRERKSVERLVESYERDVSKEFFIDEGSGMYLKDIPNVAFKLSRRKVDDTLKFLHTILFSRRRKAAEVKKNISRFSGFVWYENEEKQMIKVKEKFDKCNKEKLLDVCDVLDIQITKANTRKEDIIAKLINFLVAPHVTRAILLEEQEKSIKGKKRKRITKQGSSRSGAATSKRSAKSRKKNEDSSDEERKTTTDTESDSEKEEENEKGLPDRSEDERPQKSESEDKSDSDNESEDVKKASKINKTFSREKEFAAKGKAKETTFQKKPRTPRKRTMKSLSTLSESDDDISEGSPKVFSRKKKNEKQKTLTLTKSSSKDNTEKVTKRKGKTKEKSKPSDDQLRNAICDILKQVDFNTATFSNILELLGKQFDTDLTPRKASIKTMIQEELTKPADEDEEEDSENDETHTSGKEVEA >CAK8560618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29545621:29548180:1 gene:gene-LATHSAT_LOCUS14279 transcript:rna-LATHSAT_LOCUS14279 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSSSWLTAVKRAFRSPTKDSEKRSGRRREDCDQEEDEEKKREKRRWIFRKPVVNHETVNNNTQQSTPTKLKNDVVVATAAASVASSRTDQDEKHALAVAMATAEAARATAQAAMEVARLTKPANYAREHCAAVVIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRVRSTSHDGSRKSTFSDTTSVWDSRYLQDISDRKSISREGSSVADDWDDRPHTVEEVKAMLQQRKEAAMKREKSLSQAFSQQIWRNGRTSSIGNEDELEERPKWLDRWMATKPWENRGRASTDQRDSIKTVEVDTSQPYSYLGTNYRRSHPNYQYNPHHQPQRHSIASPLHRSHQNGSPNQSVTTPSPAKSRPIQVRSSSPRCVREDRSYHHTSQTPSLRSNYHYNGNLYQNSRVGTSNGVSNATPTLPNYMQATESAKARIRSQSAPRQRPSTPERDRSGSVKKRLSFPAPDPYNVGGVGYGNYGHSLRSPSFKSVNVTSHFGMEQHSNYSSCCTESLGGEVSPSSTGDLRRWLR >CAK8577990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600978081:600978449:1 gene:gene-LATHSAT_LOCUS30042 transcript:rna-LATHSAT_LOCUS30042-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVVTMSSMSIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPTFPQATLPPLPVPTTIPSLPKLTMPPLPTFPTNIPTLNIPPLPAITSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8577989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600978057:600978449:1 gene:gene-LATHSAT_LOCUS30042 transcript:rna-LATHSAT_LOCUS30042 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKSFIMALLFVVTMSSMSIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPTFPQATLPPLPVPTTIPSLPKLTMPPLPTFPTNIPTLNIPPLPAITSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8568446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606665394:606671732:-1 gene:gene-LATHSAT_LOCUS21402 transcript:rna-LATHSAT_LOCUS21402 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGQPRLPKFAVPKRYDIRLKPDLVECRFSGSVAVNLDVITATYFIVLNAAELTVGNDAVSFTNRDSSKVFKPSKVELFEDDEILVLEFPEEIPVGLGVLNIQFEGILNDRMKGFYRSKYEHNGDKRNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSDLVALSNMPVAEEKVDNNLKTVSYQESPIMSTYLVAVVVGLFDYVEDHTPDGVKVRVYCQIGKANQGKFALDVAVKTLGLYKDYFDTPYTLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVAIVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADGLFPEWKIWSQFLHECEAGLRLDGLAGSHPIEVEINHAREIDEIFDAISYRKGASVIRMLQSYLGAESFQRSLASYIKKHACSNAKTEDLWAALEEGSGEPVNKLMTTWTKQQGYPVVSVKVNNQNLEFAQSQFLSSGAEGEGQWIVPITLSFGSYDVRKNFLLQTKSEIRDVKELLGSPITEDSKSWIKLNVEQAGFYRVKYDELLAAKLRYAIEKKLLSPSDRFGILDDTYALCNALKESLTSLLNLMAAYREEDDYTVLSNLISISYKVQSIAADAVPDLLDYFKQFSINVLQYSAERLGWDPKPGESHDDALLRGEILTSLAQFGHDLTLDEASRRFQAFLENRNTPLLPPDIRRAVYVAVMKRATKSNRSGYESLLKVYRETDLSQEKTRILGSLSSSSDPDLILEVLNFMLSSEVRSQDAVFGLGVNREGRDVAWAWLKENWEHITKTYGSGFLITRFVSATVSPFTSLEKAKEVEEFFDSHPMPAIVRTLKQSLERVYINANWVQSVQNEKGLADVIKELAYRKY >CAK8571897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490853815:490854360:-1 gene:gene-LATHSAT_LOCUS24520 transcript:rna-LATHSAT_LOCUS24520 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVEQHKNQYCSRSKPQSHGRFEYSSSKDFVGINCRTFESGLSLLPTTPLKSFDESPNLKTTPKSTPIPINGNCFRNERIFDESDGSILLSELWAGPTYSNSPPPSSLPIPKFPMRPKRTVSLDLPGSSPEIKLCIMAKSVPSSPTRERLDFTRDLFVNADSATKTLCQILNLNISDE >CAK8577511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570108955:570113648:1 gene:gene-LATHSAT_LOCUS29613 transcript:rna-LATHSAT_LOCUS29613 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPGLSALVTGGASGIGKGLVLALAEKGVFITIVDFSEERGRQLATVVEKINTKFHPKLQHPSVIFVKCDVTNSKDLAAAFEKHISTYGGLDICIVSAGIENPVPFDKDETDGTRSWRHTLNVNFIAVFDTTRLAIKSMAALKRPGVIINLGSASGLYPMYLDPIYSGSKGGVVMFTRALRLYKRQGIRVNVLCPEFVETEMGLKVDPKFLNMMGGFVPMEMVVKGAFELITDESKAGDCLWITNRRGLEYWPTPSEEAKYTIRSNRLRRRTEYKAPSIKLPESFEKIVVHTLTHNFRNATGLVRAPLRLPIKSNNVLVKIIYAGVNASDVNFSSGRYFGGNNNDTASRLPFDAGFEAVGIIAAVGDSVNDLKVGMPCAFMTFGGYAEFTEIPSKYALPVPRPDPEGVAMLTSGLTASIALDKAGQMESGKTVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGGAKAKLLKELGVDRVIDYNGEDIKTVLRKEFPKGIDIIYESVGGDMLKLCLDALAVHGRLIVIGMISQYQGEKGWTPSKYPGLCEKLLSKSQAVAGFFLVQYSHMYQEHLDKLYDLYSKGKLKVAVDPKKFIGLNSVADAVEYLHSGKSVGKVVVSVDPTFANQVAKL >CAK8539914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531072520:531073805:1 gene:gene-LATHSAT_LOCUS8969 transcript:rna-LATHSAT_LOCUS8969 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSKILVLGGTGYIGKFVVEASAKAGHPTFALVRESTVSHPEKSKLIDSFKSSGVTLLYGDLGDHESLVKAVKQVDVVISTLGGAQINDQVKLIAAIKEAGNIKRFFPSEFGMDVDRHHAVEPVTSFFDQKAKIRRAVEASGIPYTYVSSNAFAGYFLPTLAQQNVTAPPRDKVIILGDGNVPAIYVKEEDIGTFTIKTVDDPRTLNKTVYFRPRGNVLTFNELVSIWENKIKSTLEKIYVPEEQILKLIQESPFPANLMLALGHSMFVKGDSANFEIESSFGVEVTELYPEVKYTTVDQYLNAFV >CAK8565893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347885161:347885676:-1 gene:gene-LATHSAT_LOCUS19070 transcript:rna-LATHSAT_LOCUS19070 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEYHTILKYWLMIFLFRVDEKEVPVNFLKDPLDGRSTLKPTDLLMYEWVGEKHACLDLTGVSPLVGLRTGGFNVEQVTLKAASSNMVKHEKTCSNNKKDFIPFVFDTFGFLAPETIDLL >CAK8530667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39078952:39081963:1 gene:gene-LATHSAT_LOCUS513 transcript:rna-LATHSAT_LOCUS513 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVRKVSGRGEPVAANYAFGPSEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVSEVDKDEDNYNDCEKLARAFLQELTTFEIPLLKSKAIVEANVREKDNFNELKDEMNRQILQAQVDIEDHKKQLEESKVERRHKEECEAIRKLIALQPPRSETQKVITELEKEIAALDAENTAGSRLLELRKKQFSLLLHVVDELQNTIEEDQKSLMEEMRIATEELKNGIEDTSPSEAMAVDQ >CAK8563559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616224283:616226058:-1 gene:gene-LATHSAT_LOCUS16955 transcript:rna-LATHSAT_LOCUS16955 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRVHWRVGLLTIFVLFGLFILWGFDGCTVKNVFQGIRYSREHYLPRSNVSNQELFPVSLDQNQTNETHASVDVVLSGKPYKYRNNKAHVSVDLALSEKLEKNPKSFDFVERYASWISSELEVNFTSNLLARWLEGGGEPCKDSKTVEISIPGLDGGGLVDLSAGDIHEFGFQALDDSGKARCLGGDYFETDLSGESWKSRPLVKDFSNGSYSISLQVHPEFVGVYNLTIFLLYRHFEGLKLTPWKFVYDRLVLNIGIRFFKSDVLIPELQTCKAADFGRDVWCGRWTRHGKNDECFVGDDGRYRCLASDFPCKAPWCDGSLGVLESNGWVYSAHCSFKMYSAQSAWDCLKSRWIFFWGDSNHVDTIRNMLNFILELPDVHSVPRRFDLNFSNPRDPSQTVRITSIFNGHWNETQNYLGVDSLRNIGFQNLLKKYFSEDTIPDTVIINSGLHDGVHFGSLRAFSVGAAYAATFWAGVLETVKQRGLALPRVFYRTTVATGGYARSLAFNPNKMDVFNAVFLDKLKQKQVVSGVIDNFDMTFPWHFDNRCNDGVHYGRAPAKLKWRDGQIGHQYFVDLMLAHVLLNALCAR >CAK8577244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546920216:546920722:1 gene:gene-LATHSAT_LOCUS29368 transcript:rna-LATHSAT_LOCUS29368 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSLFPRFLLCDIRIKCFLSILLNYVFHCIITLARFYYSNAEPAVKQDSIQSGVRLCKEEIIDVMEKLGLREELDGVAIEEFGDEEEISNMFENGVSLEEVEEAFNVFDENKDGFIEAVELQRVLCCLGFQRDFVECQKMINAVDQNGDELIDLHEFVKLMELSFF >CAK8578816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656111338:656111712:1 gene:gene-LATHSAT_LOCUS30800 transcript:rna-LATHSAT_LOCUS30800 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIETLAALISTPATDANSVLRYSTSTHAPTNDQVMNDNINEDFEFEDEEI >CAK8536670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6675527:6675951:-1 gene:gene-LATHSAT_LOCUS6008 transcript:rna-LATHSAT_LOCUS6008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVDGEQSTQLKMIITPCFQPLMLNFSNELGRTRRHALEILPFQFDLTACVREQIEFMEETMEEKSIVGIDPLSVSLYQIDLDRTLVLFRSYLRIRIFKVNNHRIRG >CAK8576102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:406554858:406555784:1 gene:gene-LATHSAT_LOCUS28317 transcript:rna-LATHSAT_LOCUS28317 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIRTDEERVTEWEKGLPNFHDLTPLSMALIPPELASAFSISPEPHRTLIDVNRASRNTLSILRGGGTNQQTFSSNNDEIIEEDEEMEEETDRDGSGSDSRKHRKIDSATEEADSAVRTETTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNDAPSSSDHLFASTPVPQSLHESASAVNSHSQSNGHGNSHSHHSVTISMPYPPPPPPMMSMPLLGMPPHPHGHMGMALHPPSGSSSYRSHPFNMMHHRDWPPHPHSHSHPHMSPNDSNK >CAK8568566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618026085:618044544:1 gene:gene-LATHSAT_LOCUS21511 transcript:rna-LATHSAT_LOCUS21511 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERKPVYNIDESDDDDFLLKKPGTSQEKFERIVRSDAKEDLCQACGESDGLLSCETCTYAYHSRCLLPPLKGPAPDSWRCPECVSPLTDIDKILDCEMRPTQDSDGDGEGDGDGDTTKSGSKQIFVKQYLVKWKGLSYLHCAWVPEKEFLKAFKNHPRLRTKVNNFHRQMSSANTSDEDFVAIRPEWTTVDRIIACRGDSDEREYLVKWKELSYDECYWESESDISAFQPEIERFNRFRSRSSKLASIKQKSRVNDDTELKKQQKEFQQYEHSPTFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVSKHPHLVVAPLSTLRNWEREFATWAPQMNVIMYVGSAQARSVIREYEFYFPKKIKKLKKKKSGQIVSESKHDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYFTRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHAYMLEGVEPDIDDPKEAFKQLLESSGKLHLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCSYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLVTRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVVDEDTTLDDEEEDGFLKAFKVANFEYVDEAEAAAEAAAEEAAQKKAMETVNSSERTHYWEELLKDKFQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDEDDNYEAELTDGDSNSTGTTSSRRPYKKKARTADNAEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHIAEDITDSSTFTDGVPKEGLRIQDVLVRIAVLLLLRDKVRFASEYPQTPLFSDDILLRYPGLKGVRKWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLKIQEIICQELNLPVINLPGPGQAGSHVQNGATLANAEIPSNESRENGGSDIAADGAQGSSDARNQPQLYPDSSMIYHFRDMQRRQVEFVKKRVLLLEKGLNAEYQKEYFGDSKAGEVTNEELKSELKSTSVPSYKSVDTNTQMIDQLPQVETIAPEDVSVACDSDPNRLELVRLYNEMCKVVEENPMDLVQASLAGEPADVNAVKKLAPLETISENINRILTPTVAETPILNSDYKSEATPQNDCKLDSSADDKPQDMVIECDPIKESCSSLVNENKETPEKKESNTDMDESRAELNVNSDAGVIVLDE >CAK8568565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618026085:618044544:1 gene:gene-LATHSAT_LOCUS21511 transcript:rna-LATHSAT_LOCUS21511-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERKPVYNIDESDDDDFLLKKPGTSQEKFERIVRSDAKEDLCQACGESDGLLSCETCTYAYHSRCLLPPLKGPAPDSWRCPECVSPLTDIDKILDCEMRPTQDSDGDGEGDGDGDTTKSGSKQIFVKQYLVKWKGLSYLHCAWVPEKEFLKAFKNHPRLRTKVNNFHRQMSSANTSDEDFVAIRPEWTTVDRIIACRGDSDEREYLVKWKELSYDECYWESESDISAFQPEIERFNRFRSRSSKLASIKQKSRVNDDTELKKQQKEFQQYEHSPTFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVSKHPHLVVAPLSTLRNWEREFATWAPQMNVIMYVGSAQARSVIREYEFYFPKKIKKLKKKKSGQIVSESKHDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYFTRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHAYMLEGVEPDIDDPKEAFKQLLESSGKLHLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCSYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLVTRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVVDEDTTLDDEEEDGFLKAFKVANFEYVDEAEAAAEAAAEEAAQKKAMETVNSSERTHYWEELLKDKFQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDEDDNYEAELTDGDSNSTGTTSSRRPYKKKARTDNAEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHIAEDITDSSTFTDGVPKEGLRIQDVLVRIAVLLLLRDKVRFASEYPQTPLFSDDILLRYPGLKGVRKWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLKIQEIICQELNLPVINLPGPGQAGSHVQNGATLANAEIPSNESRENGGSDIAADGAQGSSDARNQPQLYPDSSMIYHFRDMQRRQVEFVKKRVLLLEKGLNAEYQKEYFGDSKAGEVTNEELKSELKSTSVPSYKSVDTNTQMIDQLPQVETIAPEDVSVACDSDPNRLELVRLYNEMCKVVEENPMDLVQASLAGEPADVNAVKKLAPLETISENINRILTPTVAETPILNSDYKSEATPQNDCKLDSSADDKPQDMVIECDPIKESCSSLVNENKETPEKKESNTDMDESRAELNVNSDAGVIVLDE >CAK8570123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24493032:24495231:-1 gene:gene-LATHSAT_LOCUS22902 transcript:rna-LATHSAT_LOCUS22902 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTNLDATNEKLIQNGISSSSSSSQHRKGGFRTMPFIIVNECFEKVASYGIMPNMILYLRDDYNMPIPKATTVLYTWSAMSNILSIFGAFLSDSYLGRFNVIFIGSLSSLLGLTILWLTAMIPALKPSDVSSSLVQVFDSATSSQLAVLFVSLGLISIGAGCVRPCSIAFGADQLAVKENSDDGRILDSYFNWYYTSIAVSTIIALSVIAYIQENLGWKVGFGVPAVLMSISAFSFVAGSPYYIKVRPNESLLTNFTRVVVVAIKNRKLSLSDHGFDRYCQGCDSGLLVPTDSLRFLNKACVIRNPERDLNPDGSISNPWNLCTIGQVESLKSLLRVIPMWSTGIFMMATQSSFSTLQAKTMNRRLFGNFNFPAGSLNLIMIFTLSIVIPSYDRIAVPLLAKYTGRPRGFSCKVRIGMGILFVCAAKAIAAIVETVRRNAAIEQGFEDQPNAVINMSALWLVPEFVLLGLAESFTPVGLVEFFYTYFPKSMSSFAMAIFTLELAAADVVSSALVSIVDKVTSIGGNESWLSTNINKGHLNYYYALIAFLGVINYLYYLVICWAYGPEHGEKLEASEGEEDDKFDFR >CAK8544908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711502595:711503344:1 gene:gene-LATHSAT_LOCUS13552 transcript:rna-LATHSAT_LOCUS13552 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLPSSSNSTSSSSQPIHTLVSFPLAQSTRNMLALERVSNENRQEFFYLQNGGGLGLALTENATSFLPTLASNPTFVSAMLALFVTQSTKVFLNFFNNGRWNFRLMLASQGMPSTFSALCSALTTSAAISHGVAGSLFPLSLGFGLIVMCDAVAVKGHLGHQALTVNKLKDIVFQGVPVNQERLQEDVGDTIPQVLTGALLGSTAAILCSLGFMLLR >CAK8538303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476011945:476016360:1 gene:gene-LATHSAT_LOCUS7517 transcript:rna-LATHSAT_LOCUS7517 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILLLVIILTVIFLIHRSTYRRRYNLPPGSLGLPFIGETLQLISAYKTENPEPFIDKRMNRYGPIFTTHVFGEPTVFSADPETNRFILMNEGKLFDCSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIKDHLLLDIDRLIRLNLDSWSDRVLLMEEAKKITFELTVKQLMSFDPGEWSESLRKEYVLVIEGFFTLPLPILSSTYSRAIKARTKVAEALTLIVRQRRKESVMGEKKNDMLGALLASGDHFSDEQIVDFMLALLVAGYETTSTIMTFAVKFLTENPLALAQLKEEHDQIIAKKSCREEPLEWTDYKSMAFTQCVVNETLRMANIISGIFRRAMTDINIKGYTIPKGWKVFASFRAVHLNPDHFKDARTFNPWRWQRKSEATSPGNVYTPFGGGPRLCPGYELARVVLSVFLHRFVTRYSWSPAEEDKLVFFPTTRTQKRYPIIVKPRVESKSCN >CAK8533514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:629484074:629484891:1 gene:gene-LATHSAT_LOCUS3136 transcript:rna-LATHSAT_LOCUS3136 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDCCQFHQAKDGDGWVEYKGSLVFDRPQKVEIRVLSFVRRVKYLTYWNGLYVRSVYSTKQLMVLFVALYVTALGTGGLKSSVPGFGSDQFDATDKQEKK >CAK8531309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100043649:100044725:-1 gene:gene-LATHSAT_LOCUS1111 transcript:rna-LATHSAT_LOCUS1111 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEINNFIMVWTIAASTMCYCHTIGNLISHGTPRLIALFPAIILLFLLPLRLTSIHLGGPSSFFLAWLSTFKLFLFAFNKGPLSTNPPLSLPHFISLASLPIKFQQETDNSNHKKEHKSNSKPSLRYSYATIIIILAFLIPLYRKKENFHPKFVSLLYSLYMYIGLEFFFALASTFTTKLLKVELEPQFDKPYLSTSLQEFWGKRWNITVNRLLHPTVYEPVMTFCSRWIGRKWAPLPAILATFTMSAIMHEVVFYYIKREKRTWEKWEPSWDATCFFILHGVCLAVQVGVKKAFGEKVRLPKVVSWLFTVAFVMYTALWLFVPALVRCRVYEKASRELSALNEFWNDVYHFGMRFF >CAK8535954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894012533:894016293:1 gene:gene-LATHSAT_LOCUS5367 transcript:rna-LATHSAT_LOCUS5367 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGRSVEDIFEDFKSRRAGIIKALTVDVEDFYRQCDPEKENLCLYGLLNEKWEVNLPVEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDTWLLSLAFYFGARFAFDRSDRKRLFNMINELPTIFEIVNGSTKKPAKEKSSVSNNSGSKSKSSSKVRAPETQSRLPKALQPKDEEEGLEENDDDEHGEAMCGACGDNDGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSSNKRAR >CAK8575798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:327883234:327893252:1 gene:gene-LATHSAT_LOCUS28033 transcript:rna-LATHSAT_LOCUS28033 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTHLFNLLLFILLTSHSSFAEIFFEEKFQDGWKSRWVLSDWKRSEGKAGTFKYTAGKWPGDPDDKGIQTYNDAKHFSISAKIPELTNKNRTLVLQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDLCGTQTKKLHVILSYQGQNYPIRKDLECATDKLTHFYTFILRPDASYSVLVDNRERDSGSMYTDWDILPPRKIKDVKAKKPADWDDREYIEDPNDVKPEGYNSIPAEIPDPKAKKPVDWDDEDDGLWKAPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNLEFEDDPDLYVLKPIKYVGIEVWQVKAGSIYDNILICDDPQYAKQAVENFMINNREAEKEAFEEAEKERRAREEEEAQRAKEESEKRRRERGSRHRDKRRPRRPDPHEYMDDYEHDEL >CAK8534678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754677103:754684637:1 gene:gene-LATHSAT_LOCUS4201 transcript:rna-LATHSAT_LOCUS4201 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGHRDKLQQFVTITGASEKVAMQALKASDWHLEGAFDYFYSQPQLRNVTDSRHLEELYNRYKDQYIDMIFADGITQLCNDIQVDPQDIVMLVLSWHMKAGTMCEFSKKEFIEGLQSLGVDSLDKFREKIPYMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFAKTVSSNLTDYDAEGAWPYLIDEFVDYLTENGVDQHGQINDSSLKR >CAK8538584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487714547:487716929:-1 gene:gene-LATHSAT_LOCUS7766 transcript:rna-LATHSAT_LOCUS7766 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPAINVSSLPTSVSSCMMISLPGNSLKFAPLSIRNRKKINKIRATSADGGNVKQTYNSEAKNPLAVVFDIPRRIWKQTLCPLSDFGFGRKSVWEGGVGLFLVSGTILFVLSMAWLRAFQINSKFRKYTAVFEFAQASGISTGTPVRIRGVTVGNVTRVNPSLRSIEAVVEIQDDKTIIPRNSFVEVNQSGLLMETKIDINPRDPIPTPSVGPLHEECAKEGLIVCDREKIKGHQGVSLDEMVGIYTRLGRDVEGIGVVNSYALAERVFAVMEEARPLLTQMKAMALDVQPLLAEIRDSGLLKEVECLTQSLTQASNDLRKVQSSIMTPENTELIHKSVHTLIFTLKNIENITSDMLGFTGDESTKKSLKVLIKNLSRLL >CAK8538968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500536508:500540046:1 gene:gene-LATHSAT_LOCUS8112 transcript:rna-LATHSAT_LOCUS8112 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGISVADGNLMVLGKKVMSHVHDNVLVTPASGGSLLNGAFIGVSSDQKGSRRVFPIGKLEGLRFMSLFRFKMWWMTQRMGNCGEEVPVETQFLLIEANKGCDIDGGINDGEEDRDGSTYAVLLPLLEGDFRAVLQGNDRNEIEICVESGCPDVEEFDGTHLVFIGAGSDPYEVITNAVKTVEKHLKTFCHRERKKMPDMLNWFGWCTWDAFYTNVTSENVKEGLRSFEEGGIPAKFVIIDDGWQSVSMDPNGTEWKADSAANFANRLTHIKENHKFQKDGKEGQRIEDPAMGLFHITNEIKKDHAVKQLYVWHAITGYWGGVKPGISGMEHYESNITFPITSPGVKSNQPDEVLDTMAINGLGLVNPEKVYHFYDELHSYLASSGIDGVKVDVQNVLETLGAGHGGRVKLARKYHQALEASISRNFPDNGIICCMSHNTDGLYSAKRSAVVRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCPIYVSDKPGHHDFNLLKKLVLPDGSILRAKLPGRPTKDCLFVDPARDGKSLLKIWNMNDYSGVVGVFNCQGAGWCKVGKKNLIHNENPGTVTGIIRAKDIDYLSTVADDEWTGDAVIFSHLCGEVFYLPKDVSIPITMKSREYEVFTVVPVKDLPNGVKFAPIGLIKMFNSGGAVKEFGSGFNGNANVSMKVRGCGIFGAYSSARPKLITIDSEEVEFSYEEESGLVTIELSVPEKELYQWNISIDF >CAK8566240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394425528:394441587:-1 gene:gene-LATHSAT_LOCUS19390 transcript:rna-LATHSAT_LOCUS19390 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSNHTHSQSQSNTTIDPNSGFCSHSRIFHSLRPKVPLPPPSLPLSLTEYALSLIPATATENNVTAVIDSTTDNYLTYPTLIRQIKSLASSLQYNTSLSKGHVALILTPTSLHVPVLYFSLLSLGVTIAPANPLSSQSELTHIVNLTKPVIAFATSSTASKIPNLPFGTIIIDSPSFLSMLDSNSEPRRVETSQSDTAAILFSSGTTGRVKGVLLTHRNFIALIGGFCSLKHVYDDGLLEPHRVSLFSLPLFHVFGFFMMVRAMAMGETLVFMERFDFERMLKIVEKYRVVYMPVSPPLVTAFAKSELVKKYDLSSIRLLGSGGAPLGKEVAESFKAKFPSVEIVQGYGLTESGGGAARMIGFDEAKHHGSVGRLAENMEAKIVDPVTGEALSPGQRGELWIRGPTIMKGYIGDDKATIETLDSEGWLKTGDLCYFDSDGFLFIVDRLKELIKYKAHQVPPAELEIILLTNPEIADAAVVPFPDEDAGQIPMAFVVRKPGSNITAAQVMEYVAKQVAPYKKIRRVSFINSIPKSPAGKILRRELVAIALKSGSSKL >CAK8538753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495081198:495083429:-1 gene:gene-LATHSAT_LOCUS7918 transcript:rna-LATHSAT_LOCUS7918 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFISLVDLVLYQLLANSFYRAARKMNTFGLNFEHLSFKPSHPTCSFPSIAKCVVLGRNRMSQTLVCDILGVILKTESFFPFFMLVAFEGGSIFRAFLLLMSCPFLMVCDHEMKIRVMTFITFCGLKIKDMENVSRAVLPKFYLENLDVGVCEILASTGSKVVITSVPRVMVDGFLKEYLSVGDVIATELHAVGGYFTGFVGSSGFVVKTKALKDYFGDRKPDIGIGTSSLNDHAFISSCKEAYVTDIEENKNSPNSTTMTRDKYPKPLIFHDGRLAFLPTPSASFCMFMWLPIGIFLAIYRILLGVLLPYKLALELGVCSGIDLNIKGTVPEKTKPNKGVLFVCTHRTLLDPVFLSTSLAKPLTAVTYSLSKVSEFIAPIKTVRLTRNRKQDGETMQKLLKEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNTNVSMFYGTTASGLKCLDPIFFLMNPKPSYHIQILEKVPKELTCAGGKSSFEVANYIQKQLGEALGFECTNYTRRDKYLMLAGNEGIVQQDDGKKCY >CAK8562583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512090346:512091347:-1 gene:gene-LATHSAT_LOCUS16069 transcript:rna-LATHSAT_LOCUS16069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAFNFDDISYFNSFSETSTPESTNSSHNNNNKRFHSESTQNNSFPIESPDQSVASATPPTKLQLKASSSSKIISFDNMDARIKKPKTESGYGENLNFGSEYDNLENKAATTIPNRNPIQARDHVIAERKRRQKLNQKFITLSSILPGLKKMDKATILEDAIIHLKQLKERVESLEEQVADTKVESAVFVKRSILFANDDSSSSFDENSDQSLPKIEARVSGKHMLIRIHCEKHHGRSATAILNKLEKHHLTIQSSSVLPFGNKYIDITIVAEVNKEYCLTIKDLIRSINQVLKQLI >CAK8562582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512090346:512091374:-1 gene:gene-LATHSAT_LOCUS16069 transcript:rna-LATHSAT_LOCUS16069 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSLFQEMDSFAFNFDDISYFNSFSETSTPESTNSSHNNNNKRFHSESTQNNSFPIESPDQSVASATPPTKLQLKASSSSKIISFDNMDARIKKPKTESGYGENLNFGSEYDNLENKAATTIPNRNPIQARDHVIAERKRRQKLNQKFITLSSILPGLKKMDKATILEDAIIHLKQLKERVESLEEQVADTKVESAVFVKRSILFANDDSSSSFDENSDQSLPKIEARVSGKHMLIRIHCEKHHGRSATAILNKLEKHHLTIQSSSVLPFGNKYIDITIVAEVNKEYCLTIKDLIRSINQVLKQLI >CAK8571536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435699287:435701866:-1 gene:gene-LATHSAT_LOCUS24187 transcript:rna-LATHSAT_LOCUS24187 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGSSNTKKKIKKKLDEVEDMRDAIKANPGKLKKNSSVSSRSSSKNGDSEHIAAQTFPFRELAAATRNFRAECLLGEGGFGRVYKGHLESVNQTVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLYDVSLGKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKCAAEQNLVAWARPLFKDRRKFTQMADPMLQGQYPSRGIYQALAVAAMCVQEQANMRPVIADVVTALSYLASQNFQPNTQTVQSSRLGSGTPPRSRRGV >CAK8530681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40511392:40511691:-1 gene:gene-LATHSAT_LOCUS527 transcript:rna-LATHSAT_LOCUS527 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLITVCIIVLSTFAYPSMSRMLFESSVIKAHQQWMKKYGITYTNSSEMEKRLQIFKENLEYIEKFNNGGKKSYTLGLNPYSDLTTEEFLASYTGFKP >CAK8561547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:288597538:288597780:-1 gene:gene-LATHSAT_LOCUS15128 transcript:rna-LATHSAT_LOCUS15128 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDYQTTNDVVESVMTNMLLKDLNELLNLHGKKIEDYDLPPLPLDTIQGDSIPSVIQEELAVNIPNEDIESVVNLIMTK >CAK8577281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551525907:551529173:-1 gene:gene-LATHSAT_LOCUS29402 transcript:rna-LATHSAT_LOCUS29402 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNGEWPGGGFSPGPMTLISSMFGDNDDCKTFSELLAGTMLDVEHGGGRGGGFSPLAMFSSSPQQPLAQAYSNVQIQSEHSFSSSIVPTSTSLTQLQAVTFNNIAQQHIPNSQEPIAKSVDCSSNLEQTLQQSCSVNVDKANDDGYNWRKYGQKQVKGCEFPRSYYKCTHLSCPVKKKVERDLVDGHVTQIIYKGEHIHERPRPSKLTEDNSNVQQELSGASDSDEEKDHETEKNCEPDRKRRSAVSKPRIIVQTTSDVDLLEDGYKWRKYGQKVVKGNPHPRSYYKCTSPGCNVRKHIERVSTDPKAVMTTYEGKHNHDVPAAKTNSHTIANNNNASQLKSQNAIREIHDFNRRGQQQASAVARLRLKEEHMA >CAK8560888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52508477:52509085:-1 gene:gene-LATHSAT_LOCUS14528 transcript:rna-LATHSAT_LOCUS14528 gene_biotype:protein_coding transcript_biotype:protein_coding MITKTKHAHKEKTVRDCNSKLPLVSDAAKAELDSVEETNIPHKKIIDCLTSCIQVAHINDILQKQKDLMHIYAAFLLPEYKWTVKTTAFLSIKELCSRLHNVIKDSQGSNEHASVTSLVQEMFHSISPKVLHCISTIKITQVHVSASECLLEIMKLSVAVPSVIAINEEFKEELLHQYEIEKNEGEKSLLRMCVNILQDWKR >CAK8560889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52508477:52508626:-1 gene:gene-LATHSAT_LOCUS14528 transcript:rna-LATHSAT_LOCUS14528-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVAVPSVIAINEEFKEELLHQYEIEKNEGEKSLLRMCVNILQDWKR >CAK8565948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357623248:357625733:1 gene:gene-LATHSAT_LOCUS19121 transcript:rna-LATHSAT_LOCUS19121 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSSLFYLLFFTLTLQWHIASSAILYSLKHHHNNPHHQRPMIHANQTNCALFVGTWIPDDTYPFYQSLNCPMIDPQFNCKMFGRPDTNYLKYRWRPLNCELPRFNGVQFLMGMKGKSIMFVGDSLGRNQWESLICMIYTAVPQSQTQLVRGEILSTFRFLDYGVTISFHKAPYLVEIDVGQGKRVLKLEEVDGNGDAWKDADILSFNSGHWWTHQGSLKGWDYIELGGKYYQDMDRLEAMERGLKTWANWVDTNIDQRRTKVFFLGISPTHTNPNEWIYGTTAETSKNCYGETAPISVTGTSYPGLFPEQMKVVDMVIRDMNNPVYLLDITMLSAFRKDAHPSVYSGDLSPQQRANPIYSDCSHWCLPGLPDTWNELFYTILFY >CAK8533044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570076438:570087195:1 gene:gene-LATHSAT_LOCUS2696 transcript:rna-LATHSAT_LOCUS2696 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKQEEKIEKIIRGLMKLPPNRRCINCNSLGPQYACTTFWTFICITCSGIHREFTHRVKSVSMSKFTLQEVDALQNGGNQRAREIYLKNWDFQKQRLPDSSNVDKVREFIKNVYVDKLYAGTKSSERPPRDAQSPTIHDDEIRRASSYHSYSQSPPYDNQYEDRRYGKQAGALTRKPGSDKVRYEGKISSIIYSPGRFSDNAYDERFANERSGPRNSDFSASSGQEQFKSDVQSPQFRKDVEFNSPSHKRPGSSSSEDVWTQAKNAALESNAAAKRDADGIRHPQRTTSLQPTDSNFSTLRSYNSGSSIDFFSEAVQSSGSLQDKASGTSLPSGPARTVSLDLSKAPVASASSVVLSQTAAPSQAPLGDLFQLSDMASATSFKGNQPTQTSQVASIDFFVETTATSDAKSADMSIPKNEGWATFDTPQFTPATAQVETRATVPLSAESLQDRFDPFSTVNDNMHWPSFEISSVGVPSVTSDVWHGGVWNAEKQVPVVATDTQTWNAFEDSGTHFPVDDTSQGLNLHCFPPQILGLSASEGPNKDGIQGVAPIGGVDNHVIPSQGDIQPNGISRKSTNPFDFPFDSDEEQSNMFLDLGSLQAALPDALLPATFGVIPEPWLPQNTATPYISSAGEGGLSFMAVQTPNSHLQNIQAQEPVASIGRNPFA >CAK8563387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599133817:599135828:1 gene:gene-LATHSAT_LOCUS16806 transcript:rna-LATHSAT_LOCUS16806 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPTGAGGLMRQRHSQGYASSGDDLEDDACSRQRSFLPPSPPPPRTWIEMLENFLWLASAVFIIYYGDQHSNFIYLMCHDDRIRRLPLYLGMIGVGLNVLIFVYTTILAWSVRRFDEKWGLKKWEITSITVLPFATVFGIISFCLFSFALWPIWSFLTIPLLFTLFMACMVVIPYLIFGTLRPQYDELRTD >CAK8576752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510966139:510966762:-1 gene:gene-LATHSAT_LOCUS28921 transcript:rna-LATHSAT_LOCUS28921 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLSGKVKWFNDQKGFGFITPDDGTEELFVHQSQIQTDGFRSLAEGESVEYQVESDTDGRSKAVQVTGPDGASVQGSKRGGGGGGYGGGGGYGGGGGYNSGGGGGYGGSRGGGGYGGGGGGYGGGGGGYGGGGRGGGRGGGGGGGACYNCGESGHMARDCSQSGSGGGGGGRYGGGGGGGGGGGGSCYSCGESGHFARDCPTSTR >CAK8561472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241095763:241097619:-1 gene:gene-LATHSAT_LOCUS15060 transcript:rna-LATHSAT_LOCUS15060 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGPHLKLKSGQVITVQMDSVIIRSANPYLATPKSTIHGHYGEILSQGDILVTFLYEKSRSSDITQGLPKVEQIFEIRSIASISMNLKKRIDAWNECITKILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVHIDNRHIEIIVRQITSKVLVSEDGIFNVFLPGELIGLLRAERTGRALEEAICYRALLLGVTKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRIMHQMLKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKTARFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTETRLRKFRDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPHIVIILDQEEEYKALQECITLGIPTICVIDTNCDPDLADLSIPANDDTMPSIGFILNKLVFAICEGRSS >CAK8579297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690182408:690184442:1 gene:gene-LATHSAT_LOCUS31252 transcript:rna-LATHSAT_LOCUS31252 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRVSCFVVCLLCLWKGNCEPVEDKEVLLDFVSKFPPSRTLNWNESFSVCDNWTGVTCNEDRSRVIAIRLPGVGFHGNIPPGTISNLSELQILSLRSNFITGFFPSDFSNLKNLSFLYLQFNNLSGFLPDFSVWKNLTVVNLSSNRFNGTIPFSLSNLTQLSGLNLANNYLSGDIPDLNSSRLQMLNLSNNNLHGAVPRSLWRFPDSAFVGNNVTLGNYSVVPPVPSPVYVTSSTSGKRGRLSEAALLGIIVVGSFLGLLAFGLLMFVCCCARKKDEDDYDDDAFVGKSNKGKMSPEKAVSRNMDANNKLTFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATAVVVKRLKEVAFGKKDFEQYMEIVGSLKHENLVELKAYYYSKDEKLMVYDYYSQGSVSSLLHGKRGEEKVPLDWDTRLRIALGAARGIAHIHVENSGKLVHGNIKSSNIFLNTKQYGCISDLGLASISTSLALPISRAAGYRAPEVTDTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEFVHLVRWVHSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMSEVVKMIENVRQIDNTQTRASSANQAEVRLSSQSETDNENINSTSSPLPKGSE >CAK8574319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674835855:674844642:-1 gene:gene-LATHSAT_LOCUS26674 transcript:rna-LATHSAT_LOCUS26674 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHVQPLTPVSEVSEPPESPSPYMDIGGETSASQQMEAEEEMEEMEDIEPPPAAVPFSGLFACADKFDWFLMVVGSIAAAAHGTALVVYLHYFAKVIQVPQQEDQFHRFKELALTIVYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVRVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIAFINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLSAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSSFDHDGSAPVAVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDTTADQIEEAAKIAHAHTFISSLDKGYDTQIGRAGLTLTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELLTLGGLYAELLRCEEATKLPKRMPARNYKKTAAFQIEKDSSESHSCKEPSSPRMMKSPSLQRISTVFRPPDGFFNLQESPHVQSPPPEKMMENGQSLDSTEKEPSIKRQDSFEMRLPELPKIDVHSVHRQTSNGSDPESPISPLLTSDPKNERSHSQTFSRPDSYSDEFSVKMNETKDSRHRHQPSFWRLAELSFAEWLYAVLGSIGAAIFGAFNPILAYVIGLVVTTYYRIDGTHHLRGEIDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEIGWYDEEENSADNLSMRLANDATFVRAAFSNRLSIFIQDIAAVIVAFLIGVSLHWRIALVALATLPILCVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLNKIFKQSFLHGLAIGFAFGFSQFLLFACNALLLWYTAICIKNSYVDAPTALKEYIVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDESSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVSGGQTIAVVGVSGSGKSTIISLMERYYDPVAGQVLLDGRDLKLYNLKWLRSHLGLVQQEPVIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLL >CAK8535807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882960146:882962167:-1 gene:gene-LATHSAT_LOCUS5229 transcript:rna-LATHSAT_LOCUS5229 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAINRLRQFYMRKVKYTQTNFHEKLSTIIDEFPRLGDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVVKRIGPSLAYLEQVRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYTIAQQAALFQSIKSLFLNKPLIVVCNKTDLQPLDGLSEEDMKLVTEMKAEALKTAIGQGGEGTEADVLLTMSALTEEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAVPKARDQKERPVCIPPAVLEAKAKQAAAEEKRKTEKDLEEENGGAGVYSMNLRKHYILADDEWKEDILPEILDGHNVYDFIDPDILHRVEELEREEGLRQAEAEDDDFEIDGTELTPEQQEALTEIRRKKSLLIQQHRIKKSTAESRPIVARKFDKDNQFTTERMGRQLSSLGIDPSLAVNRMRSRSASRKGRKRERSPEGRNDGMDIDDDTPSKKQRTSRSMSRSRSVSRPPHEVVPGEGLRDSAQKIKAIGLARKSVKKRNKNAKRGEADRVIPNLKPKHLYAGKRPKGKTDRR >CAK8567694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537038763:537039950:-1 gene:gene-LATHSAT_LOCUS20722 transcript:rna-LATHSAT_LOCUS20722 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELGCIYATLILHDDGIPITAEKISTILKAADVTVESYWPSLFAKLAQSKNVDDLVLNSGAAGGPAVVSAGGAAPAAGGAAAAEAPVEAKKEEAKEESDDDMGFSLFD >CAK8569850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13670424:13671377:1 gene:gene-LATHSAT_LOCUS22659 transcript:rna-LATHSAT_LOCUS22659 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSLSSSPTFWAALYSWFTPTVFFLLLQLVIATIYITSTLANASHKHHHQQQQQQQQDPNFPQQQLFRSPSVLQRLKSINLYSYQNYYRSQHEEHHTPQPQPYQHEQHHTPQPQPYQHEQHHTPQPQTYENQIHVPQLARSPSVLQRLKSINLYSYFPTQPFTSKLENTKVEVKESEEDDVLGEIRDSLGGNEEEEGHHVSLEEVFMKLQGQGGNFARTHSDTKPDSGEVPVKLPRKMKKSASNKSAFSHFKEDDIVESRRPATVKEAKAAAMDEDELVDSKADDFINKFKQQLKLQRIDSIMRYKDMVNKGISK >CAK8569851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13670424:13671377:1 gene:gene-LATHSAT_LOCUS22659 transcript:rna-LATHSAT_LOCUS22659-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSLSSSPTFWAALYSWFTPTVFFLLLQLVIATIYITSTLANASHKHHHQQQQQQQQDPNFPQQQLFRSPSVLQRLKSINLYSYQNYYRSQHEEHHTPQPQPYQHEQPQTYENQIHVPQLARSPSVLQRLKSINLYSYFPTQPFTSKLENTKVEVKESEEDDVLGEIRDSLGGNEEEEGHHVSLEEVFMKLQGQGGNFARTHSDTKPDSGEVPVKLPRKMKKSASNKSAFSHFKEDDIVESRRPATVKEAKAAAMDEDELVDSKADDFINKFKQQLKLQRIDSIMRYKDMVNKGISK >CAK8539702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522398221:522405290:1 gene:gene-LATHSAT_LOCUS8776 transcript:rna-LATHSAT_LOCUS8776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSPPPPSRSSSSRSEPPVDTSTTKMEDTGPAVKEVLSVIESLKKQVAAKRVVSVKNRVEENRQKLAGITNQLWKSAAERKTCDVADTDKSLDLLTKRQQEAIDMHNGVCAGNDDGESNGNHGDDHGSTAVLLGSNVAVKNAVRPIKLPEVRRLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEELIDEEEEKREFVEPEDFILRMTIREFGLSETVLESLAHCFSRKTSDIKARYETFFNEDNAGGDSKTGDAQDTSQSDNSFLEKDLEAALDSFDNLFCRRCRVFDCRLHGCSQDLVFPAERQPPWIPPNTENAPCGPNCFRSVLKAEKMSKVTSSTQTDVEDKSSGSVLSRKKSFGRRRIKCSQSESASSNAKNISESSDSENGPGRDAISASHSAPPKAKPVGKSGKGKRNSKRVAERVLVCMQKRQKKTVASDSDSISEAPDRPLNDLVSEPHVMSGEDNTRKEEFVDENISKQEMTDNKSWKALEKGLLEKGMEIFGKNSCLIARNLFNGLRTCWDVFRYINCEEGKLSGSTGDAVSSLVEGYSKGNNDVRRRSKFLRRRGKVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCGCQSACGKECPCLQNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGIPSQRGDNYECRNMKLLLKQQQKVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPVPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPVWARKPEAPGSKKEDGAPSSGRAKKLA >CAK8539701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522398221:522405290:1 gene:gene-LATHSAT_LOCUS8776 transcript:rna-LATHSAT_LOCUS8776 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSPPPPSRSSSSRSEPPVDTSTTKMEDTGPAVKEVLSVIESLKKQVAAKRVVSVKNRVEENRQKLAGITNQLWKSAAERKTCDVADTDKSLDLLTKRQQEAIDMHNGVCAGNDDGESNGNHGDDHGSTAVLLGSNVAVKNAVRPIKLPEVRRLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEELIDEEEEKREFVEPEDFILRMTIREFGLSETVLESLAHCFSRKTSDIKARYETFFNEDNAGGDSKTGDAQDTSQSDNSFLEKDLEAALDSFDNLFCRRCRVFDCRLHGCSQDLVFPAERQPPWIPPNTENAPCGPNCFRSVLKAEKMSKVTSSTQTDVEDKSSGSVLSRKKSFGRRRIKCSQSESASSNAKNISESSDSENGPGRDAISASHSAPPKAKPVGKSGKGKRNSKRVAERVLVCMQKRQKKTVASDSDSISEAPDRPLNDLVSEPHVMSGEDNTRKEEFVDENISKQEMTDNKSWKALEKGLLEKGMEIFGKNSCLIARNLFNGLRTCWDVFRYINCEEGKLSGSTGDAVSSLVEGYSKGNNDVRRRSKFLRRRGKVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCGCQSACGKECPCLQNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSSCGDGTLGIPSQRGDNYECRNMKLLLKQQQKVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPVPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPVWARKPEAPGSKKEDGAPSSGRAKKLA >CAK8576139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:414495006:414495761:1 gene:gene-LATHSAT_LOCUS28351 transcript:rna-LATHSAT_LOCUS28351 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLTEQDAAHWVYRGEGAANVVVSYTGSSPSYIGKVMCIHKSPRKASASPGLRNTIALSPHERLIWKEIHELISSSDKEIAGQLYIDHVMKPLLGSKYVDAETHILVTKKFLETVEENIDSQRPAWRIDVSQVDKQCDFTLLISDHSIFPQGSQGSNHSISVEIKPKCGFLPLSTFISEGTAIKKNMLCTVQERLLCYVCFWRKISLFNMMTFKTMTTFMPPPPAIHPGLSVFWPNSFTFYTHQTSDTS >CAK8560303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13712618:13715191:-1 gene:gene-LATHSAT_LOCUS13987 transcript:rna-LATHSAT_LOCUS13987 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGAAGNGSSPKLYAHKPRKAQLKQFRGQQKPKEFSSPPVMGAQTATLPPPKESFIRRYRFLWPMLLAVNLGVGVYLFARTSTKKKDAVEEEKDAANQIPANGTASLVSVNGTSTPISVKDALALLAEQSVSPPSYTNPAIKREPIPEGQQRELFKWILEEKRKIKPKDEEEKKRIEEEKALLKNLIRSKSIPSI >CAK8568852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647804484:647807975:1 gene:gene-LATHSAT_LOCUS21767 transcript:rna-LATHSAT_LOCUS21767 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLTLFPSPKTSFKMPATIPFPSPNIRHKMPATMLFPSPNTTLKMRATKVNRTITAQMGPKEFTRTETAQTSAIQLNITIPETVIKMDENEAINIETEFQSEFLEMLSNRRALQVPLWAERAKPVTNPCYPTTTQLEIMQSCPRKDIPNFHELLVEENLYLNVEYGDQGKLPMLILSLKECDTKLRPAVVFNHSTDTCKEYMRPLLEAYASRGYIAIAVDSRYHGERGKGANAYQEALIYAWKTGCKMPFIYDTVWDLIKLADYLTTQRKDIDPNRIGITGVSLGGMHSWFAAAADPRYSVVVPIIAVQGFRWAIDNHKWQPRVDSIRPVFEVASKDLCQKTIDKEVVEKVWDRVAPGLTSRFDSPYSIPAIAPRPLLILNGAEDPRTPWEGVEELLYNVAEMYAAFQRPHHYNFYAEPEVEHEWTAFQVKESAAWFDNFLKP >CAK8568853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647804745:647807975:1 gene:gene-LATHSAT_LOCUS21767 transcript:rna-LATHSAT_LOCUS21767-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEAINIETEFQSEFLEMLSNRRALQVPLWAERAKPVTNPCYPTTTQLEIMQSCPRKDIPNFHELLVEENLYLNVEYGDQGKLPMLILSLKECDTKLRPAVVFNHSTDTCKEYMRPLLEAYASRGYIAIAVDSRYHGERGKGANAYQEALIYAWKTGCKMPFIYDTVWDLIKLADYLTTQRKDIDPNRIGITGVSLGGMHSWFAAAADPRYSVVVPIIAVQGFRWAIDNHKWQPRVDSIRPVFEVASKDLCQKTIDKEVVEKVWDRVAPGLTSRFDSPYSIPAIAPRPLLILNGAEDPRTPWEGVEELLYNVAEMYAAFQRPHHYNFYAEPEVEHEWTAFQVKESAAWFDNFLKP >CAK8534030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:682729632:682730618:-1 gene:gene-LATHSAT_LOCUS3606 transcript:rna-LATHSAT_LOCUS3606 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLLKPTYFSKPSTPFSYFHLSTRGSSFSFNPISSFSQKSNLSLKVQAASIGSVPIMKRIDGSENLTA >CAK8537586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407040554:407040841:1 gene:gene-LATHSAT_LOCUS6869 transcript:rna-LATHSAT_LOCUS6869 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGRPRRSNCSLEKRPQRRTGPKSPGREPERVRAPLCSDPVAPRGAVGESGCLGMQPQSGGKFRPRLNIGERPIANKYCEGKMKRTLKRESKSA >CAK8563503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611040670:611041932:1 gene:gene-LATHSAT_LOCUS16903 transcript:rna-LATHSAT_LOCUS16903 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKRKAKNNSDDKNQNNNLFELISDVTTTAQSFLSQNDLTLLPSQSLLLDSLISSTSHSLSTLLKPSHPLSLSSPQPQTSWFRRFISSTSISDSRWLDAFRMSNPSFFHLLDLLSPSIASSFPQISPDCTLAAAIFRLAHGAGYTSVARRFGISPADACRAFFTVCKAVNDNLGNLFELRSDSNRIVAGFGFNSLPNCFGVLGLAGFGIEDEIPGKKLFLMVQALVDAEGRFLDVSSGWPSSMKPETILQESKLYHDIEESRDLLQGSSYKLSDGSLIPQYILGDSCFPLLSWLLTPYNRVNEEDSFSSAENAFNCAHNRAMGLFGDAIGRLRTRWQLLSDSRKWKRDCVEYLPFVIVTSCLLHNFLIKCNDPLLREKNGSCVEKEGDFVVSEGVVDEGAVRIRDALALHLSRVSIKR >CAK8572900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570386421:570386867:1 gene:gene-LATHSAT_LOCUS25406 transcript:rna-LATHSAT_LOCUS25406 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSTVSYRFNINRDYSYVLPARRGIRQWDPISPLLFVIMMRCMNIIMVKIHKDPNFNHHAKCEKLALTHPTFVDDVLLFSRGDQKSMEMINGAFKKFYESTWLIVNPSKCKIYYGGVDNTTKEALLNVIGFEEGQLPVRYLGLPLS >CAK8530653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38037385:38038568:-1 gene:gene-LATHSAT_LOCUS501 transcript:rna-LATHSAT_LOCUS501 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDWDDIVKITEKLTGKNEFQGIGLVNFNKTEISHWKHNFQDATHVVLHLEHAANNVTWESLYPEWIDEEEETEVPVFPSLPSLVPPGMRLNVIVVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPIYVLFITDCFPIPNLFTCKELVGRQGNVWLYRPNLSILREKVHLPVGSCELALPMRGRELAYNGNAPREAYVTILHSAHVYVCGAIVAAQSIRLSGSTRDLVILVDKTISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGLPKITATGKLKTLTWGGMPWHLQDRQYPFQLQIFCDT >CAK8544133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666242082:666242765:-1 gene:gene-LATHSAT_LOCUS12836 transcript:rna-LATHSAT_LOCUS12836 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKQQLNGAYYGPSIPPPRSHYRPSRSGGFDCCCGCLFNLIFKLILTVIIIIGIAIFLFWLIVRPNVVKVHVTDASLTQFNFTTNNNLNYDLSLNMTIRNPNKRLGIYYDYIEARALYHDARVDSVFLDPFYQGHKTTNLLNPSFKGSKVVVLHGDQSLDFSKEKDSKVYEIDVKIYLRIRFKLGVLKTRTIKPKITCELRVPLKSGGGGSTVDGGFQTTKCDWDR >CAK8579040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669050126:669056014:-1 gene:gene-LATHSAT_LOCUS31015 transcript:rna-LATHSAT_LOCUS31015 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLLPNSNEEFCTMEEDAEISDLQLTYYVRDALLEVSDGRENYEELVGCLQPKRNLNSHDAAQLVTILKALAGVVSYIDSVHHGALIFALERMSLWNLATTYKNYDATDIMDALIELLVSLAASKGMYIDWCLERLVRHFTAPKHVIDFLKNENGADRKNKVLSRVHDALKQIADLVPLAPMRLSPIVVQNIPKRYDVTEHEIVMYVENMLKLESGAIGEIVGSTMLPALVDKLIELDVEIGLDGNMHPDAKCIFEMELEDIVNFADDDENYNNMCASELLSMKKLQGNKVVEKLDSLIVLTFLHLESCQSSGRLSEVFDILLMSFMRTVLNTYKSKFTQFVMFYACALDPELSGVKFAIALRDMFESPVNPPITRMSAVAYLASYLSRAKFLSSALVADIIQRLVDWCFAYCKIHDLDMNPQAHQVFYSGCQAIMYILCFRMKSLVDVPRLRMQLIKMPMLLLWKHKLNPLKVCLPSVVEEFLKQAKAARLFMSAELFVFEDLLEADLSKAFGGMDRLDMFFPFDPCLLKKSESYLRPHFVRWSRVRTTYGDEEDSDSGSEASDDEFVDLNAKDMNNDDDDMIGSVGAGLDFDADLNKMSITPKSFKYGFKEQMKRGHENGIES >CAK8537739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425961709:425963727:1 gene:gene-LATHSAT_LOCUS7000 transcript:rna-LATHSAT_LOCUS7000 gene_biotype:protein_coding transcript_biotype:protein_coding MASISHFLLIFTSFLNLAFSSSEVPINVTKHFSFKDFSFTNNSRLVHDLKLLGSAKFSNEKGSLQIPNESQETGIRHQAGRGLYSFPIRLLDPITKTPASFRTTFSFQLNNSTTGASDLSDNGGGSGLTFIIVPDEFTVGRPGPWLAMLNDACESDYKAVAVEFDTRMNPEFGDPNDNHVGINLGSIVSTKVINVSDVGVSLKDGFVHHAWIDYDGPRRRMDVRLGLPNDEVYPSKPIFSEFMDLSPYLNEYMFVGFSASTGNHTQIHNILSWNFTSISQAFLRYPSSETCQGKILLQNTTETTEATENSNRNKTPRSFLIFVASVVLALAVLIGFYFISKHRKSASKSKTSIEDEIHRPRPPNKPRRFSFSALSSATRSFSEIEILGSDIRGVYYRGKLGTNGSQVAVKRFSAQFLSTHGSDKKRLLKEIKVISHVRHPNLLPIRGWCQDNNEIIAVYDFVPNGSLDKWLFGAGVLPWTRRFKVVKDVADGLSFLHSKQLAHKNVKCSSVFLDVSFRAVLGDFGFVLMGAESKQFESIVCNSADVFEFGVIVLEVIGGRPRVEEVEEGRSEERNLLDFAWNLHETNEKVKLVDRRMGSLINLEQAIRVMEIGLLCTLNENKGRPSMEEVVELLHNMEKPLPELPRTRPVTLFPYNSANTGLCNNYSCTLKL >CAK8571326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:379325865:379326173:-1 gene:gene-LATHSAT_LOCUS23998 transcript:rna-LATHSAT_LOCUS23998 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPILSRSHESHQAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVAGTLMIEPVAVRIKIPSY >CAK8543332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600603715:600605421:-1 gene:gene-LATHSAT_LOCUS12096 transcript:rna-LATHSAT_LOCUS12096 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLRKLRLTFTFFNLKPSLNPRFHSTTKTSLQLHPKPKLPSKSTIKEAQSALLDYLHSTRSLQFLDADNMCKNSPFFIQTLVEKTLKNEKLVNPKRLISRYLRYHPINEFEPFFESLGLKPCEYAPLLPRDLIFLNDDPLMMENYHTLCNYGVPRSKMGKIFKLAPEVFRFENGVLNSKIKAYEKLGISSSILVNAVAVSPGLLVGDVDVDFVEVVDMLKDIVAKGGDGGDVDSGWIKWRFLDEASCNWGLMLELLCLLSNAGFSKEQLAGIIRRSPCVVFEESGGRTLSMIGFLTKFGLSVNQIALVFLELPQIRMVKFLANLRVCFQLLTEIEMEAAEIGKIFQFHTLFIGSYTLKTTKSLLGCLNVGKKRLCAIVQENPHEMKNWILGRRVKPMVSLREEEEEKSKAGKTEFLLRLGYVENSKQMNTAFKVFRGKGAELQERFDFIVNAGLTRDDVCRMIRVSPQILNQTTDRVKMKIEYLIKKGYSVSDLVTFPSYLSYKSLRVKHRLSMYNWLIENGAVEPGLALSTIIACTDRIFLQSYVNRHPSGLKVWEDLQKEIHSED >CAK8561403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:199246159:199246590:1 gene:gene-LATHSAT_LOCUS15001 transcript:rna-LATHSAT_LOCUS15001 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCKPVVGSQVAILYTGLYLVALGTSGIKAVLPALGADQFDDKDPKEASQLSSFFNWFLFSLTTGAIVGVTVIVWISTNQGWYWSFIVCTIAVLFSILFICMGKSLYRNNTPKGSPLIRIIQVNKVTIIVFYFFYMIQNVIV >CAK8561099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93602095:93605787:-1 gene:gene-LATHSAT_LOCUS14720 transcript:rna-LATHSAT_LOCUS14720 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLVGSALLSAFLQVTFEKLASEIEAYFQGRKLNGKLLKRLNITLLSINAVVDDAEQKQIKDRHVKTWLDAVKDAVFEAEDILDEIDIEVSRCKLEAEPPSRTSKVWNFFNASSSSFDKEFEYRLQEIFNNIDYLASKTDILGLKKTGTSSSFSVVSDSHVLRKLPSTSLLVDSHIYGRDVDKETICNWLTSDVEIDNYQLSVVSIVGMGGMGKTMIAQYLYNDPEMEGKFDVKAWTCVSEEFDVFKVTRAILEGITGSMDDSRDLNMVQERLKEKLTAKRFLLVLDDLWNERRDQWEALQIPFNFGAQGSKILVTTRSLKVASTTRSSRIHQLEQLKKEHCWKLFSKHAFLDDNPLIDSDFKEIAKEIIEKCQGLPLSLKTIGSLLYRKSSLLEWEGILASKIWDLREEESNIIPAFILSYHHLPSHLKRCFAFCALFPKKFVFENVHLVLFWMAENFLQCPHQNMSMEEVGEQYFNELFWRSFFQISRQYEMDFIMHDLLNDLTKYACGDFYFTFNDEESHILRNTFKPSKVFETLPNANRLRTYLPLSTCDTSSNYRMSSTLMQELFSKFKFFRVLSLSGCSFENELPDSIGNLKHLRYLDLSFTFIEKLPDSVCSLYNLQILKLRNCWCLKELPLNLHKLTNLQYLDFSGTIVRKMPRDMEKLKNLHVLSSFYVEKGSEANIKQLGELNLHEKFSISNLQNIINPSHSKAANFKNKIHFVKLELEWNAKRDDSEKERELFEKLQAFKKLRELSINSYGGTRFPEWFGNSNSFFNVVSLKLSNCENIVLLPPLGILPSLKKLWITGLSGIVVIGSAFYGNGSSSFDIIPFVSLLTLSFKDMKGWEKWDCKTVSGAFPCLQKLSIQNCPNLKECLPVQLPCLMKLEISYCQRLVTSVSFAPAIHKLELFNCGKLQLDYHPAALQILKIGGNCMGEMLPEWIGHTLSHAPLVSLEITDFPTLTIPLGCCYNFLRYLGIDGSCDSLRTFPLDFFPELQSLSIVNCSSLEMILQECDHRLSRLEIFDCPKFVSFPKGGFFAPNLKYFEICELENLKSLPECMNTLFPSLTNLTIEKCSQLESFPDGGLPSSLEYLHLNTCSKLLVTSLKRALGINTSLIYLHIGKVDLVSFPDQGFLPLSLTSLYISDCVNLKKLDYRGLCHPSSLEELHLADCHNLQCLPVEGLPKSISTLQITNCFLLKQQCMKPNGEDWGKISHIQSVKIDYILMT >CAK8540854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25708300:25711854:1 gene:gene-LATHSAT_LOCUS9818 transcript:rna-LATHSAT_LOCUS9818 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVESVVSFTIERLADLLIEEASLLRGVSGQIKKLQTELKRMQCFLRDAERKQNERGEILKNWISDIRKLAYDAEDVIESYAFSVSVSSAMISFSKLKHVHEVGKKILSINYQVADLTRSLQTYGLTATTRDNEESHFVFETQKQLRWSYSHAVEEFIVGLDADIKDIVEWLVNQDRNCRVVYICGMGGLGKTTLAKTVYHYNSIRRHFEGFAWAYISQKCNRREVWEGILLQLTTSSSKEERDEIRNMRDEELAETLYKVQQEKKCLIVLDDIWSNETWDILSPALPSENTKSKIVFTSRNKSISSHVDPKGLLHEPGFLNAEDSWALFQKKTFPSKDDPEFTICNEFERLGRDMVAKCSGLPLAIIVLGGLLATKETVNEWEMIHKHITSYLIRGEDVLERQSRITEVLDLSYHDLPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAEGFVSSHYEIGRDETMEDIAERYLGSLISRCMVQVGQIGSTGKIKTFRLHDLMRDMCLSKARKEHFLCVIGRPQQKSSGISNVSSSSNISFDARKSDEVRRLAFFLDQHVDNLSPPDEQVNQHLRSLVYFHDKKCRVESWKRVKAVFENFKLLRVLDLEGVKGPKGQILPKEVGNLFWLKFLSLKRTCIQILPPSLGKLENLQSLNLQTINKVSWDSTVEIPNILWKLKRLRHLYLPNWCENVSGILQLENLINLRTLVNFPASRCDVKDLLKLKKLKKLVLNDPRYFQNFAESFSLCNKKLEYLESLSLKTDLLSFPDQVIDVEKLVLGCPSLHKLHVEGRLERLPDARLFPPQLSKLTLSGCKLVEDPMVTLEKLPNLKYLSVWEMFVGKKMVCSSNGFPKLKILAIRGFSNLEEWVVEDQSMPCLYRLSISDCNKLKSVPDGLKFVDGLRELEIRWMPKSFKNRLGVAGEDYHKVQHVPSVVFFN >CAK8540855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25708306:25711854:1 gene:gene-LATHSAT_LOCUS9818 transcript:rna-LATHSAT_LOCUS9818-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVVSFTIERLADLLIEEASLLRGVSGQIKKLQTELKRMQCFLRDAERKQNERGEILKNWISDIRKLAYDAEDVIESYAFSVSVSSAMISFSKLKHVHEVGKKILSINYQVADLTRSLQTYGLTATTRDNEESHFVFETQKQLRWSYSHAVEEFIVGLDADIKDIVEWLVNQDRNCRVVYICGMGGLGKTTLAKTVYHYNSIRRHFEGFAWAYISQKCNRREVWEGILLQLTTSSSKEERDEIRNMRDEELAETLYKVQQEKKCLIVLDDIWSNETWDILSPALPSENTKSKIVFTSRNKSISSHVDPKGLLHEPGFLNAEDSWALFQKKTFPSKDDPEFTICNEFERLGRDMVAKCSGLPLAIIVLGGLLATKETVNEWEMIHKHITSYLIRGEDVLERQSRITEVLDLSYHDLPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAEGFVSSHYEIGRDETMEDIAERYLGSLISRCMVQVGQIGSTGKIKTFRLHDLMRDMCLSKARKEHFLCVIGRPQQKSSGISNVSSSSNISFDARKSDEVRRLAFFLDQHVDNLSPPDEQVNQHLRSLVYFHDKKCRVESWKRVKAVFENFKLLRVLDLEGVKGPKGQILPKEVGNLFWLKFLSLKRTCIQILPPSLGKLENLQSLNLQTINKVSWDSTVEIPNILWKLKRLRHLYLPNWCENVSGILQLENLINLRTLVNFPASRCDVKDLLKLKKLKKLVLNDPRYFQNFAESFSLCNKKLEYLESLSLKTDLLSFPDQVIDVEKLVLGCPSLHKLHVEGRLERLPDARLFPPQLSKLTLSGCKLVEDPMVTLEKLPNLKYLSVWEMFVGKKMVCSSNGFPKLKILAIRGFSNLEEWVVEDQSMPCLYRLSISDCNKLKSVPDGLKFVDGLRELEIRWMPKSFKNRLGVAGEDYHKVQHVPSVVFFN >CAK8532395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:256131800:256133914:1 gene:gene-LATHSAT_LOCUS2105 transcript:rna-LATHSAT_LOCUS2105 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDEDGSSGSGDDVNMLDGQKRQAGVSASGGRKRGRKATGDAIVDAMMQIAAASKMRANAIMKNEERFSISKCINVLDEMQDTPINMEDIDLELDEMELVAAAAGYYYYNSLTKQQPSRCLSPRRCEFMTEVLNGSDVFCREMLRMDRHVFLKLCGILRQRAMLRDTSGVMIEEQLAIFLNVIGHNERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLQPPQHTTSQEILNNARFYPYFKDCIGVIDGMQIPAHVPAKDQSRFRNKKGILSQNVLAACTFDLQFIFIYPGWEGSVTDSRVLRAVLDDPDQNFPQIPQGKYYLVDQGYLNTEGFMAPFQGVGYQPYEYRGANQLPRNAKELFNHRHCFLRNTILRSFNVLKSRFPILKLAPQYSFQIQRDIVIAACVLHNFIRREERNDWLFSSLGGTFVEELSDPDELPDVQFLSSMQEQIAFSLRESVSASMFDDFINKWDEW >CAK8572805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564430969:564432390:-1 gene:gene-LATHSAT_LOCUS25327 transcript:rna-LATHSAT_LOCUS25327 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGREDGAPGRQVKGVGRGFEEGGARGPGGGRGRGGPGGRTAGNRGAGRGRG >CAK8537014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:56996047:57008017:-1 gene:gene-LATHSAT_LOCUS6334 transcript:rna-LATHSAT_LOCUS6334 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGASVTAAMVGIQFLEVGGDTLMKSATKNGMSIFIFTVYSNLFALCFLLPSTLFYHRKRAPPPISTSIFCRVFLLSCIQTSVQILMNTGIGYSSPTLASAMVDLVPAFTFILALISRMEIINLKQHSSQIKVIGTMVCVAGAFTVTFYKGIPLLSNAFQNIEIGARGINISEKSDWIIGAILLATASFCLSVLHIVQTWIIKEYQEELVVTTICCTFVVTLSSIVALISEGISKAWILRPDKEFVSVCYSAIFVVSTRNVVTIWACRKKGPIFVAIFKPLRVVIALGMGVIFLGDNLYLGSMIGATIIISGFYGVIWAKGQEKLITSENNILPSSSMPLLSNKSMDI >CAK8542243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492673080:492674521:-1 gene:gene-LATHSAT_LOCUS11096 transcript:rna-LATHSAT_LOCUS11096 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNIGDMVKCWEAMNDNLKLQLSNIIASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIIEELKRVDYVGTNKEICRCTLRTTYGLPCACELTGYRIDGLPIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELVFPAMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPPYHESYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFSMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFARRLQHWQQLTSILPTHYEL >CAK8579572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708617751:708619383:1 gene:gene-LATHSAT_LOCUS31507 transcript:rna-LATHSAT_LOCUS31507 gene_biotype:protein_coding transcript_biotype:protein_coding MITDMKSGGADAGALGAKTARACDSCLRRRARWFCAADDAFLCHGCDNLVHSANQLASRHERVRLQTASAKVTDTTATAKAWHSGFTRKARTPRHNKNSSIQQQQQRLKEKVLFNTSFLPLVPELGGEEEEDRERGLLVDINEIEEEEEQLMCRVPVYDVDPFDLENCNVKNDAADFEDMCDLDSFCEFDVDLAEFAANVESLLGVGSSEIHENSSGQVFDYKQENEMDPSKNEILKVKDEELDDLESVFDMTSDEVFHWNIDHDESMAHQEKEFMPMSNSSVGVSESVITKEETKREKFLRLNYEDVISAWTRQGSPSPWTTANPPKFNSDDDSWQNFLGSSCVDGEIRSIRGNLIGSNVDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTTTCFAGGATSFASNYH >CAK8564642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4571283:4571513:1 gene:gene-LATHSAT_LOCUS17926 transcript:rna-LATHSAT_LOCUS17926 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLSFLVLFLASLILIPQGFATRVNPAEYTRPTPVLPPRIFRPPQTPTPVLPPRIFRPPHIPPGYTPPSEKKP >CAK8577716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584778359:584780027:-1 gene:gene-LATHSAT_LOCUS29797 transcript:rna-LATHSAT_LOCUS29797 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKAASRKRESELDRTKTDKKSRSDKQNFDLDLDFDISDDLKGIVSALNLIRDKAQKDGQKKNEETISSVASEIKSTIEGLRTKFEKERQTFAKALSKSSKEFESSLKNETTKFQALHENFYKEKASSLQAFQDIISKFEEEKEKLFLRYEQLRKKEKAMISEQEKSCNDKITQLEGSLKKKKKDDKTFSMLRKTLGSFLESTSDDDFPPDD >CAK8577690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583554771:583555247:-1 gene:gene-LATHSAT_LOCUS29772 transcript:rna-LATHSAT_LOCUS29772 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGNRRSNVYDPFSLDVWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKMEQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8565599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:288381383:288381760:-1 gene:gene-LATHSAT_LOCUS18796 transcript:rna-LATHSAT_LOCUS18796 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPEPSPPNVVNEFNPNEIVRDPCRRKQINEYAPDIQDQVRRTYILKGPMQPELSSFPRTPFGSVKRAFSKSWYKNYTWLEYSEIKDANYCFYCFLFKKPGRAEHFGFEVFTKSGYKD >CAK8561811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:388095520:388095983:1 gene:gene-LATHSAT_LOCUS15366 transcript:rna-LATHSAT_LOCUS15366 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTNDHRHELWNSVKISTADCDGFATAIQVESWMFGAFNSLSFLSPELVYLDKSLSCRHSLNKNVVNEVVVWCNDEVDGLGMVVSNLK >CAK8572938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572246220:572247659:1 gene:gene-LATHSAT_LOCUS25440 transcript:rna-LATHSAT_LOCUS25440 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAESNPHHLPPNPTTQPFISKFKKFTFQTLPLLILFTAIALGFTKSNYYKLHYFKYSLKSQTFFHSLFSTPKPVSTPSHCVLWMAPFLSGGGYSSESWSYILSLHSHIKMRSFKLAIEHHGDLESLDFWEGLPQDMKSLAIELYQTKCNMNETIVICHSEPGAWYPPLFQTSLCPPSLYRDFKSVIGRTMFETDRVNDEHVERCNRMDYVWVPTEFHKSTFIESGVVPSKVVKIVQPIDVKFFDPVKYKPLDLRSTAERILGSGGSKGFVFLSIFKWEYRKGWDVLLKSYLKEFSKDDSVALYLLTNPYHTDSDFGNKILDFVENFDFEEPDCGWASVYVIDTHIAQSDLPRVYRAADAFVLPSRGEGWGRPLVEAMSMALPVIATNWSGPTEFLTEDNSYPLPVDRMSEVMEGPFKGHLWAEPSEHKLRFLMRQVVDNPAEAKAIGRKARDDMIHRFSPEIVAEIVADHIQNILGR >CAK8579521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705415411:705415818:1 gene:gene-LATHSAT_LOCUS31462 transcript:rna-LATHSAT_LOCUS31462 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMIAVGLIWGATNAIMRRGALLWDEALKSSSAKQPHPQSTNLAQKIAFSLRNWLKLLSIWQYSIPFLVNLSASATFFSILSDAPLSLAVPVTNATTFASTALFATLLGEQTNLPRTFFGTALIITGICLCINS >CAK8561920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:412405439:412411115:1 gene:gene-LATHSAT_LOCUS15467 transcript:rna-LATHSAT_LOCUS15467 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGAVEDSASESKTGGEGVNINVRCSNGSKYSVQVSLDSTVGSFKDLIARNCDIPAQQQRLIYKGRILKDDQTLQSYGLEADHAVHLVRSFAPANTSGGTGTNSSGTNTTATEARGAGANDVGGVGGLGFGASLFPGLGTNGTGGNGLFGEGFPDLEQMQQPFISNPNLMRELMNTPAMQNLVSNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNTATQGGLASDQSTNPSTTSSETTAGSTIPNANPLPNPWSSTGTGAPQNNTRRSTTGVDARQQAPTGLGGLGLPGLEGMLGGSGMPDPALLTQLMQNPAISQMMQSMLSNPQTLSQILGAANTEQRGGMPDMNSLRDVMQNPEFLRLFSSPETLQQLMSFQQALLSQQGQQQSTRESGQTGGGTGPFNNTGLEMLSSMFGGLGAGSLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNTGQ >CAK8579223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685081534:685085085:-1 gene:gene-LATHSAT_LOCUS31187 transcript:rna-LATHSAT_LOCUS31187 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSSLDRVDFRGNGGCLVEDRVDSGDYDKAKQKSLFCQIFSVYLKEDRSGGNVRPVPVVLGDGRLVDLYQLFSLVKENGGYDVVSRKGLWDSVIVELGLEFRLLASIKLIYDKYLIGFEGWLTKTFNLEKEFRSLLCSNLKDGHDRFVPFKPSNIIKHIDLVNQKIDGSDDDDKMFGNADKEEDACCVEISAEEFNSRKRKRESLSGMVHWTRHIAKHPFDPAAKPLPEPSKWKNYKGGQDFFLQLLKARDILSVKKHAEPNSGSSSQKVKMHPAMYEDPVALRRQSSKKLRCSERLPISVKSRCTCCNSCPGSENKCSLEKTSAKPGGVSEKKKSAAKPGGVSEKKKSAAKPDVTEKKKSAAKPDVTEKKKSTAKPEVAGKEKSAAKPDVTEKKKSTAEPDVAVKEKSDPTSDDSREKSVSIGHRFQTEVPEWTGVASESDSKWLGTQVCPVKDDSKATAETDLVGRGRRGKCSCNVQGSVDCVRFHIAENRMKLKLELGSVFYRWGFDRMGEEVSLRWTAEEEKKFKDAMGLNIPSQNKSFWNKPSKYFQKKTRKDMVNYYFNVYLIQLRSYQNRVTPDTVDSDDDEVEFGSFGDGFGRKAIEHPSVEFMECSENTQCFDLE >CAK8563249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586248273:586249740:1 gene:gene-LATHSAT_LOCUS16679 transcript:rna-LATHSAT_LOCUS16679 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGDKKFKVDQAPPPPSTKQELESSTYDNILETDHSVIANNHNPITSLSLSSSSSSQNPFLVQSDATTNNITNNMDNNSSNTSVSNPLDETHSSPPTRESSQQNLLHEKTNTNSNDSDGSTTITTPSPTFELPNHEHNHTSTGATNNENPNLGLASHVSRESSDVGSVAGGHHSPSISSGQTLEHGMNKGPEIQTPQVQVMERPNETTATSPYVFPSHVFARNSTNVPVEWSTASNESLFSIYMGNMSFSSELACFKSCEMDKPGDAITGDQQPNASSPNNQPPTPVNKFNDISQRTAELHEEGLKVTEAKAAETMREVIMENSRTTENMQDKRSSSLHPSDSSTKSYAFQTSKERDKNVSSKGAGEKQTQQKKSEENETTKEVNEEAKSNTNEAPTPNKWLGCFSCCTSCH >CAK8536260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922867045:922867896:1 gene:gene-LATHSAT_LOCUS5646 transcript:rna-LATHSAT_LOCUS5646 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFSFSFSLLMLSSLYSPNIAATAPAASPKLAPAPKAASPTSTKPLVPTLPDSPDTSDSTPDDITRILKKAKTFTILTRLLKTTEIMSSINSQLITGKTGGLTILAPDDSAFSNLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGTKAPASSPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGIQGTTLMTIGVAFVAVAMFGSCI >CAK8531904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:173939585:173939830:1 gene:gene-LATHSAT_LOCUS1662 transcript:rna-LATHSAT_LOCUS1662 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNNNETMKDSPRQHSTEVLHQRKKMPFCPMRMAIGGFAAISVLGYFVLYANKKPEASALDVAKVSTGMAHPENTHPRN >CAK8531040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:73119718:73120299:1 gene:gene-LATHSAT_LOCUS856 transcript:rna-LATHSAT_LOCUS856 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLILLGQSIFLALQVASTFWLAIAIEIPKVTNADLIGVYALISLSSVVFVYVRSYMTALLRLKASTAFFTSFTVVIFNAQKLFFDSTHVGRILTRASSDLSILDFDLPYSITYVASMTIEILVIICIMVSVTWQVLIVVVPAMVASIYIQVYPTSTFS >CAK8561011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72259448:72260326:-1 gene:gene-LATHSAT_LOCUS14639 transcript:rna-LATHSAT_LOCUS14639 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDLTTIYKGRKMQQLPASSAKKEDIASSSSDKTTSYKEVAINNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGRSIKTRYLESRGYPGLHGKSRPNLEILLTVIESVTITHHYQNNNLESFINFSKCHSNKILLPREWGPNPNAGKTIRIAEEKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINQEMVNKLIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKKLSDNLITGQCPLLFFTKFQIPWI >CAK8535609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:868743524:868744150:-1 gene:gene-LATHSAT_LOCUS5052 transcript:rna-LATHSAT_LOCUS5052 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISPADSPRFPFLNSHPTPSTRTQLKPIFLSPFSPKPSKTTYANNIVSAALSSSFNGRPSPPSKGHSFYKELQFDNRTENDFELELELERNPLDEGSSKETDGSIPLGENDDKGSGKSENEMREDDLIRVRDDGEGDEGVDLRKDDKVEKFGGNFRLRKGKQVIRQSNLLAKQVINIQSALSLGFVSQFWVDTTSVSK >CAK8564692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7094955:7096109:-1 gene:gene-LATHSAT_LOCUS17970 transcript:rna-LATHSAT_LOCUS17970 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRKRCVRPTSPEKGSKHFMKAILRSPIHAKQIRIPDEFITRFGNELKDVVTITVPDGRVWEMEVEKRGDEVYFCNKWQEFAEYYCIGYGCYLCFKYEGNSKFCVILFDITSVEISYPFKTSENGGEQVKIMCNSDSKSSEDAANEFNPNNPYFRSKINKGNNAHVPVDFASKYLKSNVGMKLENCHGEQWEVFAMSRNATSLGKMQITRGFSKFQRDNNLSEGDICVFELIMENPVVLKVTMFRAGDYGD >CAK8560360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15625398:15626123:1 gene:gene-LATHSAT_LOCUS14039 transcript:rna-LATHSAT_LOCUS14039 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVWLRIFKFAEKEVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFTAICDFVHNFFVCEECRQHFYKMCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEAYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8537958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450244865:450247807:-1 gene:gene-LATHSAT_LOCUS7204 transcript:rna-LATHSAT_LOCUS7204 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLALFLAAITAITISKLRGKRFKLPPGPFPVPIFGNWLQVGDDLNHRNLTDLAKRFGEILLLRMGQRNLVVISSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWESEAASVVDDVKKNPEASVNGVVLRRRLQLMMYNIMYRIMFDRRFESEEDPLFVKLKALNGERSRLAQSFEYNYGDFIPILRPFLKGYLKVCKEVKDRRLQLFKDYFVDERKKLGSTKSTDNEGIKCAIDHILDAQKKGEINDDNVLYIVENINVAAIETTLWSIEWGIAELVNHQEIQNKLREEMDKVLGPGHQVTEPDLEKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGFDIPAESKILVNAWWLANNPALWKKPEEFRPERFLEEEAHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKIDTSEKGGQFSLHILKHSTIVAKPRLF >CAK8575477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131946592:131946906:1 gene:gene-LATHSAT_LOCUS27736 transcript:rna-LATHSAT_LOCUS27736 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTCQISSQQLRARRTENERKRRQNMNLEQRENYLSMRRDNYSRRKEKDNQAQTSHTMNSQKRVPFQNFTNVRSPMSHFQGTHDNEAGPSRITHVNDVALGW >CAK8541529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:225366543:225369817:-1 gene:gene-LATHSAT_LOCUS10444 transcript:rna-LATHSAT_LOCUS10444 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQTLPPPSHLSHSALSFLDHKLHTATVLAESPNFVAELQTQCSELDRALAELTRRLGAGLAAYVSFSGEIHSLFDGVKSKLNGISATCYSGIVPDGGRSQGEGKGGKGFREELATLAKEVARLETVRVYAETALKLDTLVGDIEDAVSYTMSKNIRKHSSDENSGDMRLFAIKTLKVTEEILTSITKMHPQWRHLVSAVDHRVDRALAILRPQAIADHRALLALLGWPPALSALTSSRSDARSANQVLNPLQSMQADHKLQYSENFLALCNLQELQRKRKSRQLVGHDREVALRQPLWAIEELVNPLSLASQKHFSKWVDKPEFIFTLVFKITRDYVDSVDEMLQPLVDEAKVVGYSCREEWISAMVTSLSTYLAKEVFPSYITQLDEESVTGIQSSARISWLHLIDLMIAFDKRIISMVEHSGILLSLDDDILQRISSLSVFCDRPDWLDLWAEIELGDALDKLKPDTENESNWKKKIEGVVLSTCTNDHKSPLVSSAFVRRLASVVERCRSLPSVTLRSKFLRLVGVPIIRKFFDSILVRCQEAEGLTALTDNDALVKVAVSINAAHYFEYVLKEWSEDVFFLEMGVNEDDNNGELPSNTNRDSEGLPESSNRIIFDDEIKKMEEFRTEWVEKIAVVILRGFDARSREYLKNKKQWQKSEEGWTVSKTLIEALDHLQGRMSVVEEGLNSRDFVAVWRSLAAGIDRLIFNGILLSNAKFHNGGIERFGSDLDVLFGVFGAWCLRPEGFFPKSNEGLKLLKMDEKRVQECMTGGKRWLKDNGIRHLSVSETEKILKNRLFTS >CAK8563672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624090257:624091564:-1 gene:gene-LATHSAT_LOCUS17057 transcript:rna-LATHSAT_LOCUS17057 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDTLPGWVMAVAWLIYLLWLCTCFKEPENLWAYESETGEQTLHIAVENSPTQPLLTNSEAKEKDVDIDEEKDDDEEEAYDKTESQKHVTSIALVYKLLTPSVKVQLFVYFMLKYVMEILLDESSLVTEYYFVWSTTKVAIFLACLGLTVLPVNIIIGSYISNIFEERQVLLTSEIMVCIALLLSFETLIPYSVTQYVGSALITFVFAEVLEGDNLSLLSNMMSSRLSRGTFNRGLLSTEAGTLARVIADGTITIVGYFSESKLLNATLLPALLICISSIAATCCTYNSLY >CAK8533433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617117155:617119497:-1 gene:gene-LATHSAT_LOCUS3056 transcript:rna-LATHSAT_LOCUS3056 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKPSHTENLNPNLSNRSVLQTSLNKNINVVSHQNIIHQRKFVVAKKKKNRCCEKKTVLCKCKENSDGGKCVCEAYENLRASQQGFFDKEKIFDDEGGVREEETEEEKAENVLEEVIEANLIIHDVGNEERKLDDDDDDDDDDDEDEDVEDEEKGCHTAMVKRRRERVLEEARNSVPENGKVKHLVEAFERLLSININKEKENDDEKKKVMKWALPGLQFQPGVTESETGEVDGGCDEMFNCILTSEQLGLDKRSSVSSSWECGNGSVCGRNSSGGRRSRRSSLESSSDVRGRSWKKKQKLKITSQKPFKLRTEQRGKMKEEQLMKKVHDILTEEEKLRIPIAQGLPWTIDEPECLVKPPVKESTKPIDVKLHSDIRAIDRAEFDHQVAEKLSLIEQQKMEMERQQKLAEEEEIRRLRKELIPKAQPMPYFDRPFIPRRSMKHPTIPREPKFHIPHHKKIKCLSLNEMKSYSSYFN >CAK8572885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569879264:569923944:-1 gene:gene-LATHSAT_LOCUS25393 transcript:rna-LATHSAT_LOCUS25393 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLLGFVLPSLLLIASLINWSLISLIDLVAFLLILYNVSQLGFHFRRRLLLLWPIIIFSVVAILFQVTYLILWAIKPMSWIPGAWWAKLIGVMTVQSWKSPYAIYFLVIQLLALLVALLDIYGKRHFLNTWQDSYWSRLISIVEHLGSHLRVASCLLLPAIQLVVGISHPSWASLPFFVGSCVGLVDWSLTSNFLGLFRWWKLLQLYASFNIFLLYTYQLPVEYPSMIQWMADLLGLYKISENTKWTKICSSLSLILYYIMMSFIKSDLEEMGFIISSSDCNLTEQLLPSKHSFFIRESRSGVRHTNVLLRGAVFRSFSINFFTYGFPVSLFALSFWSFQFASLCAFGLLAYVGYIIYAFPSLFRLHRLNGLLLVFILFWAVSTYIFNVAFTFLNWKLGQDMKIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCLSDEGGQTLNDHTSVKVEGETKVLIVATIAWGLRKCSRAIMLALIFLIAIKPGFIHAVYMIFFLIYLLSHSISRKLRQALILLCEIHFALLYILQINLVSSALEKNGSVSMEIVMQLGFLEKDSAWDFLEVALLACFCTIHNHGFEMLFSFSAIIQHAPSPPIGFSILKAGLNKSVLLSVYSSSSVRNSVESFSYERRIASYLSAIGQKFLSIYRSCGTYIAFLTILFTVYMVKPNYTSFGYIFLLLLWIIGRQLVERTKRQLWLPLKVYAISVFIFIYSLCSFSSLEMYLSRMIDLYFYLGYDSKASSFDNVWESLAVLIVMQLYSYERRQSKQNRQVYLDQLEPGPLGFVKRLLIWHSQKILFIALFYASLTPVSTFGFLYLLGFVFCSTLPKISSIPSKSFLVYTGFLVTAEYLFQMWGEQAKMFPGQKYSNVSIILGFRVYSPGFWGLESGLRGKVLVIVACTLQYNVFRWLERMPNIVLNNEKWEEPCPLFVSIEDAFDDVTICNEESKPSCNPFPPAALQEGKSSKSQKIVTSGLPRAHVTTSANTGGSDSNRRKYSFGFIWGSNKESHKWDKMRILSLRKERFETQKAVLKIYMKFWMENIFNLLGLEINMITFLLASFALLNALSILYIALLAACILLNRQVIRKIWPIFVFLFASILILEYFVIWKDMSPLNSNATSEIHCHDCWKTSTLHFHYCEKCWLGLVVDDSRMLISYFVVFMLACFKLRADRLSSFSGSSTYRQIMSQRKNTFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLILILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRVYNFVVIILSLAYQSPFVGGPSAGKCDTANSIYEMIGFYKYDYGFRITARSAIVEIIIFVLVSLQSYMFSSQEFDYVCRYLEAEQIGAIVREQEKKAAWKTEQLQQIRESEEKKRQRNMQVEKMKSEMLNLQIQLHSINTSTNCIDGFSHSSEGLRRRRSASLISNNDIGIPDKEDQVLGRLDSEIRQDAVFPTESHESSACMDLETPLTEEYVKHSVDSPLCEITEIDIDSPSSDSGKKGKIKGQAKENPLKSAVQLLGDGVSQVQSIGNQAVNNLVSFLNISQDDFDSNEHTNTEDQIYDEMESQKPRHIFLDRASSLQSDRSSDTASLQLGRIFRYIWYQMRSNNDVVCYFCFVLVFLWNFSLLSMVYLGALYLYALWVNTGPSYIFWVIMLIYTELYILLQYLYQIIIQHCGLSIDPCLLRELGFPTHKVTSSFVVSSLPLFLVYLFTLIQSSITPKDGEWMSSTDFKLKRNDLHTKDNPTSYSWRDKAWDLLTQMTNMVKLVVRSFFRYWKSLTLGAESPPYFVQVSMDVNFWPEDGIQPERIESGINKLLRVIHNDKCKEKNPNICSFASRVNIQSIERSKENSSVALVVFEVVYASPVTDCSLAEWNKSLTPAADVAKEILKAQRAGFVEEVGFPYRILSVIGGGKREVDLYAYLFCADLIVFFLVAIFYQSVIKNKSEFLEVYQLEDQFPKEYVFMLMAIFFLIVVDRIIYLCSFATGKVIFYIFNLILFTYSVTEYDWQLDPSRQHAAQFALRAIFLAKAVSLGLQAVQIRYGIPNKSTLYRQFLTSEVSRINYLGYRLYRALPFLYELRCVLDWSCTATSLTMYDWLKLEDINASLYLVKCDSVLNRATHKQGEKQTKMTKCCNGICLFFVLICVIWAPMLMYSSGNPTNIANPIKDASFQVDIKTVSGRLNLYQTTLCERIQWDSLTSKVNTDPNGYLNAYNKNDIQLICCQADASTLWLVPLVVQTRLIQSLEWYTDMEIFFTWVLSRDRPKGKEVVKYEKSIDPQYLPMQSDVQNVLNGSMNSFRIYNVYPRYFRVTGSGDVRPLEEDGAVNADLLLNREQFEWWAFKDVNPPNISKFCGGLTGPMAIIISEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPSENLPSCDRLIAICEDIYNARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >CAK8561683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:354757434:354761073:-1 gene:gene-LATHSAT_LOCUS15251 transcript:rna-LATHSAT_LOCUS15251 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLSFKSFTYMLLIIFVILSSNFEECNARRGKHWRQNREEKASMFKKKGKSYGNGHNHNGGGGGGTKSKASPPQKSIPSISHPPPPPQKTIPSLLPPPPPAPQEEVTHLTPPPQTYIGESSTTFNVLQYGAKGDGKSDDTKGFEATWAEACKVESSTMLIPADYVFLVGPIVFSGLYCKPKIIFQVEGTIIAPTNPNVWGKGLLQWLDFIKLVGFTIQGNGIIDGRGSVWWQDTQYNDPLDDEEKLLVPLNNTLGSPPMQIESSMGGKMPGIKPTAIRFYGSINPTVTGITIQNSPQCHLKFDNCNGVLVHDMTVSSPGDSPNTDGIHLQNSRDVLIYKSNLACGDDCISIQTGCSNVYVHNVDCGPGHGISIGGLGKDNTRACVSNITIRDVNMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQFPIVIDQFYCDKRNCKNQTAAVALTGINYESIKGTYTVKPVHFACSDSLPCIDVSLTSVELQPVQDKYHLYDPFCWETYGELKTTTVPPIDCLQIGKPPNNRIQTAHDLC >CAK8566201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390480877:390486445:1 gene:gene-LATHSAT_LOCUS19355 transcript:rna-LATHSAT_LOCUS19355 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVFISIYIITLQLIILFTSPATSHYSSPSPPAAAFSESPDEPTSSPAAEWLSAHATYYSSSDDTRDAVAGACGYGDSLRDGYGTTAASLSETLFVRGQICGACFELRCFEEDVPFDRRWCVSGSSIVVTATNFCAPNYGFDAESEGGHCNPPKQHFVIPVEAFEKIAIWKGGNMPVEYRRIKCTREGRMRFTITGSGIFNSVLISNVASMGDIVGVKVKGSKTGWIPMGRNWGQVWHVNALLQNQPLSFEVTSSDGVTITSYNVAPKNWTFGQTFEGKQFKS >CAK8579697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717316076:717316941:-1 gene:gene-LATHSAT_LOCUS31623 transcript:rna-LATHSAT_LOCUS31623 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDDLEFVFVPLGLLVFFLYHTWLLFTIIREPHRTVIGLNTESRLQWVQAMMSDPCKNGVLAIQTIRNNIMASTLLATTAITLSSLIGIFTSNGWNSDDTSSIFHSTAAVKRISITVCFLVAFLCNIQSIRCYCHVSFLINAPTLRDKKAYMEYISKTLNRGSHSWSLGLRAFYLSFTFFLWIYGPIPMFACCCMTSFCLYFLDTTARITRDLHSDSFTKESSDVESGSEPDYHPLAGDDLAQNAAVDNV >CAK8577608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578006201:578015022:-1 gene:gene-LATHSAT_LOCUS29699 transcript:rna-LATHSAT_LOCUS29699 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSEEAAYIEKLYEYGEQLNSAKDKSQNVKDYQGIIDAAKTSVKAKQLAAQLIPKFFKFFPELSGPALDAHLDLVEAEELGVRVQAIRGLPLFCKDTPENIGKMVDILVQILGSEEFVERDAVHKALMSLLRQDVKASLTALFKHIRGSDEEPNTDDVIREKVINFVRDKVFPIKAELLKPQEEMERHITDLIKNSLEDVTGIEFRMFMDFLKSLSLFGEKAPAERMKELIEIIEGQADLGSPFNVADAGHTDHIDRLISCLHMALPIVMRGASSSKFINFINSYIIPVFDQLPGERKVDLLRNLAEFSPFTTPQDSRQMLPSIVQLLKKYMTWKKTGEEMNFTYVECLLYTFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSEHYSDFTERLKNVEEFTRATIKKLTQGMAENNKSMANAKTDEEKEKIKTKKQNATTGLRTCNNILTMTKPLHAKAPSFIGDKRINLSWKEAPKSASTTVQAVGAKRPATTGNGSNNIALKKGRGSGGVQNQLVDRAIGGLSGGGRGILSGGGRGRVSGGGRGGTRGRGWGGRGRGSGRGRGRGYW >CAK8537213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:142824577:142825160:1 gene:gene-LATHSAT_LOCUS6519 transcript:rna-LATHSAT_LOCUS6519 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFPKTEASRLNSSLPTLQPMDFVKGILLLMRDSNMMAFFMTLQHFDQQKYKGLHPISPEDPNSTTLIHGEVPGFICSDGLQVVAHEILGQSIGL >CAK8531298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99025165:99025506:-1 gene:gene-LATHSAT_LOCUS1101 transcript:rna-LATHSAT_LOCUS1101 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSVAIFVLLSLSVSVFSDAARAGGWSSIANIKDPYVTEIAQFALTEQEKQSGEKLSLVEVISGKSQVVAGTNYRLVLAAKDESVTKNYEAQVWDKPFAHFRNLTSFKPLS >CAK8561605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:318101106:318101711:1 gene:gene-LATHSAT_LOCUS15182 transcript:rna-LATHSAT_LOCUS15182 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPEGSQFDAKQFNTKMNELLTTKGQDFYTFYEEVYDSFDVMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSRILQQLDYNVTECQALVLAPTRELAQQIEKVIRALGDYLGVKVHACVGGTSVREDQRILSNGVHVVVGTPGRVFDMLRRQSLRPEYIKSLLVMRLMKLLILSLMG >CAK8569943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16918101:16918322:-1 gene:gene-LATHSAT_LOCUS22740 transcript:rna-LATHSAT_LOCUS22740 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHVINEEYMTDKLDSGADEDSYKDKLVLIRFNEEESMKKYFTFKVEMEFSSLKHFKKAILEYNFLNGKGI >CAK8533929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672435966:672439540:1 gene:gene-LATHSAT_LOCUS3516 transcript:rna-LATHSAT_LOCUS3516 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDSLDSFLFSLSSEFSSPFGYFIQIQGCVICLILAFGWLAAAYVRRREIVRIKRSIKNGNNFAFICHDINELEHSNQVNLPRVTVIMPLKGFGEHNLHNWRTQFTSLYGGPLEFILVVESTEDPAYHAVSRLISEFEGSVDARVLVAGLSTTCSQKIHNQLVGVESMHKDSKYVLFLDDDVRLHPGSIGSLTFEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHAEDFRQDNFGVVSELKNGGYSDDMTLAAISSAHKKLITSPPVAIFPHPLASDLNFARYWNYLRKQTFVLESYTTKVNHVMNRTLFGVHCYLSWGFVFPYFMAIVHVAAAIQFHYKGYSLDETIYNFNGLSLVYFLFVCTFLELLSMWNLTRIEVQLCNVLSPEAPPLSIINYNWCLIFIAMLVDNFLYPISAIRSHFSQSINWSGIRYYLKNGKIYKIDRTPTNKDMPPVFTDLAGKLLYGRIGFPLKESFLSSLSKTFAKWRQPKRSE >CAK8532726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:503933587:503934322:1 gene:gene-LATHSAT_LOCUS2404 transcript:rna-LATHSAT_LOCUS2404 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIERKLYICCGIELSSSGHGRISDVASRVISWSLRRDSMQILELFLGGASFLPIGPLLAYGVGCLAFAEVTLPYQHCDLVFYAGLMRHVLFISTVNRLIWRVPLISIVCRYWVWYALIINIGVAVRI >CAK8570202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28520197:28522353:1 gene:gene-LATHSAT_LOCUS22975 transcript:rna-LATHSAT_LOCUS22975 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEAPENYVPEDKNFDDDGRTKRTGTWLTASAHIITAVIGSGVLSLAWAIAQMGWVAGPAVLFAFSFITYFTSTLLADCYRSPDPVHGKRNYTYSQVVRANLGGMKYKLCGLAQYVNLIGVTIGYTITASISMVAVQRSNCFHKHGHQDKCHVSNNGFMIIFACIQIVLCQIPNFHELSWLSIVAAVMSFAYSSIGLGLSIAKVAGGGHARTTLTGVEIGIDVTATEKVWRMFQAIGDIAFAYAFSNVLIEIQDTLKSSPPENRVMKRASLIGILTTTLFYVLCGTLGYAAFGNDAPGNFLTGFGFYEPFWLIDIANVCIAIHLIGAYQVFVQPIFGFVEGQSKDKWPESKFVNGEHAMNIPFYGSYNVNFFRVLWRTGYVIITAIVAMLFPFFNDFLGLIGSLSFYPLTVYFPIEMYIKKTKMQRFSFTWTWLKILSWACLIISIISAAGSIQGLAQSLKTYKPFRGEQ >CAK8569935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16618242:16618994:-1 gene:gene-LATHSAT_LOCUS22733 transcript:rna-LATHSAT_LOCUS22733 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYINLKGRKQKKKPTRQTVIETVTDRISNLPGHVIDQILSYLPIREAVRTSVLSKIWKNKWYTLPNLVFNKQGVASEGALVNQSKFVKIVDHVLLLHSGPTNMFKFSEYDLICDVLVTDIDRWVLHLTGRSIKKLVLEFLPHEEKEYYKIPWCLFSCRSLHHLKLKCCWLKPPKEFRGFRNLRSLDLNLVTMAQDAFEKMIYGCPLLEKLKLKEVDGLTQINIHSPNLKIFEVFGEFEGISFEKTFQK >CAK8570603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:69783467:69786475:-1 gene:gene-LATHSAT_LOCUS23340 transcript:rna-LATHSAT_LOCUS23340 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRDNRSSRSSLLDGLDSLEEGGLRASSSYSPEINERDNDKVIDTLHDRVSFLKQLTGDIHEEVESHNSMLDRVGNKMDGSRGMMLGTMDRFKKVFEKKSTRKTCSLVGYFTVGFIFIYYLIRMLGYFTLG >CAK8534803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769845241:769850258:1 gene:gene-LATHSAT_LOCUS4316 transcript:rna-LATHSAT_LOCUS4316 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGWNHYDHDPLLLTDASFQQQLESISTRVLQTSKLNSAMATDPQSCGGSPSNGLSRENESSERKSVQFDDPIVKSLVDEDSGRSILGFSMTSPDLVICSGSPDIAGISYCDSPVTLKSNNRFNLDLSMELSFENGINESEVEVSKVHKTPTTVKFSDVCQTFEPEDELLSPEASFELHQPPVTKVESLQDYSPGDNEMLEGAGVSQEAEMEFSQDVDDIGVEEKFLKLKRDFECQKKELAVTKRELGELKKENRQKRSECQEALNSLKELQNELMRKSMHVGSLAFAIEGQVKEKSKWFTTLRDMMRRLKIMKMEHIKLLEEAEAYKKYEADISELGLIIKSKMNEQLELNEDLKSKYIEGTKIQKELYNKVLELRGNIRVFCRCRPLNAEEIKGGATVALDFDSSKDGELTVMSNGSSKKTFKFDAVFGPQVEQADIFEDTIPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTEEARGVNFRTLEKMFDIIKERQTLYSYDISVSVLEVYNEQIRDLLVSGNHPGMSARRLEIRQAGEGMHIPGLVEAHVNNMTEVWEVLQTGSNARAVSSTNANEHSSRSHCIHCVMVKGENLLNGEHTRSKLWLVDLAGSERVAKTEVQGDRLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLSETICSLNFASRVRGIELGPAKKQWDTIELLKHKQMADKTKQELKLKDFQIKKMEDTIHGLEFKMKERDHKNKSLQDKVKELESQLMIERKLARQHVDSKIAEQHQMKNQEEQNNSILRPTPLANRPLATLKNCNDPTTGGNSARPLVENIIFKPYNIPFSTIESSIKYIDQNEKENNPDMADNKSLLPKRTARASLCTMTPRGIPSATTSRRNSLIPLPSLTHFQTPFIPKLTNQEVIEESETMQPLQGHCESPKEVKSGVKKIGNILRRSVHRKGQAKSPLQHMRKVGGVNVGMEKLRVSIGSRGKLAQRGVQVGNGRRGGGAKEQKNSHKDKERGWI >CAK8562840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:546934462:546934968:-1 gene:gene-LATHSAT_LOCUS16305 transcript:rna-LATHSAT_LOCUS16305 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNKLVDDPVIKKKTRRVKRKVAKETEKPQYNVAEKTEIAPAPAWPVQAPLYVPATLPVHPSQSELEGIRSVLHESEKVLERLQKQEEQMLQEVTEKAKDLHEKEYKLPNPKPERCMAERLASLTCYKEHIKDPLKCAGFVNNFADCLRRLGPLDRK >CAK8544564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692983828:692984432:1 gene:gene-LATHSAT_LOCUS13230 transcript:rna-LATHSAT_LOCUS13230 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMDQMNKECCSYDEMGVIKKCCADCKTTKTPLWRGGPNGPKTLCNACGIRYRKRRGCCKKGQEKERKREKSEDDWSEFLRMKLVALGEEVFLHSVLKKEKRIKLGEEEEAAVCLMALSCGFVFA >CAK8573411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:610677064:610678815:1 gene:gene-LATHSAT_LOCUS25858 transcript:rna-LATHSAT_LOCUS25858 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNFIGANPATMENHHHTSNSAITADFDEMLDNLLRLDLSEFDFSLFDIPLPPSSQQPVTGEMPVDPVPAPAQPPQLPNISEASDNANVIEMNSALPACGGNRGGESVLRPRRDSSRVCLGSGSSATSSYKKRTVIPPEKLAELEIADPKKAQRIIANRISAKISKEKKKNYEKELEKRVQLLQIKADNVTAERMMAMNEAMKLAAEYKRIKDLIQSKLHHQEQQRAVIELMKEEANMLEMQIHEMNTAMADLSFGEPGSQSQLQVPHQPELYIPPQPLLVPPPPSFGEPLLPLPPSSFGEPLLPPPPSSFGEPLLPPPPSSFGEPLLPPPPSPFGEPLVPPPQSPFGEPFSDHFIGGDFLKNQWN >CAK8566496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428949277:428951912:1 gene:gene-LATHSAT_LOCUS19622 transcript:rna-LATHSAT_LOCUS19622 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYISGSKLYGCYYTSVTNANDIWKSDSVLTFFLPNFAAQIAFMLLANRCLYYLFRPFNQPRFVVEILVGFMLSPEIFGETLFFQLLAPVKLVVINETISYVGLVYYVFLAGLDMNLETITRARKKATTIAIAATVIPMIMGGAMYTLLQSMYKGPPVYLSHYNTYKAYMFWTLIYSVTGYPIVTQILADLKLLYTGLGRVALTVAMIIDFFNWVMFALLIPFALNEKVAVYSMISTVSFVLICFIGIRPHLVQLVVRKTNQNEWENCQLFYVVMGAYLFAFITDFLGTHPVVGALVYGIMLPRGKFSNLLIEKTEDFASVYLAPLFFASCGVRLRISSVIQSQGLSLVVIVLVLSCIPKVLSTVIVTQLFGMPVHDGVAIGLLMNTKEILPLIMLIVAWDKEILSVESYTIIMLSVLLMTLLVPIIINGVYKPRRLYKQNKLRTVQNLKVEAEVKILACVHNPRQAMGMINILEASNAIKLSRLRIFALHLVEITGNTASLLAAHINPQSGSQALTESEQDLENITFAFKDYAEQNENVSVDTFAAVSTYSTIHEDIHIVAREKQATLILLPFHKQSNIEGILETMNQAFKDINQNVMRDAPCSVAIFVDRGLGSLFKVNLRLLMVFFGGPDDREALALAWRMSKHEAIQLSVVRVTMLGEAAQVDSSPQAESKELFSAVMDCEKQKEFDDEYVNSFRLKAVNNKDSINYSEKEVHYGEDIPLVMEELDKLGYDLYILGQGRGRNSLMLSDLLKGADCPELGVIGDMVASNNFGSCSSLLVVQQYGFGGMVFENTTQNPDQLPKNDCESGDFYVKVEQ >CAK8530305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13938537:13940309:1 gene:gene-LATHSAT_LOCUS180 transcript:rna-LATHSAT_LOCUS180 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKNAANTDGGATTPLSLPPFLRTLLSSLFSIASENSSFLPPLSFKLLQTLRFIILTFYLFLLRFIPSIFFIDNNEDTVKFNNHKSHTNKRNDTAIGRALSQLLSALNDIPVSSRKYEVVRSLTEKIIDDNHLDGVHSLREVNRVALSGGFGRALRQLERKVVEREGEVEGEGDRDGRQYYMVMKRRIWWVVRMVRWRVRGGEGGLDGVPAEKLAAELVWMVKKMVDCGCVDEAIRRWAAASNLGVLALSADPRLQTSLVKLTAFLFKEARDFGIDEIEESKMKQCMQVKLKMLQTWLPLLCRASNGADAPSLSITERAELESVLESIIEALEQEKQEQVLSLWLHHFTHCSSSDWPNLHSCYARWCCKSRKQLLLSNEN >CAK8533890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667772186:667777792:1 gene:gene-LATHSAT_LOCUS3478 transcript:rna-LATHSAT_LOCUS3478 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFGFFLFLVLFSAVTVLVHAQQQTGFISIDCGSSENLYTDDVTKIKYSSDGSYIQTGVNRNISSEYAYPANPTLPLPLSDLKSFPQGDRNCYQFITGRKGSLYLIRASFLYGNYDGAKKLPEFDLYVGVNFWSSVKFKNASEQVTLEIISMAQSEEINVCLMNKGKGIPFISGLELRPISNSIYNTEFGDSASLLLFKRWDVGSFNGSGRYEDDVYDRIWFPFGSSSWKSLNSSVVVDVNEGGYKVPSEVIRTCSTPKNENDSLEFSWTSNDPNTKFYIYMYFAEVERLQRSQLRKFSVSWNGSPLSTSLVPKYWQATSLSNSKSLVANVHQISIQKTKDSTLPPVLNAVEIYVVRQSDALPTFEEDVEAIKSVKESYRVQRDWVGDPCEPKNYTWEGLKCNYSISLPPRIVSLNLSRSSLIGIITPAISNLTFLESLDLCNNSLTGSIPQFLEELRSLKYLNLRGNQLSGFVSTTLLERSKKGLLTLSVDDQNLSGSSKKKKVIAPIVAPIISVIVLLVIAILYWKLRRRNKQSEEKMNMLNKSVGTVVSKKLQYTYTEVLSITHNFELVIGRGGFGSVYSGQMKDGNKVAVKMLSASSAQGPKEFQTEAELLMTVHHKNLVSFIGYCDEGDKMALIYEYLANGNLKENLSEKSSHCLSWERRIQIAIDAAEGLDYLHHGCKPPIIHRDVKSANILLSEDLEAKIADFGLSKVFKNSDSGNSETAIIHVDDKSEKSTIMGTMGYLDPEYYKLRNLNEKSDIYSYGIVLLELITGLPAVIKGKPSIHILEFVRPHLQKSDLSKIIDPRLQGQFDEDSCLKVLGLAISCTASTSFQRPTMSVVLSELKQCVKVEFPSDREIFEPSRHIYSEYYSSSDAFNSMESESMTYPFPR >CAK8566560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:435910242:435913334:-1 gene:gene-LATHSAT_LOCUS19682 transcript:rna-LATHSAT_LOCUS19682 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDINHLSNPCIYGDFSSSYSERKSGFMKWFGKIFKIGSNRGRVGGHHLQQPIEENMAWRAPPRLLDNRARTKKEDEDLSNAIALSLNEDLKIPAGYRWRTGADDDYAKGLQDRMHSSLHPPYAPLPYYPRGYSMPSHTRLCGGCNKEILYGNCLGVEQSYYHPDCFRCHSCHHPITEREFSLSGKHPYHKYCFKELSHPKCEVCHHYIPINGSGLIEYRCHPYWNQKYCPSHEYDNTARCCSCERLESRGERYFRLDDGRILCFECMESAITDTGECQPLYHAIRDYYEGMNMRIDQQIPMLLVGREALNEAIVGEKNGYHHVPETRGLCLSEEQTVTSVHRWSKIGGHRLIGMRGQPQKLIRRCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLDPAVEEGICQVLSYMWLDAEVMSGSRTMPSISAASSSSSSSSSSSYSSKKGVKSKVENKLGEFFMNQIANDSSPAYGGGFRSANAAVNKYGLRCTLDHIRLTGQFPM >CAK8561703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:360621223:360622587:-1 gene:gene-LATHSAT_LOCUS15268 transcript:rna-LATHSAT_LOCUS15268 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHESKPVLTLDFHPLSATLATAGADFDIKLWSIKPSGSPKKLPVVTYLNSLSYHSSAVNVIRFSPSGELLASGSDGGELLIWKLHSMDTGQTWKVLKMLRSHIKDIMDLEWSADGAYMISGSVDNSCIIWDVNKGTNLQTLDTHAHYVQGVAWDPLGKYVASLSSDQTCRVYISKPHKSKGVERINYACKHVISKAEQPLLKNSKSTKYHLFHDETLPSFFRRLAWFPDGSFLLVPAGSYKIGTAAESVNAAYIFSRKDLSRPAIQIPCASKAVVAVRFCPIFFNLKGTNSDGLFKLPYRIVFAVATLNSLYIYDTESTSPIAIFAGLHYAPVTDITWSSDAHYLAFSSQDGFCSLVEFEDGELGSPYCLSKGNVTEQDSKNTLQTANDTVLPTGSVGAVVAESRKIEVEEKLMTWSLKQLKQNIKLMTWSLKELQQIVGNNHPI >CAK8570833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:124590103:124590456:1 gene:gene-LATHSAT_LOCUS23549 transcript:rna-LATHSAT_LOCUS23549 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQQFGGINGIVFYASSIFDLAGFPSTVGSIYFAIIQVLIFNLTSSTSHHNTHSTSQDFRDDLVNYGTTRDGSKIFD >CAK8540362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554614090:554614700:-1 gene:gene-LATHSAT_LOCUS9372 transcript:rna-LATHSAT_LOCUS9372 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSFTQDQGKAQAEDKNSGLGLGNVLCKTGKGWTCVITRTHGVDAGKVVVKCGENCTCTLDNGAVSPKIETSSDSGSEVFCKCGEGWSCSIFRTEGPDADSGKGFAECTQQYNCACN >CAK8569584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6053831:6057062:-1 gene:gene-LATHSAT_LOCUS22421 transcript:rna-LATHSAT_LOCUS22421 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTPLLDDNAASSSSVADHQEGKPLKLVRKVGVESKKLWLIAGPAILTTLSQFSIGAFTQTFVGHVGELDLAAFAVENSVIAGFAFGFLLGMGSALETLCGQAFGAGQIRMMGVYMQRSWIILLVTAFLMLPFYIWAPLLLKLVGQADDVADASGQFALMMIPQLFAYAINFPIQKFLQSQSKVFVMLWISAGVLVFHVIFSWFLILKLGWGLVGAAISLNSSWWLIVIGQLLYIFITKSDGAWNGFSWLAFADLYSFVKLSIASAVMLCLEFWYLMILVLVAGHLRNPIVPLDAISICMNINGWDMMIALGFNCAISVRISNELGAGDFRAAKFSVIVVSLTSIFIGVVAMVLVLTTRDYFPQLFTSSDEVAKQTTKLAALLGVTVLLNSLQPVLSGVAVGAGWQSLVAYINLGCYYVVGLPAGILLGFTFGFGAEGIWSGLIGGIVMQTIILIIITSVTNWKKEADEAESRVQKWGGSTSYEN >CAK8576560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492642146:492643270:1 gene:gene-LATHSAT_LOCUS28737 transcript:rna-LATHSAT_LOCUS28737 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSKKTPETSSAVNFTTTELQYKTELSSYEAVCKVDIDLQTFDSTLQTRTNQVINTLASGVEVRALSFDSLKQITECLLEMNQEVVKVILECKKDIWKSQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVAIQRFEEESESGDKCYVRTLQELKNFKTAGDPFTEEFFQIFQSVYRQQILMLEKLQVRKNKLDKKLNRIHSWRKVSSMIFVATFAAVLICSVVAAAIAAPAVAAALAAATAIPIGSMGKWIDSLWKNYENALKGQKEVIGAMQAGTYVAIKDLDNIRVLIDRLETEIESLLHNVDFAIEEEAVKIGIEEIKKKLGVFMKNVEDLGVQADVCSRDIRRARTVVLQRIIKHPNV >CAK8575138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:37609866:37610096:-1 gene:gene-LATHSAT_LOCUS27425 transcript:rna-LATHSAT_LOCUS27425 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQRQQELARRRSNYRQKKDKGKQVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISSPG >CAK8534118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696513497:696513901:-1 gene:gene-LATHSAT_LOCUS3686 transcript:rna-LATHSAT_LOCUS3686 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFSEFIKDLNVMDLPVFGSRFTWFNSNGKSRSRLHIILVDDRVISMFSLKNQMVGDRDISDHRPVWLKSNFVNWGPKPFRSFNCWFYHKDFIPFATKSWSSYQVTGSYCNILTKKFQALKSDLRNWNHNVFG >CAK8576416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473375898:473376944:1 gene:gene-LATHSAT_LOCUS28604 transcript:rna-LATHSAT_LOCUS28604 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASTPPDTKTESMMAASSSSSTTSSIFTMHHDIIHTHILTRLDAATLATTAATCSHLRHLCIDDNLWRKICTATWPSLVDPTTCHIISTFPNRHRSLFSDAFPALHHFSCNSNCPSSPPPPEIISAVDIFYKGKPVFSRVRRTETTKNSFLSSPLWIEILEPNELVPTPVKFVRKGEELMRHLEENLCLSWILIDPIGKRSANVSSRKPVLVRRHWLTRDVEILFAVTMAGEARRATERVQCMVKVTCCGKVGGELHVREVNLVMEDMEGGKVSGKEGVVILQKAMEFGERKKVGEVGEMIERFDTFLGMIRERREKKYRRQKERDGVSMVVAFIVCVWFCYLAGF >CAK8576128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:410555046:410556861:-1 gene:gene-LATHSAT_LOCUS28342 transcript:rna-LATHSAT_LOCUS28342 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKQPFSVSPHSNTMRASSSSHLQNPNPNSNSNSNPPKKKRNLPGTPDPDSEVVAMSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRGNKDQIRKKVYVCPEKSCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCNKKYAVQSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCEALTEQTAKISLPTVPRNFHNDHFPNSQTPRIPQIFPGFQFHSEFVGSGTSSPLWPALEQTNYQQLLPSTTDVMQQTMDVFGSQTQWLNHNSNNLSLPMLHGVMKQEEEENKDLSHSVISSLYLSSSQNQQGGSSNHMSAATATSLLETESQMGSTRTVITNDNNNNTLFNNNNVNHFSIVDKFYKQGHHENEELNELVNLEGTSRTNFGGEYLLNDSFGTVNGTKNLDHVVMLVDRETRATNRYSHDSSLMSKDKNQMGFTRDFLGVGDDDESMSRSTFLQQELDGFHGMGSLGNNLQSHYRGGGDYC >CAK8538341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478219935:478224896:-1 gene:gene-LATHSAT_LOCUS7553 transcript:rna-LATHSAT_LOCUS7553 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATMHAKINRKKLNKLNIAKICEEILYPAIPMALRLSGILMGGVVIVYERKVKLLYDDVSRFLVEINEAWKVKNVKNAPDPTMLRKGKSKAKKATITHGDEEQMNREEIEPSRMSNAAPTMGFQHTSYFSMRLDSVDEPNIGREEEDPMIHLHQAAPENITLIDSFQTYVEPHNRFERFDIEGDDETQLNAEFPSVLIPSPPTPDDPKSHDIIEDKHPEPPIIQQDNEDNENMNAREEPQRRGPNKRKRGKPIEMDEEQTTIPAPRYQNWLQNTSDLFSKRGGMRKLQQRHGIMSSTKIANIMEVPLVALNEGLFSSVKDIYYPTPLLDLWIKATQPPHDSPSVRVTSHHPPEPSSTSPPGVHNNDFAGYGFEEFDGNLDNLFNATAEKVRTQILENGLRIPESTLHASSRKSDGFPGGDSARSIPSTVSEHGYSSQSDLDRGRFRKRVHSLSGNSSGGLPTVDENENPKAANFKLPRLSDIGPTPDQELLVETGPTQTQGNTNLPSDKITESIHAQLKAHFSTRGVPESESLDILAAGMNKKSAAQLFYQTCVLATRDVLRVEQKEAYGPILISKGPRI >CAK8532556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:293771618:293772658:-1 gene:gene-LATHSAT_LOCUS2248 transcript:rna-LATHSAT_LOCUS2248-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTSDNSSSTASSSYCTSSPDWEMRLAASSPKKPAGRKIFKETRHPVYRGVRKRNLDKWVCEMREPNKKTRIWLGTFPTAEMAARAHDVAAMALRGRCACLNFADSVWRLPIPATSDTKDIQKAATEAAEAFRPYKNLLTKVVDNTVPVMVVPVNATDKLNMFWIEEEEEVMNTNIPELWRNMALMSPTHSFGYDDEYQHIDVQDYQDDDEDFKKPVTIIWAVTAIGVHSEHFTVKSRIMIVAVTVTANTTRIFVFFLVRKGIKINE >CAK8532555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:293771618:293772775:-1 gene:gene-LATHSAT_LOCUS2248 transcript:rna-LATHSAT_LOCUS2248 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCLYIQHTLLFTLLKLTLTNSNFLFKNLFHSLQHFDMLYTSDNSSSTASSSYCTSSPDWEMRLAASSPKKPAGRKIFKETRHPVYRGVRKRNLDKWVCEMREPNKKTRIWLGTFPTAEMAARAHDVAAMALRGRCACLNFADSVWRLPIPATSDTKDIQKAATEAAEAFRPYKNLLTKVVDNTVPVMVVPVNATDKLNMFWIEEEEEVMNTNIPELWRNMALMSPTHSFGYDDEYQHIDVQDYQDDDEDFKKPVTIIWAVTAIGVHSEHFTVKSRIMIVAVTVTANTTRIFVFFLVRKGIKINE >CAK8566827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465859172:465861103:1 gene:gene-LATHSAT_LOCUS19932 transcript:rna-LATHSAT_LOCUS19932 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMYDEMGLSGDMDVFSGPLVEGDVSARQTEPGVMVGEDYSDDEMDIDELEKRMWKYKMLHKRLKDKEQSKPREGFDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAISKYLADNAIPGNNDGGNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPTGSEEWWPHIGLPKDHGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIVNQEEALARLLYPDYVPPFTASGGSGSFSTNDGNEYDVDGGEDEPNFDIEERKPESLILPSSIGMMERMRGLRLPIQQPSFAMKADAVSNMDFMRKRKISGDFNMMMDPKIFTCEHPPCPYSELRLGFQDRTSRDNHQLCCPYRASLSDYGGPSFHANEVKPVIFPQSFVQQKPTAQSVNLVPPSVDLTGLGVSEDGEKNIGDLMTVYDSDVHQSNRNTNNRVATSAVENHNLLHPSSIQPQQQQQQHQNFYRGQGMVMGGTNMSNNNHHHHMFARDESQFNRFRALNSPFENNHNHNQSHNHNNNSNNNFQFMFGSPQCDLSSFDFKEDSHGVGMDPLQKQQDISIWYQ >CAK8563712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626526318:626527118:1 gene:gene-LATHSAT_LOCUS17091 transcript:rna-LATHSAT_LOCUS17091 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMEDEGESEYYYAHGGKIMLSTVVTLFIITITLLSIHLLIRWYFLRNRDRRQPLSRTRHIRRRSFVFYFEHDVPASLRLDLSRGLDPSVISSLPVFKYSSEEPPVDCAVCLSEFEEGETGRVLPKCNHSFHVECIDMWFHSHSTCPLCRKSVELLPVRPVQVTEPEVTIDVGEPELVLGSSFGEESNRDEPCSTEEQSSLVGVTVEVPETVECEPSSSSFRSPMSRVLSFTRIVSRERKSSVSATSDFVEELDAERGGREETH >CAK8540119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540446742:540449110:-1 gene:gene-LATHSAT_LOCUS9152 transcript:rna-LATHSAT_LOCUS9152 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEDDNEGVESYRKGGYHAVRIADQFAAGRYIAQRKLGWGQFSTVWLAYDTITSSYVALKIQKSAADFVQAALHEIDVLSSIADGDPSNSKFVIQLIDHFKHTGPNGQHHCMVLEFLGDSLLRLIRYTRYKGLPLNKVREICKCVLIGLDYLHSELGIIHTDLKPENILLFSTIDPAKDPFSSGCSPILERPVGNTNGGLTSLIEKRLKRRARSAVAKISGRTASIEGRGEAAKSRRNIDKIDMRCKIVDFGNACWDDKKFAEEIQTRQYRAPEVILKSGYSFSVDMWSFACIAFELATGDMLFAPKGGRDYSEDEDHLALMMELLGKMPRKIAIAGAQSKDYFDRHGDLKRIRRLKYWPLDKLLIDRYKFSANDAREFSEFLLPLFDFAPEKRPTARQCLQHPWLNCKESSPNETRNESTVEKVNVGMSNLQIKVGK >CAK8538843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497678093:497682391:-1 gene:gene-LATHSAT_LOCUS7998 transcript:rna-LATHSAT_LOCUS7998 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEVLQQLESLKEEKAKIDNKISLLEAQLKEINLQNGAAASSNGSLLYPTNGLEPHMIHRYSRHLVLPSFGVQGQTNLLKSSILVVGAGGLGASALLYFAASGVGKLGVLDHDKVELNNMHRQIIHTEAYIGQPKVKSAAAACRSINSSIEVVEHEEALKNSNALEIFSKYDIIVDATDNAPTRYLISDCCVVLGKPLVSGAAVGLEGQLTIYNHNGGPCFRCVFPTPPPRAACQSCADGGVLGVVPGIIGCLQALEAIKIAASVGEPLSGRMLLFDALAARIRIVKIRGRSSQCEACGENAIMNKQYFREFDYEKFTQTPLSEAPLKLNLLPKDSRISSKEYNEIILNKEPHVLVDVRPAHHFKIVSLPNSVNIPFADIEFRLPEISSILKKEEEEKRAELYVVCRRGNDSQRAVQYLHKMGFGSAKDIVGGLESWAKNVDPNFPTY >CAK8568336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596838149:596840016:1 gene:gene-LATHSAT_LOCUS21300 transcript:rna-LATHSAT_LOCUS21300 gene_biotype:protein_coding transcript_biotype:protein_coding MMETRSAKRKSNHQNQPIKKKRVVLGELPNSTNLTVPQKNIYPRKPTPGKKKKIPSEDFDGPVVSEIYMYLRSMEMEKKRRPMMDYMVMVQRDITPHMRGILVDWLVEVAEEYKLVPDTLHLAVSYIDRFLSFNDINRTKLQLLGVSSMLIASEHEEISPPYVEEFCFITDNSYNKDEVLEMESAILKTLDFDTANPTVKTFLRRFNEIACEKKNASSLQFEFLSNYLAELSLLDCVCLRFLPSLVAASATLLARFIVWPKAYPWTPALQEYSRYKPDELKECVLILHDLYMARREASFDASREKYKQHKFKHVANLPSPPHLPSSLFEVE >CAK8562405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:485340276:485340986:-1 gene:gene-LATHSAT_LOCUS15903 transcript:rna-LATHSAT_LOCUS15903 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITQPSLHPPLPLESNINTEDTKWYYGSHAPGIRKAMKILEAQFSHSNASSASATHKNEDVINIYNNNMMNAFNEVYHPNLLVTRSSQSHEPSSDQTVVALTDGDAYVGVHFKKKNFDDGRIHSLPHKKYGPYTCPKCYQVLDTSQKFASHVTSNHYKFDNPEQRKKRYMSRIRKKPSLEIPRLNNGQTTCVPAVPSIDQSHVASIINNDDQNQISSLALPLNGFKYESDLVDA >CAK8565591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285824798:285840360:-1 gene:gene-LATHSAT_LOCUS18788 transcript:rna-LATHSAT_LOCUS18788 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEIEARDVIKTVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINSGRWDAILPQVSQLKLPRNKLEDLYEQIVLEMIELRELDTARSILRQTQVMGVMKQEQAERYLRLEHLLVRTYFDPNEAYQEATKEKRRALIAQAIAAEVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTTLSHTIKFGAKSHAECARFSPDGQFLVSCSVDGFIEVWDYISGKLKKDLQYQADEVFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLSFSRDGSQLLSTSFDSTARIHGLKSGKMLKEFRGHTSYVNDATFTHDGSRVITASSDCTVKVWDLKTTDCIQTFKPPPPLRGGDASVNSVYIFPKSTEHIVVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAACISPKGEWIYCVGEDRNMYCFSYQSGKLEHLMKVHEKEIIGVTHHPHRNLVATFSEDGTMKLWKP >CAK8578674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646191991:646196193:1 gene:gene-LATHSAT_LOCUS30664 transcript:rna-LATHSAT_LOCUS30664 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLSQSINIVAVQRHGHNNKGSVKSRSVRMIYAIRTAAPRLSGFSSTLNSLDSMLRPGQGFHSKVFTRIGTNRANGDRVRGRRCVTKAMFERYTEKAIKVILLSQEEAKRLGHNFVGTEQILLGLIGESTSIAAKVLKSMGISLKDARFEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARHLGHNYIGPEHLLLGLLREGDGVAARVLENLGVDPTNIRKQVVRMVGEAADIVVAVFGNGVGKNKMSTLEEYGTNLTKLAEEGRLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANRVVPETIAGRKVITLDMGLLIAGTKYRGEFEERLKKLMEEINQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVSEAIQILKGLRERYEIHHKLSYTDDALVAAAELSHQYISDRFLPDKAIDLIDEAGSRVRLQRARLPKGARGLEKEVGQIVKEKTEAIRNQDYEKAGELRDKEMDLKNQMSALLEKHKEMSKAESEVGDVDALVTEVDIQHVVSAWTGIPVDKVSVDESDRLLKMEETLHKRIIGQHEAVEAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVSEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKGIELSVTERFRERVVDEGYDPSYGARPLRRAIVRLLEDSMAEKMLAREIKEGDSIIVDADSDGNVIVLNGSCGSCGAPDSLPDPLPV >CAK8562187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452335505:452339794:-1 gene:gene-LATHSAT_LOCUS15706 transcript:rna-LATHSAT_LOCUS15706 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPPLPPWTSDDDFLLKNAIETGASLESLAKGVVSFSRWYSLRELRERWHSVLYDSDVSDEASVAMKNLELAKSNGKAAKEVAGSAEVAAPKRKNQTIRKVYNAMRKRLRTEVFFNSFDMALHDEMCIEKNTNEDEIGGSGNINNASLNLLVNSLVKDGNQLGLVGARAGSSHSMSEDPIRKTIEDVHAPNMPVHVSLEKENGVSESKEMIPRVSDALLNSPNGDKLMFMNIGEKDETEVDKQSVVNVDSNLLPSPCDIQGDDMSGVGESQKLAEETQLAMANGPSAVLDVVANSSGSSHSDVGFASDCEKEVQSSGAVQKSDPKPANEFRLCSLNTEDPIIPSDDTNRANVFDVVPNSDNITSTVVPTVNISTVVVPNSMILKPISIVKEVGYPDSSIINLTKREPNEGLKRKDTSSSSFAASQSFRPGLVPNINPSKENSVAAVLKIENLAKNSNSKVSRQSNNATVNINPSQSRLVHATMKHASYGHPAPEVVIALPSPVNSHPMEEEDKSLPEKEEKLTCIDQVKGNADDDYDSDSDEEHEVPYYSDAEGMILEMDLGPTDQDTNASTEVIRYQSDETKKTIMRLEQCAQSFVQRAIASRGALAVFYGRTLKKYIEKSEVIIGRSTADTDVDIDLARAAKDAHKISRRQASIKMDANGSFIIKNLGKRTLYLNGKEVPKGQMRGLSAGSLIEIWGLAFIFDVNKKCVERFIGNVNEQNQIEE >CAK8537386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:319670016:319671301:1 gene:gene-LATHSAT_LOCUS6679 transcript:rna-LATHSAT_LOCUS6679 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDSAEAIVLNFVNEQNRPLNTQNVADALQKFNLKKTAIQKALDNLADGGKISFKEYGKQKIYIARQDQFEIPNNEELAQMKEQNASLQKQLEDQKKAISDVEAEIKSLQSNLTLEQICEKEVDLRMEVQELETKLNKLRGGVTLVKPEECELVEKILSEKISQWRKRKRMFRDLWDTLTENSPKDPKEFKEELGIEYDEDVEVSLQSYDLIPQGKKRPRGQ >CAK8543471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610205634:610206153:1 gene:gene-LATHSAT_LOCUS12227 transcript:rna-LATHSAT_LOCUS12227 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGEIRRTNRATLEWIDNIPREKWLRAFDGGQRWGHMTTNLAEAMNSVLKATQNLPITALVKSTFYRMGSLFGKRGHDWTKMLTSGQTFTENCNKGMAGEASKSSSHNIIQFDRERFCFMVAESIN >CAK8539197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506508786:506509881:-1 gene:gene-LATHSAT_LOCUS8317 transcript:rna-LATHSAT_LOCUS8317 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVLLTTGEMKNVKVNDAVEKEKKIFRIQVSKTKKPLFFYLNLAKKQLKTDNDVELCALGTAIPTIIIMSEILKRNGWAIEKSIVACTIEAKEDKEGRVGAPKAKLDILLGKAKTVDQSTDGSA >CAK8561712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:363421608:363424691:1 gene:gene-LATHSAT_LOCUS15277 transcript:rna-LATHSAT_LOCUS15277 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTDGSQKKRLVASICAVTIFIGFLYVYGGSIFGSQNSSSSALEYGKTLRKLGSSYLGADDDSDGKQDESSSSFGQGDEEDNFVPKSFPVCDDRHSELIPCLDRHLIYQLRMKLDLSLMEHYERHCPPAERRYNCLIPPPSGYKVPVKWPKSRDEVWKANIPHTHLAHEKSDQNWMVEKGEKIVFPGGGTHFHYGADKYIASMANMLNFSNNNLNNEGRLRTVLDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEENLRIWKEMSDLMGRMCWRIASKKDQTVIWQKPLTNQCYKEREPGTRPPLCQSDADPDAVWGVNMEVCITPYSDHDNKAKGSGLAPWPARLTSPPPRLADFGYSNDMFEKDMELWRERVDKYWSLMSQKIKSNTIRNIMDMKANMGSFAAALKDKDVWVMNVVPQDGPNSLKLIYDRGLIGATHDWCEAFSTYPRTYDMLHAWSVLSDVAKKDCSPEDLLIEMDRILRPTGFIIIRDKQPMIDFVKKYRIIGEQLYHKSCELYSKISSLEANIPRYYFDVRPLDANQLQNWHDYLDFIELQGDFDWAVKLYERCLIVCANYPDYWMRYADFVEAKSQQRLSGADRKKLKRTIRDKFPRASDSDRDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSVEALKAGKREKTEKSISLEVLQRYFAGSLKDAAKSHGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRDIESVQGAEGTFDLNSLNANQLPVAGSFPDHSTPNKSSQQTSLNISPSEPQMKENEFYAPKVLDTNILCTTSLLHTIANKKQHSPQTIPCCKTAPHQTNRQYSAQTVPVASRHTSWQYLLWLVQTAGKQHARQFLHMKATDMK >CAK8531113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82005533:82006720:1 gene:gene-LATHSAT_LOCUS925 transcript:rna-LATHSAT_LOCUS925 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDGSACNAKYLLLVLLVWSSLCALMSGICHGRNLDGSSFSDPSHWSRGGDSDDDYCLYRSWRGCGSFYERGGRNSKSDEDGGGGGGGAGSGEGYGAGEGNNGDGGMGGGGGGGGGEGEGGGNGVGYGHGSGFGAGVGFGGVGKGGGGGGGGGFGSGIGSGGVGRGSGFGMGGSNGGHGGGGGGGSGGGSSYGGGQGEGSGFGAGGGMGDLEGGGGGGGGGGGGGGNGEGKGHGHGSGFGMGVGAGRVGMGGGGGGGWGEGSGNGGQGYGSGFGAGVGAIGGGKGGGGGGGGGVGEGSNGGEGEGHGSGFGGGTGGGGGGGGGGGGGGGGGGYGHGSGFGTGMKTSGHDTTKSEGNNHGGNNGMGIGFGMGMGFGIGMGSNGVDATSHVDPNDP >CAK8567809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546793027:546793398:1 gene:gene-LATHSAT_LOCUS20831 transcript:rna-LATHSAT_LOCUS20831 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTLNAIANATPRLRFRLSFHLVLSQCLLISIFAHEAFRLCNDDNLLASSQFGFTTINQDSGIQKHLGFVPQLSTSILSTSIHIGIETASQSQLLLDIVCFVLFLTGCDIAKFRHTSTTQP >CAK8569353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695983738:695986038:-1 gene:gene-LATHSAT_LOCUS22214 transcript:rna-LATHSAT_LOCUS22214 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTTGTGGGDGDGDGDEQQLIKTITTMLTNHQNPQHLQPFIPHLTLPIIISILSWKPLHSHPQTLVSFFKWFQTNAPSTLSVSPKPLLTLLPPLLSRRKFSDAKSLLLDFISSDHPRHSLHSCLLRSDHSIPKPVLDTSIAAYVLSQQPQLAFEIFNKMRRLRFRPNLLTCNTLLNALVRSNSSHSILLSRQVFQDSIKLGVQPNTNTFNILIHGYCSNNNFNEAFRLINQMGEFGCCPDNVTYNTVLNALCKRSQLSKVRDLLQQMKSSGLVPNRNTYNILVHGYCKVKWLKEAAEVIELMTGNDMLPDVWTYNTMVRGLCDEGKIDEAIRLRDEMENLRLVPDVVTYNTLIDGCFEHWGSVEAHKLLEEMKSRGVKENAVTHNIMVKWLCKEGKIDEASNVMAKMVESGFSPDCFTYNTMINSYCKAGKMGEAFKMMDEMGRKGLKTDTFTLNTILHILCSEKKLEDAYKLTINARKRGYILDEVTYGTLIMGYFKDEQADRALKLWDEMKEKGIVPTVVTYNTIIRGLCLSGKTDQAVDRLNELLEKGLVPDEATCNIIIHGYCWEGAVEKAFQFHNKMVEHSFKPDIFTCNILLRGLCRGGKLEKGLTLFNTWISKGKPIDIVTYNIVISSFCQEGRLEDAFDLMVEMERKNMEPDRYTYNAITSALTNAGRNEEAAKFISKFAEKGKDVKAQDTSLEVGTSDMMYSEQICSLCTQGKYKEAMKLFQQAEQKGVCLNKYTYIKLMDGLLKRRKSISKAAR >CAK8568068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569092105:569092892:-1 gene:gene-LATHSAT_LOCUS21064 transcript:rna-LATHSAT_LOCUS21064 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGVGGSGGGSSDVENDLEMKLLRDRFRLSAISIAESQANKSGMEVSNVVVACVADLAFKYTERLAKDLQLFSQHANRKSVNMEDVILSAHRNEHLSGLLRTFSNDLKAKDRQYERKRKKNDKTTV >CAK8578431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629108172:629109425:1 gene:gene-LATHSAT_LOCUS30443 transcript:rna-LATHSAT_LOCUS30443 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALGAVSATKDVSFNVHLEEEHKNKGTNKVDGVNYDDVVEEILLDEDEEEEHKVELDLGPQFSLKEQLEKDKDDESLRKWKEQLLGNVDVSAVGEKVEPEVKIASLTIICQGRPNLVLPIPFTSTDSKKSIFTLKEGSHYRLKFSFTVSNNIVSGLKYTNVVWKTGVRVENTKNMLGTYSPQQEPYTYELEEEITPSGLFARGTYSARTKFVDDDRKCYLDASYRFEIQKNWPTHQ >CAK8570415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44005676:44007625:-1 gene:gene-LATHSAT_LOCUS23163 transcript:rna-LATHSAT_LOCUS23163 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPGTIVFNTVGRTQYGFDVFYTDLNDHSKDNLLTDGISVNFNAQFTDDKETIVFVSERTGSPRFYLSRPGNKPELLPSVPNTPFQDRPTIKNGKIYFSSTHVQPNALFKSWSAVYSTAVDGTGTLTRLTPQGVIDYSPAVSLTGNLIAVASYGSRRWDGDFRELQTDIVVFEESVPENRVVVSERGGWPTWLGDSTLFFHRIADDGWWSIFRIDLQDSNLTGSQPEPIRITPPGVHCFTPAALQNGNRVAVATRRNESDYRHIEVYDLETQTFQKITEAINPNFHHYNPFVSPDSRYLGYHRFRGESTQGVQGVQGVQGESTYPHLDPVISLVDNIRLLRLNGAFPSFSPDGDFIAFNHDNVATDGGVNIIRSNGSKRWGLLKGRVCFGNAWSPTEKNVIYTSIGPIFESVTKTVQIGRIEFDPVHLTDDREEIPFTLTILTKYDSGNNAFPSCSPDGKFVVFRSGRSGFKNLYIVDAVNGEANGGLRRLTEGEWIDTMPSWSPKGDLIAFSSNRHDAGNSEVFGIYVVNVDGSGLRRVEIGKSVDGERERLNHVCFSSDGEWLLFTGNLGGVSAEPVGLPNQFQPYGDLFVVKLDGSGLRRLTCNAYENGTPTWYHGELLLSSSDGDWDKLKGKFKEPLWITCDN >CAK8541195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:87003558:87004757:1 gene:gene-LATHSAT_LOCUS10131 transcript:rna-LATHSAT_LOCUS10131 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPPDLVSKILIKLPAIELSKCKCICKSWLDLISNPQFITNYYTIYNKNQQEDLLVIRRPFLCGLKTYISHVSWNFNDPKKHVLSEIFNPPYEFSSEHKYWTEIMGPCNGIFFLEGNPNIMMNPCLREFMVLPQSRFTPPQGFYSFTEYYGFGFDYKSNDYKVVVLKDLWLKETDERQNGVWNVELYSLNLNSWRKIDAEDLPLPFEIWGSSRVFTFVRNCCHWWGFVDGGNIGDFVLAFNMVDEMFRKIKVPRIEYSSSSVECFKTLVPFDEHDTIGVVVYPVRGIDKCFDVWVMKDYWDEGSWIKVYSVGPVPVIYKLVGFYGGNRFLWKDSNERLVLYESDKGNVRCLEVYGKYDSIRGVRYMESLVSLRGRNQTSRQCFSCSLVPDPLLNLGD >CAK8541823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409314567:409316247:-1 gene:gene-LATHSAT_LOCUS10717 transcript:rna-LATHSAT_LOCUS10717 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASGQQSNDQIPLTVFVDKDKNKVVYAEAGKDFVDVLFSILTLPLGTIARLVSKDSNIEAVKFGSISSLYQSVSNLDEQYLWSNTCKGMLLKPRNSMEPYCQKMKLNIDETEPLMYYFCEDKTCRIENWYSLCTFKDQICTCGKLMNVVLPQKNNTENGFVEETSTFVISDNLFVMPNLLRTSLSLFQKLGIKDIDAVDKQTVNISKNEAFDILKFSLISKTPLTDFIFKKVKLVSNLDPRNRLEFWMGELEFEKKPSDDSNMVVKFLRNWLGFWIGELELKEEASDESNMVVKLLRRKSNEQILFAEAKEDFADFVFSFLTFPLGGVLHMLQGFSFLSCVDNLYKSMTELCSARCLLSQELKDKLTKPPISMEFNVRNQILPIHGDYKDKSEPYRFVDPKSPIYGGFAKGPLTFMVTDDLVMSPMSSSNVVSYLERMNVPLNDLEERVVSIGVKEGLRILKAALTTTSALTNGLSLSVMEQFFHDQNSQSIDKS >CAK8543884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646567519:646567845:-1 gene:gene-LATHSAT_LOCUS12608 transcript:rna-LATHSAT_LOCUS12608 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSTSFSKGFWKEGFGIIMKKLQKRIMMKQGHFVVVATQGWKPERFCVELEYLDHPEFVKLLKQAEEEFGFSQDGAIAIPCEPDELKRIIGRKKQHMNIKGIDITC >CAK8578916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662085206:662085616:-1 gene:gene-LATHSAT_LOCUS30895 transcript:rna-LATHSAT_LOCUS30895 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8560780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42174030:42180916:1 gene:gene-LATHSAT_LOCUS14430 transcript:rna-LATHSAT_LOCUS14430 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNQTQAFYFFDPSNMGLPGVNQLPPPPPQPPTSAATSTSSEDPNKKIRKPYTITKSRESWTDQEHDKFLEALQLFERDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKNAPTASQVTGPLQSSPAFVDPAYIYSTDSSSVLGTPVTSLPLSSWNYNAKPPASVPQLTADDTGWTGSGQAAPLNCCNSSSNDNTHLALPSSKGINQGNMGKPVHVIPDFAQVYTFIGSVFDPNSTNHLQRLKQMDPINVETALLLMRNLSINLMSPEFEDHRKLLSSYDADSGKEKFASPSSSEAMTVVKSENAVLSA >CAK8560781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42174030:42180916:1 gene:gene-LATHSAT_LOCUS14430 transcript:rna-LATHSAT_LOCUS14430-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNQTQAFYFFDPSNMGLPGVNQLPPPPPQPPTSAATSTSSEDPNKKIRKPYTITKSRESWTDQEHDKFLEALQLFERDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKNGPLQSSPAFVDPAYIYSTDSSSVLGTPVTSLPLSSWNYNAKPPASVPQLTADDTGWTGSGQAAPLNCCNSSSNDNTHLALPSSKGINQGNMGKPVHVIPDFAQVYTFIGSVFDPNSTNHLQRLKQMDPINVETALLLMRNLSINLMSPEFEDHRKLLSSYDADSGKEKFASPSSSEAMTVVKSENAVLSA >CAK8533334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603838237:603839340:-1 gene:gene-LATHSAT_LOCUS2972 transcript:rna-LATHSAT_LOCUS2972 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLNPFATTHSDSGSDSDVDSLSQFVTDLFENRSSVAPAYPWDDDDDEDDDEGDINPFSVFARDQGEPELELGFGDEYENEIETQYNDNYDDVFDFSVGGGDSTGLRVIGFGSDSESSGHDEEFGYEGRNDDEDDGRVGGLCWESFRLEDHRSILNDWEEVVEERVNEIEDSSSLLNGEVEVDVDVDDQSVATGFEDDEEEQGEEALRYLEWEILLAFNNFERSDGLEHEDDNINNLYLAVQEGFISGNTDYDILFSQLLENESGLKGSPPAAKSFVENLPLVELTEEELKKKDVTCAICRDEVIAEEKVRKLPCSHYYHGDCILPWLNIRNTCPVCRFELPTDDADYEQSKAHRIASDLLDFAA >CAK8543833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:642353323:642354940:1 gene:gene-LATHSAT_LOCUS12563 transcript:rna-LATHSAT_LOCUS12563 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSDPFSLSSSHGAFDKIQDTHANPNPNQLPQANKKKRNLPGTPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEPRKKVYICPEKTCVHHDAARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHAKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARQTSPLTPTTLNFKNEDSNLMNTQTSLSQGFISRQNLAGFPHEGFHDQQKPSLSLWLNHENQQNVNRHPYNSVDHVSSGFCDIMQMAQTSITPMSATALLQKAAQIGSTRSSTTNNNPSIFSGSFGVTSSSNSTQNHDEINNMVINQNMNQHGNFNPSTTSSAMVGNNSNGFRDFLGVSSNHPFLPQELAKFASTMSSSMMSLNQYNEGCINEN >CAK8563735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627943469:627943738:-1 gene:gene-LATHSAT_LOCUS17114 transcript:rna-LATHSAT_LOCUS17114 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFVETNTLGKYLGVPLTGKTPRKKDFQYLIDQVKYKLAAWKGNQLAFAGRIMLVKSVIEALHIYPMMIAAIPKSCINEINKIQRHFI >CAK8563852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635354844:635355455:-1 gene:gene-LATHSAT_LOCUS17219 transcript:rna-LATHSAT_LOCUS17219 gene_biotype:protein_coding transcript_biotype:protein_coding MQELWMIIEDFNDITSTTKNKGGVIASTRKSKLFIERIDKCKLMDLGSSGPKFTLRGPIYHRGQRIYENLDIALSNITWIEIFIDACVKVLVRVEFLDHHPILIMSNMRMTNITSRPFKFESTWLIDVSYRVMLKRFWRKEVSMGENMEKSKEDIKSWKGNSFDQVLRKKKKYLARLNGVQGCIQRNDNCSGMRTLEKTFFLN >CAK8564333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668562310:668563186:-1 gene:gene-LATHSAT_LOCUS17648 transcript:rna-LATHSAT_LOCUS17648 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKEMVVEKGVDLKRYMGRWYEIACFPSRFQPSDGTNTRATYTLRDDGIVGVLNETWSGGKRSYIEGTAYKADPNSDEAKLKVKFYVPPFLPIFPVVGDYWILHLDRDYQYALIGQPSRNYLWVLCRQPHLDEEIYNQLVEKAKEQGYDVSKLHKTPQSDTPPEQEGPQDTKGIWWIKSLLGK >CAK8575171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43734341:43734911:1 gene:gene-LATHSAT_LOCUS27457 transcript:rna-LATHSAT_LOCUS27457 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETLWPNGLLSLLVAHKELSGFERPWTSNPLIFDNSYFTFTMSPEDEERKSEVRATLGRPLRNYSTVAILQCYIDSMVDSRGWEEIPGQGTDNVTYVEFENVGPGSNTDGRVEWHGVRVLGNHNQALVFTASYFLDADSWIPTRGVPYDSEL >CAK8568856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648303043:648308892:1 gene:gene-LATHSAT_LOCUS21769 transcript:rna-LATHSAT_LOCUS21769 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVVGGKFKIGRKIGSGSFGEIFIASDMDNSEIVAVKMEKKNTRHPQLLQEAKLYSILQGESGIPNMKWCGTDGDHNVLVMDLLGRSLEDLFVFCGNKLSLKTVLMLADQMLTRIEYVHSKGFLHRDIKPDNFLMGIRRKSSQVYIIDFGLAKRYRDPKTKLHIAYREKKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLMYFLRGSLPWQGLKAVTKEEKYNKIREKKLSTPIETLCESYPVEFASYFHYCRSLAFDQDPDYGFLKRLFRDLFNCEGYEYDNLFDWTILRNRQVQQTRRQNQSSTSDAVPSAVPSSMEPSAVEKRTGINSSPQFTVTKLLTNLDRPNTRVHPKPSNVKKPNARNHTEKHNVNNGSSTSSALQKSTTGVVSKPERSTGTSNLSRVFGSNSHVSSSWMPSLRRISSTK >CAK8532605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:318732530:318738570:1 gene:gene-LATHSAT_LOCUS2293 transcript:rna-LATHSAT_LOCUS2293 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSGFTETTPGTKVRVVAKIRGFSDTDTNFETSKTVEWVLVNTKNSGEVTISFKEQSSSQYSVDHCYNEREDNEVIYSKELKHLVSAAFEGISSTVIAHGARGSGKTRLIQGSLEKSGLAMLAISEFLSVTEKNGKSIAVSFYEVDQDHANDLLNPEQSPILVLEDHGRIQFKGLTQTTVKSIAEFRNLYITACSAHTAAPKKGFERARRSHLGLIVHVFAQNESVNSLVSKMNFVDMAGYEDARRKIGDASVVAENNKINKSIYALLNVCHALSTNESRVPFRESKLTRMLQDSLRGTSKVVLVSCLNPSFCQDTVYMLSLASRSCQRSHRTPFGSMKTASSTRQTVNFDSTKKIASSVTLNSSKKSASSVRQTVTLDSTKKNASLVRQTFVTIRKNKIPKNVSASTKELPGSISHIYDEETCVVAKKSEIQGRKLFDEVSHSAAKAKKDNSLSEDGYHHVQLNSKVEKVESSLNTRSEVELDPIVEKVTSFEDKEDMHVPYIINYSKDLSIANEGLNMNKENNNLMENEDCSPPISSQLRELQSLVSSTPLCMQLPEEKFISLDDDQISTKTAEPRTPDTENLDVMNTKSFWETFNMHRSGMNYTEVTKPRTLDIEIRDVMNAKSPWETFKMHGFGIGTKNSTEVTEPRTLDIEIRDVMNAKSSWETFNMHGSGTKNSTEVTEPRTPDIDIRDVMNTKSPWETFNLHGSGMKNSLVKDYLMILNTAEKDELKKLKGIGEKRANYILELREESPEPFKSLDDLKDIGLSEKQIRGMMKKEVGELFNY >CAK8533349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605989732:606012883:-1 gene:gene-LATHSAT_LOCUS2985 transcript:rna-LATHSAT_LOCUS2985 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLESLLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSGARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKSQWPPSGVEPALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQAQNRIWDYLDSVTLQLLAVLRKGLLTQDVQHDKLVEFCVTIAEHNLDFTMNHMILELLKQDSPSEAKVIGLRALLAIVMLPSSQHFGLDIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRNDKITEIIPQHGISIDPGVREEAVQVLNRIVKYLPHRRFAVMKGMANFILRLPDEFPLLIQTSLGRLLELMRFWRSCLIDDRMQLDADAKSLGPETQRFRKSSFLQSGEAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLRIHEQPIHSWKYEAEPIFIIDVLEEHGDEIVQNCYWDSGRPFDFKREPDTIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQEAKVEVTQRLAHITPVELGGKAHQSQDVDNKLDQWLMYAMFVCSCPPVVRESSGTTTTKDLYHLIFPSLKSGSDAHVHAATMALGRSHLDACEIMFSELASFIDEVSSETEGKPKWKSQKARREELRVPIANIYRTVAENIWPGMLARKPVFRLHYLKFIDETNRLITTSPESFSDMQPFRYAHACVIRALAPEFVDSKSEKFDVRTRKRLFDLLLSWCDDTGSTWAQDGVSDYKREVDRYKSSQHARSKDSVDKISFDKELSEQVEAIQWASMNAIASLLYGPCFDDNARKMSGRVISWINALFLEPTPRAPFGFSPADPRTPSYTKYQGEGARGTGRDRVRGGHHRLSLAKLALKNLLLTNLDLFPACIDQCYYSNSSVADGYFSVLAEVYMRQEIPNCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGGYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKEGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKNRNISPVLDFLITKGIEDCDSSATTTEISGAFATYFSVAKRVSLYLARICPQRTIDHLVFQLSQRLLEDSIEPVGLGASKGEVSANFVLEFSQGPAMAQMASVMDNQPHMSPLLVRGSLEGPLRNVSGSLSWRTAGMTGRSVSGPLSPMPPELNVVPVSTARSGQLLPSLVNMSGPLMGVRSSTGSLRSRHVSRDSGDYFVDTPNSGEDGLLAGGAAHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFRQYLPLLFHVIFVSMDSSEDIILEHCQHLLVNLLYSLAGRHLEQYEVENNDRENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGEEALRWAMECTSKHLACRSHQIYRALRPSVTSDACVSLLRCLHRCLGNPVPQVLGFVMEILMTMQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYRQVLELFSQVIDRLSFRDRTTENVLLSSMPRDELDTSYIGEFQRTESKSGYEPLQEGSLPVFEGVQPLVLKGLMSNVSHSVSIDVLSRITVHSCDSIFGDAETRLLMHIIGLLPWLCLQLSKDPAIGPVSPLQHQYQKACSVAANVAIWCRAKSLDELATVFMIYSRGEIKSIDNFLACVSPLLCNEWFPKHSTLAFGHLLKLLEKGPVEYQRVILLMLKALLQHTPMDAAQSPHIYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHSHDIVSFENGISGTEEKFLAPQTSFKARSGPLQYGMSSALVSVSTPGQGVSNESQREVTLQNTRLFLGRVLDRSALGKRKDQKKLVPFVANIGNP >CAK8564519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679449014:679453756:1 gene:gene-LATHSAT_LOCUS17818 transcript:rna-LATHSAT_LOCUS17818 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTISLTLVGVGYYLSPHYYSSNPNPNFNAFFSSSTNPNFNANNPKLRPTLSTRTTRVYGHVNRDQPDYQLENGLGYFDSEEEPATLVRNQVQTVVQGSPSTGPSEYDPAPDVDYLQELLAIQQQGPRTIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLSKQSPESQELLSKVKNVIEKPLCDHLPLLAASRLCNMDIVSRVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >CAK8537786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:433074980:433081016:1 gene:gene-LATHSAT_LOCUS7040 transcript:rna-LATHSAT_LOCUS7040 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKILDRSTIIKHKMADQIKREISIMKLVRHPYVVRLHEVLANRTKIYIILEFITGGELFDKIVHHGRLSEAESRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNMKISDFGLSALPEQGVSMLRTTCGTPNYVAPEVLSHKGYNGAPADVWSCGVILYVLMAGYLPFDELDLTTLYSKIDKAEFSCPAWFPVGAKSLIQRILDPNPEHRITIEQIRNDEWFQKSYVPVHLLEYEDVNLDDINAVFDSVEDQRDNQQCEVEDTGPLVLNAFDMIMLSQGLNLASIFDRGQDTMKYQTRFVTQKPVKVVLTSMEVVAQSMGFKTHIRNYKMRIEGISANKKSHFSVILEVFEVAPTFFMVDIQKAAGDSSEYLKFYKNFSSNLEDIIWKSPHETSKLKTSKNRSKRH >CAK8570251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31604471:31604821:-1 gene:gene-LATHSAT_LOCUS23017 transcript:rna-LATHSAT_LOCUS23017 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNKKFLKISYKNDKLGKGIRGLGKGRTKRHMMIMHDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRQGRTLYGFGG >CAK8571329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:381408080:381408706:-1 gene:gene-LATHSAT_LOCUS24001 transcript:rna-LATHSAT_LOCUS24001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQPRSRSRRCCCCLFSIIWKLIISIVFIVALIFLVLFLILQPRSFKFSVKEAKLIQFNYTTNTNTLRYNLVLNFTASNPNKKFNIYYDAMNGHVFYEGTRFASTDTITGLNFRQYTKSMNPMSGVFSGQRVVVFDHDQVSKFESDEKKKIFHIDVKLNFTIRFRLGDYIFPYIKGNIKCGIDVPFGSNGTKLMNAFEPTTCEVNF >CAK8533298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601097616:601098125:-1 gene:gene-LATHSAT_LOCUS2938 transcript:rna-LATHSAT_LOCUS2938 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSYIDAAFCSSKNNEAYFFINDKYVLLDYAPGTSNDKVLYGPTPIRDGFKSLNQTIFGSYEIDCSFDTENNEAFIFYENFCTLIDHAPHSNKDKIILGPKKITDVFPFFEGTVFKIGIDAAYRSTRGKEVYLFKGDQYARIDYGTNSMVNKEIKSISNGFPCFRNT >CAK8533646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645624430:645629476:1 gene:gene-LATHSAT_LOCUS3257 transcript:rna-LATHSAT_LOCUS3257 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGRKRIHFSKLYSFSCFKSSFKEGHSQIGQKGYSRVVYCNDPDNIEAIQLNYDGNYVSTTKYTAFNFIPKSLFEQFRRVANIYFLIVACVSFSPLAPYTALSIAAPLVAVIGATMAKEAVEDWRRRRQDIEANNRKVQVYGKNNTFVETRWKKLRVGDVIKVYKDEYFPSDLLLLSSSYGDGVCYVETMNLDGETNLKLKHALDATTRLNDEESLQKFRAMVKCEDPNENLYSFIGTLKYEGVEYALSLQEILLRDSKLRNTEYIYGVVIFTGHDTKVMQNSVDPPSKRSKIERKMDKIIYILFSTLILISFIGSLFFGVETKRDISSDGNYRRWYLHPDESTVYYDSRRAGLASILHFLTALMLYGYLIPISLYVSIELVKVLQCIFINQDQEMYFEESDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVGGIQYGRGITEVEKALARRVKGGESEGDAYSLDILSKSSEAVESQKPIKGFNFKDERIMNGLWINEQHPDIIEKFFRVLAICHTAIPDVDKKSGEILYEAESPDEAAFVIAAKELGFEFFARTQTSISLHELNHESGKKVDRVYRLLHILEFSSSRKRMSVIVRNEENRILLLCKGADSVMFERLSEYGREFEAETNSHIKKYSEAGLRTLVITYRELGEEEYKQWDKEFSKAKTSLAADRDTLVDAAADKMERDLILLGATAVEDRLQKGVPECIENLAKAGIKLWVLTGDKMETAVNIGYACSLLRQDMTQIVITLDSSEILSLEKQGNKEALIKASHDSIEKQINDGILQIKSAKGDSSSESSSFGLIIDGRSLEYSLNNALEKPFFKLASNCASVICCRSSPKQKARVTKLVKLETGKTTLSIGDGANDVGMLQEADIGVGISGAEGMQAVMASDYAIGQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYASFSGQAAYNDWYMSCYNVFFTSLPVIALGVFDQDVSAKLCQKYPFLYLEGVENTLFSWPRIIGWMLNGVISSLLIFFLTTNSVSNQAFTKDGQVVDFEILGVIMYTCAIWVVNCQMALSINYFTWIQHFFLWGSIAFWYIFLIVYGYISPTISTTAYRVFMEACAPSALYWLVTLFVVVCVLLPYFCYRAFQSRFLPMYHDIIQRKQVEGSEFEIGDELPKKVQGKLIHFRERLKQREP >CAK8561631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:331332575:331335158:1 gene:gene-LATHSAT_LOCUS15206 transcript:rna-LATHSAT_LOCUS15206 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGMFGKWTREQDMAFENALANYPEDDVDRWVKIAAVVPGKSLGEIMRHYEVLVEDVYLIQSGYVPVPCYNSPPEGSTKRGKEGTNKERRRGVPWTEDEHRLFLIGLEKYGDGDWRSISRRCVVTRTPTQVASHAQKYKIRQKSMNQKKERRRRSSIHDVTLGKNRNIPGPQVPITGQASDSAASSAGQSAIQVPPPPPAEMLAAAAPAGMLAAAAPAEMYAAVPPAGVKTSDNPPAPPAGIRTVDNLPAPPAGIYAAPGIWQPVGRPVVSADGTTPVNLTASGHTAYDHAPVSGTAIQEAPMNFSSLNYLMQHTSSTRS >CAK8565878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346439059:346441047:-1 gene:gene-LATHSAT_LOCUS19055 transcript:rna-LATHSAT_LOCUS19055 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSLLFHKHTLFNLPFTKSFQISHQNTILHRLFFSTATSQIISSQPSSHSPPTPNPNPRKFKTSNTIIKSDQFGLVHLESITNNFTDQNNDEFASDVEKVYRILRKYHSRVPKLELALKESGVVVRSGLTERVLNRCGDAGNLAYRFFSWASKQQSYRHSQDVYKAMIKVLSKMRQFGAVWGLIEEMRVENPDLISPQVFVILMRRFASARMVHKAIQVLDEMPKYGFEPDEYVFGCLLDALCKNGSVKEAASLFEDMRYRFPPSVKHFTSLLYGWCKEGKLVEAKHVLVQMKDAGIEPDIVVFNNLLGGYAQAGKMADAYDLLKEMRRRGCEPNASSYTVLIQSLCKHEKLEEAMRMFVEMQRNGCQMDVITYTTLISGFCKWGKIKRGYELLDQMIQEGHSPNQLTYLHIMVAHEKKEELEECVELVNEMQKIGCVPDLNIYNTVIRLACKLGEVKQGVQLWNEMEASGLSPGIDTFVIMINGFLEQDYLVEACEYFKEMVGRGLFATPQYGTLKELMNSLLRAGKLEMAKDTWNCITSSKVCEMNVSAWTIWIHALFSKGHVKEACSFCIDMMDNDLMPQPDTFAKLMGGLKKLYNREFAVEITEKVRKMAADRHITFKMYKRRGERDLKEKVKEKKDGRKRRARQRRWGGGQQKAL >CAK8560191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10183636:10185938:1 gene:gene-LATHSAT_LOCUS13888 transcript:rna-LATHSAT_LOCUS13888 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDEGHFNGELENGVSIGYGEIEDDANKVAIEDAVKVLLLGLGEDINREGLKKTPLRVAKALLEGTRGYRQKVKDIVEGALFPEAGLENNKIGHAGGAGGLVIVRDIDLYSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVAEVFAKRLQEPQRLADEVSSALQQGIKPAGVAVVLQCTHIHFPDIESIFLESNQKEWGNIHVSSGSGVFENKNADEWADFFYLIKSRGVDMETIHLRASSDQCWCPSLSTISTKVSSKIGPINPSMVTAVSSILKSLGEDSVRKELAGTPNRFVKWLLNFQSIDMDMKLNGSLCGGIKPLIATNEVVNNIDKQIYTELNLPFWSQCEHHILPFHGVIHIGYFLSEGFNPIGKSLLQSIVHFYGFKLQVQERLTKQIAETVSPLLGGNVIVVVEASHTCMISRGIEKFGSSTATIAVLGCFSTDLASRTSFLQSIANATSSGVQ >CAK8569130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674362091:674364957:1 gene:gene-LATHSAT_LOCUS22014 transcript:rna-LATHSAT_LOCUS22014 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPILLIVIFYSLFIFLGESRSSSSTQSNNDDQVYIVYMGAAGSANGTLRKDHAHLLNTILRKNKKALIHNYKHGFSGFAARMSKNEANLIAQEPGVVSVFPDHIMKLHTTRSWDFLKSLSHIEIDNNLSESSPSSDIIIGMLDTGIWPEAASFSDKGMGPIPSGWKGVCMTSTDFNSSNCNRKIIGARYYPNIHDDAGATNTVRDAIGHGTHTASTAAGKNVSGASYYGLAKGTAIGGSPESRLAIYKVCYGDDCYDSAILAAFDDAIHDGVHVLSLSLGWDSDRRPALTNDSIAIGAFHAVEHGIMVVCAGGNDGPQKTTVVNDVPWIFTVGATTIDRDFLSNVVLGNNKVIKGRAINFRKSANYPLITGEAAKTSSADIAEARQCHFNSLDKEKAKEKIVLCDGRTDHHSTYSKVDVVAEVGGLGLVHITDSEGAEATYYNDFPATAVTSKDAIPILEYVNSTSNPVATILSSISVIDSKPAPIVAKFSSRGPSTLSKNILKPDIAAPGVDILAAWMGNDTTQAPKGKKPPIYNLASGTSMACPHVSGLAGSIKSRNPTWSASAIRSAIMTSATQFNNMKTPITTELGPVSTPYGYGAGEITMNASFHPGLVYETDTIDYLNYLCYLGYDTATIKLIAKTIPDGFSCPKDSTPDHISNINYPSIAISNFIGKEFVNVTRTVTNVGEEYETVYSAVIDAPNGVKVQLIPEKLQFTKHSKKQSYQVIFSSTLTSLKDDLFGSITWDDGKHKVRSPFVLAV >CAK8564547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680478704:680479075:1 gene:gene-LATHSAT_LOCUS17845 transcript:rna-LATHSAT_LOCUS17845 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTFLHLGFPVNNSANAFKIVGRSTSSSDNKGSSVVACTATSSGKDIEEESSLDIELDLTFNLGCEKLHSLKKPVDSNMKALELQPSLIWNRAFPPSPREMSPTNSTLQKEPTESFSDGFGW >CAK8573827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640607144:640607599:1 gene:gene-LATHSAT_LOCUS26230 transcript:rna-LATHSAT_LOCUS26230 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVWFVREIPTDFSISF >CAK8533777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659495728:659497287:-1 gene:gene-LATHSAT_LOCUS3375 transcript:rna-LATHSAT_LOCUS3375 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLTISLAVAITLILTSFLYAFYQTLCLKNPAFTHWPFIGMLPQFIWNLSHIHEFSTQVLKSKGGTVEFIGPWFTNMDVVITADPMNVHHIMSKSFDNFVKGDLFRETFQPFGEGVFTTDSHKWKYNRNLVQHLFKQKSFEVFQERIIHNKVEKSLIPLLNHVQKQKGSVVDLQDVFNRFTFDNICLIVLGHDPNCLSSDFPEVAYEKAFNQAEESIFYRHAMPRCIWKLKRWLQIGEEKKMIQACKIFDKFLFSCIASKREEVRKTFDTTKINVETNDEACHIDFLTMMIIGEEKSKDSESEFRGDKFLRDVVFNLFVAGRDTITSALTWFFYLVATHPLVEAKILEEIKENFGRKEKPWVLSVDETKKLVYLHGAICEALRLFPPVPFERKETIKSDILPSGHHVYPKTLILFSVYAMGRFEDIWGEDCLEFKPERWISERGGIVYEPSYKFFSFNAGPRTCLGKDLAFIQIKMVAASILWNYCVHVVEGTCVTPNLSIVLLMKHGLNVRITKREI >CAK8575920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:362135346:362135759:1 gene:gene-LATHSAT_LOCUS28145 transcript:rna-LATHSAT_LOCUS28145 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRSTNATETVTTTTTTNPYHSVSDPCLSSHTELTEGRPSRNMVEIIFHTSWGPKSFSGRVEMIFKVHNGSRMVSRFEEYHEAVKTRSGSVNTGSDDNHEENARYIADGNEVMRFHCLGPTSGDGSYMELVWGSL >CAK8540706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16646552:16647307:-1 gene:gene-LATHSAT_LOCUS9684 transcript:rna-LATHSAT_LOCUS9684 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEVLKAVFPLLEGVDLASCMFVCKQWKDLAKDDFFWKCQCAKRWPSVCKRPNPPTETYYKLYKTFHKRQQRKTLLPPRISFDDLDFFIDIWAENNLLFSEVVSGSVLQSGFKSPPSGVCELLKFHLEGSEYKMTFPVEPRFTIPSGQNQNVSVSVMVGRNDTNKVACIINKSMFDYIDRSSYRALAFDYLEISPGYPFLSGIRAWISLLFMEDVKEDLMDVFGIQMDFCDVANSKEEVLCLLDMLDWK >CAK8573733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633710769:633712776:1 gene:gene-LATHSAT_LOCUS26141 transcript:rna-LATHSAT_LOCUS26141 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTTSSDDTVFEAKITGAVILSCIMAATGGLMFGYDIGISGGVTAMPSFLAKFFPDIYRRVQQPASESNYCKYDNQKLQLFTSSLYLAALVASMVASPVTRQLGRKQTMLLAGVVFIVGTTMSALAGNLILLILGRILLGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGIFIANLVNWKTAQIAGGYGWRISLAGAGIPALLLTIGSLIVDDTPNSLIERGFEEEGKVVLRKIRGVENIGPEFEDILRASKVAKEVKSPFKDLVKSHNRPPLVIAIFMQVFQQFTGINAIMFYAPVLFSTLGFHSDASLYSSVITGGVNVLSTLVSVYFVDKVGRRVLLLEACVQMFISQMIIAVVLGIKLTDHSDNLDKGFAMLVVVMVCTFVASFAWSWGPLGWLVPSETFPLETRSAGQSVTVFTNMLFTFIVAQGFLSMLCYLKYGIFLFFSAWILIMGCFTVFLIPETKNVPIEDMADKVWRQHWFWNKYM >CAK8564087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650744730:650747907:1 gene:gene-LATHSAT_LOCUS17426 transcript:rna-LATHSAT_LOCUS17426 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDEDEDHQQPIPSKTTPSSSSLFPSLPPPKSSSSSSLFNFLPPPKQPSSDTLSSPPSNFTGISSLPKPKSQIHEKPKRVVQFKPPIISLPKPNLEDDEDEDDEEEERNRRRKLESSIQTPSVKSFLSSIPAPRNSSTLGVQSSSGSGRRSILETSTPAPEPSSGGGSAADSNVPEDRGDYENYQYATDQYDSYGNYQYATEQYDSSGASTGAASNGDGYANYGAYEDYGQYENKWVDRSEPMAPEDSGISESVLKFTGKRGRKEVPVEVIEVKQDELMKNRPREDKAKLTGLAFGPSYQPVSAKGKPSKLMKRKHQIGSLYFDMKQNEMKLAERRSKGMLTKAETQAKYGW >CAK8544281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675922540:675928836:1 gene:gene-LATHSAT_LOCUS12974 transcript:rna-LATHSAT_LOCUS12974 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKTIDLDQGWTYMQKGITKLKSILEGLPESQFSSEEYMMLYTTIYNMCTQKPPNDYSQELYDKYKGVFVDYIRSTVLSAVRDKHHEFMLRELVQRWLNHKILVRWLSRFFHYLDRYFVARRSLDPLNVVGLSAFRDLVYMEVRANASKAVITLIDKEREGEQIDRSLLKNVLDIFVEIGLGEMDRYEQDFEVQMLEDTANYYRSKATIWIESDSCPDYMLKAEDCLKRERDRVSHYLHTCTEQKLVEKVQHELLVIRANQLLEKEHSGCRALLRDDKVDDLSRMYRLYHKIPKGLDPVANVFKQHITDEGTALVQLAEESANNQKTTSGSGIQDQVLVRRLIELHDKYMTYVINCFMNHTLFHKALKEAFEVFCNKTVAGSSSAELLSSFCDNILKKGGSEKMSDEAIEETLEKIVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDEHEKCILTKLKQQCGGQFTSKMEGMVVDLTLARDHQMKFQEYLSENSHLNPGIDLTVTVLTTGFWPSYKSFDLNLPSEMVKCVEVFKGFYETRTKHRKLSWIYSLGTCNIIGRFEPKTIELIVSTYQAAALLLFNTADKLNYSEIMTQLNLTHDDVVRLLHSLACAKYKILVKQPNTKTISPNDTFEFNSKFTDKMRRIKIPLPPVDERKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVSECVEQLGRMFKPDIKAIKKRIEDLITRDYLERDKDNPNTFKYLA >CAK8534291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713345640:713345861:1 gene:gene-LATHSAT_LOCUS3846 transcript:rna-LATHSAT_LOCUS3846 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMKINNNKYESFGQKGNLIHSQVMKIKQESEKIYDWSFDQPEIRPVLSEISRQISRSPLGISGQPITVGDL >CAK8570441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46384910:46388685:1 gene:gene-LATHSAT_LOCUS23188 transcript:rna-LATHSAT_LOCUS23188 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTIQLHGFPSNLSAEQVMKFLEQHTGFQTVLAAIIEKHKDSMTHVNVQFIDKKSVETILNLATQQHLCYNDTVLNAEVTKFDILSKPRIFAYCMDGVGVHFGCQTSKEKLSVLWEHSNASVKFGSRLGKMYIFISYMSKDYKLQINSESISRIELHHSHCRTKKLLLFQLRSAPRIYEKFVSEIKYFKEDHDNHWTRSVDFTSSCCIGQSSVLCFELPQSAEVPNFRPNYRDYYKFDNTFDLEKQHGFSSNLSFFPMVIPPEGFNLPYKILFKINSLVQHGCLPLQAIGADFFRMVDPGRIKFEYIESGLNKLHMMKECCYEPVQWLEEQYRRYSKNSSLLLSNTISLDNGLVYVYRVQVTPSKIYFCGPEVNLSNRVLRNYPEDTDNFLRVSFVDENMDKLRSSDLLSRFSGIEMDKETNVHQRVLSTLKNGLEIGDKKFEFLAFSSSQLRDNSAWMFASRKGLTARDIRKWMGDFHEIRNVAKYAARLGQSFSSSRETVSVGRHEIEIIPDIELTRGEIKYCFSDGIGKISYVLAQEVAKKCGCNDRIIPSAFQIRYGGYKGVVAIDPNSSTKLSLRKSMCKFKSENTKLDVLSWSKPKPCFLNRQVIILLSTLGVKDRVFKRMQREIVNKLKMISRKPFNALEMMSQGEITTMLREMLICGFHPRNEPFLSMILRTIYASKLQELQLKTRIFVRKGRSMLGCLDETRRLKYGEVFVQISLPRSKQSNVSSNTIGAKNGKYIVKGKVVVAKNPCLHPGDIRILRAIDVPSLHHMVDCVVFPQKGRRPHPNECSGSDLDGDIYFVCWDPALIPPHQENPMDHAPSKLMSMDHDVTLQDIEEHFTHYIVKDTLGIIASAHTVFADKESEKAMSHSCIELAKLHSVAVDFAKSGVPAEIPQHLQVQEYPDFMERQNKPFYQSNSIIGKLYREVKSVAQQKSHKKSFTKKAAKKLYDHDMKIDGFEKYLKIAFEYKHMYDSKLLNLMDYYGIETEAEIISGNILKMSKSFNDRKDKEGINHGVMSLRNEARNWFNEMKSKSKSQGGDYDDSYAIASAWYYVTYDSSYWGCYNEGLSKDHFISFPWCVHDTLVQIKKDKANSRIYRMKVWRMMKMFLITLMFCVLLWIIMQYKFI >CAK8569074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668409592:668411500:1 gene:gene-LATHSAT_LOCUS21965 transcript:rna-LATHSAT_LOCUS21965 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSRVKIEFNALDPRTASCMEFLAQCNSRKAKESNPACEVEVKRKNVAHPPQITVTFVNGVEEAFDATSTPAHHITKMILEKGQFLETEQMFREAGEQWPVIIPDEELSQHAPGTKPRKAEEKKQ >CAK8536781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:19903518:19904126:1 gene:gene-LATHSAT_LOCUS6114 transcript:rna-LATHSAT_LOCUS6114 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSTPLLSPPKTTTVATVHHHHQHTLQPIFHTKPSLTRKLPTKLHVSSSPTNKPTTTPSPTQPAPQKPNRETVFFDGGAHYGDLVANLFLGFTLVWLPLTLAAVSRALYLRYRFTNLRVTVISGLTGEDRSDFSYSVIKDVQVVPRFIGEWGDIIITLKDGTKVDLRSVPKFREIAKYCLSMRDEPSQDLNQSGPKGFSK >CAK8537059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:71319215:71322140:1 gene:gene-LATHSAT_LOCUS6375 transcript:rna-LATHSAT_LOCUS6375 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGKDLPIKSAQVTESTDFTQLQDKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGNSISFSECGGIDIEENWDKVKTVFVPTGVSLTSKVVAPLVATLPLEIKGEIEEFLKVVFSLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKRCRNGCRWGNIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVAATFSGIIRALREKESKLKAARMHIYVRRGGPNYQKGLAKMRELGEEIGIPLEVYGPEATMTGICKEAIQCITASA >CAK8537058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:71319215:71322140:1 gene:gene-LATHSAT_LOCUS6375 transcript:rna-LATHSAT_LOCUS6375-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGKDLPIKSAQVTESTDFTQLQDKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGNSISFSECGGIDIEENWDKVKTVFVPTGVSLTSKVVAPLVATLPLEIKGEIEEFLKVVFSLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVAATFSGIIRALREKESKLKAARMHIYVRRGGPNYQKGLAKMRELGEEIGIPLEVYGPEATMTGICKEAIQCITASA >CAK8578806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655517202:655519732:-1 gene:gene-LATHSAT_LOCUS30790 transcript:rna-LATHSAT_LOCUS30790 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPCQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPTVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKQEFLTKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVIDKVVPALVDLAEITTLGDHKKLGDSIVNVLQDCIESQGSGRSSISSRTKEQIEDLLSSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHRPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHNQGDGGDIYGPETDDSEWETASESDMGNDGIGDDDDGDWNNDDERKD >CAK8533360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607808798:607810501:-1 gene:gene-LATHSAT_LOCUS2995 transcript:rna-LATHSAT_LOCUS2995 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITASEVAGLAVGVLLLSATIAAPKIDVFFSSSQRSSLGLCKRCGNVRRMACAKCKGTGSIKEGGLLSFNFVDDLFETVVNRESQVKKIACVNCQARGYFPCPDCSKL >CAK8575001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20734088:20750482:-1 gene:gene-LATHSAT_LOCUS27294 transcript:rna-LATHSAT_LOCUS27294 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRAVNTRSLFLPSPPSLSSVPRFFPSYRRLTAVRFPPPTPSCHGCQRRSIQSLFESVMEELKSIRKSRKKIVSVNASSSNVELLNEELIEDRLLNRSLEKGLVLEFKKDSDRILLAVAQRPDGKKNWMVLDQNGVTSSIKRQQVTYIVPGISNFDHAEITAFVQKAQDNMDPSLLEFAWSELLEKNKTVTVEEMAEIIFGSAEPLENYSAHLLLSKDEVYFTVVKTTGLRRVYGPRPNEQVEELIRRKVAKEAAEKEFQEFIELLGSAKSMPSQDKPHKSSWKDNEKIWSRIKSLEAYAIDDCRSDEQRKTAGMILREMRLEKTAASAVNLLIDIGYFPVHVNLDLLKLSIPTDHLEKITSAAQSLLSDSSDPDEINRKNLTNLKVYAIDVDEADELDDALSATKLQDGRIKIWIHVADATRYVQPGSIMDREAMRRGTSVFLPTATYSMFPENLAMGGMSLRQGELCKAVTVSVVLHDDGSIAECSVFNSVIKPTYMLTYESASELLHLNLEEEVELRTLSEAATLRLKWRRQQGAVETTTIESRIKVSNPEDPEPSINLYVENQADPAMRLVSEMMILCGEAIATFGSKNSISLPYRGQPQSDLDLSEFFHLPEGPVRSFALIKIMRAAEFDFRQPARHGILGVPGYVQFTSPIRRYLDLLAHYQLKAFLRGELPPFTPSELEGITANVNEKVRAARKLSNSSLRYWILEYFRKQPKERRYRALVLKFFKDRIAALLLVEVGFQTSAWVSVGIQIGDEVMVEVERSHPRDDIIHVKEVERP >CAK8571400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:399970329:399976233:1 gene:gene-LATHSAT_LOCUS24067 transcript:rna-LATHSAT_LOCUS24067 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPPATGTTSRNASEPVQSQPQQPPSSLPAPSSSTPPISAPSHPPPIPNPNLIQTPNPKPPTQVLPQPRPPPSFSRNPPPPQSHFSHFSSIPPTTPQSASSFPSTHTSSISSTSAPRGGMAIGVPAHHQNPSPPFSSTFGPHFGGLGRSDSTSNSNAAQVRTPMQGMGMLGSLGSGSQLRPGGMPSHQQRPVQSSLRPQPPAQNNQPAGSQNFQGHGLMRPSSVGSPATPSPSASQNMQSINQPWLSSGPPGKPPLPSPAYRQQLNPQSLQQRTHISQQQQQSMPTASQQPQPLPPNQAQEHFGQQVPPSRALHVPHQPQVTRLQGPGNQKPSSLVAAQTNAVQPGSQSRLPNALPNADPEELGKSVLGKRSIHELVNQVDPLEKLDPEVADILGDIAENFLESIIRSGCSLAKHRKSTTLEAKDILLHLEKNWNITLPGFGGDEIKNYRKPLSTDIHKERIGAIKKSMVVTEAAHPRGSAGQASGGAKGSQAKTPFSVIGSPNLKSS >CAK8563504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611042669:611044638:-1 gene:gene-LATHSAT_LOCUS16904 transcript:rna-LATHSAT_LOCUS16904 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWGRRFQQSLRHKLRPLCSQSQRPTSSSPFSKLSSFGGSRNGGDKRVRDFEYFLRSITSGVVVVGSTLGYWYWSSLSSSGANNNNNSLNSFSDYATEDQFEPKYRNKPKFLFNDGFRRRVFFNYEKRIRLQSPPEKVYEYFATIRTTSGEIFMTPADLMRAVVPVFPPSESSRVREGFLRGEQIPGALQCDPSKFFMLFDTNNDGLISFAEYIFFVTLLSIPESSFSIAFKMFDIDNNGEIDREEFKKVMALMRSQNRQGANHRDGRRLGVKISVENGGLLEHFFGKDGTDCLQHDKFVQFLRELHDEIMQLEFSHYDHKKRGTISAKDFALSLVASADINHINKLLDRVDELDNKPHIRDTRITFEEFKAFAELRKKLQSFSLAIFSYGKVNGVLAKNDFQRAASQVCGIDITDNVIDIIFHVFDANCDGILSSNEFVRVVQRREESLSGYGIGGMLSCWFHCVPSKC >CAK8572946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572456984:572458259:1 gene:gene-LATHSAT_LOCUS25448 transcript:rna-LATHSAT_LOCUS25448 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTVVTWNAMITGYCSVKDANGKNAVDGLCLFKKMLMVGVNEVRPNGRTVVCLLSAASQSGMMEVGVCLHGFAVKLLCKVEEDVFIGTGLVDMYSKCGCLESALYVFWRMKLRNVLTWTAMTTGLAIHGRGEEALEILYKMEDDGVRPNETTFTSLLSACCHAGLVEEGLRLFRDMEPKFGVVPRIQHYGCVVDLLGRNGNLNEAYDFIMAMPISPDAVIWRSLLSACKIHGDVVMGDKVGRFLLKFKEKSHEDLAHKSEDYVALSNVYASAERWNDVEVVRNKMKTKEIMELQAKFEEDKKRLQQLRAARKFRPY >CAK8533061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573896118:573896453:1 gene:gene-LATHSAT_LOCUS2713 transcript:rna-LATHSAT_LOCUS2713 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKDGFGYLRSIPAISLDNPLISALVERNEKVRDQTHERIGNIPVYII >CAK8578512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634310738:634314371:-1 gene:gene-LATHSAT_LOCUS30517 transcript:rna-LATHSAT_LOCUS30517 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGVSKKLVPLVSKELPNALLPVANRPLLSYVVELLELSNLKDLIVVVEGQDAALNVGAWISGAYADRLHVEVASVPEDVGTAGAIRAIARHLYAKDILIVSGDLVSDVPIGAVAATHRRHDAVVTALLCNSPISGPLESVSSGGKDKTKKPGRYDLIGLDPTKQFLLHIATGAEVEKDLRVQKSILRAVGQVEIRADLMDAHLYAFKRSVLLEVLDQKCEFNSLKHDLLPYLVRSQLKSEVLLNGTPQAEENGTEKGISQKNQQMLSQILANASEPTFHLRHALSTNGSDSVRRTHKCCVYIAGSSKYCARLNSIQAYNDINRNVIGEASHLSGYSFSAHNNIIHPTAELGAKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGANVKVVNSVVMNHVTIGDFCSIQGSVICSNVQLQERAILKDCQVGAGFVVTAGSECKGEVLAKK >CAK8569145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675652964:675653470:-1 gene:gene-LATHSAT_LOCUS22029 transcript:rna-LATHSAT_LOCUS22029 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSTTVAIPSFSGLKANAATKVTAMAKIPASTSQSPRLCVRASLKDFGVALVATAASAVLASNALAVEVLLGASDGGLAFVPSSFEVTAGDTIVFKNNAGFPHNVVFDEDEIPAGVDAAKISMPEEDLLNAPGETYSVKLDAKGTYKFYCSPHQGAGMVGQVTVN >CAK8560143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8724337:8726558:-1 gene:gene-LATHSAT_LOCUS13848 transcript:rna-LATHSAT_LOCUS13848 gene_biotype:protein_coding transcript_biotype:protein_coding MKALIFCILILGFVSSCLCERWNINAAPTKNNIYNVVDYGARGDGVIDDTQAFLKAWSDTCGAEGASTLLIPPNKIYLVNNIEFSGDCKAKSILIQLKGKITAPPQKAFKDKSYWIKIQYINSLTIDGSDIGEITGQGSTWWPCRTCPRPRSLFFHSCNDLTVSNVRITNSPGSHISINGCNNVKFSHMNVQSPGDSPNTDGFDISLSKNILIEDSAIQVGDDCIAINGGSSYITASRLACGPGHGISIGSLGKDNSHEIVEEVHVQNCSFTNTTNGARIKTFPGGSGYARKISFEQIQLTDVKNAIIIDQHYGVKVAAEESAVQVSDVRFYGINGTSASDLAINLKCESCFDIVLDQINIVSSQPKKEAQSYCKNLYGKIGSTVPKVNCN >CAK8566510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429983367:429987098:1 gene:gene-LATHSAT_LOCUS19634 transcript:rna-LATHSAT_LOCUS19634 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAPESQMQGGLKQREQQFGGLMGSVTTEKDEELALFLEMRKREKERNDLLLHASEEFDSALGSNPGNSPLFNISSSIPTAPVRKSGADEFLNSENNKNDYDWLLTPPGTPLFPSLEMETRKTVMSQLGTPTARPTALKSRLSNHQLEPAGRSSLASRQQTSSPVLSSSSGGTRRPSSSGGPGSRPATPTGRPTLTTTSKPSRSSTPTRNSIPSTRPIVSTSKTTMSTTKPTMVSTTKPSTSATKTTIPAAKPATQSRSSTPISRSTARSSTPTSRPTLPPSRSTSRASTPTRRPSIPSNELNISSSSVKISSNPKPATMSSRQPTPVKARQPTPVTGRQQAPVTSRQQAPVTSRQQTPVTTRQQQVPSRGTSPTVRSRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSSSGRPKRQSCSPSRGRTSNGTAHISGNSMPAVSRGRSKVNDNVSPGMMGTKMVERVVNMRKLAPPMMDNKNSPRSNLSGKSSSSPDSTGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGSQRGRTISISGSPHATSSNASSEMSVNQNGICLDSSEIDDDIGSERCGQSPASVRGR >CAK8565181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:58747220:58748155:-1 gene:gene-LATHSAT_LOCUS18416 transcript:rna-LATHSAT_LOCUS18416 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISLSKIIFSFMILAILLAKGSIMIVEARKLLESDPPQLPKFDLPPLPNPESSKLSELPKSEIPKAPELLKPELPKFPELPKSEIPKIFELPKPELPKVPELPKAEIPKISELPKPEISKIPELPKAEIPKVSELPKPELPKVPELPKPGISKVPDLPKPELPKVPELPKSEIPKVPELPKPELPKISKLPKADIPKIPELPKPELPKVPELSKPEIPNVPKLPKPEFNVPELEKSELPKISDLPKPDLPKIDVPKLPKPEQPKLPELPKSELPKVNVPELPKIKLPKIPELAKISEIPKPQLPKVNIP >CAK8561005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:71541296:71542625:1 gene:gene-LATHSAT_LOCUS14634 transcript:rna-LATHSAT_LOCUS14634 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQSFAFILIFVILMFNICESDDCSSKPLKTITVSQSDKANFKTIQSAIDSVPEGNSQWIHIQISSGVYKEQIYIPQNKPCIYLEGVSRQTTRIEWSIHENATFETRANNTAAKGITIANTLNSPVLEVNGITQGVAARVRADKCVFYSCGFLGVQDTLFSDMGRHYFKKCLIQGGIDFIFGNGQSIFEDSNIFFSMGKNGPKTDGVITAHYRNSPNDPSGFVFNRCKINGYVGKFELGRAMEAYARVIIANSYISDSVKPEGWSPRYLVGHEANLTFVEEKCFGDGANKSKRVKWMKSLSEMELNKFLSLSFIDQEGWISKLPANMLS >CAK8575182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:48069394:48070566:-1 gene:gene-LATHSAT_LOCUS27467 transcript:rna-LATHSAT_LOCUS27467 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNKFKLSNMIPNAWFYKLKEIGKPKNQTTTTTSKNKKHPSSLPSKTTSISSKPNQPNQYIPRKSYYFTRNLNQNNHIKLSSSSPPKTPSKNRTRKTTSKSKTCSSPKLVASSVSADCSCRTTLESVWTKSEPLSSSPLNSVYESESVETEFRTDRVLLPNETSFDEMVSLSISSCPCNKTSNNNNFNNNNNNIDIVIDVDKNSLPRKDDKLEEYNNSNVSFSKLQLPPIITKPQIKKEHNPVKEQHHQQQMKSMNMNMNKKKNKIGSVNSPGMKLRIKSPKIATKKLQLYQSRKSVSSTTTTASGGYHRRRSFSGSVAIVKSSFNPGKDFRESMVEMIVENNIRESKDLEDLLACYLSLNSDEYHHLIIKVFKQIWFDLTENRVEKYN >CAK8575386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:105518582:105520606:1 gene:gene-LATHSAT_LOCUS27655 transcript:rna-LATHSAT_LOCUS27655 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDYNLFSIVHSCKANAYTSSTTILKTFPSPQTLTTTSTTTNNIISSQTTTPCYADDFSLTQENRSVSLSPLKPTDFIELDKLKINFNPTTVIPAHTTTIPITVTPTTTTLYTPATITTTITNTINHDSNQNTNFFDFPTLNRNIQMQPTDIRGPEKNIPNFSPTTIIPIPAIYNLTTNIPTPITSNFTNPSLPTNIFTPTAITTTPSINSMINTNTSCYGTNNYLKIHDFPKFFEQQQIQQNQNNQLSALKPAAWIEITKAHFDLAYNHPSISKQYARDSNQPPTPQPQPSSMVLPNTNLQGELCKNRKRKFHNQKIVEWHLSVEKLGEDPWEWKKYGQKPIKGSQHPRDYYRCSTFKDCLAKKQVEKKQHKENIYVVTYIGEHNHPKPAVDRSPYNKLSKKRVFSVKKYG >CAK8561998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:423518785:423519043:1 gene:gene-LATHSAT_LOCUS15536 transcript:rna-LATHSAT_LOCUS15536 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEFGSLLLRISWIAETYWIDNEDEERMRS >CAK8573586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:622917883:622919960:1 gene:gene-LATHSAT_LOCUS26019 transcript:rna-LATHSAT_LOCUS26019 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDWYWGARKSSKKTTPQTDIPSGCMCAFFQTFDFHPFHFSINQPQHHSPTNSISKEPQAPRNSLESQDEESFKIPKNIRTRGASFNDLSSEKSFNDVSSPGTKTPTLVARLMGLDLLPDANSPSSSSSSSLSTPNRQSHYHYRPKPDSLKIMKHRHSTDSVIMRSLPETPRLSSERTSSLVEHRLSLQINKENMNHSDDLETPPRFSFSKLRKHVKESVGGRKVGKDITNNTIKNTNGQEQEQEKENFVTQIKFKKPLKPLKPLEESNQGKHSNTSHSPRLSRFNDNNNNNHKHSPTLKDQNTHQVPKQSSPPPLVNIEAQVSRVSTKIKTQAMSEKEMMMKDKKSFPKCKKTAHGNLSPRINKNQQTTIRNKQQESFIIRPSSSATKAKKTHPLSNNTVPNFLPLKTHPSPSYTKIPQKQVNDNVQESKSMSQLFSSSRQKYTICNQRTNDESNSNSSIFAAGTQDEEPEYQYISTILNRTGIHKATITNLQHFQWFSSSHPLDPSIFHRLELYPNNKDNKFPPKNHLGPRCNRRLLFDLLDEVLSEILMKPNSNRGLLLLDTVWKRVRSFPRAKCEVLEDIDGLIEMKDLTDKNKEEEEGEKLVAEIEGKVLEMLVNETITVMVGPNR >CAK8577667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582644091:582647771:1 gene:gene-LATHSAT_LOCUS29753 transcript:rna-LATHSAT_LOCUS29753 gene_biotype:protein_coding transcript_biotype:protein_coding METRRSEGKRTWRQKEVVNNHEEMEHEDEDEMEFEEEEEGRKKRVVTDLYSKPRSKAGGSNVPPCCQVENCDADLSEAKQYHRRHKVCEYHAKAPAVHVAEMQQRFCQQCSRFHELSEFDDTKRSCRRRLAGHNERRRKNTSEYQGE >CAK8579507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704522348:704526095:1 gene:gene-LATHSAT_LOCUS31451 transcript:rna-LATHSAT_LOCUS31451 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMELESSTATDLDELDPSSTFLDLTSYQLHDLDSVELPPNLTELDLTANRLSNLDPRIGQLSDLKKLSLRQNLITDAAVVPLSSWNALSSLEELVFRDNQLKNVPDVSIFKRLLVFDVSFNEIASLHGVSRVSNTLKELYVSKNEVTKIEEIEHFHELQILELGSNKLRVMESLENLVNLQELWLGRNRIKVVNLCGLKCIKKISLQSNRLTSTIGFEACIALEELYLSHNGITKIEGLSSLVNLRLLDVSSNKLTSVDDIQNLTQLEDLWLNDNKIESLEGFAEAVAGSREKLTTIYLENNPCAKSPNYTAILREIFPNLQQIDSDVFS >CAK8579484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702288303:702291160:1 gene:gene-LATHSAT_LOCUS31428 transcript:rna-LATHSAT_LOCUS31428 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFIFAASFFLLLLDCCSGSFIGICYGRSADDLPTPDKVAQLVQLHKIKYVRIYDSNIQVLRAFANTGVELMIGVPNSDLLSFSQFQSNADAWLKNSVLPYYPATKITYITVGAEITESSYNTSSLVVPAMNNVLTALKKLGLHKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPMLEFLAENQSPFMIDIYPYYAYRDSPNKVSLDYALFQASSEVIDPNTGLLYTNMFDAQIDAIYYALMALNFRTINVMVTETGWPSKGSPKEKAATPDNAQTYNTNLIRHVINNTGTPAKPGKELDIYIFSLFNENRKPGLESERNWGLFYPDQTSVYNLDFTGRGAIDMTTAANVTRSNRTSWCIASSKASEIDLQNALDWACGPGDVDCTAVQPSQPCFEPDNLVSHASFVFNSYYQQNGASDVACSFGGTGVKVDKDPSYDNCIYMRDGNNKTSGGNARAMSSTSSSQQKGRYSSISIYLLVICLFILLNIEQS >CAK8577199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544409908:544425915:1 gene:gene-LATHSAT_LOCUS29328 transcript:rna-LATHSAT_LOCUS29328 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTARKLCTLSSYFRSHLHNNPKNHVSSFKTTIPYETQHHFDSDLNLSTLKLHDPSPPEDMPELGEATTQLSSILYTPPGKKSVGEKVDDEEKEKSIVEIPLILDFAHGDASVKRKEVARERKQKWIFKDTGGERSDRLIKICARKLGATPTVDMFGRLGRETGLNEYNSLIRLCIKKARETDDEYIAIDELGKTYHLLKLMRESGHKLEEQTYRPLLEYIIDMGLVQEFQLFYDVIQACNPSSISRLGYYEMLLWIRVNNEEMIRDICEYITVEDSRDTTSLRESYLLALCESDHKTQILDVLRNIDIKKLTSAKCISNIFQSMGRLLLESDAENLLLDLRACDYDADKISNFIACYAVNIPNLAVEDIISKIESLHDTLEVLPSSSSYGKLILYCCSMHKVDAALDIIDKMCEAGYMLSTHMLQSILLICEETYEYILVHRIYSIIRRHHHHLKLNGEICRCLIHFCVRLKDFERAYEMVNELQEMNFNPTTAMYNAIMAGYFREKNIIGGLTVLKHMQDAKVDPDSQTFSYLISNCETEDDINMYYEELKQFDIYPTRQVFMALINAYAACGELEKAKQVVLDSRIPLKCLNEIKSVLVSALASHGQLSEALSIYEEIKKAGHNLEPKAVITLIDELRHISGELEGLLLLLKELSDRNYWVDGCFRVIQYCVENNHLSSAVELFKQLKDNFESDETMTEVLFDAVYSLIAGSKSSRLQFGLDLLWAIKDELGLVPSRQCLDFLLSACANSGDLNNARLIWREYEVAGFPYNVLSYVRMYQALLASGDQRSAAFILKKIPKDDAEIRTVISACQKTYVDKVKSVEGEKKNMVKSVGGVKKKKNVESVEEEKKKNMVKSVGGVKKKKNVKSVEEEKKKKDVNSVEEEKKKKDVKSVEEEKKEKDVKSVEGKKKKKKKKKKKGTEKKQESEVSVE >CAK8541893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:423951349:423956282:1 gene:gene-LATHSAT_LOCUS10782 transcript:rna-LATHSAT_LOCUS10782 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQKQSEEAIVSSSFNETDQQESVLGKEEEQEQDHSFSVKNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGILGSWTAYLISVLYVEYRTRKEKENVNFKNHVIQWFEVLDGLLGPFWKALGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQAENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSASAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSAAHMLTYRKASARQNAAEKPPFFMPSWTAMYILNAFIVVWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPPPLMVAAPPPHALHH >CAK8569079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669783066:669783764:1 gene:gene-LATHSAT_LOCUS21970 transcript:rna-LATHSAT_LOCUS21970 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLWRKLHRLEPAIKPLIKKVTDIQIQSQQARKDLLQAQEHLNSHLFDSQAIEQVKLCNDHLIQLNQVEESILMQKAKITWLKLRDNNNSFFHALVKEMNKHKRLYTLTSLNGNVISTQKTIEEEIIEFYKLVGTTTTGLKVIDILIIRRGNSLSWDSSQKLNRPVDDKKNWNELVAIGNTKSPGIDGFNSFFFKFAWPIVKYDVQEAIMEFFQTKDMYLAVHCSRVSFNS >CAK8574725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7566248:7566619:1 gene:gene-LATHSAT_LOCUS27042 transcript:rna-LATHSAT_LOCUS27042 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENCDCLGSRNNCMWSSYERIGSDPIVCVNEFLRKIKRAMVKTLWRKIKREKKRRMFRSSSPPVFLYDPSSYLQNFDDGDSNDDDFSRSFSARFATPSSKIFNKNIEVIYDEEIMETNDES >CAK8533599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640723862:640731309:1 gene:gene-LATHSAT_LOCUS3217 transcript:rna-LATHSAT_LOCUS3217 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDQKNVSMVKKKKKKNGSFKSIFMHADVLDCFFMAFGLLGSIGDGLMTPLVLFVTSKIMNSIGSVSNTSSNNFVEKINENAVSLLYLACAAFVACFLEGYCWTRTGERQAARMRSRYLRAVLRQEVAYFDVHVTSTSEVITSVSNDSLVIQDVLSEKVPNFMMNASMFFGSYIVAFAMLWRLAIVGFPFIVLLVIPGLMYGRTLMGLARKIRDEYNKAGTIAEQAISSIRTVYSFAGENKTIAAFSDALEGSVKLGLKQGLAKGLAIGSNGVVFAIWSFMAYYGSRMVMYHGAKGGTIFAVGASLALGGLALGAGLSNVKYFSEASVAGERIMEVIKRVPKIDSENMEGEVLEKVLGEVEFNRVEFVYPSRPESVILNDFCLKVPSGKTVALVGGSGSGKSTVISLLQRFYDPISGEILFDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEDVVDAAKASNAHNFISILPQGYDTQVGERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERVVQQALDKASVGRTTIIIAHRLSTIQNADIIAVVQNGKIMETGSHESLMENDNSLYTSLVRLQQTRNDQNNDNSSILNRDRMQNTSSRRLMSRSSSFNSMSRGGDDIVNYNNDVEDIVNSVVIVDDLHSYNNKKKKQKVKVPSFRRLLAMNLPEWKHACLGCLNALLFGAIQPVYAFAMGSVISVYFLEDHDEIKKQIRIYAFCFLGLAVSSMVLNVLQHYSFAYMGEYLTKRVRERMLSKILTFEVGWFDEDQNSTGAVCSRLAKEANVVRSLVGDRLALVVQTISAVVIAFTMGLVIAWRLAIVMIAVQPIIICCFYTRRVLLKNMSSKAIKAQDECSKIAAEAVSNLRTITSFSSQDRILKILEKAQQGPSEESIRQSWFAGIGLACSQSLNFCTWALDFWYGGKLVSQGYISGKALFETFMILVSTGRVIADAGSMTNDLAKGSDAVGSVFAILDRYTKIEPDDLEGYETEKLIGKIELHDVHFAYPARPNVMIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPFKGTVSIDGRDIKSYNLRSLRKHIALVSQEPTLFGGTIRDNIAYGAYDDKVDESEIIEAAKAANAHDFISSLKDGYETWCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGSVVEKGTHSSLLAKGPSGAYYSLVSLQRRPTNTIVHSSHEIN >CAK8542374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506350077:506355584:-1 gene:gene-LATHSAT_LOCUS11218 transcript:rna-LATHSAT_LOCUS11218 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQRLVGMSEDDEELGMGVKDEDDEDEDYEENGGEHGNALQVVEFDGRSGMGTGADDNRFQQQYEFQEQVGTPPGGGNRRSRPVEEKERTKLRERRRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSKSQGQIPAGGNPAVVTSSSSHVPSQHPPSASLKGVASGNRSPLEYNACPMKGVYIPTPSPSPYNLSSSSRSQTSMLGDGEVQRDNPPVIGGSINTINEKQIFDIASRLPERDFAGSPYVPVYVMLPLGVVNIKCELVDPDGLLKQLRVLKSANVDGVMVDCWWGIVEAHTPQEYNWNGYKRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRNAVEVYFDFMRSFRIEFDEYFEDGFISLIEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEARGHTIWARGPDNVGTYNSQPHETGFFCDGGDYDGFYGRFFLNWYSQALVDHGNRVLSLAKLAFEGSCIAAKLSGVYWWYKTASHAAELTAGYYNPCNRDGYAAIVAMLKRNGVSLNIACVDLHALNQHENFPEPYADPERLVWQLLNAGWDVGLPVVSENALPCLNRVGYNNVLDNTKPVNDPDGRHFSSFTYQRLSPLLLDRQNFIEFERFVKKMHGEAVLDLQV >CAK8570467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48745242:48746072:-1 gene:gene-LATHSAT_LOCUS23213 transcript:rna-LATHSAT_LOCUS23213 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGFQIRATSVTIFVHLLFIAITTLVLVWLFHFRDGVAFNSSNKLKIFNLHPLLMVIGFILVGGEAIITYKAVPGKRSSGKVVHLLLHLIALASGVLGIIAVFKSKKEAGLPDMYSLHSWLGISAISAFGLQYIVGFFAYFFPGADASTRATLLPWHKFLGIVIFLLAVGTAETGLVEYFRFLELFKNQEALIVNFTGLLLFLFAVFVSLSVTLPRNY >CAK8577278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551411451:551412914:1 gene:gene-LATHSAT_LOCUS29399 transcript:rna-LATHSAT_LOCUS29399 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTA >CAK8560340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15041226:15042478:-1 gene:gene-LATHSAT_LOCUS14022 transcript:rna-LATHSAT_LOCUS14022 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLIKYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEASLIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKILSHDIVPSFTTISDIRTPYNIGSMESFFPNPNLILNFNHHHHQDNLYLPTSSQNLQDNFHQIDTKVDIHNHLNANFLHIQNPMPQIVQPISNPLPCEDAWLLNCEALHLNQNLLENQVSKSDATLLSKLMQQYDHTFVELETFFPKVSDHSFEDYVCSILDSSDSKEHEAPTKFQCYTPSIIYPQDQNVEVIHLDNIDALMSSSFPSSSSQIVTNPIIPLGWEC >CAK8531832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:160432680:160441526:-1 gene:gene-LATHSAT_LOCUS1597 transcript:rna-LATHSAT_LOCUS1597 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDLSGDEDDAVSSSSFLLNDDVGPLPFPVLQTAPCGFVVTDAIDPDHPIIYVNAVFEMLTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSSVISEIRKCIDEGVEFQGELLNFRKDGSPLMNRLRLTPIYGEDDEITHVIGIQLFTEANIDLGPVLGSTIKESVKSSARFHSVLSSLQPLPVGDRNVTRGICGLFQLSDEVLSLKILARLTPRDIASVSSVCTRLYEVTRNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGGVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSNNPEWQHVQVSSPPPGRWGHTLSCVNGSRLVVFGGCGTQGLLNDVFVLDLDATPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDMSMENPIWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGDEWMLSELHELSLANSVI >CAK8532877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:541291548:541304072:1 gene:gene-LATHSAT_LOCUS2539 transcript:rna-LATHSAT_LOCUS2539 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFSNNVDYLSDELDSSAYSLRPNRRLLQEDDRDFADERVYLLPYRWWIDAEVEADRIGVLYTVYSSYDSESEISLHLKKEEDREKIKNLEVGFSGRHYALVPEGVWLRALKRYNDFNNAVKDFGRLFYAEDSLSDLFPLQLRIFVSWETSSLVAKISQKENVPDFYKKACDIFNSAYSSLYIWDFSGQTTQLLINDKARASNDSRGQPGKEVLLELQVHGLSDSISGSDSNGMISDRSQMKCSSDSGPVMMNGSTDNVIPYVTANNYFPGSSYRTVQSLGLTGLHNLGNTCFMNSAIQCLAHTPKLVDFFLGDYRKEINYENPLGMNGELALAFGDLLRMLWVPGASPVAPRLFKMKLANFAPQFSGYMQHDSQELLAFLLDGLHEDLNRVKRKPYHEVKDADGRPDEEVAEEYWRNHLARNHSIVVDLCQGQFRSTLICPSCKKVSITFDPFMYLSLPLPSTTIRTMTVTVMSTDGITVPSAITVTVHERGTLKDLIGALTASCSMREDETLLVAEIYKNRIFRLLEDPRDSLTDIRDQDKLVAYRVQKYNEDSLLIVFTHERLVESYGRERLENRLFGIPIVASLSSITSGYDVRKEFLNLINPFLMQNTEETIDEYDKDDDDAKTLNEVDEFGKTNNSEAIESDAVLNSGAEDDIHLWTDFEFYLLSSGSGNEVYKITSNEPLPVTMLSSKLEVAVVWSDEMLKKYDTNLLDSLPEVFKSQSFSKRTQESISIYKCLEAFLKEEPLGPEDMWYCPTCKAPQQATKKLDLWRLPEILVVHLKRFSYNRYFKNKLETFVDFPINDLDLSTYVAHRSCPSPYSYMLYAIICHYGGLGGGHYTAFVRYGHDIWYEFDDSRVSPADEEIIKTPAAYVLFYKKI >CAK8533454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620201191:620209141:1 gene:gene-LATHSAT_LOCUS3077 transcript:rna-LATHSAT_LOCUS3077 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPQRTPQEVEDIIIRKIFHVTITGESTTTTATTDSRIVYLELTAAEILSEGKDLLLSREVMERVLIDRLSGDFSVSGAEASTFQYLVGCYNRAHDEAKKIVNMKDKNVRSEMENVVKQAKKLCVSYCRIHLANPELFANKNSNSDTRTSPLLPLIISECGGGGGMGVFGGGSGGGGVRSPPGFLDEFFRDPDFESLDRILKGLYEELRGSVMKVSVLGNFQDSLRALLFLVRLPVGAKSLVSHEWWIPKGVYMNGRAIEMTSILGPFFHISAIPDQTFFKSQPDIGQQCFSDASTRRPSDLLSSYTTIKTVMNTLYDGLSEVLRNLLRSTDTRENVLEYLAEVINLNASRAHIQVDPITCASSGMFVNLSAVMLRLCEPFLDANLTKRDKIDAKYVHHSNRLKLSGLTALHASSEEVAEWLTSKNPAKAGENNQYNDGEKRLQQSQEASSSGSNNASDLSNENSARAKYSFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDTLSTLKNMQGQSSSPQLELDITRLEKELELYSQEKLCYEAQILRDNTLIQNALSFYRLMIVWLVGLVGGFKMPLPSTCPMEFSAMPEHFVEDAMELLIFASRIPRALDGVVLDEFMNFIIMFMGSPDFIKNPYLRAKMVEVLNSWMPRRSGSSATATLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWNVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTVEWERRPVQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVDRVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKHLLKQIVHVYVHLARGDTNSIFPSAISKDGRSYNDQLFSSAADVLRRIGEDGRIIQEFIQLGAKAKIAASEAMDAEATLGEIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSSDPFNRSHLTADMLIPDVELKARIEEFIRSQEMKKHGGEGLSITKATIQTTDSAEMLID >CAK8567198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493940311:493940817:-1 gene:gene-LATHSAT_LOCUS20269 transcript:rna-LATHSAT_LOCUS20269 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQGVDTEDGAEVDVTNAINAMWKRFRSLDVAGNKTLKNRVCEIAYPTTIKMVPPPEKIKTKGGVKRKWKKLVGYDVYHDPSCHEYVDQAHNTYQKSSKRPCSQLSQTSKKKPSNRYIVQFPEHIIQFIDDIVDVKRERSCGYRMIASMYGYGEDGWSMVRRDLNN >CAK8560858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50968702:50978015:1 gene:gene-LATHSAT_LOCUS14501 transcript:rna-LATHSAT_LOCUS14501 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFRFSQSKIAFSGFDSCVSFGGRGFGCAFHCGEFRVSNLKPNLSSSCRSSSSSNRFRGNRRVWLKCQGNDSFGYDNGNGRNVDNLKGLNEGNDLVSISGAELGEPLGEVGGQVEVEVKSVDELKELLQKALKELEAARVNSIVFEEKVKKISETAISLQDGAARAWNDVNSKLDIIQEIVCEEFIAKEAVQNATMALSLAEARLQVAIESLEGVSEDNGSVRDLNESDGGNGIVQEGKERLVAQEDIKECQTCLASCEAELKRLQSKKEELQDEVSMLHGMAEKAQLNAVKAEEDVTDIMHLAEQAVAFELEAAKRVNDAEIALQRAEKSVTTVTDDTADALQERDAATLPEEEKLVQDFSSGDSVKGELELSSNDESLLATGSPETQSNKTGKILEDIIQSGYLSDHDNGQFSFDSSKEAELEVEKSKNVVQTKKQETPKDLSRDSSPSTPKTSLKKSSRFFPASFFSSSTEETDYSPASIFNGLVESAQNQLPKLVVGLLLIGAGVTFFSNRAERSSQLLQQPEVIVTTVEEVSSNARPLVTQLQELPKRIKKVIASLPDQEVNDEEASLFDMLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRNVHGTKSIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLLTAAVIGLVAHYICGQAGPAAIVIGNGLALSSTAVVLQVLQERSESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVSITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVVLGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFRVIIGTLGLLICGKTLLVALIGKVFGISLISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAEGGQLIASRFELHDVRSLLPVESETDDLRDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRSLDLPVYFGDAGSREVLHKVGAGRASAAAVTLDSPGANYRTVWALSKHFPNVKTFVRAHDVNHGLNLEKAGATAVVPETLEPSLQLAAAVLSEVKLPASEIAAAINEFRSRHLSELTELSETSGSSLGYGYNRIMSKPKTQSPDSIEGST >CAK8564147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654529842:654530153:1 gene:gene-LATHSAT_LOCUS17476 transcript:rna-LATHSAT_LOCUS17476 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8568664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629803568:629805788:-1 gene:gene-LATHSAT_LOCUS21602 transcript:rna-LATHSAT_LOCUS21602 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLALQQRHENEIIEAANQPLPDDDDDTFE >CAK8569260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688190975:688193842:-1 gene:gene-LATHSAT_LOCUS22135 transcript:rna-LATHSAT_LOCUS22135 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCVSLKTNTHFPNCKKGSFFGERIKGSLKNSSWVTTQKKNKPAAFSAILTSDDPKGSLNLQVPSFLRRRADPNNVISIVLGGGPGTHLYPLTKRAATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYFGNGVNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFTWIFEDAKNINVENVLILAGDHLYRMDYMDLLQSHVDRNADITISCAAVGDNRASDYGLVKVDDRGNIIQFSEKPKGADLKAMHVDTSHLGLSPQDALKSPYIASMGVYVFKKDVLLKLLKWRYPTSNDFGSEIIPSAIKEHNVQAYFFGDYWEDIGTIKSFYDANLALTEESPKFEFYDPKTPIFTSPGFLPPTKFDNSRVVDAIISHGCFLRDCTIQHSIVGERSRLDYGVELQDTVMMGADYYQTESEIASLLAEGKVPIGIGRNTKIKNCIIDKNAKIGKDVVIANKEGVQEADRSEDGFYIRSGITIIMEKATIEDGTVI >CAK8560757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40431705:40437473:-1 gene:gene-LATHSAT_LOCUS14408 transcript:rna-LATHSAT_LOCUS14408 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDSTIPLKKGSLFGPQSSKKEKSPFSQRFRSSFSRLLFKKLDYVQWICAVVVFLCLVVVFQMFLPVSVVEDSEESLRAVTMRSWESSRHDSRERYVLDIGEDEAVFLPRISEKFKDLSLLNHTGERFGYRKPQLGLVFGELVVDSQQLLIVTIATALLEIGYTIQVFSLKDGPGRNMWRNLRVPITIIQTCHKADHTVDWLNYDGIIVSSLEARGAFSCFLQEPFKSIPLIWIVHNNALGYRSIQYTASGQVELLNDWKRVFNRSSVVVFPNYALPIIYSTFDAGNFYVIPGSPAEALEADAFMALQKDNLRISMGYGPEDVVIAIVGSQFLYKGMWLGHAVVLQALSPLLADFPISKDNSSAQLRIIIHSGELTNNYSVALETMAQSLKYPRGTIEHLAGDLNADSVLSTADVVIYGSLLEEQSFPEILIKAMCFEKPIIAPDISMIRKYVDDRVNGYLFPKDNIRVLRQIMLEVILKGKISPLARNIASMGRRTAKNLLVSEAIDGYGVLLQNILKLPSEVTPPKAISEIPSHVKEKWQWHLFEAVPNVTYQNRVLRSNTFLDKYEEFWNHSRKNKPSTAVAVNDPFVYILWEDEKYTQMAITKKRIEDEELKDRSEQSHGTWEEVYRNAKKADRLKNELHERDDGELERTGQPLCIYEPYSGEGSWPFLHKRSLYRGVSLSGKGRRSGRDDFDASSRLPLLNNAYYRDVLGEFGTFFAIANRIDRLHKSAWIGFQSWRATARKASLSRAAENALLDAIQSKRYGDALYFWVRMDTDSRNPLQKDFWSFCDSINAGGCKPAFSEAMRRMYGLKDDVDALPPMPVDGDTWSVASSWALPTRSFLEFVMFSRIFVDALDAQMYDEHHSTGHCPLSLSKDKHCYSRILDLLVNVWAYHSARRMIFVNPENGLMQEQHAFKNRRGKMWITWFSYNTLKSMDEDLAELSDSEDPNRHWLWPSTGEIFWQGLYERERSLRNKEKEKRKQKSLEKLTRMRKRHRQPVIGKYVKPPPDSEESSNSSLVAV >CAK8570448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46867577:46869163:-1 gene:gene-LATHSAT_LOCUS23195 transcript:rna-LATHSAT_LOCUS23195 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVDLTDQRAETIPCSTATPNWTIHVSDIRTVKVSNISLITSKQDIEEFFSFSGDIQYIEMQRESDRTQVAYVTFKDSQGAETAVLLTGSKIGDLYVTISPVEQYQLPPEALPSSPTNRNTAAVKKAEDAISTMLAKGFILGKDAINKTKSLDERLQLTSNASSTVASIDRKIGLSDKLSIGTTIVNEKVKEMDERYQLSGMTKSAYAVAEQKASSAGTAIMSNSYVLTGASWVSSAFSAIAKAAEDVSTMTKEKVEQAEVGKNEIIYSERKGTVDGFSLMHFEQSLNSGPAVVPVNSSNDSKAGNFLT >CAK8579665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715181417:715182022:1 gene:gene-LATHSAT_LOCUS31593 transcript:rna-LATHSAT_LOCUS31593 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGYPSFTDLLQLKVHIHHFDSLSHIQCEIINVPAIVFKKLFSQEGWDYLRTQLSEVSFLTSNIIDHFIGHIIYEVQQSYNIDTTDEKDNSEPNVFNLNLEMEIHGEPEIEYYDEEIGMVPASKEAIERMKTTCDLNDTKLRDICCSICMDEFDDVDETSKICRMPCSHVFHEQCIVKWLQTSNTCPLCRYSMPTALDS >CAK8566972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476924907:476927323:-1 gene:gene-LATHSAT_LOCUS20066 transcript:rna-LATHSAT_LOCUS20066 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGVELQTDAGSMMADSSLLHHQVSRRPSLSSLQIPTRSLESALSSSTKTDGPTLSSPGSTRGLPPRPHSTKVKSSMRSLLSDRSFRTKTCSQDCEKTVLIVPDTLSSNGPLDKPSTSRSLSLNKILFPSSTKAAHSLPVTPIANSGGDNIDGACVEGDSDLSKVKVNKHMTRSFSVPVNVKAANLRPTDSRRLVRVISARPHTAASDGISTRSDSMQEIVIEDASEDIPEEEAVCRICLVELTEGGDTLRMDCSCKGELALAHQDCAVKWFSIKGNKTCDVCKQDVRNLPVTLLKISSPPTVVRHQLNASQQRETANYRIWQDVPVLVLVSTLAYFCFLEELLVSDLGPRALAISLPFSCILGLLSSLIASSMVSRSYIWAYACFQFAFVILFAHVFYSILNVAAIFSVLLSTFTGFGISISVNSLLMEYVRWKTSRQIQSSNQNIILRQQQQQQETQRQ >CAK8538457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483162410:483162769:-1 gene:gene-LATHSAT_LOCUS7649 transcript:rna-LATHSAT_LOCUS7649 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYVPVVGGVYTWFSGSGKAMSRIEIFVLSEGVISRWDILGQRVRKKDISDHCPIWIKAGVRDWGLKPFRFNTCWVKHEDFMSFVKVEWESILVSGRCDYILKEKLKALKGRLKRWN >CAK8535049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:807795554:807798106:-1 gene:gene-LATHSAT_LOCUS4532 transcript:rna-LATHSAT_LOCUS4532 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMTDSDMDQQRQGYFQSEHCVLMRGPSVGHPNIRSVVTASGNTSNGDSHYLPDAYDNARVYGISQYNGIQPQHNLDMGVATAGNIYYSGMNPSSSTGVYPLPHRASDQMPGSSTFAISGVSLDNFGRSNGFVDDGRGPYKRKIAEGIRGNHQHFNASTSSSIAPPNARHPDGVAMMDTAPLPFRVPSLIGVGPHGGAWSRSGESIMMHDHNHLIHGNYLGQHFPPAAPPWLDQQVNSNSNDGHSTAWNPPVPMPYIQAPNINGGSLESASMGLQRYHEAAGNRNVLRFPPPPPPVNQQHPNYHHPTLPMQGIRGHNINFHPPVTAASFRVPPNPPRGSVIPPPTGFEAGPRHIIPAPSTGFRIYRPHRLMPETALAHRSLPPVGFLQVDDVALIDEVGNLIDHHRDMRLDIEDMSYEDLLALGERIGSVNTGLSEETIANKLKTKIYSTKATAINLEEAASDDQESDSCIICQEEFKNKEKIGILRCEHEYHVDCLTKWLLVKNVCPICKSEALATGRKDA >CAK8560206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10453031:10455719:1 gene:gene-LATHSAT_LOCUS13900 transcript:rna-LATHSAT_LOCUS13900 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFFLNAGFEPSLHSSNSPMPTWQSLSSSMEVQPPLLNSSSNQSQGCFFNPNWEKSTDQNLNFDSALSSIVSSPASPPIENPMMRELIGKLGSFGEISQQHSKLNNVVPMMNHLGGKSIPEFSPDPGFVQRAAKFSCFGSKSFNERSTNQLVMMNNVELAQRSVNLMENGAKLLPRVSSSPSLKTIGTQMVNKDNKNSPLQQEYERMEVANSQEESTISEQNTPNGSEIGAKASLDMNSRKRKSSFKGKASNSSNPTKGGEHLNAKKSKSNEGEKNEIGGINIEEDSKKDATNEGEEKQNKSNSKAPEPQKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNTSIESVVVSKDIFQSNNSLQNSIFQLDSPAPSFYETQIQQNQVIHSNIPNGSGTHCLVDSLDSSLCHNLSMHLPSLNGFNENGSQFPLTFSEEDLNTIVQMGFGQTSNRETPIHSPSFNGSNQATQMKIEL >CAK8576476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481940921:481942296:-1 gene:gene-LATHSAT_LOCUS28659 transcript:rna-LATHSAT_LOCUS28659 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSGCIPSKKKAPVNNDPEENEPLSVVESEVPKNETTSRSIDVATTSETLKKLKVYVVFYSMYGHVESLARSLKKGVDSVDGVEGVLYRVVETLPKEVLELMKAPEKVDDGVPLISAENLVEADGLLFGFPTRYGSMAAQMKAFFDSTGQLWREQKLAGLPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLYVPIGYTFGAGMFEMDSVRGGSPYGAGVFAGDGSRQASEAELALAEYQGRYMANIVKKLGQKS >CAK8537541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390681394:390683934:-1 gene:gene-LATHSAT_LOCUS6830 transcript:rna-LATHSAT_LOCUS6830 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFLSISSLPSLHPLLGSHYPKTTTTRHQPRLVSIYCAKIKTDVSGDEEQQFHWKRRDILKCIGVTIGLESITNSGLLVGTANAADLIERRQRSEFQSEIKGTLYNAIKGNPDIVPSLLTLAINDALTYDKATKTGGSNGSIRFSSEISRPENKGLSAALNFIEEAKKDIDSYSKGGPISYADLIQYAAQSATKATFLASAIRKCGGNVEKGNLLYTAYGSNGQWGLFDRQFGRADTQEPDPEGRIPIWEKASVQEMKDKFAAVGLGPRQLAVLSAFIGPDQDATEALLASDPDVAPWVQKYQRSRETVSRTDYEVDLITTFTKLSTLGQNINYEAYTYPRKKIDITKLKL >CAK8565914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:352185718:352186161:1 gene:gene-LATHSAT_LOCUS19089 transcript:rna-LATHSAT_LOCUS19089 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWRAATGQEPNLEDYAGIEFWSNPERSGWLTKQGDYIKTWRRRWFVLKQGKLFWFKDQSSAASHSSVPRGVISVSTCLTVKGAEDVLHKASAFELSTPQSTMFFIADNDKDKEDWINSIGRAIVLGSRSHAASEVIDYRQSTDT >CAK8533409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:614318922:614319388:-1 gene:gene-LATHSAT_LOCUS3034 transcript:rna-LATHSAT_LOCUS3034 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGTGEPTNNAQRANTQVEPSSGGRLQNATVAPPATIASLAGVASPVRVASPPIDKETPAGIPGCLKNNYHRADGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGPSPSSK >CAK8576689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:506099177:506100535:1 gene:gene-LATHSAT_LOCUS28862 transcript:rna-LATHSAT_LOCUS28862 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKSRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAEAKNKSVPQIVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISKMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8533319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602646798:602647338:1 gene:gene-LATHSAT_LOCUS2959 transcript:rna-LATHSAT_LOCUS2959 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMNYNAGQAQGQAEEKANTMMDKASNAAQSAKESAQEAGQQVKEKTQEAAEAVKNATGMNN >CAK8570296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34832704:34833705:1 gene:gene-LATHSAT_LOCUS23058 transcript:rna-LATHSAT_LOCUS23058 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEDMQFLGFFGCYKQSFKIILSWRKIFSQITLTLILPLSFIFLFHIEVSNLIFNKIKHTEEQIDQTQQGTPKYDKLTDIVSSQFITLLLFKLFYFTFLLIFSLLSTSAIVYTTASIFTSKDLSFKKVIKIVPKVWKRLMLTFLCAYAAFFAYNFLTFLVIIFIVLTVGVKNGGAVLLIFLIIFYFIGFVYLTLIWQLASVVTVLEDLYGFKAMVKSKELIKGKMGLSIFIFLMLNVSFFLIRLVFKVVVVNGTWCFGYVDRTGYGILCFLLLSCLFLFGLVLQTVLYLVCKSYHHENIDKSALADHLEVYLGEYVPLTAKDVQLENYRV >CAK8578073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605182886:605184127:1 gene:gene-LATHSAT_LOCUS30115 transcript:rna-LATHSAT_LOCUS30115 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMSLKTSNKKMRRGFMCHSQSSTAVCMNTRDPRSVVVPKRIEKSVTLDDTRIINFAKYSKLVESPISNPVPKIMLRENSAKNQNYQAIEPRELQKTPTDNVFQVVVMRVAIHCQGCAGKVKKHISKMEGVTSFSIDVESKRVTVMGHISPVEVVDSISKVKKAELWC >CAK8532440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:263121891:263122295:1 gene:gene-LATHSAT_LOCUS2145 transcript:rna-LATHSAT_LOCUS2145 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDLVSWNSLICGYGQCKRYREVLDVFEAMRMDNVKGDVVTMVKVVLACSVLGEWSVVDAMIEYIEENKVKVGVYLGNTLIDMYGRWGMVDLARRVFDRMHDRNMVSWNAMIMGYGKARNMVAARELFDDMP >CAK8569931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16547818:16549986:-1 gene:gene-LATHSAT_LOCUS22730 transcript:rna-LATHSAT_LOCUS22730 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKTKNICRAKQDMISGLPGHVIDVILSCLPIREAVRTSVLSKKWRNNWHTLPNLVFDRKCVASQDPSIIESKFFRVVYSVLLHHSGPINMFKIHDFEFICKNDIDQWILHLARRSIKELVLQNYTVEEEDFYEIPWCLFSCQSLHCLELTYCLWNKPPRTFKGFKDLKSLNLNVVRVTQNALENLISGCPLLEELILMHIDDLTEFTIHAPNLKFFQFCGKFESITFDNTFQLATISIDLVTDLDPESNRRRLHGHFSNLRKFFDHRPHIQSLMIHTYFLKYLIAGNVPIKLPTPCISLRSLSLFINFYDLKQILATLCLLRSSPNLQNLEIFTLNEVHNVSLELGTYCWEETFLRPDTPLQMRHVTLHWISGIQPELDFIRFLLLYSPVLEKMMVKHHRVVRPELMTELIRFKRSSGEVEVIYSL >CAK8560269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12411037:12413650:-1 gene:gene-LATHSAT_LOCUS13957 transcript:rna-LATHSAT_LOCUS13957 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIDQHDCFGSEVEAKTVTENETELVLDGGFVMPQANSFGHTFRDYAAESQRQEGVENFYRKNHIYQSFDFVRKMREEYGKLKRVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLLPSFGGLPQWAVVGDTYPVGCRFDESIVHHKYFKENPDYNHSAYNTKYGIYSEKCGLNNVMMSWGHDDYMYLVAKENKTTLPSAAMFIIRYHSFYALHREGAYKHLMNDEDVENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYVSLIEKYFPAKLNW >CAK8544327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678752579:678754840:1 gene:gene-LATHSAT_LOCUS13016 transcript:rna-LATHSAT_LOCUS13016 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIDGKAVAQTIRSEIADEVRLLSQKYGKVPGLAVVIVGNRKDSQSYVGMKRKACAELGIKSFDIDLPEDVSEAEIIKNVHDLNANPDVHGILVQLPLPKHVNEEKVLTEISISKDVDGFHPLNIGKLAMKGRDPLFLPCTPKACLELLSRSGVSIKGKKAVVVGRSNIVGLPASLLLLKADATVTIVHSHTSQPENIIREADIVIAAAGQAKMIKGSWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFEEASKVAGWITPVPGGVGPMTVTMLLKNTLEGAKRTIEQNN >CAK8530146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2978291:2980856:-1 gene:gene-LATHSAT_LOCUS36 transcript:rna-LATHSAT_LOCUS36 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKLLFFFFVSLCFSTFVHSDSHFEGFEAEDDEVDFEESSIDPASLRSPPSQSLSTDPNPNPNPNPTPSPPSDLPKSTPPSATTFDFWDDDEFEGLPTEQQHPDLHVPIADPKSTDSNNTTTSSNDHVKPPPRSFTVEIICGSFLITFAINYFTGKKENENIALSWASQFAAKDSIFEKNFSLLGIGDGGDDTPLLLKEGQTTFKFYASGRRYCQGLLATLELKSRHDLIARIYNLVVPAKDEITFEVYMNDDSMDHVVFAMARKKAAKAMHKDFRDLQRFATIMTPPTSRKWVTDDLAVISESREVASDLITDALIDQVFGDKAFEKFGKGLISVHFSDNHPGIHKKVLLFKFVLPAAKNMADMTRLVALVPYYIDLIGRYKLSSLARSKTETARQKVAQEVQKELRNIQQEAMQRRKAERKKMLEEAEAKLGAEAIRKKEAKERARQMKKSMPRMKMSRGA >CAK8537765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428910223:428923128:1 gene:gene-LATHSAT_LOCUS7020 transcript:rna-LATHSAT_LOCUS7020 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKPRRSGGIIVETNATTETELDKDNIVEAGEEEKNNFGDFDKPYFVEVDRSGWLSDEHLDISEVVLSDLNLREGFNDFELSEDFSQDPQFSLRFRLCNVGNVLGRIKLGHWPVFPYTDIHLEFVKRATTDNNETCTVMLSGIFDGPDEGVSGLVHLTSLKFVTLRVVPGISFSEEIPSLRVRVEVLKSAFDACESLLESSRQQWKKSMMNFMSWLRPEMMTSEVKYGLSSYMEMEVDSQEDMADNGGYAGNGSRFDPAGFYEAIKPSKAEPMLEDDIPELLPELRPYQRRAAFWMVKREKAMEESQIERERNQFHSPLCVAVDFLDTSSKMFFNPFSGNISLCPETSSPYVFGGILADEMGLGKTVELLACIFAHRRSANGCDTLIDSVPQVNDNVKAALKRLKRERVECICGAVSESLQYEGLWVQCDVCDAWQHADCVGYSPKGKPLKSKQGLENKTHKTTIALRNGEYVCQMCSELMQATESPIASGATLIVCPAPILPQWHDEIIRHTRPGALKTCIYEGVRQTSFSNSTSMDIGDLASADIVITTYDVLKEDLSHDSDRHIGDRHLLRFQKRYPVIPTLLTRIYWWRICLDEAQMVESTVATAATEMALRLHSKHCWCITGTPIQRKLDDLYGLLRFSKTSPFNIYRWWSDVIRDPYEKGDMGAMEFTHRIFKQIMWRSCKQHVADELELPSQEECLSWLTLSPVEEHFYQRQHEACVRDSHEFIESLRNDILNRKVPDSVALNGLSNPLMTQTEAGKLLNALLKLRQACCHPQVGSSGLRSMHQSPMTMEEVLMVLISKTKVEGEEALRRLVMALNALAAIITIQKDFSQAALLYNEALTLAEEHSEDFRLDPLLNIHIHHNLANIFPLAENFALILPSKRKQVSGTSAINTTKKNSIAKVDHDHVKRHKMSNCDNIISAEPSNVASSLSESDLNDREFDDVSASSVKYLIAECEDLKLKYLSVFSSKLTVAQQEFQSSYMQVCNLYRDTRKNQNTLWWLEALHNAEQNKDFSTELLRKIEEAISGNSNNSKSPRVATRFRSISSLKYQIQTSLDQLEASRKVLLDRLLEVDQTMENPNDEDIERVGKCRNCQPNCDGPPCVLCELDELFQNHEARLFVLKNDRGGLISTAEEAVDFKKKIFARNQFLANLSKSNHSSSVSDIDYDESEKRNVGQRVMTLRSASMVEVLLGVIKNYCKTRFGKDSVAAATKHLHLLEGMRKEFAYARSLASAQGQYLRAHDEIKMAVSRLHLRANEDDKSLDSLGENELYVASSNFSQEKFVSLALLSQIKGKLRYLKGLVQSKQKLPLESPDNSSCSQDTNAMLNSTEEKGELISKTYEETCPICQEKLGHQRMVFQCGHVTCCKCLFAMTEKRLQHSKTHNWVMCPTCRQHTDFGNIAYAVDAQKESPNSSMLHTTDSCEKNEAFITVKGSYGTKIEAVTRRILWIKATNHKSKVLVFSSWKDVLDVLEHAFATNNITFIRMNGGRKAHTAISQFRGKQNDSKGCEGSEPKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQKHKTLIHRFLVKDTVEESIYKLNRSRSNHSFISGNTKNQDEPVLTLKDVESLLVRAPLTAQETDENPNTNTNLRDFPPSIAAAIAAERRLNEQRT >CAK8537764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428910223:428923128:1 gene:gene-LATHSAT_LOCUS7020 transcript:rna-LATHSAT_LOCUS7020-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKPRRSGGIIVETNATTETELDKDNIVEAGEEEKNNFGDFDKPYFVEVDRSGWLSDEHLDISEVVLSDLNLREGFNDFELSEDFSQDPQFSLRFRLCNVGNVLGRIKLGHWPVFPYTDIHLEFVKRATTDNNETCTVMLSGIFDGPDEGVSGLVHLTSLKFVTLRVVPGISFSEEIPSLRVRVEVLKSAFDACESLLESSRQQWKKSMMNFMSWLRPEMMTSEVKYGLSSYMEMEVDSQEDMADNGGYAGNGSRFDPAGFYEAIKPSKAEPMLEDDIPELLPELRPYQRRAAFWMVKREKAMEESQIERERNQFHSPLCVAVDFLDTSSKMFFNPFSGNISLCPETSSPYVFGGILADEMGLGKTVELLACIFAHRRSANGCDTLIDSVPQVNDNVKAALKRLKRERVECICGAVSESLQYEGLWVQCDVCDAWQHADCVGYSPKGKPLKSKQGLENKTHKTTIALRNGEYVCQMCSELMQATESPIASGATLIVCPAPILPQWHDEIIRHTRPGALKTCIYEGVRQTSFSNSTSMDIGDLASADIVITTYDVLKEDLSHDSDRHIGDRHLLRFQKRYPVIPTLLTRIYWWRICLDEAQMVESTVATAATEMALRLHSKHCWCITGTPIQRKLDDLYGLLRFSKTSPFNIYRWWSDVIRDPYEKGDMGAMEFTHRIFKQIMWRSCKQHVADELELPSQEECLSWLTLSPVEEHFYQRQHEACVRDSHEFIESLRNDILNRKVPEAGKLLNALLKLRQACCHPQVGSSGLRSMHQSPMTMEEVLMVLISKTKVEGEEALRRLVMALNALAAIITIQKDFSQAALLYNEALTLAEEHSEDFRLDPLLNIHIHHNLANIFPLAENFALILPSKRKQVSGTSAINTTKKNSIAKVDHDHVKRHKMSNCDNIISAEPSNVASSLSESDLNDREFDDVSASSVKYLIAECEDLKLKYLSVFSSKLTVAQQEFQSSYMQVCNLYRDTRKNQNTLWWLEALHNAEQNKDFSTELLRKIEEAISGNSNNSKSPRVATRFRSISSLKYQIQTSLDQLEASRKVLLDRLLEVDQTMENPNDEDIERVGKCRNCQPNCDGPPCVLCELDELFQNHEARLFVLKNDRGGLISTAEEAVDFKKKIFARNQFLANLSKSNHSSSVSDIDYDESEKRNVGQRVMTLRSASMVEVLLGVIKNYCKTRFGKDSVAAATKHLHLLEGMRKEFAYARSLASAQGQYLRAHDEIKMAVSRLHLRANEDDKSLDSLGENELYVASSNFSQEKFVSLALLSQIKGKLRYLKGLVQSKQKLPLESPDNSSCSQDTNAMLNSTEEKGELISKTYEETCPICQEKLGHQRMVFQCGHVTCCKCLFAMTEKRLQHSKTHNWVMCPTCRQHTDFGNIAYAVDAQKESPNSSMLHTTDSCEKNEAFITVKGSYGTKIEAVTRRILWIKATNHKSKVLVFSSWKDVLDVLEHAFATNNITFIRMNGGRKAHTAISQFRGKQNDSKGCEGSEPKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQKHKTLIHRFLVKDTVEESIYKLNRSRSNHSFISGNTKNQDEPVLTLKDVESLLVRAPLTAQETDENPNTNTNLRDFPPSIAAAIAAERRLNEQRT >CAK8561455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:225069163:225076569:-1 gene:gene-LATHSAT_LOCUS15044 transcript:rna-LATHSAT_LOCUS15044 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESKNQLQVSNADQQNGGTNFFKTCFNVLNTLTGIGILSMPYAVYQGGWFSFMLLIIFGVITCYTALLLEKCMNLNPKIKSYADIGEVAFGYKGRAVIAIFIYLEAFLICVELLILEADNLEKLFPNMRFTIFGLRIGGKSGFVFLTALAILPTTWLRNLGALAYISVGGVLTSVILIGCVMWVGEIDGVGFDERGDVIHWSGLATSMSIFAFCFSAHSLMPTICSSMSDKKQFSKAVLICFVISTIIYGTIAVLGYIMFGDYLKSQITLNLPKNTISTKIAIYSTVINPFTKYAIEISPITIAIEDKWNLCMSRPISILVRTTIVACSVLVALYIPFFAYIMAFTGAFLSVAISLLFPCVCYLKMNKGGKKFELEMKIIMGILIIGTLIGIQGTYISVGQIVNQMKR >CAK8567639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532974022:532975265:1 gene:gene-LATHSAT_LOCUS20675 transcript:rna-LATHSAT_LOCUS20675 gene_biotype:protein_coding transcript_biotype:protein_coding METLPLEICMKIFCFLDHHHLAVSQQVCRKWKLMASDNALWAKLFKERWGEGRATFYAPNGSKSWKEVYEVEDRCDRVGLGLKIIREGSDYYLVHQGEVQRYLGSRKIPRQVTDHTLSSETDLTEESSQAEERSCRGILDKILFFIGDLEAASVDAKRSRVI >CAK8535702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875517464:875518161:-1 gene:gene-LATHSAT_LOCUS5134 transcript:rna-LATHSAT_LOCUS5134 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLILLILTLFIIHTFSHAAPILITQLQLQMNHSSMLNHTQQHINETRLMSRRGGYRDCSYLITIKTSCDSPRYSKDHIGLLFGDAIDSEIYVPRLDGPDSGPFRKCRTMSFDVKAPEPCMGGICKLYLFRNGTDGWMPETVTAYDYHYPPVIFNYNFFLSEGPGVGHNYCGKS >CAK8560515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23100221:23102554:-1 gene:gene-LATHSAT_LOCUS14179 transcript:rna-LATHSAT_LOCUS14179 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFPSLILLFFFTLPPPSFSSSSTFIIQVQHDSKPSIFPSHRNWYESSLSSITTTPNTIIHTYDTVFHGFSAKLSPLEAQNLESLPHVIAVIPEQIRTLHTTRSPQFLGLKTADRTGLLHETDFGSDLVIGVIDTGIWPERESFNDRELGPVPAKWKGQCVAGKDFPVSVCNRKIIGAKYFSGGYEATSGKMNETSEYRSVRDSDGHGTHTASIAAGRYVSPASTLGFAKGVAAGMAPKARLAVYKVCWSGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYHLDVIAIGAFGASNAGVFVSASAGNGGPGGLTVTNVAPWVATVGAGTIDRDFPADVKLGNGKVIPGVSIYGGPGLTPGRMYPIVYAGSTEHGGEGGDGYSSSLCLEGSLDPKSVRGKIVVCDRGINSRADKGEVVKKSGGIGMILANGVFDGEGLVADCHILPATSVGAIGGDVIRSYIASAEKSVGSVPTATIVFKGTRLGVRPAPVVASFSARGPNPESPEILKPDVIAPGLNILAAWPDKVGPSGIASDHRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTVDNKGDPMLDESNGNISSVFDYGAGHVHPEKALDPGLVYDISVYDYVDFLCNSNYTTKNIQTITRKTADCSNAKKAGHAGNLNYPSLSAVFQQYGKHKMSTHFIRTVTNVGDPKSVYKVTINPPEGIVVTVKPDMLHFRRIGQKLNFLVRVQTREVKLSPGSSLVKSGSIVWFDGKHTVTSPLVVTMQQPLD >CAK8538534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486287132:486288346:1 gene:gene-LATHSAT_LOCUS7722 transcript:rna-LATHSAT_LOCUS7722 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRQAEADRVYEDFEPSHKWDDGRFTVLLPGFRKDQIKVQVTSKPALRLMGERPGFQNRWRRFKLEFPVPSDYDTDSVTATFEGGLLTVKFAKLTKPEETKNPTEDNPTPEVPSQKIDEQKGPQEGAAKAKEEKKETNETATNPPEEAPEPKEPSQKTDEQEGTQESTPKAKEEKAETKTNEVSDQNTPTHKEKDSITEKSKTETEASMDKVAEKVKTNGSTEKTDTVTSKVPKTKDAKFVARSKTRLVDFTLPKANQDENENENEALGDSTRSLKKWKKIVAWVMLILLVVGLGLYFRNTFGSFEGEFNFKDMLLFPY >CAK8541763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391133232:391133543:1 gene:gene-LATHSAT_LOCUS10658 transcript:rna-LATHSAT_LOCUS10658 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRDRGRGYGRGGRGSNNRLPQLELNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPITEKIMYIDDEDKFK >CAK8562040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430282028:430284483:-1 gene:gene-LATHSAT_LOCUS15575 transcript:rna-LATHSAT_LOCUS15575 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLPESPNQSSEESSPVSDSAGGLNNVIDTAAPFESSPVSDSAEGLKNVIDTAAPFESSPVSDSAGGLKNVIDTAAPFESSPVSDSAGGLKNVIDTAAPFESVKDAVSKFGGIVDWKTRRTQSLERSKMVGHEFVKPNTTEELENTKKLIEELRLNLESVERDEVLVKEEAEVVIRKIEELEQDIADEASFEAKAQLDVEQSMQSSLASELEFLKKELDSLRKEYDSMVNGRDAAINNAEEAVAASKEIEKAVEDLNAELIATKESLKLTRTAHLEAEEQTSGVVDEETHNYKLELEKSEEELETLNQQVLSARVLKSKLEASSSLLLDLKAELAAYMESKLEDESDLLRKKELEEVKMNIEKATAEVNTLKEASILLQSELEEEKLILNNLKESEEKASAKVTDLQVELEKSKSTIAFLQMKENEAREVMAELPKKLQAAVQEADEAKLLSQAAQAELLQAQEEAEKAKASLATLQNRLHATKIEIGASKISEKLAKDSIKALERSESSRGGSNAKEVDNSFLVTLTLDEYHELSRRTQKAEEQANLRITAANSQIEMAKESELRSLEKLEELNEELCVRRESLKIATENAEKAAEGKSVVEQELRSWRAEQEQRKDSDVNTTTASADATVNVNGSPPHTSKGKSPLEINANNDNNSNETGSSSSDAKNKKKKKKSLFPSKVIMFFAKRKTHPSK >CAK8579376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694775958:694776354:-1 gene:gene-LATHSAT_LOCUS31327 transcript:rna-LATHSAT_LOCUS31327 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHLRTSIMIFLLLFVELYPATVHSLRIIKRTEMKGRELMKVDMDDYKEYDSNHKNDQGKGKPHGLT >CAK8565658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300660299:300663688:1 gene:gene-LATHSAT_LOCUS18849 transcript:rna-LATHSAT_LOCUS18849 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYRVLSRASRSFTLLSALQKPQPLSTTFFHHFSSFPHQSSNKVIPIQANLFCPSISSSLNPRFGFSTSASSESSKTSEEANVTDRNEEDNGEDQTKDSDAEIECDLSKDELIKLVTEKEALLKLKHKEIEKMQDKVLRTYAEMENVMDRTKRNAENSKKFAIQNFAKSLLDVADNLGRASSVVKDSFSKIESPKESDEAAPLLKTLLEGVEMTEKQLAEVLKKHGVEKFDPTNEPFDPNRHNAIFQIPDASKPPGTVGAVLKAGYMLYDRVLRPAEVGVTHEVEENKTDE >CAK8543337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601200783:601201382:-1 gene:gene-LATHSAT_LOCUS12100 transcript:rna-LATHSAT_LOCUS12100 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQQYIVNAHYNGSVVVSDEVGLIYENTDVTRFSVNKRSSFQHFKDRIKMKVQAGSVTQITYKNVVHFGDHHFKFVPLKVCDDEDVEMMFSNHERFGFQHIELYITFVQCQETQISHVINPSIEEMPTIIPLEDVEEDDGEEENEAQVDDLYTTLFEEGNDVNKINRDEQHILVENVFSPPTHMTNLPLNVEGTSFE >CAK8562593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:513921442:513921905:-1 gene:gene-LATHSAT_LOCUS16079 transcript:rna-LATHSAT_LOCUS16079 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTMEKLKNKASAAKEQANIYKAKIDEKAEKARARTKEEEVIAHERSKAKEAKAKMELHEAKGKHAAEKLSTKQAHYYGTQQPHVEYFEGNQPFGSIPKPGTTAPTYPLGGKNILRNNHI >CAK8562594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:513921442:513921893:-1 gene:gene-LATHSAT_LOCUS16079 transcript:rna-LATHSAT_LOCUS16079-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKNKASAAKEQANIYKAKIDEKAEKARARTKEEEVIAHERSKAKEAKAKMELHEAKGKHAAEKLSTKQAHYYGTQQPHVEYFEGNQPFGSIPKPGTTAPTYPLGGKNILRNNHI >CAK8559949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2293246:2294215:1 gene:gene-LATHSAT_LOCUS13678 transcript:rna-LATHSAT_LOCUS13678 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDGLIDKLLETKNNRGKRIQLGEAEIRNLCTKAKDVFLNQPNLLELEAPINICGDIHGQYPDLLRVFEFGGFPPDSNYLFLGDYVDRGKQSIETICLLLSYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDCFNCLPVAAVIDDKIICMHGGLSPDMESLNQIKAIERPLDVPDQGLLCDLLWADPDPEIKGWGENDRGVSYTFGPDRVTEFLKKHDLDLICRAHQVVEDGYQFFADRQLVTIFSAPNYCGEFNNAGALMCVDQTLLCSFQIMKPLRGKNPC >CAK8573716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632720642:632721410:1 gene:gene-LATHSAT_LOCUS26125 transcript:rna-LATHSAT_LOCUS26125 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFAASMCSITNSKKPQEIKRFDIEDKLQSKSNIARVSSRRHISINVGASLVAITCYGLSPLVIWAEEKSDDKEENDNGVIGAIKSLFDPNEKTKSGKVLPKAYIKSAKEVVKTLRESLNEVLDDNAKFRRTADAAKESINEYLGSWRGNQTLAQEESYVALVKAVRSLANFYSRAGPSASLPEEVKSEILDYLNTAEDSL >CAK8565125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:43198521:43198724:-1 gene:gene-LATHSAT_LOCUS18362 transcript:rna-LATHSAT_LOCUS18362 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTIQIFSFLFVVVFAAVVSAQDLSPSSAPAPGPDAGAAGSVTNSVAMIGASIVLSMIAIFKN >CAK8563074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:571530904:571531671:-1 gene:gene-LATHSAT_LOCUS16519 transcript:rna-LATHSAT_LOCUS16519 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLYKRPKINGAMLAAIFPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAMFLGERPTPWVIGSLVPIVGGVALASVTEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKQEESLDNITLFSIITIMSFFLLAPATIFMESVKFTPAYLQFAGLNVRQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIIFKTPVSPVNALGTAVGLAGVFLYSRVKQIKSKPKAV >CAK8579030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668122325:668122897:-1 gene:gene-LATHSAT_LOCUS31005 transcript:rna-LATHSAT_LOCUS31005 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGLKCSKGLKICCGVTSIFLIVVLVILLVLFLTDFKRKDPTITLQSVKFGRFVFDVFPIIDLNASLAILVTVDNPNHGSFTYQNSTAYLYYRGKLLAEAPLVEDTLPALKSHNISTVLGVYVDITEVPDLLGDYLGGIINFTSSTTLVGKVKILKFIKFKATSNSICEILVNTRNQTVNSTCNIKLKL >CAK8570383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41871117:41872563:-1 gene:gene-LATHSAT_LOCUS23133 transcript:rna-LATHSAT_LOCUS23133 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIATTLSSPPPYDRLKAVKEFDETKAGVKGLVDSGIKTIPSFFIHPPEVLSDLAPGSGPEPEIPIIDLSTIHDSRATVVNQIRSAASTFGFFQVINHGASPDLLRNLIGALKAFHEQPAEVRAQVYRREAGKGASYISNVDLFTSKAASWRDTLQIRTGPIATEEKDIPEVCRKEVMEWDREVVRIGDILLGLLSEGLGLGEERFKELGLSQGRVMAAHYYPFCPQPDLTVGLNSHADPGALTILLQDHIGGLQVRTEQGWVHVKPLDGALVINIGDLLQIISNEEYKSVDHRVLANSSNEPRVSSAVFLMPGNREKLFGPLPELTSAEKPALYREFTLNEFMTRFFKKELDGKSLTNFFRQ >CAK8536056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903522518:903523814:1 gene:gene-LATHSAT_LOCUS5458 transcript:rna-LATHSAT_LOCUS5458 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGIPPTALDKEQIFGMAEKEMEYRVELFNKMTQTCFNKCVDNKYKESELNMGENSCIDRCVSKYWHVTNLIGQLLGTGKPQ >CAK8575961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374836709:374837763:-1 gene:gene-LATHSAT_LOCUS28184 transcript:rna-LATHSAT_LOCUS28184 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYHIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMERCISMSDLASLQRTRLTKIDTNLVSAFAERGVFWNPQDISEALAVEWAVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFLEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLNGLSGYSWAAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLEKRGEN >CAK8537300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:214850468:214851928:1 gene:gene-LATHSAT_LOCUS6599 transcript:rna-LATHSAT_LOCUS6599 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNNYSAPPMPPCFNTLDYSLDQQYQQFTKYRVGETSGENNNGMEDNYLPQTQNSGGFYGTTNNSFDKMSFADVMQFADFGPKLALNRQESEIDDDPVYFLKFPVLNNKMEDQNLMLHQDGVGENEDRFKVADNLRDHEETRVSDENNSVQLVQETNCALVQENSKKRKRPRTVKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLVGEAQSKQVGDSTQQQAPFFQQAPLPNEQMKIVEMESGLEEETAESKSCLADVEVKVLGFDAMIKILSRRRPGQLIKTIAALEDMQLIILHTNITTIEQTVLYSFNVKVASDTRFTAEDIASSVQQILSFIHANTSM >CAK8567494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518623655:518625571:1 gene:gene-LATHSAT_LOCUS20541 transcript:rna-LATHSAT_LOCUS20541 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIKCKAAVAWEAGKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDQALPVFTGECGDCPHCKSEESNMCDLLRINTDRGVMLNDNQSRFSIKGQPVHHFVGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGICTGLGATVNVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVNEFVNPKDHDKPVQQVIAEMTNGGVDRAVECTGSIQAMISAFECVHDGWGVAVLVGVPSKDDAFKTHPMNFLNERTLKGTFYGNYKPRTDLPNVVEKYMKGELELEKFITHTVPFSEINKAFDYMLKGESIRCIIKMEE >CAK8543704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632708387:632710554:-1 gene:gene-LATHSAT_LOCUS12445 transcript:rna-LATHSAT_LOCUS12445 gene_biotype:protein_coding transcript_biotype:protein_coding MENIPVMLDEQKRRRQCCLSEERDVSIGPDWVRQFLDMSDEELTEVVSSGEVNKPNVDVEEDDDDCVILDGDPEKQVTHVNDSPNGSDELLVVGEKGQIACRDYPHPRHLCATFPYSSTPHERYCAQCHCYVCDSPAPCLKWGNGLLTTDHCHATDRSETWKTLRKDSKRVKNVPLPASTNNGTLGNVVNSQHNRILPFNNAPLSSNSMLMNQTSRTKVLCMRGLVNLTPQNQATHLSSNSMLTSLTSRSMALRACPVNLTPQNQAACPITMNACSSQRSILQQNHALWSTEMHPFSGNFVPQNQASQPIRMNAINALSSLSSRLHNQGSNSIYSRPLSDNFVHQNQASQRITVKEISSLNSRLQNQISRSNNVLGCSTDSNLTIPNGTNNSRYQEPGSTLVRNRYPSHTAPRMSLGVQSHLIQKKPGHRVNRIDSIGIGNTAATNCVTPLSASSFINHVNPPHGDRNHAAATEFSNSRNCYAHNNDVLIAQTINLLLAQPNHSPAYETQPCYQSNNNLSPYGYCDIQANDSLSSYLARLNKNEHEIGNQNENIINSGARVQNVFQQKPDGGIENEGFIAKESNTNENISYVENLVSNANECSTPFSGNTHLSLDEIKHWLLDSN >CAK8577378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558849803:558852321:-1 gene:gene-LATHSAT_LOCUS29492 transcript:rna-LATHSAT_LOCUS29492 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRLDLRVVHHSGSTQSEESALDLERNYYGHRNPSTSPIQLQTFAVGVQHSESNAAYFSWPTLSRWNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRGGVLTDIPAILVFVAHKVHRQWLNHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAQTPKEQLYTELADGLRGSDSCVGSGSQVASQETYGTLGAIVRSRTGSREVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMSNVITSIRGVGDIGEVHVIDLQSPINSLIGRQVIKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGQNREKPRPVGIIWGGTANRGRLKLRVGQPPENWTSGVDLGRLLDLLELDLVTTNEALHAAAHEHRNGSTAGIGSSTVGESSPTVPIKERLEESFEPFCLNMQPVLVEEEPSKIVKPSLRPSEFHIRNEIETVPNVEHQFLSTSYAGKSPVHQSYLKEDSEFKSLSELKNEPEEDNFVSLHLGEPESKRRKHSSSSLSSKK >CAK8539128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504313683:504315994:1 gene:gene-LATHSAT_LOCUS8254 transcript:rna-LATHSAT_LOCUS8254 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTWIYKLLSSLITLLIISSCVYVCEGQAEMATALPPAAETCNGVYISYTLHDRRKEFPRVKNVTAQSWAFNATATVLNTGKDVLKSWKLFIGFQHDEILISANGGIPFTAGEFPAEVGNGTTLVGSNLPDLETSINTANDLNQIQALIEISGTQFGIKPPGVPMPKTIKLENDGYMCPRPTTRKRTMQACCKRDPKAKKKVIKTKFIPRQKGDLTISYDVLQSYDNNYLVEVTMENHSPLGRLDRWNLTWEWTRGEFIQQMKGAFPREMDYSGCVYGPPGQHYRDMDFSQVWNCQKHPVISDMPPEKYNDTDLGKIPFCCRNGSLLPIIMDPSQSKSIFQMQVFKSPPDHLDKTSLFPPAKWKVTGVLNPAYVCGPPLKVQPTIFPDKRGLDAITYAVASWQIVCNITKPKYRTTRCCVSFSAFYNESVVPCNTCACGCDEDEDNGRCNPNAKSMYLPSEALLVPFENRTKKAIAWAKLKHFYVPKKLPCGDNCGVSINWHVASDHKGGWSARITLFNWRSFFFKDWFTALQFKKGVSHGFEQVYSFNGTFLRKFNNTIFMQGVEGANYLIGLDNDTNPKVPGKQQSVISFTKKFMPNMRIAKGDGFPTKVLFNGEECSIPTHIPISGNQHSVYLVQQVLILVLAFTMIQILY >CAK8570570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61655969:61656934:1 gene:gene-LATHSAT_LOCUS23308 transcript:rna-LATHSAT_LOCUS23308 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDKDTSQSQPSAEPDAENAYASFQGLLQLAKITNADVDVSRGACKRCGRVGHLKFQCKNYVKIKDDEKDPEALQSLVGLDKLKGKSERVDRKSNVESSEEEEEDSESSDSEFDSEIERAIAERSGKKVSGKRGSYRKKEDSDDDESDKDSDRKRRKRGRAKKRSVKTKRAASDSDDSGERRRRKKKREHRRSRRDKSPDDSDEHSHKKRKSRQEKRRRRSRLSDSDSETSEDSSSRHKRKSKKTLSSSDNDSSDGYNDSRKGREVKKSEKRRRRHHEDDD >CAK8538311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476190089:476192503:-1 gene:gene-LATHSAT_LOCUS7524 transcript:rna-LATHSAT_LOCUS7524 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSLSSVVFSSFTVVFLLYSIPFSNAIGSGTTLAVVDSTATVCGIVSANQSRNIVCYRHGRLIPAIPDVSFSSIAGGKNYFCGIISGNYSILCWDTVSSNTTFERRRLYYNGTVLYENLSVGDSHVCATVVNLGTVSCWRINKGFVLPSGYDQFSSITSGYGFSCGILKRNNSGSVRCFGNVNGSIAEKIENEFMNVSMVSLVAGESHVCGLNSKGFLVCKGSNNFGQINVPSQVKPLEYSDLALGAEHSCAIRRSNGSVVCWGGKGLFSVNATQRVSFEVIVSASNFTCGLTTNNFSVICWGPGWFNNGSDSVFKLPLPPILPGVCVQSSCSECGIYPHSQYLCSSFGNICKAKPCWPQMEVLAPPEGESPMQSGMPVSRSKTLTNGLLAFVIVGSIGTFAGFCTVVYCLWTGVCFGKKKVHSSVQPTITRAGSFNCAAFSNFSPPSRASTIRRQSSRIMRRQRSGTSSTRQPDKSEEFTLAELVSATNSFSPENKIGAGSYGVVYKGKLADGREVAIKRGETGTITKVFQEKESAFESELAFLSRLHHKHLVRLVGFCDEKDERLLVYEFMKNGALYDHLHDKNNVNKNSSLLNSWKMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILIDANWTARVSDFGLSLMSPDSDHDYIPTKAAGTVGYIDPEYYGLNVLTSKSDVYGLGVVLLELLTGKRAIFKNDENGGTPVSIVDFAVPIIMEGEIAKILDQRVEQPEMNEKEAVELVAYTAMHCVHFEGKDRPTMADIVANLERAFALCDSSSHGSISSDTISVGSD >CAK8563555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616187605:616189484:-1 gene:gene-LATHSAT_LOCUS16952 transcript:rna-LATHSAT_LOCUS16952 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTSRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYVFDLYYKREEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNYATTCVCRVPKHLREEKVIECVHCGCKGCASGD >CAK8537105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:97104967:97105335:-1 gene:gene-LATHSAT_LOCUS6419 transcript:rna-LATHSAT_LOCUS6419 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVYEVNRIWKKTKDGFRKMNDEEYICMISSLVNLGNFTGVENLYREWESVSGTNVVRVSNLLLTSYVGQGQMEMVANFCNQLVEKGVRLSYTTWELLTRGYLKKSNVKNFCIIFRKPYLV >CAK8578642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643299408:643301806:1 gene:gene-LATHSAT_LOCUS30635 transcript:rna-LATHSAT_LOCUS30635 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGCVLSSSSTANAPTAFSPLNCTTRHPYFSSPSLLPSHRTFNPLCLRSFTRHYGIRIADKSKTSNTRIAGFRVRSYAAPYPFTTPNVQWIATVSALVLVLAKGTTVPKSFLVPLFALQAPAAVFNWIKGRYGVWSAFLALIVRLFFHIPGELELPFIALLLVIVAPDEAIRLRDTKEGAAVSLLIAAYLAFQHFSRTSLDKSFDQGSVVATLAVIGITVASVLLLI >CAK8530264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10767810:10769708:1 gene:gene-LATHSAT_LOCUS143 transcript:rna-LATHSAT_LOCUS143 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSIRRALGAVKDQTSIGLAKVGSSTSLADLDVAIVKATRHDEHPSEEKYIREILSLTCYSRAFISACVNTLSRRLSKTKSWTVGLKTLILIQRLLTEGDPAYEQEIFFSTRRGTRLLNMSDFRDSLKSDSWDYSAFVRTYSLYLDERLEYKMQNRRGKRGMFGIDEEEDDREREREKDRDKDTVVRSTPVRDMKLEQIFSKMQHLQLLIERFLACRPTGGAKNHRIVIVALYPIVKDSFQSYYEISEIMSILIDRFPDMEVADCVKVYDIFCRVGKQFDELDLFYGWSKSIGIARSSEYPEIEKVTPKKLEVMEEFIKDKSLLAQSQKTNAEEEVVEKVEEPEPEPEPEPEPEPEEVSEVKALPPPEEPVEEVKEEPVEVKEEKVVQTEGDLLNLGDDRVTNEEHGDKLALALFDGAAVTNEGATQALPWHAFDEGADWETALVQSTSHLGNQKPALGGGFDTLLLDGMYKQSEMNAAMQGQGYGGSGSASSVALGSAGRPAMLALPAPASSGTGNDSSSGYVDPFAASLAIAPPSYVQMSEMEKKQRLLVEEQLIWQQYEKDRMQGHAALHKQQPGSNNSYTGGYPQNYGNYHR >CAK8568687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632306928:632312976:1 gene:gene-LATHSAT_LOCUS21622 transcript:rna-LATHSAT_LOCUS21622 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNKISSVDKILDLLSTLGYVDATGSDAPPSQKIAAGLSWIIAALNPNSNIIHDENNTQYIEESLKLIECPHPLQQTHIQNRDADALFPVIQWISSRLKSTQEQCVSEVRRDEETVEEEDVLKTSLINKLDELNHRKTNVVEQLDELRARINKKGADSAVQKLYPLIISMKDLERKETSFLFNREAKHSELQAEISELERKVASDYDSKSLTDELHQSFSESLERVDRMKKGLAARLRDVVAVRRQIDDLPCQSEIVQYEHRLSELYAQIQGKHRQTHKYYSTYNALLEIKELMLKETSLLNSIISQFQEAFSSADGRIKLVHSMEGIFKGSQQKLEKVQLGLQEEERNRNDLKDRYAATIGEQKRCYSLMKAFQEKCSKEKLRCQSSR >CAK8575725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:283131919:283132780:1 gene:gene-LATHSAT_LOCUS27963 transcript:rna-LATHSAT_LOCUS27963 gene_biotype:protein_coding transcript_biotype:protein_coding METDSDPNANPPKRQIFILSGQSNMAGRGGVIKNPHHTPNKRWNGVVPPECSPDPSILRFSAALKWEQAHEPLHIDIDTKKVCGIGPGMVFANAIRKCVPGELGLVPCAVGGTAIKEWARGEEFYENMVKRVKESLKGDENAEIKALLWFQGESDTLNEVDAEAYRVNMENLIHNVRQDLNLPSLPIIQVALASGFEYIEKVREAQKAINVPNVICIDAKGLQLREDNLHLNTESQIKLGHMLAEAFVTVYQKINS >CAK8535152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818336629:818345714:-1 gene:gene-LATHSAT_LOCUS4628 transcript:rna-LATHSAT_LOCUS4628 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYDLTPRMAPNLDRHLVFPLLEFLQERQIYNDNHILKAKIDLLNNTNMVDYAMDIHKTLYQTEDVPQDMVERRADVVARLKSLEDAAAPLVAFLQNAAAVHELKADKHYNLQMLNDKYQIGPAQIEALYQYGKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEVLMQNWDVALEELNRLKEIIDSKNFSSPINQVQSRIWLMHWSLFIFFNHDNGRTLIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQLKEFIKVIQQEQHSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRGLKLDAKIDSQTGTVIMESNHPNVYEQLVDHTKALNVRTYKLVTQLLEQAQGQAAR >CAK8565559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:277794030:277794938:1 gene:gene-LATHSAT_LOCUS18760 transcript:rna-LATHSAT_LOCUS18760 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGPHLKLKSGQVITVQVDSVIIRSANPYLATPESTIHGHYGEILSQGDILVTFLYEKSRSSDITQGLPKVEQIFEIRSIASISMNLKKRIDAWNECITKILVIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVHIDNRHIDIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERTGRALEEAICYRALLLGATKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMILVGTRFKRIMHRSRSRQHNKISRKKNYSKSKLEIFCSITENYLIFLISENLYDTFEI >CAK8577329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555684285:555687831:1 gene:gene-LATHSAT_LOCUS29444 transcript:rna-LATHSAT_LOCUS29444 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLLPLSSPSTSSSKLNHRFCLASSLLNHHRYSHFPKFLSKPKIDTTTSLHLRLRCSLRGSTLALDRNEASVSGTPDNSGLPKVDKTGRFCSPRAARELALSIIYASCLEGLDPVRLFEKRMNERRETGYEFNKEKLLEYNHMNFGGPPVAAETDEEANELLRNIELEAAIEEEVLAAPPKLVYSRLILRFVRKLLVAVRDRWDSNVPVINKVIPPNWQSEPAGKILELSILHLAMSEIAMLDTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFYRDLEAEASKNRV >CAK8561486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241741698:241742627:-1 gene:gene-LATHSAT_LOCUS15073 transcript:rna-LATHSAT_LOCUS15073 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLANVLRIVDNESKPTMDYIYAAMRVAMESIDKAFNSNSTKYKVVFEIIDKRWEYQLHHPLHAAGYYLNPGYYYDKPEIENDPKLVTCLRRCIETLSGSHEVEDRISVQLTEYKGATVRQMSTLAPNKMKWWKSYRAETLDLQLLTVKVLSLSCSALQLLTVKVLSLSCSASEGERNWSIFEHIHSKKRNKLKHQRLQDLVFIKSNQVLIERLEIRDKIDLMVFSKINYHSEWLVGEMGEIEEDLFHDDQ >CAK8561334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:155404280:155406282:1 gene:gene-LATHSAT_LOCUS14935 transcript:rna-LATHSAT_LOCUS14935 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKMIGVIGGGQMGSGIAQLTAMHGIDVCLLDVDSQALSTASSSISSSINRLVSKSQLSQATASEALKRLRFTTNLNDLSHADFIIEAIVESEEVKKSMFLRLDEIAKSSAIFASNTSSISITRLAFSTSRPKQVIGMHFMNPPPVMKLIEIVRGADTSDDTFAATKALSQRLGKTVIASRDYSGFIVNRILMPMINEAFYTLYTNVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHAGLGDNKYAPCPLLVQYVDAGRLGRKRGIGVYDYSKEKSSKSSSRL >CAK8544683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699299299:699301612:1 gene:gene-LATHSAT_LOCUS13340 transcript:rna-LATHSAT_LOCUS13340 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDEKEGPSPKIGPDHQAEILSNSDQLSLQMHPAYSEEDVYDESLSPSICLPISVTWSEADAESFVLGLFIFGKNFTLIKKFLENKGMGEILSFYYGNFYKSDGYHRWSECRKLKGRKRMIAKRLSTKMRQNDLLSRLNPHVSKESQDTLSQVSKSYMKGRTCLEKYISSMKSIVGLGVLAEAVGIGKENGVITRFDLEPRKSSCEEISAPACKALSSLGPDEIIRSLTGGCRLNKTRRNELFWEAVWPRLLARGWHSELPKNQDYLVFLIPGVYKFSWRKHLKGQHYFDSVKDVLSKVVAEPNIIVLEEEFEEGGSNEDDFSDDHLQCYLKPRSSTSLVHSGKPLDIREMKYVPSNKVHIVEVDVDGKRYKGNTYSRRVNHSKDMLKSITQRSTKLSSIDTNRLPERKLLKVKQKRYPPVELKDASTMTTNLLSDSNGGSSIDDSTRMVVSKILIYGRKKTDSFFGVSNSGVSDKKEPHDDNHDNDVNKMVESQKNQHTCLFDDSQLKRIIKHHQFNWSVRSGDSNQAAVPTKRRRLTACAKAENNRVIQNFSGGLGSDKASFLDANQNVCDPVSHQHNGSSTASSEDRSSSRNDSFQCTSVSCVEIEKSVSFTFRIQADVNPKRQSSRNSKLTVKAFESLANEFWHVAKRQKKDDIPTHIDIFHPCRKARTRGKTRPRRNIVFQHLNGDGSVS >CAK8560364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15763524:15766811:1 gene:gene-LATHSAT_LOCUS14043 transcript:rna-LATHSAT_LOCUS14043 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGGDKIKGTLVLMQKNVLDINSLTDPAKLLDGALDGFGSILDTLTSFLGGSVCLQLISATKPLLSGEGKVGKEAYLKAAINNLPTLGDKQTAFSIEFEYDSNFGIPGAFKIKNYMSAEFYLVSLTLDDIPNLGTIHFVCNSWIYNAKKYQTDRIFFANNTYVTSETPPPLVFYRQLELKTLRGNGTGERQEWDRIYDYNVYNDLGEPDKGPSYARPILGRSSDHPYPRRGRTGRKPTATDPNSESRGNSVYIPRDEAFGHLKSSDFLVYGLKSVSQDVIPLLQSAFDINFTPTEFDSFDDVFDLYEGGIKLPTDIISQISPLPVLSEIFRTDGEEFLKFPPPKVIQVSKSAWMTDEEFGREIVAGVNPGLIRSLQDFPPKSKLDSAIYGDHTSTITKEQIELNLEGLSTLDEAIQNKKLFLLEHHDTIIPYLRLINSTSTKAYASRTILFLKNDGTLKPLAIELSLPHPQGDQFGVVSSVYLPAIEGVEATIWLLAKAYVIVNDSCFHQLVSHWLNTHAVVEPFVIATNRQLSVLHPIYKLLHPHYRDTMNINALARQSLVNADGIIEKTFLWGGYAMEISSKVYKDWVFTDQALPADLIKRGIAVEDSTSPHGLRLVIEDYPYAVDGLDIWDAIKTWVQDYVSIYFTSDEKIQQDSELQAWWKEVVEVGHGDKKGEVWWPKLQTREELIHVCNIIIWTASALHAAVNFGQYPYGGFILNRPTLSRRLMPEKGTTEYDELATNPQKAYLKTITPKFQTLIDLSVIEILSRHASDEYYLGQRDSAEYWTSDTNALAAFKKFGTTLAEIEGQLILRNSNGSLRNRVGPVSMPYTLLYPSSEEGLTFRGIPNSISI >CAK8531967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184986967:184992121:-1 gene:gene-LATHSAT_LOCUS1714 transcript:rna-LATHSAT_LOCUS1714 gene_biotype:protein_coding transcript_biotype:protein_coding MECVGARNLAAMAFCSSPSSRLQLRRKKLWRKRGVSSYSDAIRLRSASLKVEAKASTGSESCVVDREDFADEEDFVKAGGSELNFVQMQQRKSMEMQSKLADKLPPISIGDGILDLVVIGCGPAGLALAAESSKLGLKVGLIGPDLPFTNNYGVWEDEFKGLGLEGCIEHVWKDTAVYIDNKDPIFIGRSYGRVSRHLLHEELLKRCVESGVSYLGSRVERIVEASNGHNHVVCEYNIVVPCRLATVASGAASGKLLQYEVGGPKVCVQTAYGVEVEVENNPYDPSLMVFMDYRDYMKKNVQSLEASYPTFLYAMPMSRTRVFFEETCLASKDAMPFDLLKKKLFSRLDTMGIRITETYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPEYASVIATILNEGNAKDIAIFERIKENPSMRAWNTLWPQERKRQRSFFLFGLALIVQLDIEGTRTFFRTFFCLPEWMWQGFLGSSLSSKDLLLFAVYMFIIAPNDLRMSLVRHLLSDPTGATMIKTYLTI >CAK8573177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591571099:591571278:1 gene:gene-LATHSAT_LOCUS25654 transcript:rna-LATHSAT_LOCUS25654 gene_biotype:protein_coding transcript_biotype:protein_coding MVPITTYLLLLVSSVFYVVWDARIVLEEYAAQRDLLGFRQSSLGFSAYAMSHLDVPIIM >CAK8539462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513285133:513288008:1 gene:gene-LATHSAT_LOCUS8553 transcript:rna-LATHSAT_LOCUS8553 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAPRFCYLVTRLSEVNISDVNRCYSQMLSQPSYSQTKSESVPSDQKGSGREKPKHYIGENVSRKDRTKFLLTTLRDIDDSKEAIYGALDAWVAWEQNFPIGALRNILISLEKEQQWHRIVQVIKWMLSKGQGTTMGTYGQLIRALDMDHRVGEAHKFWEMKIGTDLHSVPWQMCHLMISVYYRNNMLDELVRLFKGLEAFDRKPRDKLIIKKVANAYDMLGLVEEKERILEKYNHLLIEGPRPTRKGGRKSSAKKNKEQPNESKKDSEEK >CAK8569816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12604828:12605016:-1 gene:gene-LATHSAT_LOCUS22625 transcript:rna-LATHSAT_LOCUS22625 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKMIVLAIMATLVSIISSAAAAEAPAPSPASPATAIAPPIVAGVVAAVAAFAFGSTLRI >CAK8562320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473355899:473358063:-1 gene:gene-LATHSAT_LOCUS15827 transcript:rna-LATHSAT_LOCUS15827 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIRVNRVDQGQTKIKNVPIAVTPEGFWCCPSPVVFQKSHKPQNPLNKTKPSSSPPQPQPKASVQKKPVAVQVTERRGSAPAPVLASSRLVVSDDQHCGGGSERSSSAGSSLAAERLSSRPKIETMPRKVAIEFGEPGTCDMKVVLLGKQGFSVKLSVHRDVLVEKSSFFSEKILEQSDLSCLQIGDCEDVEIYVEAVGLMYCKEMKQRLMKQNVSRILRILKVAEFLGFSSCIQSCLEYLEAVPWVGDEEEEKVVSTVLQLQGEGIGVNPVLKRVSSDVSNVPKDTFSQIIKLVLKSNEEKGRREMKSIVLKLLRENNSLPSYARSTDICNDMIYTSCRSCLDLLLSLFKQAAESSFAGKPSDERDSVIKRIALEADNLSWLLDILIDKQAADEFALLWANQQELAVLHATLPIVSRYHVSCISGKLYVGIGRGELLPSKDTRQMLLQTWLQPLMNDYNWLQHGCRSFDRKLVEEGIGRTILTLPLEDQQSILLSWVGIFLKTGDSCPNLQRAFEVWWRRTFIRPYVENQGNLASDSSMSSSSK >CAK8571774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471679542:471680378:1 gene:gene-LATHSAT_LOCUS24406 transcript:rna-LATHSAT_LOCUS24406 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGESNQREYVEVDHGDGDESLWVPAIGMYFSCLEEVKKYYQEYALKKGFGWRIRSSKKEDDGELNHLILSCSREGTKRSKISCTLKTLPSRAKNCPAKICIKLKQDGLWYIKKFEANHSHETSTTKARLFKANKKMNLHVRRTIQINDDAGVRINKTFQSLVKDAGGHENIPFCEKDVRNYINKEHRAIGKEGDGKALISYFCKMQEQNTNFFYDIDLDDNFHVMNVFWTDARSRAVYEYFGDVVTFDTTYLTNKYDMPFAAFVGVNHHG >CAK8571773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471679542:471680378:1 gene:gene-LATHSAT_LOCUS24406 transcript:rna-LATHSAT_LOCUS24406-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGESNQREYVEVDHGDGDESLWVPAIGMYFSCLEEVKKYYQEYALKKGFGWRIRSSKKEDDGELNHLILSCSREGTKRSKISCTLKTLPSRAKNCPAKICIKLKQDGLWYIKKFEANHSHETSTTKARLFKANKKMNLHVRRTIQINDDAGVRINKTFQSLVKDAGGHENIPFCEKDVRNYINKEHRAIGKEDLDDNFHVMNVFWTDARSRAVYEYFGDVVTFDTTYLTNKYDMPFAAFVGVNHHG >CAK8570575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:62675032:62676736:1 gene:gene-LATHSAT_LOCUS23313 transcript:rna-LATHSAT_LOCUS23313 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPECSATQLAQLIGPNATNAVAAADFICSQFTAVGKKFVDTQYAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGGPSNGFIGKHFFGLKDVPSETFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSSDGWASATNTDNLLFSTGVIDFAGSGVVHMVGGIAGLWGAFIEGPRIGRFDHNGRAVTLRGHSASLVVLGTFMLWFGWYGFNPGSFTKILNPYESGTYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFIAAVVLISCNVLAEKVRYDDPLEAAQLHGGCGAWGIIFTALFAKKEYVNQVYPGKLDRPYGLFMGGGGKLLGAHVIQILVIIGWVSATMGPLFFALNKMKLLRISAEDELAGMDLTRHGGFAYAYEDETHKHGIHLRKIDHNSSSSPTPNPTTDL >CAK8536264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922930375:922930833:-1 gene:gene-LATHSAT_LOCUS5649 transcript:rna-LATHSAT_LOCUS5649 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNSQNPNPQNSQIPLVPTNPAIFLPSPNNPNMYPIPQMNSNSMEFSTQVPPFSTQVLPFFTQVGTKKEERVVVKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAESFWLRIAASYNQYRGQLREKLGGQLKC >CAK8530832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54100864:54101247:-1 gene:gene-LATHSAT_LOCUS668 transcript:rna-LATHSAT_LOCUS668 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAGSAAKAVAEYQYPWCEKLAKYKDELAKGVWGYWELGAWKPLSISARHRARLRKEVLAGEDWTFDPERKEMKTRQKGHKVDRIASEKRANTSRLLEKMPDMLLDYKKKKWQKKMKEEDKGKL >CAK8564164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654749075:654750583:1 gene:gene-LATHSAT_LOCUS17493 transcript:rna-LATHSAT_LOCUS17493 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRVLNPTQLSQIIRIQKNPLKALEIFNIAKSKYPKYSHNGPVYATMITILGLSSRLKEMSDLIEQMKQDSCECKDSVFVSAIKTYAKQGLVDEAISLYKKIPQFNCVNWTQSFNTLLEIMVNEGKLEDAHGLFVESSCGWEVKSRVRALNLLMYALCRKSRSDLALQIFQEMDYQGCYPDRDSYLVVMKGLCKDKRLHEATHLLYSMFWRISLKGNGEDVVIYRTLLDALCDDGKFEEAVEILGKILRKGLKAPKRCYNQLDLSQCGDGKDAEVTKRWIHEALVRGSVPSTASYNAMAVDLYEEGKIDEADKVIVEMKNKGFKPKHLIFEAKVAALCKVDKVDEAIKVIEEDMVEVNCLPNARVYNILLKNLSNVGSSTLVLESLNKMSKKVGCMGDKETYSILLEMLCHERKYLEASKLLEQMSIKSYWPCANSYNLLIEGLCSLGRQYEAVMWLEDMISQGKLPEISVWSSLASSFCNSDLLKVSVEMFNRLRSL >CAK8566257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398280283:398282097:1 gene:gene-LATHSAT_LOCUS19403 transcript:rna-LATHSAT_LOCUS19403 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNQANNFAASASSSSSSLFGRSATTPLSHQQQYPNLMSHQQQWAHVLSRSHPQSQFHGNFQFAESQSQSQAALQAHYAQLQAQAAYASLQSSQAQPVTPLRNAGNTANVGLTPVTGSSKRGASQRNSLRTPGSSGVNQNVSDNKTTDLNSASRRRKRELPEKQVAAILPESALYTQLLDFEAQVDAALAKRKVDMQEAIRCPTHVKKTLRMYVFNTFSNRSKAGSEDVIAEESSWSLKIIGRVLEDGNVPESGISQRSSPSEAKFSDFFKKVTICLDQNLYPENHIIVWDSARSPKQQDGFEVKRKGDKEFTAVIKIDLKYSPEKFMVSAPLSKLLGIEVESRPRIIAALWHYVKSRRLQCPDEPSFFICDPSLQRVFGEEKMGFPMAAQKLLDHLSQPKPIYLEHNIKLSGNCPAGTACYDVQVDVPIPLQKEMSGFLASNSDESRKEIGASDEIISSNLKKIQEHRRRRAFFLSFSQSPSEFINTMIVASRSKDPKHAAGDAGCNFNKEQHSEFYNQPWAEDAVIRYLNRKAARRDVPEGN >CAK8533733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655075158:655076326:1 gene:gene-LATHSAT_LOCUS3334 transcript:rna-LATHSAT_LOCUS3334 gene_biotype:protein_coding transcript_biotype:protein_coding MMFANDKESVKKSVDAPISNPQRVNKFQNPIDVFVDRIVVECEPEMEVCYKENSYHVVKDICFDKGAFAKHKFMFDESVDGAAYNFFPLENFEYNRNPKDNTGMKVLNQPETDDSDEASSNHDQHNDVIHKDDSEIEELVDNFTKAMDSREDTQDSIPTGGKDEQLSVEHNSHSQLKDSSNMIEEEVLASPTLGLTVDEPESDYQFGPSAPAVCVKKQLHQFGGCNCDETQLPLTTIEGPSGDFSEIQPAETSQIRSDLGESSFSAAGVVSGRISYSGSIPYSGSISIRSDSSTTSTRSFAFPM >CAK8577930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597649032:597649235:-1 gene:gene-LATHSAT_LOCUS29992 transcript:rna-LATHSAT_LOCUS29992 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIEALKAKKQPTQAKNQPTLEKNLCEPFRMVNSNIICKVCNITLPSEEYMDSHIKGWKHLPKIQT >CAK8536289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:926823476:926824630:1 gene:gene-LATHSAT_LOCUS5673 transcript:rna-LATHSAT_LOCUS5673 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLQDCTESVQAKPLVNETLRQLEAAKRTVEFLRLDAAKAVHGYNSSALELNESRTRVKSIGSNLKIEAERLGKGDSTNHIEIEFRSLRSEVELLRSAIEIAEIKFQEEQIQNTVKIRNAYELIERIKYESSQKESELERKKAEIEELKEKLLDKENELQGIVDENEKLNSKLEKSVSLSTKKERELKEELKRLDECVAVMKGEMMDKETTLQSISEENEILKMEINKRFTFSHVGMMSDEVAAEIGAAKAAERDAFAKLKIMIEEADRSNNKAARVTEMLEAARAANTEKEAELKRIKVQCDQWRKAAETAAAMFSAGKNGNIAERSMSMDNNYNSSVMKSNKYSNFYEEIDDWSDLQRKKNGNVLKKIGDLWKKPQKITS >CAK8571214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:339172533:339174509:-1 gene:gene-LATHSAT_LOCUS23898 transcript:rna-LATHSAT_LOCUS23898 gene_biotype:protein_coding transcript_biotype:protein_coding MNELNSVPEKDVDYTDSLQQLPIIDLGKLLSEDMKGAELEKLGVACKEWGFFQLINHGLSMALVEDVKIGAKELFNLSMEEKSKLLQKPGDMEGFGEMVDFSKNEPSDWVESFYIFTLPSHLRKPHLFPSLPLPFRENLEAYCIKIRELSINIMVFMGKSLGIESDDIKESLGEGGQSIRMNYYPPCPQPDNVIGLKAHTDGSALTVLFQNNEVEGLQIKKDGTWISVKPLPNAFIVSLGDVMEVMTNGVYKSTTHRAIVNSEKERLSIATFYGPEWCGNIGPLSCLVTQETPPLFKTIGVADFYKGYLSPEHPGKSYINDVLRI >CAK8579361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694182574:694183644:1 gene:gene-LATHSAT_LOCUS31313 transcript:rna-LATHSAT_LOCUS31313 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGFKILQVGGASEAEVGERKDRVTDALNATKAAVEEGIVPGGRVALLYASKVLENLETKNEDERRGVQIIQYALKAPTFTIAANAGFDGSLVYSKLLEQDNLNLGFDAAKGTYVDMVKAGIIDPVKVVRTTLVDAASVSLLLTTTEAPIVENMSNKNKPPQRVADMDDLDY >CAK8577277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551336426:551337289:1 gene:gene-LATHSAT_LOCUS29398 transcript:rna-LATHSAT_LOCUS29398 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTWEILSETKRIIDAQPRHYLTLSLIFLLPPSFVSLLFNFLVKYLQQQPPPPPPTYHTILIFSIVSILLLLIFSIFTLCAFISITYSVYHSYFNQPVKLKEAIKSISTSFFPLLATDIIFFTIFFIVFLLFALLVGAVSFLLTYLAGVDLQFHSFLVNVSLMLVLLTFMLYLGVHLSLVKVIVVVESIWGLEPLRRSWKLVKGMKRLILSTLSLIGSLQSMLAWLTGYSWALILVISPIVAMLSLYSIAVLTVLYIYCKEKNEKLEDENFGKEKDEASLLLITS >CAK8570972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:210669906:210671247:-1 gene:gene-LATHSAT_LOCUS23676 transcript:rna-LATHSAT_LOCUS23676 gene_biotype:protein_coding transcript_biotype:protein_coding MMENNKEQELPQLRSETSMELGCDPHGYSRAGYDTTIWYCAIALGRPSMELNRLREVCTLYCFKPNSDDREVSILCKFDATPELENREVSLDTDESYKSRTQLLLITLFNAPVLQVFGSPNDAKKLEFLFYN >CAK8567075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485149045:485152177:-1 gene:gene-LATHSAT_LOCUS20162 transcript:rna-LATHSAT_LOCUS20162 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKKSRSFVKPGVAVPLLPKNVTESDNPTVESVDEGVSVAKERNSCPHLVKGVKLDILSGKIESSRSIRCEDCREGAADRRSGKGKGKHVKKKGGASLDSKSADSKSIWVCLECGHYTCGGVGLPTAHHCHAVGHSRKTRHPLMVNTEKPQLCWCFSCDMLIRVDKIEKTDETSHILPDVVKLLKGRSSEKSPVGIEDVSVGGGSVTAEIKSGSLSISDSHGQGGYVVRGMVNLGNTCFFNSIMQNLLAMNKLRDDFLKIDAPVGPLISSLKKLFTETNPESGFRSIINPRSFFGCVCSKSPQFRGYQQHDSHELLRCLLDGLSTEELAGRKQHGTLKRDGTSSNTLVDALFGGQISSTVCCNECRHSSTVYEPFLDLSLSVPTKKPPLSQKAQQIPRSKKTRLPPKRLGKARVKVNRDADPIPVQNVPSQSSSHESSCPDQSIISVSGEMVVSSADCTVLGSEEVNSTANKEDLSPLNLVTVEESQQMQVLDSVPNKTAGSSDDFAWLDYVEDETVIGESASISQKEDAPEVHDSENKDEQLTVFPEQPSCETSGPVCSLPEYQNLRPDFSSSNVWEDEAPIQVQNSEVLLLPYKEETSSVGDIMGRDGEDSSTFLGGGPEESEFDGFGGLFNEPEVVAGPAPRPSSSGDVEAVILIRNNSESDPDEVDDTDSPVSVESCLAHFIKPELLSDENAWHCENCSKILQRQKKKAKKQARILSDGNVSGSHDESNLAFNSCSCSLKVSSRGNGDIKCDKDVESSVSHVQHGTELHNGELQSSSLQQACKEESCSDSAADSCTSGNVKRDSPMFDNDDDNDSEECSDKETESESMRVNRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVDFKETLDLRPYMDPRCINEEKYEYQLVGIVEHSGTMRGGHYVAYVRGGQKNREKVDNKENENVNSTWYYASDAYVREVSLDEVLRCEAYILFYERN >CAK8572056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505864148:505866821:-1 gene:gene-LATHSAT_LOCUS24662 transcript:rna-LATHSAT_LOCUS24662-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQILEVICSTHVYSDIKFDAETLFNIARNILTCSTNVVDNVVVHGNQTIVEQLDNINTPACFTSPLCILKQINSEMACKTPDEEIAMKTTLAILNKLSNYSWVSKGVLTLSAFSIEYGEFWLSQYLPTQPLAKSLGIIKRVPQLTKPESLKKHHNAILEINNLIKATLKLVDIILELTRLNSSHDIRDVPALAPALEQIPVNVFWVIITIVAIVTQIECLTTDSDKRKDLSQFGQRINIIINNLEKHKSQCIQQIREAEYNKLLSGLFQIPTEITEVLKVLIFWKDTPKEPVIYDGLTLDEKQVHIEELKKKDVLLFISTLEISQEDISMLIKIDQHIKKKGNQHKIVWVPIVEEWDDGMHKTFESLKSKMPWYVVNHFAPIKGIKYIRKELHFKQKPMVVVLSPQGKILHPNAFHMIQVWGIRGFPFYKSKEETMSPTLEWDVLDSLVVDIDINIEWVEKKYVIIYGGENKEWTEKLTNFSTTIKDTNTLLDVFCLENYEKNVVTKFWKKVESLVFIKMHEETTIVKQQVEKLLSYKNETGWAILIKGSTVTAVGHKTTISKTIEEIDQWRGVVVEKGFDVAFTEHHKDVVPTKEYICSNLEIFNFGRKNPDIIYCPHCDEPMEMVITYKCSRGKNVPSLEV >CAK8572055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505864148:505866872:-1 gene:gene-LATHSAT_LOCUS24662 transcript:rna-LATHSAT_LOCUS24662 gene_biotype:protein_coding transcript_biotype:protein_coding MTVISYKKQRREHNPSTMSDEQILEVICSTHVYSDIKFDAETLFNIARNILTCSTNVVDNVVVHGNQTIVEQLDNINTPACFTSPLCILKQINSEMACKTPDEEIAMKTTLAILNKLSNYSWVSKGVLTLSAFSIEYGEFWLSQYLPTQPLAKSLGIIKRVPQLTKPESLKKHHNAILEINNLIKATLKLVDIILELTRLNSSHDIRDVPALAPALEQIPVNVFWVIITIVAIVTQIECLTTDSDKRKDLSQFGQRINIIINNLEKHKSQCIQQIREAEYNKLLSGLFQIPTEITEVLKVLIFWKDTPKEPVIYDGLTLDEKQVHIEELKKKDVLLFISTLEISQEDISMLIKIDQHIKKKGNQHKIVWVPIVEEWDDGMHKTFESLKSKMPWYVVNHFAPIKGIKYIRKELHFKQKPMVVVLSPQGKILHPNAFHMIQVWGIRGFPFYKSKEETMSPTLEWDVLDSLVVDIDINIEWVEKKYVIIYGGENKEWTEKLTNFSTTIKDTNTLLDVFCLENYEKNVVTKFWKKVESLVFIKMHEETTIVKQQVEKLLSYKNETGWAILIKGSTVTAVGHKTTISKTIEEIDQWRGVVVEKGFDVAFTEHHKDVVPTKEYICSNLEIFNFGRKNPDIIYCPHCDEPMEMVITYKCSRGKNVPSLEV >CAK8564596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1811034:1818156:1 gene:gene-LATHSAT_LOCUS17886 transcript:rna-LATHSAT_LOCUS17886 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFESVEGRLTSMLPQLQCECGILERLVYKNKNQHRRCSYFQHLLKVRRDSRLLRLANLEELVTSCFNVIKGDRPKQKIHLLESLKRRKYNDEKLNFLERLLGSARLLEEMVEPMLKAATEISVLFARSFFMGLSVTIMALLARLRVLVQQILLDVVYLFNMVSSMSKKKQSVKITHNGVEVFREFYPVSDEDDYVTLECVWKLDKYILHERKHKMENESQGEEDSVGNLPVQASAVNYTTIESILGDDQLDPEKDEAAAAAERDPPHVKDMNTDLSTSTHVKDLNTDLLTGSPQIDEVTETVISSEEGGGNCSTTKAPICKSSPEEAPFCKSSPEGNMHALSHSQSLNSGKLHPGSKKVAFLSIKNPTLVPQNVQSSVSVLTSNAKANVFNFMTKESDKTKDDKGDSLASIFTNVNAKDSLF >CAK8561447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:219223474:219224060:1 gene:gene-LATHSAT_LOCUS15037 transcript:rna-LATHSAT_LOCUS15037 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTYLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPREMESWISRSGLASLQRTSLAKIDTNLVSAFAERWHLETSSFHMRFGEMTITLDDISCLLHFPIRGVFWSPQDISEALAVEWAVDYLGVSQRIAQ >CAK8564336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668596220:668596579:1 gene:gene-LATHSAT_LOCUS17651 transcript:rna-LATHSAT_LOCUS17651 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDKWRKWIHEFLRKRIVTILVNGSPTKEIKMGRGLRQRDPLFPLLFLMVAEGINLMMETSVHRILFDGYEFGNSDVEVSHIQYANGTIMIGKRIWKNVWTIKSTLKMFELVLGLRN >CAK8537913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447237970:447239211:-1 gene:gene-LATHSAT_LOCUS7162 transcript:rna-LATHSAT_LOCUS7162 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLAFAAQKIVEVNALAKALSGQKDETYVYSNASALASRKSSPRVTNEAVQKAAAALKGSDHRRAINVSSRLSAQQKKLNLPILPTTTIGSFPQTADLRRVRREFKDKKISDEDYINFIKKEIINVVKIQEELEIYVLVHGEPENDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPLIIYGDVSRPKPMTVFWSSTAQSLTKRLMKGMLTGPVTILNWPFVRDDQPRFETCYQIALAIRMRLRILRKLALPSSKSMRLL >CAK8568943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:658119869:658121514:1 gene:gene-LATHSAT_LOCUS21850 transcript:rna-LATHSAT_LOCUS21850 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTARLKEEYKSLIAYTQMNKSNDNDWFRISASNPEGTRWTGKCWYVYNLLKYEFDLQFDIPVTYPSTAPELELPQLDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDATTSTES >CAK8544744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703879033:703879944:1 gene:gene-LATHSAT_LOCUS13399 transcript:rna-LATHSAT_LOCUS13399 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPTCFGENGVQVADSSSSSSAARNAQNNMVTSVYQCRIRGRSCPVTVNWSKNLVGHLLTVGIHDCVCKVDVKPWVFSKKKGSKSLEAFSAKIDVFWDLSSAKFGSGPEPLEGFYVGVVVDRQMVLLLGDLRKEAFKKTNAVPLPCGSDGAVLVGKKENVFGKKLYGTKTVFCDNGQIHDLVIECDTTSGVSDPSLIIRIDSKIVMQVNRLRWKFRGNHIILVDGIAVEVFWDVHNWLFGTSLADAVFMFRTCLSADKLWTRQPLSSEDAGLLQWSFSERFSETKFPGLGFSLILYAWKNV >CAK8561468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241000317:241000697:-1 gene:gene-LATHSAT_LOCUS15056 transcript:rna-LATHSAT_LOCUS15056-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCAAYSNAEEEEEPKRMKLSHHTPTTSSKSSTLSNLHTQTLIPGSYVSKRQRASIAPASLPLSSSPSFTLSGTLLLFSLTCFLFLLQCIRFSYVIQLDFNRGVAEDYFLLVSNRKAELNGQTI >CAK8561467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:240961363:241000697:-1 gene:gene-LATHSAT_LOCUS15056 transcript:rna-LATHSAT_LOCUS15056 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCAAYSNAEEEEEPKRMKLSHHTPTTSSKSSTLSNLHTQTLIPGSYVSKRQRASIAPASLPLSSSPSFTLSGSILEADIPHNILALLKSDAKGHKNLNSVSEKLSASLSGHTRAVNAIHWSSTHAHLLASAGMDNMVCIWNVWSRDKKKACVLNFHNAAVKDVKWSQQGNFLLSCGYDCTSRLVDVEKEMETQVFREDQMVGVIKFHPNNSNLFLSGGSKGHIKLWDIRTGKVVHNYKRNLSSILDVEFTTNGKQFISSSDVSGSNISENSIIVWDVSRQVPLSNQVYVEAYTCPCVRSHPFDPVFVAQSNGNYVAIFSSTPPYRLNKYKRYENHGVSGFHIKCNFSLDGKKLASGSSDGSIYLYDYHSSKVIKKIKAFGQACMDIAFHPVLPNVFASCSWDGSISVFE >CAK8572263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525228117:525228579:1 gene:gene-LATHSAT_LOCUS24847 transcript:rna-LATHSAT_LOCUS24847 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKDYFKGSSTFSFRGCFPSGWVILLLSSVITLVSENAVLDQDNFWPTFTIYFSVGLACFCVSSYVIYRREKPFIKKIIRFRDHSG >CAK8540746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18658678:18659781:1 gene:gene-LATHSAT_LOCUS9720 transcript:rna-LATHSAT_LOCUS9720 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSKEVDQFPLKQEIHQNSNDQQEKEKASSSSLNSLSSSQWPRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNIAKHEIDQLPPLPNFPPLGTNFNFGFPYPSNESITIANTDANTSQTHQHLLNFNRGNNIHWDGSSSSHESKEVSREMVMEKGNYWMNNRSTSTQEEENVNTKQGSNNVDVDVDVPSAIVLPNSNNFLQRPNHPSFLGLFNTMPFGSNYNWGVSSSNEGFANQEDVNAITSLPPMLSLSTGNSSQMLFGTSQSYFSSNVNAMEMEHHQHHQRQINNHYHQMLSSSSSQNPMNNSLNNPSFSLAKFLQNSSNKEQGFSPK >CAK8531111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81653111:81654668:1 gene:gene-LATHSAT_LOCUS923 transcript:rna-LATHSAT_LOCUS923 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPVSGVVDNNNSSNPSEMSLKAPMVRPYLRSKMPRLRWTSDLHRCFLHAVQKLEREERGATPKLILQLMNVKGLTISQVKSHLQLYRSMKHEETSQEAKKKVMAANLLASRMISSSAFVPSYLQTPGQRQNGYEPGSYNGNQGNKSVVISNSEERKKHTYIIFDGIFRTVQANKRDQQGFKEITARGKEVNEKETDDLSLELTLI >CAK8567019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480285285:480293282:1 gene:gene-LATHSAT_LOCUS20110 transcript:rna-LATHSAT_LOCUS20110 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNVKNATMVRPAEETPRCAIWNSNVDLVVPNSHTLSVYFYRPNGASNFFEAKIMKEALSKVLVPFYPMAARLRRDEDRRVELYCDGQGVLFVEAHTTASVDDFGDFAPTLRLRQLIPAVDYSSGIETYPLLVLQVTHFKCGGVSLGVGMHHHVADGASGLHFINSWSDMARGVDVSISPFIDRTLLHARDPPRPVFDHIEYKPPPAMKTDHPLLQPAKPDSDAAAAVTLFKLTRDQLNILKVKSKEDGNTINYTSFEMFAGHVWRSVSKARALPNDQETKLYIATDGRSRLKPPLPQGYFGNVLFTTTPIAMAGDLISKPTWYAASTIHDALLRMDNDYLRSALDYLEIQPDLKALVRGAHTFRSPNLGITSWARLPIHDADFGWGRPIYMGPGGIEPQGLSIIIPSSTNDGSLSVTISLPPEQMKLFQEFFYDI >CAK8574763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8724606:8730141:-1 gene:gene-LATHSAT_LOCUS27073 transcript:rna-LATHSAT_LOCUS27073 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKKQEQNPKFSAFPFKPYSIQIDFMNALYQSLNQGGISMLESPTGTGKTMSVICSALQWVLDRRREEEEEKAAGSVNGKSEGSDDEPDWLRDFVVKNNDKQFKEEKRKNKKEKFMTVLGKSDSDVKRSNSGDLKKKIDGVGDNEEEFLLEEYESEDEKAHGSNVVSKRKASISSFSSSSEDESNDDDEDDEEEKRFKVYFCSRTHSQLSQFVKELRRTVFADEMGVVSLGSRKNLCINKEVIAIGNSAGINERCLELQKKKKNDVSKVKNLRVGTGAHRTKASSGCPMLRKHKLQREFRNEVSQQGPLDIEDLVNLGRTMGTCPYYGSRSLVQKVDLVVLPYQSLLSKSSREALGLNLKSNIVVIDEAHNLADSLINMYDSKITLSQLENVHRHVERYFVRFRNLLGPANRRYIQTLMVVIQEFLRVLLNEKDGKLIDSRRDIEQASEERKAVDFTMSINDFLFELNIDNINLVKLLKYIKESHIMHKVSGYGEKMAALEKVSALNIAGEHGEDGSCQSAFQALSDMLLSLVNKDSDGRIIISRSSSTSFRKQSQGYIKYVMLSAEKIFSEIVDETHAVVLVGGTLQPIEETRERLFPSLPTNQLHFFSCGHIVPPESIMPIAVSRGPTGRSFDFSYSSRSSADMMRELGLLLCNLITVVPQGIVVFFPSFDYESRVYENWDSSGILERITKKKRVFREPRNNMDVETVLKKYKDTIYTPSSVNSEVNQTSHTGAVLLAVVGAKLSEGINLSDGMGRCILMVGLPYASPSDIELLERIKHIDGFRNSKSLKNPSLSASYDVYGGDIQSGFGILRSCSYRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYASDSSKRSLNHPTTKLPNWIKDRLVSSKNYGEVHRLLSQFFKLKKTCC >CAK8544384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681208939:681210021:-1 gene:gene-LATHSAT_LOCUS13070 transcript:rna-LATHSAT_LOCUS13070 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIIKFSRFFSAAMLVIFLSPSLQSYPPAEAIRSSYHIPHDYRFSFRNSPSFYNADECDSVSDEISVCDPSLVHVAITLDIDYLRGSIAAVHSILRHAFCPESIFFHFLVTDTNLEALVQSTFPQLKFKVYYFDPSVVKNLISSSVRQALEQPLNYARNYLADLLESCVRRVIYLDSDLVVVDDVAKLWSTDLGVKTIGAPEYCHANFTKYFTAGFWAEPAFAATFERRKVCYFNTGVMVMDLVRWRKEGYTKKIEKWMEIQKSERIYELGSLPPFLLVFAGHVAGIEHRWNQHGLGGDNVKGSCRDLHAGPVSLLHWSGSGKPWIRLDSRNPCPLDALWAPFDLYGYGHGHGHIHESS >CAK8571098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:294571161:294571577:-1 gene:gene-LATHSAT_LOCUS23791 transcript:rna-LATHSAT_LOCUS23791 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVSEAFNSTILVVRDKSILIMCEWIRNYLMNRNESLREKVDRWNHRIMPRPRLRLDMKVEHAGNWIPNWSGDALWQVEHIHTKNSFIGDVAEKTCTRNFWELVVIHCRRVVAALGFKNQCPEDYVDDCYSKETYEK >CAK8536345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932676601:932677125:1 gene:gene-LATHSAT_LOCUS5722 transcript:rna-LATHSAT_LOCUS5722 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSPPQMASSQFSTTARPPLTSPHSSTSSSISIAHPPPSPTTLPYIPQPLSIAPPPIPSSAPPLSIAQPQPPLSLSRPLVSLPLNSFIDLNKIHLDNKPHLPLNPLIDLNQDAKQNEACIDEFLLHNRRNFARSEVPVRIMFFKNKVLDDFKGEVLESLRNSFASGKTIVP >CAK8577009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531062220:531063561:-1 gene:gene-LATHSAT_LOCUS29155 transcript:rna-LATHSAT_LOCUS29155 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELFFWNGSNNPNPLQQFQHNNINLLLLSGPPSSGKTSLLFQFAFNVAAALHSNSSNPNVVFICNQNRLDSKPPFLSQGIDPSSNIFRRIQMKYVNDDEDIRKYFAAFHLFDKLPDAVIIDDFGDFFDNKVCQQRYSNARGRDLAMIKSLALCNNAISYANQKGSCKLLLSDTHTHQGDSPRFHFIYKKWIHTTFTIKEGDISGSFILEGRSYSRTDTTGTGNMKAAKYSIALQYLVFEGLVNDHVYKSTSSMSRTD >CAK8559953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2328237:2330529:-1 gene:gene-LATHSAT_LOCUS13682 transcript:rna-LATHSAT_LOCUS13682 gene_biotype:protein_coding transcript_biotype:protein_coding MANHNVFLFFFCVSIIFLVCDGSESGNEYIVYMGSLPKEESYSPTSHHLNMLQQVFGGGNIQDFLITSYKRSFNGFAAILNDQQREKLVGMKGVVSVFPNQNFHIQTTGSWNFIGLPQTIKRDPIESDLIVGVIDSGIWPESQSFNDKGVGPIPKKWKGVCKGGSNFTCNNKLIGARFYGNTDSARDDAGHGTHTASTAGGREVQGVSFFDLAKGTARGGVPSSRIAVYKICGADGACSGASILGAFDDAIADGVDVISLSVGGEGAQDFLDDPIAIASFHAMEKGIVVSHSAGNSGPDVSTTSSVAPWLFSVAATTIDRKFITKLILGNGKTLVGTSVNAFPSNGTKVPIAAEGTCSDIVGRTSVEGKIVLCESRGFEESVFQSGAYGIVSSVEESLNDVAFVSSLPSTNLNSKDFGLVQTYADSTGSPEAEILKSEIFRDTSAPRVASFSSRGPNLVVPDIMKPDISAPGVDILAAFSPLMSPSTRVGDTRKVSYSIESGTSMACPHITGIAAYVKSFHPDWSPAAIKSAIMTTAKPVKGTYNDMAGEFSYGSGNANPGLAVNPGLIYDITKDDYVQMLCNFGYSDEKIKQISGENSSCRGTSNPSLVKDLNYPALVISVAPQKPFNIKFPRTVTNVGSPNSTYKATVTPVPNVKITVQPNILSFKSLNEKQSFTVTVVGSVGSTQTVFSSSLVWSDGTHNVKSPIVVQLTS >CAK8536044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902341110:902342363:1 gene:gene-LATHSAT_LOCUS5446 transcript:rna-LATHSAT_LOCUS5446 gene_biotype:protein_coding transcript_biotype:protein_coding MMADRRHQYPTLAQKFGSQLHLRSNLSSSNAVFVPSPSEKNFASFATDFLMGGVSAAVSKSAAAPIERVKLLIQNQDELLKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTVNVIRYFPTQAFNFAFKDYFKKLFNFKQERDGYWKVFAGNIASGSAAGASSSIFVYSLDYARTRLSSDAKSVKKGGERQFNGLIDVYKKTYQSDGIVGLYRGFNVSIVGITVYRGLYFGMYDSLKPVLLVGAFQDNFLASFVLGWVVTVGASVASYPFDTVRRRMMMTSGEAVKYKSSMDAFTQIVKTEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIMLGKKYGSGGA >CAK8544139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666837136:666837768:-1 gene:gene-LATHSAT_LOCUS12842 transcript:rna-LATHSAT_LOCUS12842 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECNHHKGKKHKRIKKIFWGIVIFLFIVLLTILITWAILKPSKPSFILQDVTVYAFNATVPNFLTSNFQVTVSSRNPNDKIGIYYDRLDAYVTYRSQQITYRTAIPPSYQGHKDVDVWSPFVYGTNVPVAPYNFVGLSQDQTNGNVLVVVKLDGRVRWKVGAFISGHYHVFVRCPAFITFGPQSNGISVGDSGAVKYQLVQRCTVSV >CAK8569951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17290814:17291443:-1 gene:gene-LATHSAT_LOCUS22747 transcript:rna-LATHSAT_LOCUS22747 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSILLLFLLFAFAAAAPKDYLIGGKPDAWKVPSSESDSLNKWAESVRFHIADHLIFTYEAGKDSVLQVSKEDYDSCNISKPIKKYNDGKTKVRFDRSGPYYYISGEKGHCEKGEKVAVVVIGQRSPSVVPVSPAPSPGGGVEGPAVAPSPTSGADVLQGGGLITAMGVVAAMWVF >CAK8575562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:170053111:170056393:-1 gene:gene-LATHSAT_LOCUS27817 transcript:rna-LATHSAT_LOCUS27817 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNAGYHSGRMFSDKKWIIPFFASLLVSASLVLTVILGEFSSFGEEEQLPLVDVISLNGLEDNSSGYFVESDLERSLNGSVVVKMKVPKFAYLISGTKGDSRRMLRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPIFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLVTQDDMLHVFSNLSRDLNFIEHTHISGWKLNQRARPIIIDPAFYLSKKSDLAVTSQKRTLPTSFKLFTGSAWVVLTRSYVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEKFRHTAISHDLHYIAWDSPPKQHPISLSMKDFDKMAKSNAPFARKFGKDDTVLDKIDKELLGRTHRFSPGAWCIGTSDGGADPCSLRGNDTVLRPGPGAEKLHQLLQVLLSEEFTSKQCL >CAK8576946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527394651:527398526:-1 gene:gene-LATHSAT_LOCUS29100 transcript:rna-LATHSAT_LOCUS29100 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAAAALRDPKLQIPTYHGFRSSSTASSLSRSALSVPSSTRSSSLIRAVSTPAKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKMVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGESFKSAEPPEVVQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDGGEPQGFNLYVGGGMGRTHRMETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRNVVEQYYGKKFEPFRSLPEWEFKSYLGWHQQGDGGLFCGLHVDNGRIAGKMKTALREVIEKYRLNIRLTPNQNIILTDIRAAWERPITTILSQAGLLEPYVDPLNITAMACPAFPLCPLAITEAERGIPSILKRIRDMFEKVGLKHNESVVVRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGSSNQTSIARSFMDKVKLQDLEKVLEPLFYYWKQKRQSKESFGDFTVRLGFEKLKEFIEKWEGLVVTSRHNL >CAK8540164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542753372:542755667:-1 gene:gene-LATHSAT_LOCUS9196 transcript:rna-LATHSAT_LOCUS9196 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAKIASFYSQSSLSSTPPNYNLRISSSLQDFSSYSHLKQPLESVTTTIPTTSRKKCIKAIRLVVLLTLFLLLTYLLFMFAFSFWNIGSGKYYVVLDCGSTGTRVYVYNANIQYKRHSSLPIVIKSLRDGLHKKKPTGRAYDRMETEPGIDKLVYNVSGLRSALKPLVRWAKKQIPVHAHKSTSVFLYATAGVRKLPRKESKWLLDHAWSVIKDSPFMCKKDWIKIISGTEEAYFGWISLNYHSGILGVNPRKATYGALDLGGSSLQVTFESDQQINSETSLYVRIGSVNHHLTAYSLEGYGLNEAFGKSVVHLFKKEFGSLANAEKAGRDIELKHPCLQSGYKDQYVCSRCDKIGSIGDEKHLSKQGRSGTPLVLVGAPNWQQCSALAKVVVNLSEWSNLSPGLDCGVTPCALRDNLPRPYGHFYVISGFYVVYRFFNLTSDATLDDVLKKSEEFCEKRWDVAKESVVPQPFIEQYCFRAPYITSLLREGLRINDNQISVGSGSITWTLGVALLEAGRAYSTGFGLRNFELFQMKINPLVLVAILLFSFIVLLCALSLVGNSNWMPRFFRRQYLPMFRHDTVSGASVLNIPSPFRFQRWSPMRSVDERIKMPLSPTVASSSGSPFGLGRGFGYNNGGIQLVGSSLYPSSSGVSHSYSSNSLGQMQFDSSGSNMGAFWPPNRSQMRLQSRRSQSREDLSSSVAEAHLVKG >CAK8564924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15661537:15665762:-1 gene:gene-LATHSAT_LOCUS18174 transcript:rna-LATHSAT_LOCUS18174 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSSCFTDSSSFSVPNTFIGIVLLNSKIRSSSSCNNSTLLFTRSQLLRDFAAREFNAFLWLFLLVITSLLLTRLFNVFTLLYKARTIPGPPASSFFGHFKLLSKENFTDVLSKSHEKYGPVVKLWLGPTKLLVSVKDPVLIQEMLIKAEDKLPTGKAFRLAFGQSSLFSPSYEKVQKRRELLETELNESLMKTADLNHRKVADFIVDQIENIRVRGSVSRELVSQHMAFKLMGVTFFGDGFLTWPKAAIYEELLMMIAKDAYFWASYNVTPFWNRGFWRYRRLCKKLICLTEDILHCRKCYEILDHIDQNVRSESSNPETKSANDQQNSKEEHYGNIMRVMLHGSQTTAALIANVLTNLITNSEIQDKVYSEISMAGRNPSKYEHEDVYRMPLLLATIYESARLLPTSPMLHRCSMKQDLKFSNGVTVPAGAVLVVPVQLVQKDDFNWGKNASDFNPYRFLSNVTEGSGSEEQLDYKINSFVLNDPSENASFLPFGSGKRSCVGQKFVIQAVATLLASLLKKYEISFNSDSDGNSEPLQNLPLQHHPNSEILFVRRNQ >CAK8570769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:110841217:110843651:-1 gene:gene-LATHSAT_LOCUS23494 transcript:rna-LATHSAT_LOCUS23494-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFICSNIIFIAFLLSTATLTSSQSESSETNLISRFQQFLKIKTDHPTPNYKQSSDFLTSQAKSLSLHSQTIELVSGKPLVLLKWTGTNPELPAIMLYSHTDVVPAEQDKWDHPPFEAHVDSEGKIYARGSQDMKCVGMQYLEAVRKLKERGFQPKRSIYLAFAPDEEIGGHDGAEKFSLSSVFQDLNVGIVLDEGLASSDDHYRAFYAERSPWWLKIKAVGIPGHGAKLYDNSAMENLLKSIESIRRYRASQFDLIKAGLKADGEVISINMAFLKAGTPSLTGFVMNLQPSEAEAGFDIRVPPTADTESLERRIAEEWAPTWRNMSFTLGQFKHKVSGRDASGKPVVTKTDSSNPWWALLENAVQEAGGKLGKPEIFPASTDARYFRNLGLPAIGFSPMANTPVLLHDHNEYLHKDEYLKGIKIYESIIKAYASYDEHVNDERSKDEL >CAK8570768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:110841217:110843651:-1 gene:gene-LATHSAT_LOCUS23494 transcript:rna-LATHSAT_LOCUS23494 gene_biotype:protein_coding transcript_biotype:protein_coding MKFICSNIIFIAFLLSTATLTSSQSESSETNLISRFQQFLKIKTDHPTPNYKQSSDFLTSQAKSLSLHSQTIELVSGKPLVLLKWTGTNPELPAIMLYSHTDVVPAEQDKWDHPPFEAHVDSEGKIYARGSQDMKCVGMQYLEAVRKLKERGFQPKRSIYLAFAPDEEIGGHDGAEKFSLSSVFQDLNVGIVLDEGLASSDDHYRAFYAERSPWWLKIKAVGIPGHGAKLYDNSAMENLLKSIESIRRYRASQFDLIKAGLKADGEVISINMAFLKAGTPSLTGFVMNLQPSEAEAGFDIRVPPTADTESLERRIAEEWAPTWRNMSFTFKHKVSGRDASGKPVVTKTDSSNPWWALLENAVQEAGGKLGKPEIFPASTDARYFRNLGLPAIGFSPMANTPVLLHDHNEYLHKDEYLKGIKIYESIIKAYASYDEHVNDERSKDEL >CAK8560260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12256501:12257056:-1 gene:gene-LATHSAT_LOCUS13949 transcript:rna-LATHSAT_LOCUS13949 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDEIREAQRGRGTATILAIGTANPSNCIYQSDFTDYYFRVTNSDHMPQLKNKLKRLCENSMIKKRYMHLTEEMLKENPNISTYDKPSLDARQDISVAQLPKLGEEAASKAIREWGKPKSEITISYSVQLLALTCLVLIINSSNS >CAK8568514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612881200:612883735:1 gene:gene-LATHSAT_LOCUS21463 transcript:rna-LATHSAT_LOCUS21463 gene_biotype:protein_coding transcript_biotype:protein_coding MATNERDMFSAEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGVFLFTVPILLLVFSAEVGSLSKEDLWKRIWEDASYDLASVLSSLAVFVFTFTLYFMSKPRPIYLIDFSCYQPDDELKVSRDQLIELARSSGKFDKESLEFQKRIVMSSGIGDETYIPKSIASSENTATMKEGRAEASMVMFGALDELFEKTGIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDILQSNPSNYAVVVSTEMVGFNWYQGKERSMLIPNCFFRMGCSAVLLSNRRRDYSRAKYRLEHIVRTHKGADDRSFRCVYQEEDDQKFKGIKISKDLIEIGGEALKTNITTLGPLVLPFSEQLIFFATLVWRNLFGGGKKSDKNSSSSSSSNKPYIPNYKLAFEHFCVHAASKAILDELQKNLELSDKNMEASRMTLHRFGNTSSSSIWYELAYMEAKEKVKRGDRVWQLAFGSGFKCNSAVWRSMGRVAKPTSRNPWLDCINAYPAQLN >CAK8568515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612881200:612883735:1 gene:gene-LATHSAT_LOCUS21463 transcript:rna-LATHSAT_LOCUS21463-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNERDMFSAEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLNAEVGSLSKEDLWKRIWEDASYDLASVLSSLAVFVFTFTLYFMSKPRPIYLIDFSCYQPDDELKVSRDQLIELARSSGKFDKESLEFQKRIVMSSGIGDETYIPKSIASSENTATMKEGRAEASMVMFGALDELFEKTGIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDILQSNPSNYAVVVSTEMVGFNWYQGKERSMLIPNCFFRMGCSAVLLSNRRRDYSRAKYRLEHIVRTHKGADDRSFRCVYQEEDDQKFKGIKISKDLIEIGGEALKTNITTLGPLVLPFSEQLIFFATLVWRNLFGGGKKSDKNSSSSSSSNKPYIPNYKLAFEHFCVHAASKAILDELQKNLELSDKNMEASRMTLHRFGNTSSSSIWYELAYMEAKEKVKRGDRVWQLAFGSGFKCNSAVWRSMGRVAKPTSRNPWLDCINAYPAQLN >CAK8531699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140808374:140809753:1 gene:gene-LATHSAT_LOCUS1472 transcript:rna-LATHSAT_LOCUS1472 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPIDNALPTITQERPKKQPKIAVAAQKQQQQQQPRTANDENQQQPRTANDENQQQPRTANDENQQLLRTANDENQVPSEPTVDYISSDNLKPMSDPEAQIQSLIEDLDSKNWVKVCESLNDVRRFALYHSSLLLPILDKILLVVVKSMKNPRSALCKTSIMAASDIFNAFGDKLFDPSTSDAFEDLLLQLLLKASQDKRFVCEEAERALGSMVGSMTPLSLLQKLRVSVSHKNLRIRAKAAVSLSKCVSKMQVNEEMEEFGMEKLIEVAADLVNDRLPEARDAARSIATSVYEAITKDVEVEEKMEVWQSFCHSKLTPINAISILKIVKV >CAK8531700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140808374:140809753:1 gene:gene-LATHSAT_LOCUS1472 transcript:rna-LATHSAT_LOCUS1472-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPIDNALPTITQERPKKQPKIAVAAQKQQQQQQPRTANDENQQQPRTANDENQQQPRTANDENQQLLRTANDENQVPSEPTVDYISSDNLKPMSDPEAQIQSLIEDLDSKNWVKVCESLNDVRRFALYHSSLLLPILDKILLVVVKSMKNPRSALCKTSIMAASDIFNAFGDKLFDPSTSDAFEDLLLQLLLKASQDKRFVCEEAERALGSMVGSMTPLSLLQKLRVSVSHKNLRIRAKAAVSLSKCVSKMVNEEMEEFGMEKLIEVAADLVNDRLPEARDAARSIATSVYEAITKDVEVEEKMEVWQSFCHSKLTPINAISILKIVKV >CAK8576298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:448825608:448826633:1 gene:gene-LATHSAT_LOCUS28498 transcript:rna-LATHSAT_LOCUS28498 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTMISVISIILIHTLFYVGFSDDLPHTTTFPQSPTSFLPDFPLYDQISNSYSSPNPLEESKRRSPPPRKRSPPPPRRSPPPPPRRRSPPPPPPRIRSPPPPRPSPPPPPSLLFDHFKLSQTWPPTYCKLKNNDCISPLPQKFTIHGLWPSKEGFEIRDCRKDNINVNDFATIKTRLSEDWPALFKKKYQEDANIQFWINQWNAHGTCSDELFKFISYFEETLKVYDRNSIKDILEKNGTKPGGIYAKQNILNAIHTHTQFQPQIRCERIGNLDYLYEIRLCLTPTLKLEYKDCEIPYSGCLNNEVYF >CAK8561339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:157609915:157610544:1 gene:gene-LATHSAT_LOCUS14940 transcript:rna-LATHSAT_LOCUS14940 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGGFMSPQTETKAKVGFKAGVKDYKLTYYTPDYQTKDTDILAAFRVTPQPGVLPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYEIEPVPGEDNQFIAYVAYPLDLFEEGSVTNMFTFIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKR >CAK8566494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428891294:428891936:-1 gene:gene-LATHSAT_LOCUS19620 transcript:rna-LATHSAT_LOCUS19620 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIDNVVNVVSDGNCGFRVIASLHGYGEDRWPMVRRDLRLEIIHNERSSLYANLFTDQLSVVREPLMIEEFGPQPPHKWLTLPDMGYVIANPIYCIGFVNRNYWVQVNMKEGFPFPLVTLDWNKFRSSAATSWMLGFAGRLQHWQQLTHILPTHYEL >CAK8579784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722536254:722538346:-1 gene:gene-LATHSAT_LOCUS31700 transcript:rna-LATHSAT_LOCUS31700 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLGGTTSLSPFWLWISAFVSVIVAVSVASAELQRFDHPSAKADASLRFLVIGDWGRNGTYNQSHVAFQMGRVADELHVDFVVSTGDNFYDDGLTGIHDPAFQYSFSDIYTANSLQKQWYNVLGNHDYRGDVEAQLNPILQNIDHRWFCQRSFIVRTEIAEFFFVDTTPFVDKYFLKPKDHKYDWRGVLPRKKYLSNLLKDLETALKDSTAKWKIVVGHHPVRSIGHHGDTKELLTHLLPILEANNVDMYMNGHDHCLEHISSTSSQIEFLTSGGGSKAWKGDVDKTGGYGVKFYYDGQGFMSVELDQMNAKVVYYDVFGKVLHVVNLSKGFNYAT >CAK8568496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611732084:611733010:1 gene:gene-LATHSAT_LOCUS21447 transcript:rna-LATHSAT_LOCUS21447 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKFTSALVMSEFAPICLSFVISLLVSSIFLGLPRLFHRFYSFLIIIPLLGGFYYLCMKLGSIDFLNSLLFKIGLSLGSRVLSYALFKLGLAGGLAWVFLFVLRALFSADGGVSIGNGMMPHGAAESTNSDLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPSGDWMGRGARALDNLRTATGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8568497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611732450:611733010:1 gene:gene-LATHSAT_LOCUS21447 transcript:rna-LATHSAT_LOCUS21447-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAAESTNSDLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPSGDWMGRGARALDNLRTATGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8534165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701648043:701651592:1 gene:gene-LATHSAT_LOCUS3732 transcript:rna-LATHSAT_LOCUS3732 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSQRVPKLGSFRHSFLERKERLVSMKGGGLGVGVGGGYSQIGIPLPESDDEDYFPRRWRCCSSRRFSDGIVEFWKKVKRVAARAWEMGVSDPRKIIFSAKMGLALTLISLLIFLKQPFPDIGRYSVWAILTVVVVFEFSIGATLSKGLNRGLGTLSAGGLALTLGMLSNLAGEWEEIVIIISIFIVGFCATYAKLYPTMKAYEYGFRVFLITYCYIIVSGYRTGEFIHTAINRFLLIALGAAVSVGINVGIYPIWAGEDLHNLVAKNFTGVATSIEGVVNNYLNCIEYERVPSKILTYQASDDVVYSGYRSAVESTSTEDSLMSFAIWEPPHGRYKMFRYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPADKRQVFRNELKKVGSEAAKVLRELGNKVKKMEKLGQEDILFEVHEAAEELQQKIDKKSFLLVNSELWEIGNRPRNESDSQDLLQMDEESHFLEYKSLSEAVLDLRSVRVPKDWEENVAHDNVIKPANVVTEENMLRKQTSWPAHISFQGDAMTKQEESKTYESASSLTVATFTSLLIEFVARLQNLVDAFEELGEKAKFKDPLEQQPPVTTGWSRLFNCFKSKD >CAK8562194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:455229745:455231406:1 gene:gene-LATHSAT_LOCUS15713 transcript:rna-LATHSAT_LOCUS15713 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGRGNNQEGGASQQQQHQPGQIPPQETLFWYNKNDDVSSYRGNLELWNQHQQHHHHHQQQQHDHQQGEEGVIAGHAARPFFSRDLYGLGVGPSRVSSDDQQHQTLNMGMRSSSSGGGGEGISCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVKSTWVPASRRRERQQQLSSSSSLQRDISKRPRDSNALVSTRNFPSGLEEANFPAIVSSPAEFRCVRVSSIDDADERFAYQTAVNIGGHLFKGILYDFGPENTINNNNNTYNNSNYMIGETSGGGVPVAQPLNLIADSDTTVVSSGALVDPSSLYSAPMNAFMSASGTQFFSRPRS >CAK8575180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:46504586:46505838:1 gene:gene-LATHSAT_LOCUS27465 transcript:rna-LATHSAT_LOCUS27465 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFLFSKQDLYGGKPLTVGFVYEKQGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFMELVQAGKDIESAYWELVVKDIQDASKLFEQIYNQTDGGDGYVSVEVSPSLAEDTEGTIEAAKWLHKFVSRPNVYIKIPPTAACDGYAFW >CAK8566035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369920674:369920946:1 gene:gene-LATHSAT_LOCUS19203 transcript:rna-LATHSAT_LOCUS19203 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGAVTVLTKWRHLGFENVEFGRNEMVNVVPAPCNLFANVDMSMLTSSNQIDDENDPSMKGGVNLFTSLTVDAMTRLKEEIESLMFLS >CAK8537831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439843994:439845701:1 gene:gene-LATHSAT_LOCUS7085 transcript:rna-LATHSAT_LOCUS7085 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEIESDITTPLKKRLEVHMARNEVLQKENYELREEVARLKSQIVSLKAHNIERKTILWKKIQKSIDDDNSDSHQKLKPALQAIMCEKNFENENFQDSSDSPRKERTSIVLPPAPPPKPKSYENGNKMLPAIAPPPPPSPSKALLGLKVVRRVPEVIELYRSLTRKDANMESKTHHNGIPAVAFTRNMIEEIENRSSYLSAIKSEVQSQKEFISFLIKEVESASYADISEVETFIKWLDGELSSLVDERSVLKHFPQWPEQKVDALREASCNYRDLKNLESEVSSYENNPKEPTSMALKRIQTLQDRLERSVSSKEKIREITSKKYRNFHIPWEWMMDTGLIGQMKLSSLRLAKEFMKRVTKEMESHEDNNSLLLQGVKFAFRIHQFAGGFDYETIQAFQELKKVGCVVVVPSNSNHTMNSPKFVKIGQKYVS >CAK8560797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44181999:44184566:1 gene:gene-LATHSAT_LOCUS14444 transcript:rna-LATHSAT_LOCUS14444 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFVHNRSQHSGHRATPDNISRSSDFSSSNQSATSSSGRNPVVAAAMSVAGLFAACFTPPDANNSKSLVVDSEEFKSSSGSVASNASRAGSQRGRGSSRGTNISLYNTTIQGNESGIVKYTMEEIIQVTRNFSPSFKIGQGGFGAVYKTKLLNGTIVAVKRAKKSVHEKHLGSEFQSEVQTLSRVEHLNLVKFYGYLELGDEKIVVVEYVPNGTLREHLDCIHGNVLDLAARLDIAIDVSHALTYLHVYMDHPIIHRDIKSSNILLTENFRAKVADFGFARQAPDSDSGMTHVSTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKFELKERITVKWAMKRFIEGNAISVLDTRLDQTSANHLALEKILELALQCLAPHRQSRPSMKRCAEILWAIRKDYREISALNFRSYSTTSQRSTSL >CAK8541692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356826378:356828143:1 gene:gene-LATHSAT_LOCUS10590 transcript:rna-LATHSAT_LOCUS10590 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRVCWDSRRAAPYDVHYQSDPDVSVGTRGDRYDRYCIRIEEMRQSLRIIFQCPNKMPSGMIKADDPVSFPVPPPRHSASLPVLRKNQLTSPFFIDLGEKEPSTSWQARHQVNGLMRITKLTRRSWLLAQQGGALPHRRRTRGSVRGGASGFQCSASKIRTSLPADHCRPILE >CAK8534611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748010937:748013242:-1 gene:gene-LATHSAT_LOCUS4143 transcript:rna-LATHSAT_LOCUS4143-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAIETFSKSLIEDVHKWGCFKQTGVSLRYMMEFGSNPSDKNLLISAQFLHKELAIRIARRAIELENLPCGLSHKPAILKVRDWYWDSFRDIRSFSEIKNMNDEKEFTEVIKAIKVRHNNVVPTIALGVQQLKKDLNPKIYNDDIVEIHQFLDRFYMSRIGIRMLIGYIDTRMSPVEVARNASDDARAICCRQYGSAPDVHIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVEEQYMNSDKVSPPIRIIVADGLEDVTIKISEEGGGIPRSGLRKIFTYLYSTARNPLDEHTDLGVGDNVTMAGYGFGLPISRLYARYFGGDLQIISMEGYGT >CAK8534610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748010545:748013242:-1 gene:gene-LATHSAT_LOCUS4143 transcript:rna-LATHSAT_LOCUS4143 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAIETFSKSLIEDVHKWGCFKQTGVSLRYMMEFGSNPSDKNLLISAQFLHKELAIRIARRAIELENLPCGLSHKPAILKVRDWYWDSFRDIRSFSEIKNMNDEKEFTEVIKAIKVRHNNVVPTIALGVQQLKKDLNPKIYNDDIVEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPLPHVVGYIDTRMSPVEVARNASDDARAICCRQYGSAPDVHIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVEEQYMNSDKVSPPIRIIVADGLEDVTIKISEEGGGIPRSGLRKIFTYLYSTARNPLDEHTDLGVGDNVTMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >CAK8544782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705587609:705588580:-1 gene:gene-LATHSAT_LOCUS13435 transcript:rna-LATHSAT_LOCUS13435 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSQIFDSARNFAAMIRIRGPDPKGMKMRKHAFHHYRSGETTLSASGLLVPDSLCDTQVAKRLYGDKFEDRVLVVTVASVVEPFLSPQHRENIPQGRPDLISGVRVDIMTEKTNEESDQGTPSWLEGQLLSLVDIPASALCVQSLVEASLGLSEHEWEVGWSLASLNNESHPSKDNFQTQGKISEGGSGSASVMCKSLTRMAILSVSLSLKDSLNYQKPSMNKRGDFLLAVGSPFGVLSSTHFFNSLSVGCIANCYPPNSSDGSLLMADIRCLPGMEGSPVFSEHACLTGVLIRPLRQKTSGTEVQSLVTTGDSMGNHCEGF >CAK8535852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885773076:885773612:-1 gene:gene-LATHSAT_LOCUS5272 transcript:rna-LATHSAT_LOCUS5272 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVAVISKRVWSLVRAAFVMTRKGISKGKLMMNLNIILKRHTKFAGKAVANLISHHPSHGGSISNSLSHDSRHQFTSSREYEFSCSNTPNHFFSIGKRRHIHNRNHNTQAPPTHDNEVTTMNEMKAVLEMLNNDQAIVEVSPDFPLRDDDDEKDNQVDKAAEDFIKRFYSQLRNQD >CAK8562488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500445249:500458043:1 gene:gene-LATHSAT_LOCUS15980 transcript:rna-LATHSAT_LOCUS15980 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSIELNFLIFRYLNESGFTHSAYTFGNEAAINKCPIDGNLVPPGALVTFVQKGLQYFEMEANLSNCDADLDEDFSFLQPLDLITKNVHELGQMINERRKKLLKERNKELEKEHEAERGRAREKERHQREKEVEKDRERVKVAKEREQHVNKTDREVVRDQEKVTAKHEVNGAAGGPESTDICTTSTSQPRQILSSDVTILEGHTSEVCACAWCPSGSLLASGSGDSTARIWAIPEGRCKPVSQSVPLEALVLKHVRGKTNEKHNDVTTIDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHSGPIFSLKWNKRGDYIVTGSCDKTTIVWDVKAEEWKQQFEFHTGPALDVDWRSNMSFASSSTDNMIYVCKIGETRPVHTFAGHQGEVNCVKWDPTGSLLASCSDDVTAKIWSLKQENYLHDLREHSKEIYTIRWSPTGPGTNNPNKKLVLASASFDSTVKLWDVELGKLIYSLNGHRQPVYSVAFSPNGEYIASGSLDKSLHIWSLKEGKIVRTYAGSGGIFEVCWNKEGDKIAACFANNIVSVLDFRM >CAK8579316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691371402:691374896:1 gene:gene-LATHSAT_LOCUS31270 transcript:rna-LATHSAT_LOCUS31270 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPKETHLSDNDAGAAFVLQSKGQWWHAGFHLTTAIVGPTILTLPYAFRGLGWGIGMLCLTVMGVVTFYSYCLMSKVLDHCENAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGVGAILLAGECLQIVYSNIAPNGSLKLYHFIAMVTVVMIVLSQLPTFHSLRHINLCSLFLSLGYTFLVVGACIHAGTSKNAPPRDYSLEPKKSSRAFSAFTAISIIAAIFGNGILPEIQATLAPPATGKMIKGLTLCYAVILVTFYSAAVSGYWVFGNKSNSNILKSLLPDEGPSLAPTWILGLAVIFVLLQLFAIGLVYSQVAYEIMENKSADVKQGMFSKRNLLPRLILRTIYMIFCGFIAAMLPFFGDINGVVGAVGFIPLDFVLPMILYNKTHKPPKSSLTYLINISIIVVFTGAGMMGAFSSIRKLVLDANQFKLFGSDVVD >CAK8570370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:40662691:40670162:1 gene:gene-LATHSAT_LOCUS23123 transcript:rna-LATHSAT_LOCUS23123 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYNRLPSSGHSSPSPPASPLRSPRLRHTRSKTGRFSPSQPAPRTYAQRLAWIFLSVLLRRQGIFLFAPLIYISGMLLYFGTFSFDVVPIIKHRPAPGSLYRSPQLYAKLQHEMDADNSSADAISTIWKSPYRGGEWKPCVHKSSEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWKDPSKFSDIYDEEYFGNTLKNDVRVVEKIPEHMMERFGSNMTNVFNFRIKAWSSIQYYRDVVLPKLLEERVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILSLSESLVARMRKQSIVNGGKYVSIHLRFEEDMVAFSCCVFDGGKQERDDMVAARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTSIYLASGKIYNAEITMAPLREMFPNLHTKETLASEEELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRKLALLFDSTNIGWRSLKRQLLSMRSHSDSKGVELKRPSDSIYSFPCPDCMCHANRTTDSKSSSAT >CAK8535555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863370984:863372150:-1 gene:gene-LATHSAT_LOCUS5002 transcript:rna-LATHSAT_LOCUS5002-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLHPEYVSGMYPKVESRAHGLRKLIAGKIPVRLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDKAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVVNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8535554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863370984:863372789:-1 gene:gene-LATHSAT_LOCUS5002 transcript:rna-LATHSAT_LOCUS5002 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIPYVYFKSIIKLLHHSDKNVGKKALGLLCDAARNHEKVSLALKDNKGSRSRSSFPWLHMDESSQESLDKMCLEILQVLDDSSNTSLKVAAVSALEVLAERFPSNSSIFGVCLGSITKCITSHNLAVTSSCLRASAALINVLGPKALAELPQIMDNVMKSSRIVLSSQDLKPKTNEVLSVSNEPHFISVLVTLEAVVDKLGGFLNPYLTNIMELLVLHPEYVSGMYPKVESRAHGLRKLIAGKIPVRLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDKAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVVNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8575801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:328678571:328679145:-1 gene:gene-LATHSAT_LOCUS28036 transcript:rna-LATHSAT_LOCUS28036 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEHVIEDAYMTDELDSGSDDDSRDERPYVIRFNQEEYLSKDFVFKVGMEFSSLRQFKDAILEHNVLNGMDVKFEKSDANRCRIKTLFAKHKCGRHFFNKSAKVEWVPKVIVDGLKNNSRMRLSEVVADVKQRYTTKISGCRAFKARHIARHVVEGDYSKQFSLL >CAK8570800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115855877:115858433:1 gene:gene-LATHSAT_LOCUS23521 transcript:rna-LATHSAT_LOCUS23521 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQFRLANWNEDDNPTRDRDARALRYLLASNYYSSVAFNNHTRSTGINGHVFPDNVMQNNSLHSTYIHFHSNTTTSHATNNNQYPSPMVLSTIVNSSDYNDNQTSHSFDSSEPIIFGSSPTLVPTTGRSTTQYINTTRQPSSMYPSITQLGTAPSNYRHVQGREGNHNNNDSSISFSNGRINNDNASAIVTSTGTDNASFKRSRSPDFVRGETSNQGSQESGTLRRRTNSPPSTTFQQESVNNNNISSIPLTPLSTPYNNNNNNNNVISSMLRQQVNQSGVSETHNSITGESRIINRRPTHDGPAGFFDDTPSYNYPAQSIIDGDVIRFLFPYQQETHRTNFTRSRRTELAYASTFEAYLYPSTMTGRITQAVMSTSSFERNNNFFSSRAGSSSSSQSLGWGFNGFNNNINNNLDLFDFIHQRIDDTFVRSTINVQQYVRLLPGGRVIRFLAPSIVPMWSNSFVPQQPQPPSDPSSYRLNSHPALSRTTFSVDVESNQYIQMSTSLARSGNHLDNNHILTPTSPDLRAQRNMLRNEDLPILDYSIVLNVLDSQELMDMLYNIDDWPREVILALEENVERVETGLTEEEILTNIETETHVSDLNETSTHSKTCTICQEDYVEGEIIGRLDCRHIYHLECIKQWLLLKNACPICKQRALEVDEDED >CAK8543277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594966878:594967520:1 gene:gene-LATHSAT_LOCUS12044 transcript:rna-LATHSAT_LOCUS12044 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLDDISFLLHLPIRRVFWSSQDISEVLTVEWAVDYLGVSQRVAQQQVCDCRGSYYKLEWLYDRLVEHRVASRWDYATRAYLLMLVGSTIFADKMFMLVEARHLSLFIDLDGLSGYSWGAAALVTLYRYIRDASMFSCEQLGGYPTLLQCWIHEYFPTLGKKRRELDTS >CAK8532777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:512812627:512813315:1 gene:gene-LATHSAT_LOCUS2449 transcript:rna-LATHSAT_LOCUS2449 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTSQGTKFDTTHSNGNGPPTLRLHGQTYHRIGTLLLEQGNPPKYSQLYIFEIDNEVDNRMDYFRENKNVKREVVLELKYMLDNCNVHAKAFRMTRDMLKENSFLDLKLKLIAARPEDIRVYNRPTISEVGTLIVGHIVSGSQRDIIIQARDGNLQKIDELHPCYLACQYPLIFFSGEDGYRDNILHMYKNEHLIIRKN >CAK8574854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11831634:11834958:-1 gene:gene-LATHSAT_LOCUS27154 transcript:rna-LATHSAT_LOCUS27154 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFPRFQPISKCSTKDRSNQTVASDLDGTLLVSRSAFPYYMLVALEAGSILRALVLLSLVPFIYFTYLFVSETLAIKTLIFVTFAGLRIRDVEIVSRSVLPRFYAEDVHPVTWNVFNSFGKRYVVTASPRIMVEPFVKTFLGVDKVLGTELMVTKRGRATGFVKEPGVLVGEHKKEAVMKEFGSNNLPDLGLGDSVTDSDFMSICKEGYMVPRTKCEPLPRNKLLSPIIFHEGRLVQKPTPLVALLTFLWMPIGIILSILRVYLNIPLPEKIAWYNYKLLGIRVIRKGTPPPPPKNGQSGVLFICNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIKAVALTRERDRDAANIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSMFYGTSVRGHKLLDPYFVFMNPMPTYEITFLNQLPLELTCNGGKSSIEVANYIQRVLGGTLGFECTNLTRKDKYAMLAGTDGIVGSKKKD >CAK8564374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670833761:670834345:1 gene:gene-LATHSAT_LOCUS17686 transcript:rna-LATHSAT_LOCUS17686 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKFSANRNERLLGTNYIQSSTVTREVTDFREEDVWSVVDDRDRDRDVDFSPGEWDSRAASWSRERELDHRNFGGLSQAFEDSGSNVATTTTSRIVHHQYRASLGRNVATSAPVNVPDWSKILRVESVESLRDMDDGFDDDESEMVPPHEYLARGRKMAANSVFEGVGRTLKGRDLRRVRDAVWNQTGFDG >CAK8565001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:23181783:23184940:-1 gene:gene-LATHSAT_LOCUS18243 transcript:rna-LATHSAT_LOCUS18243 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVFQRIQTMDPENASKIMGLLLLQDHGEKEMIRLAFGPESLLHSVIFKARNELGLALNSPSATPQSPSPFSSSNPVPISRQNSNSSRVLNSGMTLPLNLSIPNPNSSWADDSSSMNTSSHFYGNGGVSDSIDEFQLQDQLSFLNDGTSSPSLKNSDLFYSQSELSSSPGGGGSVGNGNGNGVDPSFFPYGYGGSVHRRSCSVNDACLVSEDPNSGLGWKPCLYYARGYCKNGTSCRFLHGGFGDGGEGAVGSPNKIEMMDQCHEQLLRSKSLQQQRLAAMATSSFPYSPKSLSLLLQQQQSDTHRAAAAALMMNEDLQKFGRSRLERNDFSLNNIGMMNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPEKKQLQMDRGDFSPCGTPTGLNGGDPFDLQAGGRMYYNTQDILWRRKLEEQAELQQALELQSRRLMSLQLLDIKKQHHRALSSGSPIPSPTQSPNMFNQNFPFSSLHSSSESQEENGSGSGSGSVSTASIPVDQQANIVNGGEGENGNSDTSGKQTSTHEDSDLQECLEHNLPDSPFASPTKAIGDYMAAFNNGPNEAIDSDASASSANSKFSTNTVLPPSSPLDIGPFTSYNCQIPRFTSGHGTIGMIAGTGGPIGI >CAK8541530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:229719616:229720013:1 gene:gene-LATHSAT_LOCUS10445 transcript:rna-LATHSAT_LOCUS10445 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCWLVGVYATGFRKSCWQVCRKNKVMAVCRLYRGSSRFLVCFGSCTTLLWKRWGHADVVHLR >CAK8536033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901356544:901357095:1 gene:gene-LATHSAT_LOCUS5435 transcript:rna-LATHSAT_LOCUS5435 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYKQEHVYKHPWERVTSASWRKFTDPENKRVLSHILDVNTLSTSLDSSSGKLYATRAITVRCPWLVRRIIGEDICHCVESTVVDAKSRSMMLSYRNISMEKFIEVEENTRYDPHPDNPNGWTVCKQETRIRIKPLSALASMAEKVEQRCADRFLQNSAKSRDVMERICKYLEAESSSFSV >CAK8563174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580162608:580163695:-1 gene:gene-LATHSAT_LOCUS16608 transcript:rna-LATHSAT_LOCUS16608 gene_biotype:protein_coding transcript_biotype:protein_coding MAETATAEQEIIAATVDSEMNSKTFQKRAGDEEDKTVSKKQKVDAEEEKKPSGPVKLGNKSFGSSLELFDYFYSFLHAWGLNLDVNKYEHTMLLELLRKGHPEPDEKIAGEICAFQVRKHPTWKSKCFFLIRDDESADDFSFRKCVDRILPLPEAMQVKHDANRALGKRGGGGKGRQRRGKEKVKTK >CAK8570072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23120074:23120982:1 gene:gene-LATHSAT_LOCUS22856 transcript:rna-LATHSAT_LOCUS22856 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKEEPPCSGEQTLNLMSTLKELHKLNSRDLYRLLKDSGSGNLSVHYLTEKGVLLKVDIGKLAQSLPLHLTTILIFSRRDDDVFQYVLCGIRLLHSLCDLASRHPKFDQIFLHDLKVVEQLIDLVLYILTILSTYRQEDHASRLMYLSHSALLACNLHLITGFISTQFQDIANVLLAHPKVDLFMDATFGSVRVVVRSLEITLIVCYKDFSMESNLSSEQVVYFLCQRCEASLQFIQSLCQQKLFRERLLNNKELCGKGSILFLAQSILKLNIQSSSPTRIVAGISRLKAKIISISAEFV >CAK8536102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906898304:906912857:-1 gene:gene-LATHSAT_LOCUS5502 transcript:rna-LATHSAT_LOCUS5502 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIPSHTPPFSSLPHNQPMEQQQSSPTNPNKVHLAVGKSLHKTTTLLQWTFNHFRNAEIVIVHVYQPSPVIPTLLGKMPASQANPEVVSAFRREEREQTMRLTDKYLRICFASKVKASVIVTEASQVQKGIVDLVVKHNITKLVIGAESENCMKVKRNSGKANYTSKHAPLFCEIWLIYKGRHIWTREASEKPCSLPSGVQPEIAATESLRFRSSQNGKNELPQSEYLQPNSARTTVCSGIRSLNLGEIIETGATNSSKSSSASSYCSPQNSVGVYQDAYSEDMEERINSQLIETEREAEAATDESFAELLNCRRLEIEAMEAIQKVKLFESAHAHEVELRKEAEDALRVTVTEQQKLLEESEDISGELQMTMRNVALLDSRAKEATRRRDEAAHELLLIQTSISTLWQERQQIRRQKMEALRWLERWKSRGQIGAAHYNGVIGFAEELPELAEFSLSDIENATCNFSKSFKIAQGGFGCIYKGEMLGRTVAIKKFHQHNVQGPAEFHREVQILSSLQHPHLLTLLGVCPEGWSIVYEYLPNGTLQDYLFRKSNIIPLTWNIRARMIAEISSALCFLHSFKPEAIIHGDLKPETILLDSSLSCKICEFRFSRLVTEESHYSASFHLSTEPKGAFTYTDPEFQRTGVLTPKSDTYSFGLIILQLLTGRTPVGLAVLVRHAVSCGKLSSILDSSSGEWPLSVASRLAELGLQFCAQNRRNRPELTPALVRELEQLHVSEERPVPSFFLCPILQEIMHDPQIAADGFTYEGDAIREWLENGHDTSPMTNLKLIHLLLTPNHSIRLAIQDWLCKS >CAK8565000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:22502405:22503205:-1 gene:gene-LATHSAT_LOCUS18242 transcript:rna-LATHSAT_LOCUS18242 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQQYIVNAHYNGNVVVSDEVGLIFENTDVTRFSVNKISSFRHFKDRVHMKVQAGSVTNITYKNIVYFGNHHFKFVPLKVRDDEDVETMFLNHERFGFQHIELYITFAECQETQISQVINPSIEATPTIIILEDVEEEDDEEENEAHVDDLYTTLFEEGTGVNKVNTDEQYIPVENVFIPPMHMTTLSLNIEGTSFEWPQNSHILMEGDIEVGNQFKNKVDCVAAIKKYHMKYCVDYKVTDSDKKRYIIFCKNDSFKFRLLASY >CAK8530776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49247774:49248241:-1 gene:gene-LATHSAT_LOCUS618 transcript:rna-LATHSAT_LOCUS618 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTFVRPRALFAMWMACHYRLATRGRLKKFGLMTDDSCKFCNKEEIIDHLLFDYLPFKNCWQQILVCLGFQHCPCEWREELEWLITQCKGKGWRKCILRSSVAETIYEVYRNNVVFGNPVNTLEIRDLVISTLANRGWVNTRMRHHIAKLLIV >CAK8567898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555481363:555484620:1 gene:gene-LATHSAT_LOCUS20912 transcript:rna-LATHSAT_LOCUS20912 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSSPSSQKLTSFIHRTHAPHHIRKKTFDSPPVKKYRSMEDIMARAKYAVVEKEDYGSLMCEQCGTGEQPEELLLCDKCDKGFHMKCVRPIVVRIPIGTWICPKCSGVKKVKKFTQRRILDFFGLPRDLPDFRRNNSASRDAMRRRRRPKPLVLQKKRRRLLPFVPTLDPARRLKQMASLASALTALNIKFSNSLTYSPGMAPREANQSTFENGGMQNLNKEDTKTLERCITMTKRGKFPPLMVVFDSCQGYTVEADGPIKDMTFIAEYTGDVDFIKNREADDCDSMMTLLLSGNPATSLVACADKRGNIARFISGINNHTPDGKKKQNCKCVRYNVNDESRVFLIATRDISKGERLYYDYNGYENQYPTQHFV >CAK8543338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601387544:601388116:1 gene:gene-LATHSAT_LOCUS12101 transcript:rna-LATHSAT_LOCUS12101 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSHLKSLHPLQIFSPKSSSSSTHSSNLTSMKLKRIIHTLLVSHLCRIFRALSKLKHYIIEILKDTNNNSTINFTYYSSHKKRNNIRKKIILGSFRLHYNWCSSKSSHVLPVPESVYQTPDANLAGYLEWLEEKKVEEGAKEKEIVNEKEKENIDMLAEMFIANCHEKFKLEKQESDRRYQEMLARSM >CAK8530936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65090262:65090570:-1 gene:gene-LATHSAT_LOCUS763 transcript:rna-LATHSAT_LOCUS763 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTRLASERSVVIFSKSSCCMCHTIKTLLSDFGVNPEVHELDEINGGREIEQALLRLGCNPSVPAVFIGGELVGGANEVMSLHLNRSLIPMLRRAGALWV >CAK8560974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65709562:65711788:-1 gene:gene-LATHSAT_LOCUS14606 transcript:rna-LATHSAT_LOCUS14606-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQSNPYLFAVFSASLTLLMLQFLFRKLNKNNKKYHPVAGTVFNQLMNFNTLHHYMTDLARKYKTYRLLNPFRNEIYTAEPNNVEYILKINFDNYGKGLYNYLNLKDLLGDGIFTVDGEKWREQRKISSHEFSTKMLRDFSTLIFRKNAAKVANIVSEAAISNTKLEIQDLFMKSTLDSIFKVAFGTELDSMCGTNEEGKSFANAFDSASALTLYRYVDVFWKIKKFLNIGSEAELKKNTRVLNEFIIKLINTRIQQMKNSNGDSVRKSGDILSRFLQVKEFDTTYLRDIIINFVIAGKDTTAATLSWFIYMLCKYPAVQEKVAEEVREATNTKTISSCTEFVSRVTDEAIEKMNYLYAALTETLRLYPAVPVDAKICFSDDTLPDGYSVKKGDMVCYQPYAMGRMKFIWGDDAEEFRPERWLDENGIFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFRFKLNDEEKNVTYKTMINLHIDGGLEIKALHRD >CAK8560973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65709562:65711809:-1 gene:gene-LATHSAT_LOCUS14606 transcript:rna-LATHSAT_LOCUS14606 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLPSMDIQSNPYLFAVFSASLTLLMLQFLFRKLNKNNKKYHPVAGTVFNQLMNFNTLHHYMTDLARKYKTYRLLNPFRNEIYTAEPNNVEYILKINFDNYGKGLYNYLNLKDLLGDGIFTVDGEKWREQRKISSHEFSTKMLRDFSTLIFRKNAAKVANIVSEAAISNTKLEIQDLFMKSTLDSIFKVAFGTELDSMCGTNEEGKSFANAFDSASALTLYRYVDVFWKIKKFLNIGSEAELKKNTRVLNEFIIKLINTRIQQMKNSNGDSVRKSGDILSRFLQVKEFDTTYLRDIIINFVIAGKDTTAATLSWFIYMLCKYPAVQEKVAEEVREATNTKTISSCTEFVSRVTDEAIEKMNYLYAALTETLRLYPAVPVDAKICFSDDTLPDGYSVKKGDMVCYQPYAMGRMKFIWGDDAEEFRPERWLDENGIFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFRFKLNDEEKNVTYKTMINLHIDGGLEIKALHRD >CAK8575980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:379045521:379045907:1 gene:gene-LATHSAT_LOCUS28201 transcript:rna-LATHSAT_LOCUS28201 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRYKEYDPFIMSHIIKQVYYVPYPSTQPRKCGWCVIIKTKPFGHIETDDLMEDDSYQDNEISQINDVVEVEQIINLCDTLAEGHQIDPFVLLVDNNVDEEHAEFGFEDTIGSNDENNMDEEYGEFE >CAK8542294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499102518:499106582:-1 gene:gene-LATHSAT_LOCUS11141 transcript:rna-LATHSAT_LOCUS11141 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSHQCYLQTLPPISHNAFFNSHHKPPQKANGSRRIPKFYIACGRRNNRGSTPKKDDYHATLKALNSKGRTPRKSLGQNYMLNSDINEQLVGVAGVEEGDVVLEIGPGTGSLTDTLINSGAFVLAVEKDKHMAALVSERFSSTQKLKVLDEDIVKCHVRSHISSLYGNTKEIDSDDRKAKVVANIPFYISTDVIKLLLPMGDIFSEVVLLLQEETALRLVKPSLRTPEYRPINIFVNFYSDPEYKFKVERTNFFPQPNVDAAVVKFKLKQPSKYPQVSSSKSFFAVVNSAFNEKRKMIRNSLQHISTSVEIEEALESIGLLSTSRPEELTMDDFVKLHNLITKE >CAK8570080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23285886:23286302:-1 gene:gene-LATHSAT_LOCUS22863 transcript:rna-LATHSAT_LOCUS22863-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTERIGSCKHLHKIPKEVIYIQASFNNTIVTVTNVGGRVISWSSAGSCGFKGTRRGTPFAATTATGNALRTVVDQGMHRADVSIKGPGRERDAALRVIFQSGILLNVIRDVTRIPYNGCRAPKKRRV >CAK8570079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23284804:23286302:-1 gene:gene-LATHSAT_LOCUS22863 transcript:rna-LATHSAT_LOCUS22863 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTERIGSCKHLHKIPKEVIYIQASFNNTIVTVTNVGGRVISWSSAGSCGFKGTRRGTPFAATTATGNALRTVVDQGMHRADVSIKGPGRERDAALRVIFQSGILLNVIRDVTRIPYNGCRAPKKRRWKCVESRVHNKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKQ >CAK8572916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571439367:571469686:1 gene:gene-LATHSAT_LOCUS25421 transcript:rna-LATHSAT_LOCUS25421-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYPVDAGELCTFISTDQQPSSLDACAQLSAEEKLAAEQSLSVFCKPVEFYNILHHRATISSPVFLPRCFEYRIQARDNNRIQITVLLSWSDEETQNMFPFYVSLARRDLKNEDAGIVTIRGSSGVDGNSIIKAEFTLCEVNKLAAEANSGSLFLLFFTTEGNSDSSSRVNASRGPSDPTSHESLAEKNCLYGKISFQSIYLAWKNSPNFCWGQRAEIKTTLDLLPCVLKYDFVNKGTTIAIQDLWNSEIESTSSKSVEIKIFAEEIEAIEKSRNRRKALPSSSRSSLSRLIRLKEGKVTFNYRYYKNKLQRTEVSENFSCPFCLIKWGSYKGLRCHLLASHDLFKFEFSASEDCLAVNVSLNFDIWRFELVGDGVDPKLETFFLHGKRMTHISPENACFEVVQDVDSPALANNADHPAIAMDADPPVLAMDADPPVLALNADPLVVADSPVLAMDADSPVLAMDVSPPVFAMEVDPPVAKDADPPVLAMDVDPPVLATGADPSVLSADADPPVLTADVEPPVLTVDAEPPVLAVDADPPVLAVDADPPVLAVDADPPVLAVDADPPVLAVDADPPLLAMDADPPLVAMGADPPLLAMDADPPVLAMDADPQFLESETPLGDNGFLKKIDGNSATISGDANASIVPNPDPNCVPLISKHDHRTPAVPRIGNTGKLPVEHFDPQNIARLKKRQFYHSHKAQAMSLEEVLSNYDSEDEVDDEVADIEERRKLDLHPLSKEEKQFMFMWNSFIRKQRVRIDSHIRWACKAFSVLHGSEIVKSRKLTWYWTMFRIKLYNLGLIDGKIINDCSNILEQHEKHNSNPEIPAANINSIHLSPLKNKRSKKITPKVTSS >CAK8572915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571439367:571469686:1 gene:gene-LATHSAT_LOCUS25421 transcript:rna-LATHSAT_LOCUS25421 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYPVDAGELCTFISTDQQPSSLDACAQLSAEEKLAAEQSLSVFCKPVEFYNILHHRATISSPVFLPRCFEYRIQARDNNRIQITVLLSWSDEETQNMFPFYVSLARRDLKNEDAGPTKNKKYSTVYLLSQIVTIRGSSGVDGNSIIKAEFTLCEVNKLAAEANSGSLFLLFFTTEGNSDSSSRVNASRGPSDPTSHESLAEKNCLYGKISFQSIYLAWKNSPNFCWGQRAEIKTTLDLLPCVLKYDFVNKGTTIAIQDLWNSEIESTSSKSVEIKIFAEEIEAIEKSRNRRKALPSSSRSSLSRLIRLKEGKVTFNYRYYKNKLQRTEVSENFSCPFCLIKWGSYKGLRCHLLASHDLFKFEFSASEDCLAVNVSLNFDIWRFELVGDGVDPKLETFFLHGKRMTHISPENACFEVVQDVDSPALANNADHPAIAMDADPPVLAMDADPPVLALNADPLVVADSPVLAMDADSPVLAMDVSPPVFAMEVDPPVAKDADPPVLAMDVDPPVLATGADPSVLSADADPPVLTADVEPPVLTVDAEPPVLAVDADPPVLAVDADPPVLAVDADPPVLAVDADPPVLAVDADPPLLAMDADPPLVAMGADPPLLAMDADPPVLAMDADPQFLESETPLGDNGFLKKIDGNSATISGDANASIVPNPDPNCVPLISKHDHRTPAVPRIGNTGKLPVEHFDPQNIARLKKRQFYHSHKAQAMSLEEVLSNYDSEDEVDDEVADIEERRKLDLHPLSKEEKQFMFMWNSFIRKQRVRIDSHIRWACKAFSVLHGSEIVKSRKLTWYWTMFRIKLYNLGLIDGKIINDCSNILEQHEKHNSNPEIPAANINSIHLSPLKNKRSKKITPKVTSS >CAK8562058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:432648504:432650871:-1 gene:gene-LATHSAT_LOCUS15591 transcript:rna-LATHSAT_LOCUS15591 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDKEKKLFVSSPRNYAAELKFLLTSLLLLCTIATLFHFIPSSFTISASDLRLCISRISQTPPPQASSIIHEKLITNNTIKRFFNPYGSAAYNFITMSAYRGGLNTFAIIGLSSKPLHVYGNPSYECEWIPYTNTSLEKITTNGYKILPDWGYGHVYTVVVVNCTFNDTINRENNGGKLMLYASTSGGGDRSFNLTDKMEVLVEQPKLLDHTLFDSKPKFEFLYCGSSLYGNLNPQRVREWIAYHVRLFGPNSHFVIHDAGGVHEEVLEVLKPWIELGYVTLQDIRDEERFDGYYHNQFMVVNDCLHRYKFMAKWIFFFDVDEYIYVPPKSTIKTVVDSLSDYSQFTIEQMAMSSKVCLSHDYGKTYRKWGFEKLVYRDAITGIRRDRKYAVQPRSLYATGVHMSENLDGNTTHKTEGRIKYFHYHGTIAQRRETCKLLVNSTKITYEKTPYVLDTTMRDIAGVIKKFELKMIGSRLQNTRQ >CAK8567710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538252544:538253575:1 gene:gene-LATHSAT_LOCUS20735 transcript:rna-LATHSAT_LOCUS20735 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTQESHLRSDNNSVTYDSPHPLYAMAFSSNPNPQQHQRIAVGSFIEEYTNRIDILSFNPDTLSIKPQPSLSFDHPYPPTKLMFHPATHSPLQKTSSDLLATSGDYLRLWEVRENSVEALSLFNNSKTSEFCAPLTSFDWNEIEPKRIGTSSIDTTCTIWDIERGVVETQLIAHDKEVYDIAWGESRVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKKDLRYMATILMDSNKVVILDIRSPTMPVAELERHRAGVNAIAWAPRSSKHICSAGDDTQALMWELPAVAGPNGIDPMSMYSSGYEINQLQWSAAQPDWIAIAFANKMQLLRV >CAK8533725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654581699:654585996:1 gene:gene-LATHSAT_LOCUS3327 transcript:rna-LATHSAT_LOCUS3327 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATSVGPRYAPADPTLPKPWKGLVDGKTGYLYFWNPETNVTQYERPLSSAAPPKPSAVPSSSVQVQQSSQGRGRSPDFSDRYDRNGNGGSDESGSRSHQSSKGGTFSSHNNAANGTNGTNVTGNVSSIKGHVASDAGPALTPEAYRRRHEITVTGDNVPPPVTSFATSGFPSEILKEVQNAGFSAPTPIQAQSWPIALQSKDIVAIAKTGSGKTLGYLLPAFIHLKRTNNNAKMGPTILVLSPTRELATQIQDEAVKFSKTSRIACTCLYGGAPKGPQLRDIDRGADIVVATPGRLNDILEMRRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNGVPARRQTLMFTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQHIEVLAHMEKQRRLESILRSQDQGSKIIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQADRDHVLNQFRSGRTPVLVATDVAARGLDVKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGIAYTFFGDQDAKHASDLIKILEGANQKVPPELRELSSRGGGGFGRSRRYGSGGRGDSGFGAKSYDSGYGGRGNDSGYGGRGSDSSYGGRGGYGSSAAFGRGGGRGFDYDSQRNERDRSPDRGSSWSDRFKTRERSRSPVKAAPSQYNAPVSFHQAMMERNGGGSDRNKSFNRERSRSHSPYRHDKGKSSPSSPQKGWGGSSGVGRNGGSHPYNGELEEGMIPDEEGMIGHDDSSIYQSAN >CAK8532527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:280527929:280530649:-1 gene:gene-LATHSAT_LOCUS2224 transcript:rna-LATHSAT_LOCUS2224 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKKRSRRGIQDEKKERELKRRTSSSHDEYNSSEYVHKEILKDQSEKEELEQHLRERDSAKTRKLAEPKKVYRKSNAAKENEDVESLRKVSRQEYLKKREEKKLQELKDYIEDEQYLFEGEKLSEAEYRGLVHKKETYNILSKNKGLKSADNEYRMPEAYDDQHRGVNQEKRFSVAMQRYTDQNIDKEDAWEEQQIRKSALKFGSKNKRRASDDYPFLYEDQISFIKAYVMDGDKSDFEEEIALEKSRVKRSVLEALQEERKKLPIYSFRDELLQVVHDHQVLVIVGETGSGKTTQIPQYLHEAGYTKHGRMIACTQPRRVAAISVAARVSQEMGVKLGREVGYSIRFEDCTSKKTILKYMTDGMLLREFLVQPQLESYSVVIVDEAHERTLSTDILFGLVKDLARARPDLKVLISSATLDAVKFSNYFDLAPIFKIPGRRYPVEIHFTKAPEANYLDAAIVTTLQIHATQPPGDILVFLTGQEEIETVEEILKHRMRGFGTKIADLIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKCYNPRTGMESLLVTPISKAAAKQRAGRSGRTSSGKCFRLYTAYSFLNDLEDNTSPEIQRTNLGNVVLTLSCLGIENEKLFQFEFMDPPPVEAITKAVELLYAQNALTVGGKLTKVGRRMAEFPLDPMLSKMIVASEKYKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIAHLNVYNSWKESNYSTQWCYENYIQVRSMRRARDIRNQLAGLLERVEIELTSNSNDLDAIKKSIISGFFPHSARLQKNGSYRMIKNLQTVYVHPSSGLTKDLPRLVLYNELVLTTKEYMRQVTEIKPEWLLEIAPHFYNPKHFEDLSSKKTSRGHGLA >CAK8542044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460051496:460051858:-1 gene:gene-LATHSAT_LOCUS10918 transcript:rna-LATHSAT_LOCUS10918 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMNSRSLAFVTRSQSFMDRGVARHHISASESNKRMNYGFSDWMSHDGDDLNKFKKFASFRFRNTMAGVSQPEYVKPDVPWVHSLVSSESSEIFAAKKQNYDLYKHLSSPWAEQIVA >CAK8574530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1696040:1700836:-1 gene:gene-LATHSAT_LOCUS26869 transcript:rna-LATHSAT_LOCUS26869-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFIDKKTSTTFQLMARDSTDPAFAQSDRVFVRVDNNPRPDDSVFADSPDDPDAEFDTDDGFVEGSGPLPDDVRKEILELGFPDDGYNYLFHLREIKNTGGGSNFFSNPKFKLEHVSDVKAYDASRVRIKEAAEEQPEENTLYSVASHTANVRVQKAVDPEVAALLDDSDVSRFGSDVEDLEEDFVVQANLCEDEGDEEKTHTFNGKNFPEESMLSRSLNNKHALQVSAYSTLAGDCGPLDGSSNGATDVHCGLEKPRGRRLLDEQFDLLEHQEYGTDDNSDYDDYYGDYEENYRAEDESLAEKLKLSLGNHVMDNQELDGGGKYRVPAEGEEEEDSAADVIRRTKEYGEQYEVEDDGKDAVIFEESSDESEVWDCETIVSTYTNLDNHPGKIETPGANRKKKLAETVTAAFSSSSPIIYLRGKAKLPLDFLSGGRKPAAEKVKDSTSEKTEQYKRKQHSLESKEEKKERKAVVKEERREARRTKKEMKELYKCEANRAQRAAAVSGPSSIHLL >CAK8574529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1695266:1700836:-1 gene:gene-LATHSAT_LOCUS26869 transcript:rna-LATHSAT_LOCUS26869 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFIDKKTSTTFQLMARDSTDPAFAQSDRVFVRVDNNPRPDDSVFADSPDDPDAEFDTDDGFVEGSGPLPDDVRKEILELGFPDDGYNYLFHLREIKNTGGGSNFFSNPKFKLEHVSDVKAYDASRVRIKEAAEEQPEENTLYSVASHTANVRVQKAVDPEVAALLDDSDVSRFGSDVEDLEEDFVVQANLCEDEGDEEKTHTFNGKNFPEESMLSRSLNNKHALQVSAYSTLAGDCGPLDGSSNGATDVHCGLEKPRGRRLLDEQFDLLEHQEYGTDDNSDYDDYYGDYEENYRAEDESLAEKLKLSLGNHVMDNQELDGGGKYRVPAEGEEEEDSAADVIRRTKEYGEQYEVEDDGKDAVIFEESSDESEVWDCETIVSTYTNLDNHPGKIETPGANRKKKLAETVTAAFSSSSPIIYLRGKAKLPLDFLSGGRKPAAEKVKDSTSEKTEQYKRKQHSLESKEEKKERKAVVKEERREARRTKKEMKELYKCEANRAQRAAAVSGPSSIHLL >CAK8540487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8984327:8984846:-1 gene:gene-LATHSAT_LOCUS9489 transcript:rna-LATHSAT_LOCUS9489 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFFLFRLILFLVWVRFVNQFLPSSSYFRLILFLVWVRFVNQFLPSSSFRLIMFLVWVRFVNQFLPSSSYFRLRSPFKNQFSQVSIIALIFAIKITVQESRLTSAES >CAK8536270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:923900880:923901974:-1 gene:gene-LATHSAT_LOCUS5654 transcript:rna-LATHSAT_LOCUS5654 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREGVNDGFPKLGPNDSLLPGLIDDVALNCLAWVSRSDYASLSCINKRYSKLINSGYLYGLRKELGVVEHLVYLVCDPRGWVAFDPKISRWMALPKIPCDECFNHADKESLAVGCELLVFGRELMEFAIWKYSLVCRGWVKCQEMNQPRCLFGSSSLGSIAIVAGGSDKYGNVLKSAELYDSASCTWELLPNMHTPRRLCSSFFMDGKFYVIGGMSSTTVSLTCGEEYNLSTKCWRRIEGMYPYVNVGAQAPPLVAVVDNQLYAVEHLTNMVKKYDKEKNTWEVLGRLPVRADSSNGWGLAFKACGDKLLVVGGQRGPEGEAIVLNSWCPKTSVTNGTIDWQVLGMKEHVGVFVYNCAVMGC >CAK8565595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:287171523:287173305:1 gene:gene-LATHSAT_LOCUS18792 transcript:rna-LATHSAT_LOCUS18792 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMTNVGDGVNDENVLFGMMENGGGGGSGGGGGNDDVSLKKGPWTTGEDAILIDYVTKHGEGNWNAVQRNTGLNRCGKSCRLRWANHLRPNLKKGAFSHDEEKLIVELHAQFGNKWARMAALLPGRTDNEIKNYWNTRIKRRQRQGLPLYSDEQDRPNTPTTPSPCTPTGSNLNNNMTPKFEFFNQYHPQQHHLQQQQHLHPLSPTPTHHHSPLSSPLQHRQQQHQQHSYSPHTFLDTSSSSSFSPLSFTFQRPAPLLSTPLRFKRYRSTPSFSIIPNSMTQNCSSSLNDPSLTSHHQHDSFRFPMQYNSSFPQYFHTHLLESDLAVSSNQYLRTNLEQDVKPNIDFNDPNSFQNNNSIMMGDILMEAQTLASGQNSKKRNYLSLNEGNDMFNGCQSIDDFTLSSIYWPSNSGTKSKEEAPDLSKFMNDEMSTMLTVMPSSTMQSQDWNNNNNNDNKNNNNNNNALEVTNVQSSSGVMGDENFGLDIKPIASLFPLTNTTNNDNSNENNGCYSWDNLSGLC >CAK8536713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9401425:9401985:1 gene:gene-LATHSAT_LOCUS6047 transcript:rna-LATHSAT_LOCUS6047 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNAPFGGKIMIMGGDFRQVLPVIEKGNRGQMISACTVRSRLWSTTNVLHLRQNMRSIHDQDFAQFLMRIGDGNEPTKEDDMVRMPAEIVIPWEGESSIQNTFPQLENHGCDASYMVERAILTPKNCDVHMLNDMIIDKFPRDEHILLSFDEVEGDTHNLYQQEYLYTIAPGALPPLIGGFQLCF >CAK8572037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503596744:503597145:1 gene:gene-LATHSAT_LOCUS24645 transcript:rna-LATHSAT_LOCUS24645 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDESKGRTNNDGGNVRYRGVRCRPWGKFAAEIRDSNKQGQRVWLGTFNTAEEAARAYDRAAYNMRGSFAILNFPHEYNMSTGAGSGSGSGATSSSSSRNANVDGRGRQVFEFEYLDDKLLEELLDFEEKKK >CAK8530669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39149600:39152423:1 gene:gene-LATHSAT_LOCUS515 transcript:rna-LATHSAT_LOCUS515 gene_biotype:protein_coding transcript_biotype:protein_coding METETQNQNGSTPCPSSAPSAIPIRPFACDGTMGRHLARRLVEIGVRDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSILNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELQCFQTITCFQAVVNNLDDAHELIDTAISTALKESKPVYISIGCNLPAIPHPTFARDPVPFFLAPRVSNQAGLEAAVEEAAAFLNKAVKPVIVGGPKLRVAKAQKAFMEFAEASGYPIAVMPSGKGLVPENHPHFIGTYWGAVSTSYCGEIVESADAYMFVGPIFNDYSSVGYSLLIKKEKSLIVQPNRVTIGNGLSLGWVFMADFLTALAKKVKTNTTAMENYRRIYVPPGIPLKREKDEPLRVNVLFKHIQALISGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAATDKRVIACIGDGSFQVTAQDISTMIRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTAFVSAIHNGQGKCWTAKVRTEEDLTEAIATATGAEKDSLCFIEVFAHKDDTSKELLEWGSRVAAANSRPPNPQ >CAK8568087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572130930:572132831:-1 gene:gene-LATHSAT_LOCUS21083 transcript:rna-LATHSAT_LOCUS21083 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPVNSVGETPGNTVDPSLVRTYRAWKGNNVFFLGGRLIFGPDVKSILTTVFLVVAPVAVFCAFVARKLIDDFPHHSGYSIFILVILHTIFVLIALVLTSGRDPGIVPRNLYPPVPEDYDGSVSISSEHNLPPHLPRFKEVIINGTTVKVKYCDTCMLYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRFYYMFVSSATLLCLFIHGFCWVYIKRIQNSEDISIWKAMIKTPASIALIIYSFIAVWFVGGLTVFHTYLIGTNQSTYENFRYRYDRQVNPYNKGVIENFKDVFFSSIPPSKNNFRSKVPIPKELSESSRRKGVDTVMIPSYNEVDEMEKHYKDEEYGKGSDLSETSVDLSRMLHTENGQRQVASFLKHSLWDRSSKKWDVNPEILDEIHDTESNRITGDSSNEPGDNSAKTSTLAEKFKEEN >CAK8544024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:657508204:657511090:-1 gene:gene-LATHSAT_LOCUS12735 transcript:rna-LATHSAT_LOCUS12735 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYLHQLLKEDQEPFLLKNYISDRRNQLKITTICKIPNSNSTFNFNINLCKNNASCFFSFPETPDFRKSPLFELTSPVKSPCKSSRTATLLLEAALRIHKHSSSSNHKTKTKGFGLFGSLFKRIKLRNQNRKRELESQNVSVKDILNSKKFESHTINKGKPLETETSCSGYSERFIHEGCTCYHSSFSESPFRFVLQTSSCSGSHTPELASPSRITEDKGSNVEAESINQFQLVEEEEEDKEQCSPVCVLDPPFEDDEEGHINDDDEDEDGGDFDLESSYAIVQRARQQILYKLRRFEKLAGLDPLELEKRMMEDEEDVDETYMEEDDDVEDEESEVSCKENDFKEMVFEAVYLSMVHDRQQMPQEFKKLIHDLILEEERECISLEERDMVITRICKRVESWKEVESNTIDMMIEEDFSIEDGGWKKNVEQIRNMAGELEFDIFSILVEEFSEELVC >CAK8571378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395035394:395037292:-1 gene:gene-LATHSAT_LOCUS24045 transcript:rna-LATHSAT_LOCUS24045 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDHRRHFTVPPPDTGESKHNPTTPWNCYLRELSKQKKYQEALTVYRHMLRSSFFPNTFTFPVLLKSCALLSLPLTGSQLHSHVIKTGSQPDPYTRSSLINMYSKSSLPHLARKVFDEESINLTISYNAMVSGYSSNSMMFEAVTLFRKMRREVSFSVNSVTMLGLVSGFTLPSHLTLGMCLHACGFKFGFESDLSVANSFLTMYVKCGEVEQARQLFDEIPVKDLITWNAMISGYAQNGHARSVLELYFEMKKGGVNPDPVTLLGVLCSCANLGAQGIGREVERKIEQLGFRSNSFLTNALINMYARCGNLVRAREVFDCMDERNKSVVSWTAIIGGYGIHGEGEIAVELFDAMVRSGVRPDRTVFVSVLSACSHAGLTEKGLKYFDEMVGKYGLQPGPEHYSCLVDLLGRAGRLKEAMDLIDSMKVKPDGAVWGALLGACKIHRNVELAEVAFQHVIELEPANIGYYVLLSNVYSDAKNLDGVLKVRVMMRDRKLRKDPGCSYVEYKGKMHLFYSGDKSHSQIKEIYRMLDELESLVKEIHQPDHKCQRKSEEPLIGAGVHSEKLALAFGLLNTKPGTDITVMKNLRVCVDCHVFFKLVSKIVNRQFIVRDTTRFHRFKNGVCSCKDYW >CAK8565018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25376441:25379142:1 gene:gene-LATHSAT_LOCUS18259 transcript:rna-LATHSAT_LOCUS18259 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATVNDLLAMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEETNECHGHITSLAVLRTHRKLGIATKLMTAAQNAMEQVFSAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQLNQHQHQHHHHHHHHGGGCCSGEAKGNAKAT >CAK8564252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662641001:662641444:1 gene:gene-LATHSAT_LOCUS17575 transcript:rna-LATHSAT_LOCUS17575 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWSRCLLAMLVLISVIESESRIARKDLGLDLGGLGIGLGAGVGLGIGGGSGSGAGAGAGSGSGSSSSSSSSSSSSSSSGSGSGAGSEAGSYAGSRAGSGSGRSRGRGGGGGGGGGGGGGGGGGGGSGYGEGYGHGGGYGEGGGD >CAK8542011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455416825:455419476:-1 gene:gene-LATHSAT_LOCUS10888 transcript:rna-LATHSAT_LOCUS10888 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSKVSSVSGFSLSPVSGNGVQKPCFCNLRVGEKWGSGKFRVCATTAPLTGVIFEPFEEVKKDYLTVPSVPLVSLARQNFADECEYVINEQINVEYNVSYVYHSMFAYFDRDNVALKGFAKFFKESSEEEREHAEKLMKYQNTRGGRVVLHPIKDVPSEFEHVEKGDALHAMELALSLEKLTNEKLLNVHSVAERNNDIEMTHFIEGEYLTEQVEAIKKISEYVAQLRRVGKGHGVWHFDQRLLHGVHAA >CAK8560370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16312774:16313415:-1 gene:gene-LATHSAT_LOCUS14048 transcript:rna-LATHSAT_LOCUS14048 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNVHQQKAQKSSCKKFFEWASNCFEHQDPEKWIKDMKTSIGIAVSIIATITFSLGTNPPGGVVQVSHNDIPFSINDTFCSIKNRDRICAGEAIMATSKNGDYLAFLVCNLICFISSLSVLFLLVSGIPIQKTFLLWLLSIGMCVTLTSLALTYFFAVFMVTPDVIWNSRLDNVFGFSLLIWASSVVLLAAFFILRSIVRGVHKVCNCMECQ >CAK8560371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16312774:16313409:-1 gene:gene-LATHSAT_LOCUS14048 transcript:rna-LATHSAT_LOCUS14048-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHQQKAQKSSCKKFFEWASNCFEHQDPEKWIKDMKTSIGIAVSIIATITFSLGTNPPGGVVQVSHNDIPFSINDTFCSIKNRDRICAGEAIMATSKNGDYLAFLVCNLICFISSLSVLFLLVSGIPIQKTFLLWLLSIGMCVTLTSLALTYFFAVFMVTPDVIWNSRLDNVFGFSLLIWASSVVLLAAFFILRSIVRGVHKVCNCMECQ >CAK8573959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650369693:650378753:-1 gene:gene-LATHSAT_LOCUS26352 transcript:rna-LATHSAT_LOCUS26352 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSSSAAGNTNIMLAIFEKKTNTVDLYRPLRNFIAFHYSEREAQNLEDDLQTLKQLRSDIERHSDPSLPARRDLFVTYFKALCQVETRFPISPEPEHVNALTFVWFDAFKSKLKASQQNIHLEKGSVLFNLGAVYSQIGLSFDRNTVDGRRQASHAFMGAAGSFAFLRDNASLKISVGSSTTLDLSAECAGMLEKLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAALNVAPLNQHFDKSWIVHVQLKAAIFYAEACYRYGLELHEKEEIAEEIARLKSAVSVLAEAKKNSRGAAAQLLDAITKLEANINRNLERAVKENDRVYLMRVPSPSSLSPLPAFALVKPMVMSEVLDASKEKMFTSLVPDNSAKALSRYTEMVDHIIRTQAEKLQQASELTRVRLKEMELPDSILALEGNFTLPTNLKDVEAVQISGGPAGLEAELQQLKDLRRVNQELLVQTEELLQKEAREDSQFRSQFGTKWTRPQSSTLTKNLLDRLNRFAGNLKQASESDARIERSVREHSALMAILDARPIESALPSLARPIMSFDQNEDAIVGSLKQSLRQLETLGAQRAGLEDMLREMKRKDDILPKLMTSTGSYEDLFKTELAKYDHICEEIGQNIEAQEQLLLQIQAQNDEFSVLFNLEDYKASREKSYKQIEAAIAKFREIKDNINEGLKFYVTLQDAITNVKQQSNDFVMTRNIQCREMIEDVQRQVAGLSFQDKNSGGFNNYPPVGNQNQRPNTQTDPRHQTPYYQQSEQPPVPSYGHPPPPYASSHHQSPPPYQIPPSSASPYPPPQVHQQPPPNHDYGQPAYPGWRGQYYNAHTHPQQQQPQQPGSGPRPPYTIPSPYPPPHQGGYYKPQ >CAK8572157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515694122:515694908:1 gene:gene-LATHSAT_LOCUS24750 transcript:rna-LATHSAT_LOCUS24750 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSAENATKAYLSTLKMGQKAKEPNVAEFISALAAGNNAQMMIVACASVADSTTLALIAAANQTGGKVICIVPNHKVLRASKHALGKASHQVEFIVGEAQEVLVLDEYEGADFLLIDCNIENHEEILKAIEEGRKVNDGAIVVGYNAFSCKGSWLTSGSKTQLLPIGEGLLVTRFGMVENSPKYGRSRTMGKVKSHWVVKVDKCTGEEHVFRVRSPHGKVVHA >CAK8567333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505788203:505791638:-1 gene:gene-LATHSAT_LOCUS20397 transcript:rna-LATHSAT_LOCUS20397 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRWRLLLPFLLSVTVTYAMQVPTTFSTKLVHRFSEEMKPVRVQTGDWPDRRSMHYYQRLLRNDFVRHKVSLGGARHQLLFPSRGSKTMSFGNDLAWLHYTWIDIGTPSTSFLVALDAGSDLLWVPCDCIQCAPLSASFYASLDRDLNEYSPSSSLSSKHLSCSHRLCDMGSNCKTSKQQCPYTINYMSENTSSSGLLVEDILHLQSSDGNGSSSNSSVQASVLVGCGMKQSGEYLNGNAPDGLIGLGPGESSVPSFLAKSGLIRDSFSLCFNEDDSGRLFFGDQGSTVQQSTPFLPLDGIFSTYIVGVEAYCIGNSCPKVTSFNAQFDSGTSFTFLPGHAYEAIAEEFDKQVNATRSTFEGSPWEYCYVPSSQQLPKIPTLTLMFQHNNSFVVYNPVFVFYNDQGIDGFCLAIQPTEGDMGTIGQNYMTGYRLVFDRENKKLAWSRSNCQDLSLGKKTPLSPLNKTSSNPLPADEQQRIKGHAVAPAVAGKAPQNPSVVSSQTSQMISYRQHWQCYCLLLFQLLSVFY >CAK8536346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932834527:932837515:1 gene:gene-LATHSAT_LOCUS5723 transcript:rna-LATHSAT_LOCUS5723 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPPSLFLSLLLLFSSHETKANQLNNFNPSITTTTTISNSSNERKLAGKCNLFRGKWVYDASYPLYDPSSCPFIDPQFNCQKYGRPDTQYQKYRWQPLTCSLPRFNALDFLAKYRGKKIMFVGDSLSLNQFNSLACMIHSWVPNTRTSFSKQSAISTITFQDYGLQLFLYRTPYLVDLDRENVGNVLKLDSIKSGDKEWRCLERNGCTDLQHVALVDPHRQRTTMGLYSRGWQVVQRHEQIHCILQRLDNLG >CAK8560695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36223830:36227898:1 gene:gene-LATHSAT_LOCUS14351 transcript:rna-LATHSAT_LOCUS14351 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAHNAAADKNSGSRSRKRKDRDSKKGKPNGSSKKRFQPTTNNEVVAEVNSNKSAANNGGSGSIVVTDSYLSDSRFDKCSVSPLSLKGIKDAGYEKMTLVQEATLPAILKGKDVLAKAKTGTGKTVAFLLPSIELVAKSPPMDRGQKRTPILILVICPTRELACQAAAEATKLLKYHPTIGAQVVIGGTNLSTEQKHMQANPCQILVATPGRLIDHIDNTAGFASRLMGVKALVLDEADHLLDMGFRRDIEKIIAAVPKQRQTLMFSATVPDSVHQVCNIALKKDFEFISTVQEGTEETHSKVNQMHLVAPLDKHFSLLYVILKEHIADDVDYKVLVFCTTAMVTRLVADLLGRLNLNVREIHSRKPQSYRTRISDEFRRSKGLILVTSDVSARGVDYPDVTLVVQVGLPTDKQQYIHRLGRTGRKGKEGQGILLLAPWEEFFLDSTKDLPIGKAPIPSVDPDTKKKVDRAISNVEMENKERAYQAWLGYYNSNKKVGKDKKKLVELANEFSRCCMGLDTPPAISNLILRKMGLGNVPGLRSK >CAK8531079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78634147:78637297:1 gene:gene-LATHSAT_LOCUS894 transcript:rna-LATHSAT_LOCUS894 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGHGMAPPSLGQQPPQQYQQPPPQQPYVMMQPQAPQALWAQSAQPAQQPASADEVRTLWIGDLQYWMDENYLYTCFGNTGEVTSVKVIRNKQTSQSEGYGFIEFNTRANAERVLQTYNGAIMPNGGQSFRLNWATFSAGERSSRQDEGPDYTIFVGDLAADVSDYLLQETFRARFNSVKGAKVVIDRLTGRSKGYGFVRFADEGEQMRAMTEMQGVLCSTRPMRIGPATNKNPAATTQPKASYQNPLGGQSENDPNNTTIFVGNLDPNVTDDHLRQVFSQYGELVHVKIPSGKRCGFVQFSDRSCAEEAIRVLNGTLLGGQNVRLSWGRTPSNKQTPQDPNQWSAAAGGGYYGYPQGGYENYGYAAAPAGQDPNVYGSYPGYAGYQHPQQQQQQMGYS >CAK8543579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:622803732:622805183:-1 gene:gene-LATHSAT_LOCUS12327 transcript:rna-LATHSAT_LOCUS12327 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVNVSESKMDSKKFDFPPGFRFHPTDVELINHYLVKKVHDNSFSAIAIAEVDMNKCEPWDLPELAKMGETEWYYFCVRDKKYSTGQRTNRATTAGYWKATGRDKEIFDKNLLIGMKKALVFYEGRAPSGVKTSWIMHEYRLEGNTLSKDILSERGTGEWVISRIYKKESSEKKMCGPKHGKFNSSIEEPSNTNESNLMDSSPYTNGEFSYVINSFTIPNQTQDNNIVGNNEASIMNISTSSKQIGDYPFVEETQNHFVSQEQSMMSMQLENENYGSSSKQSLQHEFSFGRDLDADISSVVYGDDMFSTWYGNQELLPDFPAPAPVVNDSLWNY >CAK8536119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908989187:908989737:-1 gene:gene-LATHSAT_LOCUS5518 transcript:rna-LATHSAT_LOCUS5518 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTKTKKGAGGRKGGGPRKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAYGGVLPNINPVLLPKRNENAAASTPKSPSKKASKSPKKA >CAK8576039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389658093:389658919:-1 gene:gene-LATHSAT_LOCUS28257 transcript:rna-LATHSAT_LOCUS28257 gene_biotype:protein_coding transcript_biotype:protein_coding MESESDQNNETNEEGSNGNNNNNNNISSSSRWNPTKEQISVLENLYKQGIKTPSADEIQQITARLRAYGHIEGKNVFYWFQNHKARQRQKQKQENIAYFNRFLHRPQPIFPSPICPNPICTPYCVPQQHSHEFSYYTQTPKVLLPAVGYRRNQGDKVMSNVCNNPTVYEKNMQPRISEYETLDLFPVHPTGILEGRKTDQVSSIVSVSADSFTDTNSGSPHHVINQQNQPFFDFFNNSAGQGS >CAK8579214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684145057:684146850:-1 gene:gene-LATHSAT_LOCUS31178 transcript:rna-LATHSAT_LOCUS31178 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYRRDSNNNNNNNNTFDTINAAAFAIASSQNHVSQSVNQKKKWGNWLNITGCFGYQKNNRKRIGHAVLVPETTAAGTDAAAAANVVSSTAQAPPSITLPFIAPPSSPASFFQSEPPSTAQSPVGLVSKTSVSASMYSPGGPNSIFAIGPYAHETQLVSPPVFSASSTAPFTPPPESLQLTTPSSPEVPFAQLFDSNNRNSETYQRFQISHYDFQNYQFQPGSPVGPLISPRSAISVSGTSSPLPDEFQTADTAKLLKLDNLSTYGKQKSNQSSGSITPDTVKSTTTTQAGFHPTNWVSDIKISPCPINSRRNEISVNHRVSFELSATVENKPTTSSAWAKVLSKFKSDAAASTTGKEENDCDDKQVVTETLIDAPKQTKPALVGDDATAHEKDQSLTLSSSSTKEFNFDSADGGDSHAPNIAADWWANEKVAGNEGGASKDWSFFPIIQPAVS >CAK8573612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624973353:624974989:-1 gene:gene-LATHSAT_LOCUS26042 transcript:rna-LATHSAT_LOCUS26042 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGFYKILSRRKWAVVVRNHVPTSLRDGARNIIEVTLWDDYGKQFMSYNSSNKFPGPTLIVLTHPWCQQNQASGLPSLSNAWNESRLHINLEHPQVLDFKTKLGSTHSAAASTHSITQTSESFVQSGKKSWTNSNEVKSICHICEIGKDCFSTTIGTTKRFKALKHGWYFEACPSCKTSNMSTGPKFTCVCGVKDVEPVTK >CAK8565019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25412341:25417901:-1 gene:gene-LATHSAT_LOCUS18260 transcript:rna-LATHSAT_LOCUS18260 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKEEFLRENGYEERQVSRSDFPSDFVFGVATSAYQIEGGSNEGGRGPCIWDAFTHTEGKILDKSNGDVAVDHYHRYLEDIDLIAKLGFSAYRFSLSWSRIFPDGLGTKVNDEGITFYNNIINALLERGIQPFVTLYHWDLPLHLDESMGGWLNKKIIEYFALYADTCFASFGDRVKNWITINEPLQTAVNGYDAGIFAPGRSENRSVEPYLAAHHQILAHAEAVSIYRIKYKDTQGGRVGLVVDCEWAEPNSDKIEDKSAAARRLDFQIGWFLNPLYYGEYPEVMRERLGDQLPKFSEEDKKFLLNSLDFIGLNHYTTRLISHVPECTGESHYYNAQEMERIVEWEGGQLIGEKAASEWLYVVPWGLRKVINYVSQKYPAPIYVTENGMDDEEDDSLPLHEMLDDKLRVRYFKGYLASVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKDRANKEGKEE >CAK8543221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589987572:589988831:1 gene:gene-LATHSAT_LOCUS11992 transcript:rna-LATHSAT_LOCUS11992 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPKTTCDQNSNMNLLLVLFPQTSSPSPSHSPSKSLIISKAQSTISICALLLFTTLLLFTLSTLPNTSPPSSPSRRRFLLHNHRHNHNFALQKMGTLYLRGTKPMSDLLISHVSDETTHDDFRFFLRLLHRSTIISSTDVVFIFSSPSSTSTFSPIIQQENHSFTKLLHSSNNNTFFNTSRFLHKGNDHIGEPLWGYKVKTLNNNVTANATSLSYGSVLSFDATELDPENSLSGFLDRVPFTLRRWACYPMLLGRVRRNFKHVMLVDAKSVLILRDPFARLKNRSPESVFLFNKHGKKIQSTRRAVLPAVIIGGARGVRRLSNAVMIEIVRAATQHRKKKNSVSESVVLSQLASNEFLLKSKNVQLVLLNELIPEASSLSGHNHAIIQRGMSNHDFNSVIKKQICSSVVDSSVYKDC >CAK8576913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523658969:523662396:1 gene:gene-LATHSAT_LOCUS29069 transcript:rna-LATHSAT_LOCUS29069 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRNSSISPLRNHDYDVFVSFRGEDTRNNFTDFLFHALQTQGIFAFRDSTNLPKGESIAPELLHAIQHSQIFVVVFSMNYASSTWCLQELDQICECVQVSGKHVLPVFYDVDPSEVRHQKGSYGEAFSKHEHRLQHDSQMVSRWREALTKVANLSGWDLRHKPQSAAIKEIVQKIINILDCKSSCVSNDLVGIYSPIQELEKLLLVDSVDDVRAIGICGMGGIGKTTLATVLYDRISQQFAACCFIDDVSKIYRLHDGPLGVQKQILDQTLGQEHHQICNHYNATNLIRRRLCRQRTLLILDNVDHIAQLEKIAVRREWLGAGSRIIIISRDIHILKQYGVDAVYKVPLLNQTDSSQLFSRKAFKLDHIMSSYDELAFEILSYANGLPLVIKVFGSFLFGRDISEWKSALDSLRENPDKDVMDVLRLSFDGLRETEKEIFLHIACFFNGNYEKYVKNVLNCCGFHADIGLRVLIDKSLINIEDEWIVMHDLLEELGKKIVQESSSKEPRKWTRLWFKEQLYDVISNNMEKKVKAIFFDGDNYEDTDVAIFKDFSNLRLLIFRYVNVSGSLNYLSNELRYIEWSVYPFMYLPSSFQPNQLVELILKNSSIKQLWEGKKYLPNLKILNLSDSTNLIKMPDFGEFPNLERLNLKGCKKLVQLDPSIGLLRKIVYLNLENCKSLVSIPNNIFGLSSLIVLKMPGCSKSCFKEFNNPRHLDIIETASHSHSLLPTPTTKTMVIPSFPSLYCLLEVDISYCGLSQLPEAIGCLRCLEILDLGGNNFVTLPSLRELSKLVHLNLENCKCLESLPELPFPTTIQHDLLKNKYSRKTGLFIFNCPKISDKERCSRMTILWMTQFIQVNKEYHALSDVGIVIPGSEIPSWFNNQSVGNLIPVSPFMQDKGNNVVGILFCTVFSLDPYPPTVFPLDPYPPTIIITSPEWVHITLHAPFRTSKYLPVIPDRELFTVKLNHIWLIYFPWEPSYNDPDKGFLVDGSLHVVVKKCGYRWVYEQDLQEFNSTTMLAQAQVLGN >CAK8561835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:391732659:391735124:-1 gene:gene-LATHSAT_LOCUS15389 transcript:rna-LATHSAT_LOCUS15389 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFATKFFTRARNFIGIGLISTATLAATSSAAAAAASSDDSDSSYYSSSDQTNGRKLLKTRLCIIGSGPAAHTAAIYAARAELKPILFEGWMANDTAPGGQLTTTTDVENFPGFPDGILGAELMDSCRKQSQRLGAEIITETVTKVDFSKRPLKVVTDSTTVEAESVVIATGAVAKKLIFEGSGDAPDGFWNRGISACAVCDGAAPIFRNKPLVVVGGGDTAMEEATFLSKFGSKVYIIHRRDEFRASKMMQSRVMKNPKVEVLWNSMVVGAYGDEKGRVLGGVKVKNLVNGDVFDLKVSGLFFAIGHEPATKFLDGQVELDCDGYIVTKPGTTMTNVDGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQQIGLKEGKSH >CAK8538498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484985296:484986090:1 gene:gene-LATHSAT_LOCUS7687 transcript:rna-LATHSAT_LOCUS7687 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSTSKLHFILYLSSTLLLLSSLHAQAESQNLKYCQKDVDYAVKVSSVEILPDPTVRGESFTFRIEAYTDDLIHNGDLIYEILYDGMKGKPAIFYHALSEETPLPVRPGHFLLTHTEFLPPLTPLGTCNVKLTFVDKDGDQLTCIIFPFTIGAKSSISAS >CAK8560249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11880633:11884198:-1 gene:gene-LATHSAT_LOCUS13939 transcript:rna-LATHSAT_LOCUS13939 gene_biotype:protein_coding transcript_biotype:protein_coding MSITQFAMVEELASLVKDNLPCKHLVLTMEDLLVNFLQAEDTSSDGILELEPMNSYNRLLLHRLAEIFGFAHESVGEGEDRHLILERCPDTSIPPILVSDILWQNDEPESLISSHQILRRKEASPVLQTNTTSIQQTLEERKAAYLAARERIFSMSLGDAKEPDEQKPRSVPVVARRMIAHALGQRITKNPNELVSDGTKDRVLPDELNAQEKSDNTKDSEVSLLRRNIITRNSSSSTSSATSPNKRNDQTPVNKNLLQLSQDGKQRPSVSKEHMKKEHLGAAKRMFAHALGVPSGKDGSVPRSGNGEKNKN >CAK8575402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:109732044:109735028:-1 gene:gene-LATHSAT_LOCUS27671 transcript:rna-LATHSAT_LOCUS27671 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLRSSKSKLLSSSSSLSRAFSSVPRSNNQIGSISPAATELFHRNSATLPIVEENSTKGYTTGFPKNPKSMLGGNSNVINFLRYQALGLNTNVLAGKSPMLLGVSALMARNFERSVAADTLGLAGQRRFMSDIPSKTSEIKPPSGFRPLSPHLPVYQPQLSSTLSICNRIAGAFLVAVTLLFYMIYMKLGLVSLTYDSFYQFVFYSSKLHLLAVEISTLAMSYHLYSAIRHLFI >CAK8566517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432261860:432262583:1 gene:gene-LATHSAT_LOCUS19641 transcript:rna-LATHSAT_LOCUS19641 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSIMVALTKYLIVGEGDFSFSLSLARAFGSVVYIVATSLDTRESLVLIYGSASSNLSELENLGCTILHNVDVHNMKEHHFLKNQKYDQIIFNFPHAGFVWREIDEIQIQSHRSLVSGFLQNAKEKWFILVEKFIFLTRQKATRIPYTT >CAK8577052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534271297:534271905:-1 gene:gene-LATHSAT_LOCUS29194 transcript:rna-LATHSAT_LOCUS29194 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVETLKQPLLENAPQKIPKTSTQKTIKRAFKCTSNLSNLLPTGTVLIFQTLSPVLTHRGQCNTETNKIMTVCLLTFCSLSCFLLSFTDSLRDERGEVRHGIATLNGIWVMDGSVKLSDEEARNYKLSVVDLFHACGSILVFGAIALFDQNVVSCLAPKPSEDAKKLLEALPIWIGVMCSILFFIFPTQRHGIGFPLSRD >CAK8538973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500609041:500609457:-1 gene:gene-LATHSAT_LOCUS8116 transcript:rna-LATHSAT_LOCUS8116-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAKGLVELLQEAKQEVPSWLIQYSQSSAPGGRGSSGSQRSPWRGNYGGRDFRTAAEPVKVQNYNYNSTYSNGRDHTANTYTDTSLDIQNSNNNATFDNTNTEIADGYNYPDDGLCEGSDGINGPCGYASVVPTGWD >CAK8538972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500609041:500609535:-1 gene:gene-LATHSAT_LOCUS8116 transcript:rna-LATHSAT_LOCUS8116 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAQKQTSQLCKTSKATTKPYRQENMPLAKGLVELLQEAKQEVPSWLIQYSQSSAPGGRGSSGSQRSPWRGNYGGRDFRTAAEPVKVQNYNYNSTYSNGRDHTANTYTDTSLDIQNSNNNATFDNTNTEIADGYNYPDDGLCEGSDGINGPCGYASVVPTGWD >CAK8541437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:167434806:167440199:-1 gene:gene-LATHSAT_LOCUS10357 transcript:rna-LATHSAT_LOCUS10357 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFDIPQAEEMNDDFDLAEDKVGEERQIGDRGLKKKLLKEGEGWDTPEVGDEVQVHYTGTLVDGTKFDSSLDRDSPFSFTLGLGKVIQGWDEGIKTMKKGEKALFTIPPELAYGESGSPPTIPPNATLQFEIELLSWTSVKDICKDGGLFKRILSEGEKWDNPKDPDEVLVKYEVRLEDGKVVAKSDGAEFTVSEGHYCPAFSKAVKTMKKGEKVILLVKPQYGFGEKGKPAHGDEGAVPPNASLQITLELVSWKTVSDVTSDKKVIKKILKEGEGYDRPNEGAIVKLKLVGKLQDGTVFFEKGRDEEEKLFEFKTDEEQVIDGLDKAVLTMKKGEVALLTIAPEYAFGASQSQQESVVIPSNSTVNYEVELVSFDKEKESWDMSTEEKIEAAGKKKEEGNVLFKAGKYERASKRYEKAVKNIEYDSSFNEEEKKLSKTLKIASYLNNAACKLKLKEYKDAERLCTKVLDIESTNVKGLYRRAQASMQLGDLDLAEIDVKKALEVEPDNREVKLEYRNLKEMIKEYNKKQAKFYGNMFSLTKA >CAK8574395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679860728:679861753:1 gene:gene-LATHSAT_LOCUS26747 transcript:rna-LATHSAT_LOCUS26747-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSNSRSHNVSKNLSTAEIGGIKDLGETSDAREDGSIEEKRKEVDLEKPNQTATPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVDGKIEVLIEEDDVSSEVKFWESSLILYAMGADLSMNVVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHEGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKDEDDN >CAK8574394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679860728:679861999:1 gene:gene-LATHSAT_LOCUS26747 transcript:rna-LATHSAT_LOCUS26747 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSNSRSHNVSKNLSTAEIGGIKDLGETSDAREDGSIEEKRKEVDLEKPNQTATPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVDGKIEVLIEEDDVSSEVKFWESSLILYAMGADLSMNVVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHEGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNIRKGNASTTADESLSIRNKDVETVMEKWTEVIKSGRDRGKKTGNAGAAGVLSYDNGFDALEILKDLI >CAK8538214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471362123:471363374:-1 gene:gene-LATHSAT_LOCUS7438 transcript:rna-LATHSAT_LOCUS7438 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPKFSQKPYTIQVLAGIYQVYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDASAVVAVWNEANNNIFFQCSIQGFQDTLWDISGIKFYKNCDIYGTVDFIYGNAAVVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVERKSKVCATLGRPLRNYSSVAILQCYIDSMVYPRGWEEMPGQGTDNVTYVEFENVGPGSNTDCRVESHGVRVLGNHNQALVFTASYFLDADSWIPTRGVPYDSEL >CAK8538215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471362123:471363368:-1 gene:gene-LATHSAT_LOCUS7438 transcript:rna-LATHSAT_LOCUS7438-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPKFSQKPYTIQVLAGIYQVYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDASAVVAVWNEANNNIFFQCSIQGFQDTLWDISGIKFYKNCDIYGTVDFIYGNAAVVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVERKSKVCATLGRPLRNYSSVAILQCYIDSMVYPRGWEEMPGQGTDNVTYVEFENVGPGSNTDCRVESHGVRVLGNHNQALVFTASYFLDADSWIPTRGVPYDSEL >CAK8571865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:485529788:485533192:1 gene:gene-LATHSAT_LOCUS24488 transcript:rna-LATHSAT_LOCUS24488 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKMITHNMSLTPSLAHWNLRHKPKQTFVVGVLARPTEETSSKSHVYKDNWFDKLAINHLSKSVQAATGISNDKTGFDSLVEAATVASKKFSPIQQQEVVLDALDRAFPKPILSVIRRVMPPSKLAREYFAVFTTLFFSWLLGPSEVRESEFNGRREKNIVYIKKCRFLEETNCVGMCTNLCKMPSQVFIKDSFGMPVNMVPNFDDMSCEMIFGQEPPSSTDDPALKQPCYKLCKAKKNHETNCVS >CAK8535766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880509270:880509563:1 gene:gene-LATHSAT_LOCUS5191 transcript:rna-LATHSAT_LOCUS5191 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFQRKYPNETEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPTVEDFWDAMISSMKDIRKAKN >CAK8576880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521613679:521613957:1 gene:gene-LATHSAT_LOCUS29042 transcript:rna-LATHSAT_LOCUS29042 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSEFRRYNIVGTIIRNNAEDDDEDDTMSLEPVTRKEALMTSSTFHNFMIQYTNTTPELLDAIRKIRDELQIDLNFKGKQTTIESYFNRV >CAK8535188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821818992:821834638:1 gene:gene-LATHSAT_LOCUS4662 transcript:rna-LATHSAT_LOCUS4662 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEENTLRILIATDCHLGYMEKDEVRRHDSFQAFEEICSIAEQKHVDFMLLGGDLFHENKPSRSTLVKAIEILRRYCLSDRPVPFQVVSDQTLNFQNTFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSVVDILSACNLVNYFGKTVLGGSGVGQITINPILIKKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCEVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHISQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTEIILKDIPDIDSNDQNSILEHLDKVVEKLLEKSSKKVVLRAELKLPLIRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKLEKGVGKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDIALHNFVNKDDKMAFYTCVHNNIEETRNKIAKDSNERKFEEEDLVVKVGECFEERVKERSTRPKGSTQLTPGAHSFEDFQGRSAAGADSAVSFSDDEDAMPISSSKPSTRARKGSSASQTTTRGRGRGRGRGKGKDSSTLKQTTLDGSLGFRYSQRSASVAATTAIRSGVNDGDDMASGSSEDAKGNAVEEIDDSSDNESNLPTRKRAAPKGRGRGATQSSKRGRKSDNSSIHRMLMNNDDDDDDDDDNIQKRLNPSQPRVTRNYGALRR >CAK8538926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499674497:499677146:-1 gene:gene-LATHSAT_LOCUS8072 transcript:rna-LATHSAT_LOCUS8072 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSIVRDRTKLSLLLLPSRHIHHQHQPCLSALGSHSYAHMLQQIIQNGADTNAGKHLHCHILKRGASLDLFAQNILLNFYVQSNSLHDASKLFDEMPLTNTITFVTLAQGYSRSHQFNEALHYILRLFREGHEVNPFVFTTLLKLLVSMDLAHLCWTLHACIYKLGHQVNAFVGTALIDAYSVCGNVDVARHVFDDICCKDMVSWTGMVACYAENCFYEESLQLFNQMRIMGYRPNNFTISGALKSCLGLEAFNVGKSVHGCALKGSYDHDLFVGIALLELYAKSGEIVDAQRLFEEMPKNDLIPWSLMIARYAQSDRSKEALDLFLRMRQTSVLPNNFTFASVLQACASLALLNLGMQIHSCVLKFGLNSNVFVSNAIMDVYAKCGEIENSMKLFEELPDRNDVTWNTIIVGYVQLGDGEKAINLFLYMLEYDMHPTEVTYSSVLRACASLAALEPGLQIHSLTIKTMYYKDTVVANSLIDMYAKCGRINDARFTFDKMNKRDEVSWNAMICGYSMHGMSVEALNLFDMMQQTDCKPNKLTFVGVLSACSNAGLLLKGQAHFMSMSQDYGIEPCIEHYTCMVWLLGRLGRLDEAMKLIGEIPYQPSVMVWRALLGACVIHKKIDLGRVCAQRVLEMEPHDDATHVLLSNMYATAGRWDSVAFVRKNMQKKRVKKEPGLSWVENQGIVHYFSVGDTSHPDIKLICAMLEWLNKKTRDAGYVPDCNAVLLDVEDDEKERHLWVHSERLALAYGLIRTPHACSIRIIKNLRICVDCHTVIKLISKVVQREIVIRDINRFHHFRHGVCSCGDYW >CAK8574710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7171839:7175985:1 gene:gene-LATHSAT_LOCUS27027 transcript:rna-LATHSAT_LOCUS27027 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESCIVAVARQRGIDVVLNDESQRETPAIVCFGEKQRFIGIAGAASTMMNPKNSISQIKRLIGKQFSDPDLQRDLKSLPYSVTEGPDGYPLIQARYLGEVKTFTPTQVFAMMLSNMKEIAEKNLNAAVNDCCIGIPVYFTDVQRRAVLDAATIAGLNPLRLLHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGYKKGQLKVLAHTFDRSLGGRDFDEVLFNHFAAKFKEEYKIDVLQNARACLRLRASCEKMKKMLSANPIVPLNIECLMDEKDVKGIMKREDFEELSLPILERVKGPLEKALAEAGLSVDNIHMVEVVGSGSRVPAINKILTEFFKKEPRRTMNASECVAKGAALQCAILSPTFKVREFQVNESFPFSISLSWKGSGPDAHDSGTNNQQSSLVFPKGNPIPSIKALTFYRSGTFSIDAQYSDASGLQTPSRISTYTIGPFEAKHSEKAKVKVKVRLNLHGIASVDLATLLEEEEVEVSDSKESAKETTKVDTDAAAPPTSNDNDADVNMQDAKPSADTPGVENGIPETGNKPAQMDVDDTKAPKKKVKKTSVPVAELVYGAMTPVEVQKAVEKEYEMALQDRVMEETKDRKNAVEAYVYDMRNKLNDKYQDFVIASEREGFTSKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEHTERGTIVDQLIYCITSYREAAMSPDPKFDHIDINEKQKVLTECVEAENWLREKKQQQDSLPKHANPVLLSAEIRKKAEAVDRFCKPIMMKPKPAKPATPPAPPSPASSGSEQQQPQADANANSTNENAGDGSQASSASTEPMETDKPENASSA >CAK8537509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:379683533:379696075:1 gene:gene-LATHSAT_LOCUS6798 transcript:rna-LATHSAT_LOCUS6798 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMNKLRNLDAYPKINEDFYSRTLSGGVITLASSIVMLLLFFSELRLYLHAATETKLVVDTSRGETLRINFDVTFPALACSVLSIDAMDISGEQHLDVRHDIIKKRIDSHGNVIETRQDGIGSPTIDKPLQRHGGRLEHNETYCGSCYGAEMSDEQCCNSCEEVREAYQKKGWGLSNPDVIDQCKREGFLERIKEEEGEGCNMYGFLEVNKVAGNFHFAPGKSFQQSGVHIHDVLAFQKESFNLTHHINRIAFGDYFPGVVNPLDGVHWKQETPSGMYQYFIKVVPTMYTDVNGNTIQSNQFSVTEHFRTGDVGHLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMELGKFN >CAK8564663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5762364:5764568:-1 gene:gene-LATHSAT_LOCUS17945 transcript:rna-LATHSAT_LOCUS17945 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALLSDELPKFSDIRRYFCPYCGICRSKKTLITSHINSQHKEELEKAKDEPEPEAEATLKANTCEECGASFKKHAYLLQHMQSHSLERPYVCTLEDCNASYRRKDHLNRHLLQHQGKNFKCPIENCKSDFSLQSNLKRHLDEMHDENSTPARNGENQKCFVCPEIGCGKVFRHASRLQKHEDSHVMLETVDVVCLEPGCLKHFTNSECLKAHVKSCHQYVTCDTCGTKQLKKNMKRHLRTHEAGTSTEPLKCEYKDCDCTFTTKSNLRKHEKAVHLDLRRFMCGFPNCGMRFAYKHVRDNHEKTAKHLFTLGDFEEADEEFRSRPRGGVKRKYPTVDMLIRKRVTPPGQLEKLFSQTCEQDGSYHTPL >CAK8539385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510960562:510968242:1 gene:gene-LATHSAT_LOCUS8485 transcript:rna-LATHSAT_LOCUS8485-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEAQEYDPEMMNEDDNDEEEITQEDAWAVISAYFESKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETTYKISFGQIYLSKPMMTESDGGTATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGDELTEAQDFTKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIVNGSEKVLIAQEKMSTNHVYVFKKRQPNKYSYVAEVRSMAESQNRPPSTMFVRMLARTSSKGGSSGQYIRATLPYVRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGANVGVTKEKRIKHAKDILQREMLPHVGVGEYCETKKAYYFGYIIHRLLLCALDRRAEDDRDHYGNKRLDLAGSLLGGLFRNLFKKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITGGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSSAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGSWMGIHRDPDMLVKTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKKDILFLQQRESPDEGGWNDLVSKGFVEYIDTEEEETTMISMAINDLVQARLNSDEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRASTMGMRHGSYDKLDDDGLAPAGTRVSGEDVIIGKTTPISQEEAQGQSARYSRRDHSISLRHSENGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCECCGIIAIANLKKTSFECKGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMLTKEVKSIKDQKKKGA >CAK8539384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510960559:510968242:1 gene:gene-LATHSAT_LOCUS8485 transcript:rna-LATHSAT_LOCUS8485 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMDEAQEYDPEMMNEDDNDEEEITQEDAWAVISAYFESKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETTYKISFGQIYLSKPMMTESDGGTATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGDELTEAQDFTKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIVNGSEKVLIAQEKMSTNHVYVFKKRQPNKYSYVAEVRSMAESQNRPPSTMFVRMLARTSSKGGSSGQYIRATLPYVRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGANVGVTKEKRIKHAKDILQREMLPHVGVGEYCETKKAYYFGYIIHRLLLCALDRRAEDDRDHYGNKRLDLAGSLLGGLFRNLFKKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITGGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSSAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGSWMGIHRDPDMLVKTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKKDILFLQQRESPDEGGWNDLVSKGFVEYIDTEEEETTMISMAINDLVQARLNSDEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRASTMGMRHGSYDKLDDDGLAPAGTRVSGEDVIIGKTTPISQEEAQGQSARYSRRDHSISLRHSENGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCECCGIIAIANLKKTSFECKGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMLTKEVKSIKDQKKKGA >CAK8566739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:457299771:457301424:1 gene:gene-LATHSAT_LOCUS19850 transcript:rna-LATHSAT_LOCUS19850 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLRYLAGIAGPSGFGSNSTAEQVTQNCSHFFPSNLTALITGGTSGIGAETARVLAKRGVRVVIGARDLRKGREVRENIQKESPNAEVILLEIDLSSFCSVQRFCSEFLALNLPLNILINNAGIFSQDLEFSEEKIEMTFATNYLGHFLLTEILLDKMIETAEKTSFEGRIINVSSVIHSWVKRNGFCFTHILNGKNYNGTRAYAQSKLANILHAKEIARQLKARNARVTMNAVHPGIVKTRIINKGLITDSLFFIASKLLKSTPQGASTTCYVALSPKIEGVSGKYFTDCNERKCSRLANDELEAQKLWNNTHTLLQKRLHQATI >CAK8543666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630355374:630355604:1 gene:gene-LATHSAT_LOCUS12410 transcript:rna-LATHSAT_LOCUS12410 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTPLVTLGNDQRRRQLGCCKHASRVLRKLLYKLKSSWNQAMGCHRNIPQYSYDLRSYCLNFSDALTIDHTPSFD >CAK8533424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616284328:616284987:1 gene:gene-LATHSAT_LOCUS3048 transcript:rna-LATHSAT_LOCUS3048-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRLNVGSKFGINGDLFWSSAAAMFALDYNIPKLIEHTIIDSNGLSATGKTFHPSPNMPMMDTEALVWSNVGADSISLKKVFNHIKHCSQTTSLSNWWLCNTAYELEPRPLSFLPKFLPIGPLLNNYENKSGKSQLVNFGKKIYLA >CAK8533423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616284193:616284987:1 gene:gene-LATHSAT_LOCUS3048 transcript:rna-LATHSAT_LOCUS3048 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEPISLSYEAMLNTMPNIFENLIKNICLNDDCRISCIVTDVDMGWRLNVGSKFGINGDLFWSSAAAMFALDYNIPKLIEHTIIDSNGLSATGKTFHPSPNMPMMDTEALVWSNVGADSISLKKVFNHIKHCSQTTSLSNWWLCNTAYELEPRPLSFLPKFLPIGPLLNNYENKSGKSQLVNFGKKIYLA >CAK8541716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:363827172:363827498:-1 gene:gene-LATHSAT_LOCUS10612 transcript:rna-LATHSAT_LOCUS10612 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKYAYSKQMLELLLSKAPSNKHEEFRSLVDLCVQRGLTNKSIDPLEDPSHFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDAIAGPVSSPFG >CAK8540040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536687305:536689266:1 gene:gene-LATHSAT_LOCUS9079 transcript:rna-LATHSAT_LOCUS9079 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVSKQVMQKAATLLSDFLVCPLSKQPLRVSEESNSLISDAIAVSFPIKNGIPCLVPRDGKILEEEDASKPDNDANLYSVNEDNKGRSS >CAK8579249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687650860:687651138:-1 gene:gene-LATHSAT_LOCUS31210 transcript:rna-LATHSAT_LOCUS31210 gene_biotype:protein_coding transcript_biotype:protein_coding METRVTSDNLTPTLERLRFDKFVVSEASRFSGGIVMAWKSEKVNVDVIYTYFQFLHINLKFSGGEEFLFTHVYASPREERHKDLWVELHQLS >CAK8569920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15583901:15589129:-1 gene:gene-LATHSAT_LOCUS22719 transcript:rna-LATHSAT_LOCUS22719 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMESDCIEDMMDIEVLPSMWPEDVGTDVGKQFNIEKPGRDQDMLEEVTILEEPAIADFQRLMELTNYTEKGSSQLAYLMKHWEYKQANAVRLLREELDNLSKQRKEVELRKLEILEDNNRFEEESYGGDKRPVSILDDVYYTWQDLPVAIRKSDVVVQNKRIEIEAEYDTVVYWKHRSRDLEKQLEASIRREEILKEKLQESIETIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGKTDVEIFTGSGVKESQDFKREVMEKGVAAKKEITFETELFGAKTFLIYVEPVFSKTGETIGVNYMGMELTDQVRKRERMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILTTTKLDREQRQLLDVMISSGDLVLQLINDILDLSKVESGAMKLEATKFRPREVVRHVLQTAAASLQKMLTLEGDIADDIPIEVTGDVLRIRQILTNLVSNAVKFTHQGKVGINLYVVPEPPFAKSEECHQTATEDQSTVSSNVLKEEKHTSSPRSTRCDQNLIDGKKHTDQPIQNQAFSNECSRSSVNSECSMNDDTEEETHSTETTVWIRCDVFDTGIGIPEKAIPTLFRRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVTSKEHCGSTFTFILPYKVSTACDNSDDPDDLSDVDNNEDDTTEGFFQFQPRTLGSLFTSNGSTRPHRISHKFNGFPDNNSYSNLSSNIISNGTSSIEDASSVIVNASDMSESTSSSCHSSETKHECLVNGNKQNHDNDKAHAMLENGTTNSSQCKEPGREMNLEAKSSEPQQKCQGQRKEDSTSSTGTSSEVTKSTLKPNILLVEDNKINIMVTKSMMKQLGYSMDVVNNGVEAIREIQRHSYDIILMDVYMPVMNGLQTTKLIRSYEETGNWDAAREAGIEQSLSASDDCSGPPKKRIHIVAMTANTMSESAEECFANGMDAFVSKPVTLLKLKECLEKHIR >CAK8575767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:316197956:316198426:-1 gene:gene-LATHSAT_LOCUS28003 transcript:rna-LATHSAT_LOCUS28003 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTEVTFMRTLVTVLSQEPDNEQKVLKTLKHAHTRASELGSDCFFGKDEVGIRELHWFAVTSWNYGTKTGHDKNYELSAEFLGLASNFYALVKGSNDENNVMVCKSSMIALEFQRKAAMSETEVKQAVTLLDRAGQMLKSNSAGNSVNYSQYHCT >CAK8568599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621653965:621657448:1 gene:gene-LATHSAT_LOCUS21541 transcript:rna-LATHSAT_LOCUS21541 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRHGHLFLILLLLASFVVIFIALPSNLMRCIIGLKPPFSISLQNLRTRVSGGDDFLLAVEHAGHSNSTVTRKVRKMIKSKEEKLEEKLARARDSMRKAALEDRRRNLTPSSTSRNNEYVSAEAVYHNPRLFYQSYLEMEKIFKVYVYPDGDLPIVHDGPCKDIYSIEGRFLHEIEYGVGKFRTNDPNAAHVYFLPFSVTWMVKYFYTSPYSYDLTPLKQFVSDYVNVISMRHPFWNRTHGADHFMLACHDWGPIATEGNAFLYNTSIRVLCNANTSEGFNPLKDVSLPEIYLYGGEVSPKLLTPPPDSAPRQYLAFFAGGLHGPIRPILLQYWKNKDSDIAVYEYLPKGIDYNSFMLNSKYCLCPSGHEVASPRIVESIYAECVPVILSTNYVLPFSDVLRWEAFSVQVDVSDIPRLKEILNGIPESKYRKLKNGVRAVRKHFTLNQPAKRFDVFHMILHSIWLRRLNIKLA >CAK8574084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661098170:661099218:1 gene:gene-LATHSAT_LOCUS26461 transcript:rna-LATHSAT_LOCUS26461 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCNGCRVLRKGCTEDCIIKPCLEWISSPESQGNATLFLAKFFGRTGLLNLLANASYQNAPAVFRSLLYEASGRLVNPTYGALGLFWTGEWGRCEAAVEAVLTGSDINNVIAVEEKISSTTPMAENYVLSIPTTYDIRHVAKGTNVDIKEKTQFKRSAQVFKTKRQVGSIDSETLLKSFLENTNMKTIKAPLVNQIEPNEVVDTHVNLELSLGFNGKSNQTKEKK >CAK8532139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211610716:211615149:1 gene:gene-LATHSAT_LOCUS1872 transcript:rna-LATHSAT_LOCUS1872 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTQHFSHPLLFFSYKSNPSFNQFNHHVQLHQGFTFLTQISQQFPIMPISNGRHFKRRNLNKCFSYSESEKSPLPTDPDKKQGQLGGGSDGAVAAEGENPDLQTLFRRFWKVAAPYWSSDDKVQARLQLASVFILTLATTGISVGFSFLGRDFYNALANKDQEQFTKQLLYYLGGFAGGIPFFVLRDYARETLSLRWRSWMTRYYMDRYLKNQTFYKLQSQSIIDNPDQRIVDDLSSFTGTSLSFSLTLFNAAVDLISFSNILYGIYPPLFIVLLLYSIGGTAISVFLGKGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEESEMQLLLMRFKSAFENLTQLLISSRNLEFFTSGYRYVIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVINRLGEFDDVLDRSNSKSLPDSLEDIDIIYKDFISSSVLESNGLTPQEKHETLLEIENLILKTPSESTLIRDLSLAIKQKDNLLITGPSGSGKTSLLRAMAGLWKTGTGKIIYYVKEGEDTEKFSSDVNTPLINTARNSTEDRGKSISRKSRIFFLPQKPYMVLGTLRQQLLYPTWGDDVVPTLESNEKDVLTFLSNSDDMNSELVKPGTDDLIKILEDVRLGYILARFGLDSTHEWSSVLSLGEQQRLAFARLLLSKPQLALLDESTSALDEANEVHLYEKIAAEGVTYISVGHRSTLCDFHDRILRISATDSNNEQPNWCIEPTRRESSLKI >CAK8536280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924920652:924922210:-1 gene:gene-LATHSAT_LOCUS5664 transcript:rna-LATHSAT_LOCUS5664 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILFRVDAVCKKYEKYDIDKQRELNAYGDDAFARLYAAVDSTIQQCLDKSEAAAKENNRAAAAAMNAEVRRAKGRLMDEIPKLQKLVNKKVKGVTKEDMAIRQDLVLVLPERIQAIPDGITSAASQTGGWAAATSSHPHIKFDTPDGHIGSEYFNPSEESSQFRSEYEMRKMKQDEGLDIISEGLDTLKNLAHDMNEELDRQVPLMDEIDAKVDRATTDVRNTNLRLKKTLTELRSSRNFCIDITLMCILLGIVMYLYNALR >CAK8567150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490928744:490929085:1 gene:gene-LATHSAT_LOCUS20226 transcript:rna-LATHSAT_LOCUS20226 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSMKFSTQVPPFSTQVGTEKEERVVVKKRSREQFTRKEDILLIQSWLNVSKDPIVGVDQKAESFWLRIVASYNQYRGELREKLGGQLKCRWHRINGMVQKFVGCYKTALK >CAK8576769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512801344:512805307:-1 gene:gene-LATHSAT_LOCUS28937 transcript:rna-LATHSAT_LOCUS28937 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSENYKGLILAVCSSAFIGASFILKKKGLKRAAARGTRAGIGGHTYLLEPLWWVGMVTMITGEAANFVAYIYAPAVLVTPLGALSIIVSSVLAHFLLNERLQKMGVLGCISCIVGSIVIVIHAPQEHTPNSVQEIWELATQPEFMIYVIVTVSVVLALILNFEPRYGQKNMLVYLGICSLMGSLTVVSIKAIGIAIKLTLDGINQIGYPQTWFFVTVAIICVITQLNYLNKALDTFNATIISPVYYVMFTTLTIIASAIMFKDWSGQDISSIASEICGFITVLTGTIILHGTKEQEESTRKGALTWFIDEDSTKCVEDEHLIVINGSDDREN >CAK8530384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18277178:18280215:1 gene:gene-LATHSAT_LOCUS252 transcript:rna-LATHSAT_LOCUS252 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPPPRHVSPPSIFLTTLFLLSLIPLSHSNDLQSLLNFKSSVQTPNPNIFTSWNHSNSPCNFTGITCNSKGSVTEINLPMNNLTGTIPFDSLCKLQSLEKISLESNFLNGTITEDLKNCINLKYLDLGGNSFNGTVPEFSSLNKLEYLNLNLSGVSGKFPWKSLENLRTLTFLSLGDNLFEKASFPLEILSLENLYWLYLTNISIFGKIPIGIGNLTQLQHFEISDNNLSGEIPHDIGKLKNLRLLEIYDNNLSGKIPFGFGNLTNLVQFDASNNRLEGDLSEIKSLRNLQSLQLFQNRFSGEIPQELGDFKYLTELSLYDNKLTGFLPRKLGSWIGMEYIDVSDNLLSGPIPPDMCKNNQITDIALLDNSFTGSIPENYANCTALVRFRLTKNSLSGVVPKGIWGLPNLKLFDLGRNQFEGSIGSDIGKAKSLAQLFLSDNQFSGELPLEISEASSLVSIQLSSNRVSGHIPETIGKLKKLTSLTLNNNNLSGNLPDSIGSCVSLTEVNLAENSISGVIPTSIGSLPTLNSLNLSSNEFSGEIPSSLSSLKLSLLDLSNNQFFGSIPDSLAISAFKDGFMGNPGLCSQILKNFQPCSVESRGSRQARNLVFFFIAGLMVLILSLSYFLFMRIKQKNKFEKQVLKTNSWNFKQYHVLNINENEIIEGIKAENVIGKGGSGNVYKVELKSGEVFAVKHIWTSNPKNDYRSSSAMLKRSSCSPEYDAEVATLSSVRHVNVVKLYCSITSEDSSLLVYEFLPNGSLWDRLHTCKKTQMGWEVRYDIALGSARGLEYLHHGCDRPVMHRDVKSSNILLDEEWKPRIADFGLAKIVHGGAGNWTNVIAGTLGYMAPEYAYTCKVTEKSDVYSFGVVLMELVTGKKPVEPEFGENKDIVSWVYSNIRSKESVLEMVDYTIAKHFKEDAIKVLRIAILCTAKVPSSRPSMRMLVQMLEDADSSASSKVIVAIV >CAK8576088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:404043419:404044036:1 gene:gene-LATHSAT_LOCUS28303 transcript:rna-LATHSAT_LOCUS28303 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHMYARKRGLKPHFEEGVKMFITWAFNQECGQREGGVRCMCLKCGCRHIISNLEEVETHLKRKEFKENYWVCTSNGEEMSMNMPETRNLQQGSSSRSQMEYEEQFNLHDDMIGDALGVNMAYNEQQDSDGEELPNEKAQNFYQLLKEINTPLFEGSSNSKLSMCVRLFVAKSNWNAPLPQSSQRLSKLCPSLVRGLSRLCLSL >CAK8576212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425976943:425980790:1 gene:gene-LATHSAT_LOCUS28418 transcript:rna-LATHSAT_LOCUS28418 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQIKITLAVIISVLWIQHSFCDEASDTSSLNKWKCRCSSFEASQSNSLANCSKSCDCHSDVGEGASIWTCICDPNGFPQVAEDGHSAKCFHACNCTWGTVSTSSGSKKHISSKVVVIVLLICVICTTIALLTTVVCYIYRKKQYPTQSPIFSVDKETSSGSTANLISHRAGTSSVPETTFFVNSPICHITGCFQKASFLFGSQKETFHGNIIQFSFGELESATDNFSATNLIGVGGSSYVYRGRLRDGNIVAVKRLKDQGGPEADSAFFKEIELISRLHHCHLVPLLGYCLESKGKHMQRLLVFEYMINGSLRDWLDGVSGKYLDWTTRVTIAIGAARGLEYLHEAAAPKILHRDVKSTNILLDEKCQAKITDLGMAKNLRSDDLPSGSDSPARMQGTFGYFAPEYAIIGRASLESDVFSFGVVLLELISGRHPIHKTTGKEESLVIWASPRLQDSRRVISEIVDPQLKGNFPEEEVHIMAYLAKECLLLDPDTRPTMSEVVQILSSISPGKSRRRRNIPTSLFQEPENVESKRQAQSSEFPTHNSSPLDTGYNPCLGNRNREEDAVSAEYMESLILLASKSNSSHSSEEEMVDITEPRFESFCMTNGTVT >CAK8568705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633406883:633407330:-1 gene:gene-LATHSAT_LOCUS21637 transcript:rna-LATHSAT_LOCUS21637 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYDGVLIGKLRLKGKPLAVKNDGIKKKKHRYSSEFNSVNEEGNMNEGEKYEGEGNNAGCDCDDRLTPAERRFLERTEKLKVQRLAKMAKRSHRDRIERFNQYLANLSDHYDIPKVGPG >CAK8541215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90142840:90143721:1 gene:gene-LATHSAT_LOCUS10150 transcript:rna-LATHSAT_LOCUS10150 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSLPSSNQNIPTTESKIKEMAPRNLNTLPSTNIKQPDTNEVVSGELPNGKVMNTSSNNRSTRPSSDSTSNQTIRATPFLFRGQEQGSSDPVKSTRMFTEEAQKPQTSHHSSVDTLPQTPSIPSAQREASGNASAAAAQTWMSSGAGGFKLGPENSGSSKNQISADSLHNSTREFHQHISRIQGEFPSGGMSLQSNKNNFPFHSYRPQPSHVSVVSQLPNRPRIFPQSTSADQSRFQMQTSWQGLSPQSQPRQKQETLPPDLNIDCQSPGSPAKQSSGVVDSQQPDLALQL >CAK8537138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:107906222:107910045:1 gene:gene-LATHSAT_LOCUS6451 transcript:rna-LATHSAT_LOCUS6451 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQKEKIYVAIGDDAQDGFNTLNWALKKWNSHPISIVILHVTHSISKDYVYTPFGKLPARSLNDEKLEALRKDEKERIKKLFSKYIAFCGKVSAKILEIDKFDEPIQKHIIDIINELEITKLVMGFSAMKPSMKSKGAISGLFYVHQHKPSLCELFIICGGKQVSLRGNNDEKIMEDDSGVMVAKMKDKVTFKDWLDKMFSDKISDYSQNRLSASPSSTSLVQNQWEFYLQDIENYYQELLLSSNLEEGIYVQENDDLHVGTKIGPLVTELNNSNKNTTEKIEILCKTIELKKKESKENLERRTKAEWAISLCNSRAEELEGRIREEVSTKEKLNKELHLEKEQREEMRTDIKERKQRMNSLVELQSELSNKLQLSTISRSRAEAQVERAVRERNNMVREIEELRKQRDVLNRRIEFCKQKDAIGMAARLSDNGGTSCGMREYSEEELRLATDNFSQRLRLKRGEDWSNVYRGRFNHSTVAIKMMSSFASLSQEDFQSKVRFLGDIRHPHLVAVVGFCSEPKCVVFEYMGNGSLQDMLFTRRRNRGLRWHDRIRIVTEVCSGLGFLNSSQQRSVIHCHLSPAHVLLDRNLVAKITGFGLQECNNDNECNVESDLRVVGVLLMQLLTGRIWDGPIEELMTMDMDRETLVNILDDMAGQWPLDLAKELVNLAMICMTMNSKPNQNLTIAKVIEELNKIRRKGDEIVAKEDRKITITGCIDREGSSDVPSVFLCPIQQEVMKNPHVAADGFSYELEAIEQWLHSGHDTSPMTNLRLKHTSLTPNYTLRSFLEEWQSNKSAKISN >CAK8566049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:373664567:373664929:1 gene:gene-LATHSAT_LOCUS19217 transcript:rna-LATHSAT_LOCUS19217 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKSISVSMFFMVLGMLVTPLFSRQIDDITCPEALLSLLPCLPFLQGTGPPTPPSNCCDALGNLNQKANTPQIRKDVCNCLKPAASRFKVNPDRSKQLPPLCNIKLSVPFDPSVDCNT >CAK8530986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67962373:67962504:1 gene:gene-LATHSAT_LOCUS808 transcript:rna-LATHSAT_LOCUS808 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8573050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580216471:580219358:-1 gene:gene-LATHSAT_LOCUS25543 transcript:rna-LATHSAT_LOCUS25543 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEMRRTTRVFGVVKGGDTSSVLRSGRRLWPDCEEGTGSKKIGDDLAKKSEKMKVNDYDSEDEDYVALMEIMKQRNLKDDRFFGTVYNRKRKRIVEGEDCDVSGFRFCRGLRMMIDPSAIVAIVKPCSDDISLFSFFLFAVLRNFMKFGLTFMDFYAFVLSEPIYSVYASRGIQFLQGSVTPSVGICQLFGVTQYLPLFCVDFSAVPLCFKFLHSALLLRYIFRSCFLVCNPVNFCRDVKKEIDLPAVEEEIDVSTDEEEIDLPAILIELRSLCNSYKKEASESKTINITPEVIVISDDDDDNLSSHESVKCSKSGSKNVQSENVSSNVGQTRRTSLRIREAQNLSMKNRSNNTLPSGSKGGRLIAKEDSKEAIVPSYCCTNILIVETDKCYRVERAVVVSEEMSESREWHLAVKKDGLTCCTLKAERVMRPCSTNRYTHVKMVSLINGWKLEFSNHQDWIAFRNLYKDCSEREIPIPAAKFIPVPRVCEVPDYADSYTALFNRPDYYISANGDEFERAITRKTGNYDMDSEDEEWLNKLNIELQEHVSKDNFESIVDALDKTYHYNLDDCYDEKTMIYWCQNPVNKKVIQAVHNYWIRKRKQKYSGLHRIFTSYKSKISPFVPKPPRQRKRSFKRRPSNSQLQRVLQSITNDQDVVDEYRKAFAKVEAAKEAAKKAMEVAIQKRKKAQSLAHNADLAMYKATMLMRIAEATGAGASADVVAQHFLN >CAK8571560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439979094:439981208:-1 gene:gene-LATHSAT_LOCUS24208 transcript:rna-LATHSAT_LOCUS24208 gene_biotype:protein_coding transcript_biotype:protein_coding METPFMTGVVVAAAAYAGRYGIQAWQAFKARPAGMRKFYEGGFHAAMNRREAALILGVRTTTPTDKIKEAHRRVMIANHPDAGGSHYLASKINEAKDMMLGKTKGNGSAF >CAK8576551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492410605:492414152:1 gene:gene-LATHSAT_LOCUS28730 transcript:rna-LATHSAT_LOCUS28730-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFLFLTLIPILCTSFSPDKPSDRRILVLVDDFAVKSSHSLYFKSLQSRGFDLDFKLADDPKIALQRYGQYLYDGLVLFSPTIERFGGSIDAAAILDFVDSGHDLIVAADNNASDLIREIATECGVDFDENYTAMVVDHAGYAVSSTEGDHTLIASDDFIKSDAPVLFQGIGHSLNPSNSLVLKVLSASPTAFSADPKSKLTSPPSLLGSSISLVSVIQARNNARILVTGSLSMFSNRFFNSGVQKAGNPTKHNKSGNEQFLTELSKWVLHERGHLKAVNVQHHKVGETNEPSIYRINDDLEYSVEIYEWSGTTWEPYVADDVQVQFYMMSPYVLKNLSNDKKGRYFTSFKVPDVYGVFQFKVEYNRLGYTSLSLAKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFVFSAVHLYNK >CAK8576553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492410605:492414152:1 gene:gene-LATHSAT_LOCUS28730 transcript:rna-LATHSAT_LOCUS28730-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFLFLTLIPILCTSFSPDKPSDRRILVLVDDFAVKSSHSLYFKSLQSRGFDLDFKLADDPKIALQRYGQYLYDGLVLFSPTIERFGGSIDAAAILDFVDSGHDLIVAADNNASDLIREIATECGVDFDENYTAMVVDHAGYAVSSTEGDHTLITGSLSMFSNRFFNSGVQKAGNPTKHNKSGNEQFLTELSKWVLHERGHLKAVNVQHHKVGETNEPSIYRINDDLEYSVEIYEWSGTTWEPYVADDVQVQFYMMSPYVLKNLSNDKKGRYFTSFKVPDVYGVFQFKVEYNRLGYTSLSLAKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFVFSAVHLYNK >CAK8576552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492410605:492414152:1 gene:gene-LATHSAT_LOCUS28730 transcript:rna-LATHSAT_LOCUS28730 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFLFLTLIPILCTSFSPDKPSDRRILVLVDDFAVKSSHSLYFKSLQSRGFDLDFKLADDPKIALQRYGQYLYDGLVLFSPTIERFGGSIDAAAILDFVDSGHDLIVAADNNASDLIREIATECGVDFDENYTAMVVDHAGYAVSSTEGDHTLIASDDFIKSDVILGSQKIEAPVLFQGIGHSLNPSNSLVLKVLSASPTAFSADPKSKLTSPPSLLGSSISLVSVIQARNNARILVTGSLSMFSNRFFNSGVQKAGNPTKHNKSGNEQFLTELSKWVLHERGHLKAVNVQHHKVGETNEPSIYRINDDLEYSVEIYEWSGTTWEPYVADDVQVQFYMMSPYVLKNLSNDKKGRYFTSFKVPDVYGVFQFKVEYNRLGYTSLSLAKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFVFSAVHLYNK >CAK8575273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66955717:66956555:-1 gene:gene-LATHSAT_LOCUS27547 transcript:rna-LATHSAT_LOCUS27547 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATHSPRILLFNPILGNTKTIKGVRFFRTTTTTKSYTILQVHASKDSQGPRKVPPGTDTRIHWENEDEGWIGGSSKQQQTNAEVKPKKLLGEDFADLLNFQGSHYEFLGISPESDVEEIKVAFRKLSKEYHPDTTSLPLKTASEKFMKLREVYNVLSNEESRRFYDWTLAQEVASRQEEKLKIKLEDPYEIAIKNYKPVPDMVDRLGGKNMKLSDQTISAITIDVFIIIFSLCCITYVVVFKEQ >CAK8533949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674618249:674618650:-1 gene:gene-LATHSAT_LOCUS3533 transcript:rna-LATHSAT_LOCUS3533 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRGLNKVGKICEIRSRLQELKPAIIILIETRVKEAKAKVIREKLMIYDKHVDNYKDHMNGRIWIHWNSNRVDVRFIQSSSQFIHCGIYDNLGGFKHWLTVVYAHNQLNKRRILWKEIEHLSGNIQGP >CAK8574845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11485499:11485933:1 gene:gene-LATHSAT_LOCUS27145 transcript:rna-LATHSAT_LOCUS27145 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFPRFQPISKCSTKDRSNQTVASDLDGTLLVSRSAFPYYMLVALEAGSILRALLLLSLVPFIYFTYLFVSETLAIKTLIFVTFAGLRIRDVEIVSRSVLPRFYAEDVHPVTWNVFNSFGKRYVVTASPRIMVEPFVKTFFGS >CAK8578332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621546810:621549420:-1 gene:gene-LATHSAT_LOCUS30352 transcript:rna-LATHSAT_LOCUS30352 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFQGLGTVTPLSPSNSFESTKLLLSSRRSLSERKSSIFVVRSDAKGNQVLKSGATRKSELLIPNAVATQGSSSVASASKPGHELLLFEALREGLEEEMERDATVCVMGEDVGHYGGSYKVTRNLAEKFGDLRVLDTPIAENAFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >CAK8532740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:508942393:508945959:1 gene:gene-LATHSAT_LOCUS2415 transcript:rna-LATHSAT_LOCUS2415 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQTETLASLSNSVKVLLEKIVSTRLVDTFRIKNANVSLSKEVEKTLVDLQHVLYYANKKFILTQANNNSLHLLRRLVFQVAYLLEETHFLRYATVLTPNQLKIHSRLTFFIELIKSKTLNLIQILQGLSSRKQLGYKSAIHGRGHDIKKLKHLLLSSSSDGDNKIRVISIVGMGGIGKTALAKHLYNDPQVKDKFELKVWAYISNDDDFSVFENIVRSITPKSRSNGTTDINAVYPIFLIVLDGVWDARSVNWTLLGNIFKNGEPGSRVIVTTRDERIAMSMQTILSVHYLRPLKTKDCWTLLEENAFGAHNYHQQANLQTIGKKIARNCQGLPLAAIAHGALLRIWSDPYAWNYVLASHARGTAYEVLASLKLSYNFLSDPLKQCFQYCSVFPKKSILEKKMVVQLWIAAGLLESSPSTNQEKVGEEYFDELVSRSLIHRRFIGNEERNFEMHNFIHDLATEVSSSYCINMDKHNLDDKMQNFSYNRETYDSYYRFDRLYRLKDLRTFLAFPLQEQLPLCLLSNKVVHDLLPTMKQLRMLSLSSYKSITKVPDSIGNLVYLQYLNLSHTNIERLPPETCKLYHLQFLLLAGCKRFTELPEDMGKLINLRHLDVSNTALREMPVQIAKLESLHILSDFVISKHNGGLNIAELGKLPHLHGKLSISQLQNVNDPFEADRANIKMKEHIDELALEWDCDNTFQDSQIKSVVLEHLQPSTNLKSLTIKGYGGVSFPNWLGDFSFSNMVYLKISNCDDCLWLPPLGQLGNLKELFIEGMQSVQTIDTEFYGSDISSFQPFPSLEILRFEDMQEWSEWNLTGGTSTKFPSLKTLSLNKCPKLRIGNIHEKFSSLTELELRECALPMQSMPSSNHVLRQLMFPLNSLQQLTIDGFPSLMSFPADNLPKTLKFLIINNCENLEFLPHEFLHNYTSLEELKISYSCNSLLSFTLGALPVLKSLFIEGCKNLKSILIAEDASQKSLSILRSIKVWDCNELVSFPPGGLATPNLVYFAVWKCEKLPALPEAMQNLTDLRDMEIDNLPNLQSFVIDDLPSSLKELTVGSIGGIMWNTEPTWKHLTCLSVLRINGDGTENTVMGPLLPSSLVKLCICGLNDKSIDGTWLQHLTSLINLEIVNAPKLKSLPKELPSSLSVLSITRCPLLVATLRRKRGKEWRKIAHMPAIIIDDELIT >CAK8577173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542784982:542786408:1 gene:gene-LATHSAT_LOCUS29302 transcript:rna-LATHSAT_LOCUS29302 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVLNLQQRQRNRAATLKSALKSWHFHATSFVRRNQDPVKKFRMLSAQGSKLNFQFSKRLKLMERMQTLFTTCQEIFACNSRGYVPYHMLQISQAIYFSDHSH >CAK8577223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545474289:545476731:1 gene:gene-LATHSAT_LOCUS29349 transcript:rna-LATHSAT_LOCUS29349 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSATSSLVPPSITNNLSRRSANYHPNIWGNHFIQYLSEEPMELDEMMKQIIMLKENVRQMLLPTNPLNDANLIDSIQRLGLYHHFEHEIGELLQHIHNNYVQNRTIILTFNEDLHSIALVFRLLRQHGYPVLPDVFEKFKNEQGNFNETLVGDVEGMLSLYEATHMRIHGEDILDEAFSFTSSHLKMMTTQLSPSLATKISHSLKRPLFKNMPRLVARNYISSYEEDPSHDATLLLLAKLDFNLLQKQHQKELGDISKWWKDLDFATKLPFARNRIIEAYFWILGVYFESQYSVGRRIMTKVISLASVIDDTYDNYGTLEELQLFTQAIQRWDMSCMDFLPEYMKFCYKAVLDVYEEMEQEMVKEGRAFCVYYVKNEMKRLIHAYFTEAKWFNSNLIPTIEEYMALGIVNSGYYLMTATSFIGMGCIATEEVFKWLTNNPKIVNASSIIARLMDDIVSNEFEQKRGHGASSIECYMNEHGVTREDAIEELSRQVTNAWKDMNEEFLDSNEVSKPLHMRVLNLSRIIHVLYKDEDCYTNSQGSTKNDIISVLLDPCLI >CAK8566357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413095069:413095974:1 gene:gene-LATHSAT_LOCUS19492 transcript:rna-LATHSAT_LOCUS19492 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLLLSLILVVSLLHFTSASRKLTQNNQQLKFQYHKGPLLTGKISINLIWYGKFKPSQRAIISDFITSITSPTLTAQPSVATWWKFTDKYYHLANSQNIVLTTGSHFLDENYSFGKSLTNDHIIKLASNGSQTNAINVVLTSADVAVDGFCSSRCGTHGSSVNTKFAYVWVGNSETQCPGQCAWPFHQPIYGPQSPPLIAPNNDVGLDGMVINVASLLAGTVTNPFGNGYYQGPKEAPLEAGSACAGVYGKGAYPGYAGNLLVDPTSGASYNANGVNGRKYLLPALFDPTTSECSTLE >CAK8567762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542319438:542320972:1 gene:gene-LATHSAT_LOCUS20785 transcript:rna-LATHSAT_LOCUS20785 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVSAKKKLLVKELLQGKEYATQLKFLLKNRVGLGSDGSASVKELVDNLLRSFSETISVMTSEGCNFDEVVNKSEENGSLVSGSCNDDVKSEDSSESKKRLLPNTKDRRGSYKRRKTDETRTVVSKTTGDIHSWRKYGQKEILNSQFPRSYFRCTRKHDQGCKATKQVQLIQENPETYQITYIGFHTCNATLHTPQMVTFSADTNWDTFLVNSQPCSKEVLTNYNQQDSPIVTSERPIVKQEYPNNDEGTTTPRSDLTDDLFDANLWSDFKDFELSKPDTSLYSCSESQNLEIGFGVFSDFSNDLVYFDERHLL >CAK8578378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625506460:625509695:-1 gene:gene-LATHSAT_LOCUS30395 transcript:rna-LATHSAT_LOCUS30395 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSPSPNIYIPNQWSQAANSITSSSTPPITLICGAKNCGKSTFARYLLNILLTRCNKAAFLDTDVGQPEFTPPGFVSLTVVHKLTPDLTIPCLKTPERSLFFGDVSSKRDPSAYLNYIHSIYDYYHKEYRIFDKGEKCYKILMPLVVNTPGWVKGVGYDVLVDMLKYICPTHIVKIGISTENKNLPAGKFWLDGEHDGTIKLLEINSARQDSLDRSVLVQKDARLMRDLRIMAYFRQCFPSGSDISTIKELARSLAFHCPYQVPIASIKIRHVHREVPSSEIFYSLNASIVGLAVESEGAENLPWCLGLGIVRAIDTVKGILYVITPLPFGSLKRVNLLLQGYIQIPSCLLQVQGCISPYMSTNVLTTS >CAK8565331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:134771175:134774884:-1 gene:gene-LATHSAT_LOCUS18553 transcript:rna-LATHSAT_LOCUS18553 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKMQLTPEFHQTQLHFMNKFSSKLKPTIDIRSFCASSLSQTQNLNNPETTVPVRKRRSLASSNDQLKENWLASISYSTPGNTHLLNEDKFEGSTRWFIGIDPDVSGAVALLKIHDSVCSAQVFDSPHVQLLVGKRTRRRLNASSIVQLISSFDAPPGTTAYIEQSIPFPKDGKQGWWSGGFAYGLWIGILVSAGFTVVPVPSFTWKAKFELSGSKTAKDDSRKLASTLFPSLSSLLSRKKDHGRAEALLIAAYGKDQNKSHEHSETIIDKLET >CAK8574792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9525209:9525793:1 gene:gene-LATHSAT_LOCUS27100 transcript:rna-LATHSAT_LOCUS27100 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGAFQNFFFLLIISCYFHTIVSEQEETGFVSSLNPKLFKKKEIFSHFRFYWQDIVGGNNATSIPIIPSLPKFNNDFSAFGLVRMIDNPLTLGPKLSSKLLGRAQGFYAATSQTELVFIMVMNFALFEGKYNGSVITILGRNVAYDKIREMSVIGGSGVFRFAKGYVEANTISFDPITGNTVVEYNVFVSHQY >CAK8563056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570150407:570152215:-1 gene:gene-LATHSAT_LOCUS16503 transcript:rna-LATHSAT_LOCUS16503 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHLIYAMGSGLGLTAISNGRFSPHHTLIYTINAFFGPDIGSFSEWLGSLLGGPADTVASAVADLIHHPFYYILILGIPLCFLYSKISSFLLHSHLLDSSLSKVPLTKLQCFFLISAGSFTHFFLDHLFEENGKTNMYTWILSTGWWHSRAPVNPDAVVVVSFLCVCLIGGFFYLNRASSSNSIKKKSYQSMLLMASIASLYCLWCMIQIYWISPRRPAVGEEADLGVLVFLAIYFFLPYGLCIISMHPKDLDFNQIPL >CAK8543899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648325828:648328787:-1 gene:gene-LATHSAT_LOCUS12622 transcript:rna-LATHSAT_LOCUS12622 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKGVKSFLDFAYTTGRPQGREISCPCAHCVNCKWERRHVVRDHLIAVGFVKGYDVWVNHGEDIPSPMKIKEDTKEQENSLDDIGGLLYDTFRNVVEAEESSEAHNEDARKFYKLVNEAKQELYPGCESFSTLSFIIRLYLLKCLHGWSNASFTSFLELLKEAIPELNIPKSFNKTKAMISDLGLDYKKIHACPNDCMLYWKEHENDNSCNICKASRWKEFPQVESESSEHAKYDHKVPAKVLRHFPLIPRFQRLFMCSKTAKEMRWHEEERSKDGKLRHPADGQAWRDFDRLHHDFASEPRNIRLGLSSDGFNPLRTMSLSHSTWPVMMVVYNYPPWLSMKSEYTMLSLLIPGPQSPGNDIDVYLQPLIEELKELWELGVDTYDASKNQTFKIRAAVLWTISDYPGYAMLSGWSTKGKFACACCSHNTESSYLKNSHKMCYMGHRVFLPMSHAWRLNKRSFNEKKELRSAPSLLKGTDILETLKDFQNEFGKTKKEKKDGPWKKKSIFFELPYWAENTLRHNLDVMHIEKNIFDNIIGTLLDIPRKTKDHVNARYDLKYMGIRKKLHPKEIGGGRAEIAKSCFSMSAREKTIFCGVLKAAKLPDGTASNISKCVQVSNKKVFGYKSHDAHFMLHYLLQVAVRGTMPNAVAEPLIHLGSFFRSLCKKVIREQDLNFLEAEIADILCQLEMIFPPSFFDIMVHLPIHLVNEVRLGGPVQFRWMYPTERNLCKLKSYVRNRAHPEGSIAEAYLAEEALTFCSRYLHDNVDTRLNRKSRNYDNSDLCDVDLSDYFSCIGRSLCRKKNGKPFFLDSTTKSQAHRYLLFNCDEVNTFIREHDDIVNSQTKGRRWVKAKTQSHDFSEWFKTRALKDDVSIQLKYFSRGPCDTTKRFSGYLINGYRFHTMKRDARRKTQNSGVTLVSLTPSFASYKDENPRMKAVTY >CAK8540416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559099558:559101042:-1 gene:gene-LATHSAT_LOCUS9421 transcript:rna-LATHSAT_LOCUS9421 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESNNLHFILVPLFAQGHMIPMIDMARILAEQNVTVTLLTTPQNTSRFHKTIHTSTKSGLPIHLLELPFPSQEAQLPFSCENLDTLPSRNLLRNFYNALHMLQEPLENYITNHSFPPSCIISDKCISWTSSTAKKFNVPRLVFHGMSCFSLLTSHNIKLHNAHHSVDSDTDPFVIPGVMPQRIEITRAQLPGTFVSLPDLDDYRDKMHEAEMSSHGVVVNSFEELEHGCAQEYEKVMNKRVWCIGPVSLCNKESLDKFERGNKSCIEEKQCLEWLNLMETRSVIYVCLGSLCRLVTSQLIEIGLGLESSNRPFIWVVKTNGENYLELENWLKSENFEERVRGKGLLIKGWAPQILILSHPSIGGFLTHCGWNSTIESVCFGVPMITWPLFAEQFVNEKFIVEVLKIGVGIGVEVPVRFGDEKKAGVLVKKSRVVEVIEMCMEGGVEGEKRRCRAKEFGNMATKALDVDEGSSHFNISCLIQDIIDYHSNKNY >CAK8539962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532381682:532383322:-1 gene:gene-LATHSAT_LOCUS9009 transcript:rna-LATHSAT_LOCUS9009 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATTSNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8579469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701261932:701263547:1 gene:gene-LATHSAT_LOCUS31415 transcript:rna-LATHSAT_LOCUS31415 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLMPFVDKNPSIKVSVCISKIISEYNFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKFVPDTVLEIETLPMYTADGTIVEGKHIFHRLFWAFQPCIRGFSYCKPILQIDGTWLYDKYKGTLLIAVAQDGNNNIFPVAFAVVEGETAEDWGFFLRNLRRHVAPQPGLCLISDRHASIESAYNNVENGWHDPSSVHVYCIRHIAQNFMREIKDRNLRKKIVNMGYALNQPTFHYYRSEIGMANANALRWIDNILVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHFPITTLVRATYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRITNLFGVYSNSFPVMLYDEYWPVYEGDQICHNPRTRRNKKGRSVSTRITTETDNFDKFERKCSMCRQTGHNRTRCPNVGTSNH >CAK8579470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701262625:701263547:1 gene:gene-LATHSAT_LOCUS31415 transcript:rna-LATHSAT_LOCUS31415-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANANALRWIDNILVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHFPITTLVRATYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRITNLFGVYSNSFPVMLYDEYWPVYEGDQICHNPRTRRNKKGRSVSTRITTETDNFDKFERKCSMCRQTGHNRTRCPNVGTSNH >CAK8579471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701263044:701263547:1 gene:gene-LATHSAT_LOCUS31415 transcript:rna-LATHSAT_LOCUS31415-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRITNLFGVYSNSFPVMLYDEYWPVYEGDQICHNPRTRRNKKGRSVSTRITTETDNFDKFERKCSMCRQTGHNRTRCPNVGTSNH >CAK8578938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662947719:662948384:-1 gene:gene-LATHSAT_LOCUS30916 transcript:rna-LATHSAT_LOCUS30916 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSASFNSQLFQELLVDSNTRRLLFQNPVDHQSLTNSSILTNNRNSTDSYFGICEFDSKVVMILAVLLCAFICSLALNSIIRCALRFSNAAINNNQASQLLVNKGIKKKALKTFPTLNYSAELKLPGLDTECVICLSEFTRGEKVRILPKCNHGFHIRCIDKWLKEHSSCPKCRQCLLQTCRKVGESQVQPIVLSVLEIIIRIPPLDHEAVERNYREESR >CAK8572390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533810529:533811326:-1 gene:gene-LATHSAT_LOCUS24961 transcript:rna-LATHSAT_LOCUS24961 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQRSVAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLELDNLLKTQHSGVFGLFGTQSYSSNSDTQMRFGGVPYYGTLVDIIVLSYDGFKVPMFKCEWANATNPRGIKIDKLGFTSINFTKLLHYGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNSEQIFFNNDIGTSSANDNNN >CAK8565350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:147717222:147717641:-1 gene:gene-LATHSAT_LOCUS18571 transcript:rna-LATHSAT_LOCUS18571 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHKILLLNALVITSLVSSTSVVESRKLSSPNSNLMSLEARLKVNGESPNCWESLLKLQACSGEIITFFLNGETMLGHGCCKAIEVIGHDCWPSVVSSLGFTNEESYILEGYCDEVDDVHSPPPPLGLFVEPKEFVP >CAK8561781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:383198508:383214735:1 gene:gene-LATHSAT_LOCUS15340 transcript:rna-LATHSAT_LOCUS15340 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCCNAIVLYPQAHRTRCFQPPRFSLTPPPPQLQEQPISYNGKSKLITSASNPFVKHCLKLRNNSSYRHSHGSVILVGATPIREIYRFQESTQDKNVTMDCLILPEKDEIPDWLDESTDSIVRVSSIVMRKISGLQSTDSIDAIGLMKIPASFLNLDDDQCHCQKWFPSAHRILVLDGIQDPGNLGTLLRSAVAFRWDGVFLLPGCCDPFNEKALRASRGASFQLPIVSGSWSHLESLKEEFQMKLLAGHPEHDGLIKPVYSLSPSFCDSILDTPMCLVLGSEGSGLSEKSMKACELVSIAMTGEYESLNVSVAGGIFMYMLQPKNK >CAK8568714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635111336:635112361:1 gene:gene-LATHSAT_LOCUS21646 transcript:rna-LATHSAT_LOCUS21646 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAAKTFTNWLGGPFPFTSTTETKIKRSPTNLSFPSNGCRCTTSVVSQLPLTESASPGQFRVDILSESLPFIQKFRGKTIVVKYGGAAMKSPELQASVINDLVLLSCVGLRPVMVHGGGPEINHWLNRLNIQPVFRDGLRVTDAETMEIVSMVLVGKVNKTLVSLINKAGATAVGLSGMDGRLLTARPNSKSSDLGFVGDVAKVDPTVIRSIVDSGQIPVVTSIAADEFGQPYNINADTVAGELAAALGAEKLILLTDVAGILEDRNNPESLVKTIDIKGVTKMMKDGIIGGGMIPKVSCCVRSLSQGVTTASIIDGRVPHSLLLEILTDEGAGTMITG >CAK8533905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670299728:670301414:-1 gene:gene-LATHSAT_LOCUS3492 transcript:rna-LATHSAT_LOCUS3492 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVARLSLIILLSWISHISSEMIIRLPSENEIPVEYCDSWRLAVETNNVGAWNQIPTNCVDSVAEYMIGEQYKRDCEVVGKFSSEFAKRVAFAGDEKDAWVFDIDETLLSNVPYYKAVGFGSEIFNETSFNDWVKLADAPALPSSLSLFEKLQELGFKIFLLTGRSEFQRNVTEANLLFAGYKNWERLILRGPFDQRKSATDYKSQKREELVNEGYRIHGSSGDQWSDLWGYSVASRSFKLPNPMYFIP >CAK8532879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:541546602:541552015:-1 gene:gene-LATHSAT_LOCUS2541 transcript:rna-LATHSAT_LOCUS2541 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYWSMICSENGENLISYDFKLLKDPSSCINQLLLMFFDLFMLIMLTSIMFLKFSSRPFWSLVRYSNLQLVSAITNASLGLLHLCLGIWVLEEKLRKNHRVFPLNWLLLELFQGLTWLFVSLTISLHIKQLSRVWLWLFSILMFFVSSILCVLSMPYAIRSRELSLAATLDIISLPGATLLMVCTYKASKCEDTVSDTAEILYAPLNSEFNDVVPNNYVTLFAEAGFFSKISFWWLNPLLKRGQEKTLQDEDIPKLRESERAERCYLSFVEQVNRQRENEASSCSSVLWTIVLCHQRDIFITGFFALIKILAVSCGPLLLNAFILVSEGNESFKYEGYMLVISLFFMKIIESLSQRQWYFRGRIIGMKVRSLLTASIYKKVLRLSSSSRLIHSSGEIMNYMTVDAYRIGEFPFWFHQTWTTILQLCIALVILFRAIGLATIASLVVIVLTVLCNTPIAKLQHKFQSELMVAQDERLKASSEALGNMKVLKLYAWESHFKNAIEKLRNVELKLISAVQLSKAYLLFLFWTSPVLVSAASFLACYFLEVPLHASNVFTFVATLRLVQEPITGIPDVITVVIQAKVAFARVRNFLEAQELQSVNFKRRCFNDNLKGSVLIKSADFSWEDNVSKPTLRNINLNIKHGQKVAICGEVGSGKSTLLATILGEVSNTKGTICVYGKFAYVSQTAWIQTGTIQENILFGSEFDDQRYQETLQRSSLVKDLELLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTAKHLFNEYIMEGLKGKTVLLVTHQVDFLPAFDVVLLMSDGIILEAGPFHYLSTRSRKFQDLVNAHKETSGSNQLVNVTIPKKYSTSRKVTQTFMEKPIKEINGNQLIKQEEREKGDIGLKPYLQYMNQMKGYIFFFVASLGHLMFMVCQILQNSWMASNVDNPRVSTLQLILVYFLIGVSSTFFALIRSLFVVTLGLQSSKYFFLQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIMDLDVPFSLTFVVGSNITFYSSLTVLAVVTWQVLIVAIPMVYVTIHLQKYYFASAKEVMRMGGTTKSCLANHIAETVAGAVTIRAFHEEDRFFEKNLDLIDINASAFFNSFASNEWLIQRLETISAGLLASAALCMVILPPGTFTSGFIGMALSYGLALNFSLVSSVQSQCTIANHIISVERLNQYMHIQSEAEEIIEDNRPPLNWPIAGKVEIDDLKIRYRPDGPLVLHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEPTGGKVVVDGMDISSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSKHTDQEIWEVLGKCQLREVVQEKDEGLNSSVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNSTDMILQKTIRTEFADCTVITVAHRIPTVMDCTMVLSISDGKLVEYDDPMSLMKREESLFRKLVQEYWSHFQSAESH >CAK8567931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557306233:557308350:-1 gene:gene-LATHSAT_LOCUS20939 transcript:rna-LATHSAT_LOCUS20939 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGLVRKVVGDLSFSDSSPFAKLLDSCVKSKSVSEARRVHARVIKTQFSSEIFIQNRLVDVYGKCGFLEDARKVFDHMLQRNIFSWNAVLSGLTKCGALDEALELFKCMPEPDQCSWNAMVSGFAQRDRFEEALRFFVDMHSEDFVVNEYSFGSGLSACAGLTDLNIGVQIHGLISKSRYSLDVYMGSALVDMYSKCGVVDSAQRAFDDMDVRNIVSWNSLITCYEQNGPAGKALEVFVRMMSNGIEPDEITLASVVSACASLSAIREGLQIHARVMKRDKFRNDLVLGNALVDMYAKCKRVNEARLVFDRMPLRDVVSETSMVSGYAKASSVKAARLMFSNMMERNVVSWNALIAGYTQNGDNEEAVRLFLLLKRESIWPTHYTFGNLLNACANLADLKLGRQAHTHILKHGFWFQSGEESDIFVGNSLIDMYMKCGLVEDGRVVFERMLERDNVSWNAMIIGYAQNGYGTEALEIFRKMLVSGEKPDHVTMIGVLSACSHAGLVEEGRRYFRSMTTDHGLAPIKDHYTCMVDLLGRAGCLDEANNLIQTMPMEPDAVVWGSLLAACKVHGNILLGEYVAEKLLEIDPLNSGPYVLLSNMYAELGRWRDVVRVRKQMRQMGVIKQPGCSWIEIQSNLHVFMVKDKRHPHKKDIYLILKILTEQMKRVGYVPEVDDDETYEEESDSELILHSEMEMAVDAAVG >CAK8536813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22990797:22991174:1 gene:gene-LATHSAT_LOCUS6145 transcript:rna-LATHSAT_LOCUS6145 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSSKQTYGIQSKQMDQGKHENDKISSETKKSYLVELVSGDTAFPKVIVIPVSSFFLFHFTLLLSSSICLCCEWLMNWGNLTVGREIEAYVWDRVLEIKRSSLIRWKLGETKAEDLRKGDWER >CAK8576422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473812435:473813403:1 gene:gene-LATHSAT_LOCUS28610 transcript:rna-LATHSAT_LOCUS28610 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLASAVLRRLEGKVALITGGAGGIGEATARLFHQNGAKVVIADIQDDKGHSICQELHKSSASYVHCDVTKEKDIETAVNTTVSNYGKLDIMLNNAGITGANNTNILEYTLSEFQQVINVNLVGIFLGTKHASRVMIPARRGSIINTASICGNIGGVTNHAYTSSKHAVVGLTRNTAVELGPFGIRVNCVSPYIVLTPMAKKYLKVDDDGILGVYSNLKGTNLMPNDVAEANMYLGSDESKYVSGHNLVVDGGFTVVNNGFCVFGQSV >CAK8574450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682263349:682264423:-1 gene:gene-LATHSAT_LOCUS26795 transcript:rna-LATHSAT_LOCUS26795 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWIHKKVGQKHLLVAARGSDKKEEQEAWNDAIFPSFLAIGTLGLDPLLTPTFSISLENIITEKEDEVTENELRLINDELEKVLVLTNNSDDSSGRNSHGSSSGESNTVCPLQEYLFGSAFELSETTTTRVSVSKNKEEEEQRGERTSLAELFQRSKVEDQNNRVEEAKKKRMVHTSVDSSSADRKLHNKIIQMFQKKRAVEKKKKRMNENKEQWIKTDADYLVLEL >CAK8574451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682263349:682264206:-1 gene:gene-LATHSAT_LOCUS26795 transcript:rna-LATHSAT_LOCUS26795-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCETKSQIRNSFKAARGSDKKEEQEAWNDAIFPSFLAIGTLGLDPLLTPTFSISLENIITEKEDEVTENELRLINDELEKVLVLTNNSDDSSGRNSHGSSSGESNTVCPLQEYLFGSAFELSETTTTRVSVSKNKEEEEQRGERTSLAELFQRSKVEDQNNRVEEAKKKRMVHTSVDSSSADRKLHNKIIQMFQKKRAVEKKKKRMNENKEQWIKTDADYLVLEL >CAK8563557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616195562:616198779:-1 gene:gene-LATHSAT_LOCUS16954 transcript:rna-LATHSAT_LOCUS16954 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSWTSALKITLLLLLVSAVIAAILILPIQKILNDFLIWVDRDLGKWGPLALAVAYIPLTILAVPASVLTLGGGYLFGLPIGIIADSIGATIGAVAAFLLGGTIGKSFVVAKLKDYPQFRSVSIAIQTSGFKIVFLLRLVPLLPFNMLNYLLSVTPVSLWEYALASWLGMMPITVALVYAGTTLKDLSDVTHGGGELSKTRWAFLILSLVVSVVLMICVTKVAKSALNNALADCGEDMSDTTSNSEQPIVTEPLVNLSQPLVNEIYQNQDNNRQH >CAK8563558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616196409:616198779:-1 gene:gene-LATHSAT_LOCUS16954 transcript:rna-LATHSAT_LOCUS16954-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSWTSALKITLLLLLVSAVIAAILILPIQKILNDFLIWVDRDLGKWGPLALAVAYIPLTILAVPASVLTLGGGYLFGLPIGIIADSIGATIGAVAAFLLGGTIGKSFVVAKLKDYPQFRSVSIAIQTSGFKIVFLLRLVPLLPFNMLNYLLSVTPVSLWEYALASWLGMMPITVALVYAGTTLKDLSDVTHGGGELSKTRWVRDAILNYIVMSNC >CAK8578908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661625633:661629833:-1 gene:gene-LATHSAT_LOCUS30887 transcript:rna-LATHSAT_LOCUS30887 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDLPLKEANVLKGHEGGVLAARFNSDGNYILSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTSDNSKLCSCGGDRQVFYWDVATGRVIRKFRGHDGEVNGVKFNEYSSVVVSAGYDQSLRAWDCRSHSTEPIQVIDTFADSVMSVCLTKTEIIGGSVDGTVRTFDIRIGREISDSLGQPVNCISMSNDGNCILAGGLDSTVRLLDRTTGELLQEYKGHTNKSYKLDCCLTNTDAHVTGGSEDGFVYFWDLVDASVVSKFRAHASVVTSVSYHPKENCMITSSVDGTIRVWKT >CAK8566377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415065887:415068053:1 gene:gene-LATHSAT_LOCUS19510 transcript:rna-LATHSAT_LOCUS19510 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYNNPCCTHSLFRFIPSKLLRFSNHFNTHSFSKPLISAAMSTVSTETQPLQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAIRDGNNQYARGYGVPDLNSAIAERFKKDTGLVADPEKEITVTSGCTEAIAATILGLINSGDEVIVFAPFYDSYEATLSMAGAKVKGITLRPPDFALPIEELKSTISKNTRAILLNTPHNPTGKMFTREELNTIASLCIENDVLVFSDEVYDKLAFDLEHISIASLPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHAYLTFATSNPMQRAAAVALRAPDSYYTELKRDYMEKRAILVEGLKAVGFEVFPSNGTYFVVVDHTPFGHENDVAFCEYLVKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEGTLRAAVEKMKEKLRK >CAK8535047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:807109859:807111816:-1 gene:gene-LATHSAT_LOCUS4530 transcript:rna-LATHSAT_LOCUS4530 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTIPQNWQHFSHQKVSSSSTMSNITSTTTTDHQQLPSWIDEFLDFSSVRRGAHRRSASDSIAFLETSFLEEDGKSGGDGDGDFDRLDDEQLISMFSDNVGGGGVSFLPPPSTSNTSSPSSDQNSNNNEEKPMAMVLDSTAPVQERYLHHEVKPKDEAVEDESSCRIEEAVAEAPSFAATITCTETVVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKLFKDAHQEALKKEIERLRQIYHQQNIQKMGNSMNNNNNNNNGHSLQSPPTSQPHQHPFHNHLECKDKEQLLS >CAK8536934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40676663:40676944:1 gene:gene-LATHSAT_LOCUS6258 transcript:rna-LATHSAT_LOCUS6258 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRYRPYDPFIIAKNARQVYYVPYPEMCRDMRELCAAITTKPRGHVMIDNIEDEMPYQSDGMLPVLPTIEIESIFCLRDDTQVDVFEEIFDT >CAK8538725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493959743:493961377:1 gene:gene-LATHSAT_LOCUS7896 transcript:rna-LATHSAT_LOCUS7896 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKVFSSLILLVLCSFAVEAFSVNHNHNSLKKNGSSLAAIKFPEHPSFNVVASSEDTDCGFSNSDKFGTSMKSNEAFLDSNPHKKSVKLHLKHISMSNEIEPKRKSVIDYTVKDLTRIQTLHTRVIEKKNQNTVSRLQKANSKQSYKPAVAPVAAVAPEYSTQLVATLGSGVSLGSGEYFMDVFIGTPPKHYSLILDTGSDLNWIQCVPCIACFEQTGPFYDPKESSSFENITCHDPRCKLVSSPDPPRPCKDENQTCPYFYWYGDSSNTTGDFALETFTVNLTTSEGNSEFKHVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLRSIYGHSFSYCLVDRNSDSSVSSKLIFGEDKELLSHPNLNFTSFVGGEENSVDTFYYVGIKSVMVDGEALSIPEETWRLSEEGSGGTIIDSGTTLTYFAEPAYEIIKEAFMKKIKGYEIVEGFPPLKPCYNVSGIEKMELPDFGILFSDGAMWDFPVENYFIQIESDIVCLAILGTPRSALSIIGNYQQQNFHILYDMKKSRLGYAPMKCADV >CAK8563867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636246656:636248518:-1 gene:gene-LATHSAT_LOCUS17232 transcript:rna-LATHSAT_LOCUS17232 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSCCQVQPPSYVTATPILRAVETCSTMAELKQHHSLLLRLGLSTDNHAMSRIINFCSKHDQFHYALKVFNTIPNPDTFLYNTLFKSHFNSLSQSQTPFNVFLFYSHMLQQSLTPNSFTFPTLIRSCSFYKQVKQIHAHVIKFGFGSDVFALNNLIHVYFSFGSLDDARNVFDKMPERNIVSWTTVISGYSNCGHVDEAFCVFELMSHKNSVSWNAMIACFVQNNRFKEAFDLFKRMRVEKVELDKFVAASMISACTGLGALEQGKWIHGYVERSGIELDSKLATTIIDMYCKCGCLDKAFQVFNGLPRKGVSSWNCMIGGFALHGKGEDAIRLFREMEREMVVPDAITFVNILTACAHSGLVEEGRYYFRYMTEVHGVEPAKEHYGCMVDLLARAGRLDEAKEVLDEMPMSPDASVLGALLGACKIHGNLELGESVGKRVVELEPGNSGRYVMLANIYASCGKWNQVAGVRKLMDDRGVKKEPGFSMIEMEGNVNEFVAGGRDHPLAKEIYAKVDEMLESIRLVGYVPDPDGVLHDLVEEERENPLFYHSEKLAICYGLLKSKRGETLRITKNLRVCKDCHQACKLISNVYDRDIIVRDRNRFHHFSNGECSCKDYW >CAK8564085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650226012:650229395:-1 gene:gene-LATHSAT_LOCUS17424 transcript:rna-LATHSAT_LOCUS17424 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCALQQTLTSEAASVLKHSLVLARRRGHPQVTPLHVAITLLTLRLSSFKRACLKSHQPHHHQTSHHHPLQSRALELCFNVALNRLPTIPSPLVHSQPSLSNALIAALKRAQAHQRRGSIEQQQQQTVLTVKVELEQLIISILDDPSVSRVMREAGFSSTLVKNNLEDSFSPSNSVFKCYNSSGGGVFSSPCSPSTSENHRENINLGSFRQNHFMASTNAKYSSSEFNSVLFSPPKRTELMTTSSGLGLTLHSSSVLDSKISITQNPSHMMETKPFSSNKEHEDKLNCCEECVSNYEKEARFLKPQQKKSLPFWLQSHGTEEQKEDGLTELKRKWNRLCHCLHQNKQHQNHWNWSNNNHSSNLNNSSSSISNTSNHTPRFRRQQSCIIEFNFKDKRQAVEPAFDSFESMEAEEVKITLALGNGDSSEKVGNATDTTDTKLQQAHVCKLLEENVPWQSETVPSIAKALIDNTKLSKQSETTFTWLFLQGNDFIGKRRLALAIAESVYGSADLVLHMDMLKKETLTTSFSEMLLGALRTHQKLVVFIENVDSADTHFMKFLSDGYEKGKFETLTGKEWNLGQVIFILTNGGSTSIEEKNNNNKTVINLLWQITETKPNFSSPKRKSELDLFSKIKNPRIEENEKGLLISEQGSKKTEFLRPSSFNSNTLDLNMKADEEELEDSPNSSDLTRETINDPLNSKGFLDSIENRFEFNTNSDRILEMTEFFLSRIKGSFEEVYGKNNVVNFSVDEKVIENMCVGCCFFTNKMFEKWLKDIFQRSLETVNFGGKEGILFRLCLGGGDRNWDNGFNGSSLPKSIKVDCFLE >CAK8568612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624269940:624272117:-1 gene:gene-LATHSAT_LOCUS21554 transcript:rna-LATHSAT_LOCUS21554 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFPCTFPLQLQTFRTLLKQCIAERDFLTGKSLHAFYIKSFIPHSTYLSNHFTLLYSKFGTFPNALTAFHLTNYPNVFSYNTIIHACAKHSLLHLAHELFDQIPQPDLISYNTLIAAYARRGECGPALRVFKEVREVGLELDGFTLSGVISACFEDVGLVRQLHCLALLCGYDCYASVCNAVLACYGRLGQLHEARWVFREMGEDCRDMVSWNAMIVACGQHREGLEALRLFGEMERMGLEVDMFTMASVLTAFTCLKDLAGGMQFHGKMIKSGFHRNSHVGSGLIDLYSKCAPHGMLECVKVFGEIPKPDLVLWNTMISGFSQYEDLSEDALLTFREMQRIGFCPDDCSFVCVISACSNLSSPSVGKQVHALAIKSDIPCNRLSVNNALVAMYSKCGNLHDAKRIFDTMPEHNTVSLNSMIAGYAQHGVEVESLRLFELMLREQIVPNNITFISILSACVHTGIVEEGDKYFNMMKEKFGIEPEAEHYSCMIDLLGRAGKLKEAERIIETMPFNPGSIEWATLLGACRKHGNVELAVKAASKFLELEPCNAAPYVMLSNMYASDGRWEEAARLKRLMRERGVKKKPGCSWIEIDKKVHVFVAEDTSHPRIKEIHKYMGELLRKLKQAGYVADIRLALVKDEEVEAEEKERRLWHHSEKLAIAFGLISTEEGAPILVVKNLRICSDCHNAIKLISVISGREITVRDTHRFHCFKEGQCSCRDYW >CAK8573552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619508468:619512816:-1 gene:gene-LATHSAT_LOCUS25988 transcript:rna-LATHSAT_LOCUS25988 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESGNSYCSMQNSSTFFSTKRNMEGRGEIGLTGDGLDTSMIGRMREDEYESRSGSDNFELEGISGDEQDGGDDQRKRKKRYHRHTPNQIQELENFFKECPHPDEKQRLDLSRRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRGENNIMKDAMVNPTCNNCGGPAIPGQILFEEHQIRIENARLKDELNRICALTNKFLGKPISSLANPMALSASNSGLELGIGRNGYGGGSSSLGPSLPMGLDLGDCSAMPGIRSPMGMMGNSNDIQLERSVLIDLALAAMDELLKMAQTDSPIWIKGLDGERDILNQEEYARFSSCVGPKPPGFVAEATRETGIVIINSSALLETLMDANRYADMFQSLIARSVNLDVLSGGIGGTRNGAIHLMHAEVQLLSPLVPVRQVRILRFCKQHAEGVWAVVDVSVEMGHDANSQPFMSCRRLPSGCIVQDMPNGYSKVTWIEHWEYDESVVHQLYRPLLISGIGFGAHRWIATLQRQCEGLAILLSSSISSDDHTALSQAGRRSMLKLAQRMTNNFCSGVCASSARKWDSLQMGTLSDDMRVMTRKNIDDPGEPPGIVLSAATSVWLPVSRQRLFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGQGNCVSLLRANAVNANDSSMLILQETWMDTSCSVVVYAPVDAQSLNVVMSGGDSAYVALLPSGFAIVPDGNNSSSYGASNETSQKGGGDDNGGSLLTVGFQILVNSLPTAKLTMESVDTVNNLISCTIQKIKAALRVA >CAK8573553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619508468:619512783:-1 gene:gene-LATHSAT_LOCUS25988 transcript:rna-LATHSAT_LOCUS25988-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSTFFSTKRNMEGRGEIGLTGDGLDTSMIGRMREDEYESRSGSDNFELEGISGDEQDGGDDQRKRKKRYHRHTPNQIQELENFFKECPHPDEKQRLDLSRRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRGENNIMKDAMVNPTCNNCGGPAIPGQILFEEHQIRIENARLKDELNRICALTNKFLGKPISSLANPMALSASNSGLELGIGRNGYGGGSSSLGPSLPMGLDLGDCSAMPGIRSPMGMMGNSNDIQLERSVLIDLALAAMDELLKMAQTDSPIWIKGLDGERDILNQEEYARFSSCVGPKPPGFVAEATRETGIVIINSSALLETLMDANRYADMFQSLIARSVNLDVLSGGIGGTRNGAIHLMHAEVQLLSPLVPVRQVRILRFCKQHAEGVWAVVDVSVEMGHDANSQPFMSCRRLPSGCIVQDMPNGYSKVTWIEHWEYDESVVHQLYRPLLISGIGFGAHRWIATLQRQCEGLAILLSSSISSDDHTALSQAGRRSMLKLAQRMTNNFCSGVCASSARKWDSLQMGTLSDDMRVMTRKNIDDPGEPPGIVLSAATSVWLPVSRQRLFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGQGNCVSLLRANAVNANDSSMLILQETWMDTSCSVVVYAPVDAQSLNVVMSGGDSAYVALLPSGFAIVPDGNNSSSYGASNETSQKGGGDDNGGSLLTVGFQILVNSLPTAKLTMESVDTVNNLISCTIQKIKAALRVA >CAK8574201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669269611:669272613:1 gene:gene-LATHSAT_LOCUS26566 transcript:rna-LATHSAT_LOCUS26566 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASRLEEEEEVVGICRERKRQLKLAVERRYALAEAHCKYFHSLNAVAAAIKLFVARHSSPSSPFLITFPPKEGFDPSPSHSSSENVINNPMFLQQTPSETKHETVACDSCIASSSTTSECSDEEGEGEEEGEGGGEEVNEQPCEYYYMQMPMHMSMPVPPSMPSPHRDFGWDFFYPFDSMRPEVMNGYHRNSDDDLRAVREEEGIPELEEEVERQEEVEHKVVVSVEEKSNEGGGKVMSGIETAKTKTAVHVASENIVEQKGLAVLDTPAEGRELLEALKDIEDYFIRAYESGKGVTKMLEANRIPLHSSLDEIKESSTKLINAITWKSMSSRQSSCKSLVVQNMKDSSSWVEYKNDLFDDYGGMDSGSHLLTLGRLYAWEKKLFEEVKAGDSTRKNYEKKCAQLRNKNVRGDDELSMDKTRSDLKDLYAGILVAIRRAESISKRIQKMRDEELQPQVVELLKGLTQSWKIMLESHETQKKILSEVKYFTCPAYGKFCNQSRGLATLQLEAELHHWRMCFREYTAAQKAYVEALHGWLSKFIVPEVEFCSRSRNVDMPMPFQVNGPPLLVICNEWLTSLRKLPDKTVVFALKSVVKDVKALWIQQCKEQHQKRRVDSLTKDLDRRYLGSYKLKTKMLELQVTEHRSEEETVCEEECMIEKSDYLETLSRKLEAEKEKHYNCMQETQRMTLNGMQFGFSRVLESLTEFSKASQKMYNDLVTFSENSEKAENVSYIEDGCNVENCSNQNGQ >CAK8573892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644638235:644640777:1 gene:gene-LATHSAT_LOCUS26287 transcript:rna-LATHSAT_LOCUS26287-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKDELQVVDHLTTPPTTTGTSFSQLLLADDDVVVVDNQNQNHNNPLVGLHHVDQTFSNTSLFSLHNTPKMLCFGGNYQNEIEIITPTQKSVVTSSDSSSASSCNHTNTVFNNRFQKKRNGSEGQQLGTRAGVGGQKGPKKTKGDNRPTSTGHAKKKEKLGERIAALQQLVSPFGKTDTASVLHEATGYIRFLHDQVQVLCSPYLQPSQIQFQNHPGDGDNNGREEEEENTKVNKDLTSRGLCLIPVGSTLHVAGSNGADFWSPATTTDNNVVSPSTTIPKQ >CAK8573890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644638235:644640777:1 gene:gene-LATHSAT_LOCUS26287 transcript:rna-LATHSAT_LOCUS26287 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKDELQVVDHLTTPPTTTGTSFSQLLLADDDVVVVDNQNQNHNNPLVGLHHVDQTFSNTSLFSLHNTPKMLCFGGNYQNEIEIITPTQKSVVTSSDSSSASSCNHTNTVFNNVCLSILKNDRFQKKRNGSEGQQLGTRAGVGGQKGPKKTKGDNRPTSTGHAKKKEKLGERIAALQQLVSPFGKTDTASVLHEATGYIRFLHDQVQVLCSPYLQPSQIQFQNHPGDGDNNGREEEEENTKVNKDLTSRGLCLIPVGSTLHVAGSNGADFWSPATTTDNNVVSPSTTIPKQ >CAK8573891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644638235:644640777:1 gene:gene-LATHSAT_LOCUS26287 transcript:rna-LATHSAT_LOCUS26287-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKDELQVVDHLTTPPTTTGTSFSQLLLADDDVVVVDNQNQNHNNPLVGLHHVDQTFSNTSLFSLHNTPKMLCFGGNYQNEIEIITPTQKSVVTSSDSSSASSCNHTNTVFNNKKRNGSEGQQLGTRAGVGGQKGPKKTKGDNRPTSTGHAKKKEKLGERIAALQQLVSPFGKTDTASVLHEATGYIRFLHDQVQVLCSPYLQPSQIQFQNHPGDGDNNGREEEEENTKVNKDLTSRGLCLIPVGSTLHVAGSNGADFWSPATTTDNNVVSPSTTIPKQ >CAK8544783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705592414:705597007:1 gene:gene-LATHSAT_LOCUS13436 transcript:rna-LATHSAT_LOCUS13436 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEGFVEADNAEAIITRIEHKTLKIESLLKQFKPVEALKTALEGTYAMTSDERCKSAHWIVVHRAIMAIKDVDGMLSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGHGCILRFLTDTVNTV >CAK8532650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:347736348:347736828:1 gene:gene-LATHSAT_LOCUS2333 transcript:rna-LATHSAT_LOCUS2333 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLDIYNDKIKQKVMKTASSLSGVESISIDMNEKKLTLLGDIDPVKAVSKLRKLCHTEIVSVGPQEEKKESTNVSIPINSFQPYPFYYQVY >CAK8570449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47146640:47149033:-1 gene:gene-LATHSAT_LOCUS23196 transcript:rna-LATHSAT_LOCUS23196 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIFTFFFFLFLFNLQPSTSQQQFKSFNASLSPWRPSQNRTLTSPNSNFTAGFFPIQNSSNLFTFSIWFSKIPPSSDPVIWSFSEKLNSSSSLIITSKGELLLNNLSFVVNDNSSNSTQLVLHDNGNLVFGNWTSFSNPTNTILPNQNITGIEIVSSNKKFKFVKSQNLILNDDSSSTQYYSTPSALLFMDDAGKMSMQSNSFLTSDFGDSRFRKLVLHDDGNLRIYSFYPEQKNTWVIVWLAIWEMCKIKGKCGPNSICMPREDFYNSTFCVCPSGFEPLEGGSEVGCKPKIPLTITSNTHFLRLDYVNYTSSGSMNQIYALNYTICEASCRRNSSCLGFGFKYDGSGYCVLLHGKELRYGLWSPGTELALFLKVDQKESEGSNFIGMTEVMQTTCPVRISLPLPPKDSNTTTRNIVIICTLFAAELIAGVAFFWSFLKRYIKYRDMATTLGLELLPAGGPKRFTYSEIKAATNDFSNLIGRGGFGDVYKGELPDHRVVAVKCLKNVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILIYEYIPGGSLDKYLFRVKSRKGSGESESEQSHDNGSNPSTLEKPVLDWNMRYRIALGMARAIAYLHEECLEWVLHCDIKPENILLGDDCCPKISDFGLAKLRKKEDMVTMSRRRGTPGYMAPEWITADPITSKADVYSFGMVLLELVSGVRNFEIQGSVVRSDEWYFPGWAFDKMFKEMRVEEILDSQISHAYDSKSHFQLVNRMVKTAMWCLQDRPEARPTMGKVAKMIEGTVEIMEPKKPTVFFLGEE >CAK8537470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365717191:365719799:-1 gene:gene-LATHSAT_LOCUS6760 transcript:rna-LATHSAT_LOCUS6760 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWRCFSILNETKAKKKAGKEKSSKESFDTLISKLRHSVSSESRDSQPATYDVTVTNGCQKSSRSDVRVMRLKSLVKVYKGGDETENENSASIKRELSDFDLQSDEPVASKEGYDSKGKNQVSDELEDQSNRYSRKSDPGIRKSDFLGSPKLKRSCSNIENKDVHRQIHEYLSPSKSLSVEDFPDLSTNPMLNLKRSRSVKSDRSADRVMLKRHSSSQVLPSRSKKLWWKLFLWSQRNIHRTFSRKSKLVPAISSLSDQFEYSSDTLEPKQLKAMKHVRSSVSFTTQSVSEIVNDNDQRQNRFPNQWLAFSTESSSYARVDAWVKDLEIQEPVPEDGVLDYNAGSISFPPSPDACRPLIGSTSQLPDSNSNLSKDILRANSMVQSLSAASSVAHISGVGIKAIPVISHFSNLRSVNLSNNFIVSIIPGCLPKSVQTLNLSRNKISTIDGLKELTRLRVLDLSYNCISRIGQGLSNCTLIKELYLAGNKINDIEGLHRLFKLTVLDLSFNKITTTKSLGQLVANYNSLQALNLLGNAIQRNIGNEQLNKSVSGLLPNLVFLNKQSLKSKRVAQNIYIESVANAALGNSKKRNSGRRSMNRVGQQREGLGVASKSRNGTKR >CAK8540172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542879187:542881171:1 gene:gene-LATHSAT_LOCUS9202 transcript:rna-LATHSAT_LOCUS9202 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLQREWSFNDFEIGKPLGRGKFGRVYVAREVKTKFVVALKVIFKEQLEKYKLHHQLRREVEIQISLKHPNVLRLYGWFHDSERVVLILEYAHNGELYKELNKRHHFSEKQAATYILSLTEALAYCHEKHVIHRDIKPENLLIDHEGRLKIADFGWSVQSKMKRQTMCGTLDYLAPEMVENKGHDYAVDNWTLGILCYEFLYGAPPFEAESQIDTFQRIKNVDLIFPPSPIVSSDAKNLIRRLLVKDSSRRLSLQKIMEHPWIIKNANCIGDCA >CAK8566854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467356486:467359545:1 gene:gene-LATHSAT_LOCUS19956 transcript:rna-LATHSAT_LOCUS19956 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPIITILSFLCLWIWMQTTKSEYLIGVGSYDMTGPAADVNMMGYASIDQNTAGVHFRLRARTFIVADNLQGPRFVFVNLDAGMSSQLLTIKLLERLKLRFGNLYTEENVAISGTHTHAGPGGYLQYVVYSVTSLGFVKQSFDAIANAVEQSIVQAHNNLKPGSVFINTGDVKEASINRSPSAYLLNPAEERSRYPSNVDTEMTLLKFVDGGSGKSTGAFSWFATHGTSMSKNNKLISGDNKGVAARLFEDWFTSQNRSLSPNTNSTEPGADIGELVKKARSIKATGGKDCNRKTSQASKVRKNDGSLFVGAFCQSNVGDVSPNVLGAFCADSGKPCDFNHSSCNGNDLLCVGRGPGYPDEILSTKIIGERQFKSAVELFESASEELTGKIDYRHVYLNFTDIEVELDSNKVVKTCPAALGPAFAAGTTDGPGVFGFQQGDTEISPFWKNVRDFLKKPSQYQVDCQNPKPVLLSSGEMFDPYPWAPAILPIQILRLGKLIILSVPGEFTTMAGRRLREAVKETLISNSDGEFNNETHVVIAGLTNTYSQYIATFEEYYQQRYEAASTLYGPHTLSAYIQEFKKLAQAMAKGEKINGNGPSPPDLLSVQKSFLLDPFGDSTPVGIKLGDMKEDIAFPQSGYFTKGDKPSATFWSANPRYDLLTEGTFASVERLQEERWISVHDDDDLSLLFKWKVDNSSFHGLATIEWEIPKDAISGVYRLKHFGASKTTIASPTNYFTGASNAFAVQ >CAK8566853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467356486:467359545:1 gene:gene-LATHSAT_LOCUS19956 transcript:rna-LATHSAT_LOCUS19956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPIITILSFLCLWIWMQTTKSEYLIGVGSYDMTGPAADVNMMGYASIDQNTAGVHFRLRARTFIVADNLQGPRFVFVNLDAGMSSQLLTIKLLERLKLRFGNLYTEENVAISGTHTHAGPGGYLQYVVYSVTSLGFVKQSFDAIANAVEQSIVQAHNNLKPGSVFINTGDVKEASINRSPSAYLLNPAEERSRYPSNVDTEMTLLKFVDGGSGKSTGAFSWFATHGTSMSKNNKLISGDNKGVAARLFEDWFTSQNRSLSPNTNSTEPDIGELVKKARSIKATGGKDCNRKTSQASKVRKNDGSLFVGAFCQSNVGDVSPNVLGAFCADSGKPCDFNHSSCNGNDLLCVGRGPGYPDEILSTKIIGERQFKSAVELFESASEELTGKIDYRHVYLNFTDIEVELDSNKVVKTCPAALGPAFAAGTTDGPGVFGFQQGDTEISPFWKNVRDFLKKPSQYQVDCQNPKPVLLSSGEMFDPYPWAPAILPIQILRLGKLIILSVPGEFTTMAGRRLREAVKETLISNSDGEFNNETHVVIAGLTNTYSQYIATFEEYYQQRYEAASTLYGPHTLSAYIQEFKKLAQAMAKGEKINGNGPSPPDLLSVQKSFLLDPFGDSTPVGIKLGDMKEDIAFPQSGYFTKGDKPSATFWSANPRYDLLTEGTFASVERLQEERWISVHDDDDLSLLFKWKVDNSSFHGLATIEWEIPKDAISGVYRLKHFGASKTTIASPTNYFTGASNAFAVQ >CAK8541554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:242439132:242439969:-1 gene:gene-LATHSAT_LOCUS10467 transcript:rna-LATHSAT_LOCUS10467 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKDSKLPIHCWTELSEFRVDGRKDINRKNRKSTDPSVKPLVVPKEELSQATSAPQIEVQHETSQPSFDSRKSTRMTKCRALVGIDQAIATEQQFLETLLLL >CAK8542060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:463623666:463624964:-1 gene:gene-LATHSAT_LOCUS10933 transcript:rna-LATHSAT_LOCUS10933 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLIDLEGDFYSCKHCKTAFALVDDIISKSFHCRYGKAYLFDKAVNVTVGEKEDRIMMTGMHTVVDLFCVTCGSIVGWKYEVAKENSQKYKEGKFILERYKVLGPDGSEYMPPLEDAEDV >CAK8541130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69832756:69859137:-1 gene:gene-LATHSAT_LOCUS10077 transcript:rna-LATHSAT_LOCUS10077 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKDDNQRSVKRVAVVGAGVSGLAAAYKLKSHGLDVTVLEAEGRAGGRLRTVSQDGLVWDEGANTMTENETEVKGLISALGLQEKQQYPLSQHKRYIVKNGTPLLVPANPAALLKSKLLSAQSKIQVIFEPFLWKRSDSSTVRDEDSEESVSRFFERHFGKEVVDYLIDPFVGGTSAADPESLSMRHSFPELWNLEKRFGSIIAGALQSSLFGKRNKTVETKDAPRKNKHQRGSFSFHGGMQTLTDTLRKELGKDDLTLNAKVLALAYSHDGSSSSENWSITCTSNKKIQDVDAVIMTAPLGNVKDIQIKKKGIPFSLNFLPEVTYLPISVLITTFKKENVKRPLEGFGVLVPSKEQQNGFKTLGTLFSSMMFPDRAPSDMHLYTTFIGGTRNRELAQASTDELKKIVTSDLRKLLGAEGEPTFVNHFYWSKGFPLYGHNYGSVLEAIDKMEKELPGFFYAGNHRGGISVGRAIASGCKAADLVISYLNNASDKVSDK >CAK8574247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672159295:672160331:1 gene:gene-LATHSAT_LOCUS26607 transcript:rna-LATHSAT_LOCUS26607 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRIVAAFPPSKRIFSSSVIHDYASSIQELNKEMESVFGELPPDELPTSVSNNPASNEPRLSSQRIGETESSFELTHTGNSGEAQMVDVSPKESSKRIATAVCKVVLGKKVFDLVSANQMAKGDVLTVAKIAGITAAKQTSNLIPLCHNIGLSHVQVDLRLNHEDFSVTIEGEAASMGKTGVEMEAMTAVSIAGLTVYDMCKAASKGITITDIRLKHKSGGKSGDYSWGQ >CAK8565247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79894014:79922198:1 gene:gene-LATHSAT_LOCUS18476 transcript:rna-LATHSAT_LOCUS18476 gene_biotype:protein_coding transcript_biotype:protein_coding MELTEEQLKQIEANRVAALAKRKAFLESTSQQPQRNPWHLFKCQKISQSPPQPIQPNPQPIQPNPEPDIKFLARLEVCSPDAFTITPLPLPNFRFPTFGTCFQILNNILSQVDVDPSHFTQTSDGGKACVLKLADYRTVLKKLKVGIEVEEIPWTTFNVVEKLSRSVGRWTPVRPEHLSDEEVDELIRKLPKSLVDVLLPFQMDGLRFALRRGGRCLIADEMGLGKTLQAIAIAGCFMDEGAILVVCPAVLRYSWAEEIERWFPSCLPTDIHLVFGHQDNPVYLTRCPRVVVISYTMLHRLRKSMLERKWALMIVDESHHVRPSKKTSEPGEIKAVLDVASEVKRIILLSGTPSLSRPFDIFHQINMLWPGLLGITKYEFAKTYCDLKYIKGVQGKYFADYSKGIRLEELNVLLKQTVMIRRLKEQVLQQLPPKRRQIIRMSLKRSDIVSAKTAVGVMKIDDSENASEDMPLESFDEHDGKLTNQELGIAKLSGFCEWLSFHPLIAGSDNAPKMIIFAHHHKVLDRVQEFICEKGVGFVRIDGLTLPRDRQSAVISFRSSPEVKIAIIGVLAAGFGVDFSTAQDVVFLELPQCPTVMLQAEDRAHRRGQTNAVNIYIFCAKDTSDESHWKYLNKSLHRVSSTTDGKYDAVKEIEVEGVSYLDPSLKIDRPKKQSASKDASAETHLDRQPSAVKSNATEANQEDESGLVNNISQDANIMVDSVSFLDLCNASVLDGNLDVDAFNAEDRCAEESFKDKDKVIEDKKSTLTTDADDDQPCHPVEAEVKCSHQVNSLRFEVSPYTGRIHLYTCILGKDARPQPLYENFRPEDLELLSPADDDEKKGIESASVKDNPAYWNALLDFANEWKSLRSIERNKLLGKPLQLPLAVELCCLSESNSHNKKGLINGGSKRRMTPLVDISYPLPSDAVWKQVCLRSGSGKKEKEYTQGWSSKDEPLCKLCQKQCTGNNAKTPEYFEDLFCNLVCYQEYRMRTSNRFIRQELFNIEQGVCTNCQLDCHKLVVHIRPLSLEKRRGYIEKSAPNIAKRRKMLEKLAKDPSEGNAWHADHIVPVYKGGGECKLENLRTLCVACHHDVTAEQCAERRIIRADARKQLKALMDTMKNSMKGAAGTNIEDQLLLGEQENMHEDDILVQVPGSAYAVANCQESRDAAC >CAK8530603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:34679261:34682995:1 gene:gene-LATHSAT_LOCUS456 transcript:rna-LATHSAT_LOCUS456 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVGEAFLSAFIEVVLDKLASPQVANCIIGKKLDVNLVQRLNNTLFAVEAVLNDAEHKQIKDSAVNKWLDDLKDALYLADDLLDHISTNNNNKVSTVNYFSRLFNFEERNMVCKLEDIVARLEYILKYKDILGLQHIATNHSSWRTPSTSLDHPSDIFGRDQDKQAILKLLLDDNDDDDDNISVIPIVGMGGVGKTTLAQSVFNHDSIKQKFDVRAWVCVSDDFDELKVTKTILEAVAGSSCNINNKELLHLDLKERLSQKMFLIVLDDVWTEDYDSWNSLIRPLQYGNKGSKILVTTRIDKVASMVQTFHAYPLRQLSDEDCWSVFANHACLPQQDSNEYMDLQKTGKEIVRKCKGLPLAAQSLGGLLRRKHDIRDWNNILNSNIWEIESKIIPALRISYHYLPPYLKRCFVYCSLYPKDYEFNKDKLVLLWMAEDLLPSLKNGKTLQEVGYEYFNDLASRSFFQRSGNGNQYRHFVMHDLMHDLATLLGGEFYFRTEELGKETKISIKTRHLSFIQFSDPVLENYDVFSKAKNLRTFLANGFRTPRVNHEKALCMILENLKCLRVLSFQLLFNVHTLPDSVDELIHLRYLDLSSTAIQTLPESLCNLYNLQTLKLCNCVQLTMLPNDMQNLVNLCHLGILGTKLEEMPKEMSKLTHLQHLSCFVVGKHEKNGIKELGTLSNLHGSLVISKLENVTNSLEASKAHIMDKKYLDELLFKWSEDAKNHFTYSQSEMDILGKLQPAKNLKRLYICGYRGTRFPEWIGDPSYHNLTELSLYHCHNCCILPPLGQLRSLKDLKIRAMSMLETIGSEYGDSFSGILFPSLERLEFREMPCWEVWHHSHESDVSFPVLKSLAIIDCPRLHGCLPSHLPVVETIKIIRCNQVDSSLPRAPAIRKLDMIESNKVALHELPISLEELTIQGREVTESVFEAITISLTSLQILEIRDCSSAISFPGDCLPLSLKKLSITNSSNLNFPKQNHQHESLQSLRIHRSCNSLTTLPLDILPNLIFLRIHNCRNIECLSASKILPNLIDIDIRGCPKFVSFPREGLSAPSLTSLSVTRCLNLKSLPCHINTLVPKLKQMYIHDCPEMETFPEGGMPPSLRSLRVGNCKKLLRNPSLSFFDMLSSLSIEDLYNGVECFPNNGFALLPPSLTNLHLWSMYCVHTLDCRGLLHLTSLQRLTIRYCPKLENMTGERLPASLIELDIIGCPMLGERCLKKHSQIWPKISHIQYIKVDRKWI >CAK8560997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69981973:69984762:-1 gene:gene-LATHSAT_LOCUS14626 transcript:rna-LATHSAT_LOCUS14626 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLSSYLSVSSERDPRIQSLCADVSRNLSETGALLVKDPRCTVEDNDRFIDMMEKYFSSPHEFKILQERPHLHYQVGVTPEKVEVPRSLVDEEMQKKVKEMPQEHQPHTPVGADLKWRYFWRIGPRPSLTRFQELNAEPVIPEGFPEWKETMDSWGYKMIAAIEVVSEMAAIGFGLPKDAFTSLMKLGPHLLAPTGSDLEKYNQEGTIFAGYHYDLNFLTIHGRSRFPGLNIWLKNGQKVAVKVPVGCLLIQTGKQIEWLTGGECIAGMHEVVATKRTIDAINAAKEEKRSLWRVSSTLFAHIASDAVLKPLGHFAESPLASKYPPLCAGEYVEQELAVINLKGKN >CAK8563826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633002874:633003389:-1 gene:gene-LATHSAT_LOCUS17196 transcript:rna-LATHSAT_LOCUS17196 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLFVLILCTTLVVTHSRVIQPNDANTIQQTCSKTPNSALCIEFLNADPNSSTADVNGLAIIMVNVIKSKANVAVNKINQLNGSIPPDQNEALKSCADKYNAILVADVPQAIEALQKGNPKFAVDGANDAANEANSCENGFSGKSPLTAENNVVRDASAITSAICGLLL >CAK8570830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:123589863:123595690:1 gene:gene-LATHSAT_LOCUS23546 transcript:rna-LATHSAT_LOCUS23546 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPEEQCGDEVSDNYETTCWGCGLRVMLPSCASIFKCGWCGAITDQNKKKRDEKCLGWRLLRDRCFLSVVLVFIFFVIFGGVWAVYPIVFSVSLLWGIFHSIITTILSIATISFFSLAAFRCAGTPPNILWGSYPTVGKGDLENYTFCHYCLKPKSPRAHHCRSCGKCILDMDHHCPFIGNCVGAANHYSFIWFLISAVLSTIYVSIMSAYASLHIWPPSSYSLGRLKGITGRDLAWRLMNEIFVAFLRSALFLSSRGLLLVYLFIASVSLHIGLSVLLWQQLSFIYQGKTFLSNLSSQADNDEEEKKDCQNLVRFFGFQHSVSRFLSNFRVTRKRHDT >CAK8542483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519725127:519726242:1 gene:gene-LATHSAT_LOCUS11322 transcript:rna-LATHSAT_LOCUS11322 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSVHLPRELIEEILLRLPVKTLLLCRCVCESWLSLISGPDFATSHFQLAASTPANKLIFVESYPAPETLSIDFDASLTDDSSYSLLSLDFFGLRSRRDIVGSCRGFLFLQCDSRFYLWNPSTGVHKHIPSSNITMAHTYRFVCLLCGFGYDPSTDDYLLVFVSHKYNYDPYDPFSIDLMIFSLRANKWKQIDSGSHFPYVINDGHLPRAGLLLKEVIHWVAFNFETSRYAIIAFDLKETKMSEIALPDEFNLSVSNTSSIKYDLLVIGGLLSAWNVEKYTIEIWVMQEYKLHSSWTKTLNFSFHSAMEFSPLCFTKCGNIVGTVRGGGLAKFNDKGQLEYLSYGNRYFKRSKMTVYRESLLSLPSGSR >CAK8533862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666188655:666191821:-1 gene:gene-LATHSAT_LOCUS3453 transcript:rna-LATHSAT_LOCUS3453 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRRVWCSSNSVLLRHRYRHSSYYSTTTRKSQSKFSKPTEIPFQPKLANSVNLIGSVNKPVQFEISPDGNPFAATVISSLDHNPSSLLIPVIFQGDLAHTANFHLKQNDVVHVVGQISTDSKHSEPQYQFQVMAQSLNFVQDYPLVKKASLTSKQKLDSSSEKDDEEIKSLEKDIHSEQTEELDKRKSWKDVLNKPSRWQESPKGAALESKTDGELQPELKQTITTTKKYPDYLSNSWDYLLNDPKQWLDFRDSKRSGLVSPRYPDFKRKDGSVPIWIDKAPEWVLSKLEELEFDVPAVKSKQAKDSKGDESWNDLLQNPAKWWDNRLDKRNPRGPDFKHKDTGVGLWLNDSPSWVLPKLPPVKPKQESAQTS >CAK8533863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666188655:666191821:-1 gene:gene-LATHSAT_LOCUS3453 transcript:rna-LATHSAT_LOCUS3453-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRRVWCSSNSVLLRHRYRHSSYYSTTTRKSQSKFSKPTEIPFQPKLANSVNLIGSVNKPVQFEISPDGNPFAATVISSLDHNPSSLLIPVIFQGDLAHTANFHLKQNDVVHVVGQISTDSKHSEPQYQFQVMAQSLNFVQDYPLVKKASLTSKQKLDSSSEKDDEEIKSLEKDIHSEQTEELDKRKSWKDVLNKPSRWQVVHSTKESPKGAALESKTDGELQPELKQTITTTKKYPDYLSNSWDYLLNDPKQWLDFRDSKRSGLVSPRYPDFKRKDGSVPIWIDKAPEWVLSKLEELEFDVPAVKSKQAKDSKGDESWNDLLQNPAKWWDNRLDKRNPRGPDFKHKDTGVGLWLNDSPSWVLPKLPPVKPKQESAQTS >CAK8572845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567117493:567118212:1 gene:gene-LATHSAT_LOCUS25363 transcript:rna-LATHSAT_LOCUS25363 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTNVDKVYQYAIEMKCAVHIYVEHKIKCNAQEADVGDFQEDDVDGVEQDEVGNDVGGVENGEEGNVGGVEKVEEAIIGGVEQVEEGNVAGVKQVEEAIVGDVEQVEVCQVIKDSEDNEFEVDGLSFDDCEDERDLGMDDCFDVIENQDEENSKRGRIKVATRKHKHTLKRVTIGFDNVGSSSYVDNEIDINYASDKLGSSDPIASDEVNEPKYPKFKMQELEKNYKFKMGLEFVSL >CAK8569208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682464194:682466502:1 gene:gene-LATHSAT_LOCUS22088 transcript:rna-LATHSAT_LOCUS22088 gene_biotype:protein_coding transcript_biotype:protein_coding MASIWTVEFRLLSIHPCYPSSTTRRTRVHRFRITNGIPKELVTRTQQLKQNCITSVSNFHHQLVTSTPMPQFLVNRNIGSNLPIWVCVAVVILVASLRRFFTRKKERPGSVADLVRRGQLRSDRRGISRDLKYEDPFDNPLVKVNKSKSSVEMCGKVYRLAPVTLTQEEQTVHQRRRSRAYQWKRPTVFLKEGDSVPPDVDPDTIRWIPANHPFATTSTDIGEDFAHKNVNQKHGVPFRIQAEHEALQKKLEALQNEEELNKVVISPTNAKELERPFNSSAKSNDHAEKSSLNNQIKDSPSTKLDNSPNHFESAPSTGEDQNLKL >CAK8535254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830967657:830970515:1 gene:gene-LATHSAT_LOCUS4727 transcript:rna-LATHSAT_LOCUS4727 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDLLLGVSMFAEPISFKILDRAGLAMNELCNMGIIGKPLWHQHNSNRYEILNGIEYLKYVGRIDTTLMDIVKLAEVGEVQALPSFDLYGNQINSISNENSVQGLQIEASRDTAMINAGPNDIVELLMNVNQWGMTFHNIVSRATILGSFMNGVEGSYDGRLHVMNAEFHLPSPVVPTRECCFVRYCKQLSPNDWVVVDVSLEDLFPYPSTNFRKRPSGCMIKGMPNGYSKVTWVEHVEADHSQLNDLFKPLVTSGLAFGATRWLASIVRHFEWAETLMTTQFFSDKKVFIPQTGRTSFLKLADRMMRKFCGNLSATTTNPWMRLAPFPNSTDVRVMIQNIMPNTSINLVGTTIVFSTTIWFNISPNQLFNFLRHEKSRNKWDILSQTLSIEQFACMTIGEHLENRVSLLRASDSKDKTEIFYLQKSYADATTSYVIYTPLDESALIHLAKGSNPDNVIAFPSGFAIIPGGLPKDNGNNAGSNESLLTISFHLIDKATNITSIPSESVQTIYGIITETVTAIKDALSCHSRLNNWVQDELKNGAVKK >CAK8566082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378000062:378000265:1 gene:gene-LATHSAT_LOCUS19248 transcript:rna-LATHSAT_LOCUS19248 gene_biotype:protein_coding transcript_biotype:protein_coding MADMFNKFGIVMGVFIPARRDKRGKQNNFVRFKKVQDERLMANKLDSIHIKGRKIFANIYRFNRGWC >CAK8577328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555637089:555647495:-1 gene:gene-LATHSAT_LOCUS29443 transcript:rna-LATHSAT_LOCUS29443 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFPKFIISLLLVLLFSFSSSSKPLDPFLGIAPQDLKYFKSHDVIRCKDGSANFNKEQLNDDFCDCPDGTDEPGTSACPRGKFYCQNAGHAPVYLFSSRVNDGICDCCDGSDEYDGQAKCPNTCWEAGKVARDKLKKKIATYQEGVKLRKQQIEQAKLSMEKDGAELSKLKSEESILKGVVKQLEDHKEQIEKIEEKERLQKEKEEREKKEAEEKANEKTVKADEEDTKHENEAETDSHIEDNTVASNPDKTEVQEDSLADQGEAGEKLADPLDNFVEATDALGSEGSPLNKVEENAKEAEREPTVESEIDLMTGKTESSGEAIDTGKDVFENTDGLSKEELGRLVASRWVKEDVGARSAEADTALDNEYQEDLLHGTTNGESEGYASETDDENHKYDEDVNDDEFQDDDNDDISSSYKSDTETEPDLSDDPSWLEKIQKSVWNIFQSVNIFQTPVNQSDASRIRKEYDESSTKLSKIQSRISSLTQKQKLDFGPAKEFYSFYGRCFESKQNKYTYKVCPYKQATQEEGHSTTRLGSWDKFEDSYKVMVFSNGDKCWNGPDRSLKVKLKCGLSNEITDVDEPSRCEYVALLATPALCQEEKLKELQHKLDLLNSEQPESHDEL >CAK8577327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555637089:555647495:-1 gene:gene-LATHSAT_LOCUS29443 transcript:rna-LATHSAT_LOCUS29443-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFPKFIISLLLVLLFSFSSSSKPLDPFLGIAPQDLKYFKSHDVIRCKDGSANFNKEQLNDDFCDCPDGTDEPGTSACPRGKFYCQNAGHAPVYLFSSRVNDGICDCCDGSDEYDGQAKCPNTCWEAGKVARDKLKKKIATYQEGVKLRKQQIEQAKLSMEKDGAELSKLKSEESILKGVVKQLEDHKEQIEKIEEKERLQKEKEEREKKEAEEKANEKTVKADEEDTKHENEAETDSHIEDNTVASNPDKTEVQEDSLADQGEAGEKLADPLDNFVEATDALGSEGSPLNKVEENAKEAEREPTVESEIDLMTGKTESSGEAIDTGKDVFENTDGLSKEELGRLVASRWVKEDVGARSAEADTALDNEYQEDLLHGTTNGESEGYASETDDENHKYDEDVNDDEFQDDDNDDISSSYKSDTETEPDLSDVTTTDDPSWLEKIQKSVWNIFQSVNIFQTPVNQSDASRIRKEYDESSTKLSKIQSRISSLTQKQKLDFGPAKEFYSFYGRCFESKQNKYTYKVCPYKQATQEEGHSTTRLGSWDKFEDSYKVMVFSNGDKCWNGPDRSLKVKLKCGLSNEITDVDEPSRCEYVALLATPALCQEEKLKELQHKLDLLNSEQPESHDEL >CAK8537110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:99106533:99108133:-1 gene:gene-LATHSAT_LOCUS6424 transcript:rna-LATHSAT_LOCUS6424 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESKVVEHPKKAFGWAARDPSGVLSPFNFSRRETGEKDVAFKILYCGICHSDLHMAKNEWGTSIYPLVPGHELVGVVTEVGSKVDKFKVGDNVGVGYMIDSCRSCQNCDDDLENYCPKYTTTCGGKYRDGTVTYGGYSDSMVADEHFVVLIPDSLPLDVAGPLLCAGITVYSPLKYYGLDKPGLRIGVVGLGGLGHMAVKFAKAFGANVTVISTSPNKENEAIEHLGADSFLISRDPNQLKAAMGTLDGIIDTVSAGHPILPLIGLLKSHGKLVMLGVIVKPVDLPVYALLGGRKLLGGSMIGGIKETQEMIDFAAKHDVKPDIEIVPIDHVNTAMERLAKGDVRYRFVIDIGNTLKPSS >CAK8562108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441125774:441130055:1 gene:gene-LATHSAT_LOCUS15634 transcript:rna-LATHSAT_LOCUS15634 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTYNVNGLRQRISQFGSLRNLLNSFDADIICFQETKLSRQDVTADLVMVDGYESFFSCTRTSQKGRTGYSGVITFCRVKSAFSSTEAALPLAAEEGFTGLMCNSQTSEDKLPLFVEDLEDFSKDELFSVDSEGRCVVTDHGHFVLFNIYGPRAGSDDTERIQFKQIFYRILQKRLESLLHQGRRIFVVGDLNIAPFAIDRCDAGPDFDNNEFRRWFRSMLIENGGHFSDVFRAKYPDKRDAFTCWSQSTGAEVFNYGSRIDHILCAGSCLHILDDLQCHSFIRCHVKECEIMTQYKRFKPESTPSAHMRKGGKSVKLEGSDHAPVILTLMEIPEVSLHSTPSLSARYVPMIHGVQQTLVSLLMKRRVSDSCKMAHSDISVVSTSERIEEPVDIISSSIRECDFLPNNDSEGSILEPNKLSTGSSLETVSKLGSVYKKSKIQKCNESKKKSRNSQSSQLSLRSFFQKSTNLDNGIKDSCIGLSNSQAEPSQPNSQSLENSTVFDHSSSPVQDEANAAYDQDLAELNDSSRKEEKSNVASQEWQRIQKLMQNSIPLCKGHKEPCIARVVKKQGANFGRRFYVCARAEGPASNPEANCGYFKWATSKSKNK >CAK8566602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441319171:441324482:1 gene:gene-LATHSAT_LOCUS19722 transcript:rna-LATHSAT_LOCUS19722 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISKIERQSDYNRFSSSTPIVIDNGASYFRIGWAGEAEPRVVFRNIVQRPRHKATGETVTIVGDHDPALLKYFDCTRSGPRSAFDSDVVFQFEIMEYILDFAFDRMGATGSEIDHPILITECVCNPVQSRSKMAELLFETYGVPSIAFGVDASFSYKYNQQRSVCDKDGLAMCPGFATTHVIPFVGGEPIYKGSCRTNIGGFHVTDYLKQLLSLKYPYHLARFTWEKVEDLKMEHCYVAQDYVSEARLFQKGAKEAEEKTKMWQLPWVPPPVEEPPSEEEIARKAAIREKQGQRLREMAEAKRSSKINELENELRGLEFLLNQLGHVQESDVPSFLAETGYVSRQEVESARNKNVQSLRKAKGEEKSEQAEIEKTDPNEKYTLINVPDDMLTAEQLFEKRKQLSIKSMSDGRQRLKQKRQEEEQERGRKQQLEEERRLANPELYVEQLRASYNDLSEKLNQRKRLKTNGGSSTNGNGLAGSVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDDDEEPDEDSIELARITSRLQELDPTFVPKVETGSSQPAEVPRVRPLTKEDFQIVFGIERFRCPEILFSPNWIGVDQVGLDEMAGVSMRRLSCKDERLEERMTNSILVTGGSSLFPGIVERLEAGIRMIRPCGSPIKIIRALDPVMDAWRGASAYASTPGFHTQTFTRMDYYEKGEDWLRNYQLKYSL >CAK8542842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552878236:552878430:1 gene:gene-LATHSAT_LOCUS11646 transcript:rna-LATHSAT_LOCUS11646 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSGVVIRVAHVSARLCQYISCNPEILRSDAVLGLIFCLPLQRLFFSLSSYLGYRTPHSDRN >CAK8564377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671085862:671086857:-1 gene:gene-LATHSAT_LOCUS17689 transcript:rna-LATHSAT_LOCUS17689 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRLGLTPKFEEGVKGFIAWAFAQECCRREGGVRCSCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAEITNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEEFPNEEAQRFYQLLKEMNTPLFEGSVDSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCIKGCMFFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKHVAVKSMFYLLIIPRLKRLFASMHSASQMTWHHTNKTCSDIMHRLIYALIFIFGI >CAK8579413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697028302:697033439:-1 gene:gene-LATHSAT_LOCUS31361 transcript:rna-LATHSAT_LOCUS31361 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIDDFPAIQEVMLEFRAGKMLLEGKRVVPDPRKGLVRIARGEEGLVHFQWLDRTLNVLEDDQIIFPNEATFEQINQASGRVYILKFNSDDRKFFFWMQESNADNDSQLCSSVNDYINRPLELLGEEEPDGSLPLQVSEDMAEDDISSRAANLFVPNLGVDATSDITSSGPVKLEDLQRILSNIGPSADNIIDPDGGFELGDLLKPDLILPLMETLSLEQRLAPYLPEGTWSPEEILELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPTKYKFTVLSFLEALEDSVSKSEETRQDDQELVSQSCTPMDESK >CAK8541329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:121744984:121745328:-1 gene:gene-LATHSAT_LOCUS10258 transcript:rna-LATHSAT_LOCUS10258 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSFVLLLLLILLASFTVNKAIPGGGWTPIKDITNIHYIKIARFAVIQYDKQEGATFEFKTLIKGEYQSFSVGTNFRLTLTARNGSSSSNYEAVVWEPAFGLLWKLTSFKHV >CAK8531024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70322161:70324745:1 gene:gene-LATHSAT_LOCUS841 transcript:rna-LATHSAT_LOCUS841 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAFFRKRLLLSFHFISRPTSSTHDNVASPLVSLQRSMATFARTKPHLNVGTIGHVDHGKTTLTAAITKVLADEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDTVDDPELLELVEMELRELLNFYKFPGDEIPIVRGSALSALQGTNEELGKKAILKLMDAVDEYISDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRIEQGTIKVGEEVEILGLTQGPPVKTTVTGVEMFKKILDRGQAGDNVGLLLRGLKRDDVQRGMVITKPGALKTYKKFEAEIYVLSKDEGGRHTAFFSNYMPQFYLRTADITGKVQLPENVKMVMPGDNVTATFELILPVPLELGQRFALREGGRTVGAGVVSKVIA >CAK8576245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435098138:435099798:-1 gene:gene-LATHSAT_LOCUS28451 transcript:rna-LATHSAT_LOCUS28451 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLTSYPLPLPCILNRVFLLLTTMPSSSILFTFLVLSLTILCSNAQGPPSPGYYPSSKISPITFRQGFRNLWGPQHQRLDQNSLTIWLDSSSGSGFKSLHSYKSGYFGAAIKLQPGYTAGVITSLYLSNNQDHPGNHDEIDIEFLGTTPGKPYVLQTNVYMRGSGDGNIIGREMQFHLWFDPTQNFHNYAILWKPSEIIFLVDDVPIRRYPRKIDATYPSRPMYLYGSIWDASSWATEGGKYKADYKYQPFVGSYTNFKLQGCTIESPVSCQAPSVSAGYGSLSPQQYRAMQWVQNNYMVYNYCHDPRRNHNLIPEC >CAK8576246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435098138:435099726:-1 gene:gene-LATHSAT_LOCUS28451 transcript:rna-LATHSAT_LOCUS28451-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSILFTFLVLSLTILCSNAQGPPSPGYYPSSKISPITFRQGFRNLWGPQHQRLDQNSLTIWLDSSSGSGFKSLHSYKSGYFGAAIKLQPGYTAGVITSLYLSNNQDHPGNHDEIDIEFLGTTPGKPYVLQTNVYMRGSGDGNIIGREMQFHLWFDPTQNFHNYAILWKPSEIIFLVDDVPIRRYPRKIDATYPSRPMYLYGSIWDASSWATEGGKYKADYKYQPFVGSYTNFKLQGCTIESPVSCQAPSVSAGYGSLSPQQYRAMQWVQNNYMVYNYCHDPRRNHNLIPEC >CAK8570034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21633917:21635286:1 gene:gene-LATHSAT_LOCUS22823 transcript:rna-LATHSAT_LOCUS22823 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLTTGKTPSSLKDKDKKKPTSRSSRAGLQFPVGRIHRLLKSRTMAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >CAK8571628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:451551432:451552887:-1 gene:gene-LATHSAT_LOCUS24270 transcript:rna-LATHSAT_LOCUS24270 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIKEQKNETEKKADDGAKKDDSLPPVVYKLDLHCEGCVKKIKRSARHFAGVETVKADLSSNKVTVTGKFDAVKLQDKLAEKTKKKVEIISAPPKNDAAAEKPSEKKPEEKKTEEKKAEEKKPEEKKPEENKPKESTVVLKIKLHCDGCITKIKRIIMKFKGVETVHLDGDKDLVTVKGTMEPKELVEYLTEKLKRNVEMVPAKKEKDDGGEKKEKKEDGGEKKVDGGEKKVDGGDQAKVEVNKMEYQYPMQSPMYWYGGDVGQSSNYGMDQFHQPGYGNHDQRYVEPGYMMNHGGGYPMQPPQVPFYMNANHPPPQMFSDENPNACSVM >CAK8567396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510950799:510953356:1 gene:gene-LATHSAT_LOCUS20456 transcript:rna-LATHSAT_LOCUS20456 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMGKKLAQGVEEIIYRHLTLNEDDCTIFYIMTPIKNDDDVKAMFWCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPVSLYNPPTHMQNIDIVDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSENSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDETWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8576439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:477264722:477265894:-1 gene:gene-LATHSAT_LOCUS28626 transcript:rna-LATHSAT_LOCUS28626 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSMGKKVRNHIPYDLGISILSNLPLKSLKRFGCVSKSWGILFENSNFLSVFSNNLISNHHSYYDDSSLLLQLTITKYDRSVYHSLYSLYGDSFINRVKLEWPNPFHLEKPHFYILDSGSINGNLCMYENVNGVCRIVIWNPNINELIVIPRSPVEFVPPPSEVYIVLLHGFGYDHIQDDYKIIRVIVIGNQYKDIPKAVYWEIYSLKRNSWKTLHMDMPPCGFDTTGERIYIFGMCHWIHRSSYINKDDPYLVSFNLNEEIFNTTLIPLFMDANLNHEYFSTQLVILNGSIATISWYDGTTTFYISILGELGVKESWINLFIVGPLSCVDRPIGIGKEGDIFFRKQDGELILFDLRKNVISELGIKGCYHSQIVILKESFLQIGGISL >CAK8570511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53338417:53341415:1 gene:gene-LATHSAT_LOCUS23254 transcript:rna-LATHSAT_LOCUS23254 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVRDKESGISNGVNSHPTHPGRVWGILHIIKYHHWRQVKRRITHRKPSESHDSRNEIIDTSDDDSRVDSMTQHSMPNTTLSNVEENKLVQTTHNKYSIKSKIKALLNEDTYKMRGRHKRSSTCPTKSHLTRVDSVHHLEVDPLSEMLLTVENPEPVLETFQNHLAAGTLEVLSPVFSDKKNSITNNSNSNRNSNDKCVECGAVFSSESLEKHDDKSHHKHRNLSISEGAQEDKLMNAKIITTDASPLLFKDFLDALDLINTNKEFLLKYINDPGSPLPFQLHTQPHSPSGKRRAKSISLPVVVSSSGTKDSDHTVDEWFNTKGITESEIQNSPDFDKPSTSSSSSFSHKADHHQLLDSDQKSVEVDNKNTSSDTSQVPNKVKNNNFKDLRKKIKHIIEESKNEKLRITMDAVVDKIPRGNKFSKNVRKLMNHEKFKQQNKRQLSGMRTSSLKESVNRYTQLYDTCFHNEVVTNKEEKQPKTESLKLKTDEKSSVLKTPKSFKRFLSLPNLKSYFNQNEEHSVLSSPQNLTRKSEDRTRSSSTIDGFSNSQILSRSPSPADHANEEITLTDEQKHVKESASDSGSDVIDEVKSEKSIAFDGVGNLRDNELSGSNELEIGYTTESSTMLVEANSAFSSDTSFLDYTIDLENLNILEESDQDLKAVLAGDELNYMYEQQEAKIEQHEEVENFLKHVYKLPRNEIDSNNEAAFNYVKKVLDLSGFTSHESLETWYSNGQPLDPSIYEELEGCLLLDPYCSGNCEGGHCNHLLLFDIINEGLLEIFGRSYNYYPRPLSSLSHVHPLPKGRDDVLHKVWKLITWYLSSTPDEDYTSLDYYVSKDLARNDGWMNLQFDSECVGLEIDDLIFDDLLDEIIYG >CAK8571307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:368793577:368795190:-1 gene:gene-LATHSAT_LOCUS23981 transcript:rna-LATHSAT_LOCUS23981 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAFFFLFLSLSFSYSEAGSIGINYGRIANNLPPPSKVVELLKTQGISRVKLYDTESTVLTALSNSGIKVVVAMPNELLSNAAADQSFTDTWIQTNIVNYYPATQIEAIAVGNEVFLDPKNTTNYLVPAMKNVQASLVKKNLDKAIKISSPIAISALQSSYPTSSGSFKTELIEPIIKPMLEFLHQTGSSLMVNAYPFFAYAANSDTISLDYALFKQNPGVVDSGNGLKYSNLLDAQIDAVYAAMSALHYDDVGITVSETGWPSLGDSNEIGAGEDNAASYNGNLVRRVLNGSGTPLRPNEPLDVFLFALFNENQKTGPTSEKNYGLFYPSEEKVYDIPLTMAGVNNNKTSSASAGGGVGRSVVPVKGDVSAVANRGETWCVANGGYSEEKLQHALDYACGEGGADCSPIQPGAMCYNPNTLEAHASYAFNSFYQKKSRGSGTCDFGGAGYVVTQPPRYGTCNFPTGY >CAK8573876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643770628:643772307:-1 gene:gene-LATHSAT_LOCUS26276 transcript:rna-LATHSAT_LOCUS26276 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVHIERNIHQYNGIHQYNGSNYFINSASSMEENNSIMRGVYTSDNNIWNNGYLHHNYNLHNFSQPPWRGWVGLMATDCLDCQHLQAIIEEGDPRYVAMILFEIKDNLHEMMKHQYSNYLIQKIFEAKKGITNIQIDSIIYLIISDTQKLCDVCNNNHGTRVVQIMLENIKCPLTKYAVVYTIKPIIVELMTNINGGYVIIQCLKVLPPTLKKVIMDELTKYCVDIATHKIGCSLVQTCLKDSGILANDLITTIISNAMLLAENRYGNYVVQFIIKMNFPLVNKRMIAELSGKFVTLSMDKHGSNVVEDLLKCSDQDDVNAIVRELMRSTNFLKVIQDPYGNYVAKRAIKCTKGYLRRKLSSLILSYRNELQNHPHGKIVFDNAKSKKR >CAK8534015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681760328:681760639:1 gene:gene-LATHSAT_LOCUS3591 transcript:rna-LATHSAT_LOCUS3591 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8577744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586276738:586278388:1 gene:gene-LATHSAT_LOCUS29822 transcript:rna-LATHSAT_LOCUS29822 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNLQTSLSHANFYSLFFTIFPLLLSFFFIIKYWYDSNNSSATAKNSPPSPPRFPLLGNLHQLGLFPHRTLQTLSQKYGPLMLLYFGKVPVLVVSSSDAARKVMKTHDLVFCDRPQSKIFDILLYGSKDVASCAYGEYWRQIRSLSVLHVLSNKRVQSYRCVREEETSRMMEYTKEYSSSASSPLNLSELCSRVTNDIACRVALGKRYREGRGKKFQEMILEFGELLGTVCIGNYIPWLDWLGKLNGFYRKAERVAKHLDEFIEEVIEDHISRRSDEDVGVDDNDFVDVLLSVQKTNAIGFQIDRPAIKALILDIFAAGTDTTHTVLEWAMTELLRHQKVMHKLQDEVRTVVGNKTHVSEEDLANMYYLKAVIKETLRLHAPVPMLVPRRCMEEIKLDGYDIAAGTQVIVNAWAIARDPSSWDEPLEFKPERFMNSSKDFKGLDFDFIPFGGGRRGCPGVSFANAVIELVLANLVCQFDWKLPDGVEGKDLDMSETFGITCHRKYPLLALATKYENK >CAK8562932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558415726:558419016:-1 gene:gene-LATHSAT_LOCUS16392 transcript:rna-LATHSAT_LOCUS16392 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDVPISKTLVALRRVRSLRDPSTNGISKLSPLIDNEHWENGVSLRFLDASYACEFDDNGCLRSKGLDDGLVYSIPKHQGVFGNKSPKESCCSNHEAKGLDLAYVVPSSNHLKDGESYYLSTALSSSQLGRVDYSKSTRKFMRKNQVKPFELMGDNAKSVESPCLSVRDAMSAYSASTQTPRDVDDVLVDYSGCGISCCWSKSPRFRDSNHYSEMEELPLMLQRVNETDLYGRKSMRHIGGEISPMFETPRSLSMKFRPKSFDDLVGQNVVGKSLLGAISRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSLDEQRPCGLCRECVLFFSGRSKDVKEVDSLRINRSDKIKSLVKNACATAPDLSRFKVFIIDECQLLNKETWATLLNSLGSVSQHVVFVMITPDLDKLPRSAVSRAQRYHFTKIKDADIASRLKRICAEEGLESEQNALNFIAAKSCGSLRDAEMMLDQLSLLGKKVTISLVYELTGVISDDELLDLLDLSLSSDTTNTVIRARELLRSRIDPLQLTSQLANLIMDILAGKCELGGSEIRRRFSDRHISEANMHKLSHALRILSETEKQLRISKNQTTWFTAALLQLSSMEYSSVDANDTKLCVKAASDRDGDLCSTSSTGESLKHLAIATKCDEKSYKIEVQEDDKAALDSIWYKATDICQSRRLKVFLRKQGKLSSVCINQGLAVVELEFHHRVDVAKAEKSWKQIASFLQFILGCNIELRINHVPCTSDSKYAKLKRTSFNFFNCSRRILRKSVSSDEQGCESDYADCTSQKPMMVDQKPLSRSSDCGSRVPPPLESYRGMEVVKTLRSCEGNLLSSGKIFLNRIIDQETTPRISFSRDDSVKGEDCNYEHLASSTVDFDNNNNRTNCFPRTLWLHRKFSTSYASKQKDFCFINRRVQMY >CAK8530611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35507121:35507915:1 gene:gene-LATHSAT_LOCUS464 transcript:rna-LATHSAT_LOCUS464 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYFGESLRFRKVLQGQEIMGINTPYDSINAQSPPLYELGRCYPGSNCSGIASTGNIRMPQASSDFPCNGIGFGESFRFQKVLQGQEILPCPPPYVRSSFDESRGNGFLGRYDGYQLLGSRNGWPAQMHDNSSHLHASVTAGQVSSPSSVLMFQQAVNPVSNSRYDNPNRSQGSYISELKSGIFASSLLDKPIQDSTNSLGIQNFLNNNPLDTSRSRDSASALRGNHDMNSTYKSGCKLFEFSLTDDTYVANKEAAVKDYEGG >CAK8535273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832627070:832628203:1 gene:gene-LATHSAT_LOCUS4746 transcript:rna-LATHSAT_LOCUS4746 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTKLCVTLSFISLLACCTNAQLVNNFYATTCPSLQTTVRNTMTSAIKNESRIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAFPNKNSARGFEVIDAIKTSVEASCNATVSCADILALAARDGVFLLGGPSWIVPLGRRDARTANQTAANNQLPSPFSNLSTLITMFSAKGLTANDLTVLSGAHTIGQGECQFFRTRIYNETNIDPNFATLRKTTCPFSGGDTNLAPLDTITPTTFDNNYYKDLVAKKGLFHSDQALFNNGSQDSLVTSYSTNSATFFNDFATAMIKLSRLSPLTGTNGEIRKNCRLVN >CAK8535274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832627202:832628203:1 gene:gene-LATHSAT_LOCUS4746 transcript:rna-LATHSAT_LOCUS4746-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAIKNESRIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAFPNKNSARGFEVIDAIKTSVEASCNATVSCADILALAARDGVFLLGGPSWIVPLGRRDARTANQTAANNQLPSPFSNLSTLITMFSAKGLTANDLTVLSGAHTIGQGECQFFRTRIYNETNIDPNFATLRKTTCPFSGGDTNLAPLDTITPTTFDNNYYKDLVAKKGLFHSDQALFNNGSQDSLVTSYSTNSATFFNDFATAMIKLSRLSPLTGTNGEIRKNCRLVN >CAK8539846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528925904:528930040:-1 gene:gene-LATHSAT_LOCUS8906 transcript:rna-LATHSAT_LOCUS8906 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSALRSSMASHSPPLHALIVPSEDYHQSEYVSARDKRRAFVSGFTGSAGLALITKNEALLWTDARYFLQAEQQLSGQWKLMRLGEDPAVDIWMADNLPKDAAIGVDPWCISIDTAQRWERAFAKKQQKLVQTTKNLVDEIWTNRPPAEIKAVVIQPLKFAGRSVTDKLQDLRKKFAQEHAQGLVVTALDEVAWLYNIRGNDVAYCPVAHAFAIVTSNSAFIYVDKQKVSIEVKTHFEENGIEIKEYTEVSVDAALLATDELDSVSTAKSANGKHQTGEESSNFIWADPASCCYALYSKLNPDTVLLQQSPLALPKALKNPVELDGLRKAHIRDGAAVVQYLVWLEKKMQDIYGASGYFSEENTVQKDKHLQSLKLTEVTVSDKLEEFRASKEHFRGLSFPTISAVGPNAAICHYNPEAETCAELDPDKIYLFDSGAQYLDGTTDITRTVHFGRPSDHEKASYTAVLKGHISLGNARFPNGTNGHQLDILARIPLWKDGLDFRHGTGHGVGSYLNVHEGPQLISFRARNVPLQSSMTVTDEPGYYEDGAFGIRLENVLIVNEADTKFNFGGKGYLSFEHITWAPYQTKLIDLSLLIPEEINWLNSYHSKCRDILAPYLDEAENAWLKKATEPIAV >CAK8539347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509832287:509833129:1 gene:gene-LATHSAT_LOCUS8451 transcript:rna-LATHSAT_LOCUS8451 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSSPPSLSSPFHNASTRLNLRTPPPLKLRTRYSPLSVKAITLTQDDLKKLAADKAVEYVKSGMVLGLGTGSTAAFVVSKLGDLIKSGELTNIIGVPTSKRTEEQARSLGIPLSVLDDNPRLDLAIDGADEVDPYLNLVKGRGGALLREKIVEAASDKFVVVVDDTKLVSGLGGSGLAMPVEVVQFCWKYNLIRLQELFKEEGVDAKLRVDESGKPYVTDNSNYIVDLYFKTPIRDANAAGTEISALEGVVEHGLFLNMATSVIIAGKTGVEVKDK >CAK8574448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682246704:682256789:-1 gene:gene-LATHSAT_LOCUS26793 transcript:rna-LATHSAT_LOCUS26793 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSLHSHSIIHTPLNHNRLRFLLPSPFSSSIHRSRYRSRIPPRTLPLLVYAVSSPQKQSSKGGKNKDNVHLHLRLDHQVQFGDHVAILGSAKQFGSWKTNVPLNWTPNGWVCDFHFNGGDHIEFKFIIVNQHGTLLWESGDNRVLTLPQAGYFHTVAKWNTTHQTMDLLPLDDHHSKNVGDTIQSNDDKEAATASSSSFEAGSSPFVGEWQGKSISFMQSNQHNTNEAQRTWDTSGLQPLPLKFVQGDQSARNWWRKLEIVRDIVESVHGEDRLEALIYTSIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERHTSQKDVSPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKTQIKHTIQNKLHRNAGPEDLIATEAMLAKITKNPGEYSQAFVEQFQIFHRELKDFFNAGSLAEQLESIYESLDEYGMSALNSFFECKKNMDAAAESTTSKEQGIKLLFKTMESLNALRDIIVKGLESGLRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRFLNVLEVMGGASWLASNLESKNVNSWNDPLGALIIGVHQLKLSNWKPEECGAIENELIAWSTRGLSESEGNEDGKKIWTLRLKATLDRSKRLTEEYTEELLKIFPQKVEILGKALGISENSVKTYTEAEIRAGVIFQVSKLCTLLLKAVRSTLGSQGWDVIVPGAVLGTLVQVERIVPGLLPSPVEGPIILIVNKADGDEEVTAAGKNIVGAILKQELPHLSHLGVRARQEKVVFVTCEDDEKIADIQRLVGSRVRLEASAAGVNLTLASSVNPDGNFSVESAFEGSLSGVEVPASSAGRISKSSQGSGVILLPDAETQTSGAKAAACGHLSSLSSASDKVYSDQGVPASFQVPSGAVLPFGSMELELENSNSTEIFRSLLDKVETAKLEDGELDALCNQLQELISSLKLSEDVIESIGKLFPSNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFADAVGRVWASLYTRRAVLSRRAAGVPQKEASMAILIQEMLSPDLSFVLHTMSPTDQDNNSVEAEIASGLGETLASGTRGTPWRISCGKFDGLVQTLAFANFSEEMIVRSAGPADGEVIHLTVDYSKKPLTVDPVFRRQLGQRLCAVGFFLERKFGSPQDVEGCLVGKDIYIVQTRPQPQ >CAK8542400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509418454:509419512:1 gene:gene-LATHSAT_LOCUS11241 transcript:rna-LATHSAT_LOCUS11241 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHDPPRSFFPFGNPFQMISPKATKLSPQLLAVLRAFEETLEERLKKLIPKSKDDVVSFSWMTSAMQALCESHNDIKTLMTDLELPVTDWDEKWIDVYLDNSVKLLDICNAFSSDLSRLNQGQLLLQCTLHHLGSSSPDQLFRACSSLDGWRQLMSSKNPRIEKCGSILDDLVESSDMPKVKKSAKGTVLMQAIYGVKALTVFVCSVFATAFSGSTKNLMDMDVANIYSWAPSFKVLQNFVNEEIRVRFSIGKFTVLNELEAVDLSVRELHPIIQGVVHTIETESHAETVKKLGRATEDFSQGLDLLAKEVDGFFQVVLSGRDALLANLRSVILGNDHILGGKSDAQVVN >CAK8534510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737447601:737449561:-1 gene:gene-LATHSAT_LOCUS4053 transcript:rna-LATHSAT_LOCUS4053 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVAIIGAGLSGLIACKYVLETGFQPVVFEADEGLGGQWRHTIESTKIQNPKQDFQFVDFPWDSSVKEDNPNNQQVQAYFNAYAQRFGIVPCIRFNSKVIDIDYVGESNEDIKSWELWNGDGTPFGSKGTWHITVQDTKNFSTEVHKAEFVILCIGKYSGLPNTPEFPHGKGPEVFKGKAMHSMDYAALDNKSAAELIKNKRVTVVGSGKSALDVATEIADKNGVNYPCTMIQRTVHWFLLDFNIWGFSLAYLYFNRFAELLVHKPGENLLLSLVATLFSPFRWGFGKLVEIYLKSKLPLKKFGLVPKHSFHHDMHKCTFGVLPDLYFDKLKEGSILIKKSQTVSFCNEGLIIDGEAKPIESDLVIFATGFKGDEKLKSIFKSPTFQSYIKTPSNVTVPLYRQVLHPRIPQLAIMGYAEALSNIYSNEMRCLWLAQFLDGNIKLPSVKEMEKDVKVWEETMKQYDRKWNSCIVTCGIWYNDQLCKDMKCNHLRKKSAFAELFEPYGPSDYNGLVQK >CAK8561645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:335302355:335316813:-1 gene:gene-LATHSAT_LOCUS15217 transcript:rna-LATHSAT_LOCUS15217 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSATRLRDMIRAIRACKTAAEERAVVRKECAAIRASIDENDQHYRHRNMSKLMFIHMLGYPTHFGQMECLKLISSPGFPEKRIGYLGLMLLVDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSLRIIKKVPDLAENFVNPATALLREKHHGVLITGVQLCTELCKVSSEALEHIRKKCTEGLVRTLKDLANSPYSPEYDIAGITDPFLHIKLLRLLRALGEGDADASDSMNDTLAQVATKTESNKVAGNAILYECVQTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMRAVSADAQAVQRHRATILECVKDADASIRKRALELVYVLVNETNVKPLTKELVHHLEVSDQDFREDLTTKICSIVSKFSHEKIWYIDQMLKVLSKAGNFLKDEVWHALIVVISNASKLHGYSVRALYKLFQTSTEQETFVRVAMWCLGEYGDLLINNVEMLDIEDPITVTESDAVDAVEHALKRHASDLTTKEMALVALLKLSSRFPSCAERIREIIIQYKGNLVLELQQRSIEFNSIIAKHQNISSTLVERMPVLDEAAFNARRDGSLPDGASTPTSTPTGPSVSLPNGAVKPVAPLLDLLDLGADDTPAPSSSGGDFLHDLLGVDQSFGSQQSGATHTSKNGTDALLDLLSIGSPSAPIESPSAQRNSSTIDILSPGTSKREPVSSLDDLSPVPHSSRVSSNAGVASMTDLLDGFASGPSASESNGLVYPSITAFESSSLRLTFNLSKHPGSPQITNIQAIFMNLSSNAYTDFVFQAAVPKFLQLLLDPASGNTLPANGKGSITQSLRITNSQHGKKSLVMRIRITYKISGKETLEEGQITNFPRDL >CAK8570798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115629232:115631266:1 gene:gene-LATHSAT_LOCUS23519 transcript:rna-LATHSAT_LOCUS23519 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYPIVASPCSQTQTINMASISSSALPFFTPKTSNLYNKSCFSKSNFSTLTCKASSSSSSFSSSMLDFDLYDLLGIDSSCDQSQIKTAYRSLQKRCHPDIAGPSGHDMAIILNDAYAILSDPFARLAYDKEHAKITEFKGFTGRPTYSVWCGSESEQRAVFVDEIKCVGCLKCALVAEKTFAIESVYGRARVVSQWADSEPKIDEAIQTCPVNCISVVERSNLAALEFLMSKQPRGNVRVGANNTAGVRVSNIFVDVEKFQTRFQQTMEKASNYSKETDLQRESRMSAIQAIRSISNWLYWHPHSASVNKSITRVVHKLPDPNLNKLRDAAAKRKLRDSNKANNQTPLNSIHREEYWTPLAVDQTSTITSITTPTPFEKPSFAKGKKQRKKDDLERYEKEKSPIRWGLPIVTSLIGMAGVRLHEVRSTVGLKEHFGGSLALEIVNSSWLQYVLVAATWYMIGVVVVGFVASIGNRIR >CAK8566655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445997732:445998660:1 gene:gene-LATHSAT_LOCUS19771 transcript:rna-LATHSAT_LOCUS19771 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSLPVTHEKMKITSGPNWLELPSDVTANILQRLGTIEILTSACQVCPSWWSICKDPHMWRTINITKYGYKHSMRNKDKFVKICRNAIERSCGHVEDIDIEHLATDDLLAYIADNCAGHLRHMRLAMCAGLSDKGFSESVKKMSRLESLDISYQNQLSKDTFEIIGRYCPFLKTLKCRLIVVNDRENDVSFAIAKTMPGLHHLKICGNMPSEDGVLAILDGCSLLEVLDLKECCCFYNISSLEKRCRENVMDFRAPSLHCFSSNYDSGWGIESENWSGYEDWF >CAK8531108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81468125:81468589:1 gene:gene-LATHSAT_LOCUS920 transcript:rna-LATHSAT_LOCUS920 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNIQTSFEIEGYFSIKATPLGDNLCLLEESEHGEIRDLIREAESWWRQWFSVIREWKEEDLDKERVTWLRCYRIPFHAWNFYFFELLAKTHRNYVCVYDNTLKEYCMDVSIIMVMTNCAVVLNETFKVQINDEIFSIKMIEDSHDLLRLITN >CAK8541734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:377229946:377230651:1 gene:gene-LATHSAT_LOCUS10630 transcript:rna-LATHSAT_LOCUS10630 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGNGEDFSPKYGIWNFDNNKFVQPVKIVNWVVVNFSAKWDVQGLVRDLTKCGGMKGIDIEKPLGIYEEETDVQFSGALPMERVTKIVEACSVHTSKEFCFWLYRTRTACLH >CAK8543644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628625936:628627842:-1 gene:gene-LATHSAT_LOCUS12390 transcript:rna-LATHSAT_LOCUS12390 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGHSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8534322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716181220:716185670:-1 gene:gene-LATHSAT_LOCUS3874 transcript:rna-LATHSAT_LOCUS3874 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAFVLKGSALLSIRALKSFFMLVSAVVMLLILPFRGRRRVSPVDKEEKNQNHDCCHHHRKGAVVRVPAKIVPWKSGGGGGVAAVKVFDYLMRRELAIKRVLEDGDEKCMREYGLFGTKRGDTIFTQCWTSVSVKIRGLVILMHGLNEHSSRYSNFAKKLNANGYKVYGMDWIGHGGSDGLHGYVHSLDYAVSDLKVFIEKVKTENPGLPCFCYAHSTGAAITLKALLDPKIEACIAGATFTSPAVGVEASHPILELLAPILSFLLPRFPCNSAYKKGLPVSRDPEAVIAKYTDPLVCTGSLRIRTGYEILRITSYLQQNLRKLIVPFLVLHGTCDSVTEPTASQKLYEQASSSDKSIKLYEGFSHDLLFEPEREEITKDLIQWLNSRV >CAK8533707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651587599:651588018:1 gene:gene-LATHSAT_LOCUS3310 transcript:rna-LATHSAT_LOCUS3310 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIICEGICQSYTQWIWHGEVVAKSNVSQRDNVSVEMDDSLEDMMRDIGQDLFKRAHAYDTFCSDKDKPLYSGCTNFTHLSAVLKLFNFKANNGWTDKSFTESLELLTQMLPEGNIMQNHYYEAKKLLCPMGLEYAMP >CAK8534153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699525587:699531858:1 gene:gene-LATHSAT_LOCUS3720 transcript:rna-LATHSAT_LOCUS3720 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARDRTEDFKDAVRQSARSLGYDEAKLASILASFIIHKPPQRSPFSKAAFKTLESIGELDNFLIKHRKDYTDLHRTTEHERDSIEHEVSAFIKTCQQQIDVLKNSINNEEESSKGWLGITTAKANADTIAHKHGVVLILSERLHSVTAQFDQLRAIRFQDAINRAIPRRKLNRVTRKDSAETSNSGDAELREPDELCSEPLRVQHQLLDDETRALQVELTSLLDTVQETETKMVEMSALNHLMATHVLQQAQQIEHLYDQAVQATKNVELGNKELSQAIKRNSSSRTFLLLFLFVLTFSIIFLDWYS >CAK8537608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407303414:407303557:1 gene:gene-LATHSAT_LOCUS6882 transcript:rna-LATHSAT_LOCUS6882-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8537607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407303414:407303903:1 gene:gene-LATHSAT_LOCUS6882 transcript:rna-LATHSAT_LOCUS6882 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8532611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:325980917:325985047:1 gene:gene-LATHSAT_LOCUS2299 transcript:rna-LATHSAT_LOCUS2299 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTLHIYDVTNSGSEKANNTILQINKIFKDGIGLGGIFHSAVQVYGEDEWSFGYCEQGTGVFSCPSRQNPMYTYRESIVLGKTNFSIFKVNQILRELSREWPGSAYDLLSKNCNHFCDELCERLDVPKLPGWVNRFANAGDTAMEVAENTALRFRQAKTEIVSASKVAYRFLLGVTNNIKTGPDSPNNSNSGVSSPRFQASWLKNIITNGAKPSTSSEAENHNGVAPLQPTREDDKALLRSTSSRSSSSRIDEKESVSKKNFS >CAK8565026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:28158357:28159607:1 gene:gene-LATHSAT_LOCUS18267 transcript:rna-LATHSAT_LOCUS18267 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHNGMHHNNNNNVVEDHETLTYQTYPCGYYVQSPSTLSHANSTDVRSNIPNDGTDSTFHSPNRSETNPTNQTRLALCRYSSSRGSNHSFLHHKKISYDGTVTENYDDRHLVIVDDNDSVVSDEDEKGFFDEYYYGKNEGEWKRCFSYNFSDSFAWILLQVTWRVMVSFGLALLVFYIATKPPSPKFSLEIARIQEFKLGEGVDRTGVPTKFLTCNCSMNLIIENKSRLFGLHIRPPLMDMKFSILPFAFSNGPELYAESGLTIFTLQLGVKNKAMYGAGRSMEDMLDSGNGLTIVIQVMLSSSFEMVPTLVKPRFHHRVECIVVLKKAYNKKHRSQVFNSTCKVNS >CAK8541172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:80677412:80679379:1 gene:gene-LATHSAT_LOCUS10111 transcript:rna-LATHSAT_LOCUS10111 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYYISKKTDDICEDVCGEQGTPAALNMSRLRCILRGLDFKTYVFMFIIIPVGVFLIYMHGQKISYFFRPLWESPPKPFHEIPHYYHENVSMESLCKLHGWGIRESPRRVFDAVLFSNEVEMLTIRWKEMYPYVSQYVLLESNSTFTGFVKPLVYAGNRDKFKFVEPRLTYGVIGGRFKKKENPFIEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSAHTVNLLRWCDEIPPVLHLQLRNYLYSFEFFLDNKSWRASIHRYQADKTRYAHYRQSDLLLSDAGWHCSFCFRHISEFVFKMKAYSHYDRVRFPRYLNPERIQNVICKGADLFDMLPEEYTFKEIIGKLGPIPHSYSAVHLPSYLLNNADRYKYLLPGNCRRESG >CAK8567587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527149433:527155351:1 gene:gene-LATHSAT_LOCUS20627 transcript:rna-LATHSAT_LOCUS20627 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCSTSTSKKVKTPGYEDPTVLASETPCEYPSSSLTVSVSEVDALYELYLKLSNSIIEDGLIHKEEFQLALFRNENQKNLFSDRIFDLFDVKRNGVIEFGEFVRSLGVFHPKAPLEDKIAFAFRVYDLRETGYIEKEELKEMVLALLHESDLYLSDEMIESIVDKTFEDADTKEDGRIDKDEWKAFVCQHPSLIKNMTLPYLKDITMAFPSFIARTEVEETEV >CAK8567586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527149433:527155351:1 gene:gene-LATHSAT_LOCUS20627 transcript:rna-LATHSAT_LOCUS20627-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCSTSTSKKVKTPGYEDPTVLASETPFSVSEVDALYELYLKLSNSIIEDGLIHKEEFQLALFRNENQKNLFSDRIFDLFDVKRNGVIEFGEFVRSLGVFHPKAPLEDKIAFAFRVYDLRETGYIEKEELKEMVLALLHESDLYLSDEMIESIVDKTFEDADTKEDGRIDKDEWKAFVCQHPSLIKNMTLPYLKDITMAFPSFIARTEVEETEV >CAK8539499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514220542:514222124:1 gene:gene-LATHSAT_LOCUS8586 transcript:rna-LATHSAT_LOCUS8586 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPRLCTGFTSQLGRFSYRNYSSFLTHGIHVFQCPDEIGIVAKLSDCIASNGGNILAADVFVPQNKGLFYSRTDFVFDHVKWPRLQMDEDFLKLSKQFNAVRSILRVPSLDPRYKVAVLASNQDHCLIDSLHGWQDGRLPVDITCVISNHDRGPESEVIRFLARHDIPYHYLRTTTENKREDDILKLVQNTDFLVLARYMQILSSTFLRSYGKDIINIHHCLLPSFRGGNPCKQAYEAGVKLIGATSHFVTEGVDAGPIIEQMVERVSHRDDLQSFVQKSENLEKQCLSMAIRSYCELRVLPYEEKSTVVF >CAK8571178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:324963202:324966330:1 gene:gene-LATHSAT_LOCUS23867 transcript:rna-LATHSAT_LOCUS23867 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSSDNVFFIESDEEGEDREFGRDEMDGNESDYSNDSNDNQVQRKPSSYSMAWPQSYRQSIDLYGSVPSPNIGFLGTSSLTLAGLGSSFLGSSLTRRQTAEFIPPLKKPLVQPVDEQQRKSSKTLLPPHPSRKSSMRKDPSKISHEVQIPSQCSFGQAVLNGINALCGVGLLSVPYALQQGGWAALSVLLIFSMFSFYTGLLLRYCLDSEPGLETYPDIGQAAFGTTGRVIISVILYMELYACCIEYIILESDNLSSLFPNAHLSLGGFQLNAHILFAIITTLALLPTVWLRDLRILSYISACGVIATIVVVLCLLWVGVVDDLPMHTKGTTTLNISTFPVAVGLYGYCFAGHAVFPNIYTAMANRNQFPAVLLICFAICTTMYFSVAIVGYSMFGESTMSQYTLNMPRELVASKVALWTTVVNPFTKYALSLTPVAMSVEELIPPNNPHFQLYSILIRTALVVSTLLVGLSVPFFGLVMSLIGSLLTMFVSLILPAACFLSIKRSRIKRSQVALCVAVIIVGLVSSAFGSYSAISEIIKELFA >CAK8534654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753132045:753135866:1 gene:gene-LATHSAT_LOCUS4181 transcript:rna-LATHSAT_LOCUS4181 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGSAFLSATLQTLLDKLVSKEFLDYLTNTKLDLSLLKQIRLTLLTLQPLLDAAEEKQINTPSVKDWLDGLKDAVYDAEDLLNQISYDSLRCKMENTQAASKTKQVWNILSSPFKNIYGEINSQMKDMCETLKRFAENKDILSLQTKSVRVSHRTPSSPMVNESVMVGRKDDKEKVMNMLLSESSTSQNNMGVVAIVGMGGVGKTTLAQLAYNDEKVEDHFDLKAWACVSEDFDVLRVIKTLLESVTSKTWETSNLDFLRVELTKNLRNKRFFIVLGDLWNDNYSDWDELVSPLIYGENGSRVIITTRHEKVADAARTFPIFKLDPLSHEDSWSLLSKHAFGSGNFSETQRRNLEGIGKKIARKSGGLPIAAKTLGGLLRSKVDTKEWIEVFNSDIWNLQNDNILPALRLSYQYLSSQLKRCFSYCSIFPKDYSLDREQLVLLWMAEGFLDHSQDGKTMEEVGDECFIELLSRSLIQQLHDDINGQIFVLHDLVNDLATAVSGKTCYRLEFGAKSYENVRHLSYNQEFFDIFKKFQTFHKFKCLRSLLAIGSREESNSLSRKVVDDLLPTFGRLRVLSLSKYRNIATLPNTIGNLVQLRYLNLSYTGITSLPDTICNLYYLQTLILSWCLSLTELPEHVGKLINLRHLYIHMTSIIEMPKQIAELENLQTLNVFVVGKKNIGLSVRELGKFPKLRGKLVIKNLQNVIDVMEASDTNLKSKEHIEELTLQWGEETDDTLNERNVLDMLQPSAKLKKLRIRLYGGSHFPSWLGDPSFFNMVSLRIDSCVNCTTLPPLGQLPSLKDLHICNMPILETIEQQFYGMAAGGSNSLFQPFSSLEKLVIEDMSNWKEWHPFPDSMFPFPRLKTLRLYRCPKLKGHLPSHLPSLEAINIYGCDHILATPPTQHWLSSIKYIHISKDLTESNTERTQCLLLENDSPCLLQDISIGSCHMLKSVPKMIINSTCLRHLTLRGISSLTAFPINGLPTSLQSLHITDSENLIFLSPEKWSSYTSLVSIDLWRSCNALTSFPLNCFPMLQYLSIRKCRSLESIFISETSSCSSSTLKHLQIEDCEALRSLPQRMETMTSLETMYLMNLPKLNLSLCEGAFLPPNLQRIHVDSVRITKPVTEWGLQGLTALSSLEIGGDDIVNILLKEPLLPISLVSLEFQSLSEMKSLEANGLRYLSSLEHLDILDSPGLVSLPEKVFPSSLKTLFFRNCPRLESLPEDSLPTSLEDLTIRRCPLLEERYKRNEHWSKIAHVPVIKINNQITI >CAK8572227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:522860939:522868077:1 gene:gene-LATHSAT_LOCUS24815 transcript:rna-LATHSAT_LOCUS24815 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKFLFITIALEILCVVANGSSDLVFQVERRKTNLSGIKDHDDRRRGRFLSTVDINLGGNGLPTQTGLYYTKLGLGSPKKDYYVQVDTGSDVLWVNCIECSRCPKKSDIGMDLTLYDPNGSDTSELIACDHEFCSSTYDGPIPGCKAETPCPYSITYGDGSATTGYYVKDYLTFDSVNGNLQTVEQNSSIIFGCGAVQSGLLGSSNEEALDGIIGFGQANSSVLSQLAASRKVKKIFSHCLDTIRGGGIFAIGELVEPKVRTTPLVPNMAHYNVVLKNIEVDGEILQLPSDIFDSGNGKGTVIDSGTTLAYLPDIVYDQLIPKVLAQQPGLKSSIEEQNFHCFYYTGNVDSGFPVVKLHFEDSLSLIVYPHDYLFPYKNGIMQCIGWQRSVTETKDGKDMTLLGDLVLSNKLVLYDLENMAIGWVDYNCSSSIKVKDATTGVVHTVGAHNISSASTFLIGRTLTFFLLLTAMLNIFLTRF >CAK8575070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26348697:26348969:1 gene:gene-LATHSAT_LOCUS27361 transcript:rna-LATHSAT_LOCUS27361 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLGTGEGVKGIYVSIDVDSLDPGYAPGVSHIESGGLSFRDVLNILQNLKGNIVGGDVVEYNPLRDVSNRMTALVTAKLVRELAAKMSK >CAK8531668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137389647:137390540:-1 gene:gene-LATHSAT_LOCUS1443 transcript:rna-LATHSAT_LOCUS1443 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDKPIPKYNNENSEIPNNFDPKSYNLSGKIMLCAIVLLFFVIVLMLCLHIYARWYLLQSRRRRNRLRRRSQLVFFTDNPTTTVSVATTQGLEASVIAKLPLFLYDPKAHPENAMECAVCLSEFESGEPGRVLPKCNHNFHTECIDMWFHSHSTCPLCRAPVESTFESQPEFVITVYEPESGSGSSSSHGSGLENENSNRTGKEVCSSSVGLRKPSFTDVAVEVPTRNNEGFRDESCDSPSSFRSPMSRMLSFKRILSRDWKGSVSPSSCGGGGGCSSVAELNLKVEQGGRGETQ >CAK8531810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:154169070:154173544:-1 gene:gene-LATHSAT_LOCUS1575 transcript:rna-LATHSAT_LOCUS1575 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKGSLKSSSSELDFDRPNIEDYLPSGVAIQQEPRGKLRLHDLLDISPTLSEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCFGVFIRYLIIFPIRVLVLTIGWIIFLSSFIPVHLLLKGHDKLRRSIERSLVEMMCSFFVASWTGVVKYHGPRPSRRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNLKPGETAIEFAERVRDIISHRAGLKMVPWDGYLKYSRPSPKHRERKQQNFAESVLRRLEEK >CAK8533903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670192764:670193402:1 gene:gene-LATHSAT_LOCUS3490 transcript:rna-LATHSAT_LOCUS3490 gene_biotype:protein_coding transcript_biotype:protein_coding MELESEKKFSEKGGETASMVNGFPPKFMETLIMSSFRVDLIEPSRVICSMIIPPRLLNSGNSLHGGATATLVDVVGSATIPAYGHVGLTGVSVEINVTYLDAAYVDEEIKIEAKALRVGKNLAVISVEFRKKKTGKVFAQGRHTKYLLVASKL >CAK8572460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538441224:538447849:-1 gene:gene-LATHSAT_LOCUS25026 transcript:rna-LATHSAT_LOCUS25026 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARSNNIDENVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKTYPDSDVYLESKSDDFDAPLSTAFTSSSGKKLFDKVSKNQFRYTPEGADTTRGSSSRRDSKLGEGDDSPDHADDEDAIEENWVSNDSPHASGEESAGKMLYGGSLDANATTEYSDGTSDSSQETGGQTCHQCRKNVRDRVTWCLKCDRRGYCDSCISIWYSNVSLDEIQRLCPACRGICNCKICLRNDNSIKVRIREIPVMDKLRYLHLLLSSVLPVVKQIHHEQCFEVELEKKLRGAEIDLPRTKLNADEQMCCNLCRIPITDYHRRCPSCSYDLCLICCRDLREATVHQSKEPQTEQARTTDRNILSKFPHWRSNNNGSVPCPPKEYGGCGYSSLNLSRIFKMNWVAKLIKNVEEMVSGCKMRDSDGPPKTGLNTLRLCQYSQREASNDNYLYCPTSEDLKTDGIGMFRMHWKTGEPIIVKQVFDRSSISSWDPLVIWRGILETTDANMKDDNKMVKAIDCLDGSEVDIELGQFMKGYSEGRILENGWPQILKLKDWPSPRASEEFLLYQRPEFISKLPLLQYIHSKWGLLNVAAKLPHYSLQNDVGPKIYISYGINGELGRGDSVTKLHFNMRDMVYLLVHTSEVKRKDRQRTTIEMTQKPHICSRGSSPDSALCTKINGLDLESDQKDSTMDQGYEVYSSAEGNMVDCEIPLKQNGVTSEITHPGVLWDVFRRQDVPKVTEYLKMHWKEFGKSDDIVTWPLYGGAIFLDGLHKRKLKEEFGVEPWSFEQNLGEAIFVPAGCPFQARNVQSTVQLGLDFLSPESLGEAVRLAEEVRRLPNEHDAKLQVLEVGKISLYAASSAIKEVQKLVLDPKLGGEIGYGDPNLTAMVSENYEKMSKRRQITCA >CAK8538147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465716566:465716844:1 gene:gene-LATHSAT_LOCUS7379 transcript:rna-LATHSAT_LOCUS7379 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANAQGRSLDKRIRSRIAFFVESSTSEKKCLAEAKKRLTHFIRLANDLRFAGTTKTTISLFPFFGATFFFPRDRVGIYNNLFFRMPGNHS >CAK8573945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648962743:648965296:-1 gene:gene-LATHSAT_LOCUS26339 transcript:rna-LATHSAT_LOCUS26339 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAKKAMKKNLKRVNAKIKPSESADFLPLAGGPGRKLPELKPLENTSPVLYIGRIPHGFYEKEMEAYFAQFGTIKRLRIARNKKTGKSKHFGFIEFESPEVAKIVADTMHNYLLFEHLLQVYVVPAENVHPRLWKGFNYRYKPLDSLQIERERHDKERTLEEHKKLVDRVMKRDKKRRKRIEAAGIDYECPEIVGNLHPAPKKIKFED >CAK8539086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503454826:503455251:1 gene:gene-LATHSAT_LOCUS8218 transcript:rna-LATHSAT_LOCUS8218 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTNMLMHIRRNAKEAESTAGLCKLVLGREAGSQHRVDQKTKSSSIGKKAAVDSSSSYSCCWVPHPRTGIYFPVGHDWVMEDVPEGAATFSETCFFRN >CAK8544475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687746894:687747983:1 gene:gene-LATHSAT_LOCUS13151 transcript:rna-LATHSAT_LOCUS13151 gene_biotype:protein_coding transcript_biotype:protein_coding MASDETMMMTTQSSLQSEDYEEDDDMNDLFHHHHHLHHQNHHNLSRLSVCTSSGVEDDVENLASVCMSHLSIESFEADGDDEAEGKDDRLLEAGLSSGSGNESGSCYSLPATPPRRRNLVPATAVAVVKDYASENEVRKETKTKSGGDSGKSRRRRRRRRVESVFERGSSWENLWDEKKKMKENGGNSGESDQSNGNGVMVITRPKGGNRSLCMDLEEVKACRDLGFELEHERVSAVSFSNSTLDNNTSSGGNSPIANWRISGPGDDPRDVKARLKVWAQAVAIASASKYGS >CAK8565308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:112489168:112493318:1 gene:gene-LATHSAT_LOCUS18531 transcript:rna-LATHSAT_LOCUS18531-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMSAPSSRERAQRLYEKNLELENKRRRSAQVRVPSDPNACQQMRENYEAIILEDHAFSEQHNIEFALWQLHYKRIEEFRAYFNAAVSSTNSNPTQGGKGPVRPDRVTKIRLQFKTFLSEATGFYHDLIIKIRAKYGLPLGYFEDSDNRIAMEKVGKKYADMKIGLVSCHRCLIYLGDLARYKGIYGEGDSINREFTAASSYYLQAASLLPSSGNPHHQLALVASYSGDELVTIYRYFRSLAVDSPFITARENLIVAFEKNRQSFSQLPGDTKILAVKESSGRPTGKVRGKVEAKLATKAASARIKEASSIQETYKCFCTRFVRLNGILFTRTSLETFTEVLAVVNAGLRKLLSSGQDEELSFGTDVTENELVIVRIVCIIVFTIYNVNKECEGQTYAEIVQRAVLLQNAFAAAFELMGYMIERCTELRDPSSSYLLPGILVFVEWLACYPDLAKGNDVDENQATIRSKFWNHCISLFNRLLLVEPMSILDEEETCFNNMSRYEEGETENRLALFEDFELRGFVPLLPAQSILDFSRNHSLGNDGEKERKARVKRILAAGKALANVVRVDQKVIYFDSKAKKFTIGVEPQTSDDFVLATSYSGILSTENFLQENPGDESMVEVVQSNQDRYIEEDEDEVIVFKPVVSEARADVVVSSWAPHEGLGPSLKAFEGDLNIHESSTSNPLNNINHQTLPVSVSGMMPQNIQPVQTSRWITEEISLGNNFEALRLFENGHVTKPGLQEAVDISNRVAFPIPVQQSVAADTNGVFQGLPKSSESLIPSKVDAIASSGVITDNLYGMTTPALQASLRKSPVSRPARHIGPPPGFSPFPSKQGGEYSVSDTISGNPIMDDYGWLDGYHLDSSTTGLSSNGPLAYAQSNSHQVSNNGYSGTVSFPFPGKKFPSVPLQVEKQNGWHEYQSNEHLKSHADQQLRPQQQLTNGNQQFSPLPEQFQGQSIWTGRYLV >CAK8565307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:112489153:112493318:1 gene:gene-LATHSAT_LOCUS18531 transcript:rna-LATHSAT_LOCUS18531 gene_biotype:protein_coding transcript_biotype:protein_coding MILVKMDNMSAPSSRERAQRLYEKNLELENKRRRSAQVRVPSDPNACQQMRENYEAIILEDHAFSEQHNIEFALWQLHYKRIEEFRAYFNAAVSSTNSNPTQGGKGPVRPDRVTKIRLQFKTFLSEATGFYHDLIIKIRAKYGLPLGYFEDSDNRIAMEKVGKKYADMKIGLVSCHRCLIYLGDLARYKGIYGEGDSINREFTAASSYYLQAASLLPSSGNPHHQLALVASYSGDELVTIYRYFRSLAVDSPFITARENLIVAFEKNRQSFSQLPGDTKILAVKESSGRPTGKVRGKVEAKLATKAASARIKEASSIQETYKCFCTRFVRLNGILFTRTSLETFTEVLAVVNAGLRKLLSSGQDEELSFGTDVTENELVIVRIVCIIVFTIYNVNKECEGQTYAEIVQRAVLLQNAFAAAFELMGYMIERCTELRDPSSSYLLPGILVFVEWLACYPDLAKGNDVDENQATIRSKFWNHCISLFNRLLLVEPMSILDEEETCFNNMSRYEEGETENRLALFEDFELRGFVPLLPAQSILDFSRNHSLGNDGEKERKARVKRILAAGKALANVVRVDQKVIYFDSKAKKFTIGVEPQTSDDFVLATSYSGILSTENFLQENPGDESMVEVVQSNQDRYIEEDEDEVIVFKPVVSEARADVVVSSWAPHEGLGPSLKAFEGDLNIHESSTSNPLNNINHQTLPVSVSGMMPQNIQPVQTSRWITEEISLGNNFEALRLFENGHVTKPGLQEAVDISNRVAFPIPVQQSVAADTNGVFQGLPKSSESLIPSKVDAIASSGVITDNLYGMTTPALQASLRKSPVSRPARHIGPPPGFSPFPSKQGGEYSVSDTISGNPIMDDYGWLDGYHLDSSTTGLSSNGPLAYAQSNSHQVSNNGYSGTVSFPFPGKKFPSVPLQVEKQNGWHEYQSNEHLKSHADQQLRPQQQLTNGNQQFSPLPEQFQGQSIWTGRYLV >CAK8562986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564089015:564090061:1 gene:gene-LATHSAT_LOCUS16441 transcript:rna-LATHSAT_LOCUS16441 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTVKFSLLFVLWFWNFNFLPLSQGKDDYPYIKKASSFSSPSISDTSLNKAYDYIVVGGGGAGCPLAATLSQNFSVLLLERGGVPFTNPNVTFLENFHITLADLSSTSASQYFVSTDGVFNARGRVLGGGTSINAGFYTRASSRFISKVGWDAKLVNESYPWVEKQIVHRPKFSPFQRAVRVSLIDTGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAVGVIFNDENGKQHEAMLGNGMRSEVILSSGAIGSPQMLLLSGIGPKAELENLNISVVLDNRFVGKGMIDNPMNALYVPSKRPVWHASSDASG >CAK8576117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408906935:408907507:1 gene:gene-LATHSAT_LOCUS28331 transcript:rna-LATHSAT_LOCUS28331 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEIGEHKGWDAFVTEGFSNWKKKDKLNVHVGGPSSAHNQAWRKCNALMNQKQHIEVAINKQSDLIKREYHIHLTAIVDCIRLLLKLGLTFRDDDESVDSKNKGNFLEILQFLCNHNEEIDKVLKKSRGNLKLVSPSIQKDIVKTAASETTKVIIDDLNNDLFSILIDESQDVSVKEQMVVVLRYVDKK >CAK8564546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680468288:680474412:1 gene:gene-LATHSAT_LOCUS17844 transcript:rna-LATHSAT_LOCUS17844 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPNSLNMKKQTPNIASPSSQDILIRETLRISAELASTPLLDTTTPSLESTSTSTVRFICCEEIDGRRWKYVADADASGQFKSNSFRSLSLQTPRPPLDELTAFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNRATPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAAPHLFLPLACAANVLKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGFSIMIAKRNPSLIATFSLLSCGYILSSYQEVKSVVLHTLNSARFSVAVESFLKTGQVPTLREGNLNEDIFSFPWKDKPVVLGSRIKDAFQDPSAYVAIEPLFDKEKYIVTYNPSKSKVHAVLKDQAKSDDILKAAFHAHVLSSFIKSLNKSKVSSWKQSEDVNLNVMLSVADLEACVADSCKVVANAYWLFKNNVHEQGWTMSESLLNPGRARLCQADNR >CAK8560873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51713560:51714417:-1 gene:gene-LATHSAT_LOCUS14513 transcript:rna-LATHSAT_LOCUS14513 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKIPDHQVAGHQAKTGILGPLIDDSGKFYKPLQDDGRGSHELAFYTSLYSDPRIPSNIHNLFPNFHGTQVVEASDGSGLHPHLVMEDIAGNFTNPAVVDFKIGSRTWHPQSSEDYISKCLKKDRESSSIKLGFRISGLRSVSPSNQLWQPQRKFLMDLSAEDVILMMRKFVSSDGNAGEPDCVFGSRVYVHVLEELLVLKKWFEVQTIFHFYSCSVLVVYEKDEKDEKKSARAVVKLVDFAHVVDAKGAIDHNFLGGLCSLIKFVKDVLAGLGDDEISNPKP >CAK8564701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7242244:7243443:-1 gene:gene-LATHSAT_LOCUS17977 transcript:rna-LATHSAT_LOCUS17977 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVSNKNTRQKRLRLRLRRNPNLVSNWPPELESEMATAEEINYDSLPNLPIDLVGEIFCRLPVKLLLQLRCMCKSWNSLISDRNFTRKHLSLSTTRRLHYACYNYEPHELIHNSYPLESVLTNIPTKFKGRRNLFNRIYNSIVGSCDGLLCLADRSKGLVILWNPCFKKFKFKESPPFENSENLIKVYAAFGFGYDHVSENYKVVVLYYPKPNLFNKTKVKVHTLGTNCWKTIESFPFGTVEHPAIYIRGTGTLNWIVYTKWVRLGPCFIVSFDLGKESYQKLLPPPGHAEIGMSLSVLRDCLCVLFDNHIWVMKEYGIYDSWTKLLSVSYMQDRSKCCLLFDALYIFDDDRVLLETEEDSKTKVVVYDPKNDTFKVALFKDRLHVCLESLISPYDL >CAK8564702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7242244:7243335:-1 gene:gene-LATHSAT_LOCUS17977 transcript:rna-LATHSAT_LOCUS17977-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEEINYDSLPNLPIDLVGEIFCRLPVKLLLQLRCMCKSWNSLISDRNFTRKHLSLSTTRRLHYACYNYEPHELIHNSYPLESVLTNIPTKFKGRRNLFNRIYNSIVGSCDGLLCLADRSKGLVILWNPCFKKFKFKESPPFENSENLIKVYAAFGFGYDHVSENYKVVVLYYPKPNLFNKTKVKVHTLGTNCWKTIESFPFGTVEHPAIYIRGTGTLNWIVYTKWVRLGPCFIVSFDLGKESYQKLLPPPGHAEIGMSLSVLRDCLCVLFDNHIWVMKEYGIYDSWTKLLSVSYMQDRSKCCLLFDALYIFDDDRVLLETEEDSKTKVVVYDPKNDTFKVALFKDRLHVCLESLISPYDL >CAK8579741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719916434:719917303:-1 gene:gene-LATHSAT_LOCUS31662 transcript:rna-LATHSAT_LOCUS31662 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRLILITLFTTFLISNISVRKVSAATVIFSNKCPHPVWPAIQPGAGKPILARGGFNLQPNKAYSLQVPPLWSGRFWGRHGCTFDASGRGHCATGDCGGSLFCNGIGGTPPATLAEFTLGKDLDFYDVSLVDGYNLPISITPIKGSGKCSNAGCVSDLNLMCPVGLQVRSRDKRVVACKSACSAFNSPRYCCTGSFGSPQACKPTAYSRIFKTACPKAYSYAYDDPTSIATCTNANYLITFCPHHHR >CAK8530930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64239870:64241776:-1 gene:gene-LATHSAT_LOCUS757 transcript:rna-LATHSAT_LOCUS757 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSLFSFLTCCRRRRFFSQFIPHDLYDPPFSPSPKLQKINGDGSANLPLKSDLPFHFRYSYSETDPSIKPISFRESPKFSPFGPSRLDRKWTGTSAPVNHPVDLDRIQEERNRILGAPLEDHEVSQLVERYRHSDCSRQINLGKGGVTHNTLDDIHNHWKKAEAVRIKCLGVPTLDMDNICFHLEDKSGGKIIYRNINILLLYRGRHYDPAKRPLIPLMLWKPYAPIYPKLVNNVIQTLTHHQTKQLRNKGFNSHPLMKLTRNGVYVNVVERVREAFKTEEVVRLDCTHVGTTDCKKIGVKLRDLVPCVPILFKDEQIIIWRGNLDQEQCSDP >CAK8537460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:363224201:363226217:1 gene:gene-LATHSAT_LOCUS6750 transcript:rna-LATHSAT_LOCUS6750 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRDGSEITLLVLLLCLTTLSLKIEAVWMTIPSSGTKCVSEDIQTHVVVLADYYVVTEEVPQLQTISAKVTSPYGNNLHHNENVTQGQFAFTTTESGNYVACFWMGGNQQEGATATVNLDWKTGIAAKDWDSVAKKEKIEGVGLELLKLEGIVDAIHQYLTYLKDKEAKLREVSEKTNARVAWFSIMSLGLCISVSALQLWYLKSYFTKKKLI >CAK8541069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:56483161:56483394:-1 gene:gene-LATHSAT_LOCUS10020 transcript:rna-LATHSAT_LOCUS10020 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNHFHYQQGFFNYMQNSQNPNPQNSQIPLVPTNPGIFLPSPNNPNMYLIPQMNSNSMEFSTQVPPFSTQVPPFST >CAK8578207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612468763:612473287:-1 gene:gene-LATHSAT_LOCUS30240 transcript:rna-LATHSAT_LOCUS30240 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAESDEDGDNKGSMWGLDQKLDQPMDEEAGRLRNMYKEKKFSALLILRLAYQSLGVVYGDLGTSPLYVFYNTFPKGVKHEDEVLGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRSTFHERSFAAKTKRWLEERESWKSVILILVLAGTCMVIGDGILTPAISVLSAVGGIKVNQPDMNSSVVVLVAVVILVGFFSLQHYGTDRVSWLFAPIVLLWFLLIGGIGIFNIWKHGSRVLKAFSPVYIYHYFKRGGKKGWTSLGGIMLSITGTEALFADLAHFPVSAVQLAFTLVVFPCLLLAYSGQAAYLMNNMSHSQDSFYRSIPESIYWPVFVVATAAAIVASQATITATFSIVKQALAHGCFPRVKVVYTSKKFAGQIYIPDINWILMILCIAVTAGFENQNQIGNAYGTAVVIVMLVTTFLMILIMILVWRCHWILVLAFTCLSLIVEFSYFFSVIFKVDQGGWVPLVIAGAFLVIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPPIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNYHIFRCVARYGYKDLHKKDDEFEKKLFDNLSTFVKLESMMEGCSDSDEYSLYGQETELLPRDGLLNNNGSTFSSNVEFTINSIDSIVQVRSPPPHVSNNTLRSSGQVSSQTEIDEVEFLNNCRDAGVVHILGNTVVIARRDSNFFKKLAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFSV >CAK8559913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658062:660365:-1 gene:gene-LATHSAT_LOCUS13647 transcript:rna-LATHSAT_LOCUS13647 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTLTPTSTSLSFFSSSIFISNASKTLIHTKFKFLPNSNSHSTSLSISCKVATLPLLSFSGDKVGETTLDIKSATPDTSRAVVHRAIVHDLQNKRRGTASTLTRAEVRGGGRKPYGQKKTGRARQGSIRTPLRPGGGVIFGPKPRDWSIKMNKKEKRLAISTAVASAAVNTVVVEEFGAEFEGNPKTKDFIAAMKRWGLDPKEKAAFLMTEVSEKVLLASRNIGTLKILTPRTLNLYDVLNADKIVLTPGAVDYLNNRYGFGDQDDGDYDEEEGDIVEEVVEDDQEGPDTEESTGVVN >CAK8530608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35489265:35489801:-1 gene:gene-LATHSAT_LOCUS461 transcript:rna-LATHSAT_LOCUS461 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWELVEEEFMDDSDEEQQLQNELRSGSSSRPKRRTTVDRGREEGHNRLFNDYFSENPIYTDVQFRRRFIMHRHVFIRIVDALGNHDEYFQMRVDATGKMDLSPLQKCTSVIRMLAYGSPADLVDEYVQIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDIEHLL >CAK8577513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570163432:570163779:-1 gene:gene-LATHSAT_LOCUS29615 transcript:rna-LATHSAT_LOCUS29615 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMICQRNETNAQHMPPIGEASFIHFPYQPGHLLLKW >CAK8530665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39022255:39051045:1 gene:gene-LATHSAT_LOCUS512 transcript:rna-LATHSAT_LOCUS512-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIVLFGDSITEDSFEHGGWGASLAHHFSRKVDVVVRGYSGYNTRWALKVLERVFPASQEPDPIALTVFFGANDACLPNRYAYFQHVPLSEYKENLRTIVSFFKKRWPTTHVLLITPPPIDEEARLRYPFLENPEGLPERTNEAAGEYARACIAVAKECQVPVIDLWTKMQHLSGWKEYYLSDGLHLTNGGNQVVFEEVIKKLRDIGLSAESVPIDLPLISDVDRNDPMKTFLV >CAK8530664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39022255:39051045:1 gene:gene-LATHSAT_LOCUS512 transcript:rna-LATHSAT_LOCUS512 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIVLFGDSITEDSFEHGGWGASLAHHFSRKVDVVLRGYSGYNTRWALKVLERVFPASRETDPVALTVFFGANDACLPDRYSAFQHVPLSEYKENLRTIVSFFKKRWPTTHVLLITPPPIDEEARLRYPFLENPEGLPERTNEAAGEYARACIAVAKECQVPVIDLWTKMQHLSGWKEYYLSDGLHLTNGGNQVVFEEVIKKLRDIGLSAESVPIDLPLISDVDRNDPMKTFLV >CAK8530666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39049075:39051045:1 gene:gene-LATHSAT_LOCUS512 transcript:rna-LATHSAT_LOCUS512-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIVLFGDSITEDSFEHGGWGASLAHHFSRKVDVVVRGYSGYNTRWALKVLERVFPASQEPDPIALTVFFGANDACLPNRYAYFQHVPLSEYKENLRTIVSFFKKRWPTTHVLLITPPPIDEEARLRYPFLENPEGLPERTNEAAGEYARACIAVAKECQVPVIDLWTKMQHLSGWKEYYLSDGLHLTNGGNQVVFEEVIKKLRDIGLSAESVPIDLPLISDVDRNDPMKTFLV >CAK8540327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552846038:552847883:-1 gene:gene-LATHSAT_LOCUS9341 transcript:rna-LATHSAT_LOCUS9341 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYKLALRIQRKLVSPKYPKIRQFLHRRTRAVFDVAIKVHRSIQKRNIKVGRNVGNFILRCLDRIKPSARIRGPSPSITGGNSKESTTKPTAGTSNHKPPSHSVPFKKDSDRHMFTALTPKPFPSISKMMIPPNPAGNTIHGRNLSTYTPEVFRQNYRVNWSGSVIRKDIMQWMLQK >CAK8568295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591447224:591447949:1 gene:gene-LATHSAT_LOCUS21267 transcript:rna-LATHSAT_LOCUS21267 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKCGCLESALAVFDDISDEDVGAWNAMISGLALNGDAGKSLKLFQQMVVCGSCSNGTTFVVILTACTHTRMVQEGLQLFEEMSGTYRVEPCAEHYACVVDLLSRADMVVEAERFIEEKIGGFAAGDANVWGAILNACRIHKNINVGNRVWRKLTDMGIVDCGTHVLTYNTYREAGRDAEANRVRSMISEAGMKKKPECNIIDVGNGVEEFLAGDQSHPQALEMRRLLDSILKMDNLEHI >CAK8537618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:408140195:408140434:-1 gene:gene-LATHSAT_LOCUS6889 transcript:rna-LATHSAT_LOCUS6889 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDATPTKDNLPTSYYDAKILVSKLGLEVRKIDCCINGCMLFYDNEFGIMMRRWRNVSSVRFRDIKFIVKPLTINKNV >CAK8565911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:350648412:350648753:-1 gene:gene-LATHSAT_LOCUS19086 transcript:rna-LATHSAT_LOCUS19086 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIFHQTLLCQTQSVAEYQSKLNSCGIVSGTLFQSQSVDKEKKLLLSTNFRGSRLCVRKRRVAMAKNHSISRAVLTSNAASELSEKFNLEGNIEMQVNLSFSGSGECNITN >CAK8563088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572698280:572699905:1 gene:gene-LATHSAT_LOCUS16533 transcript:rna-LATHSAT_LOCUS16533-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGEIFRSNLSELLEESSSGADELHVLAVDDNLVDRKVIERLLKISSCKVTVVESARRALQYLGLDGENTDIGFDGVKVNLIMTDYSMPGMTGYELLKKIKESSVFREIPVVIMSSENVLTRIDSCLEEGAEDFLLKPVKLSDVRRLKDFVMRGQVKDGEKSSLKRRRSSEDCTPSLSATFSPMRHSCDPPSSVFSPLSPSPLSSKKSRL >CAK8563089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572698280:572699905:1 gene:gene-LATHSAT_LOCUS16533 transcript:rna-LATHSAT_LOCUS16533 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGEIFRSNLSELLEESSSGADELHVLAVDDNLVDRKVIERLLKISSCKVTVVESARRALQYLGLDGENTDIGFDGVKVNLIMTDYSMPGMTGYELLKKIKQESSVFREIPVVIMSSENVLTRIDSCLEEGAEDFLLKPVKLSDVRRLKDFVMRGQVKDGEKSSLKRRRSSEDCTPSLSATFSPMRHSCDPPSSVFSPLSPSPLSSKKSRL >CAK8566058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374217950:374218313:-1 gene:gene-LATHSAT_LOCUS19225 transcript:rna-LATHSAT_LOCUS19225 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVLLIFLSATLAGFFVLRNLRSQPKIDDDEDADSTLPSNPKILDSSNAASNGNSKVWNVLESGFWTFVDMASGRYLWRHVVSASSSKRSS >CAK8544307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677058573:677059796:-1 gene:gene-LATHSAT_LOCUS12999 transcript:rna-LATHSAT_LOCUS12999 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPRGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTIILGIHLILLGIGAFLLILKAFYFGGIYDTWAPGGGDVRKITNLTLSPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALAVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPIGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDLEPVLSMTPLN >CAK8573979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651659148:651659961:1 gene:gene-LATHSAT_LOCUS26372 transcript:rna-LATHSAT_LOCUS26372 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSFFLALLAIIHGIQAVDYTVTNTALSTSGGIRFRDQIGDQNATQTLDSATRFIWKVFQQNNPTNRKNVQKVSLFIDDMDGVAFTSGNEIHVSARYVNGYNGDVRKEITGVLYHEMTHVWQWNGNGQGDGGLIEGIADYVRLKANYAPSHWVKAGQGDKWDHGYDVTAHFLDYCDHLKNGFVAKLNKLMRSGYSDQLFVQLLGKTVDQLWKEYKAKYGNIA >CAK8541768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391783700:391784158:-1 gene:gene-LATHSAT_LOCUS10663 transcript:rna-LATHSAT_LOCUS10663 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLMKLLCLVGFVVLVLGIHGVESAGECGKGTTPDNEAFKLAPCANAASDEDANVSQSCCAQVKKLGQNPACLCAVMLSNVAKMSGADPEIAVTIPKRCNIATRPIGYKCGPYTLP >CAK8535837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884245395:884247546:1 gene:gene-LATHSAT_LOCUS5257 transcript:rna-LATHSAT_LOCUS5257 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEFFDAIKKNDMTTFSNLVKEREGILNQRTDDTLSTPLHLASKYGCIEIVYEIVRLCPDMVSAENKNMETPIHEACTQENVQVLMLLLEVNPMAACKVNPSGKSAFFVACYHGHLDLVNLLVNLSENVGLEVVGFDQACFHIAASRGHSDVVRELLNKWPDLTQLIDENGNSALHHACNKGHREIVWILLKRDSNLALQYNNNGYTPLHLAVMNGKVSVLNDFVSGSSASFHCLTREEETVFHIAVRYGCYDALVFLVQIANGTNLLHCQDRYGNTALHLAVSGGRHKMTDFLINKTKLDINTRNSEGITALDILDQAMDSAENRQIQTTFIRAGGRRSIQSSSFSLELDKAYSPSPPSRLSPSRHYIPTEMEILNEMVSYDCLSPPPVSKSTESRSPQLQINETFDNGTDNPYYFSPTNLVKHKHHNNNKGKMENMNHTKRKNYHEMHKEALLNARNTIVLVAILIATVTFAAGITPPGGVYQEGPMKGKSMVGKTTAFKVFAISNNIALFTSLSIVIVLVSIIPFRRKPQTILLIIAHKVMWVAVAFMATGYVAATWVILPHSQGMQWLSVVLLALGGGSLGTIFIGLTVMLVEHWLRKFKLRKGKKESGDESVDSDKESESSDFQSSYLQGYHSY >CAK8535195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823352050:823352403:-1 gene:gene-LATHSAT_LOCUS4669 transcript:rna-LATHSAT_LOCUS4669 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGMHVVGNRFTWFKSNGSCKSNLDKLILTEELINKWNIVVQHVRDRDISDHIPMWIKANNVNCAPKSFKVFNCWYDHPEFLEFAKNEWNSIQVDGSVAHILKEKFKVLRTRLRW >CAK8567943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558398256:558398909:1 gene:gene-LATHSAT_LOCUS20950 transcript:rna-LATHSAT_LOCUS20950 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLCERSGKYQTPLQNFKREDTGSRKCEFPFKLCRYMLARKKWIFNFMCGVHNHDLCLKLVGHPSVCRLKPEEKVCISDMTLNLVQPKNILAILKRKQTDNISNIKQVYIIRYLSNKVIRGDKTEIQQLLKLLDDSSYVSQYQTCDNGVMVRDIFWTHLNSIRLFNAFLTVLILDSTYKTNKYRLPLFEMVCVTSTEKTYSVGFAFLECEKEDNFT >CAK8571992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500563246:500564340:-1 gene:gene-LATHSAT_LOCUS24601 transcript:rna-LATHSAT_LOCUS24601 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTMPEYKNSPKPQFKNSPKPQFHKSPKPEYMNSPKPQFHKSPKPEYMNSPKPRHMRSPTLPEYDNSPKPQFKNSPMPELKNSPMPQFRNSPMPEYRNTPMQQVRNSPMPEYKNSPMQQVRNSPMPEYKNSPMQQVRNSPMPEYKNSPMQHLRNSPMPQFRNSPMPQFRNSSAAAPHFRNSPAVSKFNPAMGFGGSHRGTPMHPFGKMNGGMEYATPMRSNLANVRPVMMTESELGPSPSEVAAAMAKKPVIDEDTSTVGGWSLDESVEGLGSKLERWRSELPPVIDQGEMSSLPTTSTSKTKTSRHSRRHTDGGNGLFSCFSNICGVECSIVCGGDSKSKSKANKNSRRLTSSADGSSSLL >CAK8543624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627552489:627555836:1 gene:gene-LATHSAT_LOCUS12372 transcript:rna-LATHSAT_LOCUS12372 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVREREDYTQDGTVDLKGRPVLRSNTGTWRACSFIVGYEMIERMAYYGIASNLVLYLTKKLHEGTVKSSNHVTNWAGTVWIMPAAGAYTADAYLGRYWTFVISSAIYFLGMCLLTLTVSLPTLRPPPCATGIADKDCQRASSLQIGIFFFALYIIAAGTGGTKPNISTIGADQFDEFEPKERSQKLSFYNWWVFYILIGTIFAQTVLVYIQDNVGFSLGYGIPTIGLGVSILIFVLGTPLYRHRLPSGSPLTRMVQVFVASMRKWKVNVPIDLKELHEVTLEEYTSRGRFRINHSSSMRFLDKAAVKTDQTSPWMLCTVTQIEETKQMAKMIPILITTCIPSIIIAQTTTLFIKQGTTLDRSIGPHFEIPPACLIAFVNIFMLISVVIYDRVFVPAIRRYTKNPRGITMLQRLGIGLVMHVIIMVVACLIERKRLNVARENSLLGALDTVPLTIFILVPQFALTGIADTFVDVAKLEFFYDQAPEAMKSLGTSYFTTTLSIGNFLSTFLLSSVAYFTSKDGHKGWILDNLNVSHLDYYYAFLGLLSLVNFICFFVVAKLFVYNDDVTQTKTLDFEMNPASSHS >CAK8572960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573057221:573059614:-1 gene:gene-LATHSAT_LOCUS25459 transcript:rna-LATHSAT_LOCUS25459 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGETFISENSGFEFQNTDLTRLTMSRKENFVHFKERIQSKILSGQISQIIYRSPVFFYNNEVKYFQEKILDNSDVDQMFDSHEQSGLDYIEVYMLLCQTEHEVGETTDIDEIDVVDEEEEDPETMVDQMVNLFGSGDYSAMTPLQDIDEEALPLNQVYCPPQHMTNLQCSGDDTSSDYFYNPSQQIESVLKVENQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGTNPSVKVSTIISYVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFLIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPSSVHVFCIRHIAQNFMRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIIDEIMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPRHTRKNCPNVGTSSR >CAK8569993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19619383:19621608:1 gene:gene-LATHSAT_LOCUS22785 transcript:rna-LATHSAT_LOCUS22785 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAGKRKDHESPKVNNNHNNKKGYERSSSSKALDDDTAMFINMSQELREEGNKLFYQRKDNEGAMLKYEKALKLLPKNHIDVAHLHTNMAMCYMQLGLGEYPRAINECNLALQVSPRYSKALLRRAKCYEALNRLDLAMRDVRVVLNAEPNNLSALEVLDSLRNTMDEKGITIDETEIALAAIQQQESPAARFRKVVNEKIKKKKGHKGEGEEERKSKVVVEKKANVVNVKVKGKDPKDKVMKDKDSREVVKKDKEVTRTVKLIYGEDIRWAQLPVNCSMKLVRDVIRDRFPGLKGVLVKYKDREGDLVTITTTAELRLAEDCHVLGSIRLYITQVDFDQEPRYDEKTSSGEVRVGNGVGEGDRGVRANRMITVEDWLVQFARLFKNHVGFDSDSYLDIHEVGMKLYSEAMEDAVTNDSAQELFDIAADKFQEMAALALFNWGNVHLSKARRRVPFQEDGLREASFEHVKAAYEWAKKEYKEAEMRYEESVKIKPDFYDGLLALGYQQFEQAKLCWCYLIANNEKFEVDPFEEVLQLYNKAEDSMEKGMLMWEEIEEQRLNGLSKLDKYNAQLEKMGLFGLFSDVSSNEADEHASKMRIQIYLLWGTLLYERSVVEFKLGLPTWEECLEVAVEKFELAGASTTNIGVMIKNHCSNETAMEGFKIDDIVQAWNEMYDGWQFDVPTFRLEPLFRRRVPRLQYILEQF >CAK8570941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:182721005:182722568:-1 gene:gene-LATHSAT_LOCUS23648 transcript:rna-LATHSAT_LOCUS23648 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSASEPVGYPGGPFDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDMFVEHKAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYNWGAVALVTLYRYLRDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYKQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYFPDRCMRQFGYRQYIPHPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPVYEAGPSDPSWARVSSLIHRYL >CAK8535345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:841241990:841242952:-1 gene:gene-LATHSAT_LOCUS4811 transcript:rna-LATHSAT_LOCUS4811 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDDDDVSSWLTLSLPSSPSLGPFNPQTFFHSNTTYHGVPPAAALSYKEQDMVNASSCLTLSLSPPQPQQLEYAPLTPSVSPNAITSSNSEVMESPQTIQPPFPPFPCALSYEEQDMVNASSCLTLSLPPPQPQQLEYASLTPSLSPPNAITRSNSEVLESPQTIQPPFPWATLKPATVHTINHLLYDLNINTISGTLECKFCKFQQTDVRFDLLEKFEKVANFIEEKRPKMFERAPDQWMKPVLPNCRSCGPKSKMRPLIGKNEEINWLFLFLGEMIRCCNLVHLKYFCEHANIHRTGAKDRLLYQTYLSLYKQLQPQ >CAK8532673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:472287921:472288559:1 gene:gene-LATHSAT_LOCUS2355 transcript:rna-LATHSAT_LOCUS2355 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNVFSDILEGRAANVQYTISGTPYNMGYYLADDIYPEWATFVKTISMPQGEKRKLFAQHQESARKDVERAFRVLQSRFAIIRGPARDWHMETLKHTIYACIILHNMIVEDERHTYGGDFDYSYDNVGNNNSTTETFNGPHPNLATRLQRRATLREKQVHRQLQGDPFEHIWERFGHEDDEN >CAK8534061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690082674:690090129:1 gene:gene-LATHSAT_LOCUS3634 transcript:rna-LATHSAT_LOCUS3634-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSENVKIGKEFETIDPRSGEVIAKIAEGEKEDIDVAVRAARVAFDDGPWPRMPGAERAKILLKWADLIDENIEEIAALDTIDAGKLYSFCKAVDIPGVAATIRYYAGAADKIHGEVLKPARELHAYTLMEPIGVVGHIIPWNFPSTMFVAKVAPSLAAGCTMVLKPAEQTPLSALFYAHLAKEAGIPDGVLNVVPGFGATAGAAISSHMDIDKVSFTGSTEVGREIMVSAARSNLKPVSLELGGKSPLLIFDDADVDKAAELALIGILFNKGEICVAGSRVYVQEGIYDEFEKKLVEKAKAWIVGDPFDPKVQQGPQVDKKQFEKILSYIEHGKREGATLLTGGKNIGNKGYYIEPTIFSNVKEDMLIAQDEIFGPVMALMKFKTIEEAIKSANNTRYGLAAGIVTKNLDTANTVSRSIRAGIIWINCYFAFGNDIPYGGYKMSGFGRDFGLESLHKYLQVKSVVTPIYNSPWL >CAK8534060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690066217:690090129:1 gene:gene-LATHSAT_LOCUS3634 transcript:rna-LATHSAT_LOCUS3634 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSNGKPNFSLEIPTIKFTKLFINGQFVDSLSGKEFETIDPRSGEVIAKIAEGEKEDIDVAVRAARVAFDDGPWPRMPGAERAKILLKWADLIDQNIEEIAALDTIDAGKLYSFCKAVDIPGVAATIRYYAGAADKIHGEVLKPARELHAYTLMEPIGVVGHIIPWNFPSTMFVAKVAPSLAAGCTMVLKPAEQTPLSALFYAHLAKEAGIPDGVLNVVPGFGATAGAAISSHMDIDKVSFTGSTEVGREIMVSAARSNLKPVSLELGGKSPLLIFDDADVDKAAELALIGILFNKGEICVASSRVYVQEGIYDEFEKKLVEKAKAWIVGDPFDPKVQQGPQVDKKQFEKILSYIEHGKREGATLLTGGKNIGNKGYYIEPTIFSNVKEDMLIAQDEIFGPVMALMKFKTIEEAIKSANNTRYGLAAGIVTKNLDTANTVSRSIRAGIIWINCYFAFGNDIPYGGYKMSGFGRDFGLESLHKYLQVKSVVTPIYNSPWL >CAK8562828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:544599299:544600711:1 gene:gene-LATHSAT_LOCUS16293 transcript:rna-LATHSAT_LOCUS16293 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSGGKGISSSALPYKRTAPSWLKTTSQDVEETICKFAKKGLTPSQIGVILRDSHGIAQVRSITGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >CAK8567229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496346556:496347122:1 gene:gene-LATHSAT_LOCUS20299 transcript:rna-LATHSAT_LOCUS20299 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRPPKNTVPPPTKPPLMSPPKQQSELRSHQESNSRLIEEEILEIETLDERPGKPNQEEIETATQSNDALLDAVPKKFETGKPIHEGASEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKLEVVIEEDDIISEVKFWESSLILYAMGVDLSMNAVKNFMTKNWNFVQLSDMYYNDEGYF >CAK8535804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882867408:882872426:-1 gene:gene-LATHSAT_LOCUS5226 transcript:rna-LATHSAT_LOCUS5226-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAANMSNNPPPAKNFFPSPALSLSLAGIFRHAGAVVEGEGATTSNMEVEEGEEGSTIGGGERVEEISSEYSGPSKSKSEEDFEGDEQEDDEEAEGDNKNKKKKRKKYHRHTAEQIRFMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKTEIEKLRDKNKTLRETINKACCPNCGVPTTNRDGSMPTEEHQLRIENAKLKSEVEKLRAALGKYASGTMSPSCSTSHDQENIKSSLDFYTGIFGLDESRIMDVVNQAMEELIKMATMGEPLWLRSFETGREILNYDEYLKEFGGENSDSGRTKRSIEASRDTGVVFADFHRIVQCFLDANQWREMFPCLISKAATVDIICKGEGSNKNGVAQLMFAELQMLTPMVATREVYFVRYCKQLSGEKWAIVDVSIDKVEDNIDMSLMKCRKRPSGCIIEDKSNGHCKVTWVEHLECQRSTVHSMFRTIVNSGLAFGAKHWIATLQLQCERLVFFMATNVPMKDSTGVATLAGRKSILKLAQRMTWSFCQAISASSFHTWTKVTSKTGEDIRISSRNNLNDPGEPLGLILCAVSSVWLPVYHNVLFDFLRDEARRTEWDIMSSGGAVQTIANLAKGQDRGNAVTIQTIKSKENNMWILQDSCTNSYESMVVYAPVDITGIQSVMTGCDSSNLAVLPSGFSIVPDGLESRPMVITSRREEKNTEGGSLFTIAFQILTNASPTAKLTMESVDSVNSLVSCTLKNIRTSLHCEDG >CAK8535803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882867408:882872465:-1 gene:gene-LATHSAT_LOCUS5226 transcript:rna-LATHSAT_LOCUS5226 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPFKNTLSPLSMCAANMSNNPPPAKNFFPSPALSLSLAGIFRHAGAVVEGEGATTSNMEVEEGEEGSTIGGGERVEEISSEYSGPSKSKSEEDFEGDEQEDDEEAEGDNKNKKKKRKKYHRHTAEQIRFMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKTEIEKLRDKNKTLRETINKACCPNCGVPTTNRDGSMPTEEHQLRIENAKLKSEVEKLRAALGKYASGTMSPSCSTSHDQENIKSSLDFYTGIFGLDESRIMDVVNQAMEELIKMATMGEPLWLRSFETGREILNYDEYLKEFGGENSDSGRTKRSIEASRDTGVVFADFHRIVQCFLDANQWREMFPCLISKAATVDIICKGEGSNKNGVAQLMFAELQMLTPMVATREVYFVRYCKQLSGEKWAIVDVSIDKVEDNIDMSLMKCRKRPSGCIIEDKSNGHCKVTWVEHLECQRSTVHSMFRTIVNSGLAFGAKHWIATLQLQCERLVFFMATNVPMKDSTGVATLAGRKSILKLAQRMTWSFCQAISASSFHTWTKVTSKTGEDIRISSRNNLNDPGEPLGLILCAVSSVWLPVYHNVLFDFLRDEARRTEWDIMSSGGAVQTIANLAKGQDRGNAVTIQTIKSKENNMWILQDSCTNSYESMVVYAPVDITGIQSVMTGCDSSNLAVLPSGFSIVPDGLESRPMVITSRREEKNTEGGSLFTIAFQILTNASPTAKLTMESVDSVNSLVSCTLKNIRTSLHCEDG >CAK8530546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29389148:29391232:1 gene:gene-LATHSAT_LOCUS404 transcript:rna-LATHSAT_LOCUS404 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHKNSQHHHHLLLLSSSFFLLFTSISAVDFIFNRFISSNISLFGNSTIDSQILTLTHHQSFSIGRALYPQKIPTKNSSSSHVYAFSTSFIFSMAPFEDTLPGHGLVFIFTPVKGIQGTSSAQHLGLFNLTNNGDSNNHVFGVEFDVFMNQEFKDINDNHVGIDVNSLTSVVFHDAGFWLDDTRNEKDRVFQKLVLNNGENYQVWIDYEDSLINVTMSKLGMRKPVKPLLNVSLNLSDVFEDEMFVGFTSSTGQLVQSHKILAWSFSNTNFSFSDEIITIGLPSFVLPEDSIFESKRFVAGFSVGVFFIVCVLVLLVVFLIQEKRKRDKKRSEVEDWEMEYWPHRMSYEEVKASTKGFSEENVIGIGGNGKVYRGVLRGGVVIAVKNISLENNGMREFLAEVSTLGRLKQRNLVGLRGWCKKNAGNFLLVYDYMENGSLDKRVFVDFDDDYESKMLNFEDRIRIIKDVAYAVLYLHEGWEVKVVHRDIKASNVLLDKDMNGKLGDFGLARLQNHGQVARTTKLVGTVGYMAPEVIKTGRASTHTDVYMFGILILEVICGRRPLEEGKPGLVEFVWKLLEQGELVCALDERLRAKGEFSLQELERVLHLGLLCAYPEPKSRPSMRQVVNVLEGRNEGGEESENVDSYLLQQLKSRDILSEYSLYFSYASHPTFQDVPQFSSTSVTWSGSLVEGR >CAK8541671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:344624071:344624433:-1 gene:gene-LATHSAT_LOCUS10574 transcript:rna-LATHSAT_LOCUS10574 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTMKEWYHNLGAFKQDELHHLETTASVLGVLHREFIGDMEIFDRKNRQELFEMKCCSLKTKDLDKHYHRMAQRYYVFNGYNDPSLKNTYVSSQPQEHQPEIHRMLVKAQKDIKTMSLG >CAK8577082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535916007:535917111:1 gene:gene-LATHSAT_LOCUS29222 transcript:rna-LATHSAT_LOCUS29222 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLSHLRSLGYHFQHHNLSVLIPIERPYLNRGKTHTISQIISFSARFCTSFAFHLYARKNSYNLTDNKFADLTNEEFRSTYMGLRTRSSHSHTGFRYDEHGDLPESKDWSDLPESKDWKKEGAVTKVKNQGRCGGCWAFSANAAVEGLHKIKSGNLVSLSEQELIDCDVESDNQGCGGGLMETAFTFIVNKGGISAEKDYPYKGVDGTCDTEKAKHYAVSISGYEKVPADNEAKLKTAAANQPVSVGIDADGYSFQLYSEGVFSEICGICGKHLNHAMTVVRYGEENRDKYWIVKNSWGSDWGESGYIKMKRSTFDKAGVWHCQVSQLPCVV >CAK8575034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22824363:22832376:-1 gene:gene-LATHSAT_LOCUS27327 transcript:rna-LATHSAT_LOCUS27327 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNALKDEQLVVNEQQEIYESFVCSVCLDLLYKPIVLSCGHVSCFWCVHKSMNSSRESHCPVCRNPYHHFPTICEMLHFLLLKTYPAAYKRRENQTLEEEKEMGCFSPQIICDCLGNSPTSTIVNQASNSCSTECFEIMEQSGSANHKGDEGIICEYSSDRKPQIIGTPSVEGKTLPQNEHNQQLKVFITDLMCPICKQLLVHPVVLNCGHVYCQTCITNLSLEMLRCKVCQSPHPRGFPKVCLALDQFLEKQFLEEYTQRRDAIQLGQIKVQPETMSSCSLPKDSGEKISWWSNPELLVHRGAGCDFCGMYPIIGDRYRCADCEESIGFDLCGDCYKNRSKRPGRFNQKHTPDHKLELVQYRRMLISRGQDSSDLIVIPDDPASSSDEDDVNTEYA >CAK8569076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668916893:668920778:1 gene:gene-LATHSAT_LOCUS21967 transcript:rna-LATHSAT_LOCUS21967 gene_biotype:protein_coding transcript_biotype:protein_coding MERRWPWKKKLSDKVVNEKTGGLVESEDASNQDNKKSNYVQISVESYSHLSDLEDQVKSYEEKVKTYDEQVQTYEEKVQTLEDEINEMNEKLSVANSEINTKESMVKQHAKVAEEAVSGWEKAEAEALALKDHLESVTLSKLTVEDRASHLDGALKECMRQIRNLKEEHEQKIQEVALSKTKQLDKIKGELEANIASFEQKLRRSAAENGALSRSLQERSNMLIQISEEKARAEAEVEQLKSNVESCEREINSLKYELHVSSKELEIRNEEKNMSMRSADAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYGESRLRKSPVKASTPNPSPLPEFSPENVQNFQKENEFLTERLFTMEEETKMLKEALAKRNSELQASRSMCAKTLSKLQSLEAQLQTSNHQNGSPKSITHVTHESIYSQNASIAPSLVSVSEDGNDDAGSCAESWTTTIASGPSPHVSKKCTEESSKSEAIQKLELMDDFLEVEKLARLSIDSNIDATVSLSSNNKATDGVANDISQVSTAKVGLSETNGDSNSLPNQVSSGALMSALDPQSDVGSLLTELRSRILLVFESIAKDADIGKIVEDIKHVLDDSHDTAVHHSVDFISQEAQTFEATRDRQNNPEDAGLNLENETISSLQPKEYVQITSDLEAAISHIHDFVLLLGKEAIPFHDVSSDGNEMSQKIEEFSVTFSKVLRSNASMSQFVLDLSYVLAKARELRFSVLGYKGTEAETISLDCIDKIALPENKLVQENSSGERYQNSCSRVLNPEVPDDGNLVSGYNANVASQKLSSEEFEELKLEKDKVATDLSICAGNLEATKSQLLETEQLLAEVKSQLASALRSNSLAETQLKCMTESYKSLETRSQEFEAELNRLKSTIETLENELQDEKKAHEAALMKSKEFEEQLQRIESLTAENDIKSTKERDLAAAAEKLAECQETIFLLGKQLNAIHPQSPKFEGFNSMNQTATTSPNSQEVAQLEMDSTTSFVQRLSSDSPLHFSNGLFVPSDNDSNVPVPVQDRSPASIPKSKPKHRPSKSASSTGSVTTPEKHGRGFSRFFSSKGKPGY >CAK8564148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654535207:654535518:1 gene:gene-LATHSAT_LOCUS17477 transcript:rna-LATHSAT_LOCUS17477 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8536263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922929823:922930281:-1 gene:gene-LATHSAT_LOCUS5648 transcript:rna-LATHSAT_LOCUS5648-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFAQDQGTTFNLEYAWRLLKDEVKWRIVEESIGNSVKITKIYASGASSENPDTTSSYEFNSSSLMKRPMGQKAAKRKGKASEIPNATQDAKNKRAIAMDRLAQAKEDELELRVVQMMMKDTFTMNDSQRDIHEKYCNKMKKNMECS >CAK8536262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922929823:922930356:-1 gene:gene-LATHSAT_LOCUS5648 transcript:rna-LATHSAT_LOCUS5648 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKFVGCYKIALKGKKSGTSETDVMADAHAIFAQDQGTTFNLEYAWRLLKDEVKWRIVEESIGNSVKITKIYASGASSENPDTTSSYEFNSSSLMKRPMGQKAAKRKGKASEIPNATQDAKNKRAIAMDRLAQAKEDELELRVVQMMMKDTFTMNDSQRDIHEKYCNKMKKNMECS >CAK8573195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592891822:592894991:1 gene:gene-LATHSAT_LOCUS25670 transcript:rna-LATHSAT_LOCUS25670 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSLPVSQSQGTRTLSSVSVSGFTRLCKGLALVLVAAHLVLNFFPSAITYVALIPARTIPFAWNLITAGYVEQTVHGVVISVVCLLFIGKLLEPVWGPREFLKFIFIVNTLTSVCIFITAIALYYITRQEIYLYTPLSGFHGVISGFLVSIKQIIPDQEIPFLKIKMKWLASISLLCYIALSFWIIEATTYLPTVIFGTYMSWIYLRYWQRKPETKLKGDPSEDFAFSTFFPEFLRPVIDPIASIFHRLLCGRSDASDDAEDFNLGSEPLPGSDPIEASRRRERGARALEERLANERLATSRSAGELHTSAAGSV >CAK8579335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692261846:692263018:1 gene:gene-LATHSAT_LOCUS31288 transcript:rna-LATHSAT_LOCUS31288 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPEIDIKPEYCPEAVIEWYRLTGLEDRKESLSRRDLALKAKQHLVFLKWNFWYVNKKDRWELRYTSPINGKNYISLRKACESCIEDGGCSTIHSSTTLQLQSATISSNDFGEIDQSTFNENSEAFSLISLQKCNVTTISEDGGYSTVQVPPTTTSSCPLHLNEGLKDFGEIVQSTSKDDFEAFNSVSLQKYNATTISEDEGCSIVHASTTVQPLSTQVNLKKRPRDFGETDQSTFNEKCNVTTIPASEITNSLRSYKRQKRQKVSNTRIMDTNLDGCDQNGEVLNSKVFEKREEALKVITREGCTFISWMVKNRVLVPGTNVFCRGWNNIVKIGRIVFDGIICDCCRDNFNIIEFESHAGCIRNSPSTSIMLEDGRSLLECQIEALN >CAK8562460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496100839:496107633:1 gene:gene-LATHSAT_LOCUS15955 transcript:rna-LATHSAT_LOCUS15955 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRFSRNLRGAFNGCRCYLSIREHNHRFLLSHSRTDSTQQVVRSLFFSKGIPALYSSRYQIHHQSSSLIEDELDPFSLVADELSHIGNKLREMVVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMSTALNLPIPQVPPPMELGGATRNDLRSRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVTLASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDQRCSMDYYMEKTYYKTASLISNSCKAIAILAGQTTEVAVLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLRRVVEEGFENPENVNIALDYLGKSRGIQKTKELAVKHATLAAEAIDSLPESDDEDVRKSRKALVELTQIVITRTK >CAK8567308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502397771:502399760:-1 gene:gene-LATHSAT_LOCUS20372 transcript:rna-LATHSAT_LOCUS20372 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQSLRNKATHFVSLLLNPISDPDSHQHPPSSSSEEKGEFKISDEEGNNNTHDSVDGPDTSSFTAFLYSLVSSSDSKEGANSNEQKNDDKGKNSPLTDSAMKNNGGRKSLFSRSKQSVGSAIRRAAKIGGFRNHDRKDNNDNDLEVKHQVMRKESVPVSLDDLPRISEPSVLVSESIRNVLYASLPPLMHGRKWLLLYSTWRNGISLSTLYRRSALWPGLSLLVVGDKKGAVFGSLVETPLRPSNKRKYQGTNSTFVFTNISGHPIIYRPTGINRYFTLCNTDYIAIGGGGHFALYLDSDLLNGSSSVSETFGNPCLANSQDFEVKEVELWGFVQTSRYEEVLALSRTEAPGICRW >CAK8531957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184388792:184394243:-1 gene:gene-LATHSAT_LOCUS1708 transcript:rna-LATHSAT_LOCUS1708-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRTANTVLFNRSFFNHAIPTRSCIKHGVLGTSQNLSFSTSKGKKRSKSDGSDSNEENMSKKDLALQQAMDQITSTFGKGSIMWLGRSVSPKNVPVVSTGSFALDIALGIFGLPKGRVVEIFGPEASGKTTLALHVIAEAQKLGGYCAFIDAEHALDKTLAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSLDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLCHSLSLSQCILIFINQVRSKISTFGGFSGPTEVTCGGNALKFYSSVRLNIKRVGFIKKGEETLGSQILVKVVKNKHAPPFKTAQFELEFGKGICKEAEVIELSIKHKLIKKSGSFYEYNGKNFHGKDALKKHLINSDGLQELTTKLREKLLNAETETVSESEVMVGDGPEEISSSDSTDEEATVVAEA >CAK8531956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184388792:184394309:-1 gene:gene-LATHSAT_LOCUS1708 transcript:rna-LATHSAT_LOCUS1708 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSNQNQHTSYFPSLHVPHSMARLLRTANTVLFNRSFFNHAIPTRSCIKHGVLGTSQNLSFSTSKGKKRSKSDGSDSNEENMSKKDLALQQAMDQITSTFGKGSIMWLGRSVSPKNVPVVSTGSFALDIALGIFGLPKGRVVEIFGPEASGKTTLALHVIAEAQKLGGYCAFIDAEHALDKTLAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSLDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLCHSLSLSQCILIFINQVRSKISTFGGFSGPTEVTCGGNALKFYSSVRLNIKRVGFIKKGEETLGSQILVKVVKNKHAPPFKTAQFELEFGKGICKEAEVIELSIKHKLIKKSGSFYEYNGKNFHGKDALKKHLINSDGLQELTTKLREKLLNAETETVSESEVMVGDGPEEISSSDSTDEEATVVAEA >CAK8565523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:257464443:257465576:-1 gene:gene-LATHSAT_LOCUS18729 transcript:rna-LATHSAT_LOCUS18729 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVQKGSAETFFRKVKFWKEDADGDAPPVFNVDGVNYFHVKVAGLLFVATTRVNVSPSVVLELLQRTARVIKDYLGVLNEDSFRKNFVLVYELLDEMIDFGYVQTTSTEVLKSYVFNEPIVIETAQMPLGPTLIFMHGSKRMPGTTITKSVVANEPGGRKRDEIFVDVFEKISVTFNSSGYILTIEIDGTIQMKSYLTGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFDVDRTLSLVPPDGEFPVMNYRITQAFKPPFRINTLIEETGPLKAEVTIKVRAEFNSSINANTVLVQMPLPTFTTRVNFELESGAVGHTTDFKEANKRLEWGIEKVVGGSYHILLQS >CAK8568175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580043290:580049707:1 gene:gene-LATHSAT_LOCUS21164 transcript:rna-LATHSAT_LOCUS21164 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKSKKRTFSQIEAVTVTQRYDPTTVFTVLQELSHYPAWKKFDWDELVKKTSSGISNAREYQMLWRHLAYGYSLPEDFEADDPMDDDSDLDYELEPLPSISAESTQECSACVKVMIASRTLSESTPSSSTIEAPLIVNFPVCHSFRTQREMSQPSNLMEQTSITFPVTVQRQTLPTVSSSDALETKGTVGGSMASKRKRKAWSEEEDNQLRAAVQKWGEGNWANMAKGDNFPIKRSATQLSQRWTTLRKKDGSGTSGTVNSGPTVTTTNTQYTAEQLATRHSLNLALDMPFKKLTAPGMTDPGRTSMSINNQVQSRNTTQVSTIRSSVPLQRPSQQACGSPAKPTATSENPVSRCIASSAREIKPANIHSGAQTFSQSNAVPNAAISARELKPAIIHSGAQTVSRSNAVPNAAISARELKPAITAQTVSRSNAVPNVATTHGLKPAVIHSGAQTVSRPNAVPNATTTHGLKPAVVHSGAQTVSRSNAVPNAATTHALKPGFIHSGAQTVSRSNGVPNAAISARQLKPANIHSGAQTVSRSNAVPNAAISARELKTAIIHSGAQTVSQSNAVPNVSSQIKVSQTKNVVHSVPAGSSTAKTSISTGLPSNQKVHSNVTSVKEQEKRVSDPGSTPKEKVIEKNVVHTVPAGSSLTKTSISSGLPSDQKDKHVTSMKDEEKRVSDPGSTPKEKVKETGASTLIIQSQIDNKQNKVGLDLDKAKSTPSVKVLEHKAVSQNPGGWEEQGSVKNSTFILKATGNGNSNLNTESQVQNQDKKTNSVNGSSN >CAK8570872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:140268080:140268346:-1 gene:gene-LATHSAT_LOCUS23584 transcript:rna-LATHSAT_LOCUS23584 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVHVRLREKGGGQKWPCCTSLSSSMGSALFILGEYANMILMRCGALHLTFVGLPSSGACVPAFLCNKPLRPKTSGRWSRGAFGEG >CAK8569539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4532582:4533197:-1 gene:gene-LATHSAT_LOCUS22381 transcript:rna-LATHSAT_LOCUS22381 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALNNTTQVCVVSSLNILCSPLLILFLPSQYNNTYIHWNHLLRDSYIIPNPMGKGLNVNKQTVDDSHEQDKFDEREFQARVIIWLASQEMEGRNGNKGLQPTLSLSLEPQALLMHASHGFSIKRSLQNFLHKRNKRIQGHVSIVRPHDNNSTT >CAK8532351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249156246:249160469:1 gene:gene-LATHSAT_LOCUS2068 transcript:rna-LATHSAT_LOCUS2068 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLISCSSLRSILNVTPKSNHLSIEVCDSVGSSKLQWRGWSHAAARVPSENSDTRQKRGQRVPKHERRAMVESFVNKYQSENAGKLPAIKHTQKEVGGSYYVIREIIQELEYKSKMKPLNNIGEIPVAKLFDESKLKTAESVSVSSGDIKTVKDGPIQNHVQPVLTHVTLAREFLEGKGGPQISSWEARLCNEVEVISTPDDHCTTYDSNISEKHFKDFSSPQMPNDVKTEKAVSSFSDSVALESQSLQLEAEPFSRGHGSEFLDMENHKKMEEQSIRKASYERREQPALEDMYGEASHSSLQVPIDVKSDETVSSCPSDYVAPARHQLKEEIEQVSAPIIEKSVSSSNKDKIHDSKFGDIKNHPTNEIKSLEKAGLERKVQDGAQDNPGSDSPKIDSSNKRESNSAVASDKSNLWGNLKSFADGILNIWRKL >CAK8533630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:643387589:643391902:1 gene:gene-LATHSAT_LOCUS3242 transcript:rna-LATHSAT_LOCUS3242 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPCNSSQDPEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHSKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHIKQVDNPNQQNFQQQMSLEINDHHHHHHHNPHQPSSSQVSNLVEPLETYSPTSYQGTLEPFPPQFPTINNDHHQNSNCCTNDNNNNSYWSMEDIWSMQLLNGD >CAK8531020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70199268:70200506:-1 gene:gene-LATHSAT_LOCUS838 transcript:rna-LATHSAT_LOCUS838-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSDTDDGNDVVPPNPLTAETTASKRLRSSIETLTSPSPSSEDSLHSMPLPALPFDIVVEILSILPVKLLMQFQCVCKSWKSLISDPKFAKKHLRHASQSLMLTLRNPSLDFVVIANPLSSVFTEATTSAIQVEYPLKYKNHSAVIVGSCHGILCLSFCFAINKGVVFLWNPSIRKFTKLPSLEEIYRTTFCFSFGYDDFSDSYKVVVVCFPHNAIDDRPVSNCKNVVKVHTLGTTSWRRISGFPPNTLILDKSGKFLSGTINWLSYRQNCSSCWVIISLDLEKEFYRELPQPDYGEINLLSLNTGVLRDCFCIFSSSDTFSDVWLMKEYGNKDSWTKLFRFPHTRNLGTWPHVSALYVSQDDQVLFDIQLAELVVYNSRDGTFKDPGIQIIGKWMGSEVYHESLMSPCF >CAK8531019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70199268:70200530:-1 gene:gene-LATHSAT_LOCUS838 transcript:rna-LATHSAT_LOCUS838 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLPQQGMALSSDTDDGNDVVPPNPLTAETTASKRLRSSIETLTSPSPSSEDSLHSMPLPALPFDIVVEILSILPVKLLMQFQCVCKSWKSLISDPKFAKKHLRHASQSLMLTLRNPSLDFVVIANPLSSVFTEATTSAIQVEYPLKYKNHSAVIVGSCHGILCLSFCFAINKGVVFLWNPSIRKFTKLPSLEEIYRTTFCFSFGYDDFSDSYKVVVVCFPHNAIDDRPVSNCKNVVKVHTLGTTSWRRISGFPPNTLILDKSGKFLSGTINWLSYRQNCSSCWVIISLDLEKEFYRELPQPDYGEINLLSLNTGVLRDCFCIFSSSDTFSDVWLMKEYGNKDSWTKLFRFPHTRNLGTWPHVSALYVSQDDQVLFDIQLAELVVYNSRDGTFKDPGIQIIGKWMGSEVYHESLMSPCF >CAK8577917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597422908:597425506:1 gene:gene-LATHSAT_LOCUS29980 transcript:rna-LATHSAT_LOCUS29980 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRDTEIGKLKEDEDEESPIEEVRLTVTNTDDPTLPVWTFRMWFLGLVSCSLLSFLNQFFAYRSQPLIITQITVQVATLPLGNLMAAVLPSTTFTIPGFGSKRFSLNPGPFNMKEHVLITIFANAGSAFGSGSPYAVGIVNIIKAFYGRSISFLAAWLLIITTQVLGYGWAGLLKKYVVEPAHMWWPSTLVQVSIFRTLHEKDEDPHKFSRAKFFFIALVCSFLWYIVPGYLFTTLTSISWVCWVFSKSVTAQQLGSGMNGLGFGALTLDWAAVASFLFSPLISPFFAIVNVFFGYALIVYAVIPIAYWGFNVYDANKFSIFSSDLFTADGQLYNISAIVNDKFQIDLAKYQEQGRIHLSVFFSLTYGFGFATIASTITHVVCFHGREIMERYRASKNGKEDIHTRLMKNYKDIPSWWFYLLLGVTLVVSLLICIFLNHEIQMPWWGLLFASALAFMFTLPISIITATTNQTPGLNIITEYIFGIIYPGRPIANVCFKTYGYISMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTMLAGTINICVAWWLLESVKNICHEDLLPEGSPWTCPSDRVFFDASVIWGLVGPKRIFGTLGEYSALNWFFLGGAIGPILVWLLHKVFPQQSWIPLINLPVLLGATGMMPPATALNYNAWIVVGTIFNFFIFRYRKKWWQRYNYVLSAALDAGVAFMAVLLYFALGLENVSLNWWGTAGEHCPLATCPTAKGIQVDGCPVF >CAK8565252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:81957130:81974602:-1 gene:gene-LATHSAT_LOCUS18481 transcript:rna-LATHSAT_LOCUS18481 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKLYMGGIVAQLQDYFPDASFMVFNFREGDRRSQISDILSLCDMTVMEYPRQYEGCPLLPLEMVHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGELKTLEMVYKQAPRELLQLLSPLNPQPSQLRYLHYISKRHLGSEWPPSDTPLYLDCLILRVLPLFDGGKGCRPVVNVYGPDPSNPANRSSKLLFSTLKVQNHVRHYLQAECMLVKIDIHCRVQGDVVLECIHLSEDFVREEKMFRVMFHTAFIRSNILVLSRDEIDILWDTKDQFPKDFKSEVLFLDADAVIPNLTTVNVSEDENETESGSPEEFYEVEEIFSNVIDGQDSPKFRQNVVDYGSHKDVWKEYSDPLTFQDSTPDDGINQQVEWTDSGINEVKDITVDDVKYKLDEHVDSDTHAVKDIAVDGGENKLTSSAVTFDMMETLERQEDTLDVHDELAVMQNNYDEEIDATVKELEPEEGQQTHDLARSVSVEEKQLPSDSKPVGDGVAEMQKAKQKEPQGFQEKQAKENASTRWIPSNKGSYQDSMHVSYPPTRHNSSPPVLSNSTSAKEKMTNAKGRRVSSSTNDLKSCNGDNSKYLESIWEIDSNAQLSSLMPITESSHQSATQAPQLSTDQVLQPHLPTLPPPPPPGISPSSSLDGKVSTVPQPPPQPPPPFLSGFGKKYKETDLQAATHPPPPPPPPPPPFFGQNRGSSLPLSTHRKPYCSTIGVVGETGGALPLSTPIVGSVITSKVSKLVDAVSLLIPPPPPPPPPSSLPLKYGVTSIPPPPPLPYMDRAPPPLPPITPTLLNTPLPPPLSKSQPPPPPPLPPTPPVVYKALPPSPPPPPFFLKALSPPLAISIAPPPPPLPHSSGARPPTLPSTSKTQPPPPPPLPSSSEEPPPPPPPSMSNTSLPPSIPPPPPPPLLPLSYRAPLPPPLPSMSKAPPPPPPPFFGAAPSSLLPRPSGAPSPPPLLSKAPPFYGAPPPPPPLFSSSGAPPPLSPSLSKAPPPPPLPSYGTPPPPPPPPYLPASSGIPSTPPTPAMSKAPPSPPPPLNGAPLSPPPPPPPYLPASSEAPQSPPAPPPPTPPSTSKTPPPPPPPFYRAPPPPPPPPPPYLPTSSGAPPPPPPPPPPPYLNACNGAPPPPPSPYLPASSGAPPPPPLPYLPASSGAPPPPPPPFYGTPPPPPPPYSLSNAPPPPPPPFYGAPPPPPPPMHATQAPPPPPPPGGRGPPPPPPPGFQGPPPPPPPGGRGPAPPPPPGAHAPGAPAPPRLPGGAPPPPGADPRGRGRGLARPTGAAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGETQIGQEFDVSELEKLFAVNVPKPAASGGKSGGPGKSAGSKPEKITLVDLRRAYNTEIMLTKVKMPLPDMMAAVLALDDSVLDVDQVENLIKFCPTKEEMDLLKAYTGDKENLGKCEQFFMELMKVPRVEAKLRVFCFKIQFQCQITEFNKCLNLVNSACEEVRNSVKLKEIMKKILYLGNALNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAEKSPALLDFHLDLGSVDTASKIQLKSLAEEMQAITKGLEKVNQELAASESDGPVSEVFRKTLKDFVNEGKSQVDSATRLYSDVGRNADALAQYFNEDPARCPFEQVTATLLNFIRMFLKAHDENIKQAELEKKKAEKEAEMEKKAKKEAEMEKGNGSSLTRKSKKYNKEES >CAK8565253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:81957130:81974602:-1 gene:gene-LATHSAT_LOCUS18481 transcript:rna-LATHSAT_LOCUS18481-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKLYMGGIVAQLQDYFPDASFMVFNFREGDRRSQISDILSLCDMTVMEYPRQYEGCPLLPLEMVHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGELKTLEMVYKQAPRELLQLLSPLNPQPSQLRYLHYISKRHLGSEWPPSDTPLYLDCLILRVLPLFDGGKGCRPVVNVYGPDPSNPANRSSKLLFSTLKVQNHVRHYLQAECMLVKIDIHCRVQGDVVLECIHLSEDFVREEKMFRVMFHTAFIRSNILVLSRDEIDILWDTKDQFPKDFKSEVLFLDADAVIPNLTTVNVSEDENETESGSPEEFYEVEEIFSNVIDGQDSPKFRQNVVDYGSHKDVWKEYSDPLTFQDSTPDDGINQQVEWTDSGINEVKDITVDDVKYKLDEHVDSDTHAVKDIAVDGGENKLTSSAVTFDMMETLERQEDTLDVHDELAVMQNNYDEEIDATVKELEPEEGQQTHDLARSVSVEEKQLPSDSKPVGDGVAEMQKAKQKEPQGFQEKQAKENASTRWIPSNKGSYQDSMHVSYPPTRHNSSPPVLSNSTSAKEKMTNAKGRRVSSSTNDLKSCNGDNSKYLESIWEIDSNAQLSSLMPITESSHQSATQAPQLSTDQVLQPHLPTLPPPPPPGISPSSSLDGKVSTVPQPPPQPPPPFLSGFGKKYKETDLQAATHPPPPPPPPPPPFFGQNRGSSLPLSTHRKPYCSTIGVVGETGGALPLSTPIVGSVITSKVSKLVDAVSLLIPPPPPPPPPSSLPLKYGVTSIPPPPPLPYMDRAPPPLPPITPTLLNTPLPPPLSKSQPPPPPPLPPTPPVVYKALPPSPPPPPFFLKALSPPLAISIAPPPPPLPHSSGARPPTLPSTSKTQPPPPPPLPSSSEEPPPPPPPSMSNTSLPPSIPPPPPPPLLPLSYRAPLPPPLPSMSKAPPPPPPPFFGAAPSSLLPRPSGAPSPPPLLSKAPPFYGAPPPPPPLFSSSGAPPPLSPSLSKAPPPPPLPSYGTPPPPPPPPYLPASSGIPSTPPTPAMSKAPPSPPPPLNGAPLSPPPPPPPYLPASSEAPQSPPAPPPPTPPSTSKTPPPPPPPFYRAPPPPPPPPPPYLPTSSGAPPPPPPPPPPPYLNACNGAPPPPPSPYLPASSGAPPPPPLPYLPASSGAPPPPPPPFYGTPPPPPPPYSLSNAPPPPPPPFYGAPPPPPPPMHATQAPPPPPPPGGRGPPPPPPPGFQGPPPPPPPGFRGPPPPPLPGGQGPPPPPPPGGRGPAPPPPPGAHAPGAPAPPRLPGGAPPPPGADPRGRGRGLARPTGAAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGETQIGQEFDVSELEKLFAVNVPKPAASGGKSGGPGKSAGSKPEKITLVDLRRAYNTEIMLTKVKMPLPDMMAAVLALDDSVLDVDQVENLIKFCPTKEEMDLLKAYTGDKENLGKCEQFFMELMKVPRVEAKLRVFCFKIQFQCQITEFNKCLNLVNSACEEVRNSVKLKEIMKKILYLGNALNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAEKSPALLDFHLDLGSVDTASKIQLKSLAEEMQAITKGLEKVNQELAASESDGPVSEVFRKTLKDFVNEGKSQVDSATRLYSDVGRNADALAQYFNEDPARCPFEQVTATLLNFIRMFLKAHDENIKQAELEKKKAEKEAEMEKKAKKEAEMEKGNGSSLTRKSKKYNKEES >CAK8576603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497189297:497199556:-1 gene:gene-LATHSAT_LOCUS28777 transcript:rna-LATHSAT_LOCUS28777 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRAKSNSPFSHRKPVTPHSSASSSYNSFTAGKLMPRSCSSSASSFFNSGGAELSGRSMTQSRGQNELTHYDAQGFSSAMEEVIAEPHDSSTPGDSISVTIRFRPLSEREYQRGDEIAWYADGDKIVRNEYNPATAYAYDRVFGPHTNTDEVYEVAGRPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQTSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKSSHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISVLKHELDQLKKGMQLGVNHEEILTLKQKLEEGQVKMQSRLEEEEEAKVALASRIQKLTKLILVSSKNAIPGYLTDAPGHQLSHSVGEDDDGSLLFEGESQNDVSTVVSDLSHDVRHRRTSSRRNEELSPSSSIITESTQTGELISRTRLPAGGVTMSDQMDLLVEQVKMLAGDIAFSTSTLKRLTEQSVNDPESSRTQIENLEQEIQEKRKQMRGLEQRIIETAETSEANPSLVEMQQTVTRLMTQCNEKAFELEIKSADNRVLQEQLNSKCSENRELQEKVNLLEQQLAINTTGTSIVLADECVPGEHIDELKRKIQSQEIENENLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAARDLANNRSAVAQTVNGAHRKYHDSRSGRKSRLSSRGNENLGPGRDELESWSLEVDDLKMELQAKKQREVVLEAALAEKEILEEEYRNRVEEAKKRESSLENDLANMWVLVAKLKKEMGAVTESNIDKKSGDGEAHTNDPKAIDIESNIISKEQIFDVSKQDNETPKEEPLVVRLKARMQDMKEKELKHLGNGDANSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTSIADRLFAFTS >CAK8576602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497189297:497199556:-1 gene:gene-LATHSAT_LOCUS28777 transcript:rna-LATHSAT_LOCUS28777-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRAKSNSPFSHRKPVTPHSSASSSYNSFTAGKLMPRSCSSSASSFFNSGGAELSGRSMTQSRGQNELTHYDAQGFSSAMEEVIAEPHDSSTPGDSISVTIRFRPLSEREYQRGDEIAWYADGDKIVRNEYNPATAYAYDRVFGPHTNTDEVYEVAGRPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQTSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKSSHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISVLKHELDQLKKGMQLGVNHEEILTLKQKLEEGQVKMQSRLEEEEEAKVALASRIQKLTKLILVSSKNAIPGYLTDAPGHQLSHSVGEDDKYDALQDGSLLFEGESQNDVSTVVSDLSHDVRHRRTSSRRNEELSPSSSIITESTQTGELISRTRLPAGGVTMSDQMDLLVEQVKMLAGDIAFSTSTLKRLTEQSVNDPESSRTQIENLEQEIQEKRKQMRGLEQRIIETAETSEANPSLVEMQQTVTRLMTQCNEKAFELEIKSADNRVLQEQLNSKCSENRELQEKVNLLEQQLAINTTGTSIVLADECVPGEHIDELKRKIQSQEIENENLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAARDLANNRSAVAQTVNGAHRKYHDSRSGRKSRLSSRGNENLGPGRDELESWSLEVDDLKMELQAKKQREVVLEAALAEKEILEEEYRNRVEEAKKRESSLENDLANMWVLVAKLKKEMGAVTESNIDKKSGDGEAHTNDPKAIDIESNIISKEQIFDVSKQDNETPKEEPLVVRLKARMQDMKEKELKHLGNGDANSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTSIADRLFAFTS >CAK8533748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656635070:656647004:1 gene:gene-LATHSAT_LOCUS3348 transcript:rna-LATHSAT_LOCUS3348 gene_biotype:protein_coding transcript_biotype:protein_coding MESYAPLLEKTRIPQPALQKLAVISIFSKLRSSPNHLNSESEPGKRAISQCLSSSSPNVVDESVRQLCRLVTDGVVSVTNGLLELQSAVEGSDPKFVPVFIKGLGFLVRFGFQKNYGGWEFASVLTHPFVMMLSCRVEVQSELLQQVLLFMLQNKHLGMVQVCEFLKPLLDFSIIRLLASESPSSSFGLQLISSMASFCCSCPSESMPVLKLLMGCLNYLPHETSEDYRKLVFVVEHMVEAYIVVLKSMAGDKLLIAEVQLRAVEFLGTVLSLLTCLQWHSGGHEPIIELSRRLFSVQKDLGLQWEPSLSTTMASLFTILVHSELEHEQISISKLLLLILKWKYDKDDAIARNMSSPFEEILFLLPFVSLMSSPSKYVKALATDLILILEKLLVKMLVAPRHKLIIDEGTHYLSTPGIIVLRLLRHLWYQDGESSSRISLLNLASKGMHESEIMHDKTISWASHLRGFCSSIVERRKSTLPLLPSQELFLTETPFLSAVLSVLLIHPLMGAAAVDSLSSIAIMDPKLGVPLLLEIMFYSNIFTRNDIICHDMLLKIFEMLPSLASHSAMTPLVVQTILPMLNRDAKGSLYATGTRLLCRTWEINDRAFGSLQGVLLPKGFTDFTSDRAICISLAASIRDVCRKSPDRGVDLILTVSSCIECQDPIVKALGLQSLVHLCEADVIDFYTAWDVIAKHVQGYKDDPIIAHSTCLLLRWGAMDAEAYPEASKSVLLALWDLVTSSHGTKWEKTKIAALEALIQYEVPQLEKVIPDFKKMNLELFFSETSPMVLKVMEDFHVKIITYEHINRRRLVKGKRVAGSKIEKLMDVFPQAIFSSGKINEAIELPGAALLCSSFTPKNVNEHQASKRPRCVHAAYENALKEISASLHLSRNILLALMSLQSWKDFMRRWVKAYIMSYDAKAQLSVLDKTSKAASDILKSMTVIADEAIPRAAENIALAIGALCVVLPPSVHTVKSAASKFLLEWLLQHEHEYRQWSAAISLGLISSCLHVTDHKERYHNITGLLEVLFASKSSLVKGACGVGLGFLCQDLLTRAEAADDFTIKKETEKVPESELLGRIVGALATMIQQRTKCSLDALDSLCSSFPLGYNMNADIFESSSEDSEDLEEDIWGVAGLVLGLATSISALYRAGELETVIKIKKLIISWLPYMDSLFQSSDLQEGKSDIVLALGSCIALPTVVTFCQRMELMDENELGPIVLGFKKFISELISVKESGVLHHSLLMASCIGAGTVISCILNEGVHSVEVERVKCLLELFRKCYSNPFPFLVHLGGMLGVVAAMGAGTGILVYMNFPNYTRQSTYQKEDSSSVTTPLLSSSVCEPYLTSLVQEMFLVAQNPDNHQLQQFASWTLAFLRHHLWSKEFLGADGDGNVAETNSKSVSHNFPEDSVVLQLSLWLMEFKNTEQGSNIHASTIVAILGCLSRAPRLPSMDWGAVIRRCMRYEAKVTDSLATDSVCKKGTLREECVLFAIAHANQFDSLLTFLDELSDFSRFKTLEINLQCCLLNHLADLVKVYSSSRLEKLFGDVGYYFSSLNSYKEYDTCKKCLLRLSCWKGLYECLNEVSVDTSSHISHVERCMEVLFTLLPVMKSSGSVMSGDTSSVEEWAEAVRCLGKAPQGWLLDFLKVSQEEFVQSSCKSIEVQKKVHAKIKIVKTGSLPLIELGKMKSYILNSKSQGIWDVLLEVVAVLYHAEIGFKRQWLIDALEISCVSSFPSMALQFTGLLSATCCKYMPFIIVDQQTVLNDLPVTLVSLLADKSWNVVAETVVSHLFSSTERIYDWTMHIADSSYVEGSQTIDESEDHIVGDFLLRVMHHTCVLLKEYLPLDKQLKLASMVVA >CAK8565009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24797779:24797934:1 gene:gene-LATHSAT_LOCUS18251 transcript:rna-LATHSAT_LOCUS18251 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPKALKSPRRHPQLNLVICTLEERYKRNEHWSKIGHIPVIEINNQLTI >CAK8538067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461412723:461413640:1 gene:gene-LATHSAT_LOCUS7307 transcript:rna-LATHSAT_LOCUS7307 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8541354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:133144213:133144525:1 gene:gene-LATHSAT_LOCUS10279 transcript:rna-LATHSAT_LOCUS10279 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNFESFNPMSDPSEDWGCKFDATPELENREVSLDTDESYKSRTQLLLITLFNALVLQVFGSPNDAEKLEFLFYN >CAK8577208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544790379:544804936:-1 gene:gene-LATHSAT_LOCUS29337 transcript:rna-LATHSAT_LOCUS29337 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATAKVGKLEITLPSVSNVQIEPIIVQIDRLDLVFEENSDFKPSETPKTSTSSTPSGASAKGSGYGFADKIADGMTIQIHTVNLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNESWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGSSQVGSNLRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLRALLRFMTGIYVCLNRGNVDSQQQSTEAAGRSRVSIVVDHIFLRIKDSGFQLELLMQSLFFSRASLSEGDNDSNLTKITIAGLFLRDTFSCPPCTLVQPSMQSPTQDAFQVPEFARSFCPPIYPLGEQQWQLIVGTPLICIHTLQIKPSPLPPSLASQTVIDCQPLMVHLHEESCLRISSFLADGIVVNRGDILPDSSVNSFIFSLKGLDTTVPLDKAQLDFCLSNTDDTDKFLFVGARLHIENLFVLDSPLMNLRILNLEEDPACFCLWEDQPIDASQKKWSARASHLTLSLETNTGTLGHQNSLGWTAEFWRCVDMKDACIEVAMATADGSPLLKVPPPAGIMRVGVSCEQYLSNTSIEQLFFVLDLYEYFGRVSEKMATSWERKQMNDVRNNSSSGKLMDKVPSDAAVSLTLKNLQLRFLESSSVNVEGMALVQFIGADLFISATHRTLGGAIVISSTLHWESVEIDCVDSEEYLPCENGSAFSSGENVSSVNGVGYPQLRAVFWVDNSNHLLNVNAPPVPFLNLSMNQVIPFCDVENESNILNASISISGVRLGGGMNYAEALLHRSGILGPDGGPGKGLSKGLENLQKGPLAKLFMTSPPIVDNSENESMIERIETSFPPLKKPDNVDVTIELRDWLFALEGAQDMAERWWFSSQGDVGREQKCWHTTFHSLLVNAKSSPKKVSGGKVHSHRIQYPLELVTVGIQGLQFLKPTTQKDVPSSMLTANEVKELTDADGGIGLEVGLILQEDNIDDEMANWEVENLKFSIKQPFEAVVTKDEFQSLTFLCKSEIESMGRITAGILRLLKLEGSVGQSVMDQLGNLGSEGNNILSPEKISEGIDNVLSPEKLSIDGSVSNREPSPLPNRINESPHKSVEPTITLLEEAVVDSQAKINALITDIGTSESSLQYVDVVKQLSEKIELMQGLLTQLRNQL >CAK8577209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544790379:544804936:-1 gene:gene-LATHSAT_LOCUS29337 transcript:rna-LATHSAT_LOCUS29337-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATAKVGKLEITLPSVSNVQIEPIIVQIDRLDLVFEENSDFKPSETPKTSTSSTPSGASAKGSGYGFADKIADGMTIQIHTVNLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNESWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGSSQVGSNLRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLRALLRFMTGIYVCLNRGNVDSQQQSTEAAGRSRVSIVVDHIFLRIKDSGFQLELLMQSLFFSRASLSEGDNDSNLTKITIAGLFLRDTFSCPPCTLVQPSMQSPTQDAFQVPEFARSFCPPIYPLGEQQWQLIVGTPLICIHTLQIKPSPLPPSLASQTVIDCQPLMVHLHEESCLRISSFLADGIVVNRGDILPDSSVNSFIFSLKGLDTTVPLDKAQLDFCLSNTDDTDKFLFVGARLHIENLFVLDSPLMNLRILNLEEDPACFCLWEDQPIDASQKKWSARASHLTLSLETNTGTLGHQNSLGWTAEFWRCVDMKDACIEVAMATADGSPLLKVPPPAGIMRVGVSCEQYLSNTSIEQLFFVLDLYEYFGRVSEKMATSWERKQMNDVRNNSSSGKLMDKVPSDAAVSLTLKNLQLRFLESSSVNVEGMALVQFIGADLFISATHRTLGGAIVISSTLHWESVEIDCVDSEEYLPCENGSAFSSGENVSSVNGVGYPQLRAVFWVDNSNHLLNVNAPPVPFLNLSMNQVIPFCDVENESNILNASISISGVRLGGGMNYAEALLHRSGILGPDGGPGKGLSKGLENLQKGPLAKLFMTSPPIVDNSENESMIERIETSFPPLKKPDNVDVTIELRDWLFALEGAQDMAERWWFSSQGDVGREQKCWHTTFHSLLVNAKSSPKKVSGGKVHSHRIQYPLELVTVGIQGLQFLKPTTQKDVPSSMLTANEVKELTDADGGIGLEVGLILQEDNIDDEMANWEVENLKFSIKQPFEAVVTKDEFQSLTFLCKSEIESMGRITAGILRLLKLEGSVGQSVMDQLGNLGMAEGIDNVLSPEKLSIDGSVSNREPSPLPNRINESPHKSVEPTITLLEEAVVDSQAKINALITDIGTSESSLQYVDVVKQLSEKIELMQGLLTQLRNQL >CAK8577210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544790379:544804936:-1 gene:gene-LATHSAT_LOCUS29337 transcript:rna-LATHSAT_LOCUS29337-3 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATAKVGKLEITLPSVSNVQIEPIIVQIDRLDLVFEENSDFKPSETPKTSTRYSTPSGASAKGSGYGFADKIADGMTIQIHTVNLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNESWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGSSQVGSNLRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLRALLRFMTGIYVCLNRGNVDSQQQSTEAAGRSRVSIVVDHIFLRIKDSGFQLELLMQSLFFSRASLSEGDNDSNLTKITIAGLFLRDTFSCPPCTLVQPSMQSPTQDAFQVPEFARSFCPPIYPLGEQQWQLIVGTPLICIHTLQIKPSPLPPSLASQTVIDCQPLMVHLHEESCLRISSFLADGIVVNRGDILPDSSVNSFIFSLKGLDTTVPLDKAQLDFCLSNTDDTDKFLFVGARLHIENLFVLDSPLMNLRILNLEEDPACFCLWEDQPIDASQKKWSARASHLTLSLETNTGTLGHQNSLGWTAEFWRCVDMKDACIEVAMATADGSPLLKVPPPAGIMRVGVSCEQYLSNTSIEQLFFVLDLYEYFGRVSEKMATSWERKQMNDVRNNSSSGKLMDKVPSDAAVSLTLKNLQLRFLESSSVNVEGMALVQFIGADLFISATHRTLGGAIVISSTLHWESVEIDCVDSEEYLPCENGSAFSSGENVSSVNGVGYPQLRAVFWVDNSNHLLNVNAPPVPFLNLSMNQVIPFCDVENESNILNASISISGVRLGGGMNYAEALLHRSGILGPDGGPGKGLSKGLENLQKGPLAKLFMTSPPIVDNSENESMIERIETSFPPLKKPDNVDVTIELRDWLFALEGAQDMAERWWFSSQGDVGREQKCWHTTFHSLLVNAKSSPKKVSGGKVHSHRIQYPLELVTVGIQGLQFLKPTTQKDVPSSMLTANEVKELTDADGGIGLEVGLILQEDNIDDEMANWEVENLKFSIKQPFEAVVTKDEFQSLTFLCKSEIESMGRITAGILRLLKLEGSVGQSVMDQLGNLGSEGNNILSPEKISEGIDNVLSPEKLSIDGSVSNREPSPLPNRINESPHKSVEPTITLLEEAVVDSQAKINALITDIGTSESSLQYVDVVKQLSEKIELMQGLLTQLRNQL >CAK8565809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337710593:337711754:1 gene:gene-LATHSAT_LOCUS18990 transcript:rna-LATHSAT_LOCUS18990 gene_biotype:protein_coding transcript_biotype:protein_coding MARENSTLPICFFKIILQTNLQTIKIPNKFTRSHGAGLPNPVLIKPPDGTKWKMFWKNINGDIWFQKGWKLFTQNYSLQHGCLVVFKYKEGTSELDVVIIAQNGVEIDYDSSCHTLDDDYENLDHSDNESVEILNEWHYPKKAKHRSTLASTRPHKKVKGEIERTSQRTSSLNRPKESRAREVAEEFISSNPFFTISINPTNLAANRLTVPNLNGVIEKKKTTVKVEIGNRSWNLNLLGCYNNKSGRHLSAGWSSFARESGVQPGDVCVFELINKEDLVFKVHVFHQDC >CAK8535755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879527422:879538595:-1 gene:gene-LATHSAT_LOCUS5181 transcript:rna-LATHSAT_LOCUS5181 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKCVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVSVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYLKSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSIGADLEVADGERLPLAVKELGTCDLYPQNLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKSFQEKKSVRPTFSAERIFGGTLLAICSNDFICFYDWTECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASHIDSGKPVDEEGVEDAFELLHEMNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFDLAIQLGRLEVAKGIAVEVQSESKWKQLGELAMSSGKLQMAEECLNHAMDLSGLLLLYSSLGDAVGISRLATLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVPEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALAVESKAAETLNVYPPAEQYINHADKPHVTLVEAFRNMQFEEEEPLENGDSNHELTEQNEEHFTEERYTEEQNGEEGSQEEAVVVDAESTDGAVLVNGSEADEEWVLAPHH >CAK8535756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879528014:879538595:-1 gene:gene-LATHSAT_LOCUS5181 transcript:rna-LATHSAT_LOCUS5181-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKCVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVSVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYLKSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSIGADLEVADGERLPLAVKELGTCDLYPQNLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKSFQEKKSVRPTFSAERIFGGTLLAICSNDFICFYDWTECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASHIDSGKPVDEEGVEDAFELLHEMNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFDLAIQLGRLEVAKGIAVEVQSESKWKQLGELAMSSGKLQMAEECLNHAMDLSGLLLLYSSLGDAVGISRLATLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVPEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALAVESKAAETLNVYPPAEQYINHADKPHVTLVEAFRNMQFEEEEPLENGDSNHELTEQNEEHFTEERYTEEQNGEEGSQEEAVVVDAESTDGAVLVNGSEADEEWGTNNEGAPSA >CAK8534248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709690030:709694590:1 gene:gene-LATHSAT_LOCUS3808 transcript:rna-LATHSAT_LOCUS3808 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKKFQIMSKKSENEQGFSSFSSSKKLNRKSHSSTSLNQEKFERMEPSETVRAVDLSVVSDSGRHDSGFGAKASGDPEVEEEYQLQLALEMSAKEDPEAVQIEAVKQISLGSCDPDNTPAEIVAYRYWNYNALGYDDTVMDGFYDLYGILTESNAARMPSLIDLQGRPISGNVTWEAVLVNRAADSNLLKLEQKALELTVESRRDSEVVIDTDLVHTLAVFVSDYMGGPVGNPESLSKAWRSLSYSLKTTLGSMVLPLGSLTIGLARHRALLFKVLADRLSIPSRLVKGQQYTDSDDAAINFVKIDRREYIVDLMADPGTLIPSDANGSHIVYDESVFVASPSSRDVDSSRVASSSSGVGSSSEETSDVGMSGNGNRSKHHHVKKVNSYVHTRSSSWSEGIGSSVVNRMKAKNVSQFMIDTAKENPHLAKKLHDVLLQSGIVPPPNLFSDIYHKESVFSTKVEDEHKQRSEHQEMVFDDSLGHARFKPVEGLGTNLPLHTREGVGKFIPTQVKYGKKVPAAAAAAAAAAVVASSMVVAVARSNTDSNIELSVSAAATAAAVVATTAAVSKYEHSSRSDADTESSGTNLEGERRSGSSVVSNGSTKSDCSLDEVAEYEIPWEEITLGERIGLGSYGEVYRGEWRGTEVAVKRFLNQDISGEALEEFISEVRIMKRLRHPNVVLFMGAVIRPPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEMLRNEPSNEKCDVYSFGVILWELSTLQQPWRGMNSMQVVGAVGFQYRRLDIPDDMDPAIADIVRKCWQTDPKARPTFAEILAALKPLQKPIAGSQVPRPTVSGRHRKDQSSAAER >CAK8531284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:96874432:96874908:1 gene:gene-LATHSAT_LOCUS1087 transcript:rna-LATHSAT_LOCUS1087 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHFFMILFLFGFAKSQPTIHSYNHTDLQAAIGDMRAKSFYGFAMLLQMLNGTSQPSRELTYLMPDDRELSASAISADKIEEFLLSHAIPMPLYFTDLSHFPTGTLVPSAMDARMIRIHNRGRGDYFVNNAQIVSANVCLNSVIKCHGVDAVIEYD >CAK8544490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688310289:688311708:-1 gene:gene-LATHSAT_LOCUS13164 transcript:rna-LATHSAT_LOCUS13164 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLGVLIDIVDEDWMRDTLPDDDLPLPPTLVVRTDDTEDSNQEVQQVNGEVWHDLALGQE >CAK8576086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:403341298:403345256:-1 gene:gene-LATHSAT_LOCUS28301 transcript:rna-LATHSAT_LOCUS28301 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAFRGSRGDIESGFSEYVPERTSMRVHPSRSAHSNSLAFLITVILVFMILNSPQMSHYFLLWIVLAIFVMATSLRIYATCQQLQAQARAHAAAASGLLGHAELHFQMPPSIAIASRGRLQGLRLQLALLDREFDDLDYDTLRALDSDTASSTPSMTEEEINALPIHKYKVSGPTKDGFTGLTSSSEASESKEDSKRAERSVKGSEDELICTICLDQVTRGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKFRMGTGRQGNTDSESGDSDVL >CAK8565012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24988573:24989058:1 gene:gene-LATHSAT_LOCUS18254 transcript:rna-LATHSAT_LOCUS18254 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEVYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIVVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8542885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555671740:555676771:-1 gene:gene-LATHSAT_LOCUS11685 transcript:rna-LATHSAT_LOCUS11685-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCALLPLNSEFRFNPVRRSFRNTIQCHRLNSHVSKWGKLKHVSFDRFRCFSINNKDGVDGEGESGNNNDSKSNVTTSSPDDKSTTPSTSQRKPNRSTTFDDSSLSSLESSLINFQLDSFKLLELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPVGDFEEGVLFFGNLRGKREDVFPILQKRLVEVTGDKYNLFMLEEPDSDSPDPRGGPRVSFGLAPKEVFQPRATTLWQYVIASLLFFLTIGTSVEIGIASQISRLPPELVKFLTDPNFTEAPDMGLLYPFVDSALPVAYGVLGVLLFHEVGHFLAAFPKRVKLGIPFFIPHITLGSFGAITQFKSILPDKSTQVDISLAGPFAGTVLSFSMFAVGLLLSSNPDAAGDLVQVPSTLFQGSLLLGLISRATLGYAAIHAATVPIHPLVIAGWCGLTIQAFNMLPVGCLDGGRSVQGAFGKGALMVCGLITYSMLGLGVLGGPLSFAWGCFVIFAQRSPEKPCLNDVTEVGTWRQTFVGVAIFLAILTLLPVSDELADELGIGLVTTF >CAK8542886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555671740:555676771:-1 gene:gene-LATHSAT_LOCUS11685 transcript:rna-LATHSAT_LOCUS11685 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCALLPLNSEFRFNPVRRSFRNTIQCHRLNSHVSKWGKLKHVSFDRFRCFSINNKDGVDGEGESGNNNDSKSNVTTSSPDDKSTTPSTSQRSSLSSLESSLINFQLDSFKLLELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPVGDFEEGVLFFGNLRGKREDVFPILQKRLVEVTGDKYNLFMLEEPDSDSPDPRGGPRVSFGLAPKEVFQPRATTLWQYVIASLLFFLTIGTSVEIGIASQISRLPPELVKFLTDPNFTEAPDMGLLYPFVDSALPVAYGVLGVLLFHEVGHFLAAFPKRVKLGIPFFIPHITLGSFGAITQFKSILPDKSTQVDISLAGPFAGTVLSFSMFAVGLLLSSNPDAAGDLVQVPSTLFQGSLLLGLISRATLGYAAIHAATVPIHPLVIAGWCGLTIQAFNMLPVGCLDGGRSVQGAFGKGALMVCGLITYSMLGLGVLGGPLSFAWGCFVIFAQRSPEKPCLNDVTEVGTWRQTFVGVAIFLAILTLLPVSDELADELGIGLVTTF >CAK8573019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577461769:577463125:-1 gene:gene-LATHSAT_LOCUS25514 transcript:rna-LATHSAT_LOCUS25514 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEILLKIIAENKKKLETLNLPKLSQSLHKTSSSSSKPSSSVKGSPRFVQPGELEVNKKRLRSTTTRKSSIIPPPIKTTITLSPIQTKITPLPIETTITPLPIQTTKDVMVADEDEGVVVGDETEDVVVGDEAEDVVVGDEVEDVVVEDVTKDVVKVAKSLYWDVNVINEEGYVSNTRLRVKDLVAKSKSDGTWIILEFDKNHCAIGPTSGLLVGYLGIIIRMFKDFPIMFESWKDIPADTKTKFYDSKIKLHFLVDDGRDKEFILASAAKKWKDCRHQFFRQFYRWNLTLEENLQNYPKCRGILENDWAVFVQYRRKEKTQKIVQKIA >CAK8579466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701194308:701197388:1 gene:gene-LATHSAT_LOCUS31412 transcript:rna-LATHSAT_LOCUS31412 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPSIPPADQLAAVTLDSDEIPKAEFSDRVPIQSIIRREDGGSGLAGKKARVGGWVKTGRKADKDAFAFLELNDGSCAGNLQVIVEASLADLGQLVLTGTSVIIDGHLKLPPAGTKQKIELRADKVLHVGPVDPAKYPLPKGRLTLEFLRDFVHLRPRTNAISAVARIRNALAYATHTFFNKHGFLYVHTPIVTTSDCEGAGEMFQVTTLFSEAERLEKDLIQNPPPTEADIEAAKLVVQEKGEVVSQLKSAKANKKEITASVDELKKAKENVSKLEERSKLQPGIPRKDGKVDYTKDFFARQAFLTVSGQLQVESYVCALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLEDDMNCAEAYVKFLCQWLLDNCLEDMQFMADKIDKGCIDRLKLVASTPFVRLSYTEAVEILEESVKNGKKFENEVKWGIDLASEHERYLTEVKYQKPVIVYNYPKDIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVIQQRLKDMDLPVEPYEWYLDLRRYGTVKHAGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >CAK8570674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:85547557:85548395:-1 gene:gene-LATHSAT_LOCUS23410 transcript:rna-LATHSAT_LOCUS23410 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIETLCITSISQRQSQPSQTSKNLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNYGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLALVRESLMIEEFDPQPPYKWLTLPDMGYVISNRYNVVLVCLGIECWTFFPMTTSFSPNVSIYCIGFVNRNHWVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFTGRLQHWQQLTPILPTHYEL >CAK8542834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552288015:552288554:-1 gene:gene-LATHSAT_LOCUS11638 transcript:rna-LATHSAT_LOCUS11638 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKFSVPIKLILFSILFFITINIANGQAQPNQSTLVFYLQDVGKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPVTLSPNSFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNVQYAGSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8560492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22102922:22103677:1 gene:gene-LATHSAT_LOCUS14160 transcript:rna-LATHSAT_LOCUS14160 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPINIRGGGNIVKWKSITHLIKPGKVDICFIQKTKLVVLDESIAPLLWGSYEVEWSEAEPIDGVGGVAILWRKDLLKLSFSFRGIGFVGVNAKWRGQELFFVNIYYSCNLALKKMLWRKLVALKKIFGSFTWFNSASTSISRRDRFLLSENLIEDWKIVVKYVGNRDISDYCPIWLKANIEDWGPKPFKFNNSWLNHPDF >CAK8541906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:429463175:429464346:-1 gene:gene-LATHSAT_LOCUS10795 transcript:rna-LATHSAT_LOCUS10795 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLIDLEGDFYSCKHCKTAFALVDDIISKSFHCRYGKAYLFYKAVNVTVGEKEDRIMMTGMHTVVDLFCVTCGSIVGWKYEVAKENSQKYKEGKFILERYKVLGPDGSEYMPPLEDAEDV >CAK8567277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499927064:499927711:-1 gene:gene-LATHSAT_LOCUS20343 transcript:rna-LATHSAT_LOCUS20343 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLIVLFFLALISSSTFHVSSYGNSNSDFCVANLSAAYTPSGYPCKSPKDITVDDFVFHNFVSGEPIHPFNITLTVASVDNFPGLNGLDISAARVDVGVNGTIAMHTHPDASELLIMVKGQLRAGFVTPKNVYVKDIKPGDVMAFPKGQVHFVVNSGGEEAVAFATYSNSNPSFQFIDHLLFANSLTSSVIAESTLLDFSEIEKLKTVFGGSG >CAK8530712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43318100:43318591:-1 gene:gene-LATHSAT_LOCUS556 transcript:rna-LATHSAT_LOCUS556 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKDEENQAIKLFGATIKLHDVEIKERAEDPTVEKRSDKIVPCPRCKSMETKFCYFNNYNVNQPRHFCKSCQRYWTAGGALRNVPVGAGRRKLKPPGHEDSGSNSPDSSVYEGDSDDHGRKFGLDLDEWQVETIPQGGFREVFSGKRRRKNSGCYSLAMM >CAK8574939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16319225:16322860:-1 gene:gene-LATHSAT_LOCUS27236 transcript:rna-LATHSAT_LOCUS27236 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLTLHLWNPPPRFHTLSLPSHSFPLPLSISACSSDTQQQQDLLTAKEKRRLRNERRESKNTTNWREELEESFIKKTKKEKKSWMDELNLDNLMKLGPQWWVLRVSRVGGQYTAEALARSLAKFFPDTDIKVYAPAIHEKRKLKDGSISVKPKPLFVGCIFLRCVLNKELHDFIKEYPGVGGFIGTTVGNTKKTIKKPKPVSEDDMEAIYKQAKVEQENADKAFEEEQKKTAVISANPNSDIDSDVSKAIVDSKPKRGSRKTSNKLAVTEVTEEASSVKKNVKLTKGSTVQIISGSFSGFAGTLKKLNRKTKIATVHLAIFGKENIVDLDVSEIAPETN >CAK8539735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523537135:523538876:1 gene:gene-LATHSAT_LOCUS8805 transcript:rna-LATHSAT_LOCUS8805 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSVPAPFLTKTYQMVDDFATKDVISWNESGESFVVWKHADFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVADKWEFANENFRRGNKDLLTEIKRRKTVSQPSSQPPETEKTNANGNNSPSNSDEINGVGSTSTVRSTSSSNSKNPGSVETTPPQCVNLSSENEKLKKENEILSWELARAKHKCDELVAFLKDRLNVGPDQIDRIMKERNFEPVQNAVGEGEENGRESLKLFGVWLKEENYTEKRKRNCEEQFDFGGPRAKVTNNVVDFGPVNVVNMMKSGKIATFYK >CAK8561460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:228903220:228903519:1 gene:gene-LATHSAT_LOCUS15049 transcript:rna-LATHSAT_LOCUS15049 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFDVGDRCEIDTVQMVVEEMNILTTVFLRYDNLKITIPNSVLATKATQNSTIVLTWETGLNYVSK >CAK8537097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:91949056:91964554:1 gene:gene-LATHSAT_LOCUS6412 transcript:rna-LATHSAT_LOCUS6412-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALLFHFPPSHAKLTSSFFFFSTRCLRPSPTLPTQPPPSPKRLPFTVSVHGRTWQDPYHWMSNTNDPHLMEHLNCENTYADAFMSDTLKLRSHLSSEMKTRLPSSICTPPERWGPWLYYQYIPEGKEYPVLCRKLETKSNGWMKTFLFCHGIAGSDKEEVLLDWNELAEKYGYVHVGTCRVSPDHNYLAYTVDISGGERFVLQVKDLRSGLLDHKVEIDGVVSLAWARDATSLFYTQSDENQRPYRVLCRKLGYDLVNDLTVFTESDSGFCVDITSTKDGKFITVYVIDSANPLDGLQRLCERTSGVQYFVEHHSGLFYILTNAPLPDGQWSGDEYYLVRCRVQDIESPKLQNIILPDKDTRLCDLDIFNGHLVLFLNKKGLPLLCSLNLPFQIDFKNQVYIQDLKPWYFPLPTNTCSAVPGSNHDFLNAVYRVVLSSPVMPDVIVDYDMSRQTYSIVHQEEVNCDSVGQSSIPPFKPMKNKIQQACGDKKECVSDSDSQRWKDFSEVYCCQREEVVSHDGVKVPLTIVYSRESWKKGQSPGILVGYGAYGEDLDKSWCSDRLSLLDRGWVLAFADVRGGGGGGRSWHKSGCGLNKQNSISDFVSCGNYLVNEGYVHRDQLGAVGTSAGCLLVGAAMNMYPQLFRASILKVPFIDVCNTLLDPNLPLTILDYEEFGNPGIQSNFDSIISFSPYDNIPQGCCFPSVMVTAAVNDSRVGVWEGAKWVAKVRDSTCSRCSLTVIMKTSMIGGHFGEGGRYAQCDETAYEYAFFMKVFGMLNH >CAK8537096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:91949056:91964554:1 gene:gene-LATHSAT_LOCUS6412 transcript:rna-LATHSAT_LOCUS6412 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALLFHFPPSHAKLTSSFFFFSTRCLRPSPTLPTQPPPSPKRLPFTVSVHGRTWQDPYHWMSNTNDPHLMEHLNCENTYADAFMSDTLKLRSHLSSEMKTRLPSSICTPPERWGPWLYYQYIPEGKEYPVLCRKLETKSNGWMKTFLFCHGIAGSDKEEVLLDWNELAEKYGYVHVGTCRVSPDHNYLAYTVDISGGERFVLQVKDLRSGLLDHKVEIDGVVSLAWARDATSLFYTQSDENQRPYRVLCRKLGYDLVNDLTVFTESDSGFCVDITSTKDGKFITVNSNSRTSSEEGTSMLYVYVIDSANPLDGLQRLCERTSGVQYFVEHHSGLFYILTNAPLPDGQWSGDEYYLVRCRVQDIESPKLQNIILPDKDTRLCDLDIFNGHLVLFLNKKGLPLLCSLNLPFQIDFKNQVYIQDLKPWYFPLPTNTCSAVPGSNHDFLNAVYRVVLSSPVMPDVIVDYDMSRQTYSIVHQEEVNCDSVGQSSIPPFKPMKNKIQQACGDKKECVSDSDSQRWKDFSEVYCCQREEVVSHDGVKVPLTIVYSRESWKKGQSPGILVGYGAYGEDLDKSWCSDRLSLLDRGWVLAFADVRGGGGGGRSWHKSGCGLNKQNSISDFVSCGNYLVNEGYVHRDQLGAVGTSAGCLLVGAAMNMYPQLFRASILKVPFIDVCNTLLDPNLPLTILDYEEFGNPGIQSNFDSIISFSPYDNIPQGCCFPSVMVTAAVNDSRVGVWEGAKWVAKVRDSTCSRCSLTVIMKTSMIGGHFGEGGRYAQCDETAYEYAFFMKVFGMLNH >CAK8568217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582817557:582818114:1 gene:gene-LATHSAT_LOCUS21197 transcript:rna-LATHSAT_LOCUS21197 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKLLDDNSYVSRYRTCDDGFTIRDIFWTHPNSIKLFNTFPTTLILDSTYKTNKYRLLLFEIVGVTSTEKTYSVGFTFLECEKKENFSWALEVCWSFLKDQGKMPKAIVTDRDTALTYAVAKVFPSSYELLFKYRITKNVRSWVKSTVETKQVESEGEKLVKSGVIVEKIMDAWNRIINSSP >CAK8576145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416081579:416083162:1 gene:gene-LATHSAT_LOCUS28356 transcript:rna-LATHSAT_LOCUS28356 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFIAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPNYPDAYAGWNALSSFGSYISVVGIRRFFVVVTITSSSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >CAK8565999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365898355:365898971:1 gene:gene-LATHSAT_LOCUS19168 transcript:rna-LATHSAT_LOCUS19168 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSPCACCKLLRRRCTQECIFAPYFPSDDLRKFAIVHKVFGASNVTKMLQELPIDQRAETVNSLVYEANARVRDPVYGCVGAISYLQTQVSQLQMQLAMAQAEILCIQMQQDSTTVTNTLPACNDQDERLMLLSENSNCHGDLSQYINFASSSSSSSSNVFQDFEPLKRESFWA >CAK8533559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636473508:636475565:-1 gene:gene-LATHSAT_LOCUS3179 transcript:rna-LATHSAT_LOCUS3179 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTLFLLTLVALITTATIATTTTTPIIGLDSYLTSQSRTDPQATTDSFQSLSTSIKKSLSHLPSLSLSLSPIPISLTIHLIGDFPPNTHSLLSTFLSPPPSPFHVITPSSPLSQSSQSHTLSLHHTLHLHVTTSQTQTLSQTISQTLTTLFQTTPTPLRTSLLPFPHSSVDKIISQHFHSISPKPEGFHLYLINLPKSKIDPVSNSVKPYAYTYTSTASDNSSPGFTNCLGTFWTSSERYFWVDLSAGHVDYGPAVSGDGLIPKGEFHPFATLHGGPKSQRALAADLASLVWSAYQVFLAPSLRIPVHYENKLVVQFIHVYSGEEKDVKGLDWKLIEKSFRDESNGKILLGDQSLSFKVFDVKYDECPLCSFAVSRSTNSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSAGEMRKIGAIPEEEDFGRVIPVYVFDLDYHPLLMLDRYHQSVAFKDMVIAVRTRNTQTVSDYSCNGRHVFVHTRELERPIVGSVLQSMWGVSPTHLSWSPLHNSTLVDYTWSMGQTPFGPFSELLSLSFVQKDAARRNILLTTLNYSISSAFDVLQSLETHGGDRNMLKQKQHDEFVQRWNLFKYKLNKAVSAMSHLDYEMALFYLKSSDHDLYAIHSIVYHASQEIEASLTCFKDPPFPWVSVSTSVAAFVALSYVYAKRHKIFRNKSKQF >CAK8542755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543304661:543306023:-1 gene:gene-LATHSAT_LOCUS11564 transcript:rna-LATHSAT_LOCUS11564 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHVLHMKGGVGETSYAKNSSLQRKVITEVKTILEENMTLIMSNKSVKGCWKIADLGCSSGQNTLLSISNIMNIIHEINTKLNNGKPVFQIYLNDVFENDFNTIFKLLPGFYQQEKEKNNGECFISATPGSFYGRLFPNDYIDFFHSSYCLHWLSQAPKNLVKNGEALNKGNIYLSKTSPPAVYEAYFKQFEKDFQYFLKLRFKELAMNGMMALTFIGRESHDKIISVQGIVGMVLNQMVQEGLVEKEKLDMFDFPAYHPTEEEVRQVIEAEGSFTLQTMKTFRMGWDANLQEDVIDYVVDSKMRGEFIAKYHRAVYEPILIVSFGENVMDELFSRLAKMLEKLIEIETLEFTNIVLFLTKDH >CAK8531540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122491380:122492565:-1 gene:gene-LATHSAT_LOCUS1324 transcript:rna-LATHSAT_LOCUS1324 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNGKEKDIEVDIESGLPLIEDNTRRISNSITAKQGKMLFAEFSDELVGSFVRGEVGPSTYFNESNLSEVSLDVMKVMNKIPAKENRKKASNKKAAKPPRPPKGPSLDEADYKLIRELSELAMQKRARVERMKALKKMKNAKPASSNSTSALALIFTVLFFIVIIIQGMSSGKSSVASFQGSPISVGGGEKGLISVQYQLNPSSDSNAPGSESPNFVQRIAGSDLNEKLRKDSG >CAK8576119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408951431:408951922:1 gene:gene-LATHSAT_LOCUS28333 transcript:rna-LATHSAT_LOCUS28333 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTLESQQVNEVENAFAQQVGPSSKKRFLDVDLDNLPVDPGERNQMACYHPNDRDEIQIVYLQKGPCQPKDHNFPQRQFGTSLRKFNPDWFLEFGSWLEYSVSKDAVFCLCCYLMRHEIGEHKGWDAFVTEGFSNWKKKDKLNVHVGGPNSAHNQA >CAK8578282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617100649:617101566:1 gene:gene-LATHSAT_LOCUS30307 transcript:rna-LATHSAT_LOCUS30307 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGFSCGDHFEEKEMEFSLIDETIATTQSSIIMEVYHHPHLRVDNNTDFFPVEHPLEPPDEDRPVKCPMPESSVISDERMHEKRKTESIRKRGEISGAGRRTAATNTDAPARGVRKRHHTLTHGSGGDIVMTPLMRMPSLPPLQSQNITIFQVLQQLDKFES >CAK8544134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666333442:666334131:1 gene:gene-LATHSAT_LOCUS12837 transcript:rna-LATHSAT_LOCUS12837 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKQPQLNGAYYGPAIPPPAQPRPYHQRSRSCCCCLFSFFWKLFVTLIVLAGLAVLIFYLVVQPRPFKFYVTEAKLTKFDYANNTIHYNMVLNFTARNPNKKLSIYYDKVEALAFYEGARLTNNVDVITHMNSFRQYKKSSDPMSAVFSGQKLLVLEDDQVSEFNDNKSADVYDIYVKLYFRIRFRLGDLISGDYKPKVKCDLKVPLNSNNKTTATFVPTKCSVDFY >CAK8537599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407187967:407188440:-1 gene:gene-LATHSAT_LOCUS6876 transcript:rna-LATHSAT_LOCUS6876 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDWRTDTNRSTSDRDTSPTPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSRQYLALDEIYRPIGDAFPNNPTRRQRLVVRQGPSTTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDMLKFSG >CAK8571291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364884197:364884592:1 gene:gene-LATHSAT_LOCUS23966 transcript:rna-LATHSAT_LOCUS23966-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSTSDNTALSGTANEKKNWATSPSTILNHFAIRGLIVAIVTSTTHTLDVLKVRLQMQLVGQKGPLSGLVSSQMHSALKLGSSGYVDPSNVVRLCCCCVAHVDASNITIILRNELAVATVMGNFSLSSQ >CAK8571290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364884197:364885287:1 gene:gene-LATHSAT_LOCUS23966 transcript:rna-LATHSAT_LOCUS23966 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSTSDNTALSGTANEKKNWATSPSTILNHFAIRGLIVAIVTSTTHTLDVLKVRLQMQLVGQKGPLSGLVSSQMHSALKLGSSGYVDPSNVVRLCCCCVAHVDASNITIILRNELAVATVMGL >CAK8574411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680692402:680697307:1 gene:gene-LATHSAT_LOCUS26762 transcript:rna-LATHSAT_LOCUS26762 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIRTPFFSPTTTTTSTRSPSSTNQPLLRLHFSHPSKRRNSFPNFSISCSSQSQPQPQSQSQPEPLRQRPPYIPNHIPDSSYVRIFDTTLRDGEQSPGASMTSKEKLDIARQLAKLGVDIIEAGFPAASIDDFEAVKMIATEVGNAVDENGYVPVICGLSRCNEKDIQRAWDAVKHAKRPRVHTFIATSSIHMEYKLRMTREQVIEKARSMVAFARSLGCQDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGITMPSEFGKLIADIKANTPGVENVIISTHCQNDLGLSTANTIEGARAGARQLEVTINGIGERAGNASLEEVVMALKCGGHIFNNLHTGINTRHIYMTSKMVEEYSGLQLQPHKALVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNEAGIVLGKLSGRHAMRKRLEELGYELKDDQVETLFWRFKAVAEQKKRITDADLRALVSDEVFQAEPIWKLADIQVTCGTLGLSTATVKLVGDDGSTHVACSVGTGPVDSAYKAVDLIVKEPATLLEYSMVAVTEGIDAIATTRVLIRGESNHASTHALSGESVQRTFSGTGAGMDVVVSSVKAYIAAINKMLSFKETPSAQKIPVTASNVT >CAK8571489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429939648:429943968:1 gene:gene-LATHSAT_LOCUS24150 transcript:rna-LATHSAT_LOCUS24150-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYIPTLLLSSLICCLITLPVSAMYSSGYLPLQRAVPLSHRVEIHTIRARDILRHARFLRDVGGVGGGVVDFTVQGSSDPFTRGLYTTKVKMGNPPKEFNVQIDTGSDILWVNCNTCSNCPKSSGIGIELNFFDTVASSTASMVPCSNPICTYGIQGAAAQCSPQVNQCSYTFQYEDGSGTSGVYVSDALYFDMILGQSTSDNVNSSATIVFGCSTSQSGDLTKTDKAVDGIFGFGPGDLSVVSQLSSRGITPKVFSHCLKGDGNGGGILVLGEILEPSIVYTPLVPSQPHYNLNLLSIAVNGQLLSINPDVFTTSDNRGTIVDCGTTLAYLVQEAYDPLVNAVNTAVSRFATPFISKGSQCYLVLTSINTYFPPVSLNFAGGASMNLKPSQYLLHNGFQDGAAMWCIGFQKVQEGVTILGDLVLKDKIVVYDLARQQIGWTNYDCSMSVNVSVTTTKDEYINARARQTSVSSSKIGTLSKLLPVSIMALSIHIIIFMKSPHL >CAK8571488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429939648:429943968:1 gene:gene-LATHSAT_LOCUS24150 transcript:rna-LATHSAT_LOCUS24150 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYIPTLLLSSLICCLITLPVSAMYSSGYLPLQRAVPLSHRVEIHTIRARDILRHARFLRDVGGVGGGVVDFTVQGSSDPFTRGYGLYTTKVKMGNPPKEFNVQIDTGSDILWVNCNTCSNCPKSSGIGIELNFFDTVASSTASMVPCSNPICTYGIQGAAAQCSPQVNQCSYTFQYEDGSGTSGVYVSDALYFDMILGQSTSDNVNSSATIVFGCSTSQSGDLTKTDKAVDGIFGFGPGDLSVVSQLSSRGITPKVFSHCLKGDGNGGGILVLGEILEPSIVYTPLVPSQPHYNLNLLSIAVNGQLLSINPDVFTTSDNRGTIVDCGTTLAYLVQEAYDPLVNAVNTAVSRFATPFISKGSQCYLVLTSINTYFPPVSLNFAGGASMNLKPSQYLLHNGFQDGAAMWCIGFQKVQEGVTILGDLVLKDKIVVYDLARQQIGWTNYDCSMSVNVSVTTTKDEYINARARQTSVSSSKIGTLSKLLPVSIMALSIHIIIFMKSPHL >CAK8535011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802935898:802937049:1 gene:gene-LATHSAT_LOCUS4498 transcript:rna-LATHSAT_LOCUS4498 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTIVSYKFNVNGECSDVLHAKRGIRQGNPISPMLFVIMVEYMNRLMVKMPQNSNFNHYSKCEKLALTHMAFADDVLLFCRGDLMSFELILEAFKKFSDSTCLIVNPRKCKLFCGGMETSLIQEVERLSGFEEGQLPVRCLGVPLLSKKLSVNHYLPSVDRIFCRIRHWSAKLLSTASRIQLVKSITMAIAQYWITYFPIPMLVIQKIEAICRSFIWTGKAEISRRIPMAWSKVCSLISQGGLNIINIGIWNKVSMLKCLCNICMKVDNHWVKWVHTYYLKDEDVKNADLKNTSSWILKVVMLSRGTVNQIQDLWVTMLTNCKFSMRKTYMELIRNQSPTDLFQVIRNNYARPHADLTCWLACHGLLAMKEKNDEIGFVAR >CAK8573327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603464778:603467998:1 gene:gene-LATHSAT_LOCUS25788 transcript:rna-LATHSAT_LOCUS25788 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQQQHSANKQKQNLWATMVLRKWLNIRSKKSDSVADSDDNEDDPETDSDNEEWRSGSRYRDSREDETPSESCEFLPGLRRQKSLTVRSQYINRKELRVCVGTWNVGGKLPPNDLDIDDWLDVDHPADIYVLGLQEIVPLNTSNIFGAVDTRHVPKWENIIKETLNRVQPKPSKVKSFSDPPSPSKFKPSDDAPDIEEEEMLLESDGDIGEEIHPLDEGYEVFNGVTDKPIAHESLNASLKDSNAADIANSRVIVDNDLESQLSYQRRLDRLNCLRDEDLSAIVETPSSPQISKLSRMVSGTERIGLSWPEPPLHLLSHSVLKRATSLKPVKSLNATKSFRATDSFKSKTDAMDLLAEIDLEALMKRKTRSSYVKIVSKQMVGIYITIWVRRSVRKHIQNLKVSTVGVGVMGYIGNKGSVSVSMSIYQTLFCFVCTHLTSGQKEADEIKRNADVREIHHRTHFYSLSDIGLPKSIFDHERIIWFGDLNYRINLSYEKTRDLISKKQWSKLAEKDQLAKELEKGVFDGWSEGTLNFPPTYKYEVNSDKYIGEEPKVGRRTPAWCDRILSYGNGMRLLSYKRKELKLSDHRPVTATYIAEVEVFNPRKLQKALTFTDAEIGNEELMTSFGTWNL >CAK8543318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599843804:599844419:1 gene:gene-LATHSAT_LOCUS12083 transcript:rna-LATHSAT_LOCUS12083 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIQRLLLALDELLSEVDQRFCVRHLYSNFRKNFPGVKLKKFMWKAADASYSNAWEIIMREIKVVNEEAFKHLWKIPPRLWSKFRFKNGPKCDTLVNNMSEAFKSVFVAARAKPIVTMLEEIIVYLMQRWESNRQKVSKYVDTIRPNIRKKLEKESQRTNN >CAK8541472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:194808648:194812123:-1 gene:gene-LATHSAT_LOCUS10391 transcript:rna-LATHSAT_LOCUS10391 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQDAYSAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRELLTRVNSDEIIHRIRDWRQTGIYQPPRRSTSQPVHDILPSPTVSASRKKQKTSHSGQSLPGLSTVKSGPYASTGPAGARHFANRNSSSNLISNAPGEGASFDPLIGKKVWTRWPEDNHFYEAVITDYNPTEGRHALVYDINKANETWEWVDLKEISPEDIRWEGEDPGILHRSGHSSQGRGAKKFFSHGGNDTLGAGRGRGHPRYQPRKELIAPQNGIGNRVSDEIELLNTDALVKEVERVFATSLPDSTELEKAKQMLKEHEQALVEAISRIADASDGESDEEQPYL >CAK8563272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588416655:588417602:1 gene:gene-LATHSAT_LOCUS16700 transcript:rna-LATHSAT_LOCUS16700 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEEFMDDSNEEQQLQNERRFGCSSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTNVQFRRRFRMHRHVFLRIIDALGNHDEYFQMRVDATGKMGLSPLQKCTYVIRMLVYGSPADLVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDVEHLLQMGESRGFPGMLGSIDCMHWVWKNCPVAWKGQFCRGDHGKPAIMLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNVFKDILEGRAPNVQYTINGTPYNMGYYLADGIYPEWATFVKTISMPQGEKKKILCSTSRIG >CAK8540694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16299180:16303095:1 gene:gene-LATHSAT_LOCUS9673 transcript:rna-LATHSAT_LOCUS9673 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSLPRWIGGKFLGRNPKSTINNIGDSSEDSGVVVVADDTPVTSVVECYACTQVGVPVFHSTSCDSGHQPEWEASAGSYLVPIQNRPGKFARAVARANWGPLGTVLDPRSKRVQVWNRALLLARGVALAIDPLFFYALSIGREGSPCLYMDGGLAAVVTVARTCVDAVHLFHVLLQFKLAYVSRESLVVGCGKLVWDARAIASHYLRSFKGFWFDVFVILPVPQAVFWLVVPKLIREERIKIIMTTMLLIFLFQFLPKVYHSICMMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLQQHCERTVGCNLSLSCSEEVCYQSILPPGTIGNPCGGNTTMMMSKPLCLDVAGPFKYGIYQWALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICIVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRHFERQRWAAMGGEDEMELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKPLVFSKDEKIIREGDPVPRMVFIVRGRIKRNQSLSKGIVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVCLESTEAFGLDAQNLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAYRRYRQRTRGPVTPVRDNGSSERRLLQYAAMFMSIRPHDHLE >CAK8578546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636895673:636897708:1 gene:gene-LATHSAT_LOCUS30547 transcript:rna-LATHSAT_LOCUS30547 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKERENFVYIAKLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVVGAHRASWRILSSIEHREDAKGNDVSVKRIREYRNKVESELSNICSDIMTIIDDHLIPSSSAGESSVFFYKMKGDYYRYLAEFKNGDERKEAADRSMEAYQTASTAAEDELAPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEEGGEEPKVESSRAGGDEDA >CAK8578375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625125851:625131147:1 gene:gene-LATHSAT_LOCUS30392 transcript:rna-LATHSAT_LOCUS30392 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDIFLGTTNVAFFYVILIWVLFDSLKQTKSNHLSHLKHRPKFFTYVTVLFSAVVTILNVAFGFYKYSYTNNGLFIGFDFVSLALTWVLATLVSFYSMKKPVIESKRFPFVLILWWVFVTVVDAILLSLKLVKSYESFNLKILLLEDNIVDAVSLPMLLVLCFNAVSSVCVREQSEIEQRLLQNEIESSSLGDEDEDEEAFIKAGLWSKLTFRWLNPIFEMGRIQKLEHVHVPSVPHSETAATASSMLEQSIRKQKLQGGSLTKAIFLSFWKSLALNAILAGVNTIASYIGPLLISNFVNFLLSNNDNSSTKYGMILAFIFFLSKTIESLSQRQWYFGAQRIGIQVRAALMALVYSKSLMIKCGGPTHGKVINLINVDVERIGDFCWYVHGVWLLPVQIILALVILYINLGYTPSIAALSVTILVMVCNTPLANMQEGLHSKIMEAKDSRIKMTSETMKNIRILKLHSWESTFLQKLFQLRDIERNWLQKYLYLCSAVATLFWASPTFVSVFTFGACILVKTELTAATVLSALATFRILQEPIYNLPELISMITQTKVSVDRIQEFIQEEDQNQFTNKHASKTSTIAVEVKPGEYAWEENDQILKKPTIHIVEKLIINKGQKVAVCGPVGSGKSSLLCSMLGEISLISGAATKVYGTRSYVPQSPWIQSGTIRENILFGKEMNKDFYDNVVDCCALLQDINLWSDGDLNMVEERGINLSGGQKQRIQLARAVYNDSDVYFLDDPFSAVDAHTGSHLFKECLMKLLYDKTVVYATHQLEFLEAADLILVMKDGKIAEFGKYRDLIACPNSEFVQQMAAHEETVSQIPSQKDDFASCRPCQKNRTEIAEENIQEIMMDWKRTREEEAMTGRVKWSVYSTFVTLAYRGALVPVILLCQILFQVMQMASNYWMSWATEEKGRVDNAQLMGVFALLSGGSSIFILGRTVLMAKIAVETAQRLFHGMITSVFRAPVSFFDTTPSSRILSRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVILLFFVVLAISVWYQAYYITTARELARMVGIRKAPILHHFSESISGAATIRCFNQEKIFLTKAMALIDDYSRVAFHNYATMEWLSVRINFLFNLVFYFVLIILVNLPRSAISPSMAGLVATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSDIPSEAPLIIQDNRPEPEWPKEGKIEFHNLHIQYEPAAPMVLKGVTCVFPGQKKIGIVGRTGSGKSTLVQALFRVVEPLEGCILIDGVDISKIGLQDLRSKLGIIPQDPTLFLGTVRTNLDPLEQHTDQELWEVLRKCHLAEIVQQDPRLLDAPVAENGENWSVGQRQLVCLARLLLKKRKILVLDEATASIDTATDNLIQKTIREETSGCTVLTVAHRIPTVIDTDLVLVLNEGTIAEYDKPSQLLQDSSSSFSKLVSEFLRRSSQSSC >CAK8537663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417874955:417879816:-1 gene:gene-LATHSAT_LOCUS6929 transcript:rna-LATHSAT_LOCUS6929 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRVCVCFRRSFKSGEAVVPQEIRELFIKYSEGGAHMTPDQLRRFLAEVQGEVMDEIDELDPQKVVEEVLQKRHHITKFARHNLTLDDFHHYLFSAEFNPPIRSQVNQDMTAPLSHYFIYTGHNSYLTGNQLSSVCSDVPIIKALKRGVRVVELDIWPNSTKDDVLVLHGRTLTPPVELIKCLRSIKDYAFVASPYPVIITLEDHLKADLQKKAAQMITQTFGDMLFCPNDENLNNIPSPEELKHRIMISTKPPQEYLNSKSVRESSKQLLKSNSKDSDEDEWRKEVTDVVNTQNEDDKGDSDTNQLNDDDVSNDDEYESNQESEYKRLIAIHAGKPKGSLKEALKVEDDKVRRLSLSEQALEKAAESLGTDLIRFTQKNFLRIYPKGTRVTSSNYKPMVSWMHGAQMVAFNMQGYGKNLWLMHGMFRSNGGCGYVKKPDFLMNVDQNNDVFSPKDKLQVKKTLKVTIYMGDGWSLDFDKTHFDSYSPPDFYARVGIAGVPADVTMKETKIKEDNWIPTWEEEFVFPLTVPELALLRVEVQEYDISETDDFAGQTCLPITELKQGIRAVPLYDRKGKQYKSVRLLMRFDFI >CAK8562106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440728626:440731370:-1 gene:gene-LATHSAT_LOCUS15632 transcript:rna-LATHSAT_LOCUS15632 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDNVVLGVEKKSTAKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPCTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGSPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNFKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPPKDT >CAK8572592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550230963:550232076:1 gene:gene-LATHSAT_LOCUS25145 transcript:rna-LATHSAT_LOCUS25145 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEDLDSNLDLSKLRAHPLKPVIH >CAK8539861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529580622:529582927:1 gene:gene-LATHSAT_LOCUS8921 transcript:rna-LATHSAT_LOCUS8921 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSAAQGRCATTTTGKSITECSSCGRVVEERQSHPHHIFHLRAQDNPLCLVTTDLPPPTLHQNNQPPNTNESEEEDPFEPTGFITAFSTWSLEPTPLYLQSSLSFSGYLAELERTLESSSSASSSTVVVDNLRAYMQIIDVSSILGLDCDICDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVAQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGDVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTLIASGRSTSKIDAMEVTSLDSENLPEFKPSKPNEVSVMVHQSRGKDEADGKSNARASNSTAIQQSTFWQSQLPSATHNHQNQNILESMDIDASQRSHQQPEPMVEVTNGAAISSSLNSNQLYSPPASSSSSIMRSFSAPPSSAPPNIRLMQSSKTMPGFPEY >CAK8533562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636907528:636908853:-1 gene:gene-LATHSAT_LOCUS3182 transcript:rna-LATHSAT_LOCUS3182 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKVIMMVILGMLSLWPMEVMGSPRLHKVGGSKGWNEKTNYTQWSSQQHVYVGDWLIFVFDKRSYNVLDVNKTSYENCVDTNFIKNVTRGGRDVVQLIKAKTYYFISSGGYCFHGMKVIVDVQEHQTLAPSSSLSLSTMKSGGNFILSCFGIIVVNVVYVSLISMGIL >CAK8567811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546858506:546858841:1 gene:gene-LATHSAT_LOCUS20833 transcript:rna-LATHSAT_LOCUS20833 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTDYKVRHTSLNEEKCVANLYKNESSCRLWMLTGLPCCHAMSCMKDQHLEIDGFVPVCYKKEQYTACYAHVIYPLNREALWAKTSVVDLQPPPIKRQSGRPKKKRNREV >CAK8538489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484787165:484789144:1 gene:gene-LATHSAT_LOCUS7680 transcript:rna-LATHSAT_LOCUS7680 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAMLITLIIFSFISNTISQQEYVNNKQLDCENTFNSTLGNICNSVNSCQSYLTFKSNPPYNTLSSISNLLNASPSLVSQSNNISTLQTIPTDTILTVPVTCSCSGDNSYYQHNTSYTLKTAGETYFAVANLTYQALTTCQALIAQNPYGIRNLSVGLNLTIPLRCACPTKKQRDQGIKYLLTYLISQGETVSSIADIFGADPQTVIEANELSPTAFIFYFTPLLVPLKNEPPRNIIKQATPPESPPPPPPANPAESGSSSSKKWVIVGVVVGVVVLLLVVLALFFLCFYKRKRRQPELPPPVGKPFLDSDNNKVSDTTSTTQTWSLSSEGIRYAVDSLTQYKFQDLQNATMFFSEENKIKGSVYRASFKGDDAAVKILKGDVSSEINILKRINHANIIRLSGFCVYKGNTYLVYEFAQNHSLDDWLHSENKNKSYENSNSMCLSWFQRVQIAHDVADALNYLHNYANPPHVHKNLKSGNILLDGKFRGKVSNFGLARVMENEDNEGFQLTRHVIGTQGYMAPEYIENGLITPKMDVFAFGVVILELLSGREVVGGDKNNGLGDQLLSSTVNQVLEGENVREKLRGFMDPNLRDEYPLDLAYSMAEIAKRCVARDLNSRPNVSEVFMVLSKIQSSTLDWDPSDELERSRSVSQVSDSI >CAK8575799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:328000740:328004121:1 gene:gene-LATHSAT_LOCUS28034 transcript:rna-LATHSAT_LOCUS28034 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIKGRSGFCMLPDVRQICFRKGLLYGFSRVFSIPMKTLRGASRSLRVDQFCSVVNISSSLMIEVVPCLRDNYAYLLYDVDTGTVGVVDPSEAAPIIDALTKKNLNLTYILNTHHHHDHTDGNAELKERYGAKVIGSNVDKERIPGIDIYLSDGDKWMFAGHEVHIMATPGVTRGHISFYFPGSGAIFTGDTLFSLSCGKIYEGTPEQMLSSLNKIMSLSDDTSIYCGHEYTLNNSKFALSIEPENKELQSYASHVAHLRNKGLPTVPTTLKMEKACNPFLRTWSTEIRQKLNVAATADEAEALGVIRQAEDNF >CAK8572319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529207100:529209415:1 gene:gene-LATHSAT_LOCUS24895 transcript:rna-LATHSAT_LOCUS24895 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLILGQNHNLELGQNHNLELGHDHHDHHQSMLGHNHDLGLSENHGLELGSPPPHEHQLDMSQTHEHELDLGHDHGLDLGHDHDLGIEQNHDPEGDDGQTYEHELAMDRKPGHDNHESPLPGQNHDMDLPENNNLTVFEHQGFDDNMDLAVIQHPEMGTDSENDMSIQQSQFMLSSEPHVIQARTLAISPSYELSVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFTIRTIHESHTCGGISHLGHQQASVQWVANSVEQRLKENPNCKPKEILEEIHMVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCAQVKRTNPGSIASVYGNPTDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDDNWTWFLTELHNLLEVNTENMPRLTILSDRQQGIVDGVEACFPTAFHGFCMRHLSDSFRKEFNNTLLYNLLWEAANCLTIIEFEGKVMEIEEVSQDAAFWIRRVPPRLWATAYFEGHRFGHLTANIVEALNSWILDASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPSAERSVAEALERARTYQVLRANEAEFEVISHEGTHIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDANVSQALEVTINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >CAK8538302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475933755:475934342:1 gene:gene-LATHSAT_LOCUS7516 transcript:rna-LATHSAT_LOCUS7516 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAVKDVRILSRCALLLTSWAFTRIPLFAPVTTVEPSYPYAQRWAQRGMNYRANPRFHLQGYRNALDHMQEHDFIWRPYIRYPLPRLEDSQIWSATTFLICFYTVEMHQTDRVTLQFGLDQQSLPPQGV >CAK8536570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955772965:955777943:1 gene:gene-LATHSAT_LOCUS5926 transcript:rna-LATHSAT_LOCUS5926 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVCPISSSRATAISALLSPPSPPQLQEYYHNIFSARQCSAISVKHDDKFGKGVYAAMDFKEDELVLKDQMLVGTQHSFNKIDCFVCSFCFRFIGSIETQIGRRLYLRELRANKSHDCDVGSSSKSTENCHETDSSDEEESTLQRCSGGLKNTVPLPEGVVESLMNGQLRLPCTEKFSLPSSVSCSGGCGEAYYCSMLCAEADWESSHSLLCTGESSDPRRREALLKFVKHANETNDIFLLAAKAISSTILRYHKLKVNCPEEKLKYDASCVSNNYNFSLLLEAWRPISMGYKKRWWDCIALPDDIDSSDEASFRMQIKELAYESLQLLKTAIFDKECELLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYIEEMTNPDKEEAEKITQPILDALGEDYSTCCEGTAFFPLQSCMNHSCCPNAKAFKRDEDRDGQATIIALRSICKGEEITISYVDEDLPFEERQASLADYGFKCNCSKCIKQGP >CAK8544584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693738451:693741033:-1 gene:gene-LATHSAT_LOCUS13245 transcript:rna-LATHSAT_LOCUS13245 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEIKSGALVSLQDLRPSSPFFKQGTSLRIIGKLREYSFETGLATVIDGNSILKVNTEHLMDLNFQVGSVYQFIGELLIQPDNEGVLQARVGRNVDGIDLNLYHQSMLLLRQFQANHLSNPAT >CAK8530149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3003642:3006009:1 gene:gene-LATHSAT_LOCUS39 transcript:rna-LATHSAT_LOCUS39 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVLNTQSYCRALQSSPSSSSNTEKGTSFFGNHVPFRRKNNNSMRPCVWFHSPHSTRQFAIKAVATPDPAVELPLTAENVEIVLDEIRPYLISDGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKLGIERRLMEKIPEIVAVEPISDEETGLELNDENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVITVRVAVTQKLREKIPAIAAVQLL >CAK8561989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422256091:422256477:1 gene:gene-LATHSAT_LOCUS15528 transcript:rna-LATHSAT_LOCUS15528 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTPNFDLFDVYFRRANLDRDGRISGAKAVSFFQSSGLPKNVLAQIWAFANQNQSGFLGRAEFYNALKLLTVAQSKQELTPEMYFEAALYGPAVSKTLAPQINFSATATPTPAQKSLYQYRKMNSM >CAK8532502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:272429573:272430214:1 gene:gene-LATHSAT_LOCUS2201 transcript:rna-LATHSAT_LOCUS2201 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVCSLTLSLVLLSFISNLSPAFSKDGSGIIDTNFFPVHPDGRYYIVPSYFVIRSGRLKLVKTGDSNCPVTVLEEDFTSDRGTSVKFSIIGTSYDILTGTPIEIEFTKKPDCVESSKWLVFVDKAIQKSCVGIGGPENYPGMEILNGTFNIQKNGLSTYKFVFCVSGYNTCSNIGWYKNGEDGARLILTDKPISGFSFYEAPYEDGVIKSVV >CAK8535351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842541193:842544481:1 gene:gene-LATHSAT_LOCUS4817 transcript:rna-LATHSAT_LOCUS4817 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWLDDLTDDLQSLSFASTTTTTATTADIKRSTSFSSETTASTSTRSLPPTTKPHAPSSDPRWSAIHRIRSESPSRRILPSDLRFSRRLGSGDISSVYLAELNDDGKIPAMFAAKVMDKKELISRSKEGRARTEREILESLDHPFLPTLYATIDAAKWLCLLTEFCPGGDLHVLRQRQQNKRFTESAVRFYASEVLMALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDNSTPTAQIIISSQDSPQVAPQKNPHSEPSQFASSSCIIPNCIVPAVSCFQPKRKRKKKQSQLHGPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGLDNELTLANIVARALEFPKEPTVPATAKDLISQLLIKDPARRLGSIMGASTIKHHSFFQGVNWALLRCTPPPYVPPPYTKDKEDVSDESCPQTPVDYY >CAK8573831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641109928:641110612:-1 gene:gene-LATHSAT_LOCUS26234 transcript:rna-LATHSAT_LOCUS26234 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNTIIGEESKTEEEIEMPKESLPTEEEIEMPKESLSDCAPSSVKKNKHIAGLVRIYDDSSNNTFLRVTNVSDPSKGERVYCMNEHPNFLMRTTFTILKAWQLVRRIKVSFQLYQNASEC >CAK8562169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450406228:450410693:1 gene:gene-LATHSAT_LOCUS15688 transcript:rna-LATHSAT_LOCUS15688 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADSSSKSVTPKKTPPARKSAGESAVAKRTPPSKTRNAKKTEASPSTEPITESNPDQSEQQKSLASATTMKSAKRKIPGKPRTTVVATTPNSEEQLEAEADETRDVGDSEAAEKVVEAGNVSNIGADNMESEKEGGQSFLSVGLSDGREQKPMEVYEPVVGKVCESVENGKSMEGSEPIVSPEAPLSFKQSGCNVMDLNMSGADNEDYTAAKEGGEVLKEEELNIPEEERGKAELKGDEGEKGKDELNDQGEKGKEELNQQKRETEILEFKIQEREKGKEELQEQEGKKEMEEFKIQEGEKGKEELKEQEVEKGKEVVKERVGEKEKEEFKEHEAQKENENFKEEKLKKAKGEETNIIGHEEHFSVEVQAQLPKEFDPKNYGVEGPKGGELIDLEEQGKVELEEEDPEEDPEEDPEELPEETETLDEEHIDFEDIAKQRKIRKEHEIFVGGLDRDATEEDLRKVFQRIGEVVEVRLHKNSSTNKNKGYAFVKFAIKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNKWTKEIIKQKLKDYGVEGVENITLVPDVQHEGLSRGFAFLEFFCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPVHEPDPEIMAQVKSVFINGLPLHWEEDHVRELLKSYGDIVKVVLARNMSTSKRKDYGFVDFSTHEAALACVDGVNKSELGDGASKIKVRARLSNPLPKMQAVKGDMCGGLRIGQARSEAYPRSEVGFGRGRGRHPFNNRGNFNRGRSFYHHGRRSQIGRGFWDDPDFSMHPNFHQRQFGPQGAMGGEHYAGSLGGAPFAGPSRHYYDREWYGFPDGGPGEPMPPRRPYSPGGRFYGIPDGGPGEPIPPRRPYSPGGRFYGIPDGGPGEPIPPRRPYSPGGRFYGIPDVGPVEPIPPRRPYSPGGRFYGIPDGGPGEPIPPRRPYSPGGQFYGIPDRGPGEPIPPRRPYSPGGQFDVPFTGRHVDDPYLYDDNIRGIKRPFHMTDPEPDHMGPNRLRPRFDNADPSIFHGTRYHDYAGAGSRQYPHDYYGSHHGRGPYSFYGGNGPHEDRYYY >CAK8562168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450406228:450410693:1 gene:gene-LATHSAT_LOCUS15688 transcript:rna-LATHSAT_LOCUS15688-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADSSSKSVTPKKTPPARKSAGESAVAKRTPPSKTRNAKKTEASPSTEPITESNPDQSEQQKSLASATTMKSAKRKIPGKPRTTVVATTPNSEEQLEAEADETRDVGDSEAAEKVVEAGNVSNIGADNMESEKEGGQSFLSVGLSDGREQKPMEVYEPVVGKVCESVENGKSMEGSEPIVSPEAPLSFKQSGCNVMDLNMSGADNEDYTAAKEGGEVLKEEELNIPEEERGKAELKGDEGEKGKDELNDQGEKGKEELNQQKRETEILEFKIQEREKGKEELQEQEGKKEMEEFKIQEGEKGKEELKEQEVEKGKEVVKERVGEKEKEEFKEHEAQKENENFKEEKLKKAKGEETNIIGHEEHFSVEVQAQLPKEFDPKNYGVEGPKGGELIDLEEQGKVELEEEDPEEDPEEDPEELPEETETLDEEHIDFEDIAKQRKIRKEHEIFVGGLDRDATEEDLRKVFQRIGEVVEVRLHKNSSTNKNKGYAFVKFAIKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNKWTKEIIKQKLKDYGVEGVENITLVPDVQHEGLSRGFAFLEFFCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPVHEPDPEIMAQVKSVFINGLPLHWEEDHVRELLKSYGDIVKVVLARNMSTSKRKDYGFVDFSTHEAALACVDGVNKSELGDGASKIKVRARLSNPLPKMQAVKGDMCGGLRIGQARSEAYPRSEVGFGRGRGRHPFNNRGNFNRGRSFYHHGRRSQIGRGFWDDPDFSMHPNFHQRQFGPQGAMGGEHYAGSLGGAPFAGPSRHYYDREWYGFPDGGPGEPMPPRRPYSPGGRFYGIPDGGPGEPIPPRRPYSPGGRFYGIPDGGPGEPIPPRRPYSPGGQFYGIPDRGPGEPIPPRRPYSPGGQFDVPFTGRHVDDPYLYDDNIRGIKRPFHMTDPEPDHMGPNRLRPRFDNADPSIFHGTRYHDYAGAGSRQYPHDYYGSHHGRGPYSFYGGNGPHEDRYYY >CAK8562167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450406228:450410693:1 gene:gene-LATHSAT_LOCUS15688 transcript:rna-LATHSAT_LOCUS15688-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADSSSKSVTPKKTPPARKSAGESAVAKRTPPSKTRNAKKTEASPSTEPITESNPDQSEQQKSLASATTMKSAKRKIPGKPRTTVVATTPNSEEQLEAEADETRDVGDSEAAEKVVEAGNVSNIGADNMESEKEGGQSFLSVGLSDGREQKPMEVYEPVVGKVCESVENGKSMEGSEPIVSPEAPLSFKQSGCNVMDLNMSGADNEDYTAAKEGGEVLKEEELNIPEEERGKAELKGDEGEKGKDELNDQGEKGKEELNQQKRETEILEFKIQEREKGKEELQEQEGKKEMEEFKIQEGEKGKEELKEQEVEKGKEVVKERVGEKEKEEFKEHEAQKENENFKEEKLKKAKGEETNIIGHEEHFSVEVQAQLPKEFDPKNYGVEGPKGGELIDLEEQGKVELEEEDPEEDPEEDPEELPEETETLDEEHIDFEDIAKQRKIRKEHEIFVGGLDRDATEEDLRKVFQRIGEVVEVRLHKNSSTNKNKGYAFVKFAIKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNKWTKEIIKQKLKDYGVEGVENITLVPDVQHEGLSRGFAFLEFFCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPVHEPDPEIMAQVKSVFINGLPLHWEEDHVRELLKSYGDIVKVVLARNMSTSKRKDYGFVDFSTHEAALACVDGVNKSELGDGASKIKVRARLSNPLPKMQAVKGDMCGGLRIGQARSEAYPRSEVGFGRGRGRHPFNNRGNFNRGRSFYHHGRRSQIGRGFWDDPDFSMHPNFHQRQFGPQGAMGGEHYAGSLGGAPFAGPSRHYYDREWYGFPDGGPGEPMPPRRPYSPGGQFDVPFTGRHVDDPYLYDDNIRGIKRPFHMTDPEPDHMGPNRLRPRFDNADPSIFHGTRYHDYAGAGSRQYPHDYYGSHHGRGPYSFYGGNGPHEDRYYY >CAK8535406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845999722:846001014:1 gene:gene-LATHSAT_LOCUS4871 transcript:rna-LATHSAT_LOCUS4871 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASKILEEFDGDDCLMIEKLLGLRSESLANQFKIDASAVLHSNNRQYGPISETITINNHEVAAATSASDDVLHSKNRQCAAASETIIENNHEVAAGTSVAKIDASDDFLRSKNQHYAFASETITRFNNSNVKNNETSKEEFKNPDVKNNKITTEDAVGGSVPKITIKIKQSDRSLKFVHGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTVEELNNPNTKNNETTTGESLFDESSNTKVKKRKANKKKSSEPSKKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTKNQSRLSIPKGGVKESFLTASEESYLDYERNKNEKINSMYVSMLDHNLNLWDEMCLKKWKMEKAEVYTITEGWNELVAENNWKKDENVLVQLWSFRRNHKLCFALVKL >CAK8560355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15365482:15365784:-1 gene:gene-LATHSAT_LOCUS14034 transcript:rna-LATHSAT_LOCUS14034 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSKSCKDQNFQIESYNGGRVAPTSMQDLRSYSTSYAGFDQNKQIEKGKRTKSWSFSDPELQRKKRVAGYKIYSVEEKMKGSLKKSFRWIKNTCSKLW >CAK8540637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13854662:13855030:1 gene:gene-LATHSAT_LOCUS9623 transcript:rna-LATHSAT_LOCUS9623 gene_biotype:protein_coding transcript_biotype:protein_coding MSIITIEFNSSFFRLHPPNNDNIFLLGTFIQMRFLASINLDNHLENHVILVVMEEKTLLKDNLLILVVCSRYIIKYSFLAKHMLDPNLGCMDATLQNKNNCFIIIPTRRTSWQIPIPHLLLR >CAK8573671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628596857:628597585:-1 gene:gene-LATHSAT_LOCUS26086 transcript:rna-LATHSAT_LOCUS26086 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGVGLSPRKKSEQHHNRHENYGTYRDSYLRKSLDLDAPWTSITTTLDENAFKAKQRLEKKLGYFFSSSRSSEENPKKGEESKRFQKKDVGLGRKLLESAWLLRGNRFKKERKVCAVCLEDFHQNEEVMNLSCSHKYHSACLLPWLERHPHCPCCRTVVEPKD >CAK8573020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577601559:577602194:1 gene:gene-LATHSAT_LOCUS25515 transcript:rna-LATHSAT_LOCUS25515 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIRSGPTVLMNCGSERHENYTEVPPSPASVCSSVHEDFWRRTEYLSPISTPDVFSRDDTVMPQVFRDISSGLNELRRQLNQLDYDDVDVEDFTMKYEPSESELMQINDPAESYIRDLLLASGLYFGSWDKSLLRREISTK >CAK8535776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880677574:880688381:1 gene:gene-LATHSAT_LOCUS5200 transcript:rna-LATHSAT_LOCUS5200 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKVRGIDVDFPYEAYDSQLVYMDKVMQSLQEEKNALLESPTGTGKTLCLLCATLAWRKSLGSFTTGLSLKTSDKGKTEISLSQSGASNFPAIVYASRTHSQIRQVIQELKRTSYRPKMTVLGSREQLCIHEKVKLLRGKTQTNACRSACRRRAKPKHQCNHFQNVPEYLKHNPNLGEEPVDIEDLVNIGRTSGPCPYYLSKELHKAVDIIFAPYNYLIDRGYRSSLQLSWSNSVLIFDEAHNLEGICADAASFDLPSWLLTACITEVQSVVDLLIVRRNKSNDKSQNPDDFAILKALLLKLEKRIAEVHIESKELGFTKPGPYIFELLADLNITQKTASKLKSIIAEAVTLLEEENQEKSTGTICRLDSIKDILDIVFKDGKTSHAKYYRVHVKEVEAWGANSSKGQVSRTLSWWCFNPGIALEGFAKEGVRSIILTSGTLSPLDSFAEELKLDFPIRLENPHVIGPNQIWAGVVSVGPLGRTFNSSYRTRDTMEYKQELGNAIVNLARIVPDGLLVFFPSYYLLEQSIQCWKSISNENSASIWERICKHKKPVIEPRESSQFASSIKDYLTKLNDTTASGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATSIDPKVRLKREYLDQQSGAQGQSFKVLTGDEWYNQQASRAVNQAVGRVIRHRHDYGAIIFCDERFAQPHRQSQFSKWIQPHIKCYSRFGEVVFTLTRFFRDGRTRGSTKLSLLEAENGGNLGEMPSSEHFTDKFHMEKLLQPLPTPMTPNCTSKASSLLDTKKGYTSFVGGILPANRSSLSSDRRLTIGCDSSSDTRETILHKRRTVLSQEPDGFGLGDSCQLGEKSKNMLIAPCFTKKRRFIAGEYDLKQHFGNSNEQSPSGSQNAQGDIDLQCKDNVTSPSRNTEFLRQKDNLPADSTPSTADGTQGSAFLTQVRDKLSAAEYIDFVGYMKALKTKTLKISEVLLSISRLFSGPERLPLLKRFKDYIPAKYHSLYEQYVEGKVD >CAK8535775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880677574:880688381:1 gene:gene-LATHSAT_LOCUS5200 transcript:rna-LATHSAT_LOCUS5200-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKVRGIDVDFPYEAYDSQLVYMDKVMQSLQEEKNALLESPTGTGKTLCLLCATLAWRKSLGSFTTGLSLKTSDKGKTEISLSQSGASNFPAIVYASRTHSQIRQVIQELKRTSYRPKMTVLGSREQLCIHEKVKLLRGKTQTNACRSACRRRAKPKHQCNHFQNVPEYLKHNPNLGEEPVDIEDLVNIGRTSGPCPYYLSKELHKAVDIIFAPYNYLIDRGYRSSLQLSWSNSVLIFDEAHNLEGICADAASFDLPSWLLTACITEVQSVVDLLIVRRNKSNDKSQNPDDFAILKALLLKLEKRIAEVHIESKELGFTKPGPYIFELLADLNITQKTASKLKSIIAEAVTLLEEENQEKSTGTICRLDSIKDILDIVFKDGKTSHAKYYRVHVKEVEAWGANSSKGQVSRTLSWWCFNPGIALEGFAKEGVRSIILTSGTLSPLDSFAEELKLDFPIRLENPHVIGPNQIWAGVVSVGPLGRTFNSSYRTRDTMEYKQELGNAIVNLARIVPDGLLVFFPSYYLLEQSIQCWKSISNENSASIWERICKHKKPVIEPRESSQFASSIKDYLTKLNDTTASGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATSIDPKVRLKREYLDQQSGAQGQSFKVLTGDEWYNQQASRAVNQAVGRVIRHRHDYGAIIFCDERFAQPHRQSQFSKWIQPHIKCYSRFGEVVFTLTRFFRDGRTRGSTKLSLLEAENGGNLGEMPSSEHFTDKFHMEKLLQPLPTPMTPNCTSKASSLLDTKKGYTSFVGGILPANRSSLSSDRRLTIGCDSSSDTRETILHKRRTVLSQEPDGFGLGDSCQLGEKSKNMLIAPCFTKKRRFIAGEYDLKQHFGNSNEQSPSGDIDLQCKDNVTSPSRNTEFLRQKDNLPADSTPSTADGTQGSAFLTQVRDKLSAAEYIDFVGYMKALKTKTLKISEVLLSISRLFSGPERLPLLKRFKDYIPAKYHSLYEQYVEGKVD >CAK8572308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528110929:528114637:1 gene:gene-LATHSAT_LOCUS24886 transcript:rna-LATHSAT_LOCUS24886 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLWLIILSLSVNSLGSESKRTDVAYASLLYGDEFLLGVRVLGKSIRDTRSSKDMVVLVSDGVSDYANNLLKADGWIVEKISLLENPNQVHPKRFWGVYTKLKIFNMTDYKKVVYLDADTIVVRNIEELFKCGRFCANLKHSERLNSGVMVVEPSTELFNDMVSKVKTLPSYTGGDQGFLNSYYSGFPNARVFEPTLSPEILNTRPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVREQLPESLPGTRGGQNPKDGFLVKFLFLLPLCAVLFCCYRSFQKNQGYFGPCCRISMWDHVRHLYYRIKSGGSLSYTAISTSTVGSTHQLLNSSQYKMPAYLGGVSVCVCLMAAVVSLGLTLVIVPRQVTPWTGLLLMYEWVFTIFYILFGGYLNFIYHWGKITASRALSTFSQPESSDDDSGKGHPHQISSCDATTWFYGLGIAFLAIVAPSLPCLFGITALFLRLGLMVFGGIILTSFMTYASEHLAMKSFLKGLEERDIARNGNFCFFC >CAK8530819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52642055:52648724:1 gene:gene-LATHSAT_LOCUS658 transcript:rna-LATHSAT_LOCUS658 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNPTRFKLGKQSSLAPERDREEDEVHHEGDASIDAGVRLMYSANEGDVDGIREVLESGVSVNFKDVDGRTALHIAACQGLTHVVDLLLENGAAVDPKDRWGSTPLADAIFYKNNDVIKLLENHGAKPLMASMHVNHAREVPEYEIDPKELDFTNSKEITKGTFCIALWRGTEVAVKKLGEDVIINEEKVKAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRDFMKRKGALKPSTAVRFALDIARGVGYLHENKPSPIIHRDLEPSNILRDDSGHLKVADFGVSKLLAVKEDKPLTCQDTSCRYVAPEVFNQEEYDTKVDVFSFALILQEMIEGCPPFSAKRDDEVPKVYASKERPPFRAPTKHYSHGIRELIEECWNENPAKRPTFRQIITRLETIYNTIGQKGRWKVRPLRCFQNLEALLKRDRSKLSSRGSSSRSSTSRI >CAK8565581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283814507:283814845:-1 gene:gene-LATHSAT_LOCUS18780 transcript:rna-LATHSAT_LOCUS18780 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEIQVPTPFDPFSEAIESDAPGAKQYVHIRIQQRNGKKSLTTVQGLKKEFSYEKILKDLKKEFCCNGNVVQDKELGKVIQLQGDQRKKVSQFLIQVGLVRKDQIKIHGF >CAK8532851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:536071330:536074722:1 gene:gene-LATHSAT_LOCUS2515 transcript:rna-LATHSAT_LOCUS2515 gene_biotype:protein_coding transcript_biotype:protein_coding MELIIMLVSLILSLVVLPILWLMYKTRSPKEMEGIPGNLGWPIVGESFSFLSDFSSPSGIFSFMNKRQKRYGKVFKSFVLGRFTVFMTGREASKILLTGKDGIVSLNLFYTGQQVLGPTSLLQTTGEAHKRLRRLIAEPLSLDGLKKYFHFINTQAMVTLDQWEGKKVHVLEEASTFTLKVIGHMIMSLEPTGEEQEKFRSNFKIISSSFASLPFKLPGTAFHRGIKARDRMYEMLDSVISRRRNGQDFQQDFLESLIMKHSRKSDAQEDENKLTDKQLKDNVLTLLVAGHDTTTAALTWLIKFLEENPNVLEQLREEHREIIANRKSGTDLTWSEVNNLPYTAKVISETLRRATILPWFSRKASQDFEIDGYKVKKGWSLNLDVVSIHHDPKVFPDPYKFDPSRFDEHLRPFSFLGFGNGPRMCPGMNLAKVEICVFIHHLVTRYKWRALEKDDSVQPTLVRMPKNKYPIIVESL >CAK8569866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13987021:13989300:-1 gene:gene-LATHSAT_LOCUS22672 transcript:rna-LATHSAT_LOCUS22672 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDSAPKETGLNNVAAREGDKLTKRYDLVEEMNFLFVKVIRVRDFPYNPNLYVEVKLGNMKATTIFLDNTSFLNQVFAFEKDKIHCTKVDVMVRDKIEVRDMSREFIGRVQFDVGEIPKRVSPESMLAPQWYRLEDQNGVNLVRGAIMLSLWIGTQADECFSHAWCSDSTRISGDAVGYTRSKVYMSPSLWYLRVNVIQAHDLLLRFDPKSSDIFVQVDLGSLRLRTSFSKIKSEKPFWNEDLMFVAHEPFDETIFLSVEQGTLVDHVSLGTYKINLKDVEKRLEPIPVESLWYDLNRPGVIETATEVKFASKLNARISLDGAYHVMDEPLEYSSDFRPSSKKLWKSSIGVLELGILKATNLMPMKIGGRTDAYCVAKYGPKWVRTRTIVDSLSPNWNEQYVWEVYESFTVITIAVFDNNQLNTESRGRGVRDTIMAKIRIRLSTLERGKVYTHSYPLIGLQPSGMNKMGEIHLAVRFSWSFWSMYRIYKAPLFNEVHYLLPLSSTQLDNLQSQAAHVIARSLSKAEPPLRKEVVSYMLDMRSDLWSMRKGIANYNRIMSFLGGFVVFWKWLEDIKKWKNPIATLLFHFLCILVVLHPQPMLSLVIFYFFWIGLKNYFNRPKHPCHIDETLSGADTTNTEDIEEELDFFPTQTRGEHLRRRYDRLRIIARNAQRKVSHLATIGEKLQSLCSWRDPRATKLFLLFCFVGFVVTMFLPLQVIIFIWIMYYLRHPSYRSGGTWSAENFFKRLPSNQAFML >CAK8540947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34764976:34765452:1 gene:gene-LATHSAT_LOCUS9901 transcript:rna-LATHSAT_LOCUS9901 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNWYGCIHEFAGRKSKMVMNVNGEVIQQTFLCHRESARDDKYNNYSVKKREHKPTSRCDCLAKVQVHVDFNIERWYIKFFDGVYNHTFADDKYEQMLPAHRKMSEYDKYQIKTMRKSGIPTFRIGGFFENQTEGYGKLGYSRRDMYNKLFKKKGSK >CAK8576432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475457313:475457849:-1 gene:gene-LATHSAT_LOCUS28620 transcript:rna-LATHSAT_LOCUS28620 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKKNIMRTFFTTNGSCGSCVKPKAIQVHEPTLKPKVPINPNNKITNPSSKASSTTTSGDRNGAVCSVDDSDDFSTTTFSEADTMNNYSAPKQSPLMNTVAVEKDSEDPYHDFKHSMLQMIFENEIDSEDDLQDLLRCFLHLNESCYHGVIVRVFNEICHEAFPDKVCNIAAKTFE >CAK8569334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695059597:695061978:1 gene:gene-LATHSAT_LOCUS22196 transcript:rna-LATHSAT_LOCUS22196 gene_biotype:protein_coding transcript_biotype:protein_coding MQLISLFLLLLPLGVSSLYDGPLYDSTAYTECNGYPEKPLYGGGIFKDNNITQINTNIIVLYNLTQHTIYSFSAWVKVEGSDSVMIRARLEAENDTYNCIGTVSAKRGCWSFLKGGFALNSPSNSSAIIFQNADGKDVDIDIASLSLQPFTKQEWRFNQEYIINTKRKRAVTIHVSDTNGMKLQGASVRVEQISKDFPIGSAIAKTILGNTPYQNWFVKRFNAAVFENELKWYATEPHQGRTDYTISDQMMQFVRSNKIVARGHNIFWEDPKYNPAWVLNLTGTELESAVNSRIKSLMNKYKTEFVHWDVSNEMLHFDFYEQRLGPNATFQFFEAAHESDPLATLFMNDFNVVETCNDVNSSVDAYIFKIRELRQHGVFMDGIGLEGHFTIPNPPLIRAILDKFATLDLPIWLTEIDISKTLDQETQAIYLEQVLREGFSHPSVNGIMLWTALHPYGCYQMCLTDNDLKNLPSGDMVDKLLQEWQTGCVEGVTEEHGSHSFYGFLGEYRVSVEYGNRTIDSTFSLSSGDETRHVTVTL >CAK8564863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13895636:13896103:-1 gene:gene-LATHSAT_LOCUS18120 transcript:rna-LATHSAT_LOCUS18120 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMTGYNTAKTFTSIAEQNVVQEAASGLESVEKLIKLLSEARHKYQTSSSSSSFSPSNPNNNHNTSMEIERDCKAVVDIAVPKFKRVISLLEKEQDRSRSFQEKLLHRNKLNLLNEQSTTHKHKLLRTPIISLSPISPKPTFLLPQNDNPHC >CAK8539951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532277622:532281375:1 gene:gene-LATHSAT_LOCUS8999 transcript:rna-LATHSAT_LOCUS8999 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSAASRKILSKIACNRLQKELVEWQVNPPAGFKHRVTDNLQRWVIEVTGAPGTLYTNETYQLQVDFPENYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSPAKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >CAK8562784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537344475:537347534:-1 gene:gene-LATHSAT_LOCUS16252 transcript:rna-LATHSAT_LOCUS16252 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFQVATSSLCNLNGSHRRPIPLSRFMASGPGFRSHHSLYFTRSSSSHFFGTNVNSISFASPRVARTRRSFSVFAMSTEDSKRAVPLKDYRNIGIMAHIDAGKTTTTERILFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQLPIGAEDSFKGVIDIVRMKAIVWGGEELGARFSYEDIPEDLLEQAQDYRSQMLESVVELDDEVMENYLEGVEPDEQTIKRLIRKGSIAATFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMNGTDPDNPEITIERIASDDEAFSGLAFKIMSDSFVGSLTFVRVYSGKLTAGSYVLNSNKGKRERIGRLLEMHANSREDVKVALTGDIVALAGLKDTVTGETLCDPESPVVLERMDFPDPVIKIAIEPKTKADIEKMATGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREYKVEANVGAPQVNYRESISKVSEVRYVHKKQSGGQGQFADITVRFEPLDPGSGYEFKSEIKGGAVPKEYIPGVVKGLEECMSNGVLAGFPVVDVRAVLVDGTYHDVDSSVLAFQLAARGAFREGIRKAGPRMLEPVMKVEVVTPEEHLGDVIGDLNSRRGQINTFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYSMQLAMFDVVPQHIQNQLATKGQEVTA >CAK8561678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:353374186:353376627:1 gene:gene-LATHSAT_LOCUS15246 transcript:rna-LATHSAT_LOCUS15246 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIMDVVELLRPFIETEAWDYVVVWKYGNDPTSFIEWLGCCCCGGNIEKVKNKEEMAEKYKMAPICRDALFPHPVRTKTCEALAKLPFAMSLYSSVHGEVAISQQPSWFIQEDSIETQVLIPILGGLVELFTKKLIPRDINILEFISAHCCVSIKQEVISAQSYTNMNFNEHYYHLTPGLPAENHSSSNPSIEGPSNGSNPSTEHLSFDSNFDCLLPHEYLHHLVEISPIPKVKSRGYNKTLSFHCGNDEEDEEGTVKEPKKEMYHAKNLNTERNRRSRIKKGLFTLRSLVPNITKMDRAAIVDDAIEYIKELQRQKIELQEKVDVLDVEDCKKNTLQMRVQGDKEQPLSEFNQSSSDSIRKTEMELQVEVNHVRRTDLMIKVCGEIKRGGFSKLLEAIDSFGLHVVNANMTRFDGKVLIILMVEPTAKEIHPTKLREYLIQQTS >CAK8561239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:127300574:127306877:-1 gene:gene-LATHSAT_LOCUS14848 transcript:rna-LATHSAT_LOCUS14848 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPVQSLFHNFEKVANFVQHHVSNFIGDHIQSSGTSGRGSVNASIKVPFVKTTSSVQQHDPVVKGKSSTPVTKEDLGRATWTFLHTLAAQYPDNPTRQQKKDVKELIQILSRMYPCSECADHFKEVLRSNPVQSGSHAEFSQWLCHVHNVVNRSIGKPVFPCERVDARWGKLECEQKACEVIGSTSIFGKI >CAK8560068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6352158:6352409:1 gene:gene-LATHSAT_LOCUS13781 transcript:rna-LATHSAT_LOCUS13781 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPNPKVKAVFRTMTNLGIHESKVKPVLKKLIKLYEGNWELIEADNYTALVDVIFDVEDNRQEDNQVYPIPALTLICFGSIL >CAK8565420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:185230816:185231286:1 gene:gene-LATHSAT_LOCUS18638 transcript:rna-LATHSAT_LOCUS18638 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHARPRAKFTVWFLLNGKLPTKDRLKKFGVIDSSGCSFCDAGESMDHLFFACSGFCSVWREVLGQLNIRRKLASWENEKNWLNREANKNGWKAKILKLASIETIYELWRSKNKRLFNHKKMDSTLVDKIMHNIVTRSNMYRDLADHVTRIIGRN >CAK8561499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:253418123:253421423:-1 gene:gene-LATHSAT_LOCUS15085 transcript:rna-LATHSAT_LOCUS15085 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQFQGSGNWWETPARNMRFESVEQQQQQQSSSFGGWQQQQQHHDTMSASGSSSIVFHDTTEKLQPSDSSTSNNDNSNLHMMNLGLSSQTIDWNHASLISDKASEGSFRSMLQENLNSSSTNFDEETGGVGLSNWRQEKLFSTESSNNEFKQVNRGFSLDQTQFSPQYSSGDSNMISQMDSSALYGNPSMLQGLLGTETNQIQPQHGNNSFENRSMNFPYSSTSYGLSSNDLIPSWSKIPQQKQQQPNNQLHFTNNAPFWNASESTIKDSSSSFLPPFTTPNFGAQTKNISEGRDPSGVVKKSGSEPAPKRSRNETPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTILSTPYMKNGAPIQHQQGSGKSKEVEGPKQDLRSRGLCLVPVSSTFPMTHEPTVEYWTPTFGGTFR >CAK8561498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:253418123:253421423:-1 gene:gene-LATHSAT_LOCUS15085 transcript:rna-LATHSAT_LOCUS15085-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQFQGSGNWWETPARNMRFESVEQQQQQQSSSFGGWQQQQQHHDTMSASGSSSIVFHDTTEKLQPSDSSTSNNDNSNLHMMNLGLSSQTIDWNHASLIRSDKASEGSFRSMLQENLNSSSTNFDEETGGVGLSNWRQEKLFSTESSNNEFKQVNRGFSLDQTQFSPQYSSGDSNMISQMDSSALYGNPSMLQGLLGTETNQIQPQHGNNSFENRSMNFPYSSTSYGLSSNDLIPSWSKIPQQKQQQPNNQLHFTNNAPFWNASESTIKDSSSSFLPPFTTPNFGAQTKNISEGRDPSGVVKKSGSEPAPKRSRNETPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTILSTPYMKNGAPIQHQQGSGKSKEVEGPKQDLRSRGLCLVPVSSTFPMTHEPTVEYWTPTFGGTFR >CAK8540401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557882314:557883227:-1 gene:gene-LATHSAT_LOCUS9406 transcript:rna-LATHSAT_LOCUS9406-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIERYYSFKEDQQVTNPESEVKFWQRESDILRQQLQTLQENHRQLMGEQLYGLSIRNLQDLERQLELSLQEIRMKKEKILTDEIHELNQKGNLIHQENVELYKKVNLILQESTQLRKRVYGTTDTAALTTSKSAFVQFPYGGEGGGGEYPQTLFQLQLCQPEQEQYCETSGSASATK >CAK8540400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557882314:557883227:-1 gene:gene-LATHSAT_LOCUS9406 transcript:rna-LATHSAT_LOCUS9406 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIERYYSFKEDQQVTNPESEVKFWQRESDILRQQLQTLQENHRQLMGEQLYGLSIRNLQDLERQLELSLQEIRMKKEKILTDEIHELNQKGNLIHQENVELYKKVYGTTDTAALTTSKSAFVQFPYGGEGGGGEYPQTLFQLQLCQPEQEQYCETSGSASATK >CAK8564379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671121967:671123284:-1 gene:gene-LATHSAT_LOCUS17691 transcript:rna-LATHSAT_LOCUS17691 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKAFIFLALLSFSPQLFLTISSAVEDNGLLLNYYKESCPQAEEIIKEQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLTSTRRSLSEQEHDRSFGLRNFRYIDTIKEAVERECPGVVSCSDILVLSARDGIVSLGGPYIPLKTGRRDGRKSRVDLLEEYLPDHNESISSVLDKFGAMGIDTPGVVSLLGAHSVGRTHCTKLVHRLYPEVDPALNPDHVPHMLKKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLLVDHQLAHDKRTKPYVKKMAKSQEYFFKEFSKAITLLSENNPLTGTKGEIRKQCSVANKQHHDEP >CAK8541430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162531842:162532751:1 gene:gene-LATHSAT_LOCUS10350 transcript:rna-LATHSAT_LOCUS10350 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMLSCYKENPLAFPSQIVCLDFNTISVSRNFRKEQRFELHNAKDRCKEYEMKTYSGLIRLIKCFHFSNNIGGDQAISSITLARKIC >CAK8531333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101417190:101421256:-1 gene:gene-LATHSAT_LOCUS1133 transcript:rna-LATHSAT_LOCUS1133 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENRSKEETATYQEWFHLADSDGDGRISGNEATKFFALSNLSRSQLKQLWALSDTKRQGFLGFTEFVTAMQLVSLAQAGYELNSDLLKIQLDKENVKPPVIEGLDTLVAQTKSLLTITAQPEVNVSALPQPFLANSWFTSKSRSSKKLPPNAVTSIVDGLKKLYIERLKPLEAAYRYDDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKCDYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVDADMPFGGLTTFGGSFLSKFQCSQMPHSLLDEITIVDTPGVLSGEKQRTQRSYDFTGVVSWFAAKCDVILLLFDPHKLDISDEFKRVISSLHGNEDKIRVVLNKSDQVDTQQLMRVYGALMWSLGKVLTTPEVPRVYIGSFNDKPTAEGFDGSLGKNLFEKEQNDLLADLLDIPKKTCDRRINEFVKRARSAKIHAYIISHLKKEMPAIMGKAKAQQKLIDNLDNEFAKVQREYHLPAGDFPNVEHFKEVLSSYSIDKFEKLKPKMIQGIDDMLGYEIPELLKKFRNPYN >CAK8542005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:452155273:452158806:1 gene:gene-LATHSAT_LOCUS10882 transcript:rna-LATHSAT_LOCUS10882 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDYPKNMDMNHLISLSKDVVRVLEDPNDRDLNVFFECLQRTFPISSTCYSDLNDTASSFQDYQNKINSHKQKIEDARSETVANAELELLQRELDEELEKERLLKEEFRAISNEFNDLEQQHISIKEQKKKLLKLEQEKQKEGMLLSMYASVTSIVPNSDDQSKVSGLIIEKGKKTVEKFEYDTSQMTIFEVCNDIWKTISD >CAK8569745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9663433:9664236:-1 gene:gene-LATHSAT_LOCUS22561 transcript:rna-LATHSAT_LOCUS22561 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVQVYGPALSPAVSRVLTCLNEKDVQFQLVPVDMSKGEHRSPNFLKIHPFAQVPAFQDDHISLFESRAICRYVCEKHIEKGNRELYGTNPLAKASIDQWLEAEGQSFNPPSSTLVFQLAFAPRMKVEQDEGAIQQSKEKLRKVLDVYDRKLGETRYLAGDEFTLADLSHLPNIHYLVSTDDDTASLFTSERENVRRWWTEISTRQSWKKVLDLYPKP >CAK8567972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559790900:559791517:-1 gene:gene-LATHSAT_LOCUS20976 transcript:rna-LATHSAT_LOCUS20976 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTAADSGMINIAASAQKLDVDNRIALRFYYRVAGNILRQADIFRAEKNIIDLYVLLLRFSSLASETIPRHREYRSSPQTKKQSLKKRLNELEKLKPLAQQKINEINSRNAHRQNGRGNFHSNNNVDFSSVQKQTLASNCQIKPVRATAREFAYQGSSGQHFSHVKPVEEHVRRLSLTLPPPNEETLSRRSILDPNGLNGQ >CAK8542775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545396052:545396324:1 gene:gene-LATHSAT_LOCUS11583 transcript:rna-LATHSAT_LOCUS11583 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVTTLTLFQIEQAIINVENAKLQQEQTLAAFWEHMPPVEEEVLVKRIQELRDHIRALEEQRRVLIRERELLLIRAASIICRRPGGNY >CAK8538057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460871071:460875276:1 gene:gene-LATHSAT_LOCUS7297 transcript:rna-LATHSAT_LOCUS7297 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKDIRFNYIIARIVKLLIVELYCTHTAACIFYYLATTLPESQEGYTWIGSLKMGDYSYSKFREVDFWKRYTTSLYFAIVSMTTVGYGDIHAVNLREMIFVMVYASLDMVLGAYLIGNMTALIVKGSKTEKFRDRMRDLMKYMNRNQLGRDIREQIKGHVRLQFESSYTDAAVLHDIPISIRSKISQSLYLPYIENIPLFRGCSSEFINQIVTRLHEEFFLPGEVILQQGNVVDQLYFVCDGVLEEVGIAEDGSEETVSLLEYNSSFGEISILCNIPQPYTVRVCELCRVLRLDKQSFSNVLDIYFNDGKKLLDNLVEGKESIRGKQLESDITFHIGKLEFELALKVNRSAFDGDMYQLKSFIRAGADPKKTDYDGRTPLHLAACRGYEDITLYLIHEGVDINIKDNFGNTPLFEAVKNGHDRIASLLVREGASLKIDDNGSFLCTTVARGDPEYVKRLLSNGLDPNLKDYDGRTPLHVAASIGLIFMAKLLLEAGASVFTKDRWGNTPLDEARTSGNKNLIKMLEVAKSAELTEFPFSQEITDKVHPKKCTVFPFHPWGPKDYKKNGIVLWVPHTIEELITTAADQIGFSNDSCILSEDAGKITDVGMIKDDQKLYLVRETH >CAK8561184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:115113100:115113522:1 gene:gene-LATHSAT_LOCUS14796 transcript:rna-LATHSAT_LOCUS14796 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIIDGTTVTNFIADDERFTNSVNEQFSSLDLNNDGVLSRAELRTAFESMRLIEAHFGIDVSTPAEQLTRLYDSVFDAFDGDGSGTVDRDEFKSEMKKIMLAIADGLGSSPIQMVLEDDSQSLLQKAADLEASKYAAV >CAK8567072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484779061:484781109:-1 gene:gene-LATHSAT_LOCUS20159 transcript:rna-LATHSAT_LOCUS20159 gene_biotype:protein_coding transcript_biotype:protein_coding MCSISSYSTCSSTLLTSSSSSFSFLCSFLKTTILTLPTPFPTTTISNISASATPKHVWTRTRRPPFSNPNKQQRRTRTQQQQPHEPPYIDSSVDMKELLTSIGQTHNVEQLYALMSPYNNRQLSIRFMVSILTREPDWQRSVAILDWMNEKAQYSPSIAAYNVVLRNVLRAEQWQFAHGLFDEMRQQGLSPDKYTYSTLITCFSKQGLFDSSFYWLQQMENDRVSGDLVLYSNLIEFARKLCDYSKAISIFNSLKASANIVPDRIIYNTMISVYGKAKLLPEARLLLQEMRENGVRPNTASYSTLLAIYVDNQKFVEALSLFSEMNEVKCPIDLTTCNIMIDVYGQLQMIKEADCFFWGMKKMGIEPDVVSYNTILRVYGEAELFGEAVHLFSLMERKGILQNVVTYNTMISIYGKFLEHEKATNLIQEMQSRGIQPNAITYSTIISIWEKARKLDRATMLFHKLRTSGVKIDAVLYQTMIVAYQKAGLVAHAKRLLNELKQQDNVSRETAITILAKAGKVDEAMWVFRQAFDAGEVKDISVFGCIIDIFSRDRKYAHVVEVFEKMREVGYFPDSNVIALVLNAFGKLRQFERADALYKQMHEEGCVFPDEVHFQMLGLYGARMDFKMVESLFEMLDSNPKVNKKELHFVVANIYERADRFNDASRIMRRMNHKAIKSHGNT >CAK8570020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21264864:21265814:1 gene:gene-LATHSAT_LOCUS22811 transcript:rna-LATHSAT_LOCUS22811 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAEAPEFLQVFSNGTVKRFNPETSPPSLDSSNKYISKDIIINPSKPITGRLFLPNNPNNNRNKKLLPLLVYFHGGGFCIGSTTWLGYHAFLGEFSVVTQSVILSVDYRLAPEHRLPIAYEDCYASLEWVGENVKVEPFLEHADLSNVFLSGDSAGGNISHHVAVKAIQNNGLCPVKIKGVMPIHPYFGSEKRTEKEMGEEGFEDVRMNDMFWRLSLPEDSNRDYFGCNIEKNEVSESVWLKFPAVEVYVAGEDFLKERGVMYAEFLKKKGVKEVKVVEAKDEKHVFHVFYPESDATKLLQNQMSKFMKKCCEH >CAK8541020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44622315:44622523:1 gene:gene-LATHSAT_LOCUS9973 transcript:rna-LATHSAT_LOCUS9973 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKTTVLTFAEKCKNILRQTGKVLLIPSK >CAK8536454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942896158:942902020:-1 gene:gene-LATHSAT_LOCUS5821 transcript:rna-LATHSAT_LOCUS5821 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSLCTLYTPTLLPFSKPHKTSAPIFSQSAKRCRIITSVAEDRKQIPVVSNDRKVLQPSPPSNDNTEVVNDTDIITGRAVNAAIVLGFGAFAVTKLLTIDHDYWHGWTLYEILRYVPEHNWIAYEQSLKANPVLAKMAISGVVYSIGDWIAQCYEGKPIFEFDRARLFRSGLVGFTLHGSLSHYYYQLCETLFPFQEWWVVPAKVAFDQTVWSAIWNSIYFVVLGLLRFDSLTNIYGELKATFVPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCIELIWVTILSTYSNEKSESRKFEEVSETSSSTSSQNSKESNE >CAK8579256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688523672:688524931:-1 gene:gene-LATHSAT_LOCUS31216 transcript:rna-LATHSAT_LOCUS31216 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTLLKYPKPTEATPQPQPPPPKEEDTDYIETTTDEEGEEEDEPFFDIEFTVPDEEEEQEQEEQKPNEFENELGFTLSPLTNEQVQLELNSSEPNSKPQFTASFLKSATKLRVFMSGFNKSKSTDSTAQKPESQKKKLFTVKFKVDEVPFGSFFTRDNSSKGKTGADNNKASEKKKKQHNTEEEESKLHSPSSASSDEKLRFSKEVMQKYLKKVKPLYVKVSRKYAEKLKFSSSQLNSSLVKKPPAEKVRSENGGNNVKSQKQGTLPLPAGLRVVCKHLGKSRSASLATEVATVSSQRRDDSTMQQQDGIQSAILHCKSSFNASKECNPLQSESSRKLGNELEDEGGFLK >CAK8539070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502848687:502852539:-1 gene:gene-LATHSAT_LOCUS8203 transcript:rna-LATHSAT_LOCUS8203 gene_biotype:protein_coding transcript_biotype:protein_coding MENGMVEFDIALGGGDYQEDPIEIDEDEDEDDEDEDCGAVYFPDAGDLEPSDGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTVTRVGCKASFSVKMQDSGKWIVSGFVREHNHELVPPDQVHCLRSHRQISGSAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRHRSLQGDIQLVLDYLRQMHAQNPNFFFAVQGDLDDDDHSINNVFWADPKARLNYTFFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFDWLFKTWLIAMSGRHPLSITTDHDSVIQSAIMQVFPNTRHRFCKWHIFKQCQEKLSHIFLQFPSFEAEFHKCVNLTDSTDEFESCWSTLVDRYGLRDNEWLQAIHSACRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTSLNQFFKLYEKALESRNEKEVRADYDTMNTLPVLRTPSPMERQASELYTRKIFMRFQEELVGTLTFMASKAEDDGEVITYHVAKFGEDHKAYIVRFNVLAMKATCSCQMFEFSGLLCRHILAVFRVTNVLTLPSHYILKRWTRNAKSNVILQEHSCDVYTYYLESHTVRYTTLRHEAFKFVDKGASSPETFDVAKDALQEAAKKVAQVNRNEGRTPISNGKVRSHMLNDESRANYTSGCQDESLVEHMNKDDMDKHISKLTDELESANRKCEIYRSNLLSVLKAVEDHKLELSVKVENIKISMKDGL >CAK8541079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:59808674:59813974:-1 gene:gene-LATHSAT_LOCUS10030 transcript:rna-LATHSAT_LOCUS10030 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEELVELKFRLYDGSDIGPFRYSPTSTVSMLKERIFAEWPKDKKIIPKAANDIKLINAGKILENNKTVGQCRVPFCELPKGVITMHVVVQPSLAKAKSDKVDDTPRKHFCGCTIL >CAK8542925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560369601:560370020:-1 gene:gene-LATHSAT_LOCUS11722 transcript:rna-LATHSAT_LOCUS11722 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPAAEKSPAEKKPKVEKKISKEGGSDKKKKKTKKSVETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTST >CAK8565294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:104810725:104811057:1 gene:gene-LATHSAT_LOCUS18518 transcript:rna-LATHSAT_LOCUS18518 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQNEFQRDKPWANDPHYFKRVKISALALLEMVVHARSGGTIEVMGLMQGWKVGKCCGMVSFSSWLWLLAFWD >CAK8572840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567018995:567019672:-1 gene:gene-LATHSAT_LOCUS25358 transcript:rna-LATHSAT_LOCUS25358 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRCGLTPNFEDGVKGFITWAFAQECCRREGGVGCPCLKCECRPITSDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVYAEITNTHASSSRSHMEFDEQFNMIDEMVGNALGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDHCLEYFAKMMLDATPTKDNLPTSFMMQRGWCRSWV >CAK8576509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486370796:486371188:-1 gene:gene-LATHSAT_LOCUS28691 transcript:rna-LATHSAT_LOCUS28691 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGSSSLLKQNNAEGGCSLKEGLNRYTSSVWKPLFHYGDLVVLRRAITIKNYGKHFRGCPNYKGSMQAGCGFFGWFYEDVGDEKEKFWMDIIEKLSKAVA >CAK8577719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585059229:585060547:1 gene:gene-LATHSAT_LOCUS29800 transcript:rna-LATHSAT_LOCUS29800 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKVIEINFGDSISNISCDKDGLSLKASSLKCPPPFLLKTYELLEEGSAVEDGMKIVSWNEDGDGFIVWSPAEFSELILPRYFKHNNFSSFIRQLNTYGFRKISSKRWEFQHDKFQKGSKDMLVEISRKKCEPSVFPSYLKSCSEEKSMTNNNSSVEENGDNHELLMEENKKLKKERLELQMQIAECKALEMKLLECLSQCMDSQQNKVRRLC >CAK8564994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21794220:21798116:-1 gene:gene-LATHSAT_LOCUS18236 transcript:rna-LATHSAT_LOCUS18236 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLVGSAFLSATVEDLLLRLASPDFVDYITSSKLSILKLTVFETSLLTLHSVLPDAEQKQFFNPDVKQWMDKLYDAISVADDLIDEIGYDSLQCKVENTQPEHDFDCTSNYSLNLTNLRLQHFVQQIDFIGLQSVSRRVSCRIRSNSVLNESFIVGREDDKEKLMNMLLSDSGTNLGVVAILGDGGVGKTTLAELVYNHKEVNEHFDLKMWIRLSEGFDIVRVIKALVASKYVSSYRDNDLDYLQLELKLKLKCRRFLFVLDDLWNVGYNDWHELVAPLVNGKLGSRVIITTRQEKVAEVVRTFPIHKLEPLSYEDCWSLLSKHAFGSKDYGGNRYPNLEAIGRKITRKCRGLPLAAKTLGGLLSSNVDAKEWIAILISNIWNISDYNILPSLFLSYQYLPSHLKRCFAYCSIFPKGYSFDRKQLILLWMAEGFLEHSLGEKTLEEVGADYFVELLSRSFIQQLNDDTERKKFVLHDLLYELAEVVSGKSCCKLECGGIISKKVHHLSYIQDEYNTFKKFEIFYNFESLRSFLPICNRLGSNYLSRKVVDDLLPTLRRLRVLSLSHHRNLTVLPNSIYNLLHLRYLDLSRTDIKSLPQSICDLYYLQTLNLSSCSFLTELPVDIGKLINLRHLDISDSRISKMPIQIVGLENLQTLSVFIVGKQEVGLSVRELGKFPNIQGKLYIGNLHNVINVSEACDANLKNKELIEELQLRWNEHTHDSVLCWDEQTRDSQTDKAVLDGLQPSINLKKLTIGSYGGTSFSSWLGDSSFSNMVYLCISCCDYCVTLPSLGQLPFLKDLTIEHMPILETIGPEFYGMSGGGFHSSFQPFSSLEHLKFSYLSNWKEWLSFGGSKFPFPRLKTLKLDDCPKLKGHLPSHLPSIEEITIFRCDGKLATPSTLHWLSSVKSLDVHPLNPTEWSLFESNSVCVLQRIVIWNFSMMLSLPKMFTSSTCLQHLELHSIPSLLSFPDDGLPNSLQSLHISECENLAFLPPETWSKYTSLVSLELVQSCAALTSFPLNGFPVLQSLYIEACENLQCFFISEIYSHCPSTLQSLHICNRYARMSLPQRMDTPNRNALISLPQRMDTLIALESLWLILPSLPCYEGASLPPNLQSIFIDSLRTKTFATGWGLQNLSALSDLNIRGDGIVNTLLKEQLLPVSLVSLSIHNFTKRKSLPGNGLQHLSSLENLKFDNCSKLGALPENMFPSNLKSLKFSDCPKLKSLPDRLPSSLERLELDDCRRLGSLPKDGLPSSLKRLSISNCRLLKAKYENQRGEHWSNIAYIPVIKINDEIII >CAK8561710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:363368757:363370872:-1 gene:gene-LATHSAT_LOCUS15275 transcript:rna-LATHSAT_LOCUS15275 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHKTRELLLLGHHPHRRNKSSVWNHFTPDPDLIGIARCNYCDSKLKSNNGTTSMIGHSKICKSNPNSEANKRLKTTPSSTTNVTSPSAIGLGKFDQEKCRQAVVDMIVEMELPYMHVDHKVFRRCMSVLQPRFIPISRSTVARDILGLWDFEREKLKTFLSQHCRSVCLTKDGWTSCQNMTYMCITTHFIDNNWKLHKKILSFVRVLSHSGEVIANTVAKCLDNWGLNNVLTVTVDNAASNDRGIENLKKRLRLRNDLVLNGDHFHTRCCAHVMNLVVKKGLKEIDISVSRIRGAVKYVKSSPGREHKFLACVRSRQIEYKGSVQFDCETRWNSTYDMLKAALQLEMAFVELGIIDTKYCQELESGSGIPTPLDWEKARGVAQFLEVFKASTLRISGSTYVTSNMYLAEVFSISLAIKDMCSSKDLSLAHTRKIALKMKEKYDKYWGSPEKLNMLLLISFVLDPRKKMMYTRWFIEKNFVKKEADFLKDKLELDLKTIFEEYNGGGTGGSQSDSAEPPVLVGRIGNPDYYYGVFLQSSGVKPSGVKSELTKYLEDGLEDDIPNFDILNWWKVYSSRLSILSNIARELLAIPVSTVASESAFSTGGRVLNEYRSRLTAKSVEALICTEDWLGGSPSPLPTQDDIEVLEKIEREYFLQNQSNGGNSYDTFDED >CAK8538538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486538473:486541916:1 gene:gene-LATHSAT_LOCUS7726 transcript:rna-LATHSAT_LOCUS7726 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRRPPTTTIPGADATNNHSRRLKSASPTPSLKASDALPLPLYLTNTIFFTLFFSVAYYLLGRWRDKIRSSTPLHVVTLSEIAAIVSLIASFIYLLGFFGIDFVQSFISRASNDGWDLDDTIPTEQTNFPVANSIPDQSLPKSTTPEPSFCTKEDEEIVNSVVEGTTPSYTLESRLGDCFRAAAIRREALQRTSGKSLNGLPLEGFNYDTILGQCCELPVGFVQIPVGVAGPLLLDGFEYTVPMATTEGCLVASTNRGCKAIYASGGAESVVLRDAMSRAPVVRFSSAKRASQLKFFLEDPLNSETLAVVFNRSSRFARLLGIQCAMAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLRNDFPDMDVIGISGNYCADKKPAAVNWIEGRGKSVVCEAVIKEEIVKNVLKTSVAALVELNMLKNLAGSAIAGALGGFNAHASNIVSAIFIATGQDPAQNIESSHCITMMEAVNNGKDLHISVTMPSIEVGTVGGGTQLPSQSACLNLLGVKGSSKESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVNSHMKYNRSCKDVTKISS >CAK8569283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691353378:691354631:1 gene:gene-LATHSAT_LOCUS22157 transcript:rna-LATHSAT_LOCUS22157 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPSFYPYLTIIFLLFSISYFSSIEAQNDRFTIKLFRKTSSYSSSHFIKPVKYNPNIIQAPIHAYIGQHLMEVYIGTPPIKISGIADTGSDLIWTNCVPCDGCYKQINPLFDPKKSSSYSNVSCDSPRCNDLHSHECSPENHCSYNYGYADSSVTQGVLAQETVTLTSSTNKPVALKSILIGCGHNDTGTFNDHEMGIIGLGRGPTSLISQVSPLFGGKKFSQCLVPFHTDIKIASKMSIGKGSEVSGEGVVTTPMVITPDPTSYLVTLLGVSVEDIYFPYNSNGDASKGNMLVDSGTPPIYIPNDLYDRVAKEIRNKVSMEPVTDDPSLGTQLCYKTNTNLDGPNLTFHFENADIVLTPIQTFVSPKNGVFCLAMNNQTEEGGIYGNFAQSNYLIGFDIEKELISFKPADCAAQ >CAK8532743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:509356041:509358880:1 gene:gene-LATHSAT_LOCUS2417 transcript:rna-LATHSAT_LOCUS2417 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKQYCSLFSLVLLLVACVNGEDPYRFYTWKVTYGDIFPLGVKQQGILINGQFPGPQIDSVTNDNLIINVFNNLDEPFLISWNGALQRRNSWQDGVYGTNCPIPPGKNFTYALQVKDQIGSYFYFPSLGLHKAAGGYGGFKIVSRPLIPVPFDPPSGDITILAGDWYKRSHTDLRAILDSGKDLPYPDGLIINGGNSNVFTVDQGKTYRFRISNVGISTSINFRIQNHKMKLVEVEGTHTVQNYYDSLDIHLGQTYSVLVTADQPPKDYYIVASSRFTSKVFTASSILHYSNSKQKVSGPLPTGPPPDFDWSLQQARTFRTNLTASGPRPNPQGTYHYGSVNITRTIRLKNSAPTINGKLRYAVNSVSFAPTDTPLKLADYFNIKGVFTLGSILDKPTEGADHITTSVMAADYRGFMEIVFENTENYLQSWHIDGHNFFVVGLNRGQWSEASRQSYNLNDAVHRSTVQVFPKTWTAVYMPLDNVGMWNVRSQSWARQYLGQQFYLRVYSPERSTRDEYLIPSNALKCGKAVGH >CAK8538386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480416793:480417307:1 gene:gene-LATHSAT_LOCUS7595 transcript:rna-LATHSAT_LOCUS7595 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKPFIFMFFLCAIFLISVVAIRPFKSGAIEESKTKGLGRGHSDDVDWVGSGTWGGWGGPREERVEGHEGGSEEGGNEESGWGGEGPRDEGGERREGGSEEGGNEGSGWGGEENEGSGWGGGNEGPEEDGSVENGDRQEYP >CAK8578969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664974636:664974935:-1 gene:gene-LATHSAT_LOCUS30946 transcript:rna-LATHSAT_LOCUS30946 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWNEVKRKLSANGPKGIKFDQNLVDAFLYAMVKGGFFDVVMQVVEKSKEMKIFVDKWRYKQAFMEKHKKLKVARLRKKNFRKMEALIAFKSWAGLNA >CAK8571793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:474046776:474049678:1 gene:gene-LATHSAT_LOCUS24422 transcript:rna-LATHSAT_LOCUS24422 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSETPSPSSLKTTSKKPQLRSSKALFKDPIDELSTKTPEKLPPRTRNRGIALSLSDIRKVTKGLHDQEQPRESMLSKGKTARRQIPLASPRKSSKSADELLKLPEKYANLGEFFDSLDSSIRLLRMKGSTTSFTNIRPKIETLTDRRFTHGHLAQLKFILPEVIAIKKVLVFDERMSCMKPDLHVSISPDAVEVDAKLSSESGTMSLRKLFRARLRDFCESHPMVDEIPEEILPEPFSRPKQEPLFPMFKAPLKFSAAKLSNSDNAIDTDPDVSVPNETSFEAINQQPAPASHMSQSFRRKFSQRVKENVHPKFPSDSFQPSAVPFSDSSLKINSTSEVSLSHQKTSQSELVSEAPSSEACPTINCSSICSTPSSVIPATPCKAIECTDGKGGSVKSIEAMSTPLGYVSTPSRLMSATPALKPPKRHLMTPEGNSAISPDKLVKRPPSSRSLKFDTPMKKENDASSLSRSLKFDTPMKNENDASSLSRSLKFDTPVKNENDASSLSTDNDIFDILPDTLLHSIREKERMAIEERDPAISQAKRRKKIIASLPKLFNMIHMMFHSRNRSVITKEELINTLISSHCDIVDRREVEEQFHLLLELVPEWISEKLASSGDKLLVSVNKMLSPESIRASLEEAK >CAK8573473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615128749:615131529:-1 gene:gene-LATHSAT_LOCUS25919 transcript:rna-LATHSAT_LOCUS25919 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLAIASSTSTMMLCPKLGTCSMSLSTFTPTSHSKITHFHLYSLGKRLFTPWNGLKQLGFSTKPKKPLFHFIGKRGRCKGKVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIREIQDVSREFKSTLEREIGIDDISNPLQSTYSSNVRNTTSTPPATEITKNSQTAVDPNGKVDESKAYSSEEYLKITEEQLKAVAAQQQEQTPPPKEDEIEQQIQPPANETAATVPPPPPQKPESESSLPSDS >CAK8543959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651376091:651377941:-1 gene:gene-LATHSAT_LOCUS12678 transcript:rna-LATHSAT_LOCUS12678 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMAMNDERSEDDHRIESEYHKGVKNLFETGYLHKVPKKYIFPASERPTTSMNDSNFPKENLQLPIIDFADLIGPNRPQVLQSLANACEQYGFFQLVNHSISDDVTRCMIDVIGRFFDLPLEERSKYMTTDMRAPVRYGTSFSQTKDSVFCWRDFLKLICNPLPDFLPHWPSSPLDFQEVVATYAKETKHLFMAIMEAILESLGIVEANQEEMTKEKDNNDNNNIMNELDNGSQMLVTNFYPPCPEPDLTLGMHPHSDYGFLTLLLQDEVEGLQIQFQDKWLTVQPIPNAFVVNIGDHLEIFSNGKYKSVLHRVLVNEAKSRISIASLHSLPFNCTVKPSQKLIDEKNPKRYMDTDFGSFLAYVSTRETKKKDFLESRKLTNS >CAK8534624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750009542:750011411:1 gene:gene-LATHSAT_LOCUS4155 transcript:rna-LATHSAT_LOCUS4155 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLSFLHSCTFPSSSSSLFTIPTPSPNSPSSLSFVVEANSSTRREDRTARHVRIRKKVEGTTERPRLSVFRSNKHLFVQVIDDTKMHTLASVSTMQKTVAEEFNYTSGPTIEVAKKVGEIIAKSCLEKGIAKVAFDRGGYPYHGRVKAIADAAREYGLDF >CAK8531184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87421113:87423687:1 gene:gene-LATHSAT_LOCUS992 transcript:rna-LATHSAT_LOCUS992 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAEPSTSLSFTSSSHLSNGSISHNSNGNDHTRNLEVISLSKLSSNLEQLLIDSDYDYGDADIIVEGVSVRVHRCILGSRSKFFHELFKRGKEKGSSKSEGKLKYCLSDLLPYGKVGHEAFLILLSYVYTGKLKPSPMEVSTCVDNVCAHDACGPAISFAVELMYASSIFQMPELVSLFQRRLSNFVGKALVEDVISILMVAFHCQLNQLVAQCVDRVARSDLDQISIEKALPYELSEKVKLFRHDLQKDGEDDAPVMDALSLKRITRIHKALDSDDVELVKLLLNESDISLDEAGALHYAAAYCDPKVVPEVLGLGLANVNLRNSRGYTVLHVAAMRKEPSIIVSLLTKGACASDLTFDGQSAVSICRRLTKPKDYHTKTEQGKETNKDRICIDVLEREMRRNPLAGDPSVSSQTVADDLHMKLLYLENRVAFAKLLFPLEAKLAMDIARVETTSEFAGLSASKGSNGNLREVDLNETPIMQNKRLISRMEALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLFYLEKGTQEEQRIKRTRFLELKDDVNNAFNKDKAEISRSGISSSSSSSSLRDSVYYKARKI >CAK8574418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680968177:680974473:1 gene:gene-LATHSAT_LOCUS26768 transcript:rna-LATHSAT_LOCUS26768 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGMIECSVCHSKLVSPASKTVSRAYDRHKSRLTSKQRVLNILLVGGDCMLVGFQPILVYMSKVDGKFNFSPISVNFLTEITKVFFAIVMLLIQARNQKVGEKPLLSISSLTQAARNNVLLAVPAFLYAINNYLKFVMQLYFNPATVKMLSNLKVLVIALLLKVVMKRRFSIIQWEALALLLIGISVNQLRSLPEGTTALGLPVTMGAYVYTFIFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAMFNFLGIVVSAIVKGPSSFDILEGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHKLTMNFLIGISIVFISMHQFFSPLAKVRDEQNGVLELPEVQDKQRSKDAFINMAAGANEEATHRVGHDERQPLLPT >CAK8577912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597001705:597005293:1 gene:gene-LATHSAT_LOCUS29975 transcript:rna-LATHSAT_LOCUS29975 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTAGTCLFPLHRCKTIHLVRHAQGIHNVEGDKNYKAYLNPEYFDAHLTPLGWEQVDNLRKHVHSSRLINKIDLVIASPLMRTLQTAVGVFGGEGYSGDKTDVVPLMVANAGNSSRAAISSLNCPPIVAGELCREHLGVHPCDRRRSVSEYQFLFPAVDFSLIDSDEDVWWKDDVRETKEELAARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLTAFGNDCHPSVKKEISKHFANCELRSMIIVDRSMLGSEVSTTNYPGKIPSGLDKPSDAVDENVEKQGV >CAK8561670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:349171189:349173566:1 gene:gene-LATHSAT_LOCUS15238 transcript:rna-LATHSAT_LOCUS15238 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTTTSHLTILPSPLPSISPLSLRFSFTFRQNINHKTYPTTLLSSSSTKSTRFLAKSQSTSSPASSETVKVISVPSEMKAWVYGEYGGVDVLKFDSNVAVPDVKEDQVLVKVFAAALNPVDGKRRQGKFKATDSPLPTVPGYDVAGIVVKVGSEVKEFKVGDEVYGDVNEKALEGPKQFGSLAEYTAVEEKLLALKPKNLDFAQAASLPLAIETAHEGLERTGFSSGKSILVLNGSGGVGSLVIQLAKQVFGASRVAATSSTRNLELLKSLGADLAIDYTKENFEDVPEKFDVVYDAIGQCERAVNAIKEGGSVVALTGAVTPPGFRFVVTSNGAVLKKLNPYLESGKVKPIVDPKGPFTFDQVAEAFSYIETNKATGKVVIFPIP >CAK8572268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525636660:525636971:-1 gene:gene-LATHSAT_LOCUS24852 transcript:rna-LATHSAT_LOCUS24852 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTPSYTNHARRKIVTAMDVVYTLKRQGRTLYGFGG >CAK8531250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93060657:93063948:1 gene:gene-LATHSAT_LOCUS1056 transcript:rna-LATHSAT_LOCUS1056 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSTFLNVYSVLKSELLNDTAFEWSDDSRQWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGHALNDDEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGQPCWFRVPKVGMIAANDGVLLRNHIPRILRKHFKGKPYYVDLLDLFNEVEFQTAAGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHVDVKNILVEMGTYFQVQDDYLDCYGDPETIGKIGTDIEDFKCSWLVVKALELSNEKQKKVLHENYGKPDPANVAKVKILYNELNLEGVFTEYESTSYQKLVTNIEAHSSTAVQAVLKSFLAKIYKRQK >CAK8541250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:97386853:97389155:-1 gene:gene-LATHSAT_LOCUS10182 transcript:rna-LATHSAT_LOCUS10182 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQKISGGAETLKNMPPGSSISIAYHPNFGPHDDLIVLELDEKLIPDVLNERMVLRGQPDEDAVLCTQSKTYAMKFVGTSNSVLLVPPENQSELYENQQKDDINNTDEKVVAHILKVVPGSLELIEVSPKLDKLKLLLSENTYRFDENDMEILEENQESRVGLYNWNDLIDNIQASDEELRFGLQALSAVEINGYWRLVDESYMDMILGVLLKNSVLNDWSLNVLNEDEVVNMLESDGFPVVLARHCLHIYAKQVKENDCMESCVWKLDEKRVCIHFAREILKGGKRKLESFMEEWRKKTPDEMQPSFDLMEGEVLTEKLGVETWVRAFRVSSLPSTPAERFSILFRERAKWDWKDLQPYIRDLNVPGLSAEGLLLKYTRKTQPSPNQDPVFSAR >CAK8535962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894242029:894243115:1 gene:gene-LATHSAT_LOCUS5375 transcript:rna-LATHSAT_LOCUS5375 gene_biotype:protein_coding transcript_biotype:protein_coding MILRASGSIFGTTVLFPTPISSRNNNRIDYYHNFLNNRRRSKSTTVVCGLRSYQNRKPASMVISKESVNVIHALKLAKNSDDKINQVLKSKLLRLLKADVFDVLAELQRQNQLHLSLKVFEFIIGDEEAGYDTLPLYSDMILLLGKNKMVEMAEEMFDRVVEKGVEKGLKPDTRLFNEMIGVYLQVGNTEKAMEVYGYMKGLGSGSGCLPDELTFTILIRSLMKKGENELVESLKKESFDYVNAPDKFVQKVQNHAKKRRVNLVA >CAK8544098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662736627:662738897:1 gene:gene-LATHSAT_LOCUS12804 transcript:rna-LATHSAT_LOCUS12804 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKLIITIFVAVICLLINNGVEAFHEIYPHLQSVSTLSVSETHRTGYHFQPPRNWINDPNGPMYYNGYYHLFYQYNPNGSVWGNIVWAHSVSKDLINWKALEHAIYPSKPFDKYGCWSGSATIIPGKGPVIQYTGIIDEKNTQVQCYAVPEDINDPFLAKWVKPDENNPFVIADLDVNETAFRDPTTAWKGKDGDWRLLVGSRNKDKGIAYLYKSKDFMKWTRVKKPIHSADTGMWECPDFYPVLLKGKNGLDTSIEGNHVTHVLKNSLDMTRFEYYTLGTYDAEKDKYIPSKNSKDSWAGLRYDYGNFYASKSFFDPSKNRRVLWGWANESDTKEDDVKKGWAGIQAIPRTVWLDSSERQLLTWPVKELDMLRDKEVTMKNQKLEKGNHVEVTGITAAQADVEVTFSFSNLDKAEAYDPSWENAQDLCSKKGSKVEGGVGPFGLLTLASQNLEEFTPVFFRIFKAPKKHVVLLCSDASSSSLKSDTYKPSFAGFVDVDLATKKLSLRSLIDHSVVETFGEGGKTNILSRVYPVLAVTSQAHLFVFNNGTEPIHVETLHAWSMKDADIN >CAK8530108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:320017:321949:-1 gene:gene-LATHSAT_LOCUS2 transcript:rna-LATHSAT_LOCUS2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNNIPFPKEDENCTTVDWRGRPSNPKYHGEARAAAFVLGLQAFEIMAIAAVGNNLITYLINDMHFSLPHSANIVTNFVGTIFLIALLGGFLSDSFLGTFSTILIFALIELSGFILLSAQAHFPQLKPPPCHKNSVCSQPKGFDTLIFYIAIYMVALGSGCIKPSMISHGANQFNPQSKKLSTYFNATYFAFSLGELIALTLLIWVQTHSGMDAGFAVSAAVMAMAFIFFISGTLYYRNKPPQPTVFLPILQVFVAAILKRNKNRPSTQQGIGGQHSNKMRFLEKACIQQEAGNNTKESRWRLCSVEQVEQAKILVSVIPIFACTIIFNTVLAQLQTFSVQQGSAMDTHITESFRIPPASLQAIPYILLILIVPLYDTLFVPFARKITGHESGITPLQRIGVGLFLVTFSMVSAAIMEKKRRDAALNLNETLSIFWIAPQFIIFGMSEMFTAVGLVEFFYTQSLKGMQTFLTSITYCSYSFGFYLSSVLVSLVNRMSSRKGGGWLHDNNINKDKLDLFYWLLAALSFLNFLNYLFWSRWYSNNPSLSSISQEGHHNDDADNNIP >CAK8574189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668335811:668336914:1 gene:gene-LATHSAT_LOCUS26555 transcript:rna-LATHSAT_LOCUS26555 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQETLTSLHLPFDLVADILCRLSAKHLVRLRCVCKSWNSLISADSIFAKKHLRLSTSSQDRHHLILTPTPASPEFHVLHSPISPIFTSIFTTTTVQQFRYSITKLRIKGLFGHRPSTCDGLVCFKIDSSSALLCNPFIGKFKLLPPLTFPEPFYTLYTLVYDRFTNNYKIIAIYRTFGSKNGVSVHTVGTDYWRTIQDFPNYGLAPAPGIFVSDSVYWLLWDEDDVARFIVSLDLEKESYQNLSLPVKNVHITTSLGTLRGCLSLLSQRDKFYDVWIMKEYGNEKSWTKFLSVPHMKECEPSVYIRALYISKYDQVLMEFLNDGKINLVVYNFINNTFKIPKFQYSREHDMTPEVYVESLISPF >CAK8569684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7941145:7943278:-1 gene:gene-LATHSAT_LOCUS22508 transcript:rna-LATHSAT_LOCUS22508 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWYADNGERLGTYRGHNGAVWCCDVSRDSGRLITGSADQTAKLWDVQSGQQLFTFNFDSPARSVDFSVGDKLAVITTDPFMELTSAIHVKRIAKDPADQTGESLLVIKGPQGRINRAIWGPLNRTIISAGEDSIVRIWDSETGKLLKESDKESGHKKTVTSLAKSADGTHFLTGSLDKSAKIWDSRTLSLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDQEYFNIKI >CAK8536329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931729766:931730176:1 gene:gene-LATHSAT_LOCUS5707 transcript:rna-LATHSAT_LOCUS5707 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAIVKKGHEESLKAALSLLQEFELPEGLLPLADIIEIGYVKPTGYIWILQKKKVEHKFNLISKLVSYDTEITGYISKKKIKKLKGVKAKELMLWPPVNEITADEQPTGKIHFKSLAGITKTFPVEAFAAGQ >CAK8542503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520701875:520702321:-1 gene:gene-LATHSAT_LOCUS11341 transcript:rna-LATHSAT_LOCUS11341 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSHCLIFSLIFVSILGNEATLLHEANGSFPMVPVMEDGKMEKMMMMMNDSRRKLGSFRICALCTCCGGAKGVCIPSPCCYAINCNIPNKPFGFCSFAPKYCNCFGCHL >CAK8532585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:306211107:306213110:-1 gene:gene-LATHSAT_LOCUS2275 transcript:rna-LATHSAT_LOCUS2275 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGFNPGGGESSEYLTGRPTNTINNLITTTPSLNINNLNHPSLYRTQHHHQQQLPPIFLDPSSQIPQHRIIGKRTLAEFQTQQNQTYNHNLNLNNNNLNNYNNHNHHNHVLSNLLLRSVKPRTGLQFQKNNPLSFSVPELQNPSSLNNYPTTQRLGVPILHQFRSQPQPQPLPQNQHQPINPNFQYRNSNLGQVMNPVQTTEPEKKINDDQKILQELEKQLLEDDDECEEAGASVITSEWTETYQNLLSGPGGPDGPCGPPLAQKPSSSSPTTSTTSSTSTAASSHASLCSRQTLIEAASAISEGKNDVALEILTRLVSNSNPNGNSDQRLTNCMVSALKSRMNPFENSSRVAELFGREHAESIQSFLESSVCFKVSYMAANLAILESAFEENGKGFCVVDFEIGQGKQYVNLLHALNARDITLAPGFTVKLIAVAENGGDETLKAVGEMLTRQAERLRIGFEFRLVSVSQRQVTELSRELLGCASEEILVVNFAFKLHRIPDESVSTENPRDELLRRVKKLSPRVVTVVEQEMNCNTAPFLARVAESWSYYSALYDSVETVLGKDHPDRVKIEEGLSRKISNSVACEGRDRVERFEVFGKWRARMSMAGFMLKPMSQSVAESIKSRLAGGNNNRVNTGLTVKEENGGICFGWMGRTLTVASAWR >CAK8538736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494604660:494609560:1 gene:gene-LATHSAT_LOCUS7905 transcript:rna-LATHSAT_LOCUS7905 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILSTGGGASSTVEEQQRVAAAARESGDQFNPTKYFVEEVVSAVDESDLHRTWLKVVATRNTRERSSRLENMCWRIWHLARKKKQVEGEEIQRLAYRRWEREQGRRDATEDLSEELSEGEKGDGVGEIIQIEIPQKKIQRINSTLELWSDDKKEKKLYIILLSLHGLVRGENMELGRDSDTGGQIKYVVELARALAKMPGVYRVDLFTRQISSTEIDWSYGEPTEMLSAGQEDENDDSTGESSGAYIIRIPFGPRNKYLEKELLWPHIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSLEDINSTYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARDRRGVNCHGRYMPRMAVIPPGMDFSNVVRQEDGPEVDGDLSQLTRRADGSSPKALPAIWLEVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRSLRELANLTLIMGNRDDIEEMSSGNGSVLTTVLKLIDKYDLYGHVAYPKHHRQSDVPEIYRFAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDINRALNNGLLVDPHDHHAIADALLKLLSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRVDACRMRHPQWQNTAPEDDVDVDAEESFNDSLRDVQDMSLRLSIDGEFVAANSGGNGLDMQDQVKNILSRIRKQDSGSNSDMLLDNVPNKYPLLRRRRRLIVIALDSYDSNGDPDKTLIEIVQRIVKAVQLDPQTARVTGFALSTAMTMQETKEFLTSGNVQVTEFDAIICSSGSEVYYPGVHTEDGKLLPDHDYDVHIDYRWGVEGLKNTIRKLMNASDGEENHGKTVSPIEEDSKSSNAHCISYKINDLSKAKKVDDLRQKLRMRGLRCHPMYCRGSSRMHVIPLLASRAQALRYLFVRWRLNVANMYVILGETGDTDYEELISGTHKTIIMKGVVSKGSEELLRGPGSYQRDDVVPNESPLVAYVSDTKEETIADVLKQLSNSGGN >CAK8539916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531120728:531129519:1 gene:gene-LATHSAT_LOCUS8970 transcript:rna-LATHSAT_LOCUS8970 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSKILVLGGTGYIGKFVVEASAKAGHPTFALVRESTVSHPEKSKLIDSFKSSGVTLLYGDLADHESLVKAVKQVDVVISTLGGAQINDQVKLIAAIKEAGNIKRFLPSEFGMDVDRHHAVEPVTSFFDQKAKIRRAVEASGIPYTYVSSNAFAGYFLPTLAQQNVTAPPRDKVIILGDGNVPAIYVKEEDIGTFTIKTVDDPRTLNKTVYFRPRGNVLTFNELVSIWENKIKSTLEKIYVPEEQILKLIQESPFPANLMLALGHSMFVKGDSANFEIESSFGVEVTELYPEVKYTTVDEYLNAFV >CAK8539915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531120728:531129519:1 gene:gene-LATHSAT_LOCUS8970 transcript:rna-LATHSAT_LOCUS8970-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSKILVLGGTGYIGKFVVEASAKAGHPTFALVRESTVSHPEKSKLIDSFKSSGVTLLYGDLADHESLVKAVKQVDVVISTLGGAQINDQVKLIAAIKEAGNIKRFFPSEFGMDVDRHHAVEPVTSFFDQKAKIRRAVEASGIPYTYVSSNAFAGYFLPTLVQQNVTAPPRDKVIILGDGNVAGVYVKEEDIGTFTIKTVDDPRTLNKTVYFRPRGNVVTFNELVSIWESKIKSTLEKIYVPEDQILKSIQETPFPANLMLALGYSLLVKGDCANFEIESSFGVEVTELYPEVKYTTVDEYLNAFV >CAK8539917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531120728:531121986:1 gene:gene-LATHSAT_LOCUS8970 transcript:rna-LATHSAT_LOCUS8970-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSKILVLGGTGYIGKFVVEASAKAGHPTFALVRESTVSHPEKSKLIDSFKSSGVTLLYGDLADHESLVKAVKQVDVVISTLGGAQINDQVKLIAAIKEAGNIKRFLPSEFGMDVDRHHAVEPVTSFFDQKAKIRRAVEASGIPYTYVSSNAFAGYFLPTLAQQNVTAPPRDKVIILGDGNVPAIYVKEEDIGTFTIKTVDDPRTLNKTVYFRPRGNVLTFNELVSIWENKIKSTLEKIYVPEEQILKLIQESPFPANLMLALGHSMFVKGDSANFEIESSFGVEVTELYPEVKYTTVDQYLNAFV >CAK8567342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506697097:506697720:1 gene:gene-LATHSAT_LOCUS20406 transcript:rna-LATHSAT_LOCUS20406 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGNIVFNHNSSIWWRYIFMVDLLENGFENFFSKNAVYKVKDDRSSSFWFCRWAGNQTLREAFPESFTSFVDQFYSMADAGQWDNGSWRWFQHDAGLTKMVDGSGLGKFLQEWAEDNTLTHDESELIEWMPEKNKTFSIHSAYNKLVARLSTTYISHEVHSVLSVIWKSLVLSNVLLFGWCLILNRLPTRDQLVKRKIRLDNRDK >CAK8565414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:178852656:178853000:-1 gene:gene-LATHSAT_LOCUS18632 transcript:rna-LATHSAT_LOCUS18632 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNEQEKIYLKFQLRHFNIDARQASSLNNLSTIQELCSPLVATKKKEIYYLIDRLLRLIMTFPVSTTTTKRSFSEMKIIKTRLRNKMEADFLRDSMTVNIDGFKLLKNRRPLF >CAK8576033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:388515392:388516692:1 gene:gene-LATHSAT_LOCUS28251 transcript:rna-LATHSAT_LOCUS28251 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSYTCPLARIRPLVGRYVPPEVVAVWMPLLHLSNFQINDFPDLSAKSFVIMVLILSTDSARKWRDRELELVDVVADQA >CAK8542961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562430498:562431576:1 gene:gene-LATHSAT_LOCUS11756 transcript:rna-LATHSAT_LOCUS11756 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQKFFIASLFMWSVPIAILYAFNHNLLPGISNLSPYSMTLVSGFLAVISVNIVIAFYIYLAMREPADKHEPDPKFLAEAKASINQSTGDAQQSSQPLKKQQ >CAK8539535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515232661:515234835:-1 gene:gene-LATHSAT_LOCUS8619 transcript:rna-LATHSAT_LOCUS8619 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYEILKDIGSGNFGVAKLAKHINTGELVAIKYIERGYKINENVQREIINHQSLMHPNIIKFKEVFVTPTHLAIVLEYAAGGELFDRIYSAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENILLDGNPAPRLKICDFGFSKSALLHSQPKSMVGTPAYVAPEVLSRKEYDGKIADIWSCGVTLYVMLVGSYPFEEPDDPRNFRKIITKIMNVEYSIPDYVHISSDCRQFLSRIFVANPDKRIMMLEIKQHPWFRKNMPRELVEGDRKCCKKTENEQIARQSVEEITKVVREAMIPCSCTLNVAYVDEIEEITEEVDDSSNYV >CAK8544007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655551390:655555556:1 gene:gene-LATHSAT_LOCUS12719 transcript:rna-LATHSAT_LOCUS12719 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSIKARQIFDSRGNPTVEVDITVSDGTFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVDNVNTIIAPALIGKDPTKQTEIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAGVLKIPLYKHIANIAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMVLPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDAVNVGDEGGFAPNIQENKEGLELLKSAIEKAGYTGKVVIGMDVAASEFYKEDKSYDLNFKEDNNDGSQKISGEALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKMTGEIGTNVQIVGDDLLVTNPKRVQKAIDSKACNALLLKVNQIGSVTESIEAVRMSKKAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGVNFRTPVEPY >CAK8572304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527752115:527753969:1 gene:gene-LATHSAT_LOCUS24882 transcript:rna-LATHSAT_LOCUS24882 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCECFCWDTVLESSLSDPLPYSLPSPLPQWPQGGGFGYGRMSLGKIQVMKVDKFEKVWRCTNLNGKSLGFTFYRPLEIPDGFSCLGYYCQPNDQLLRGHVLVARETSSQTQLDCSALEPPALIKPVNYSLIWSMDSHDECVYFWLPNPPTGYKTVGIVVTTSPDEPRVDEVRCVRIDLTEVCETSDLLLMIKSKKNCFQVWKTEPCDRGMLASGVPVGTFFCGTDYFDSEQVVDVACLKNLDSSLHVMPNLNQVHALIEHYGPTVYFHPDEVYMPSSVPWFFKNGALLYTADSAKGKTIDYDGSNLPCGECNDSAFWIDLPIDEDARNNLKKGNLESAELYVHVKPALGGAFTDIAMWVFCPFNGPATLKVALMNIEMTKIGEHVGDWEHFTLRISNFTGELWSVFFSEHSGGKWVDTFNLEFIKDNKPIVYSSRHGHASYPHPGTYLQGSSKLGIGVRNDAAKSNYIVDSSFRYKIVAAEYLGDGVITEPRWLQYMREWGPTIVYDSRSEIEKIIDLLPIFVRFSVENLFELFPTELYGEEGPTGPKEKDNWLGDEYC >CAK8568730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636629020:636629613:-1 gene:gene-LATHSAT_LOCUS21662 transcript:rna-LATHSAT_LOCUS21662 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYTLKEKFKLLKASLKKWYKEVFGIIDLKIDNFVEDLKNLDSIINSQSSISPIEVRKEAQNLIWSNLHLKEILLKQKSRQKWIKEGDLNSRFFHNMMTCRRRKNYIIVLNTGRGRMNEVTMIKAIAIEHFKHRFTDPGGYMPSVEGVNFSSLTEADNSGLEKDFSRDEIKGVIWDCDGDKSPVPDRFNFTFLENF >CAK8574053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655738387:655742350:-1 gene:gene-LATHSAT_LOCUS26434 transcript:rna-LATHSAT_LOCUS26434 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERVELRIYNTMTNEKEIFTTKEPGKVSMYVCGVTAYDYSHLGHARAAVSFDILYRYLKHLGYEVMYVRNFTDVDDKIIKRANETGEDPLALSNRFCDEYNVDMSDLLCERPSKEPRVSDHIGEIKDMITQIINNDYAYAVEGDVFYSVEKFPNYGMLSGQRLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLSHKFDIHGGGIDLIFPHHENEIAQSWAADKESRISYWLHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSIAQLETSSDAVYYIFQTLEDCREALSPFLQEDTEKKEKVPQINDAAKECIKKLNVEFQTKMSDDLQTPVILTGALQEALKFVNSSLKMLKKKMQKRAQLQLVQSLLEVEKEVNKVLSVLGLLSSLSYAEVLQQLKDKALKRGDLTEDEVLRLIEERRQARINKDFAKSDDVRTGLTAKGIALMDVGNETIWRPCIPSEPVVAQAVSTGDKVPKVEEKLSTLAVGQKVEEKSADPKGNGPQAPST >CAK8541800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:401780882:401781316:-1 gene:gene-LATHSAT_LOCUS10695 transcript:rna-LATHSAT_LOCUS10695 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPMPPSVSNGTTTMMMMNMQMSFYWGRKATVLFTGWPNNNLGMYILAILFVFFLAMAAEVLSNQPPIKRGTNPLIGGLVQSSVHFFRIVFIYLLMLAVMSFNVGIFIAAVVGHTLGFFVARSRAIAVANGEDQRSSSVTLKI >CAK8566376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415061832:415062572:-1 gene:gene-LATHSAT_LOCUS19509 transcript:rna-LATHSAT_LOCUS19509 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSSRKATFKKRKKGLMKKVSELSTLCGIDACAIIYSPYDPQPEVWPSQPGVHRVLNKFRRMPELEQSKKMVNQESFLRQRIEKAKDQLTKQRKDNREKEMTQLMFQYLSAGNVTNNISMADLNDMTWLIDQYLKEINRRVEMLSKNSEGQGQGQMMAPPLPMVANGVAKVEEMGEGSHNAQGLVNMNMDVNVMQKQHWIMNFMDGGGGGGNDGTPPLGNIPQQNGFWPNPFFQ >CAK8532916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549375913:549377785:-1 gene:gene-LATHSAT_LOCUS2577 transcript:rna-LATHSAT_LOCUS2577 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSHDSRKDKKSQKSKKKSTQQDTDVKTEEVVQVSVPQIEDVKLSELENEQNKQAISLAFATAVAAGKAIAAAQAAAEVVRLTSMPLYPAKTMEELAAIKIQTVFRGYLARRALHALRGLVRLKTMIQGQSVKRQAGSTLRCMQTLARLQSQVRERRVRMSEENQARQRQLQQKHEKEFMKSQTSVGEEWDDSLQSKEQIDAKLLQRQEAAFKRERALAYSFSHQQTWKSSSKSINQTFMDPNNPHWGWSWLERWMATRPWEVESVIMNHRPSPVGKNLRRQSTQNSPSTSKTKPSSSKGETIDDSRSMFSVHSERYRRYSSIAGSSVRDDASVESSPVFPSYMALTSSAKAKSKLMQKTSPSASSVSARKRLSFPASPVGSRRYSGPPKVEI >CAK8532917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549375913:549377785:-1 gene:gene-LATHSAT_LOCUS2577 transcript:rna-LATHSAT_LOCUS2577-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSHDSRKDKKSQKSKKKSTQQDTDVKTEEVVQVSVPQIEDVKLSELENEQNKQAISLAFATAVAAGKAIAAAQAAAEVVRLTSMPLYPAKTMEELAAIKIQTVFRGYLARRALHALRGLVRLKTMIQGQSVKRQAGSTLRCMQTLARLQSQVRERRVRMSEENQARQRQLQQKHEKEFMKSQTSQVGEEWDDSLQSKEQIDAKLLQRQEAAFKRERALAYSFSHQQTWKSSSKSINQTFMDPNNPHWGWSWLERWMATRPWEVESVIMNHRPSPVGKNLRRQSTQNSPSTSKTKPSSSKGETIDDSRSMFSVHSERYRRYSSIAGSSVRDDASVESSPVFPSYMALTSSAKAKSKLMQKTSPSASSVSARKRLSFPASPVGSRRYSGPPKVEI >CAK8575186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:49246753:49248099:-1 gene:gene-LATHSAT_LOCUS27470 transcript:rna-LATHSAT_LOCUS27470 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSVLMQRYELGKLLGQGTFAKVYHARNLKNGMNVAIKVIDKERVLRVGMIDQIKCEISVMRLVKHPHVVELYEVMASKTKIYFVMEYVKGGELFDKISKGKLNHDDARRYFQQLISAVDYCHSRGVCHRDLKPENLLLDENGNLKVSDFGLSALADTKHQDGLLHTTCGTPAYVAPEVLNRKGYDGTKADIWSCGVILYVMLAGFLPFRDPNLIEMYKKIGKADVKYPNWFSSDVRRLLSKILDPNTKSRISLAKIMESSWFKKGLKKHIVTDTDNKELAPLDIDEVFKASENDNNVDLPKPCNNLNAFDIISFSSGFDLSGLFDEKERKTEMRFTSNKPVSVIISKFEEICKSLGLKLKKKDGGLLKLEGSKEGRKGRLGINAEIFEITPVFHLVELKKSNGDTLEYQKLLKENVRPSLKDIVWTWQGEQPEGHCLDMARRTT >CAK8572747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559871232:559871660:1 gene:gene-LATHSAT_LOCUS25276 transcript:rna-LATHSAT_LOCUS25276 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLLGFVNANQKTTHKEEDLKDRSTKKVKDREEASNPITDSHIWDNDSSHKEVEENEKVISYKYVVIRENVDYKKEDKDQSKEDEEIWEIERLEEDLRIEEKKYGSINVLKLYYLTYKKRELSNLGKWSHSQNVREKDWL >CAK8577183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543497515:543498756:1 gene:gene-LATHSAT_LOCUS29312 transcript:rna-LATHSAT_LOCUS29312 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSKAPTNNSTEESGWTSYFDDFFNNNDDDDNLNNINHKCSNSLSLSTSSSLLSDATSLVGKNALFDQNVEKEVSSNKNGEMGSSFKKRKNIVDQALEDTATSPLNTPKEKRKAIVEDKKN >CAK8569453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1342456:1344889:-1 gene:gene-LATHSAT_LOCUS22302 transcript:rna-LATHSAT_LOCUS22302 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLEEQENLNLIHPTATLEIDKDLTLLPRIKLNLTVHPSSPSSSITNQIDEWKTKRALLDFLQTSHSLPFPLPEEDLQFKRFNKDLKKRKREDPVVYGTLHIWDLSFLSEKNENDVVKWRNGFVEKLNGVELNLQGVRFRLDVDVPECDDFDGMKKNWEEFYSFRNVNRSSRREPDTIVVSGVPSRWFAETRVSSKPSMLVTHTIFSKFGKIRNLNVAEDDSGKDANEDSGDLVSGLYCKIVVQFEKYRDFHDALRVLSGRSLQKQGSRLKADYVVTWDKDEFFRNSRNQTQEKNNAISTTASDHYKSEAPRRQVYNSRHSPDKVRSRRFRE >CAK8579486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702737495:702738034:1 gene:gene-LATHSAT_LOCUS31430 transcript:rna-LATHSAT_LOCUS31430 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSKTYWVIKDDDDVTDSISNGSLTEDSMCSYFSSSEIDDHDQEVSSSSSSSNLNGPLYELSELMNHLPIKRGLSMFYQGKAQSFGSLARVESIQDLPKKEKPNYRNKVKSCKSFGLSTPKATITKKSPRSSSLSVIISRRSFLGEPR >CAK8544203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671793928:671799457:1 gene:gene-LATHSAT_LOCUS12903 transcript:rna-LATHSAT_LOCUS12903 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPLNLLTTKLSPLTTMVAILILIIFGFYSFHFPHSLKHSTKSTTFQTLFLSSSSNSTISSYLRTLTMHPHLAGTKPNSNTALFVLNHFTSLNLKSHTTSYNVLLSYPLSSSLSIHLTNGSFLNLPLTEPSEPGSNVVHAYHAYSPSGSVYAKAVFVNYGREIDYDTLGVDVKGCIVIARKGGGLGRGTVVEKAEKNGAAAVLIYNDENDTWRNGFERGNVMKGVGDPLSPGWGSVDGSERLSLEDNEVLERFPKIPSMPISANVADAILSSLGGDSVPLEWRNTLKSKGIISHVGPGPTMLNFTYLGEKKVATIQNVFAVIEGSEEPDRHVLLGNHRDAWTYGAVDPNSGTAALLDLARRYSILLSSGWKPRRTIVLCSWDAEEFGMIGSTEWVEQNLINLRSKAVAYLNVDCAVQGPGFFVGSTPQLDSLIREVTKKVKDPDSKGVSVYETWSASDEGNNIQRLGRVDSDFAPFVQHAGVPSVDVYYGKDFPVYHTAFDSYNWMAEYGDPFFQRHVAVTGIWGLLALRLADDSVLPFDYLSYANELQLYRDKLSNVLDQKISLLPLTTSIKEFASVAKEVDGELKELRLVETSGQFVYMKRRAMNDRLMLAEKGFLDGDGLKGKQWFKHLVFGPPNDAEKLDFFPGIADSTTRSNGISGISEKERLTEIQHEIWRVTRAIQRATSALRGEFT >CAK8569906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14988936:14989361:-1 gene:gene-LATHSAT_LOCUS22707 transcript:rna-LATHSAT_LOCUS22707 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLYWGKDVTLLFDSWKTDSWTSYLLSLFACFLIASFYQYLENIRIRLKFFSGGNGPSPVPEIQTPLLRLNKVSRNKVAESVLFGVNSAIGYLLMLAIMSFNGGVFVAIVVGLTLGYFFFRSQGEEDAAVVDNSCACA >CAK8532616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:330892677:330894723:1 gene:gene-LATHSAT_LOCUS2303 transcript:rna-LATHSAT_LOCUS2303 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLHQRGHRFKDYYDSMASLASNMLGSESRPESNNFLEPAFTSDLAIYYVQTKCTQDNILFPLIYQVGGTDVHHHMETFPYTIAGFHVDKEIECIDVIKFWRNSSSEINNELYEGHERSFPQQINTIFSAFDFLYPNGNGFNVTVWYKSTYKDVTNFGPTALL >CAK8575210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:53426186:53426668:1 gene:gene-LATHSAT_LOCUS27494 transcript:rna-LATHSAT_LOCUS27494 gene_biotype:protein_coding transcript_biotype:protein_coding MASITFLVLLLLALIIPQGFANYEKPPIYQPPVETPPIYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYQPPVYKPPTEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPTYKPPVEKPPVYKPPVEKPPVYKPPVYTPPPPY >CAK8565017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25362591:25370122:1 gene:gene-LATHSAT_LOCUS18258 transcript:rna-LATHSAT_LOCUS18258 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPQSTFTAATGIAPPTLVITSTDQRKKRHGTPTTILSLDGDILCTIFTFLNMFDLVRCSLVCKFWNAILESRSLREFYEKKLLKDPSSSSELTKKSLRVVLGEVAMEQHRLALQCGTFHVDQWKGHSTAVSQCRMKMGTVVTGVGDKVIRLWSLDRYKCEEEYPVPDTLPLVDFDFDESKIVGLIGSRLCIWRRNGKRSVFPSLEGKFVTGSCMRYFDPEAMVGFDDGAVRVFDMYSRRCSQIIRMHSSPITCLCLSEDQLILSGSTSGNITISDPSSVQKVARLRSSDLRGIKTLCLKPSSQLLFAGSAVGYTYCWDMRTRRLLWEKRVSPNVVYSLQHMQNDKSTLAVGGIDGILRFVNQNDGNIVSSCIMDDKLWATYQSHSGSIRRTNGKRLPEDTYINIDVIPKNSRPSITCLAVGMKKIVTTHNARDIRLWKLKDKNICTL >CAK8566840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466699471:466700757:1 gene:gene-LATHSAT_LOCUS19944 transcript:rna-LATHSAT_LOCUS19944-2 gene_biotype:protein_coding transcript_biotype:protein_coding METMLQTVELPLVSRCCIYKVPQKIRKLNQEAYTPTILSIGPFHYGDKRLESMEDLKLRYLKSFLERTQKGLGDCIQYIKKSEEIIRSCYSEAIEQTSDGFVKIILTDACFIIEYFLRSLEWPQEDPLLSKPWLRCDVKLDLILLENQLPWFVLEDLFNLTEPSCIDGEVSSFFDVAFHYFRVHFLQSILPNETSNNKFTIEYFLEHYQQYIMKPDQVSMQLHNLTDLLRVFYLPPDMLPKREKQTVKHLYSASQLIEAGVKLNVGQDYQSVLELKFSKGALTIPRFEVCHWTETLLRNIVAFEQCHYPFQTYVTDYTILLDFLIDTSLDVDKLVDKGIMINTLGDSNAVAKMINNLCLNVVQENVNGGYISLCRKLNCFYEDPSHKYKAIFIHDYFSTPWKITSFVAAIVLLLLTLVQATCSVISLF >CAK8566839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466699402:466700757:1 gene:gene-LATHSAT_LOCUS19944 transcript:rna-LATHSAT_LOCUS19944 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLQQNGFIQTENENRDLIIHMETMLQTVELPLVSRCCIYKVPQKIRKLNQEAYTPTILSIGPFHYGDKRLESMEDLKLRYLKSFLERTQKGLGDCIQYIKKSEEIIRSCYSEAIEQTSDGFVKIILTDACFIIEYFLRSLEWPQEDPLLSKPWLRCDVKLDLILLENQLPWFVLEDLFNLTEPSCIDGEVSSFFDVAFHYFRVHFLQSILPNETSNNKFTIEYFLEHYQQYIMKPDQVSMQLHNLTDLLRVFYLPPDMLPKREKQTVKHLYSASQLIEAGVKLNVGQDYQSVLELKFSKGALTIPRFEVCHWTETLLRNIVAFEQCHYPFQTYVTDYTILLDFLIDTSLDVDKLVDKGIMINTLGDSNAVAKMINNLCLNVVQENVNGGYISLCRKLNCFYEDPSHKYKAIFIHDYFSTPWKITSFVAAIVLLLLTLVQATCSVISLF >CAK8533282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600262335:600264605:-1 gene:gene-LATHSAT_LOCUS2922 transcript:rna-LATHSAT_LOCUS2922 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLGRTLKGKKPRPRPTLLPPPPPPPPPPPPPPPPPPPLPPPPPQQPPPPPPPPPPPPPPPPPPPPPKQPTNTPFLFPQVHSTVLPDPSNFFSPNLLSSPLPTNAFFQNFVLKNGDTPEYIHPYLIKSSNSSLSLSYPSCTSNSSFITQIFSPDITISASTKTNQDSHQNHVISSFSDLSVTLDIPSSNLRFFLVRGSPFVTASVTGHTPLSITTIHSILSFSSNNSLTKHTLKLNNGQTWLIYTSSPSTLNHSLSEITSESYSGIIRMAVLPESDPKYEIILNRFSSCYPTSGNATFTKPFCVEYKWETKGWGELLILAHPVHLQLLATSGDCDVTVLHDLKYRSIDGNLVGVVGDSWQLKTHPVSVTWHSTKGINEEFHEEICSALSDDVDALSPLGIATPTSCYFYGKLIARAARLALIAEEVNDLGSIPAINKFLKMMIEPWLNGTFNGNGFLYDDKWGGIVTKQGSTDSSADFGFGVYNDHHYHLGYFLYGIAVLAKIDPSWGRKYKPQAYSLMADFMNLGRKSSSNSNYTRLRCFDLYKFHSWAGGLIEFADGRNQESTSEAVNAYYAAALMGMAYGDTQLIATGSTLAALEIHAAQMWWHVKGGDKLYVEEFSKENKVVSVVWSNKRDSGLWFAPSQWRECRLGIQVLPLLPITEALFSDVGYVKELVEWTLPNLNRKGVSEGWKGFIYAMEGTYDKESALVKVRGLKGFDDGNSMSNLLWWIHSRGYDEEEEFDHGKHCWFDHYCH >CAK8543494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611542827:611544753:1 gene:gene-LATHSAT_LOCUS12249 transcript:rna-LATHSAT_LOCUS12249 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKCQQPEFVPSGGHRTPLPESPRVPMEFLSRSWSASALEVTKAIAPTHSQPPLSSCMPSNGSGSIPEETSIYSISEELSTMSKNQFSFTSSATSQLVLERIMSHSAREEVSPLTSGRLSHSSEPLNGNGSLTGTDSPPISHSDEFDDVVKFFRANNSIHPLFNGGRANGAIGNVTSSSRPKTVGRWLKERREKKKEENRTHNAQMHATISVAAVAAAIAAIAAATAASSTPNKDEKMAKTDVAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDISTLTAAAATALRGAATLKARALKDVWNVAAVTPLEKGIGGMTISGKGNNINNSNSSTSDSGEIINADNFTGSAIEELLAKGSELLKRTRNGDLHWKIVSVYIHRTGQVKLKMKSKLVAGKITKKNKNIVLDVCTDLPAWPGRDILEDGEKRHYFGLKTDTRGIVEFECRTQKEYDIWTQGVSRLLSIVRQRQNKYNN >CAK8542425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512345646:512347040:-1 gene:gene-LATHSAT_LOCUS11265 transcript:rna-LATHSAT_LOCUS11265 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGRGRPPKNTVPPPSKTPPVSPTQQQSELCNHLESNTSLTEEGILETLDARTKPNQEEMATATQSTDTTQPVIPKQPENGKPIHEGASEEVRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKLEVVIEDEDIISEVKFWESSLILYTMGVDLSMNAVNNFMTKHWNFVQLSDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDEILRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILVEMDITKELPQTITIGDNEGEKIHQPIEYEWRPLFCIKCQKVGHSCDKPKVTQQWKPKLAPQQVDNVKTMMDNAANLIPRFVGNNNIVADKANSPAVSNNAKGNTLVECPTDLVSRAADPPLENGVNIIEQVEAVMEKWIEVIRSGKDRGKPQDNPNSINKIVCANGFEALEISKDPVEPQNTGQ >CAK8535941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892845948:892850952:-1 gene:gene-LATHSAT_LOCUS5355 transcript:rna-LATHSAT_LOCUS5355 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHKEMIKNGCHKILEGINSMSLVNLDDQQVHSLVMMREIARGVVDGRDRKVTKNDAITALRYSIEKMVCASRDIIRMLSRMSYEGLDDQRMHLVLRMKEIADGIVDKQPRVAITRSEILADEVCFVDEEAGNSPVVDSIKIGNGDILIDEAQSMMHEEDEMLDVDQVLRFENGIDEVEESLMSSCFQQFLLWPSDDCVTIEWVQDMMFILEKASHKTLPTEFCHAVQTSVVLKLIDAACNVLRKEPNCVEINCLGEDSKVIVVGDIHGQFHDLMFLLKHAGMPSENQFYVFNGNYVDEGAWGIEVLLVLLAWKVLMPHRVYLLRGSHESKYCTAQYGFKKEVQTKYGNQSEDVYNKFLECFKELPLASVIANRVYTTHGGFFRSIHAAASSSEKLKQNMTQRMDLGTLADLSQVKRTCIDSPHEGPNILLSDILWSKPSNRDGLWVNAGRKLGLWCGPDCTEAFLKQHNLKLIIRSHEGPDARDGRDDFGDMLNGYSIDHDGESGKLYTLFSAPDYPQFGGKRYNNEGAYAILKWPDFATPSFQSFKSAEKPMVYPYMDLDADDMDLSKLDSSQIDIEASTSTFSSPQDASRPEFDFKSLGIYNAPSWSVQLPDGSGGSQVVQVPRAPLVEGLPLPPNIEEPHAGAYKYLFELVAGLKHMIATRETENSAHVSALRSKARKRKDRGHS >CAK8572562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546903530:546905744:1 gene:gene-LATHSAT_LOCUS25115 transcript:rna-LATHSAT_LOCUS25115 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEASFFLLFISSLLISCSGSLVGFSYHERGDTWKSFLQPSKVSSSQIRVFVTDHRILSTLTNSNMLVDLYLSKSQVENFITSKPSATSEIKALLVNFLPRLNIKSIVVSCGSECLLQNEIPLIMNALESIHSILKDLHISNEVKLSVAFPLQFLTKMNPSQERETRKLLSFIKETESFVTLEDAIDGELSMENHFVQTVIKQAAHAASVLPCKDVPVVLTIKSLVIPSSIELAEFSKRVSKYLAARRPIAKRIAALYVELHTTEDFSMKELKREEDREIFPLSRRELISKLHRRKTLDGTNSPTNTVYPTNPTPNPTPVITPSDTPTIIAVPSTNPVTISPTNPAAMPVTVPSTTPAVPSTTPAVPLPPTNPTNSPVPVFNPATTPSTVPGAQPVTNPVTSYPPPSGSVPVPVINPPSNTNAPSVQGQSWCVAKAGAPQASLQSALDYACGMGADCSQIQQGGSCFSPVTLQSHASFAFNSYYQKNPAPTSCDFGGAATLINTNPSSGSCIFTSSSSSSSTPMISSPTPPTQSTPTSIPPPSPITTAPSITTMAPPSIPTIAPPSTQTAPSSIPTAPPTSSGSGTGTFGYGTPPSVLNSSSPDSGAMPDFGSDSPPVVNTTSASHPRALKSFTGCIILMIPFVTARLSMLP >CAK8541469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:192930867:192974035:1 gene:gene-LATHSAT_LOCUS10388 transcript:rna-LATHSAT_LOCUS10388 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSITSTSRSKKQHGEAAIDGRQFLTNKIHLLKEKIQDERFKSIKDKLWINRENLRFQLSEVMAAVSRNTSLQTEEANAKMLSSRIDNPVSKFSGFRQGLGRREQIQNQDVSFEKSFKLPYTEKIPPYTFWLHLARNERMTKDQSFAARRNIYYDQHCGETRICSDTEEECKENREAKHDFSQGEDQILWMAFEEHDITEEALSVVQRCIGGSYSEIQERYKYLKEKDLHAKNSRESESITGICLDKSLNASLSTFDHLFCRLCMIYDCPLHGCLQPLIYPNEKQSVWNEPEGQSNPCSDNCYLKIKDVNTSSKKSTGESSDKEIKKTENVEMDYLLYLNSDVKDSDLPDLSSCDSTFPCDSQNSHKKLKRILENKETANDDYNKERAEITNKIELLRLSNSMEWQVDEMHSISDWKPLEKDLYLKGIEMFGRNSCLIFRSLLSEFKTCIEIASYMRVEEVARRSIDENGKFDAKCTDHEMPSGLRSFKKKGKSKEFKYLPKSPRLPPSQERINAGGNILLKHYTPCECHGKCRKQCPCRLNGYCCEKYCGCTKQCGNLFRGCHCAIGQCRNRQCPCFAANRECDPDLCRNCWASCGDGSLGEPPHHGENECENMNLLLGKKQRILLAKSDVAGWGAFLKNPANKDDFLGEYTGELISHTEAEKRGKLYERADFSFLFDLDDEYVIDAYRKGDKLKFANHSSKPNCYARGMFVRGDHRVAIFAMERIEAGEELFYDYKYAAHHRAPAWFVKANNAAKKKELANSHAKAKKR >CAK8576240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:433443620:433444117:1 gene:gene-LATHSAT_LOCUS28446 transcript:rna-LATHSAT_LOCUS28446 gene_biotype:protein_coding transcript_biotype:protein_coding METKMNRAIAGFSVLLFFSLLVNIASSADSPAPTPDSSVKSPSPSPNTSPNSSPKSSPPAPTPTPAISPIPDSPPAPSPENSPSSSPSPSPSPDHAADSEVSHSGVGDADEKSSGGGMSSGKKAGIAVGVIASVCVVGLGAMVYKKRRQNIQRSEYGYTARRELL >CAK8560770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41302128:41320868:1 gene:gene-LATHSAT_LOCUS14420 transcript:rna-LATHSAT_LOCUS14420 gene_biotype:protein_coding transcript_biotype:protein_coding MECASKIVERAVDVVLDLTIRHVGYIFYYKENVNELRSLDEKLSLQRKRLEHAVDEAEDNLGITESDVTAWLQKVDKTRTEAEEFQNDEGHTKTRFSSGLFHYFRNRHRLGRKAKKMLVDAKLLIDESKFDGVSYQQKPTSMHAASLNAGYVEIGSRRHTIEKIIEKLEDSTVRMIGVHGPGGVGKSTLIKEIVKKAQDKKLFNVVVIVEITDNPNLRKTQEEIAYVLGLSLEGEGESVRADRLRRRLKTEKENTLVVMDDLWDRIDLNKIGIPFDDDMDDDSGNMTIEDMKGPNFTTVKKGKSPGDYKGCKILLTSRDRKVLSDKMDVKSVFCVRELDDKESLMLFKKVAGTLDEMSTFKQEIVKKYCAGLPMAIVTVGRALRNKSESVWEATLEKLKKEELSGVQKSMEISVRMSYDHLESEEMRSIFLLCAQMGHQQLIMDLVKYCFGLGILEGVYTLREARDRVYTSIQKLKDSSLMLDGSSNDHFKMHDMVKDAALSIAHKEQNVFALRNRILDDWPDKDQLERCTAISIRNCDIIDELPKVMHCPQLKFFQIDSDDPSLKIPENFFEGMEKLRVLILTGFHLPCLPSSIKCLLNLRMLCLERCALVDNLSILGELKKLRILSFCGSQIESLPTELECLDKLQLFDISDCSIMKVAQPNFISRLTCLEELYIRKSLIKMKVDGESNQSQISFLSELKHLHQLRVVDLSIPSTTVLPRDLFFDRLTDYKIVIGDFKMLSSGDFRMPNKYEALRSLALQLTDGADIHSQKGIKLLFKRVENLLLGELNGVQNAFYELNLDGFPDLKHLSIINNDGIEYIVNSMELFHPQNVFLNLESLCLYRLKNIKMLCYTPVTDASFAKLKSIKVKMCTQLKFLFSFYMVGFFACLEKIDVSECDSLEEIVVKEGQANFNKIEFHKLRSLTLQSLPSFTSFYTGVEMPSVEEQTARRDHIEISVAEDDCSVVDPLCLFGELIEIPNLESLKLSSIKSEKIWRDQPLSNFCFQNLIKLTVKECYNLKYLCSMSVASNFKKLKGLFISDCWMMEKIFITERDSVDKVCIFPKLEEIHLTKLNKLTDIWQIEVGADSFSSLISVQIEGCKQLNKIFPSHMTGWFGSLDSLKVIDCMSVEVIFEIKDFQQIDASMKVTNLQLIFVDQLPNLKQVWERDPEGILCFKNLRVIEATRCNKLSYLLPASVAKDLKRLAGILVNLCDGMEEIVAWHDGPQARLMFPEVTFMKLYGLPNAKRFYKGGHIECPKLKQLAVDFCEKLELFTTETTNEETQAVFLAEKVISNLEFMETGLKESLWLKSNNWKYRMDCIKELSLRSLRSIELLYWFLDRMPNLERFNLLFSHYKLEGLVPSGNFVSQERLGTVSQLKTLTLWSSEIKDLGLDRDPLLQRLEQLLLGACDSLVNLAPSSLSLSHLTYLEVNSCIGLMNLMAVSTAKSMVQLATMKVIRCKVKEIVTNEGNEEDRVIEVVFKKLVHLELVRLKDLTSFCSYKNCEFKFPSLERLIVRECPKMVTFAEGQTTAPKLQNILAIEGEEEEKRYWEGDLNITIQKIFKDKISFEYTEKMDLIDYPELLEQVWHCSDLVQDYIFRNLTSLVVSQCNNLVHVIPSHLLPCFENLEELKVWDCSAVNVIFNLNDTRFTKALRKFRLKKLSLDGLPILEHVWDKDPEGNFGLHLLQEMRVDRCYTLKYLFPASVAKDLTRLEVLIVNYCKELVEIFSKDEIPAEGATIEFPRLTTLHLTDLPGLKDFYPGLHKLEWPVLKDLNVYHCNLPVLKFQEDHPEEQPLIPIEKIPNMEMLSFDIGGTIVMWDRGSLKLQLQLLQRFQEESDSVLYGFLGMLPAIRKLELYHGSLEEMFCAERPNADYTRILLNLKVIILFNMGNLNSIGLEHSWLHPIPEHLQTLKVTSCDRLVNLVPRMVSLSNLTNLDVYMCKGMLYLFTSSTAKSLCQLKEMKIELCESMQEILSTEGVESHADGKIIFEHLHTLLLKDLEKLRCFYPGKFALCFPSLEKVSLIRCSLMKTFSPVNKVDPTILSSGVTFKKEETPQWEGDLNSTILKRFEEKISDYAPKGTVVNLVDQPILHDIWHGSLPVPHMCFNNLEELIVDGCQFLSEVLPFNLLPFLTKMEKLAVRNCSFVKAIFDVKCKTEDKKMTLNGPAMAPLPFSLKILTLEQLPNLESVWNEDPCGILTIELLKIVYVDECKSLASLFPASVATDLVKLEELHVKHCKVLIVIVAENNADPKGTDLELSFPCMISLTLLELPRLKCFYCSLHFDTLKISTYQESHSEDEVISIEKLTPNLQCLSLGEKELNTISRSNRLHKLKVLHLACFNDESGVFPYAFLQQLPNIENLAVSCSSFKEIFCFQGPDVVYTELLSHLKVLTLELLTELVSIGLEHSCVEPLIKNLETLEIAKCFQLRNIAASTICFSNLMRLFVSDCHGLVNLFTPSTAKSLVRLKTMEIISCESIQEIVSHEGDASDEDEKIVFEELQALYLKDLQELKCFYSGNFTVCFPSLEQVFLINCHKMETFCPGTVNADKLLGVKFQEMSDAVLLDIDLNSTIQKEFLAQVKPS >CAK8541075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58713189:58714733:-1 gene:gene-LATHSAT_LOCUS10026 transcript:rna-LATHSAT_LOCUS10026 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNYENGFQQIIVKLTTEPSLVPPSEETKKGPYFLSNLDQNIAVIVRTVYCFKNKDKGNENACEVIKNALKDVLVHYYPLAGRLSISSEGKLIVDCTGEGALFVEAEANCSMEEIGDITKPDPRTLGMLVYDIPDAKHILQMPPLVAQVTKFKCGGFSLGLCMNHCMFDGIGAMEFVNSWGELARGLPISIPPVLDRSILKARNPPKIEHLHQEFADIEDKSNTSSLYEDEMVYRSFCFDPEKLKELKKKAMEGENSVLESCTTFEALSAFVWIARTKALKMLPEQETKLLFAVDGRAKFEPKLPKGYFGNGIVLTKSVCKAGEITNKPFSHTVKLIQEAIKMVNDGYMRSAIDYFEVTRARPSLACTLLITTWSRLSFHITDFGWGEPVLSGPVGLPEKEVILFLSHGQERRNINVLLGLPAPVMKIFQDLMKI >CAK8539489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513918442:513919518:-1 gene:gene-LATHSAT_LOCUS8578 transcript:rna-LATHSAT_LOCUS8578 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFFSYFNFFFFFVALFICRPCFTARIIPESVTVITTSEKHNATWHNFSSFLHAERGSHVTGMAELKKYFHRFGYLTQPNTTSNFTDKFDSNFQSAVLLYQKQLGLPLTGKLDTETISTIESPRCGVSDTATRRIHTTRHFAYFNGKPRWLRGSPMTLSYAFSPYDMIDTLSLSDIRTVFERSFKRWASVIPVSFRETEKYQSADIRIGFYFGDHGDGEPFDGVLGVLAHAFSPQNGRFHLDAAENWAVDFDHDDSRIAVDLESVVTHEIGHVLGLGHSSIKEAVMYPNISPRKKKVDLKIDDVEGVQSLYGSNPNFSLSALLQSENSYNLAVRLETGFSKWIFSLELALLILFLGS >CAK8567995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561976139:561977428:1 gene:gene-LATHSAT_LOCUS20998 transcript:rna-LATHSAT_LOCUS20998 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSETKIVKLSGSELIDMLSDLPDCVILHILSFLNTKDAVRTCILSVRWKDLWKRLPALILYSSHFGTIKIFAKFVSKVLSLRDSSVALQSFDFYDNCCLDPRLIKRVVNYAILHNVHRLSLSVHCNIEQIPPSIFSCQTLTYLKLSLYPRSGHENKTLFPKSLDLPSLTSLCLGNFVFCADDDGRVEPFSSFDRLNSLVLQSFTVRGGLTLCISSATLVDLTLINHSYDYFEIELCTPSVCTFAFIGRPHQKVFGSGLSYVKHVDIDSEVLCFNIQPPLLLLSWLLLLDNTKSLTVTASTLQVLSLNSNLLKTEFLSLGNLKLLKVKIKPLIYGFRQRLIEAKLEKIKPRKEAAKLRKSIKAGLEPFAPIPDGIVDFLTQNSPSAEVEIIDCSWR >CAK8542365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:505413896:505414240:-1 gene:gene-LATHSAT_LOCUS11209 transcript:rna-LATHSAT_LOCUS11209 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNKKAMMKLALMLFLLGFTANVVNARFDSTSFITQVLSNGDDAKSACCDTCLCTKSNPPTCRCVDVGETCHSACNSCICALSYPPQCQCFDTHKFCYKACHNSEKEVLVNN >CAK8535568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863757974:863758357:-1 gene:gene-LATHSAT_LOCUS5015 transcript:rna-LATHSAT_LOCUS5015 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTKFLFICMFLLTISSINSRRVDIGEALRAIGPVDDAVKAVDDVAVAAVKAVDDVAVAATLTPVAEAVKAVDDVTANKKKKGFPDWIITIINHYWWYFLSSSCFCSSSRLIKY >CAK8574182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667867247:667879433:1 gene:gene-LATHSAT_LOCUS26551 transcript:rna-LATHSAT_LOCUS26551-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERDVHLDCRNASNPFHECTDYCFRVIAEAKLRMQQHESEVAQGSGGSGREQVYPDDDGMHDDDDGPKAEEKSDSEPDQPAIENTDGSFPKLSARQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8574180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667867247:667879433:1 gene:gene-LATHSAT_LOCUS26551 transcript:rna-LATHSAT_LOCUS26551-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERDVHLDCRNASNPFHECTDYCFRVIAEAKLRMQQHESEVAQGSGGSGREQVYPDDDGMHDDDDGPKAEEKSDSEPDQPAIENTDGSFPKLSARQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8574183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667877317:667879433:1 gene:gene-LATHSAT_LOCUS26551 transcript:rna-LATHSAT_LOCUS26551-4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERDVHLDCRNASNPFHECTDYCFRVIAEAKLRMQQHESEVAQGSGGSGREQVYPDDDGMHDDDDGPKAEEKSDSEPDQPAIENTDGSFPKLSARQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8574181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667867247:667879433:1 gene:gene-LATHSAT_LOCUS26551 transcript:rna-LATHSAT_LOCUS26551 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERDVHLDCRNASNPFHECTDYCFRVIAEAKLRMQQHESEVAQGSGGSGREQVYPDDDGMHDDDDGPKAEEKSDSEPDQPAIENTDGSFPKLSARQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8572088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508861646:508862148:1 gene:gene-LATHSAT_LOCUS24690 transcript:rna-LATHSAT_LOCUS24690 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVEETVVPHPTKTEVPHTYEEEVATTIEVTPEIVIEVPTPYTATEAPTLHTAIEAPCTYYLEITLPVGTYVTAPYETNSFLIDASVHTDGGFPGGISDYSILTGYADHVAFRLWQEEVRTYGLKMKKLFEM >CAK8567369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509011642:509014203:-1 gene:gene-LATHSAT_LOCUS20431 transcript:rna-LATHSAT_LOCUS20431 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQKKVVFDGEAASSLVKELRVTFSSGKTRSYEWRISQVEALLKMMAEQEDQFIDALRSDLAKPPLETVVYEIGMFKNSCELVLKELKQWMTPEKAKTSITTFPSSAEIIPEPLGVVLVISAWNYPFLLSLDPVVGAIAAGNAVVLKPSEIAPASSSLLAKLLGEYLDNSSIRVVEGAVDETTALLQQKWDKIFYTGNGKVGRIVMAAAAKHLTPVILELGGKSPTVVDSNVNLEVAARRIISGKWCCNNGQACISPDYLITTKEFAPKLVDALKTELENCFGKSPIESNDLSRIVNSNHFARLVKFLDDDKVSGKIVYGGEKDESKLRIAPTILLDVPQDSLIMSEEIFGPLLPIVTVNKLEESFDLINAGTKPLAAYLFTHDNKFKEQFVKNVSAGGLLINDTVLHLVVHRLPFGGVGDSGMGAYHGKFSFDAFTHRKAVLYRGFTGDSSIRYPPYTDTKQKMMKALVAGDVSGVVRALIGWS >CAK8531702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141467202:141468353:-1 gene:gene-LATHSAT_LOCUS1474 transcript:rna-LATHSAT_LOCUS1474 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSIFTPSIITLKLNTWPNRTLTHLNCLTSSQPSPSSPHSDSTSFQVSYLINNFDFSPQFASKLCSTHRICFKTTQKPDSVINFLTNYGFSNSQLRDLIAKAPWLLSCNLSKTVLPKFQFFLSKGASNSDIIYLVGKNPRALSPSLENHIVPTYDLLYRFLQSDKAVIASAIQNTDLLSHHLVPCNITMLIENGVSDTNIARILRTRSQTLEARDLVKLAEELKDLGFNPSKTTFTVALMAKASVPKTRWKEKVDAFNKWGWSDEDAIESFKKQPSCMLTSVEKINLVMNFWVNQLGWDALALANQPGIFALSLEKRIIPRASIVQFLVNNGLRNNNASLTYPFVVPEKMFLDTFINRYEKESSYLLNLYEEKLKLACHD >CAK8537889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444708526:444710628:1 gene:gene-LATHSAT_LOCUS7139 transcript:rna-LATHSAT_LOCUS7139 gene_biotype:protein_coding transcript_biotype:protein_coding MMHILTQIWRLVLQPKVWRLIGFATAVVGLLCYALSSSFNHLFGNWNLWKIILYTVFSFIISLMILYANIWKSSTSLRFKAHAAFLVLTITSVYSFFFDKVVNGKPDAYSLISCASFAIMSLSLSRQTHCGVEIDLLYFFLGCLIVQLMKISLQLLILGAGFSYSLIILRSSFSSIDDAIENEYFYLQDENSVVLKLDSLLLQQLKTCMTEIEEENLNLIDRLMELVKEYNQDKSELHLLDKCDYVMDTLSSRKIHNLNEIVKLMIAAGYKKECYDVYSSWRRVFLQECLINKIFGLRTTNINITMDEYETEQYLDTMFEYETEQYLDTVFERWMTALDVAVTILFPIEQKLCNRVFSGFSSAAFSCFSEVCHEATSQLLGFAVADGNPTIWRLFKMLRIFGHLDKHIPKFQSLFPDSTLLNETIAVRNRLGEASKDLFIEMHNVIIRIPTAHETVLSRGLIHPITFQVMSYVSLACKSRKKLEQILQAYRKVDNEVEASSFFLKQMEQIMEMLPRKLIAKLKNFKDPALCHIFMVNNRSHIEAMNQSSELETIFGNDWFQKNKAKIRQNIELYKRISWNRVLDFLKLDNNDNITEELLKEKIHLFNTHFEEVCKVQSDWFVFDNKLREEIISSVENILLPAYGIFIGRLQDMLGNQAYKYIKYGIFEIQDRLNQLFRKMQIYEYKSEYIYKTLNYLLIR >CAK8542146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478332446:478332832:-1 gene:gene-LATHSAT_LOCUS11012 transcript:rna-LATHSAT_LOCUS11012 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMFVKVTFLVMACLVLSTPLANAALSCGQIQLTITPCIGYLRSPTPSVPAPCCNGIRTLNSQAKTVPDRQGACRCLKSTVISFPGLNLPALAALPAKCGVNLPYKVTPSIDCNTYISSNQPSLFI >CAK8567331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505740848:505741942:-1 gene:gene-LATHSAT_LOCUS20395 transcript:rna-LATHSAT_LOCUS20395 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSSRSESRTDPLHNPSIKNPLDGLCHDLHSLQDLANRGAWRSIIDKVSRARALSLLQKPHDHLTYLAFNALAFTKLRRFNEASSELDSVEDLDSSHYQYETYPKIYPNRVGSMVPFSLRWLHALIPIKLGQRQQGIDRLYGLLDFVREKITTKENNNLSDSVRVWRKREVFVVNCIIGHHLSHKEFGVCLSLMKSLLSRNSSDPYLISQLGYIQLQTGDLEGAKASFLKAEVDGKNNGTLSEVEFMNLVNRNKALVYMVGKDYVSAVREYEECIVRDHTDIVAFNNKALCLMYLRDLSDSIKVLENALERVPTVALNETLVVNLCSMYELAYVNHSDIKRTLSSWIARVAPDDFDATCTRT >CAK8571140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:311794954:311795475:-1 gene:gene-LATHSAT_LOCUS23830 transcript:rna-LATHSAT_LOCUS23830 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPLFTIDDGIPACGLESRANADAQQQPAENRSLISVDDILGSVFETTHHVGRISVSAPSNMPYKEMALHCEALLAGKQQNISTFMGANSLHGYSFRIFAPTNYNHEKDKPTNSNVQQSLPLVNGNSFLDSPNTLPETVPSLRATSYQQEDAFFQLPASRPYVNFLKAAGC >CAK8532050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196778221:196778579:1 gene:gene-LATHSAT_LOCUS1788 transcript:rna-LATHSAT_LOCUS1788 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKGWRSSQFAKTSVRKSVEDVVFDNEFWKNVLICLKCANPLMEVLLLVNSIEEPTADFTYEAMEQAKEEIKSNLSIESFMPL >CAK8569526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4202963:4203790:-1 gene:gene-LATHSAT_LOCUS22368 transcript:rna-LATHSAT_LOCUS22368 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIEVEHQQQKPSQPSSPSHEFSFTISHNTFNKSFLNSNNKSKPSSPNSFTALDLTPADDIFFHGHLLPLQILSNFPSSISPRASMNSSDSFTLPIRELFSEEDDDENLPSKESSIKNMESSKKVEGKFKFAFSLFSSTKGRKGCHKEKEKNKKKMRFDFHVIHHAMKKYLRMVKPLFKKETIRVHDKKCYSSSYSGNVTPRNKQELMKSWKLEQYSSAPASMRNSPSNSGVLFPTTPLTPVSDSSMEELQAAIQAAIVHCKNSYSKDEKLNC >CAK8572265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525487210:525490179:1 gene:gene-LATHSAT_LOCUS24849 transcript:rna-LATHSAT_LOCUS24849 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLILLLLLFNLTTFYASATDSEYDNCNCDDEEALFSIHTILVGQKVSDFFIAFAYFSIPIELFYFVSRSNVPFKLLFLQFIAFIVLCGITHLLNAFSYHGPPSFRLLLCITVAKFLTALVSCATALTLPPLIPLLLKIKVRELFLRKNVMELGQEVGIMKKQKEASWHVRMLTREIRKSLDKHTILYTTLVELSKALDLHNCAVWMPNNDRREMYLTHELKSDNGKSFHHNSIPVNDPDVLEIRKTKGVRILRPESKLGAASSGDAGGGIEELGAVAAIRMPMLNVSNFKGGTPELVDTCYAILVLVLPSSNGRVWTGPEMDIVEVVADQVAVALSHASVLEESHLMRQKLEEQNRALQQSQKNAMMASQARKSFQTVMSHGMRRPMHSVVGMLSLFQEGNMKPEQKIIGDTMLKVGNVISSLVNDVMDISENKKGGLQLEMKPFLLHSMLREAACIAKCLCVYQGFGFQIEVQKSLPEKILGDEARTFQVIMHIIGYLLNTCDRGNLVFRVYLESDGGDKDDKKFGVWRSGSLVEYVHVKFDFQITDSSQSGGSISTKQQVGRRLNHNSESKEGLSFNMCRKLVQIMQGNILILPDSQGLAQGVSLLLKFQTGPSLERYVLAPKDYSNSQFGGLKILLADEDGLNRVVTKKLLEKLGCQVTAVSSGFECVSVITGSGGNAFKIVMLDIHMPEMDGFEVATRIRKFNGPKWPLIIALIANAEEQMKDRCMLAGMNGVIRKPILLHQIADELRTVLHRAGEKL >CAK8571353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:388550190:388550372:1 gene:gene-LATHSAT_LOCUS24020 transcript:rna-LATHSAT_LOCUS24020 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYPPTLRQMAVTGGMFVVGASLFGAGAYLSYVNVAPQQARVKERSEAMRKYLRKRLGD >CAK8579072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672586774:672597930:-1 gene:gene-LATHSAT_LOCUS31045 transcript:rna-LATHSAT_LOCUS31045 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCFVLDLRSLAPPLLGDLKQSLLQLVNFYAISSSSSSSRKSATLSDRIGLCYVVKNRLTSSDELMIAYRPVGDFNLRDFHQAVNSLPSDAYVFDMDNVSDVMISDVLSERVLYSWQGKDIERKVIVITSILPEDVDSVMQKSLMDAADKCVSVDFAVFQPKSSHLIDSRENINNFRRCISHLDNCSVQTYITDFRSFNGMVKRWLQYLKDDMDKPLQARLIFKDKLLDSVNHIFCNLLPPVNPIANGFSQCQTCRCHGIPLGNAEKKLNMFSCPVTGSNLETSDVNENSVRVGEQTILFLPSFHNSLKLLPVYSPINVTVTERVNLASLDEGLILGASFVVTASSYHVIETNSDDADQSDMNAQLFQGLSSVLHSMDQGLICSSNCDLETMTEAPYHCYYILQPSDKGPMHMRRLAGAEEVKQAPDNQLIDPLVNKDVENSVQACLLKIDVTDYDPLLHERGFHQKLNVLVKESLQLGSVFPKTDGAFSELSSSQQPSSEVIGRAKSANNVVVVDEEILSMDITDQDDRTMACITEEWKQLVVNEEPKLYSPSCMPKAKLDQSSIAPQNGNRQLDKETSRILERLEVPRPLKGKKASPVSNESCVKHKTVSTKKPLIPFQPTQNTEQVIISSQLLKPNFQRQKRKQR >CAK8539001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501370847:501376867:1 gene:gene-LATHSAT_LOCUS8141 transcript:rna-LATHSAT_LOCUS8141 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSNRSSSVSALLRYGGALRRDAALPLSTSYSHLVGENDSKSRWYSILGSEKSGSVDQLNLKRDLFLGKRYESTVAESSASSSPPAEKFEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPDLMKDAIDFDIRIQADKDNGIITITDTGIGMTKQELVDCLGTIAQSGTAKFLKALKDSKGAGGDNNLIGQFGVGFYSAFLVADRVVVSTKSPRSDKQYVWEGEVNASSYTISEETDQEKLIPRGTRLTLHLKRDDKGFAHPERIEKLVKNYSQFVSFPIYTWQEKGFTKEIEVDEDPTEAKTDNQDEKTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVTKEDYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILYVPPYAPSGKDDVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSDNKEDYEKFWDNFGKHLKLGCIEDRENHKRIAPLLRFFSSQSEEEFISLDEYVENMKPDQKDIYYIAADSVNSAKNTPFLEKLAEKDLEVLFLVDPIDEVAIQNIKSYKEKNFVDISKEDLDLGDKNEEKEKEIKQEYSGTIDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKSQTMGDATSLEFMRSRRVFEINPEHPIIKNLDAACKTNPDDQEALRAIDLLYDAALVSSGFTPDNPAQLGGKIYEMMGMALSGKWSSPPSQFESTQTQPHVLETVEAEVVEPTEAGSQK >CAK8564180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655084443:655084691:1 gene:gene-LATHSAT_LOCUS17508 transcript:rna-LATHSAT_LOCUS17508 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPEKILYEMGGVPENIARKAISIAASKMPIRTQFIFSK >CAK8566172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387232833:387233873:-1 gene:gene-LATHSAT_LOCUS19330 transcript:rna-LATHSAT_LOCUS19330 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLCAITTYWMQCIWFPKTVINRIIAICRSFLWTGGNTISRKSPVAWDNVFKPHVKGGLNVMNLEIWNRMFMIKLLWNIFAKSDDLWVRWIHAYYLRHEGILTRTVKASDSGIFKTILLQRDSLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLILHNRARPRAIITLWMICHDKLPTKVRLFRLGMLQNNKCGFYNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWALRNYGGKGWKSDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIVYRGWTSPKLRPHMARLLLP >CAK8533084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576433972:576434508:-1 gene:gene-LATHSAT_LOCUS2734 transcript:rna-LATHSAT_LOCUS2734 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAVKDVRSLSGCALLLTSWAFTRIPLFAPVTTVEPSYPYAQRWARRWMNYRANPRFHLQGYRNALDHMQEHDFIWRPYIRYPLSRLKDSQIWMQQHSLSVSIPLRCIKQIE >CAK8560055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6239303:6240752:1 gene:gene-LATHSAT_LOCUS13770 transcript:rna-LATHSAT_LOCUS13770 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRRNKQVILNDYVTGFPKESDMSIVDSTITLKIPEGSNDLVLLKNLYLSCDPYMRILMTKDTTAGLGALIPGSPLTGFGVSTVVESRGPDYKKDDLVWGMTKWEEYSLIPAAQILFKIEHTDVPLSYYTGILGMPGMTAYAGFFEVGSPKKGETVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKFGYDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKTLDAVLLNMRVHGRIPVCGMISQYNLDQPEGVTNLAHIIYKRIRLEGFVVTEYYPLYTKFLEFILPLIREGKIAYVEDIAEGLENGPAALVGLFSGRNVGKQVLVVADK >CAK8532889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:544161953:544164119:-1 gene:gene-LATHSAT_LOCUS2551 transcript:rna-LATHSAT_LOCUS2551 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPEQVSNNLQQPEKGTQGLQQLEDVVERQQPEQVSKSLQQPKKGTQRQELSDKGSCNPGSFGNIPEGLLPVNIYLSSPSRCLVARGKLYNTKGNTMHGMTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDFVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFIEHLRVESIKEILDHNWLIASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDSLNGEPTKHQNLKTKFEK >CAK8535452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849851879:849852511:-1 gene:gene-LATHSAT_LOCUS4915 transcript:rna-LATHSAT_LOCUS4915 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRDKELRKTLVNMGYMLTETTFNYYRGKTRRTNRAALEWIDSIPREKWARAFDGGQWWGHMTTNLAEAMNSVLKATRNPPITAMVKSTYYRLGSLFGKRGHDWTKMLASNQRFTDNYNKVMAEEASKSSSRNVIQFDRKRFCFMVAERINQNDGRPLGAFNVDLRREWYDCGNFQAF >CAK8536391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937392190:937393712:-1 gene:gene-LATHSAT_LOCUS5765 transcript:rna-LATHSAT_LOCUS5765 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMIKRKPVFVKADELKPGTNGHTLTVKVVSSSPVKTVPSRGVRSSVIASRPTRIAECIVGDETAVIIFTARNEQVDLMKPGATLILRNAKIDMFKGSMRLAVDRWGRIEVTEPANFEVREDNNLSLVEYELVTVTRE >CAK8561195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117293805:117294305:1 gene:gene-LATHSAT_LOCUS14807 transcript:rna-LATHSAT_LOCUS14807 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGPIDENNGGDTSSLIDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNSSESHSTNIIN >CAK8574546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1918497:1918811:-1 gene:gene-LATHSAT_LOCUS26881 transcript:rna-LATHSAT_LOCUS26881 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKIDELWTNVVWASDEVKYDQRLSQLEQACVDCNEFIDYVKDTWLTPYRQRFVGAWINRVLHLGNTTTNRVESAH >CAK8578239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613965351:613966915:-1 gene:gene-LATHSAT_LOCUS30267 transcript:rna-LATHSAT_LOCUS30267 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSGDKQNMHEQTGCFDPNTMDEGIENSLKDNPFSQTHPNQMVEGNNHNHSEEDFSYHNPQHLDNNLVQEVYQNSTWDTNVHELQGMDYANHQEQHQLHEQQFQQIVETQNHNQTYNPSNILDPHYPSPDLLNLLHLPRCSDSSFLANPPSNICMTNLNQKPPNFHNSMSFLGDLPIGSSDNTSGSSVLYDPLFPLNLPPQPPALRELFQSLPRGYSMPTSSRNGSLFGGGDEMEGDGDMGVLEFNRVAANVGKGRGGKATKHFATEKQRREQLNGKYKILRGLIPNPTKVDRASVVGDAIEYIRELIRTVNELKLLVEKKRHGREMCKTHKAEDAAAESCNIKPFGDPDGSIRTSWLQRKSKDSEVDVRIVDDDVTIKLFQRKKVNCLLFVSKVLDELQLELNHVAGGHVGEYCSFLFNSKVSEGSSVYASAIANKVIDVLDTQYAAGVPYTSRL >CAK8566319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406379655:406389699:-1 gene:gene-LATHSAT_LOCUS19456 transcript:rna-LATHSAT_LOCUS19456 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGHGNGHINGVVSNRHTATMSEVDEFCHALGGNRPIHSILIANNGMAAVKFIRSVRSWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLILEIAEITHVDAVWPGWGHASENPELPDALKAKGIVFLGPPAVSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESSLIAIPDEIYRAACVYTTDEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLICDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVKELEQAARRLAISVNYVGAATIEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGNDAWRKTSVLATPFDFDKAQSTKPKGHCVAVRVTSEDPDDGFKPTGGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKATASSAALVSDYVGYLEKGQIPPKHISLVHSQVSLSIEGSKYTIDMIRGGPGKYRLKLNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIGETPCKLLRYLVADDSHIDADTPYAEVEVMKMCMPLLSPASGIIHFRMAEGQAMQAGELIARLDLDDPSAVRKAEPSTGNFPVLGPPTAISGKVHQKCAASLNAARMILAGFEHNIDEVVQSLLNCLDSPELPFLQWQECLAVLATRLPKDLRIELEAKYKEFEIISSSQNIDFPAKLLKGILEAHLFSCPDNEKGALERLVEPLMSLVKSYEGGRESHAHKIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGVKSKNKLILRLMDKLVYPNPAAYRDQLIRFSQLNHIVSSELALKASQLLEQTKLSELRSSIARSLSELEMFTEDGENIDTPKRKGAINDRMEDLVSAPLAVEDALVGLFDHSDNTLQRRVVETYIRRLYQPYLVKGSIRMQWHRSGLIATWEFLEEYVEQKNGVEDKTLVEKHSEKKWGVMVVIKSLQFLSAIISAALREATNNFHDALKSGSVDSSNLGNMMHIGLVGINNQMSLLQDSGDEDQAQERINKLAKILREQEVGSIIHAAGVGDISCIIQRDEGRAPMRHSFHWSAEKLYYEEEPLLRHLEPPLSIYLELGKLKGYENIRYTPSRDRQWHLYTVVDTKPQPIQRMFLRTLLRQPTTNEGYSAYQRVDAESSRTQLDMSFTSRIIFRSLMGAMEELELNSHNTTIKSEHAHMYLYVLREQQVDDLVHYSKKINIDASQEETTVEAILEKLAQEIHSSVGVRMHRLRVFVWEVKLWITACGQANGAWRVIVNNVTGHTSTVHIYREREDATTHNVVYSSVTIKGPLHGVPVNENYQPLGVIDRKRLAARKSSTTYCYDFPLAFQTSLEQSWSIQQTGIQRAKDKDLLKVTELKFSEKEGSWGTSLVPTERPPGLNDVGMVAWLMEMCTPEFPSGRTILVVSNDVTFKAGSFGPKEDAFFRAVTDLACAKKIPLIYLAANSGARLGVAEEVKTCFRVGWSEESKPEHGFQYVYLTPEDYAQIGSSVMAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSSILKWLSYIPSHVGGALPIVKPLDPPEREVEYLPENSCDPRAAISGTLDVNGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREEIPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVYIPMMGELRGGAWVVVDSRINSDHIEMYAERTAKGNVLEPEGMIEIKFRTRELLECMRRLDQQLITLKEKLSEAKSNKDFGTYDSVQQQIRFREKQLLPLYTQIATKFAELHDTSLRMAAKGVIREVLDWRNSRAVFYRRLHRRIGEHSLINSIRDAAGDQLSHVSAMNLLKDWYLNSDIAKGREEAWLDDETFFRWRDNPANYEDKLKELRVQRLLLQLTNIGDSALDLQALPQGLAALLSKLEASSRQKLTDELRKVLG >CAK8568564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:617573340:617574200:1 gene:gene-LATHSAT_LOCUS21510 transcript:rna-LATHSAT_LOCUS21510 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAKDLSKDLSKDLSKDLSKGDSVQIREVWNDNLEEEFALIREIVDDFNYVAMDTEFPGVVLRPVGVFKHINDFNYQTLKDNVDMLKLIQLGLTFSDENGKLPTCGTGNPCIWQFNFREFNVSEDIFAADSVELLHQCGIDFTKNSEQGIDVNRFGELLMSSGVVLNDNMQWVTFHSGYDFGYLLKLLTCRTLPDTQTGFFDLINIYFPMLYDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTACAFRKLRDTFFNGETEKYSGVLYGLGVETD >CAK8573561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620220441:620220962:-1 gene:gene-LATHSAT_LOCUS25995 transcript:rna-LATHSAT_LOCUS25995-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPLFTIDDGIPACGLESQANADAQQQPAENRSLISVDDILGSVFETTHHVGRISVSTPSNMPYKEMALHCEALLAGKKQNISTFMGANSLHGYSFRIFAPTNYNHEKDEPTNSNVQQSLPLVNGNPFLDSPSTLPETVPRLRATSYQQEDAFFQLPAPRPYVNFLKATGC >CAK8573560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620220441:620221130:-1 gene:gene-LATHSAT_LOCUS25995 transcript:rna-LATHSAT_LOCUS25995 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQNLRELSIEASVLKERLLTNFSPDDACPLGVQLSLDTTRNICQSELKDDKHSDMVDIPLFTIDDGIPACGLESQANADAQQQPAENRSLISVDDILGSVFETTHHVGRISVSTPSNMPYKEMALHCEALLAGKKQNISTFMGANSLHGYSFRIFAPTNYNHEKDEPTNSNVQQSLPLVNGNPFLDSPSTLPETVPRLRATSYQQEDAFFQLPAPRPYVNFLKATGC >CAK8541012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:43491395:43493619:1 gene:gene-LATHSAT_LOCUS9965 transcript:rna-LATHSAT_LOCUS9965 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRVSDASVQSDLKHWPFKVTAGPGDKPMIVVNYKGEDKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVEEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLSADDKKKIEDAIDASITWLDNNQLAEADEFEDKMKELESLCNPIIAKMYQGGAAPDMGAADDEVPPAAGGAGPKIEEVD >CAK8538279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474724169:474724840:1 gene:gene-LATHSAT_LOCUS7494 transcript:rna-LATHSAT_LOCUS7494 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDLFLILMLLREFQWTRVAATPKPVQNKVTAKPKFVEVIDIISSEEESIKEKFVHTRKEREVNFKKKSSHTLTSVLTARSKASCGLTNKPKEIVDIDAADANNELVVVEYLDDIYKFYKLVWNESRPHDYMDSQPEINDKMRAIFINCVHCKHNLRLTIR >CAK8537747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426638111:426639200:1 gene:gene-LATHSAT_LOCUS7008 transcript:rna-LATHSAT_LOCUS7008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKILDVVLVPTGLVIMIAYHLWLLYQIVKHPTKTVIGVNSINRRLWVQAMMEDVSKNGVLAVQSLRNNIMASTLLASTAIMLSSLIAVLMSSRNGERGVVAVVFGDRTELVLSIKFFSILVCFMLAFLLNVQSIRYYSHASILINVPFKKLSSNVRQQKLTAEYVANTVNRGSYFWSLGLRAFYFSFPLFMWIFGPIPMLFSCFALVSMLYFLDAAFECGWATTGVDDDDDFDEGDVHKQHYVDIELEEPIKN >CAK8578877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660244346:660247371:1 gene:gene-LATHSAT_LOCUS30858 transcript:rna-LATHSAT_LOCUS30858 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSITAHYSPQFVRGVPISVPQPRTSLSFRFSTPILNRRHTPKSLSIRSVSVPATAAPASEAVAPAISLSDNALNHLNKMRSERNQDLCLRIGVKQGGCSGMSYAMDFEDRANTRPDDSIIEYKGFVIVCDPKSLLFVFGMQLDYSDALIGGGFNFKNPNATQTCGCGKSFNAEM >CAK8576009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384411777:384413484:-1 gene:gene-LATHSAT_LOCUS28228 transcript:rna-LATHSAT_LOCUS28228 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKGEKKTDPKAQALKAAKAVKSGSAIKKKAKKIRTTVTFHRPKTQTKDRNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >CAK8579603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712186001:712188708:-1 gene:gene-LATHSAT_LOCUS31536 transcript:rna-LATHSAT_LOCUS31536 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAASVRGARHRFSYASNRHSLFGLCLVENVPTIASSPTRYFRSLTPYSIHPRTYSIPRHLSKLPPLCSPSAFVSQLRSNVTGSQGNQANWLPSRYISNSSVELKTQNDVVRFSLYKPGDISSGMKSPNKKTMKKSKRAKVNELKYYRLKAKQKMHSPNPEVRIRYKLEKAKRKETWLIEKLRKFDVPKLPTETFDPEILTEEERHYLKRTGEKKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVICKPCKPGQAQEYAEELARLSKGIVIDIKPNNIIIFYRGKNYVQPKVMSPPDTLSKAKALEKYRYEQSLEHTSQFIEKLEKELEEYHEHVAKFKKGKEDTT >CAK8571067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275160382:275160612:-1 gene:gene-LATHSAT_LOCUS23761 transcript:rna-LATHSAT_LOCUS23761 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFAVGTLVIGALTAPPAIVPPHQIKLPKNAPGNRTDIAWKHGIVDPNNPRKIQCKYCQKKVTGGVYRLKHHLA >CAK8535268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832052479:832053759:-1 gene:gene-LATHSAT_LOCUS4741 transcript:rna-LATHSAT_LOCUS4741 gene_biotype:protein_coding transcript_biotype:protein_coding MTLELFPFQTPQDCPDLITRIFCSKFKQLKDDVINKGVLGKVKSYIQQYVTEFQKQELSHVHMLLILESNDKLRNLEEYDSVVRAEIPKLECEPQLHEAVLKHMIHEPCGVINQKSPCMKDEDCKKRYPKQLLDKTRQDTDSYREYKRRFDEPISLGRGRFVDNKWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYK >CAK8539422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512345086:512353584:1 gene:gene-LATHSAT_LOCUS8519 transcript:rna-LATHSAT_LOCUS8519 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSQASTSNRNANRNSLKPSSNVKSKQIQRRSTTASAVKDHTTVPGRVRVAVRLRPRNAEELVADADFADCVELQPELKRLKLRKNNWDGETYEFDEVLTEFASQKRVYEVVARPVVESVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFADVSLESDSVSVSYLQLYMETIQDLLDPSNDNIAIVEDPKTNDVSLPGATLVEIRDQQSFIELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSVKGRDASHSSENGNHPHMVKSLKPPIVRKAKLVVVDLAGSERIDKSGSEGHMLEEAKSINLSLSALGKCINSLAENSTHVPFRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMIKLKEEFDYKSLSRRLDIELDKLIMEHERQQKAFEDEIERLATEAQHRISEAERNYVDSLEKERSKYQKDYMESIKKLEEKFVINQRKNEEPHMKSNAEIPNVSAEEMADMKKMLQKETLLRKAAEGETNNLKIQVAELKQSEASAKTEISKLYKILEEESHQKEKLEGEIAILQSQLLQLGLEADETRQHLDRGGGFSKEVGGRDSLTSQIKHQQQVSGNGEKPSIAKLFEQVGLQKILSLLESEDADVRIHAVKVVANLAAEETNQGKIVEAGGLTSLLMLLKTTQDETIHRVAAGAIANLAMNETNQELIMAQGGISLLSMTAANAQDPQTLRMVAGAIANLCGNDKLQTELRGEGGIKALLGMVRCRHPDVHAQVARGIANFAKCESRASSKGMKSGRSFLIEDGALPWIVQNANNEASSIRRHIELALCHLAQHEANARDMISGGALWELVRISRDCSREDIKTLAHRTLASSPAFQAEMRRLRLSH >CAK8533825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663586651:663598342:1 gene:gene-LATHSAT_LOCUS3419 transcript:rna-LATHSAT_LOCUS3419 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHASAVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVNFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKSHEGQIQCIDFHPSEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRSLTFSPDGRALLCGLHESLKVFSWEPIRCHDMVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSMNQLNGRSESKSSSGNTTVLNEITAKARLSGSQNPDPLLKETRSLGRLSISHDSDPLKEGKYLASTGSAPSTPQRINSNSGSKTVSVGSTAVLNTAAQKRSSLKSHTTSSFPLINKSDIVPVIVPRTSTRSEPVADSRKEVGVSGTTMPISLQSKSADIRKFTNSRDDVDKPPFSSVTEFAASKCSELGGFADKNNLPASVSSTQDEGNQKLNRDGCSIDVQKRGRMRSLLNLEKRERSLNFEDTRHRISHGRTPSVHVLPFSGRTHSISTEKATFSASDEDSIADVMERHDEFISSMQSRSSKLKVVFGRWERNDVTEVISTMAKMGDHAVIADIVSIMMEKIDMVTLDLCTSLLPLLSDLLQSEMDRHLSISVEMLLNLVRVFGSVIYSTLSAKPSVGVDIEAENRLERCNLCFVELEKVKRFLPSLMRRGGSIAKSAHELNLVLQDVS >CAK8541389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:148119808:148120405:1 gene:gene-LATHSAT_LOCUS10314 transcript:rna-LATHSAT_LOCUS10314 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRSNDLKALELALTMKKLKLKETQLVFNSDLNHLERSKLTTGMSKASFRVKKFKNQLEDLRHGELIQNCIDCLIVGLLVMSSSLTYGAYVYSYEQIVESTTSCNRSNKESKSWWSPKSMFSLNQSYTFYGVKFKL >CAK8544977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715482208:715483290:1 gene:gene-LATHSAT_LOCUS13614 transcript:rna-LATHSAT_LOCUS13614 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSTLSISSSSTLIDAKPPCRQSTSPQCVTLPTLPPPPLQSQSRPWKTTAFCRKIARNVMSMASSTTAETSTSPVDPVAASTGELSVSDSPEFLKTIQETWEKVEDKYAVSTIAVAGAVALWGSVGVISAIDRLPLVPGVLEVVGIGYTGWFAYKNVVFKPDRDVLVRKIKGTVKEITGIDI >CAK8530326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14547460:14547807:1 gene:gene-LATHSAT_LOCUS197 transcript:rna-LATHSAT_LOCUS197 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSSFILAFFIAISISSMETSLATRHLLQTTNPTTPTLPKPTLPPLPTIPTLPQANVPSLPTIPKPTQPSITIPTIPTIPQFTLPPFPNIPTTIPITMPTLPFFSPPPSKTTP >CAK8579204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683353427:683355700:-1 gene:gene-LATHSAT_LOCUS31169 transcript:rna-LATHSAT_LOCUS31169 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPKRVKSDLVLILDFGSQYTHLITRRIRSLSVFSLCLNGTSSLQSITELNPSLVILSGGPHSVHTPDSPSFPDGFLQWAQSNSVPVLGICYGLQLLVHRLGGEVRVGNTQEYGRMEIHVENPSALYPFDKVGHNQVVWMSHGDEAVTLPSGFNVVARSQQGAVAAIENPSSKLYGLQYHPEVTHTPEGMETLKHFLFDVCGIAAEWKMEDVLEEEIRVINNTVGPKEHVICALSGGVDSTVAATIVHKAIGDRLHCVFVDNGLLRYNEQERVMKTFEKDLHLPVVCVNAVDRFLTKLKGVTDPEVKRKIIGKEFICIFDDFAQELEKKLGTRPSYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRIMDVPEGFLKRHPFPGPGLAVRVLGDVTEDNALDILRQVDEIFIQSIKDAGLYDVIWQAFAVFLPIRSVGVQGDQRTHSHVVALRAVTSQDGMTASWYYFDHKFLDDVSTKICNEVRGVNRVVLDITSKPPSTIEWE >CAK8568437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606063530:606065361:-1 gene:gene-LATHSAT_LOCUS21394 transcript:rna-LATHSAT_LOCUS21394 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGLKKHDKDEQHVKEGVKSKKWRLWRSSSGDNASWKGFKGNNHHKAVSEGSESPTAAEAYTAAVATVVRAQPKDFRLVRQEWAAIRIQTTFRAFLARRALRALKAVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSMEGQAVQNMLNERRTKLELLKDAEEGWCDSIGTLEDVKSKIQMRQEGAFKRERALAYSLAQKQCRPTSSTNSNTTASFSSLKNPEMNKANGGWSWLERWMAAKPWETRLMEQSHAESLDKTPPPPPKKFADPFVSSNSKPCSVNIKKNNVTTRISAKPPPHIGQATRSSSSPSSEFRYDESSASSSICTSATPMSGNTYERTEDSGNSARPNYMNLTQSTKAKLKSGNNPMYSRAQRQQSMDEFQFMRRAAGFSNGDSRSIAASDHSLNFSRPLHLPTSMDKSSVRPR >CAK8568438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606063530:606065361:-1 gene:gene-LATHSAT_LOCUS21394 transcript:rna-LATHSAT_LOCUS21394-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGLKKHDKDEQHVKEGVKSKKWRLWRSSSGDNASWKGFKGNNHHKAVSEGSESPTAAEAYTAAVATVVRAQPKDFRLVRQEWAAIRIQTTFRAFLARRALRALKAVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSMEGQAVQNMLNERRTKLELLKDAEEGWCDSIGTLEDVKSKIQMRQEGAFKRERALAYSLAQKQQCRPTSSTNSNTTASFSSLKNPEMNKANGGWSWLERWMAAKPWETRLMEQSHAESLDKTPPPPPKKFADPFVSSNSKPCSVNIKKNNVTTRISAKPPPHIGQATRSSSSPSSEFRYDESSASSSICTSATPMSGNTYERTEDSGNSARPNYMNLTQSTKAKLKSGNNPMYSRAQRQQSMDEFQFMRRAAGFSNGDSRSIAASDHSLNFSRPLHLPTSMDKSSVRPR >CAK8537485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:372047244:372048043:1 gene:gene-LATHSAT_LOCUS6775 transcript:rna-LATHSAT_LOCUS6775 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGCGGSPYSEGGALYAFSLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDIYEEIKNVLYTDSVVAGEAVGISMGLLMVGPGGDKANEILTYAHETQHEKIIRGLAMEISLTVYRREEEAGTLIEQMTRDQDPILRYGGMYALALEDNLEVVWLFWRSKNIAVQPLIQPLLAALLARSPDCDVTGIT >CAK8566223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393066465:393068101:-1 gene:gene-LATHSAT_LOCUS19376 transcript:rna-LATHSAT_LOCUS19376 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFSPTSSSKPDLYINGPRGTRVPAHAAILASASPVLENMVKSNGIVEIRGVPYDAVTAFVRFIYTCRCSEEDMENYGIHLLALSHAYSVPKLKQICVVGLSKLATIENVVDVLHLARLCDAPDLYLNCAMLIRNSFRAVRKTEGWKFLHTHDPWLENDIVQFINEEKSRKKRMKRQREEQEVFMQLSEAMECLEHICTEGCTSVAPYDVDVKEQRKRMPCSKFSTCQGLQGLIRQFATCEKRIKGGCMRCKRLWQLFRLHSCICLHQDSCKVPLCRQFQLKMEKENKEADASWKLLVRKVGSAKAMSSLQLLKR >CAK8562291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:467793510:467795639:-1 gene:gene-LATHSAT_LOCUS15799 transcript:rna-LATHSAT_LOCUS15799 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKEDSTTKPSKLSTSTQEVPVPVPTVPPSYPDWSNSMQAYYNPGAAPPPYYASTVASPTPHPYMWGGQHPLMAPYGTPVPYPAMYPPGSIYAHPSMVVTQSAMHQTTEFEGKGPDGKDKDSSKKSKGNSANACAKAGESGKAGSGSGNDGFSQSGESGSEGSSNASDENQQESARNKKGGFDLMLVDGANAQNNTSGPISQSLVPGNPVVSMPATNLNMGMDLWNASSASAEAAKMRHNQSGAHGSGGHGEQWMQQDDRELKRQKRKQSNRESARRSRLRKQAECEELQKRVEALGGENRTLRDELQKLSEECEKLTSENNSIKEELERLCGPEIVANLE >CAK8574726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7584881:7585273:1 gene:gene-LATHSAT_LOCUS27043 transcript:rna-LATHSAT_LOCUS27043 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENCNCLGSRNNCIWSSYERIGSDPIACVNEFMSKIKIARLKTLWKKIKRENKRRMFRSSSPVFLYDTSSYLQNFDDGCSNDDDFSRSFSARYAMPSSKKFNKIIGMIDDDEIVETNKLATCCLNSLI >CAK8578496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632806710:632809811:1 gene:gene-LATHSAT_LOCUS30502 transcript:rna-LATHSAT_LOCUS30502 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLNLTLLTTATDPEDVTVIQWEDFEQDLARLSSLSSALNQAKEKKRNLQHKLESLIQVNGESLGRLNELEEMRQKLESKKLMMDNMSIRSRLAKEDAAKQEEQLSGALQSLLVAGGTFSVTRRNLQESSRLLSEEDGYVRLRNLQKMLRMRQQYMTSQILMLYPVKLVVGPAQEQELEAYPLGSSAGNPPELKPVNQGTLTIQGLHLSMVSFRKMSFFTDKKEIQKSATALGYVAHAVSLIASYLQVPLRYPVRLGASHSYIIDNAPSIELTSSEASTSAKSSTNVKHVEFPLFLEGQDTTRATYAVFLLSKDLEQLLNFIGAKSLGPRHVLANLRELCRTIQSSDFLDNLI >CAK8570977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:213932353:213935136:1 gene:gene-LATHSAT_LOCUS23681 transcript:rna-LATHSAT_LOCUS23681 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTQQNQPNSGLLRFRSAPSSLISNLTPSSVDDNQGTKDFWDDSESERLVSRFVSNDNINMSGSSCSKEMSGMNSGYGGGGGGGGGGLPPHYPRHGSAATSNSAMDRSFGLVGSLGMNHETSHKSFGSNLLRQGSSPAGLFSNISFQNGFAAMKGTGNYAAMNGSNGNVSPSINRLTCQVSFPSRNASSLGVLSQISEIDSEDIEATSPGDGGSNGDTAHYGSGFPYSSWNDTQSFSENLSGLKRGRIGSEKMFSDFQSGGLENQVHTLSHHLSLPKTSSEMIAMEKLFQFPDSVPCKIRAKRGCATHPRSIAERMRRTRISERMRKLQELVPNMDKQTNTSDMLDLAVDYIKELQKQFKSLSEKQSNCKCMRMQQADTNQIP >CAK8565429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:193237727:193243238:-1 gene:gene-LATHSAT_LOCUS18647 transcript:rna-LATHSAT_LOCUS18647 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPALKRTKLSATGYYSLSNCSSSVECIVGSSSDVMARPLSNEGADGVVGSKGIIKRGEFVRIITEALYSLGYQKSGENLEAESGLQLNSAEVSQFKQQISDGDWEKSVATLKNIGLKDESIVKAASVLILEQKFFELLDGDKVMDALNTLRAEITQLCVDSTRIRELSSCLVSRRGQDGSSRQDFIRARTRSELLEKLQKLIPPTVMIPEKRLEQLVEQAIILQREACSFHNILDKDMSLYSDHHCGKTQIPSSTIQVLDAHDDEVWFVQFSHDGKYLATASKDQTAIIWEVAKDGRLSMKHRLSGHQKPVSSVSWSPNGQELLTCGVEEAVMRWDVSTGDCLQVYEKNGSGFISCAWFPCGKYVLSGLSDKSICMWELDGTEVESWTGQKTLKISDLEITVDGEHLSICKENAILFFNKETKHERFVEEDQAITSFSLSKDSRFLLVNLLNQEIHLWNIEGDPKLVSKYKSHKRSRFIIRSCFGGYQQSFIASGSEDSQVYIWHRSSGELVDALPGHSGAVNCVSWNPANPHMLASASDDSTVRIWGLERLDVKYPNTYSNGNSHHSNGGKT >CAK8566103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379942489:379943445:-1 gene:gene-LATHSAT_LOCUS19266 transcript:rna-LATHSAT_LOCUS19266 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDIFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLCDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGPTTLVQTNLLTSNVATNRLIKWDEINFLETWSLPQEIDPEPILNRDIDQIIQTIEGDLEINFTSKGITRIPRSLSARHSVSEFYTTPSQLPRLSTSQIREEIEAVENIRLSENRIPHGIYQKPHTPRVESPTQSDMDFHL >CAK8535971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894743362:894746164:1 gene:gene-LATHSAT_LOCUS5384 transcript:rna-LATHSAT_LOCUS5384 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAKDLVSIVVIIVLILPQISAQAFSPTGSAADNATEQIRQKDDTVRVDPLENFEKYRGGFDITNKNYWSSLIFTGVYGYAIGMLFLLCGILYGGFLVINKFCYRENDEEENRMKNVLFPCNYKTCDVSLILVALFLMLIAIVATGLVLVGSVRFHSEAKISVDIIIKTANEASETIHNTTEALKGMENSLMEANVNIEASSNLDSTAEKLDDASENIEMQARKNRRLINKGLKIVFVTTIVIMCLNLLAVTVLSVCGVLRLRRSLYMLVAFCWLMTVLCWLFFGVYLFLQKFSSDACIALDNFQENPYNNSLSSILPCQELLKAKPVLSEFSSGIYHLVNDVNANLTMQGPSYLNLAQVCNPFSAPPNYFYQPENCPENTIRIGDIPKVLKSGNLITNSEYARVETYTNSIQDLLNVYPSMEHLLECQIVKDAFSQVLVHHCKPMKKYAKMAWVGMVFLGVVMVLLILIWTMRASYEHCYHVSNGSVEPHFEVSSSLKSRVDKDKEIEI >CAK8533160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586153036:586154328:1 gene:gene-LATHSAT_LOCUS2807 transcript:rna-LATHSAT_LOCUS2807 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTLIGKARDLTEEVIELNEIEWKILQQREKIDWIRKGDGNNHYLYAAVKTKHHSTCLSNLRRSDGRHLSDQNDIEEEVMVFYKNLMGKEDTNINHIDIEAMRMGKQLNLEQREYLTRNITEDDIFKALRGIGDLKAPGLDGYGAKFFKASWTTIKTDVIAAIREFFEIGKIYKPFNNVVVSLIPKSNEACEIKDYRPIAVCTTFYKIISKILTDRLGSVLPSVISHNQAAFIQGQNIHNHIMLATKLIKGYTRKGGTRRIMMQVDLQKAYDMVNWKALEFIMKEVRIPNKFIQWTMIGITTVSYRFNIMGGYTEVLQAKRGIRQGDPLSPLLFVLIMEYMNRLLVKMQRDPNFSYHAKCESLKITNLTFADDILLLCRGDETSMKMMLETFRNFSKSTGLKMNPNKCKIYFGGMDMETRTRLKELSGF >CAK8577507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569758543:569775443:-1 gene:gene-LATHSAT_LOCUS29609 transcript:rna-LATHSAT_LOCUS29609 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTEGATNSVEAVAFSFLTDKEILKSSRVKVTDAILVDNLGRPVDGGLYDPAFGPFADRFPCKTCGLPKDHCSGHFGHIELVAPVYNPLMFTFLSNILKKTCFSCHHFKASRKEVESRVSQLKLIMEGKISKAKSLDENKLDGPLDSGDSDDDKDQCSRPEQPLENWTSIQFSEAMAVLRTFLQKDYTKCLNCGNISPKITKPIFGWFHVKALTLSQARANVITGSDASLASETINDDISLGNVDNESRILSTKLIEQTSLSGSLLPSLVRGILELLWKNEAMLCSYISDIQNQGFGKKAGHSMFFLENIFVAPIKFRPPLKTGDNVADHPQTVMLSKVLDSNISLGQAHHNKLDASVILRRWMELQRSVNLLFDNKSASKSQKAVTTGICQLLEKKEGIFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVVKLREAVLNGPETHPGATLYADKTSTLRLPLDRRLRSFTSRKLQSSRGVIMHNGKIRDHEFEGKVVYRHLKDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTVRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNLVNANNQYVKPTSGDPIRALIQDHIVSAALLTKKDTFLSCEEFNQLLYSSGVSMTASGSLTCKPGQKIVMSNSESEMFQFPPAIFKPEPLWTGKQVISALLCYITKGRPPFTVEKNAKIPSSFFKTQMREGKKRTKDTSRKRDENEDKLLIYKNDLVRGVVDKAQFGDYGMVHTVQEFYGSNTAGILLSALSRLFTNFLQMHGFTCGVDDLLITEGKDSERINQLESCEEIGDIVHREFIGVMESDNIDPITMQLNVEKKIRSNGEAALTYLDRKMISNLNSRTSSGVLKELLSQGILKPSGKNWISLMTTSGAKGSMVNFQQISSHLGQQELEGKRVPRMVSGKTLPCFPSWDCSPRAGGFIIDRFLTALRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLECLKVCYDHTVRDADGSVIQFHYGEDGVDVHQTSFITKLEALSINKELVYSSCCRQLDRSSPYINKLPDALKGKAENFSRDFSSKQRNSSSLKQDFLQLMEHKYVSSLAQPGEPVGVLASQSVGEPATQMTLNTFHLAGRGEMNVTLGIPRLHEIVVAASDNIKTPFMSCPLRSNKSMESAIRLADKMKKITVADIIESMKVSVVPVAVKDGQICSIYKLMMKLHKPKHYPKYTDITLEDWEETLRVGFVRALEDAIESHVVLCDKISGIKNFQGKRGVENDHSNDSESNKNGQTDDDDDVDDTEDADDLGYDAQKSKQQGMDEVDYDDGPENETREMSEDGNIEGVEGGKDDEDEKSDGDDSDFEVNGDSDTELNDIDKNVTLDANESQGLEETSKSDKSKSEPVSKKHDRRVYVKSGGMRFEIHFKFTTEPHILLAQIAQRTAEKVCIQNFGKVGECKAITCKESGVIYYGEVDGKRDDIPSSVKEKIPALQASGIHFKTFWEMEDDLNLRYVYSNDVHAILRTYGVEAAKEIIIREVQNVFKSYGISVNIRHLILIADYMTHAGGYRPLTRKGIEDSTSPLVKMSFETASNFIVEAARHGQVDTLETPSSRICLGLPVKMGTGCHDLIQKLEL >CAK8536774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:18253741:18279693:1 gene:gene-LATHSAT_LOCUS6107 transcript:rna-LATHSAT_LOCUS6107 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLEIRVSILLAFASLISSLEPKLGSTRVVFQTNYGDIEFGFYPTVAPKTVDHIFKLVRLGGYNTNHFFRVDKGFVAQVDDITNGRSAPMNEEQRRVAEKTVVGEFSDVKHVRGILSMGRFDDPDSGGSSFSMLLGDSPHLDGKYAIFGKVTKGDDTLTKLEQLPTRTEGMFVMPKERITILSSYYYDTETENCEQDRSILKRRLAASAVEVERQRMKCFP >CAK8568198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581157385:581161803:1 gene:gene-LATHSAT_LOCUS21183 transcript:rna-LATHSAT_LOCUS21183 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGNNQVAFGDLIYVKLPRSGLWWPAQVVNDDAVVSSLKPRSCRKGEVLVRVYGSHLFLNVDPVKSCSEFETILKNNNGDVPKILREGLEKSLPSSKKSAMKARAGTPSKKASSSKKQSNTKGEEQTPAKRQKQSNTKGEEQTPAKRQKQNKESKDDDLASPSCETATGKLQELSSRRIRVMQSLGLSAPTGSPFIKVRGN >CAK8568199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581157385:581161803:1 gene:gene-LATHSAT_LOCUS21183 transcript:rna-LATHSAT_LOCUS21183-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGNNQVAFGDLIYVKLPRSGLWWPAQVVNDDAVVSSLKPRSCRKGEVLVRVYGSHLFLNVDPVKSCSEFETILKNNNGDVPKILREGLEKSLPSSKKSAMKARGTPSKKASSSKKQSNTKGEEQTPAKRQKQSNTKGEEQTPAKRQKQNKESKDDDLASPSCETATGKLQELSSRRIRVMQSLGLSAPTGSPFIKVRGN >CAK8544634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696668720:696669610:1 gene:gene-LATHSAT_LOCUS13292 transcript:rna-LATHSAT_LOCUS13292 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWGICILDMEEKLKRRKFDQKVNREIIAEMIITHGAPFNIVEWQGFRKYQKFMNEECRWISRNTIKADAMEIYKVEKERLRSQLAQISGRICLTSDCWTSCTNHGFISLTAHFVDVNWNLNNKILAFAHLKPPHSGPQLALKVMKLLREWGIERKVFSLTLDNASANDNMQNYLKEHLGLSSSLLLNGEFFHIRCCAHVLNLIVQDRLKVASDALHKIRQSVHYVRASESRTIQFFHCVNNVDGIDTSIGLRTDTPTRWNSTYIMLESAINYQHAFYRLSLCDPNYKLCPSIVE >CAK8576915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524116801:524125936:1 gene:gene-LATHSAT_LOCUS29071 transcript:rna-LATHSAT_LOCUS29071 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIGKTIHKEVPGVGIVSGTVKSFDSSSGFLKILFEDGHCDEMEISEVAPLLEIQPEVPKVKARVGRKPKKRRLLERSGGATSSSGNVTDSLVAGSDFSGVLNGDGISGGNEGNLRGSVNGTSGSVEKLGNGNGNGFGGDAILNLNEAVSDDNNNNNKTNGIFVKDDIDLNSGLNLNEDINLNDVSESPFNNEGDLNRKDGIDLNLDVNVNVNVNDEVGVNLGEETLRRECNFDLNVGVCEEAKDAQGCADGNGYSEVDCEMGQLGEQESDVKHRSLEVDDVRGSLNCASDAIKLEEKEVDGSVSLEAGVVAVNEYQSDPGSPCKQGSSQRKRRKVSDNIKATPDTALRRNSRRTSARKLASTAVSEQVTDDPLSSLETSVVEEKPSIPENEKYEQCSIPIPKLQLPPSSKNLNLDGIPVLEFFSVYACLRSFSTLLFLSPFELEDLVAALKSETPSVLFDSIHFSILQTLRKHLEFLSIEGCQSASTCLRNLNWDFLDLVTWPMFLAEYLLIHSSQYKTSFDANLSILVTDYYKQPVILKLEILQYLCDDMIEADTIRSELNRRSLVTETGMGIDQNIYFETSKKKKAVMDVSGGSSLTEEMVDDTTDLNSDECCLCKMDGNLICCDGCPAAYPYHSRCVGVASDNLPEGDWYCPECAIGKHQASMKSRRSLRGADLLGMDPHGCLYFDSCGYLLVSKSSDAGSLFNYYHVNDIPVVIEVLKSMDTLYGDLLMAIYKNWDIPDELNAGATNLAVFNRSSFKNMQMTANYYSTSTSLAPFTSSVTFMDKNLVDDQKKLETNLTIDCCTHDGQEFPKAGNQLDSTIESPCIASEGSADTAQMRSGIKSIQIHRLYDSNRSDESLNQSRIPEKDFPVGDCSLASSRLDGEHNIKLRSTGVSSTPYMGNKDTSQAPYGTDYINYYSFARMASSVGQELMCKLSEKINRNIIVTEEEIISDQAKSIIKKSTNFCWPSIQNLNAASHKEKCGWCFSCKVANDDRDCIYLSAVKPLYEVSKSTSVGPQPKIQNGHLREIICQIFSLEVRLRGLLSGPWLNLHQTNLWHEDLLKTSDLLSVKRLLLLLESNLRHQSLSADWLKHVDSVATMGSATHIVVGSSRTSSKHGIGKKRARHSDIEPSSSSKTTGGLGMYWWRGGRLSRKLFNCKVLPRSFVTKAARQAGFTKIPGILYPENSDFAKRSRHVAWRAAVEMSTSVDQLALQVRELYSNIKWHDIENNHPLYVLDKESRKSSKLFKKAIVRRKLPDGQSVKYLLDFGKRRAIPDIITKHGSLLVEEPESERKKYWLNESYVPLRLVKNFEEKRIVRKSNNKKHGKTLGIDRVKRAPQQRGFSYLFSRMERSVCYQCEHCKKDVPTREAVSCLYCKGYFHKRHVKKSGGTTTECTYSCHRCQDGLEVKTNTNGRKVGTKLLKIQSQNCKSDPLVCKSANVKGNKKASNKVRKVISQNNKKIQSVVPLRRSTRKVKSIYSRNQMMGGYKKGMQSKKNVGRKKGKHSKSKKVTSQKSKKTTGQHKTWEVTIAREKRSKHFSSYWLNGLWFSRKPNDERVMLFEAKKHTISAVISGSFDYPKCRLCFGDESTSNYIACEKCGDWFHGDAFGLTEENASQLIGFKCHVCRGRDAPICPHVKISALSHIEPNAAIEHAEE >CAK8532887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:544067924:544068594:-1 gene:gene-LATHSAT_LOCUS2549 transcript:rna-LATHSAT_LOCUS2549 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDRPIGGLNLKENSGWEGPWSYDFIKKKETEDGVNSNVKESTNSLSKSEKGKCICKSQNRKCISSVIDLKRVARLSTKDRYELIRSLKGGKDGIFSRSSLGSFSKENKKEVIGDVCEVGKAIELKIKGYCSNMFGVLLRGGCIGSKKEGRGMRGRGKGREHLGLKLVFRFRRSVKEVREWVDLKMLVYFNARGL >CAK8533909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670599550:670600904:1 gene:gene-LATHSAT_LOCUS3496 transcript:rna-LATHSAT_LOCUS3496 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLASSSSISLQPNMLLLPTTSIPSIRPIKTNYIKMKTNRFAASAVAVAEDSVSSESFPSLETPPQSQSQKLGVVVKPTYKPKLVLKFIWMEKNIGIALDQMIPGYGTIPLSPYYFWPRKDAWEELKELLESKPWISQKQMIILLNQATDIINLWQQSGGNFSS >CAK8577916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597394705:597397921:-1 gene:gene-LATHSAT_LOCUS29979 transcript:rna-LATHSAT_LOCUS29979 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRSLQRRNLASSSVSAFRSLTGSTKASYATHKLASLSRPFSSRPAGNDVIGIDLGTTNSCVSVMEGKNPKVIENSEGARTTPSVVAFNQKSELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDAQTQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAEAYLGKTISKAVVTVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTESIDLAKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNNLIERTKAPCKSCLKDANISIKDVDEVLLVGGMTRVPKVQQVVSEIFGKSPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKSLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSDDEIDKMVKEAELHAQRDQERKALIDIRNSADTSIYSIEKSLGEYREKIPAEVAKEIEDAVSDLRTAMAGENADDIKAKLDAANKAVSKIGQHMSGGSSGGPSDGGSQGGEQAPEAEYEEVKK >CAK8571924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493712334:493713032:1 gene:gene-LATHSAT_LOCUS24545 transcript:rna-LATHSAT_LOCUS24545 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRNMRNLSICFSNSTLSKSPPASPTATTTTTSATSSHQHHHQVHNKPSSSTSSTSFMIKNFNSLYDPSLTSNHTLCSSSHSTTFTTSTSFNLDVEPEPELEPVDFAAAFASQRFFFSSPGSSNSLIEYTNTNCKPNGIKHEKKNNKKVEKEKVLFNGSVAVATYSPDPYTDFRRSMQEMVESRPELMDVRSNWNILHELLLCYLALNPKNTHKFILGAFADLLVTLISL >CAK8534064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690297143:690301612:1 gene:gene-LATHSAT_LOCUS3637 transcript:rna-LATHSAT_LOCUS3637 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIDDFTNEELEDYPNRKKKDTTKLKRRQLGLSCMLNTEVGAVLAVIRRYPEFSPLYNISSPEETYDSSIVSSLRSLRSLIFNPQQEWRFIDPSIYLSPFLEVIQSDDVPASATGVALSSILKILKFQVFDDKTPGAKEGMESIVAGITSCRLEKTDPVSEDAVMMKILQVLAGIMQHKASSLLNDQAVCTLVNACFQVVQQSVNRGDLLQRSARYTMHELIQAVYSRLPEIEGNDREGDSESDIEDVDNGDGLESGYGVHCAIDIFHFLCSLLNVVSIVEADGSTTHTADEDVQIFALVLINSAIELSGDKIGNHPKLLRMVQDDLFHHLIYYGTWSSSFVLSMICSTVLNAYHFLRRFLRFQLEAFFGHVLIRIATLGSTIQLQEVAVEGIINFCRQPTFIVEIYANFDCDPFCRNIFEEVGRLLCKHSFALNGHLTSLHIQAFEGLLIMIHNIADHIDKADDRAPLGPYTAQLVEYIPFWEEKEKDDGDLEAWVEHVRITKVQKKKLLIAANHFNRDNKKGLEYLKHAKLVSDPIDPKAYAYFFRYTPGLDKKAIGEFLGDPDAFYLQVLKEFTDTFHFNGMGLDPGLRFYLESFRLPGESQKIQRVLEAFSERFFDHQSSDIFASKDTVLILCYSLIMLNTDQHNPQVKKKMTEEEFIKNNRAINAGQDLPREYLSELFQSIASNAIVLDQTIVSLDMSQSKWIQLMNRSSVTQNFVQCKFDHRICRDMFACIAGPSVAALSSFFEHADEEELMHECIEGLFSVARIAQYGIEDTLDELVTSFCKFTTLLNPYASTEETMFTFSHDLKPRLATVAVFTLANDFRDSIRGGWKNIVDCLLKLKKLRLLPQSVIDFDVPADAPTTPGSGVFSPTDDNKFGSHRFPSIMTRLSLLSQENTEDGLTLGSEFEQNLKMIKMCRIGSIFGSSSSIPKECLQNLGRSLIFAAAGKGQKFSTPVEEEETVGFCWDLITAISLANVHRFQVFWPNFHDYLLAVAQFPMFSPIPFAEKALLGLLKVCLKLFSTPREDKLAEEFIFKSITLMWKLDKEILDMCHEIISQTMSKIVIEYPANLQTQIGWKSVLNLLSVAWRHPETYDLGIEALISLFSEGTHVTRNNYAYCIDCAFGCFLAKNSPIEKKKKILDLLADSVNLLVQWHRAQYSDPGSNISAVSINSSSSTEDSYRGPTTANYNMNLFVKLGEAFRRTSLSRQEEIRNHAVYSLHKSFNLAEEMLYVSSNCINYFNLVIFAMVDELHEKMLEYSRRENAEREMRSMEGTLKLAMELLSAMYLQSLKQISESPAFRAFWLGVLRRMDTCMKAELGHYGPSSLSEIIPDLLKKIITQMKDEGMLEPREDDDMWEITYIQIQWICPPLKDELFPL >CAK8533499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:626277933:626279795:1 gene:gene-LATHSAT_LOCUS3122 transcript:rna-LATHSAT_LOCUS3122 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYKNGLDYFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVRNHLIAFGFQKGYDVWVRHAEKKPKLGDLNDNHMNQEEDQIDDIDRLLHQRFRDVVQEENDVNVSLNEDAKKFYNLVEEAKQDLYPSCKNFSKLSFTIRLYLLKSLYGWSNVSFDALLELLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDVCPNDCMIYWKDHENDTSCHVCGAPRWNEDVKGNDHIEKNHKSHKVPSKVLRHFPLIPRLQRLFMCSKTASSLRWHDEERSKDGNLRHPANAEAWKEFDKCHSEFADEPRNIRLGLASDGFNPFRTMNLSYSTWHVVLIPYNFPPWWCMEAEYSMLSLLIPGPLSPGNNIDVYLQPLIEELKVLWDLGVETYDASLSQTFQMRAALLWTISDFPGYALLSGWSIKGKLACSCCNYNTNSIYFNYSKKVCYMDHRVFLPEDHKYRSNARNFNENIEDRPPPELLTWEQISNKLKYVNNSFGRLQKKSNNGPWKKKSIFFELPYRKHNNLRHNLDMMHIEKNIFDSIIGTLLDIPGKTKDHKNARLDLKEMGIRKKLHPNEVDQGKKSVFAKACFSMTPKEKTTFCSVLKNAKNT >CAK8577263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:550818746:550821186:-1 gene:gene-LATHSAT_LOCUS29384 transcript:rna-LATHSAT_LOCUS29384 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGFQVMYDKLHAPVIPRSRFRFWFIRVCSSIVLWTCLVQLVTVSELWHSNFFTGLTSRIYHTTQRPIQDDVRLTQSPPTILPARNYTSNGFLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADHSGFEEIFDVKHFIDSLQDEVRIVKRVPKKFSRKGGYSTMAMPPVSWSNEKYYLEQILPLFAKHKVVHFNKTDARLANNGLPIDLQKLRCRVNYQALKFTPQIENLGQKLIQILHERGPFVALHLRYEMDMLAFSGCTLGCTNEEAEDLKRMRYAFPSWREKEIVSEERRSQGLCPLTPEETALVMRALGFDRETQIYIAAGEIYGGERRLAQLRAAFPNIVRKEALLAVNELQQFQNHSSQMAALDFMVSVASDTFIPTYDGNMAKLAEGHRRYSGFKKSILLDRKKLVQLIDMHQNRTLQWNAFADAVRQAHGKRMGQPSYRRVIADKPKEEDYFYANPQECLCEETKCDDLLGPQNSRQVR >CAK8544293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676887122:676887526:1 gene:gene-LATHSAT_LOCUS12985 transcript:rna-LATHSAT_LOCUS12985 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYKDNKWIEDGEEIKTMFQVHLKNILTTKLNSSDWLHTSYRFPSFDNSVTRLLKTDLQDFKIKQALFDMTAWKSPGPNGFSTGFYQNIWNLTSENTNSFIQQLWHMKASLEDINFSDICLIPKLENPTCNSA >CAK8562891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553999069:554003488:-1 gene:gene-LATHSAT_LOCUS16353 transcript:rna-LATHSAT_LOCUS16353 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSGAHFSGWRPSSISSSSSHSVFLIGVSGGTASGKTTVCDMIIQQLQDHRVVLVNQDSFYRGLTKDELKRDHEYNFDHPDAFDTEQLIETLIKLKSGQSVQVPVYDFKLHQRASDRSQQVNASEVVILEGILVFHEQRVRDLMNMKIFVDADPDVRLSRRIRRDTVERGRDVHSVLEQYAKFVKPAFDDFILPSKKYADIIIPRGGDNCVAIDLIVQHIRTKLGQHNLCKIYPNLHVIQPTFQTRGMHTLIRDTEISKHDFIFYSDRLIRLVVEHGLGYLPFTEKQVITPTGSIYIGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRGGDETQLIYEKLPKDISERRVLLMDPVLGTGNSASQAIELLIKKGVPESRIIFLNLVSAPEGIHYVCKRFPHIKVVTSEIEEGLNDQCHVVPGLGEFGDRYFGTDDS >CAK8573354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605332278:605332565:1 gene:gene-LATHSAT_LOCUS25813 transcript:rna-LATHSAT_LOCUS25813 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLTNSPSRKDGIDEATETALRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASSVWLASKLEENP >CAK8534336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:718505852:718507322:-1 gene:gene-LATHSAT_LOCUS3887 transcript:rna-LATHSAT_LOCUS3887 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDMKKFSIVIIVTFIFLADFGVIGDLEISKYGGTPNSDITEAFKKVWAEACNSTIAVKIVIPSGNYRTSGIDAEGPCKAPIEIQIDGTIQAPSDVNQIQKGIDQWIRFSTMDHLTISGGGIFDGQGLNTWKKATAAWSKNHKADNKVSMNFGFYFVNNSIITGITSKDSKNFHFMIYACENITLDGIKVSAPGDSTNTDGVHLGKSTDVKILNTDIATGDDCVSIGDGSRKVLVQNVKCGPGHGISVGSLGRFTNEDNVEGFTVKNCTLTNTDNGVRIKTWPSGPGQITITDMHFEDIIMNNVLNPIIIDQEYCPWNQCNKNNPSKIQISKVLFKNIQGTARAQEGVVLICSRGVPCNGVELNNIDLKFNGQPARAVCSNVKPIVTGNAPTCEAYGSPPSQAKPN >CAK8570571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61954467:61955171:1 gene:gene-LATHSAT_LOCUS23309 transcript:rna-LATHSAT_LOCUS23309 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFLVCTHLSLAGSCSHYCSDAKILVTKKQKRVQQETDDVAEPESACIHLSLVGSCSHYCSDAKILVTKKQKCVPQEIDDVAERGSGSVCIHLHLSLSCHCMEKTQITKKIKSTNLDGSWKIKKVLEKSDLGNNSRLLVSKELAKEFVIPFLEGGAKAAKGKGVEVQVLDIDDNTLHSLNFKIWTSAQSYVFTKKWVKDFVRKRNLKKGDEIGLRWNEQSQQFEFSVLRRC >CAK8540483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8598203:8598388:1 gene:gene-LATHSAT_LOCUS9485 transcript:rna-LATHSAT_LOCUS9485 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPVFHSMQDLRLWESLQQLGTRSMT >CAK8533892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:668272209:668273333:-1 gene:gene-LATHSAT_LOCUS3480 transcript:rna-LATHSAT_LOCUS3480 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEHHRANPTVHVPPWPTHDDPTAEIYSSYFPDDCNAGSGDYSPYYLREALTALQRYLPSNELDGDSDSDVHGRDSESPVDAYSCDHFRMYEFKIRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDACEYAHGVFECWLHPARYRTQPCKDGTSCRRRVCFFAHTPEQIRLNVQQNTPRSLNSPDSYDGSPLRQTGLPFLSSPISISPPELDSPPISPMSKSLGSSSINEMVASLRNLQLGKLKSMPVNRNLTFGSSFGSPRGSVLRPGFCSLPNTPTQKPNSGRVGVGYFDLWEQSCEEEPIMERVESGRDIRAKMFEKLSKENSMENPDSDPGLGQSGGAPDVGWVSELLK >CAK8566418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:421109047:421110973:-1 gene:gene-LATHSAT_LOCUS19550 transcript:rna-LATHSAT_LOCUS19550 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAGIHVPLLPGSTPAAGQGSIPGAVFNVATSIIGAGIMSIPAILKVLGVFPSFALIVIVAGLAEVSVDFLMRFTHAGDTTTYSGVMKEAFGSAGALAAQVCVILTNFGGLILFLIIIGDVLSGKKSGDEVHLGILQQWFGIHWWNSREVALLITLVLVMLPLVLYRSVESLKYSSAVSTLLAVSFVAICSGLAIVALVQGKTQTPKLVPRLDYETSFFDLFTTVPVVVTAFTFHFNVHPIGFEFAKPSDMKTAVRLAIMFCAILYFTIGLFGYLLFGDSTQSDILVNFDQSADSIGSFFNSLIRVSYALHVMLVFPIVNFSLRANIDEVFFPKKALLATDNKRFLILTLVLLVFSYLAAIAIPDIWYFFQFLGSTTALCLAFIFPGLIVLRDALGISTRKDKIIALVMIILAVVASAIAISTNIYNALSSKS >CAK8540348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554033419:554035905:1 gene:gene-LATHSAT_LOCUS9360 transcript:rna-LATHSAT_LOCUS9360 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSSLWRSSIFLLMLIMLYSCSAIDVTYDSNALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWNRHEPVRGEYNFSENLDFIKFFKLIQEAGLYVIMRIGPYVCAEWNYGGFPSWLHNMPGIESRTDNPIYKKEMQIFTTKIVNMAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKVYVKWCAQMALSQNIGVPWIMCQQPDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWVGWFQKWGERVPHRSTEDSAYSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKNLHAAIKLGENVLTSYSGRNDTDLGNGITLTTYTNSTGARFCFLSNDDNNKDANVDLHNDGKYFVPAWSVTVLNGCNKEIFNTAKVSSQTSIMVKMIDGDSSTKLSWKWIMEPKKDTMHGKGSFKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLRVYTMGHTLHGYVNKRYIGYQFSQQGNKFTYEQKVSLKNGTNNITLLSATVGLANYGAWFDEVKTGISGGPVQLIGNNNVTMDLSTNLWSYKVGLNGERKHLYDLQPHISVSWNNNSSYIPIGKPMTWYKSEFKSPFGKNPVVVDLQGLGKGHAWVNGHSIGRYWPSRITDANGCSNTCDYRGKYVPEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFQTVTTGTICANVHEGAQLELSCQNGQIISQIQFASFGNPEGQCGSFEKGSWEALNSQQVLEASCIGKNSCGFKVTKEMFGVTLSTMSVNNDISRLAVQVTC >CAK8578837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657682098:657690316:-1 gene:gene-LATHSAT_LOCUS30820 transcript:rna-LATHSAT_LOCUS30820 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDRSSSMEDPDGTLASVAQCIEQLRQSSSSVHEKEYSLRQLLDLIDMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSTEGRIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWEQLRAGLKTEIVVESLLAGTLKNLSSSAEGFWNSTIQSGGVDILVKLLATGQPSTLASVCFLLASVMMEDASVCSKVLSAEVTKQLLKLLGPGNDDLVRAEAAGALKSLSSQCKEARREIASSNGIPALINATIAPSKEFMQGECAQALQENAMCALANISGGLSYVISSLGQSLESCSSPTQIADTLGAIASALMIYDNKAESTKPSDPLVVEETLLKQFKPRLPFLVLERTIEALASLYGNSVLSTKLANSDAKRLLVGLITMAANEVQDELIKALLTLCKSEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSARILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKDIAAKTINHLIHKSDTTTISQLTALLTSDLPDSKVYILDALRSMLCVAPLSDILREGSAPGDAFDTVIMLLSSNKEETQAKSASALAEIFEARKDLRESSIAVRALCSAMKLLNVESESILMESSNCLASIFLSIKENKDVATLARDTLTTLVALANSSVLEVAEMAIGAVANLILDTEIAKKVVLEEVILPATRVLREGSNSGKTRAAAAIARLLHSQKVDNAVADCVNRAGTVLALVSFLDSSVNESVSTSEALEALVILSRSEETGANIKPACAVLAEFPQNIIPIVLCIVNSTPSLQDKTIEILSRLCKDQPVILGDTVASASGCISSIAKRVISSSNVKVKIGGVALLICAAKPNHQRLVENINISNLSANLIQSLVDILISAQSSFGNDGDDDDKESISICRRTQEEANGRESKTGTSTICGVDLAIWLLSILACHDERNKAAIMKAGAIDVLADKISNCYSQYSQTEYKEDSSMWICALLLAILFQDRDIIRAHSAIKSLPALANLLKSDESANKYFAAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGRADTDMQDLLELSEEFALVRYPDQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPGRPGAPFLALGILTQLGRDCPSNKTVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSADIRKHDSAFGAVNQLIAVLRLGGRAARFSAAKALESLFSADHIRNAEIARQAIQPLVEILNTGSEREQHAAIAALVGLLSENPSRALAVADVEMNAVDVLYRILSSNCSLDLKGDAAELCCALFGNTRIRSTTAATRCVEPLVSLLAPEFSPAHHSIVRALDRLVDDEQLAELVATHSAIVPLVSLLSGRNFVLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPLAAKVVEPLFLLLARHDFAPDGQHSAMQVLVNIVEHPQCRADHSLTSRKAIEPLIPLLDSPISVVQQLAAELLSHLLLEEHFQKDPVTPKVIAPLIRILSSGIPLLQQRAVKALVSIALTWPNEIAKEGGVVEISKVILQADPSLPHALWESAASVLSSILQFSSEFYLEVPVAVLVKLLRSGSESTVVGALNALLVLESDDGTSAESMAESGAIEALLELLRSHQCEDIAARLLEVLLNNVKIRETKVTKSAILPLSQYLLDPQTQAQHARLLATLALGDLFQNEALARTGDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYNRSNRRAVAEAGGVQVVLDLIGSSNPETSIQAAMFIKLLFSNNTIQEYASSETVRAITATIEKDLWASGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEACQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPFLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIVKRGNNMKQSVGVPSVYCKITLGHNPPRLTKVVSTGPNPEWDESFTWSFESPPKGQKLHISCKNKSKVGKSKFGKVTIQIDRVVMLGAVAGEYTLLPASKSGPPRNLEIEFQWSNKASDTTTDTNQQP >CAK8563549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615482302:615483648:-1 gene:gene-LATHSAT_LOCUS16947 transcript:rna-LATHSAT_LOCUS16947 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTLHLFLLSLSLLSLLSSSLALLPKPNSFILPIAKDPKTLQYSTTIKMGTPPKSLDLVIDIRERFLWFECDKSYNSSTYQPIQCGSKKCKLSKGTECITCTNHPLKTGCTNNTCGLSVFNPFDELFVSGDVGEDILSSLRTTDNRGILKNMNAPRFISSCVYPDKFGVQSFLQGISKGKKGILGLARTLISLPTQLATRFKLDRKFTLCLPSSSKTNGLGSLFIGGGPYHLGSNKHDFSKFLTYTPLIANRHSTGPIFDNSPSTEYFIKVNSIKVDNNVVNYNTTLLSINKLGGGGTKLSTVIPHTKLHTLIYQPLVNAFVKKAEIRKIKRVKGVAPFGACFDSTTIGKSVTGPNVPTIGLVLKGGVEWRIHGGNSMVKVNENVLCLGFVEVALKDLGGLEPSFVIGGHQLEDNLLEFDLVSSKLGFTSSLLLNKASCSSFRRF >CAK8533356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607423543:607425164:-1 gene:gene-LATHSAT_LOCUS2992 transcript:rna-LATHSAT_LOCUS2992 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGVNPHIIKRLVEFPPKSKLDRKLFGDNTSKVTKEHLQPNMCGFTVEQAIQTNRLYILDHHDPLFPYLRKINATDTKAYATRTVLFLQDNGTLKPLAIELSTPHPDADSFGPVSKVYLPASEGVEASIWLLAKAFVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINSLARSILVNAEGIIESTFLLGSYSIELSSVVYKDWVFTEQGLPQDLLKKMAVEDPTSPHGLRLLIEDYPYAADGLEIWASIKSWVEEYVNFYYKSDATIVQDSELQAFWKELVEVGHGDLKNATWWFKMQSRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRIFMPEKGSPEYDELSKNYQKTFLRTITPKNDTLIDLTIIARDFVTTLITK >CAK8533357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607424164:607425161:-1 gene:gene-LATHSAT_LOCUS2992 transcript:rna-LATHSAT_LOCUS2992-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNPHIIKRLVEFPPKSKLDRKLFGDNTSKVTKEHLQPNMCGFTVEQAIQTNRLYILDHHDPLFPYLRKINATDTKAYATRTVLFLQDNGTLKPLAIELSTPHPDADSFGPVSKVYLPASEGVEASIWLLAKAFVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINSLARSILVNAEGIIESTFLLGSYSIELSSVVYKDWVFTEQGLPQDLLKS >CAK8568050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566923770:566926372:-1 gene:gene-LATHSAT_LOCUS21047 transcript:rna-LATHSAT_LOCUS21047 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSVEKNIPTNVSIDHVLVALGETKEERDIRIRSLFNFFDAGNNGYLDYGQIERGLSALQIPGQYKYARELFKVCDSNSDGRVDYNEFRRYMDAKELELYCIFQAIDVEHNGSILPEELWDALDRAGIEMDEEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVYHVDIGDQAVIPEDISKHTHRSKYFIAGGIAGATSRTATAPLDRLKVVLQVQTTRSSAMSAITTIWKQDRLKGFFRGNGLNVVKVAPESAIKFYAFEMLKKVIGDAQDNKSDIGAAGRLLAGGVAGGIAQTAIYPLDLIKTRLQTCASEGGRAPNLGTLTKNIWIQEGPRAFYRGLLPSVIGMIPYAGIDLAVYDTLKEMSRRYIIHDNDPGPLIQLGCGTISGTLGATCVYPLQVIRTRLQAQPSNSSDAYKGMFDAFCRTFQHEGFRGFYKGLFPNLLKVVPAASITYMVYENMKKNLDLE >CAK8564604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2070926:2072592:-1 gene:gene-LATHSAT_LOCUS17893 transcript:rna-LATHSAT_LOCUS17893-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIAPSNNHSPVEDAQALHKAFKGWGADNKAIIAILGHRNVHQRQQIRKAYEELFEEDLIKRLESEISGDFERAVYRWLLEPADRDAVLMNVAIKHGSKDYHVVAEIASVLSAEELLAVRRAYRNRYKRSIEEDVSANTTGHLRQLLVGLVSSFRYEGDEINPKLAQTEAHIIHESLKEKKGNNEEEVIRILTTRSKTQLVATFNRYRDEHGVSVSKKLLDQTSDDFHKALHVAIRSINDHKKYYEKVLRNAIRKLGTDEDALSRVIVTRAEKDLKDIKEVYYKRNSVHLEDAVSKDTSGDYKKFILALLGKQE >CAK8564603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2070926:2073130:-1 gene:gene-LATHSAT_LOCUS17893 transcript:rna-LATHSAT_LOCUS17893 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAPSQISPVEDAEALRLAFKGWGADNKAIIAILGHRNVHQRQQIRKAYEELFEEDLIKRLESEISGDFERAVYRWLLEPADRDAVLMNVAIKHGSKDYHVVAEIASVLSAEELLAVRRAYRNRYKRSIEEDVSANTTGHLRQLLVGLVSSFRYEGDEINPKLAQTEAHIIHESLKEKKGNNEEEVIRILTTRSKTQLVATFNRYRDEHGVSVSKKLLDQTSDDFHKALHVAIRSINDHKKYYEKVLRNAIRKLGTDEDALSRVIVTRAEKDLKDIKEVYYKRNSVHLEDAVSKDTSGDYKKFILALLGKQE >CAK8564857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13593072:13593389:-1 gene:gene-LATHSAT_LOCUS18114 transcript:rna-LATHSAT_LOCUS18114 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPDTTNSSIIAMASSSKKEFLTHYRSVLNCLSGSHRFWNAKSVSRVANKLGLRLRKIGVTGVQINVSEELSRPLCYRKMVFSLFRSVQHVDVRVSSAYNLPSF >CAK8568729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636170138:636171520:-1 gene:gene-LATHSAT_LOCUS21661 transcript:rna-LATHSAT_LOCUS21661 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQPLPTTTTTTRLTTIQKIKRNLLFHSTLSEINGAIGDLGTYIPIVLSLTLSRNLNLGTTLLFTGFYNFLTGAMYGVPMPVQPMKSIAAVALSDPSFGIPEIMASGILTGAVLLVLGVTGLMKLAYKLIPLSVVRGIQLAQGLSFALTAVKYVKNVQDLPKSKSLNNRDWFGFDGLVLAIVCVVFIVVVNGAGEKDHELEIEEDLGDSIEGAERKKSGRSLKKMIFSLPSAFIVFVLGVILGFIRRPDVIHEIKFGPSNLVLVKFSKHAWKQGFIKGTIPQLPLSILNSVIAVCKLSSDLFPTKDFSVTSLSVTVGLMNLLGGWFGAMPCCHGAGGLAGQYKFGGRSGGCVAILGAAKLVLGFVLGSSLAHFFKQFPVGILGVLLLFAGIELAMACRDMNKKEDCFVMLICTAVSLVGSSAALGFLCGMVVFGVLKGRNLTSIKSLSSIWKHEEQV >CAK8570404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43474933:43476268:-1 gene:gene-LATHSAT_LOCUS23153 transcript:rna-LATHSAT_LOCUS23153 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVSSDTKQQGFVCGIEDNEQNSVHENVLQKHAAFFDINKDGVIYPWETFRAMREIGSGVLLATAAAAFINVGLSQATRPGKFPSLLFPIEVKNIQRGKHGSDSGAYDSEGRFVESKFEEIFMKHAHTHPNALTHDELDELIKANREPKDFKGRIGGFVEWKILYKLAKDKNGLLQKEAIRGVYDGSLFEVLKKEHSKNVS >CAK8578178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611272819:611274051:-1 gene:gene-LATHSAT_LOCUS30215 transcript:rna-LATHSAT_LOCUS30215 gene_biotype:protein_coding transcript_biotype:protein_coding MHISYMLVCFAMVSFYAYVLPFTQCTTLEEVNLFNSHRNTNNKLHKVNHHLHHHHQKSSDIALHGFLLWGSMGLLMPLGILTIRGSNKAEPGSRKSRILFYFHVAFQMLSVLLATVGAAMSLKKFENSFDNNHQRLGLALYGAILLQAFIGFFRPHRGKKVRSFWYLVHWILGTTVSLVGIINVYTGLIAYHKRTLKSTMFWSIVFTVEVTFIGLIYLFQDKMEYMKKQGVIEGGSDESTMSCYQDVIPQRENQKEMLPVACGKINALGNLFD >CAK8578177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611272819:611274051:-1 gene:gene-LATHSAT_LOCUS30215 transcript:rna-LATHSAT_LOCUS30215-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHISYMLVCFAMVSFYAYVLPFTQCTTLEEVNLFNSHRNTNNKLHKQVNHHLHHHHQKSSDIALHGFLLWGSMGLLMPLGILTIRGSNKAEPGSRKSRILFYFHVAFQMLSVLLATVGAAMSLKKFENSFDNNHQRLGLALYGAILLQAFIGFFRPHRGKKVRSFWYLVHWILGTTVSLVGIINVYTGLIAYHKRTLKSTMFWSIVFTVEVTFIGLIYLFQDKMEYMKKQGVIEGGSDESTMSCYQDVIPQRENQKEMLPVACGKINALGNLFD >CAK8566985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477985096:477985656:-1 gene:gene-LATHSAT_LOCUS20079 transcript:rna-LATHSAT_LOCUS20079 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGKVFTDGCNKGMADEVAKANTHNVMQFDRERLCFMVQEKINYNDGRPTGTFKVDLRNRFCDCGKFQAFHLPCSHVIAACASIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDGEKEKRRCGICREICHMRRKCPNVAGPSNRPNR >CAK8566986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477985096:477985572:-1 gene:gene-LATHSAT_LOCUS20079 transcript:rna-LATHSAT_LOCUS20079-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDRERLCFMVQEKINYNDGRPTGTFKVDLRNRFCDCGKFQAFHLPCSHVIAACASIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDGEKEKRRCGICREICHMRRKCPNVAGPSNRPNR >CAK8534935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792574095:792574988:-1 gene:gene-LATHSAT_LOCUS4432 transcript:rna-LATHSAT_LOCUS4432 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLPWTAVSAAAPIPLHSCIKVFTSIPKQLSFSPLSFCSTSDLHLTHHPDKHEHEPDNRRPQHLKPDLYLVGTPIGNLEDITFRALRVLNSADVILSEDTRHSGKLLHHYNIKTPLMSYHKFNESQREQLVLRRLKQGEIVALISDARTPSISDPGMELVSVLLLLCLFILIITSILFCFITLFMVITMHAKLCVSENVLVVPIPGPCALVSTLSASSLSTNEFTFGN >CAK8537488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:372556401:372562080:-1 gene:gene-LATHSAT_LOCUS6777 transcript:rna-LATHSAT_LOCUS6777 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPGQLNVIESPSRGSRSVDCFEKLEQIGEGTYGQVYMAREIETGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNEHNANLTNRVITLWYRPPELLLGTTRYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVQKTPWYNQFKPTRPMKRRLRDVFRHFDRHALELLEKMLTLDPAQRIPAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQNEENAKRLKMQHPQQHTRLPPIQQGGQHAQMRQGPSHSIHGSQPAVAAGPSHHYGKPRGPSGGPGRYPPGGNPSGGYNHPNRGGQTGGAGYGSGPYPPQGRGAPYGSSGMPAGPGGPRGGGGSGYGVGAPNYPQGGPPYGGSAAGRGSNMGGNRNQQYGWQQ >CAK8564130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653698368:653699051:1 gene:gene-LATHSAT_LOCUS17464 transcript:rna-LATHSAT_LOCUS17464 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNGVFKGIRNLHITALVRATYYRLGSLFAARGKKWSAVLESGQLFSETCMKYMKEETVKEASHRVRAFDSHDYSFIVDETKDYNEGRPMGYYKVEIHKNWCDCGKFQTFCMPRSYVIVACSSVRQDPFLQLSEVYKVVNLFGISNNSFLVVTSEDYWSTYHGDTIYHNENMRRNKKGRSKSTQIRTEMDTTEKMERLCGICRLSGHTRKRCPNVGTSSR >CAK8576922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524775999:524777086:1 gene:gene-LATHSAT_LOCUS29078 transcript:rna-LATHSAT_LOCUS29078 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILVLIGVLLSSTAVEFTGAQSVGVCYGGNGNNLPTKQAVIDLYKSNGIGKIRLYYPDDAALQALKNSNIEVILGVPNDVLKSLTSAQGATDWVNKNVKPYYPSVKVKYIAVGNEIHADSPEASSVLPALQNIQNAISSSNLGQIKVSTAIDTTLIGKSYPPNDGAFSDGSVGYIRPIVNFLASQGSPLLANVYPYFSYVNNQQSIGLDYALFTKQGKNEVGYQNLFDAILDSIYAALEKVGGSNVKIVVSESGWPSEGGTGASAANAGTYYGNLIKHAKGGTPKRPNGPIETYLFAMFDENQKTGPEIERHFGLFRPDKSPKYQLSFN >CAK8537078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78023773:78026304:1 gene:gene-LATHSAT_LOCUS6394 transcript:rna-LATHSAT_LOCUS6394 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWFNYLHLMELFVSSLVHLLYGFYIFSSAVAGDLSQVLNEYFQKPNMNVVEVKDELTLDENQSKVGDDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKECGHSQFGRIYEQGHYSEWDEDHPLHFVGHSAGAQVVRVLQQMLADKAFKGYENTSENWVISITSLSGAFNGTTRTYFDGIQPEDGKTLKPICLLQLCRIGVILYDWLDIAWLKNYYNFGFDHFNMSWRKIGVWGLVDCLLGNAGPFTSEDWILPDLSIQGSIKINYRLQTFPNTYYFSYATKQTRKIMGVTAPSSILGVHPLLFIRVLQMSQWTHPSDVSPPYKGYRDEDWQDNDGALNTISMTHPRFPIEHPNRFVEKDSDCQPMQPGIWYYKYVEGDHVLFIINRERAGVQFDLIYDSIFERCRKHVFRKKLPTLPNEIHH >CAK8572641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552895074:552904817:1 gene:gene-LATHSAT_LOCUS25181 transcript:rna-LATHSAT_LOCUS25181 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLIAIAMVIFTIMITKIWRVCIILFWRPYALTKHFRKQGVTGPPSYSFLHGSLHDMKTMMKDARKTIMDKHSHDIAQRVLPHYHIWSSLYGERFLYWYGTEPRILISDAELAKEILSNKFGFYEKPKVRPSFITLLGNGLVLLRGVEWVKRRRILNPAFSMDKLKVMISRMAACTISMLDEWKRQLAIEAKNKSLTIDMSEEFRELTCDIIAHTSFGTSFAYGKEAFNAQTKLQHLIAASSSDVFIPGTQYIPTRSNLETWKLDRKMKKSLQCIIESRLNSQSDSSSYGDDLLGIMMDTKNDGPNKLNMNEIIDECKTFFLAGHETTSNLLAWTVFLLSLHKDWQEKLRQEVMQICGMEIPDPDMLSKLKMVNMVLLEALRLYCPVIELERMASKDMKLGDLMIPRGTHLMIPITMIHRSKEYWGEDSNEFNPMRFINGVSKASKHPNALLAFSVGPRNCIGQNFAMMEAKTVMALILQRFSWSLSPDYQHAPVNNLILHPQHGIPIIVKSVQL >CAK8572642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552895074:552904817:1 gene:gene-LATHSAT_LOCUS25181 transcript:rna-LATHSAT_LOCUS25181-4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLIAIAMVIFTIMITKIWRVCIILFWRPYALTKHFRKQGVTGPPSYSFLHGSLHDMKTMMKDARKTIMDKHSHDIAQRVLPHYHIWSSLYGERFLYWYGTEPRILISDAELAKEILSNKFGFYEKPKVRPSFITLLGNGLVLLRGVEWVKRRRILNPAFSMDKLKVMISRMAACTISMLDEWKRQLAIEAKNKSLTIDMSEEFRELTCDIIAHTSFGTSFAYGKEAFNAQTKLQHLIAASSSDVFIPGTQYIPTRSNLETWKLDRKMKKSLQCIIESRLNSQSDSSSYGDDLLGIMMDTKNDGPNKLNMNEIIDECKTFFLAGHETTSNLLAWTVFLLSLHKDWQEKLRQEVMQICGMEIPDPDMLSKLKMVNMVLLEALRLYCPVIELERMASKDMKLGDLMIPRGTHLMIPITMIHRSKEYWGEDSNEFNPMRFINGVSKASKHPNALLAFSVGPRNCIGQNFAMMEAKTVMALILQRFSWSLSPDYQHAPVNNLILHPQHGIPIIVKSVQL >CAK8572639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552895074:552904817:1 gene:gene-LATHSAT_LOCUS25181 transcript:rna-LATHSAT_LOCUS25181-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLIAIAMVIFTIMITKIWRVCIILFWRPYALTKHFRKQGVTGPPSYSFLHGSLHDMKTMMKDARKTIMDKHSHDIAQRVLPHYHIWSSLYGERFLYWYGTEPRILISDAELAKEILSNKFGFYEKPKVRPSFITLLGNGLVLLRGVEWVKRRRILNPAFSMDKLKVMISRMAACTISMLDEWKRQLAIEAKNKSLTIDMSEEFRELTCDIIAHTSFGTSFAYGKEAFNAQTKLQHLIAASSSDVFIPGTQYIPTRSNLETWKLDRKMKKSLQCIIESRLNSQSDSSSYGDDLLGIMMDTKNDGPNKLNMNEIIDECKTFFLAGHETTSNLLAWTVFLLSLHKDWQEKLRQEVMQICGMEIPDPDMLSKLKMVNMVLLEALRLYCPVIELERMASKDMKLGDLMIPRGTHLMIPITMIHRSKEYWGEDSNEFNPMRFINGVSKASKHPNALLAFSVGPRNCIGQNFAMMEAKTVMALILQRFSWSLSPDYQHAPVNNLILHPQHGIPIIVKSVQL >CAK8572640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552895074:552904817:1 gene:gene-LATHSAT_LOCUS25181 transcript:rna-LATHSAT_LOCUS25181-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLIAIAMVIFTIMITKIWRVCIILFWRPYALTKHFRKQGVTGPPSYSFLHGSLHDMKTMMKDARKTIMDKHSHDIAQRVLPHYHIWSSLYGERFLYWYGTEPRILISDAELAKEILSNKFGFYEKPKVRPSFITLLGNGLVLLRGVEWVKRRRILNPAFSMDKLKVMISRMAACTISMLDEWKRQLAIEAKNKSLTIDMSEEFRELTCDIIAHTSFGTSFAYGKEAFNAQTKLQHLIAASSSDVFIPGTQYIPTRSNLETWKLDRKMKKSLQCIIESRLNSQSDSSSYGDDLLGIMMDTKNDGPNKLNMNEIIDECKTFFLAGHETTSNLLAWTVFLLSLHKDWQEKLRQEVMQICGMEIPDPDMLSKLKMVNMVLLEALRLYCPVIELERMASKDMKLGDLMIPRGTHLMIPITMIHRSKEYWGEDSNEFNPMRFINGVSKASKHPNALLAFSVGPRNCIGQNFAMMEAKTVMALILQRFSWSLSPDYQHAPVNNLILHPQHGIPIIVKSVQL >CAK8544642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697276234:697281281:1 gene:gene-LATHSAT_LOCUS13300 transcript:rna-LATHSAT_LOCUS13300 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFLNKLLRLNRRSVVGAVGILAAASTLHYYDKCTNFRTFVNVRLPSPLQDTPWLTLEAPFGLLPQRWPSFDQHGIFALSSYRVGPVPSSDVSKETSGVVHEGSKPSPCDCFGKDTIANAAAKVGPAVVNISVPQDFYGITTGKSIGSGTIINKDGTILTCAHVVVDFQGTKSLSKGKIDVTLQDGRTFEGKVVNADLHSDIAVLKINSETPLPEAKLGSSTRLRPGDWVIAMGCPHSLQNTVTAGIVSCVDRKSSDLGFSGTPREYLQTDCAINVGNSGGPLVNMDGEIVGVNIMKVLAADGLGFSVPIDSVCKIIEHFKKRGRVIRPWLGLRMLDLNEMIIAQLKKKDASFPNVNKGILVPMVTPESPGDRAGFRPGDVVIQFDGKPVESMKEVIEMMGDKVGVPMKVVVKRANDKLATLTVIPEESNLDL >CAK8538556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487151975:487152772:-1 gene:gene-LATHSAT_LOCUS7742 transcript:rna-LATHSAT_LOCUS7742 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPHDSPPVSEEHAVVAPKQPQTPPSGKPVPPPGTYVIQIPKDIIHRIPPKENARRYEEYTRKKNRRNRCCCFCWFIGILFILIVLLGIAAGVFYLVVRPEAPNYSIENINIRGINITSPSSTAAISPEFDVTVRANNPNNKIGIRYEKDSSAEIFYKDVKLCNGVFPAFYQPSNNVTVFNTVLKGNGIKMGSEDQRALVTALSKRKVSLIVKLRAPVKIKVGSVKTWKITVKVDCDLTVDKLTGNAKIISRNCNFKLDLW >CAK8539004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501412591:501412902:-1 gene:gene-LATHSAT_LOCUS8144 transcript:rna-LATHSAT_LOCUS8144 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKGIKGLGKGGAFRHRHVLRDNIRGITKPAIRRLARRGGVKRISGLIYEESRGVLRLFLEKIIQDAVAYTEHARRKTVTAMDVVYALKRQGKTLYGFGV >CAK8539033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502136311:502137673:1 gene:gene-LATHSAT_LOCUS8171 transcript:rna-LATHSAT_LOCUS8171 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALVSSSSLTFAAEAVRQSFGARSLPSSVGCSRKGSFVVKAAATPPVKQGGADRPLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRFAMLGAVGAIAPEYLGKVGLIPQETALAWFQTGVIPPAGTYNYWADNYTLFVLEMALMGFAEHRRFQDWAKPGSMGKQYFLGLEKGFGGSGNPAYPGGPFFNPLGFGKDEKSMKELKLKEVKNGRLAMLAILGYFVQGLVTGVGPYQNLLDHVADPVNNNVLTSLKFH >CAK8531236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92018715:92020732:-1 gene:gene-LATHSAT_LOCUS1042 transcript:rna-LATHSAT_LOCUS1042 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFPQLCIHSLKPRSHKYIEPDEVIRPYLIQAGFGHVINIISYGIDRKFLLALCERWRPETHTFHLPTGECTVTLEDVHMLLGLRVDGSAVVGDTNVNYALVEELLGVPLERGDRKGQSIKITWLKRNYNALNLTNESPEEQKLYKTRMYLLLLFACCLFPDTNGNTIHLQYLPLLEDLNEVSRYSWGAATLAHLYRNLCRCAMKNVHNFAGCGVLIQAWGWSRMPRLSPSNPNPYHFPYATKWSAYGMNYEKTSHHCAPGYRTFFDHFEEDDFIWRPYLELEDEDPTESDMWSSTTFIFSFTYVEMHHSDRVKLQFGIKQDIPGPPTCMEMYHKSTANDQWKFDNWRDHNIQEHQHWINRRRTVLRGNVMDTECKPSREYMRWYRAVTNLYLSQNRYLWDPRNQPTSSNIQNQPTPSNFHNIPSMTCNTQPPIFNTPQPIFNTPQQLFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTLSYPQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTIFQDQNPYTPYITNAPPPLNPPSWSNEGTRISYGSAAAIPNDDDFSDDLVASFMNPNNDAGPSTQPQNVEVDRRRSTRNVQAPACGTHQRLHRPGRN >CAK8533636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644058752:644059219:1 gene:gene-LATHSAT_LOCUS3248 transcript:rna-LATHSAT_LOCUS3248 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTKSNIRILSKENLPRIASDDDEMVQISGDLDVAKDALVHILTRLRANLFDREGVVSGFLPVLPYIPAPADSSDGLGYDSRDGRRYGRGHSYSSGYGGSSDLGAGDTYGSYGGSQLAGSGAYGDYESYSLGRDSTVWLPSQNNVSRRRNPGY >CAK8543479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610559246:610559848:1 gene:gene-LATHSAT_LOCUS12234 transcript:rna-LATHSAT_LOCUS12234 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVAQHRNQYYSGSKSPRFGSSSPSSHFKGINCRTFQTGSGILPTPLKTSTSASPDINLKTPLSTPVSKSDRKVFCKDAPSSAPVPINDNSCRKEVAFVDANGSFLISELWAGPTYSNSPPPSSVPIPKFSVRPKRTVSLELPISSLPEIEMRQFAYSAPCSPRQEDSPFAKDFFVNDDSATKTLRRILNLNLDDE >CAK8572583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549270553:549271029:-1 gene:gene-LATHSAT_LOCUS25136 transcript:rna-LATHSAT_LOCUS25136 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFISDDSARKATYKKRKKGILKKVSELTILCGIPACAIISNPFDSKTEVWPDLDGAKQVIERYQSSSVIDGTKNVNQESFLLQRIAKAREHLKKLRNENHEKEMNVRMIEYMKKKDLPDDVSVSDLKEFEKLIERNLEEIDNEIAFDSVH >CAK8577424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563109870:563110892:-1 gene:gene-LATHSAT_LOCUS29537 transcript:rna-LATHSAT_LOCUS29537 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQSNPYYNYLQTPTGDSSQNFQPFMFQSPPFSTSNNSQYTRPFMFQPPPTESPNIESESGNSTQDGLENITFTEEGERSTQKKQRLRFIEEEDKLLIQTWLNISKDSIVGVDQKADSFWGRIKDGYNNYRGSLIAREWSTLKSRWHLLNKHCQWFCGNYKLAVANKKSGQSETDVMEEARKIFFQVHHQRFTIEHAWRLLKDEPKWKGQEMDNSSKRSKISSTGTYSSSSNPENPIDYSEYNNATQTDRPAGQKAAKRKGKGKASPSITPIVDLTDIERASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMNDEQRREHEEICQSIKEKYFKRS >CAK8577425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563109870:563110811:-1 gene:gene-LATHSAT_LOCUS29537 transcript:rna-LATHSAT_LOCUS29537-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTSNNSQYTRPFMFQPPPTESPNIESESGNSTQDGLENITFTEEGERSTQKKQRLRFIEEEDKLLIQTWLNISKDSIVGVDQKADSFWGRIKDGYNNYRGSLIAREWSTLKSRWHLLNKHCQWFCGNYKLAVANKKSGQSETDVMEEARKIFFQVHHQRFTIEHAWRLLKDEPKWKGQEMDNSSKRSKISSTGTYSSSSNPENPIDYSEYNNATQTDRPAGQKAAKRKGKGKASPSITPIVDLTDIERASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMNDEQRREHEEICQSIKEKYFKRS >CAK8541386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:147300476:147301486:-1 gene:gene-LATHSAT_LOCUS10311 transcript:rna-LATHSAT_LOCUS10311 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYFHYQQAFFNYMQNSQNPNPQNSQIPLLPTNPAIFLSSPNNSNMYLIPQMNSNSMEFSTQVPPFSTQVPPFSTQVGTEKEERIVIKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAESFLLRIAASYNQYRGQLREKLGGQLKCRWHRINGMVQKFVGCYKIALKGKKSGTSETDVMADAHAIFAQDQGTPFNLEYAWRLLKDEVKWRIVEESIGNSAKITKTYASGASSENPDTTSSYEFDSSSPMERPMGQKVAKRKGKASEIPNATQDAKNKRAITMDRLAQAKEDELELRVVQMMMKDTSTVNNSQRDIHEKYCNKMKKNMECS >CAK8577406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561780426:561782601:-1 gene:gene-LATHSAT_LOCUS29519 transcript:rna-LATHSAT_LOCUS29519 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSPDQLKSFNSHGYLVIESFATEDEIQTMTKRMEQLVDEFDPASTASIFSTKNQQQLTDDYFFQSAERVSFFFEEKAFGDDGSLKQPKQVSLNKVGHALHEIEPAFKKFSSSEKTSSLMSSLGYKRPVIMQSMYIFKQPGIGGEVVPHQDNSFIYTEPQTCTGLWLALEDATLSNGCLWAIPGSHKNGLVRKFYRDEDGVKFDRPSPSYDKKDFVPIEVKAGSLVVIHGNLIHQSFENQSPKSRHAYSLHVVDTDGCKWASENWIRRKVEPEPLYVN >CAK8536122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909231041:909231583:-1 gene:gene-LATHSAT_LOCUS5521 transcript:rna-LATHSAT_LOCUS5521 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKTKKGAAGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESAASTPKSPSKARKSPKKA >CAK8568715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635137473:635137877:-1 gene:gene-LATHSAT_LOCUS21647 transcript:rna-LATHSAT_LOCUS21647 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNLSFSSTNQSGSSIRRRGNRCWCELESPLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNENLLKMKIRFMYYFVGLSLMFVFSIVFALVATHVLK >CAK8543478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610460409:610461149:-1 gene:gene-LATHSAT_LOCUS12233 transcript:rna-LATHSAT_LOCUS12233 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLTTSRRNNLKRLNYLCFSTFIQPQPPPTKSLLQQKQHQIDPNRPSLSTATATATSSIMIKNFNTLYHHHHHHTTSPEPNSEPEPQPADFATAFASNRFFFSSPGRSNSIVESTSSSSSSSSLISTAPSDKKKPIFNGSVAVPTYSPDPYMDFRRSMQEMVEARPELMNDIKSNWDTLHELLLSYLALNPKNTHKFILGAFSDLIVTLMSFSSSNSSNSSKSSCSYPPPPQILNDGGGRGGGC >CAK8579222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684962179:684963138:-1 gene:gene-LATHSAT_LOCUS31186 transcript:rna-LATHSAT_LOCUS31186 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKSSFWNFINLKHNPYQEGVWKIRVELPDAYPYKSPSIDFVSKIYHPNVDEMFSSVLCYQTRLFRPLSWHRMTQPRKLHQAVLFWQIWVTRLE >CAK8533972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:677317950:677318837:1 gene:gene-LATHSAT_LOCUS3553 transcript:rna-LATHSAT_LOCUS3553 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGYLTDELSRKHDLYQRMRLAKKNHLSLTQSAALHAPPNTSVETQRQKLETEHVPDLDLSDNELSQQQDLSQRMRRAKENHWSLTQSAALRAPPNTSVETQRQKYETEGVPGLDLSDNEFSRQQDLSQRMRRAKKNHSNLTQRQMYETQRQMYETEPDPNLDVFDLEPSQVVQQIRLHELQNQFSAICALQNPVSAPQPSRPPKPEFQNFLPPPLGAQPLRVQPSPLRAPPNSLSAPPQHEYQNLSPPHVHYTSQSERSLVANQGTVRGNNNGNLQYYNQFIYVQLLHHTCK >CAK8560362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15714936:15718281:1 gene:gene-LATHSAT_LOCUS14041 transcript:rna-LATHSAT_LOCUS14041 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTLLFGRGQTLKGTVVLMQKNVLDINALTAVQSPGGIIGGALGAIGDTTGSILDTATSFLGRSVALKLISRTNADASGKGKVSKKAFLEGLVTSIPTLGDKQCAFSVYFEWDSNMGIPGAFYIDNFMQGEFFLVSLTLEDVPNHGTVNFVCNSWIYNYTKYKTGRIFFANKTYLPSKTPAPLVYYRQEELNILRGNGAGERKEWERIYDYDVYNDLGAPDKKATLARPVLGGSNTLPYPRRGRTGRKPTRKDSKSESRSDIVYLPRDESFGHVKSSDFLVYILKSASQNIISQLRSLVTLQLNNPEFNTFEDVRSLYDGGIKLPTDVLSKISPIPLFKELFRSDGESALKFPPPKVVQVDHSAWMTDEEFAREMIAGVNPHIIKKLQNFPPKSTLDSQLYGDNTSTITKEHLEPNMGGVTIEEAIQTNRLYILDHHDTLFPYLRKINATDTKAYATRTILFLQDDGTLKPLAIELSTPHPDGDSFGPVSKVYLPASEGVEASVWLLAKSFVIVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGNYAMEMSAVVYKDWVFTDQGLPNDLIKRGVAVDDPSSPYGLRLLIEDYPYASDGLEIWAAIKTWVDKYVNFYYKSDGVVSRDYELQAFWKEVVEVGHGDLKNATWWFKMQTRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGTPEYDELAKNYERAYLKTITAKNDTLTDLTIIEILSRHASDEQYLGERIEGDVWTCDSKPKAAFKRFGRKLAEIEQKLTQRNNEESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >CAK8573450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613146945:613147396:-1 gene:gene-LATHSAT_LOCUS25897 transcript:rna-LATHSAT_LOCUS25897 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISNISGVESVSLDMKDQKLTLTGDIDPVQVVTKLRKLCLPKILSVGPAKEPKKEEKKKVTEEKKDQSKNSTASGVVKVCEAYHYPMMVTQPQYYYTSVEENPNACVIC >CAK8560516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23139462:23140538:-1 gene:gene-LATHSAT_LOCUS14180 transcript:rna-LATHSAT_LOCUS14180 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGNFISYTISISKHYLKKNTCEIIKENLSSTIDEDKFYDAEENFPINNDKYVVETQVVDIDDNTLSRNLKQKQKKETIEDKYSTQLKHSYCSICMEAKPIKEMFKNQNCSHSFCEDCIGRYLAAKIQENISTVNCPEPNCKGTLEAYQCISIIPKDLFDKWENALCENSVLESQKFYCPFKDCSAMLVNDAKEVVTASECPHCHRLFCAQCRVSWHAGVDCREFHSLKNREQGKEDLLVMKLANKKNWKRCPKCNYYVEKVHGCTHIICRCRHEFCYGCGGTWTGGSHYKCKARKKIALCLI >CAK8535599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865786105:865787271:-1 gene:gene-LATHSAT_LOCUS5042 transcript:rna-LATHSAT_LOCUS5042 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTLQHLDLRHIGRGVRPLQPHAASFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPAVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDAEVRMALTPLQPVVFFGFPKRMSVTVVGTVEGGRAPTKIKPDLKKAIVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRKGTLLAWDVSTERPSMIGIKQVGSQPIKSVAFLPMLRLLVTLSKDGNLHVWETRVTVNPNRPATQANFFEPAAIESIDIPRILSQQGGEAVYPLPRIKALEFHPKLNLAALVFANVTSADTSKNKASYSKEGRKQLFTVLQSARCCTLKFAQPYSLGLCFNVISICI >CAK8560006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4851445:4851830:-1 gene:gene-LATHSAT_LOCUS13728 transcript:rna-LATHSAT_LOCUS13728 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKPMSFDPRENSMEYHVIVIDDEPKSSFPINSSDCERNSRDQVITMEESNSSSGEQGMKLVTILSMVL >CAK8534671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754316688:754318655:-1 gene:gene-LATHSAT_LOCUS4196 transcript:rna-LATHSAT_LOCUS4196-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTSIFKLLFFYVIIFLPTLVSCDSSTCETQPNKENNKLALHYKLGSIASILVCGALGVSLPLLSKRIPILSPKNDIFFMIKAFAAGVILATGFIHILPEAFESLNSPCINEKPWGDFPLAGLVAMLSSIGTLMVDSFASSFYQRRHFNNSSKQVSHEDEEMGDDHVGHIHVHTHATHGHTHGSTNSSHDVISSELIRQRIISQVLELGIVVHSVIIGMSLGTAQSIGTIKPLLVALSFHQFFEGMGLGGCISQAKFESRSTAIMATFFSLTTPIGIAIGMAVSSGYKENSPTSLIVVGVLNSASAGILIYMALVDLLAADFMSPRLQNNLKIQIGANISLLLGSGCMSLLAKWA >CAK8534670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754316688:754318658:-1 gene:gene-LATHSAT_LOCUS4196 transcript:rna-LATHSAT_LOCUS4196 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLTSIFKLLFFYVIIFLPTLVSCDSSTCETQPNKENNKLALHYKLGSIASILVCGALGVSLPLLSKRIPILSPKNDIFFMIKAFAAGVILATGFIHILPEAFESLNSPCINEKPWGDFPLAGLVAMLSSIGTLMVDSFASSFYQRRHFNNSSKQVSHEDEEMGDDHVGHIHVHTHATHGHTHGSTNSSHDVISSELIRQRIISQVLELGIVVHSVIIGMSLGTAQSIGTIKPLLVALSFHQFFEGMGLGGCISQAKFESRSTAIMATFFSLTTPIGIAIGMAVSSGYKENSPTSLIVVGVLNSASAGILIYMALVDLLAADFMSPRLQNNLKIQIGANISLLLGSGCMSLLAKWA >CAK8544905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711185806:711186246:-1 gene:gene-LATHSAT_LOCUS13549 transcript:rna-LATHSAT_LOCUS13549 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKGRGRGRPPKNTMPPPSTTPPVSPAQQQLELRNHLASNTSLTEEGILEVETLNARTRKPNQEEMETATQSMDAIQVVIPKQPENGKPIHEGASEEGRKLWVDVLKDNRNPTKGRAMNFIAPQVVNGKLEVVIEDEDIISEI >CAK8542062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:464649872:464654843:-1 gene:gene-LATHSAT_LOCUS10935 transcript:rna-LATHSAT_LOCUS10935 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSLFSRLILRRSSQHNRFIWQRGISQSAAERTKDSLPAEPPVLPAFDHVPSPYSGPSGDDIIAKRREYLSPSIFHSYKSPLNVVEGKRQYLFDENGRRYLDAFGGIATVCCGHCHPDVVAAIVEQTKKLQHSTILYLNHAITDFAEALASKLPGNLKVAFFTNSGTEANELAMLIARLYTGCNDIISIRNSYHGNASATMGATAQSIWKFKVVQTGVHHAVNPDPYRGIFGSDGEKYARDVQDIINFGTFGNVAAFMSESIQGVGGIVELAPGYLSAAYDSVKKAGGLCIADEVQAGFGRTGSHFWGFEAHGVQPDIVTMAKGIGNGIPLGAVVTTPEIAKALTHRNYFNTFGGNPVCTAAGLAVLNVIEKEKLQENAFVVGSHLKERLNALKDKYEIIGDVRGKGFMLGVELVTDRELKTPAKKETLHVMEQLKDLGVLVGKGGYYGNVFRITPPLCFSKEDADFLVDAMDYSLSRI >CAK8561214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117655746:117656231:1 gene:gene-LATHSAT_LOCUS14823 transcript:rna-LATHSAT_LOCUS14823 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWFDIHEIPVTADSPTDETGLLKAVQKVHATIDKEIAAGTNPNNVFICGFSQGGTLTLASVLLYPKTLGGGAVFSGWVPFNSSITEKITPEAKQTPILWSYGLVDKTVLFEARQAGPPFLEKLSVSCEFKAYPGLAHSINNEELQDLESWIKARLQSSS >CAK8531506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117008701:117010661:-1 gene:gene-LATHSAT_LOCUS1294 transcript:rna-LATHSAT_LOCUS1294 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETEIIYQQSIPVLDVKYHLCVSQENAVKVDVSPASNVVPIFNQLRVSSESISTETSLFESTASLSEKITDAIIEEAVAKFTPNVRSGSCADIGPRGSMDDDHIQIDDLAAHLGFVLKYPTPSAFYAVFDGHGGPDAAVFVKNNAMKLFFEDTTMLQSYATDALLMKRLEDSHRKAFLGADLAMADEQSISSSCGTTALTALILGRHLLVANAGDCRAVLCKRGVAVDMSHDHRPSYLPERKRVEDLGGYIDDGYLNGYLSVTRALGDWDLKLPLGSASPLTAEPDVQVVTLTEEDEFLIIGCDGIWDVMSSQEAVSLVRRGLRRHDDPQQCARELVKEALRLHTTDNLTVIVISLSPVESIVESCPPQRRRFRACSLSEEARDKLRSLLESCCSRVRAAISFGEGWWFRLV >CAK8531507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117008832:117010661:-1 gene:gene-LATHSAT_LOCUS1294 transcript:rna-LATHSAT_LOCUS1294-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETEIIYQQSIPVLDVKYHLCVSQENAVKVDVSPASNVVPIFNQLRVSSESISTETSLFESTASLSEKITDAIIEEAVAKFTPNVRSGSCADIGPRGSMDDDHIQIDDLAAHLGFVLKYPTPSAFYAVFDGHGGPDAAVFVKNNAMKLFFEDTTMLQSYATDALLMKRLEDSHRKAFLGADLAMADEQSISSSCGTTALTALILGRHLLVANAGDCRAVLCKRGVAVDMSHDHRPSYLPERKRVEDLGGYIDDGYLNGYLSVTRALGDWDLKLPLGSASPLTAEPDVQVVTLTEEDEFLIIGCDGIWDVMSSQEAVSLVRRGLRRHDDPQQCARELVKEALRLHTTDNLTVIVISLSPVESIVESCPPQRRRFRACSLSEEARDKLRSLLESN >CAK8568097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573474606:573477159:-1 gene:gene-LATHSAT_LOCUS21092 transcript:rna-LATHSAT_LOCUS21092-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEISTNELNVKRGEPVRVQPAEETEKGLYFLSNLDQNIAVPMRTIYCFKSESRGNEEAGEVIKDALSKILVPYYPMAGKLIISSEGKLIVDNTGEGAVFVEAEADCGVDEIGDLRKPDPDKLGKLVYDVPGAKSILEMPPMTVQVTKFKCGGFTLGLNMIHCMKDGLSAMEFVNAWAQTARGLDLKLPPFLDRTILKSRNPPKIEFHHHEFDEIEDLSNTENTYQGEKIIHKSFLFDPEKLDSLKKKAMEDGVLKKCSTFEALSAFVWRSRTSALNMHPNQQTKLLFAVDGRSRFVPPIPKGYFGNSIVLTNSMCKADELLKNPFSFSVGLVHNAIDMINDSYMRSAIDYFEVTRARPSLTATLLITTWTKLSFDTTDFGWGEPLCSGPVTLPDKEVVLFLSHGQEKKSTSVLLGLPASAMQRFEELVINMV >CAK8568096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573474606:573477165:-1 gene:gene-LATHSAT_LOCUS21092 transcript:rna-LATHSAT_LOCUS21092 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASEEISTNELNVKRGEPVRVQPAEETEKGLYFLSNLDQNIAVPMRTIYCFKSESRGNEEAGEVIKDALSKILVPYYPMAGKLIISSEGKLIVDNTGEGAVFVEAEADCGVDEIGDLRKPDPDKLGKLVYDVPGAKSILEMPPMTVQVTKFKCGGFTLGLNMIHCMKDGLSAMEFVNAWAQTARGLDLKLPPFLDRTILKSRNPPKIEFHHHEFDEIEDLSNTENTYQGEKIIHKSFLFDPEKLDSLKKKAMEDGVLKKCSTFEALSAFVWRSRTSALNMHPNQQTKLLFAVDGRSRFVPPIPKGYFGNSIVLTNSMCKADELLKNPFSFSVGLVHNAIDMINDSYMRSAIDYFEVTRARPSLTATLLITTWTKLSFDTTDFGWGEPLCSGPVTLPDKEVVLFLSHGQEKKSTSVLLGLPASAMQRFEELVINMV >CAK8575347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:90346437:90349073:1 gene:gene-LATHSAT_LOCUS27617 transcript:rna-LATHSAT_LOCUS27617 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEHAVGTELVMSNVIGEEEIDFSCDPYVGLEFFNADDALRYYISYATRMGFKVRIGQLYRSRTNGSVSSRRFVCSKEGHQLSSRTGCPAFIRVQLNDSGKWVVDHFHKEHNHNLENESENFAPTLQPIASATVDSSTGITRRPRKKLLEVGNGEPISPFGVINFKRLRKEELEGQARIEPHVGQEFSSPVEAYQFYHTHAAYKGFRIRNGQLFRSKNDGGITSRRFVCSKEGFQHPSRVGCKAYLRIKRQPSGKWVVDRLEKDHNHDLVPEKETRTTSLPASNILTEVVNTEMVNSDMFRIDNYPVLRGGRQNHIRSDWYNMLLEYFQSRQAEDTGFFYAMEVDNGNCMSIFWADGRSRYSCSQFGDVLVVDTSYRKSLSMVPFATFVGVNHHKQPVLLGCALIADESEESFTWLLQTWLRAMCGRQPLSVIADQDVSIQRAVAKVFPVTHHRFSLWQINAKEQELAGLMGHGFTKDYEKCVYQSQTVDEFDTAWNALLVKYGLKDNSWLKEMYEKRASWVPFYIKSTFSAGIPLKESMESFFGALLNGQTPLPEFIQRYERGLERRREEERKEDFNTSNFQTFLQTKEPEEVKNPCVSRHTKVDDNAGTGETDLLAAFDAHVNDLSASCRARAEVDDNIVSKPNGVQVVHKSAFEMPTSASAKYPQFPELVNGVIFCSLNTEDPVVPSNEDVFLPSNEHPPTMFSSEPAVTKSNKPVPSSVNDCGFRANRRGKVLTQVEQKNSIGAHVPSQTTRARGNSGPVCGSKIQCELSNNHSSHSAVIASRGLGGSNSLTNKTHAALHANPDEKLGNVSFVNHLSNNVTSLSHEKPALGKGFIHQVQPNGSCLKQEQNVALPVEYNKLQHAEMGFTEVLV >CAK8565101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:36116813:36120027:-1 gene:gene-LATHSAT_LOCUS18338 transcript:rna-LATHSAT_LOCUS18338 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGIANNNAVKNKWLMPLSVFFKDASLVFKMDTLAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIAVFNQASRITIFPLVSITTSFVAEEDTIAKINSIAAEKQSNESIKAKSNEVMPDDHLLEDIEAGATKQDGTLKNETKNGDDANSNISKSSIVTSNGNKSESRPIRKKRHIASASTALLFGTVLGLIQAATLIFAAKPLLGAMGLKSDSPMMTPATKYLRLRALGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYTLNVALDPLLIFYFKLGIRGAAISHVLSQYIMASFLLFILMRKVDLLPPSMKDLQIFRFLKNGGLLLARVIAVTFCVTLSASLAARLGPIPMAAFQTCLQVWMTSSLLADGLAVAIQAILACSFAEKDYVKVTTAATRTLQMSFVLGVGLSLLVGGGLYFGAGVFSKDVAVIHLIRLGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVLVSLASVTSLFFLYKTKGFIGIWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGHSLS >CAK8571973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499149866:499155515:-1 gene:gene-LATHSAT_LOCUS24584 transcript:rna-LATHSAT_LOCUS24584 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPPSPPIADNTDPERRLREAEERLRDAIEELQRRQRRAAAHAYHNFHQHNNLESPPCSHGPDESCIAHAIGNLCQTFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLFRKLRKKETAMNAILAGSVAGFSILALNDSNRRRTLALYLLARLAQCAYNSAKSKDKFHLWGSHWRHGDSLLFSLACAQVMYAFVMRPESLPKSYQEFIQKTGPVAEPVYRAVRDSCRGHPVDVASLHTYLSRKGKSDYVKLEEFPSIIPCSIIHAGTNSCLAHEVNATSATFKKTFPLYFSLTFVPFVVLHLQKFTDAPFHTFWVAIKGAVRSTAFLSAFVGIFQGVICLHRKLSSRDHKLVYWIAGGISALSVLLEKKARRGELALYVLPRSVDSLWYILANRHLLPKIRNAEVFLFSLCMGGIMYYLEYEPETMAPFLRGLIRRFLASRISSPSLPSNQTASQTPSQATPYAYLQAQDGITTPKLRERRDSESYEKFNLESIPGL >CAK8575909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:354730569:354731249:1 gene:gene-LATHSAT_LOCUS28134 transcript:rna-LATHSAT_LOCUS28134 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRGLRTLNSDNDVLQMIRVVDGCDIVDLYVEHNISGPDIIDETKIGHDIISDDDVVEVKDEDDVHNDVDDDEDGMNKDVDKAVEQNDKDGVDTNAKLDEENVEVANEFERDDEVKDVEDSDHKLDWATALPKDTTRPYMNDFDHGEDSDQLQTPHESEDDEEYERFPTYKVGEGIKFELDMRFNTKDMVKDVTKEYAMLEKKYLPT >CAK8564542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680407038:680407448:1 gene:gene-LATHSAT_LOCUS17840 transcript:rna-LATHSAT_LOCUS17840 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRLCTSCSNTSLSRDDDTGGLFFASCGAVKSFDQYESFTGDINGPQGTFVHIETSGSGNFYSYKDRKLLSTRYSIEEFTNRLGLCSKTIEIKSMISDITDGEFDQGNWFQVLIGALLCRNAGGGSSFTYGGNC >CAK8578503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633837349:633839032:-1 gene:gene-LATHSAT_LOCUS30508 transcript:rna-LATHSAT_LOCUS30508 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQSPSTGGNGTPISQTNDTAASAAGADDSMQNLNQISNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVAELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTRDIINNCIAKNQITKGKTDALKNLRKHLLEELEVNFPDEVETFRESRAASAAEMKRLAQAQSVLPNGDVRVKAEH >CAK8541332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:123245253:123261551:-1 gene:gene-LATHSAT_LOCUS10261 transcript:rna-LATHSAT_LOCUS10261 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGEHDRKRRHFSSLSPTPEPAKKLPFLPISEDKKLDIAVLQYQNQKLTQKLETQKLEYATFENKFSQLKERQQSYDSTLAVVKKSWEQLVNDLESRSERTRESNRKAYSRFVSSTDDGSSSTVQDVFLNRLLQTGATESSSSSHFANEMDQHRQITAEKAKSILNNILTFINNFRCLKDGFHTALLKKLRGDVSCGQMLSNDMEVESKNLRLTLSELHLKHKSLASVFRIQRDLDAKNKAELKQLKGELESTVSELEENNHKLATLKVEKDAAKGAVLPVLTVGNTHVPNDKIRDKQKDLQDMESTLKELLNRASTRLVELKSLHEERIRLLQQLCDLQNTLKNLKCITSSHAFQLVKDQIEKSKSEVQEYQALYEKLQAEKDNLAWREREWYIKNDLADLFQRSVTVSDLRVADIHTEIQKMIEQKKGIENKLKEEAREQGRKEIIAEFKSLLSSFPEEMGSMQTQLSKFKESASDLHSLRADVHSISSTLDRKVKECDTLSVRSAGQLAEINRLLAVVQDLRVTQDEMKLILRMYRHETIDSRDVMEAREAEYRAWAHVQSLKSSLDEHNLELRVKTANESEARSQQNLAAAEAEIADTRHRLDDSKRGMCKMSDVLRTKNEENEAYLSEIETIGQAYDDMQTQNQHLLHQITERDDYNIKLVLEGVRARQKQDSLILEKRLMDQENQQSNVSLNLYNTKAARIEDQSRFCSDQIQKLEDNKLQSSACLENTQRRLSDIRPSSQQVRDSVVELQARITSSRVTCMELQTELEKERFAQKTVEEDLEVARRNLSQLKAQNEDSSVTDKLQQELGEYMEIVKCSICRDRTKEVVITKCYHLFCNSCIQKIAGSRQRKCPQCGACFGANDIKPVYL >CAK8540067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537979444:537983512:-1 gene:gene-LATHSAT_LOCUS9105 transcript:rna-LATHSAT_LOCUS9105 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKEIWSAPITPRTGNSLMGTPMVASPPVSCPPSQLHSPSLTRSPLLQSENGDAPHPRSKTPKTPRTPRTPRMSLTPRFITPLASPMRKALKLTKLDPQDAWLPITESRNGNKYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIISLTIAFIWQLYTLWLLVHLHESVENGVRYSRYLQLSFATFGEKLGKLLALFPILYLSAGTCTTLIIIGGSTARTFYQVVCGESCTAKPMTTVEWYLVFTCAAVVLSQLPNLNSIAGVSLIGAVTAVGYCTSIWAASVAQGALPGVNYNPVRGGNKVENAFSVLNAFGIIAFAFRGHNLILEIQATMPSSEKHPSHVPMWKGVKASYTLIAACIFPLAIGGYWAYGQLIPANGGMLTALYMFHSRDVSRFVLGLTSFFVVVNGLCSFQIYGMPVFDDMESVYTTRMKKPCPWWLRVIFRVFFGCLSFFIAVALPFLSSLAGLIGGVALPVTLAYPCFMWLKIKKPKKYSLMWYLNWFLGTFGIGLSAILVTASIYVIIDTGVNVSFFDPK >CAK8532976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:558738515:558741825:-1 gene:gene-LATHSAT_LOCUS2630 transcript:rna-LATHSAT_LOCUS2630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPFYLRSFFYSQLPFKFNHHSFNTKPVFNNYKLLGGNLSFAAFSNTALNHAHSDDELPEKENERDDANASGIGFLHLMEQRGVRANSQTFLWLLEGCLNSGLSFSDGLKLHGKILKMGFCDEVVLCERIMDFYLAFDDLNGAVKVFDEMPVRSLSCWNKIFHRFVEERVTGSIPGLFWRMMKENVKLDEKTLAVVLRGCSGNVVPFHFVEQIHAKAITHGFESSPFICNPLIDLYFKNGFLNSAKKVFENLKVRDSVSWVAMISGLSQNGYEEEAILLFSQMHTSGICPTPYIFSSVLSACTKVNFFELGKQLHGLVLKQGFSSETYVCNALVTLYSRSGNLISADQVFNTMLQRDGVSYNSLISGLAQQGYSDRALALFKKMHLDCLKPDCVTIASLLSACASAGALPIGKQFHSYAMKAGMISNIVVEGSLLDLYVKCSDIKTAHDFFIASETENVVLWNMMLVAYGQLDNLKKSFQIFTQMQIEGIVPNQFTYPRILKTCTTLGAIDLGEQIHTQVLKIGFQFNVYVSSVLIDMYTKHGKVDTALKIFRRLKENDVVSWTAMIAGYTQHNKFVEALNLFKEMQDQGIQSDNIGFASAISACAGIQALDQGRQIHAQSCLSGYSDDLSIGNALVSLYARCGKVREAYSAFYQIFTKDNVSWNSLISGFAQSGYFEEALNIFAQMNKAGLEINSFTFGSAVCAAANAANVRTGNQIHAMIKKTGCDSEIEVSNALITLYAKCGCIDDAKRHFFEMPDKNEVSWNAMITGYSQHGRGFEALSLFEDMKQLDVLPNHVTFVGVLSACSHVGLVDEGISYFQSMSEAHNLVPKPEHYACVVDLLGRSGLLSRARRFVEEMPIQPDAMVWRTLLSACNVHKDIDIGEFAASNLLELEPKDSATYVLLSNMYALSGKWGCRDRTRQMMKDRGVKKEPGCSWIEVNNSVHAFFAGDQNHPLADIIYEYISDLSFRAAENGYVSQCNNLLSDAEIRQKHPNEIIHSEKLAIAFGLLSLSSSIPIHVFKNLRVCGDCHNWIKHVSQISDRVIIVRDSYRFHHFKVGSCSCKDYW >CAK8562871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551323617:551327994:1 gene:gene-LATHSAT_LOCUS16334 transcript:rna-LATHSAT_LOCUS16334 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTADPTPLIGTSSDDSNSGRRILRAPSLRQAARFLRQASGRRLMREPSMMVRETAAEQLEERQSDWAYSKPVVILDILWNLSFIIVAATVLILSWNESPTAPLRLWILGYALQCVLHTVCVCFEYRRRVRMREQTNTSTGNAFGSGGSGDLSFGSMDGSGQFVNVAQYGDDGSTSLPKHLESANTMFSFIWWVIGFYWVSADGQDLINESPMLYWLCIVFLGFDVFFVVFCIALACIIGLAVCCCLPCIIALLYAVADQARATKEDIEQLSKFKFQRKSNEKVAGNTQGPVGGIMTECRADSPIEHVLADEDAECCICLSTYEDGVELRQLPCGHHFHCGCVDKWLHINATCPLCKYDILKSSSYGQEQV >CAK8575621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211992033:211993565:-1 gene:gene-LATHSAT_LOCUS27869 transcript:rna-LATHSAT_LOCUS27869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVPIRADEISQIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFKEGTVGIALNLESKNVGVVLMGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVVCVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSCQLGEGSMTALPIVETQAGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGLSVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFSTDLEKTTQNQLARGQRLRELLKQSQSSPITVEEQIITIYTGTNSYLDSLEIQQVRKFLVKLRAYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEQMELFLLQEQVEKN >CAK8541795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:397708407:397709663:1 gene:gene-LATHSAT_LOCUS10690 transcript:rna-LATHSAT_LOCUS10690 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKPSFNSVFTNKLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDREDCINIMLDDKHNHLLQMGVMLTTTTLVVSAFVVVAAGVFGMNIHIELFDSDKYGTREFMWIVGGGTTGTIFLYVVAIDWCKYKRLLE >CAK8540325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552812809:552814890:-1 gene:gene-LATHSAT_LOCUS9339 transcript:rna-LATHSAT_LOCUS9339 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSNALDGVALNQKRKRDTQCDAHLTEVSTPKNLVGDEDQSTEFASRSLVNYYLNYKKSGLPKRLMFYKNGEWLDYHDDVVDFVKKYFKIKKAVVELDLDGQDIVLDFWHMYSVNLRTGLQQPIAWIDEVGRCFFPELFGGSDEEREHEGGESSNKDREIELDAYTEPGYGRLDMDTVHDIFLIGMATFNINDDDIVDIHRISSISMQARLELFHKQVDITKVIHGDANVRYGWFACSKEDLFTMMQYGFGHNALSSFKCIYGFGIHLTAITHPYACVPYCDVDENGVKHLVLCRVIMGKMELLRRGNNQIRPSGCEYDNGVDDIQSPKYYVVWNMNINTHIHPEFVVSFKAPMDAEVDHNIGLITENEHSNESMSNVSENNSSTSDTVNSAGLLTNTRRVPRSPWLPFPMLFAAIRSRISPKQMLVIKAHYALLMAKKISHDDFVMKLRLIVGDNILRSAVTNLQDKGASNDNSNAVTKNV >CAK8560924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58186172:58189306:1 gene:gene-LATHSAT_LOCUS14562 transcript:rna-LATHSAT_LOCUS14562-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMYFQKLINSYSLLWLPLFLILLGNVSVNGVEQKNFYIVFLEAHPVSREKAVETHLNILSAVKQSHVEAKESIVYSYTKSFNAFAAKLSEDEADKLSAMNEVLSVFPNQYRKLHTTRSWNFIGLPLTAKRKLKSEGDTIVALLDTGITPEFQSFKDDGFGPPPAKWKGTCHKFVNFSGCNNKIIGARYFKLDGRSDPSDILSPIDVEGHGTHTASTAAGNLVLNASLFGLAKGTARGAVPSARLAIYKVCWTEDGCADMDILAAFEAAIHDGVDVISVSLGGGNANYVQDCIAIGAFHAMRKGIITVASAGNGGPTMASVVNNAPWIVTVAASGIDRDFQSIIEMGSKKNVSGEGVSTFSSKQKQYPLVNGIDAARDSSSKENAKFCDDADSLDPKKVKGKIVYCRFRTWGTDALVKAIGGIGTIVENDEFLDVAQIFSAPSTFVNQSTGQIITNYIQSTRSPSAVIHKSHEVKIPAPFVASFSSRGPNPGSQHVLKPDITAPGINILAAYTLKTSITGLEGDTQFSEFTLMSGTSMSCPHVSGVAAYVKSFHPDWTPAAIRSAIITTAKPMSQKVNKEAEFAFGAGQVNPTKALNPGLVYDIDEFAYIQFLCHEGYNGSTLSVLTGSSINCTSLLPGIGHDAINYPSMQLSVKSSTDRTIGVFRRRVTNVGPGPTIYNATVKSPKGVEIIVKPTSLIFSHTLQKKSFKVVVKATAMASMKVVSGSLIWRSPRYIVRSPIVIYSP >CAK8560925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58186172:58189306:1 gene:gene-LATHSAT_LOCUS14562 transcript:rna-LATHSAT_LOCUS14562 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMYFQKLINSYSLLWLPLFLILLGNVSVNGVEQKNFYIVFLEAHPVSREKAVETHLNILSAVKQSSHVEAKESIVYSYTKSFNAFAAKLSEDEADKLSAMNEVLSVFPNQYRKLHTTRSWNFIGLPLTAKRKLKSEGDTIVALLDTGITPEFQSFKDDGFGPPPAKWKGTCHKFVNFSGCNNKIIGARYFKLDGRSDPSDILSPIDVEGHGTHTASTAAGNLVLNASLFGLAKGTARGAVPSARLAIYKVCWTEDGCADMDILAAFEAAIHDGVDVISVSLGGGNANYVQDCIAIGAFHAMRKGIITVASAGNGGPTMASVVNNAPWIVTVAASGIDRDFQSIIEMGSKKNVSGEGVSTFSSKQKQYPLVNGIDAARDSSSKENAKFCDDADSLDPKKVKGKIVYCRFRTWGTDALVKAIGGIGTIVENDEFLDVAQIFSAPSTFVNQSTGQIITNYIQSTRSPSAVIHKSHEVKIPAPFVASFSSRGPNPGSQHVLKPDITAPGINILAAYTLKTSITGLEGDTQFSEFTLMSGTSMSCPHVSGVAAYVKSFHPDWTPAAIRSAIITTAKPMSQKVNKEAEFAFGAGQVNPTKALNPGLVYDIDEFAYIQFLCHEGYNGSTLSVLTGSSINCTSLLPGIGHDAINYPSMQLSVKSSTDRTIGVFRRRVTNVGPGPTIYNATVKSPKGVEIIVKPTSLIFSHTLQKKSFKVVVKATAMASMKVVSGSLIWRSPRYIVRSPIVIYSP >CAK8567583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526874174:526878885:-1 gene:gene-LATHSAT_LOCUS20624 transcript:rna-LATHSAT_LOCUS20624 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNPKRQKSFKMPGTDFNDAQNLDDGILFPVEEIAQYPLPGYVSPTSISFSPDDSLISYLFSPDNTLNRNIFTYDVKTNKQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTNSKKKAVMVPLPAGIYFHDISHSKTELKLPSIPTSPTIDPHLSPDGSMLAYVRDFELHVMNLLSNESKQLTHGAKENGIIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDYSEIPLFRIMHQGKSSAGPDAQEDHPYPFAGASNVKVRLGVVSVAGGSTTWMDLDCGGVKELDNEDEYLARVNWMHGNILTAQIINRHQTKIKIVKFDIKTGQRRDILVEENETWINIHDCFTPLDKGVTKFSSGFIWASEKSGFRHLYLHDANGTCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDSPLEFNLYCTKLFVDGTQPLQAPTRLTHGKGKHIVVLDHHMQTFVDIHDSLSCPPRVLLCSLEDGSIIMPLYEQPIPVPKFKKLQLEPPEIVEIETADGTVLYGALYKPDISRFGPPPYKTMINVYGGPSVQLVSNSWQNTVDLRAQYLRNKGILVWKLDNRGTSRRGLKFEGYLKHKLGQIDADDQFCGADWLIKEGLAESGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPTTSWDGYDTFYTEKYMGLPSENKSGYTRGSVMNHVHKLKGSLLLVHGMIDENVHFRHTARLINALVAAGKIYELIIFPDERHMPRRYSDRVYMEERMWEFIDRNL >CAK8565430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:196609354:196611059:1 gene:gene-LATHSAT_LOCUS18648 transcript:rna-LATHSAT_LOCUS18648 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVLISGNNKSVLQQANDERNKAQGVTVHPNSHQQGNKTVESGRTQNLTNTLLTKGMTTIDEFKYGFPSESLSTTSNKWWGCDNRDETSPNRAESQPEESTGEAEKKERETEKGENSEETPQGSSLLRAVRKRYVEERREAFKLGVFRGYGVNKVAKREKILLHQIFGSSLPKSWIHDL >CAK8565431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:196609363:196611059:1 gene:gene-LATHSAT_LOCUS18648 transcript:rna-LATHSAT_LOCUS18648-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISGNNKSVLQQANDERNKAQGVTVHPNSHQQGNKTVESGRTQNLTNTLLTKGMTTIDEFKYGFPSESLSTTSNKWWGCDNRDETSPNRAESQPEESTGEAEKKERETEKGENSEETPQGSSLLRAVRKRYVEERREAFKLGVFRGYGVNKVAKREKILLHQIFGSSLPKSWIHDL >CAK8535518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:857193411:857196481:-1 gene:gene-LATHSAT_LOCUS4973 transcript:rna-LATHSAT_LOCUS4973 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGEVVLVPRTFMSQKWMIFLCIGSFCAGMFFTNRMWTIPEPKGLARTTAMEAEQLSLVSEGCNSRILQEKEVKREIKGDFKTQKSIQNLDKTISNLEMELASARATQESLKSGAPVSEDFKINESTRKRRYLMVIGINTAFSSRKRRDSIRATWMPQGEKRKKLEEEKGIIIRFVIGHGATTGGILDRAIEAEDSKHGDFLRLDHVEGYLELSAKTKTYFATAVNLWDADFYIKVDDDVHVNIATLGETLVRHRAKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGETGNKYFRHATGQLYAVSKDLATYISTNKNVLHKYANEDVSLGAWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSHERIKEVHKKCGEGEKALWNASF >CAK8542671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536328594:536334494:1 gene:gene-LATHSAT_LOCUS11491 transcript:rna-LATHSAT_LOCUS11491 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLQPEDSDVSQQARAVALSAVDLVSDDDRSIAADSWSIKSEYGSTLDDDQRHADAAEALSNANLRATSDYSSDKDEPDSEAVSSMLGFQSYWDAAYTDELTNFREHGHAGEVWFGDDVMEVVASWTKTLCIGISQGRIPNHVDDAKEETGELEDKLLSSWNVLDIGTGNGLLLQELAKQGFSDLTGTDYSERGINLAQSLANRDGFPNIKFLVDDVLETKLEQVFQLVMDKGTLDAIGLHPDGPVKRMMYWDSVSRLVASGGILVITSCNSTKDELVQEVESFNQRKSATALELEVAKDDESCREPLFRYVNHVRTYPTFMFGGSVGSRVATVAFLRK >CAK8576415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473143706:473144482:1 gene:gene-LATHSAT_LOCUS28603 transcript:rna-LATHSAT_LOCUS28603 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRPPKVVSTPSSAISNNDDDIPSETNVNASKTQQHGGEEPTKEIVEEQESSKSRTLISPKGATEPRRLWVDVISGNRNPGNGLTLEFIAPTIVNGIAEVRIEEADTVTEVKFWETTLIMYVVGGDLSMNTVKQFMLKQWNFVKLPDMYYNNEGYFVLRFHSHKERDDVLMKGPYTIRNMPMLLAEWKPNFNLKNDMLRTIPVWIQLPQLPLHLWGAKNLGKMASMLGTPLMTDECTTNKYRISYARVLVEVDVT >CAK8537569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:398921090:398922595:-1 gene:gene-LATHSAT_LOCUS6855 transcript:rna-LATHSAT_LOCUS6855 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTYSAYIQSTEHRPNFSTFVEVDEIPIIDLSETSQENLISKIGKACEKWGFFQIINHGVPSDLIIKVEKEAKRFFELSMEEKKKLKRDVFNATGYYDAEHTKKTKDWKEVYDFLVEDGIQIPSSEDPHDMGLCTQTNHWPECLPHFREIMDEYSGKLEKLAYKLLELISLSLGLAGDKFFDCFKNQVSLTRLNYYPPCPFPELALGIGPHRDPCVLSIVVQDDTGGLQVKKNIVGGWVPVKPIPGAFVVNLGDIFQVWSNDKYESAEHRVVVNSQKERYSYPFFLFPGAHIIVKPAEELGPAKYKAYNFGKYYANRTHADFSKQDVEGKEIHHFKILD >CAK8576470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481318865:481319566:-1 gene:gene-LATHSAT_LOCUS28653 transcript:rna-LATHSAT_LOCUS28653 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRPQKVVATPETSTGNLNDDQQGNKDSTSETTMEHGKEKTVGAIAENQEIHETLEAEKGINEPRKLWVNVISRNRNPGNGLSLEFIAPKIVNGVPEVIIEEEDTINEVKFWETSLIMYVLGGELSMNGVKQFMTKQWNFIKLPDMYYNNEGYFILRFHSHKERDTVLLKGPYTIRNMLMLLAEWKPNFNLKTDMLRTIPVWVQFPQLPLHLWGKILGKASKCTRNPAND >CAK8542224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490929714:490931130:-1 gene:gene-LATHSAT_LOCUS11080 transcript:rna-LATHSAT_LOCUS11080 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGSSTGVTVIGAEAPSAYHMAPRSEVALSQHGPVSESAGGVIGVLPVSLGMDGGAIKKKRGRPRKYGPDGSVSAVALSPFPISSSGPFSSEFSSGKQGKPKGMEFKQSKKVGVDLFGDSVGTNFMPHIITVNAGEDITMKVISFSQQGPRAICILSASGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTESQGTRSRSGGMSVSLSSPDGRVVGGGVAGLLVAASPVQVVVGSFLPSNQQDQKPKKPKSDYATTTLTAPIAVSSAPPPTNGEREDIMSGHLLQNSGTLNSNLTSPSAFRRENWVNMHSMPDSMKSATDINISLPDN >CAK8541434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:166429160:166429510:1 gene:gene-LATHSAT_LOCUS10354 transcript:rna-LATHSAT_LOCUS10354 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFLSEEGIDVRKMMEHKRSICSVDESNYNSIASKKQKPDLSITTKDRKENIGERIVALQQLVSPYGKTDMSSVLKEAMEYIRFLHKQVKLLSAPYLETTPAIQTT >CAK8536535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953370657:953372399:1 gene:gene-LATHSAT_LOCUS5894 transcript:rna-LATHSAT_LOCUS5894 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLVVSKQGRQYEGKVTVFVLVTCLVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVYKQMKDESEHESQYCKFDNELLTLFTSSLYIAALIASFFASTTTRMLGRKTSMFAGGLFFLVGALLNGFAINIEMLIIGRLLLGFGVGYCNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILVANIINYFTSNLERGWRISLGVGAVPAILLCIGSFFLGDTPNSMIERGQKERAKKMLQKIRGIDNVDEEFQDLIDASEEAKKVQHPWKNLTQLRYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFENDASLMSSVITGGVNVLATFVSIFTVDKFGRKILFIEGGVQMFLCQIIVGSMIAVKFGVSGEGSFTHIEANLLLFFICLYVAAYAWSWGPLGWLVPSEICSLEVRSAGQATNVAVNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVLIMTIFIVMFFPETNNVPIEEMNKVWKSHWFWSKFVPDVVVDHDRKAVV >CAK8577453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564981026:564982420:1 gene:gene-LATHSAT_LOCUS29560 transcript:rna-LATHSAT_LOCUS29560 gene_biotype:protein_coding transcript_biotype:protein_coding MGKINSLSFSFLAITCAFLLHFQYIVLAQEHVASSESEALGLTPESKRETLEIIIGGGGGGGGGAYSPAPAPSPENDCPPPPPPPCPPTRIEKARAALLKFKTLISDPTNYTGNWNKNTDTCQFHGILCGTFPIDKQLAVAGLDFNQAKFASKDCKTIPLTGFTGILDKLEELTFFHVNSNNFSNQIPEVITRYPYFYELDLSNNKLSGPFPKEVLLSTRLVFLDLRFNFLTGSVPSQLFEKDLDVIFINNNQFSQCLPANFGSTPARYLTFAHNQFVGEIPRSIGNASKTLTEVLFLQNKFEGCLPYEIGYLKKATVFDVSENLLTGPIPASFGCLDKIQFLNLAHNKFYGTVPESVCVLPGIRNNGNLSLSDNYFTALEPSCWSLLKSKILDVSRNCIPGLPNQKSKQECYAFQCKVKPCPNPQSLKYVPCKTHWGQKQNTAQEMATEPVTYKSLKPHRLRM >CAK8561850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:394056573:394057391:1 gene:gene-LATHSAT_LOCUS15404 transcript:rna-LATHSAT_LOCUS15404 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGYLNGQLSVTRAIGDWHMEGMKGKDGGPLNATFTT >CAK8573286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600437350:600440386:-1 gene:gene-LATHSAT_LOCUS25753 transcript:rna-LATHSAT_LOCUS25753 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSHAHDNEEDNNNVFLDDSDIIHEVALDDEVLPEASDSIRDFNSDSDSEIEEVDDSDHIFTGHTGELYAAACSPTDMALVATGGGDDKGFLWQINNGDWAFELNGHTDSVSSLGFSHDGKFLATGSFDGTVKIWDAAGNLKGTLDGPEGGVQWLRWHPRGNVLIAGFDDSSTVWMWNTDNLAFLMSFNGHASSVTCGEFTPDGRIICTGSDDATLRIWNPKSGESIHIVRGHPYHTEGITCLAINSTSTIALTGSLDGSVHFVNITTGRVVSSVPSHSSSIECVGFAPSGTWALIGGMDKMTIWDMEYSLARSTCDHEYGVTCLTWLGASYVATGSMDGAVRLWDSRSGECVKMFIGHSEGIQTLSLSANREYLVSASLDHTARIFEVKDFC >CAK8576124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:410232115:410232990:1 gene:gene-LATHSAT_LOCUS28338 transcript:rna-LATHSAT_LOCUS28338 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVHSLLSLSLAILVSLLYSTTTTLSQLSPANAPIQPTLPAPTQPAAAPKPLVPSLPDSPSDSTPDTAGVVDIVGILRKAKSFNVLIRLMKTTQLINQLNSQLLTIKTGGLTILAPDDSAFSELKAGFLNSLSGGQKLELLQFHVISDYVSSSNFDILTNPVRTLAGAKPGKVELNVVSYGGSVNISTGEVNTTINGIIYTDKHLAIYKVGKVLLPMEFFSVAKAPAKGPSLAPEPSTDTAMSPKPDKDISSDSSQVVNPKEQNSGSVKIVFGKCVSFGFIITMVAMMQT >CAK8538678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492661645:492664506:-1 gene:gene-LATHSAT_LOCUS7852 transcript:rna-LATHSAT_LOCUS7852 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKENVTGLILALASSVFIGSSFIIKKQGLRRAASAFGVRAGVGGYYYLLEPLWWVGMITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLADIILKERLHKLGILGCVMCIAGSLIIVIHAPKEEPITSVQQIWNMATHPAFLAYAGSVVVLVFILVFHFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTSLKLTFEGKNQLIYAETWFFVLVVAICVVMQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWDGQSFTTIVSEICGFIVVLSGSIMLHLTRDFERSHSFRGSLPTSPTLSVRLYAGNGDLLLKEDEENESPDNSC >CAK8569779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10719718:10721046:-1 gene:gene-LATHSAT_LOCUS22591 transcript:rna-LATHSAT_LOCUS22591 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLILNSCALSIVKDCGMRLNQVLVYLGFEFPQKQAFEQAVGNIPSPSPVHISKSLQSIEVILMLLTAIPLKQFGRVFNYGS >CAK8574054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655873546:655874446:1 gene:gene-LATHSAT_LOCUS26435 transcript:rna-LATHSAT_LOCUS26435 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGEEEESNMASGSERVKPLHNFMLPCLKWGTQRHLKCMKIPSDEGSPSRNNATESEKKRSKISEQRFNADDGIGAVREKLMLDLKTEADRMKDAILRKEKENNGGDDDEVEVEVVASAARERTWNLRTRKGVGGGESGKGLLKIDEKKPNVSPSALRNGNGGVKLKGDGDSNEKVKFSLTLTKKEIEEDFMKMLAHRPPRRPKKRPRNVQRQMDTLFPGMWLSEVSADAYKVPDVPEGKK >CAK8569805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11904889:11908905:-1 gene:gene-LATHSAT_LOCUS22614 transcript:rna-LATHSAT_LOCUS22614 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYTNNQNPNPSSRPNFLYNQIPMNNTFHLQSRVSENCFQSEQVHHQHHHHHHHHQQSAVKTESNSSSQLHTPIFHYPNLMRTNIIPQTIMNHHQQSGGGGGSPSSSNEAESIKAKIIAHPQYSSLLQAYMDCQKIGAPPEAVARMVEARQEFEARQRSSVNSRESSKDPELDQFMEAYYDMLVKYREELTRPIQEAMDFMRRIETQLNMLCNGPIRIFPDDKNEGVGSSEEEQENSGGETDQVPEIDPRAEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQKLLNWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQSAALYMDGHYMADGPYRLGP >CAK8568586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620386704:620388167:1 gene:gene-LATHSAT_LOCUS21529 transcript:rna-LATHSAT_LOCUS21529 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHLIKSITKPPPPAPPPILNPPQPPPQPNPSFFPPTLSPTQHYTHFLQFLNTHLTPPLTPQTLTHFLKSKLHHHPSFTHYDFHLFNWASTLDTFSHNHTSYEWMTRTLALSHRFSLLRTLLNFISSNPCTCSHAIFSCPKTESIFRFAIQAYCKASKFDDALFAFNCMKRLIDGKPSVSLCNILIHGFVKSGKFDRAFEFYNEMVKDRVKPDVFTFNILISGYCRNFKFGSALEMFDEMRKMGCHPNVVTFNTLIKGLFRECRVDEGIGMVYEMIELGCQLSNVTCEILVDGLCKEGQVSKACELLMELSKREVLPKGYDYFVLVEVLCGNGDVFKALEVIYELWSKGCVPSLISCIVMIDGLRGLGKTEEAMRFVEKMLKEEGMILDVVTFNSVLQNLCDAGRTEEANRLRLLASSKGLEPDGMTYKILVTGYRGEGNQTDGELVVNEMLDMGFIPDLASYNKLMDVLSNCQRLRRYQMNKIGS >CAK8544089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662339885:662343193:-1 gene:gene-LATHSAT_LOCUS12795 transcript:rna-LATHSAT_LOCUS12795 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVLQFPSPSSCSSSPCVRLTSNDASHQTSLLNNDETETVIHRFQIQQQQQQQQQQNQEKDRERDQLSILTLLIATFRKSLIGCSTTGSDIASSMEIGWPSNVRHVAHVTFDRFHGFLGLPVEFEPEVPRRPPSASTSVFGVSTESMQLSFDARGNSVPTILLLMQRHLYAQGGLQAEGIFRINAENSQEEFVREQLNRGVVPNGIDVHCLAGLIKAWFRELPTGILDPLSPEQVMQSQSEEECAQLVRLLPPTEAALLDWAVNLMADVAQLEHLNKMNARNVAMVFAPNMTHMVDPLTALMYAVQVMNFLKTLVVMTLKEREESITKLNPVSNLNSFDDDGHQNDSQVLFKDDSEYGNNFSDEDTVFVTAEPSHQSPTHLFKDGCETESGSKSLPTSAESFISSGNRLLVDSCPCSVVSQICSLAMGDQTKICNNKSLQLNTSDTDKCSVGAVEKSRGIALIGRINSRSELADSWR >CAK8579331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692076841:692080167:1 gene:gene-LATHSAT_LOCUS31284 transcript:rna-LATHSAT_LOCUS31284 gene_biotype:protein_coding transcript_biotype:protein_coding MNDETELVQLCINAACKTTQTVDKWRLQRRSLDRLPSHLADALLRRLITRRLLHPSLLEVFKHSAEEIDLRGDNSVDAEWMAYLGAFRHLRYLNIAECHRINSSALWPTAGMNSLKELDLSRCSKVNDAGINHIISIPNLEKLHISKTSVTAKGIKLLASLKLLSHLDLGGLPVDDEALASLQVLENLQHIELWGSKVSNEGAALLNMFPKLTHLNLDWTSVTKLPNLSTLECLNMSNCTIDSILKDDKSPLTKLIFSGSKFLNEAETLLYANTNSLSFLDLAHTGLDKFFFLSKLKVIEHLNLSSCMIDDDSVEMVASIGGKLKSLNLSGTWVSSAGVGILAGHVPNLEILSLSQTSVDDIAFSFISMMPSLRDVDLSSTNIKGFLNQGSTDLDSLSLTTIQNLKQLERLNLEHTQVINEALFPLSSFQELRYLSLKSPSLADISLYHLSSVPKLTKLSICDAVLTNYALDMFKVPETLKLMDLRGCWLLTKEAIVSFCRNHPQIEVRHELVTVLPFEENGRHHHSSPSRLTSRTMLATRKKEQTSLSPYFVDQRMKYSRDELLALQFMSLPLASSSERDNSM >CAK8568165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579041284:579045522:-1 gene:gene-LATHSAT_LOCUS21154 transcript:rna-LATHSAT_LOCUS21154 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSINMSDQIKNNEDGENHINYDSHTLEDGSGDGEGAIQDVSIEDVYVSGDGNHADMSIQRFDDSSQLTLSFRGQVYVFDSVTPEKVQSVLLLLGGCELSSGSQCLDSSPLNQRSGTEFPTRCSQPQRAASLIRFRQKRKERNFDKKVRYEVRQEVALRMQRSKGQFTSAKKQDGANNWGSDPESGQDVVQSETACTHCGISSRSTPMMRRGPSGPRTLCNACGLFWANRGTLRDLSTSRRNHEQHTLPPPEQGTLRDLSNSKRNHEPLTLPPPEQVSEVNDLNCETSLPAHNDSVDDKTALTSDQ >CAK8565391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:160649782:160652460:1 gene:gene-LATHSAT_LOCUS18609 transcript:rna-LATHSAT_LOCUS18609-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTLPDWSFGSDNFVTNQKKQSMGLDHELVELLWENGQVVLHSQTSKKPINSRNVHKNLQSTTLSNLIQDDETVSWIQQYPFEDPIGQELCSNLLSELPPCHVDSYDSQPTTKPSFVEEFSIPRFHHHVPDLSLKNNELCGSNKVVNFSHFSRLPNVSLACNNSDGDLRDKVTGNLSQCDIRESSAMTVGLSHCGSNQVQQDPDVSKVSSDGVWTNTISAEPQQVKDNVQTTTIPWHEKGKSEMLEPTFTSSSGGSGSSLGKTCSLSTRSHGEKRKGIDVDDSVEQSEDTELKSALSNKVSQRSGSGRRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQVMWMGSGMAPMMHPGFQHYMTQMGMSMPTASFPPLQNPLQLPRMPFDPSVSLCQTPNPTLTCQNPLFGAFNYQNQMQNPALSEQYARYMNYHLMQNASQPMNMYQYGPQTVQNSQTMIPTSNNSGSMSGAANINDNVNGKIG >CAK8565390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:160649782:160652464:1 gene:gene-LATHSAT_LOCUS18609 transcript:rna-LATHSAT_LOCUS18609 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTLPDWSFGSDNFVTNQKKQSMGLDHELVELLWENGQVVLHSQTSKKPINSRNVHKNLQSTTLSNLIQDDETVSWIQQYPFEDPIGQELCSNLLSELPPCHVDSYDSQPTTKPSFVEEFSIPRFHHHVPDLSLKNNELCGSNKVVNFSHFSRLPNVSLACNNSDGDLRDKVTGNLSQCDIRESSAMTVGLSHCGSNQVQQDPDVSKVSSDGVWTNTISAEPQQVKDNVQTTTIPWHEKGKSEMLEPTFTSSSGGSGSSLGKTCSLSTRSHGEKRKGIDVDDSVEQSEDTELKSALSNKVSQRSGSGRRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQVMWMGSGMAPMMHPGFQHYMTQMGMSMPTASFPPLQNPLQLPRMPFDPSVSLCQTPNPTLTCQNPLFGAFNYQNQMQNPALSEQYARYMNYHLMQNASQVN >CAK8574573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2592209:2593649:1 gene:gene-LATHSAT_LOCUS26904 transcript:rna-LATHSAT_LOCUS26904 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYDNSTSILSGAGQTVCVTGAGGFIASWLVKLLLEKGYTVRGTLRNPEDPKNGHLKELEGAKERLTLHKVDLLDLNSIQSVVHGCHGVFHTASPVTDNPDEMLEPAVNGTKNVIVASAEAKVRRVVFTSSIGTVYMDPNTSRDVVVDESYWSDLEYCKNTKNWYCYGKTVAEQSAWNIAKENGVDLVVVNPVLVLGPLLQETINASTIHILKYLNGSAKTYVNATQCYVDVKDVALAHILVYETNSASGRYICSETSLHRGEVVEILAKYFPEYPLPTKCSDEKNPRVKPYIYSNKKLKDLGLEFTPVKQCLYDTVRSLQEKGHLAIPPMEGDSA >CAK8537988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:454356053:454358409:1 gene:gene-LATHSAT_LOCUS7232 transcript:rna-LATHSAT_LOCUS7232 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSKKNKTSTSSPPASTKSLSTPPIHQQNSHNNVTATISKPENKNNKTEEKQQDPEVLIQHEEESQPKKEIFIIKHRKSHDEREKNSTKINDGLVSSSETESLNNNNNNNNISNNNKIGVVSAVRTSSCTKEEVDAILIQCGRLSRSSSGKAASSSTRKYSGSKRSFDFDHSDNNNDAISAEDEQKRTNPSDNSEEYDGAARHNNRQRHRSSPKSSSNGRRRTPSREREREQRSSSRERRVSRSPGRRSSDANAANGSNNTGSGSGSRPGKMVTVPATVSSLVMDKSNNGGGGGGGDSGKRVNVKRNIVSPRSMSPARVNGNGTNQNQNQQQPSLSRSNSARKTEVSPYRRNPLSEVDPNSLAYPQSNANNGGSKVQIKHKKEMEAETVQKPKAEVRDNTRNRTSSRGISEKGVNTHTKEQQEDEIKVMSDNAIVKNVVMPTGITRSRSSRRSRDLDNLNPETLTNPPPSSYTSLLLEDIHNFHQKTITQPAVSLPACLNKACSILEAVADLNSTTSSTFSRNEYNAVPESSFVEESEVVVSDDVMEPSLHKYVTVKRGGSFSLCEDQESSGSNSFSLNSGQQQQHWNNCSSGNSPDCWSSRLNLKEESLMKRRECDHQHSGGIGRGRLATTSSST >CAK8569440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1139239:1149317:1 gene:gene-LATHSAT_LOCUS22290 transcript:rna-LATHSAT_LOCUS22290-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLDSNHKRHEREIDAPESALSSTTMASVEQPLKKRKLHDSPSSESPHSPPPQPPGTTAPILQTLPPPPLSQEEILAKRRNRDAIRNLYDAYKRIKRCLLQKQVPSTPELDQNFLALIASSRGCASVQRIVADLIPRYACHCPTTLETAAKVVINMHNWSLSLISKEEDSSGIAFETAKACIFGLADICYAASSVAPTSAVVRGIRSTVFQNVLTFFVALFEEKDFLRMIDKNFLKMQDNPEAFSQLEQNILNQDDSSLIKLSKFRTLCILWIFFSCPKELLAACLELFGATTKEGTSNEGKRFLSVVTSTLNDEEAVHLLGRANDGPKSCSDYIREGIKEIEVGENVITDDNHITDAIRKSCLLMLVLNKDRSLRSWTVRRCKKLLHSLINASLETTSVLQGILGMFTQQIELEDCQIDSDEDKSDSSIFMNRNYGIPKISEEHESIGETSQKGSHFDNGGKSRSVGVEKGDMSHVRCPTPRDYVSHQIFSPTVRTAVDFRSNSFDGRNDFPIVEKNQVLNTNFNSPLTRSSSGAVSNVLASPNHHFMSPTSLTRSQIVWCCDGDPAAVDVVAASKQLWVGCVAPDMPESHIRFQLERFGPIEKFIFFPLKGFALVEYRRIIDAIKARHFAPQNFSCRVKFMDIGLGTKGAMNSVAVGSSSHIYVGNISSQWAKDEILHESRKIVYKGPLTFIDLSCECALLMEFETPEEAASVMLHLRQLRRERSSYNPHFGPGTINVVSGHAYMDGARPLPTHAHLDFKVSNSAGSPHARTLHGSPAESSQTRMSHLCNILASLRAKYNINQNTVLHDSYMTGNSFASSMPEEDAVPSSTLWITIPHSSSQFLTDDELMSICNLAIGNSGFIARLTQAKIHMGCGWFVECSNVDGAVSVLKSLRGCPGLFFQIEFSKPGNQNAVPFSIKPENHAMELVSPRINAENYSSAVPGAPFSQSNWHYPDSREISEVGVRKPDGYDHLTLDPHRGNAPHLHSGTRGPSIPPPPQQIQSSPFTRPVYAPPNGPWDPHGINNQLPGNQFQTGVMPNNFNGSPYISASVTSVAQIQGTPTPMQSYNQQIPPLIVPPPLSSLPPHQPEMPPPHPHHPPSPPPLPQTQPPSVPPPPGSPPPPPPPLPVLEPVNMECSEQPLQYQWQGNLCKSGVSYCTINACRADSNLCRYSNAIPEPAEWPTKLDMNKRTDLRHVQSTFAATPSHRREVCRLIPSSTSDDRRFQDFISYLKQRDCAGVIKIPASKSIWARLLFILPHSLETCSLLSIAPDPSECLIALVLPKETNFEWE >CAK8569441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1139239:1149317:1 gene:gene-LATHSAT_LOCUS22290 transcript:rna-LATHSAT_LOCUS22290 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLDSNHKRHEREIDAPESALSSTTMASVEQPLKKRKLHDSPSSESPHSPPPQPPGTTAPILQTLPPPPLSQEEILAKRRNRDAIRNLYDAYKRIKRCLLQKQVPSTPELDQNFLALIASSRGCASVQRIVADLIPRYACHCPTTLETAAKVVINMHNWSLSLISKEEDSSGIAFETAKACIFGLADICYAASSVAPTSAVVRGIRSTVFQNVLTFFVALFEEKDFLRMIDKNFLKMQDNPEAFSQLEQNILNQDDSSLIKLSKFRTLCILWIFFSCPKELLAACLELFGATTKEGTSNEGKRFLSVVTSTLNDEEAVHLLGRANDGPKSCSDYIREGIKEIEVGENVITDDNHITDAIRKSCLLMLVLNKDRSLRSWTVRRCKKLLHSLINASLETTSVLQGILGMFTQQIELEDCQIDSDEDKSDSSIFMNRNYGIPKISEEHESIGETSQKAGSHFDNGGKSRSVGVEKGDMSHVRCPTPRDYVSHQIFSPTVRTAVDFRSNSFDGRNDFPIVEKNQVLNTNFNSPLTRSSSGAVSNVLASPNHHFMSPTSLTRSQIVWCCDGDPAAVDVVAASKQLWVGCVAPDMPESHIRFQLERFGPIEKFIFFPLKGFALVEYRRIIDAIKARHFAPQNFSCRVKFMDIGLGTKGAMNSVAVGSSSHIYVGNISSQWAKDEILHESRKIVYKGPLTFIDLSCECALLMEFETPEEAASVMLHLRQLRRERSSYNPHFGPGTINVVSGHAYMDGARPLPTHAHLDFKVSNSAGSPHARTLHGSPAESSQTRMSHLCNILASLRAKYNINQNTVLHDSYMTGNSFASSMPEEDAVPSSTLWITIPHSSSQFLTDDELMSICNLAIGNSGFIARLTQAKIHMGCGWFVECSNVDGAVSVLKSLRGCPGLFFQIEFSKPGNQNAVPFSIKPENHAMELVSPRINAENYSSAVPGAPFSQSNWHYPDSREISEVGVRKPDGYDHLTLDPHRGNAPHLHSGTRGPSIPPPPQQIQSSPFTRPVYAPPNGPWDPHGINNQLPGNQFQTGVMPNNFNGSPYISASVTSVAQIQGTPTPMQSYNQQIPPLIVPPPLSSLPPHQPEMPPPHPHHPPSPPPLPQTQPPSVPPPPGSPPPPPPPLPVLEPVNMECSEQPLQYQWQGNLCKSGVSYCTINACRADSNLCRYSNAIPEPAEWPTKLDMNKRTDLRHVQSTFAATPSHRREVCRLIPSSTSDDRRFQDFISYLKQRDCAGVIKIPASKSIWARLLFILPHSLETCSLLSIAPDPSECLIALVLPKETNFEWE >CAK8570540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57433238:57443239:1 gene:gene-LATHSAT_LOCUS23280 transcript:rna-LATHSAT_LOCUS23280 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPGTTQPPWSPSSLSFLSSTLFRDPKPKPIIYTFLLISLFSILLILSLSSSSPSQTHTRPDPFLFPTHQTHRIIYDQDKTAPPPPSIAYLISGSKGDSGRILRLLSATYHPLNHYLLHLDPSAPHSDREHLALVVQSNPIFKAAQNVHVMGKPDFAYAKGSSPVSFTLHAASILIRLSLNWDWFVSLSADSYPLVTQDDLLHILSFLPKDMNFVNHSSYIGWKELRKLKPIIVDPGLYLSEGTEMFYATQKRELPSAYHLFTGSSLSILSRNFMEFCIWGVDNLPRILLMYFSNTPSSLSNYFPTVLCNSRQFNKTVINQDLLYAIYDSHRNDLRPLNSTDFDDMILSGAAFAKKFQPDDPMLDLIDQKVLNRSPGSVVPGGWCLGEPGNNTCLTWGDASILRPGVGSQRLEKAIVELLSNGNFRSRQCI >CAK8540968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36779605:36780339:-1 gene:gene-LATHSAT_LOCUS9922 transcript:rna-LATHSAT_LOCUS9922 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRIKADLVDEEKQEKEIQKQIERAEQLMQLSNPESDQPSQVETTRELNAEDGIKIGFSLGSSAKPIAKDKGEALRMAFDEVDEEKYEEKNPVNNLKRKESGGGKSNLDEMIREEERKKEKINRKDYWLHEGIFVKVMNKVLAEKGYYKQKGVVRKVIDKYVGEIEMLESKHVLKVDQEELETVIPQVGGRVKIVNGAYRGSLARLLGVDTDQFCAKVQIEKGAYDGRVLKAVEYEDICKVA >CAK8577019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531943788:531946377:1 gene:gene-LATHSAT_LOCUS29163 transcript:rna-LATHSAT_LOCUS29163 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCAACAMEWSIQLEKGLRSGKPGVPVKAILQMGPHLQRWSRELESGIVPNGMFDLVPGEGDLFANTILLRLADAFRGGNTEIRLSVVRVFLIERKHHDNRKHKQCNGLLSMARIANHLELLKRVKSVFNSGDSESKSLALVLFGCWADFANDNAQIRYLILSSLVSPHDCEVRASLFAAGCFCEISDDFACITLEMLFNIMNSPAASLTIKLAAARIFAKFKCSYSVAKKAYKIGLELISNSSNEDILVIMLFSLSKLVSISTLLTSNHVEFLVSFLERQSTSHVRETVLRCLHFLFRNNPSLKLYSNTSFEVPDGQSIELSPSEYEAWKGDWTDDEMLELNKLFSDSEVLNCIV >CAK8541623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:306666247:306666867:-1 gene:gene-LATHSAT_LOCUS10528 transcript:rna-LATHSAT_LOCUS10528 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEIVLDAFEREEFIPSLFVFMGDFYFEPYTQSSGSFSILRLQFGRLGKIIAARSRLKENNCFLFIPGPSDIGPSIVLPRCRLPKRLTEELEKYIPDAIFSSNPCRLTLYLNRIAIFIV >CAK8575437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119039471:119041360:-1 gene:gene-LATHSAT_LOCUS27704 transcript:rna-LATHSAT_LOCUS27704 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPDTKKPLLQFAPMQSSVDEGFWHRLSSLKLNKLGIDDSPIPIIGFYAPCSHPRVSNYLTLLAESLPSESSEASSIPEPSHGNRNRCSVSGTLYNTNTVESFHALDKHNLLKEEAQKIWGDIQTGRALEDCSVLSRFLLISFADLKKWSFHYWFAFPALMIDPPATVVNLSPASQWLSKEEAESLSAACNEWRGSKSTADVPFFLVTIDPNSRATVRLLKEWMLTRSYLGFMTRVISQIILDGPCATS >CAK8578622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641976261:641978653:1 gene:gene-LATHSAT_LOCUS30618 transcript:rna-LATHSAT_LOCUS30618 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEELVDGKVDWRGRTAVRHKHGGMKVSLLVLGAFAFENMATLSLAVNLVSYFTGIMHYELADAANMVTNYAGVSYMLSIVVAVVADTLIGRYKSVVFSGLFECLGLALLTIQAHYPSLKPAICNLYDKNAVCEKIKGSHEAFLFIGLYLLAFGSAGLKASLPPHGADQFDERNPKEARQMSSFFNGLLLAICVGGSVSLTFNVWIQDSKGWDWGFGISTVAVVCATIIFAFGLPLYRIHAAQRTNSLIEILQVYIAAIRNRNLPLPTDSEELYEIEQDKEAAMEIEFLPHRDIFRFLDKAAIERKSNDVQSEKQEIPSHWKLCRVTQVENAKIILSMIPIFCCTIIMTLCLAQLQTFSVQQGFTMNTKIAKHFNIPPASLPIIPVAFLLILIPFYDQICVPLLRKLTGIPTGVTHLQRIGVGLVLSSISMAIAAIIEVKRKGVARDNNMLDAIPGVQPLPLSIFWLSFQYFVFGIADMFTYVGLLEFFYSEAPKGLKATSTCFLWCSMAIGYFLSSIMVQLVNRATKNVTASGGWLAGNNINRNHLNLFYLLLSLLSLLNFSVYLVVSKRYKYRPQSPAVKGVDSQ >CAK8539887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530413062:530413690:1 gene:gene-LATHSAT_LOCUS8944 transcript:rna-LATHSAT_LOCUS8944 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASETVGYPGAPYDTSLLVKYEQYIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVSQRVAQQQVRECRGSYYKLE >CAK8562771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534806681:534814439:-1 gene:gene-LATHSAT_LOCUS16240 transcript:rna-LATHSAT_LOCUS16240 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHPLCCISLESPGIGSHSPEPDAAALSRTRSLPACGSDRICRRGSEATVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKIRSPENLNLFSPIDDVRLIGDVTANRLARMDRDAGNAVRRKNHKPSSSSSSPPTVVHLKISSFRESKSDDRKFYIFTATKTLHLRTDSRKDRVAWIQALVSTRSLYPLSGHHLFLAPYHISVSTERLKKRLVEEGSSENLVKECEQIMLAEFSELQEQLKILCQERSSLFDTIRQLEAANIEPEGSALHDSEYQLTKNGFSNLGRGKYSECSTTESSDDIEKHEVEEVSEEDEISYYDSRDYFTEPGFRCGSNILDQVNMSGEANRQCLDVENSHVEPMIYDYGYPQITRRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGKSGNSLLRALNVAAFAVSGYASSEGRLCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTVVACHCEGRGWRFWADSNIHSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKLYCGHHGNMEIRGNRQHSCRLKFKEQTILDRNPRQVNGFVEDTMGKKAATIFGKWDDSIYYFDGDVNVKPKDYTSSSGALLWKRTKPPQNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANMEKQRLEKRQRMSRKMQENGWEPRWFRKEGENGTFLYTGGYWEARAVGTWDGCPNIFGEFQESIVETL >CAK8538185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467984824:467986375:1 gene:gene-LATHSAT_LOCUS7413 transcript:rna-LATHSAT_LOCUS7413 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGVVGAGETPTPNIEPNSRPSRRRSLDYLPLKYIADVAIPPENISRKRQKLDLVVSLPPPRECEKTVDTDEESNENKVVAVANCVNVSDLENEVVVDVEECPKYGITSVCGRRRDMEDAVSVHPSFCKEKTEKNQKPVHFFGVYDGHGCSHVATMCKQRLHEIVDDEVNKEKEKEKFDWKSTMEKSFIRMDEEVLKSSKTTQSFTCKCELQTPHCDAVGSTAVVAVVTPEKIIVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELDRINSAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTVTERTEEDECLILASDGLWDVVQNETACRVVQMCLKAEKTVSPPGSPGSDVVGDGSDKACSDASILLTKLALARHSSDNVSVVVIDLRRHQHQQ >CAK8572170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516859400:516860668:-1 gene:gene-LATHSAT_LOCUS24763 transcript:rna-LATHSAT_LOCUS24763 gene_biotype:protein_coding transcript_biotype:protein_coding MALKETFYISHGSPTLAIDETIPAWKFLTSWKQVFPHRPSSILVISGHWDTSVPTVNVVNRNETIHDFGGFPRSMYKLKYPAPGAPKLAKRVKELIESSGLSRVNEDKKRGLDHGTWVPLMLMYPEADIPVCQLSVSSSRDGTYHYNLGKALAPLKDEGVLIIGSGSATHNMRAIAPRESPPPPWALAFDSWLKESLVEGRYDEINHYEEKAPYAKVAHPWPDHFFPLHVAMGAAGENSKAKVIHESWDGGAFSYASFGFTSAN >CAK8566334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:409141005:409144134:-1 gene:gene-LATHSAT_LOCUS19469 transcript:rna-LATHSAT_LOCUS19469 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTNKNKVEKVELGCSFMAKIFKLKAMKLRNSSSVHSLPIKTINNPQLNESKGSPNHISKTPTEPQPVKNHTLLDSARSSIQQREVNGNSLGLARISTSTTSRHHRDNENKSPSKDLSPIKPTGNLLMNSSYISPRTSVTKINKEMNFVSCSASNGVMGNIIRKSGDGVSQFRSPRSNRVLDPEVLKSMGNEAYKQGRFSEALALYERAIASDSNKATYHCNKSAALIGLGRFQEAIFECEEAIRIQPSYARAHSRLATIYFRLGEAENALICNKETPYFDSDLAFKAHALQTHLNKCSEARKVNDWKFILTETKSAISLGVDSAPKVFALQTEALLKLLRHQEAYATYEKMPKFDHDWCNKLFGADSSAYFLMIGAQIYLATGRFEDAVAASQQASKLDPSNSDVNAVVRRARAATSARLSGNLLFKASKFTEACAVYNEGLEHDPFNSVLLCNRAACRSKLGQFEKAIEDCNVALKVQPSYSKAMLRRADCNAKVERWEAAIQDYEMLIREKPGDEEVARALFEIQLKQKMLRGEDIKNLKFGSNLVCISSNDRFRHYVTSPGMSVVLFCNKGKATHKQILVVLEQTCKRFPSVNFLKVEIEDHPYLTKSEGVNTIPAFKIYKNGSRVKEISGNNHELLERSVKLYSS >CAK8565777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:331621661:331622215:-1 gene:gene-LATHSAT_LOCUS18961 transcript:rna-LATHSAT_LOCUS18961 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIGFMCTLAASAGYGLILSLTQLASKKVVKSESFKAVMDMIIYQSLVATCVPLVGIFASGKWNCIEKEMEEYELGKAFTAIGWQVFNIGCVGLIFEISSLFSNAISVVGLPIVPILAVVFFQDKMHGIKAISMVLAVWGFISYIFQQYLDERNGYAEKRNTRRVTQGTSPVEMDDCGHRLTQ >CAK8539382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510720088:510720708:1 gene:gene-LATHSAT_LOCUS8483 transcript:rna-LATHSAT_LOCUS8483 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFESSFTDFNHSDTSSASSETSSSNRNSESDRPKRRTGRRVFKETRHPVYRGVRKRNNNKWVCEMRVPNSTKCSKSRIWLGTYHTPEMAARAHDVAALVLKGKSACLNFADSAWKLRLPESNDAEEIRKAAMEAAELFGDGVIIEDSNDKHVMTELEDMHDLVMSIANEPLRSPSPSFTDYASSNWGEFDIFETQVSSLWDFSI >CAK8537304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:218132642:218132943:-1 gene:gene-LATHSAT_LOCUS6603 transcript:rna-LATHSAT_LOCUS6603 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTMLHKNEAFLRMWVICLLLCTIGGVLRDDYAIEVSGILTGQVSVYGQRFPKWGPSF >CAK8563240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585355526:585376085:1 gene:gene-LATHSAT_LOCUS16671 transcript:rna-LATHSAT_LOCUS16671 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESDENTSKNTSRRKVGLLYDERMCKHFDPLDDYHPETPNRIRSIWNKLQTTGIADRCVILDAKEAEDKHLLSVHSIKHVDQIKKISSKSFNSRNYAAAKLNSIYFNEGSTESAYLAAGSTIEVAKRVASKELHSAAAIVRPPGHHAEHDEAMGFCLFNNVAIAASYLLDETPEFGVKKILIVDWDVHHGNGTQKMFWNDSRVLFFSVHRHEFGSFYPANDDGFYDMIGEGAGAGYNINVPWENGGCGDADYFAAWDHILLPVAKEFNPDIIIVSAGFDAAVGDPLGGCCITPFGYSVLLKKLMDFADSRIVLVLEGGYNLDSIAKSTHACLEVLLEDKPLMGSSETHPFESTWRVIQAVRQELSPFWPTLACEIPQKIIGQTAPPPHTLVSSSDSETEDDKAPLKLKNIVDLLSKLKVDADKESDASYSWRSELSNVYIWYASFGSNMWRPRFDCYIAGGQVEGMQKPCSGSVNKTLPNEILWKTFPCRIFFGRDSSRSWGPGGVAFLNPQTNSQHKTYMCLYKISLEQFNDVLFLENGLSLDAGSPLFDMTTLNSISNKEFSCQEVVKDIWYGNVVYLGKDQDIPIITMTCSGLDIERFKSGKLPLCPPNKSYANTLIKGLVEGEQLSEEEAIAYIDAAAAELL >CAK8579770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721725795:721729332:-1 gene:gene-LATHSAT_LOCUS31688 transcript:rna-LATHSAT_LOCUS31688 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLRNSNRNDYKLLRLRDANSCINQRVNIIAIVLEFGLPKTTRGTDQCCTLRLIDETHHQTGMAVNIFTENAESLPHVAASGDIIQLCNVTLRTHIKEVNAVFYKNSSSFALYRGNGDDDDFVPYQVYSKVFLRDEDKMRINMLRKWLVNFQIPAESRDFPMFREIKEGHFNLAGKILHCWEALKDNWFVYVWDGTDAPPNAIASMLEGEINHPLPLQLESLPLPRDVLCTLPTVGSILRIAFELPIEKNHLNVLKCGKWAQFINVRLKVYAGLWYGVFTSHSKLRHTPSEDRLITERQRLYDDRILLKSGNTAIGSMPQSIDSLPESLRITKVNHNRVRHLTLMDVLTHSEVTAKFKCVVRVVAAAPYQAEKFCTPAGKYMMRLTLEDPTARIHAFVVDEDGETLFGGYPGTANVKRKLNRLLGVTECDDSVVVNDRPRNPPWASVCIKSYYVSKTDVWGSRNFRIFDTTIVDGP >CAK8566506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429811083:429812641:-1 gene:gene-LATHSAT_LOCUS19631 transcript:rna-LATHSAT_LOCUS19631 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTAAVTSHFFGTHLSKPASNTGRIRAFFNIGFKKAAPKKKEVKKVRQPESDGLVWFPGAQPPEWLDGTMIGDRGFDPLGFSKPAEYLQFDLDSLDQNLAKNLAGDVIRTRVEATEVKPTPFQPYSEVFGIERFRECEVIHGRWAMLGALGALAVEAFTGVAWQDAGKVELVEGPSYFGFSLPFSLTTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLASDPEEKERLQLAEIKHSRLAMVVFLIFAIQAAATGKGPIGFIATFNQ >CAK8542825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551740784:551744266:-1 gene:gene-LATHSAT_LOCUS11629 transcript:rna-LATHSAT_LOCUS11629 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPSSDKAASLLQNLSLDSQPKTISGDAEPVKKNGPSFAGSKAKGTGKPFNPNPSFAQNGYPSTAYYYGGYDGQGDWSGYSNYANLDGGMAQGVYGDNCSYMYQGYGYTPYGAYASPNSSSPMVQHDGQLYGLQQYQYPCSYYNSPTSADVFAPNKTSVAQREMSTAVNADRVSSNVMNNGNSVGMVNGDCTNQNGLKSFITSSQHTSFNTRDSYQGSSLPACAPLSGYQGQRLGTHGTQSSIPTDLSLVSDRQTKHGGKVGLSPQVMPVKDFSSQRNRRHTPPLPQFMNSNGSRHPSGMELVPGFMNSMYPSNSMFSQYGNTFRANSRFGSSAYGSRTGSYDYKFRATGNGYVANDSRRNVDGFSELNKGPRAAKISDNKGVKSLGSVTLLLKGQNLSVKSDNKEVPLVVPNKEQYIGEDLSENYSDAKFYVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAKEKPGGCPIFLLFSVNTSGQFVGLAEMTGPVDFDKTVEYWQQDRWTGCFNVKWHVIKDIPNGLLRHITLENNEDKPVTNSRDTQEVKFDKGIQIVKIFKEHSSKTSILDDFGFYESREKTTQERKYKEHQLSKQVNNANDITFGSVTLPKSLDTTLMNESATADAAQGKVNSEVLVEMNGSTHAFEDSSKSSS >CAK8543295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596434527:596434733:1 gene:gene-LATHSAT_LOCUS12061 transcript:rna-LATHSAT_LOCUS12061 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGYSFQVLIMVMVIGLFCMTKILAQDSEIAPTSQLETGTGCAMPVSVVIMFCSMFASFMVFMMQ >CAK8576994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530157953:530158492:-1 gene:gene-LATHSAT_LOCUS29144 transcript:rna-LATHSAT_LOCUS29144 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLSCMILLFLLPFTLYFLLSKCTKNMIKLPPGPTPLPFIGNLHQVGKKPHKSLAKLAEIHGPIMSLKLGQVTTIVVSSPNMAKEILQTHDHALSNRVIPHAVTVHDHHKYSMTFLPVSPLWRDLRKICSNQLFSNKKLDESQKLRSQKLQEFLNLDYNFIGNFIYARTGNGRIAQK >CAK8537446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:360981786:360982730:1 gene:gene-LATHSAT_LOCUS6738 transcript:rna-LATHSAT_LOCUS6738 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNISIIFTLFLTISLLHLISARKLTESDQLLKFQYHKGPLLTGKISINLIWYGKFKTSQRAIITDFITSLSSPKQTTTSQPSVATWWKSTEKYYHLTNSKKSVNLALSLGAQILDENYSLGKSLTTNQIIKLASKGQQQNAINVVLTASDVAVDGFCSSRCGTHGSSYGARVNGKQHKFAYIWVGNSETQCAGQCAWPFHQPIYGPQSSPLVAPNNDVGLDGMIINVASLLAGTVTNPFGNGYYQGPKEAPLEASSACTGVYAKGAYPGYAGDLLVDKTSGASYNANGVNGRKYLLPAIVDPKTSACSTLV >CAK8560498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22290999:22294607:-1 gene:gene-LATHSAT_LOCUS14164 transcript:rna-LATHSAT_LOCUS14164 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRLFQASMDATKRAVSGSFDDLMPPPERYIFNFNSKKELTKWHLYSDSEFGGLSSASLQIPESESGTTTGIFSGNLSLEVTQGAKWNISRGGFCGMRSKKFDGFIDLDSYDTIAMKLKGDGRCYISTIYTENWVNSPGQMEDNSWQSFIYVPKDNWYIAKIPLARYLPTWRGNVIDAEIEMNPSRVLGMSFSVNAEGGVPGARSGPGDFRLELDWIKATRTQ >CAK8544996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717172436:717173209:1 gene:gene-LATHSAT_LOCUS13632 transcript:rna-LATHSAT_LOCUS13632 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSPTAQNPIQSTAIDITGKIMVVIIIILFLFVVTFLFFHLFAKGFWWSHDTHADNDPQSRRRRRGQQGGVVGEGGLDPLVLESLGVSVFNREKDGLDLECSVCLSELVEGEKVRVLPKCNHRFHIDCIDMWFQSHSTCPLCRTTLAATPSPSLILEIEPSSPAAFPTNVLIWGNHNQTISSTTSSEQNTEGMLRIDIPNDTECEATSSSSSEGGRLRSLKRLLSNSRLNPWSPTAAATSLQQQIKAKESAAQHS >CAK8538367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479220184:479220475:-1 gene:gene-LATHSAT_LOCUS7578 transcript:rna-LATHSAT_LOCUS7578-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNQVVEVKVGLHCDECIKKILKAIKKIEDIETYNVDKQLNKVIVTGNVTNEEVIGVLHKAGKNATVWENVQC >CAK8538366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479220184:479220566:-1 gene:gene-LATHSAT_LOCUS7578 transcript:rna-LATHSAT_LOCUS7578 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVVEVKVGLHCDECIKKILKAIKKIEDIETYNVDKQLNKVIVTGNVTNEEVIGVLHKAGKNATVWENVQC >CAK8541762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:388841855:388846981:-1 gene:gene-LATHSAT_LOCUS10657 transcript:rna-LATHSAT_LOCUS10657 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNPEAKADDDMKWVHDSSVDHKGRVPLRASTGSWKAAFFIIAIEFSERLSYFGIATSLVLYLTKVMHQDLKTAARNVNYWAGVTTLMPLFGGFIADAYLGRYSTVFASSIAYLMGLVLLTLSWFLPSLKPCDHTMTCNEPRKIHEVVFFVAIYSISIGTGGHKPSLESFGADQFDEDHVEERKQKMSFFNWWNCALCSGLILGVTLIVYIQDNVNWGAADVIFTGVMAVSLVVFVIGRPFYRYRVPCGSPLTPMLQVLVAAISKRKLPYPSNPDQLYEVPKSLAHKKKFLCHTKKLRFLDKAAIIENDGNLAEKQSPWKLVNVTTIEEMKLIINMIPIWVFTIPFGISVAQTSTFFIKQSAIMNRKIGKSFELPPASIFTVAALGMIILVAIYDKILVPMLRKINQNERGINILQRIGFGMFFTITAMIVAALVEQKRLEAIKEQSLSMSVFWLVPQFLIIGFGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFMSSMLITLVDHVTMKNGKSWIGKDLSSSRLDKFYWLLACITTVNLFLFVFFARRYSYKNVQKVAVVVDSYEGKSDYGRVENEV >CAK8560659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34668812:34669659:-1 gene:gene-LATHSAT_LOCUS14317 transcript:rna-LATHSAT_LOCUS14317 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCEEEKIMKIPKLPLLNTLPIIHSPERPGTKTPPFHITVSVPFGWEEEPGKPKPCTDLVTFSNPTAFKCLELPPRLLDDTKVPSPTIVFDGPYKKDCSGTEKLGTLILKKETGVKKKGLFGNWRKRVINVKKHVFLSSSVDKDNDNVIVGDKNLKMRKKIKHYSSFDNPFHAKSSIWTKMCERWKRVVEWKSDKVNKNQCWWF >CAK8564725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7634306:7636741:-1 gene:gene-LATHSAT_LOCUS17997 transcript:rna-LATHSAT_LOCUS17997 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPEQELESTEWELINNDDSPIVSAITDFNPNSSSIIRSDHFSIHQNPNSVTSSCSSNSEIDRNFDDSYVANQILSSSSNNNLLRWNDSDSDNSDISDKSVNSVNSDSDATVTASLTGDGEVGFGSEEKAEEVEEEEKEVKGDNERLVWWKVPFEVLKHWVSPLLIPLPLSVAAAAAFLGLLILGRRLYKMKRKTQTLKLNLALDDKKVAQLMGRVARLNEAFSVVKRVPVVRPSLPAPAITLRPVMSMR >CAK8573837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641539040:641541997:1 gene:gene-LATHSAT_LOCUS26239 transcript:rna-LATHSAT_LOCUS26239 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVATELCIFLLSASCLLLLSSGFQLHSKPYDYSATTQCLKEPHRAQYGGGIVVNPGFDHNIKGWTVFGNGTIEERISNDGNRFIVARNRTRTLDGFSQKVHLKKGLIYIFSAWLQVSEGSEIVSVVFKTNGSELVHGGHVIAKHGCWSMLKGGIVANFSSPAEILFEIENPTVELWADSVSLQPFTKKQWRSHQDDSVERVRKSKVRFQVTHPNETVLKGATVVTKQTRAGFPYGCAMNHNILTNSDYQKWFVSRFKYTTFTNEMKWYSTEKIQGKEDYTIPDAMLQFTKENGISVRGHNILWDSEKRQPHWDLSLSPDELRVAAEKRMNSVVSRYKGQLIAWDVVNENVHFHFFEDKLGENVSAEYYSAAYHLDPNTKMFMNEYNTIEFSGDKDASPANYIRKLKEIQQFPGTAGISLAIGLQCHFSVGVPNLAYMRSGLDLLAATGLPIWLTETSVDPQPNQAEYFEQILREGFSHPAVQGIVMFVGPAQAGFNDSTLLADANFKNTAAGDVVDKLIREWGTGPQTAIADSRGIIDISLHHGDYDVTVTHPLTQYSKTLNISVRKGFSLETVHVKMHA >CAK8565476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233564241:233565422:-1 gene:gene-LATHSAT_LOCUS18690 transcript:rna-LATHSAT_LOCUS18690 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLATRKDLMIVNMGPQHPSMHGVLRLIITLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNILVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVAADLPYGWIDKCLDFCNYFLTRVIEYQKLITRNPIFLERVEGVGVVGREEVINWGLSGPMLRASGIQWDLREVDNYECYEELDWEVQWQKEGDSLARYLVRIGEMVESIKIIQQALEGIPGGPYENFEIRCFDREKEPEWNDFEYRFIGKKPSPTFELPKQELYVRIESPKGELGIFLIGDQNGFPWRWKIRPPGFINLQILPQLVKRMKLDDIMTILGSIDIIMGEVDR >CAK8561484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241697366:241739517:1 gene:gene-LATHSAT_LOCUS15071 transcript:rna-LATHSAT_LOCUS15071 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPSSSKLHTAFWFPTPSHRNTQTHRNRNIIKCATKRTGKQQYPSEKKKLKSKHKDLFSTSNDDKTKFEGTWRLRNLAVPLDQDPGKDFLKVSDALLEVIAKLLKFPVVSMLPREPFSVVRKSFDARKEPKFVHIVDMDVQKLLSLEPRSWDYISQLQPKVGLVERVDDGRDFGNLMSVIQYCKENSEGVVKGENGDSIFLKELNKKQDVKKPKIAVVGSGPSGLFAALVLAELGADVTLIERGQPVEKRGHDIGSLIVRRILDLESNFCFGEGGAGTWSDGKLVTRIGRNKGSVIAVMKTLVQFGASKKILIDGKPHLGTDRLVPLLRNFRKHLQDLGVTIKFGTRVDDLHIEDGHVLGVMVSESADELRLRSQKLECDAVILAVGHSARDVYQMLLTHNVELLHRDFAVGLRIEHPQELINRIQYAELASEVCCGRGKVPVADYKLANYIDKNDFNESSDSKVENRSCYSFCMCPGGQVVLTSTNPSEICINGMSFSRRASRWANAALVVSVTKNDFEALNYYGPLAGVEFQREFERRAALMGGGKFCVPVQTVPDFLENKLSVTSVPPSSYRLGVKASRLHQLFPTNITEALKHSIVMFDKELPGFICNEALLHGVETRTSSPIQIPRDIDSYESTSVKGLYPVGEGAGYAGGIVSAAVDGMNAGFAVAKKFNLFHGDVKSVLGKAHNAGLLKY >CAK8569626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6919745:6963181:1 gene:gene-LATHSAT_LOCUS22457 transcript:rna-LATHSAT_LOCUS22457 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSIPISTSHCVSISHHNRFSPSRIFSHAHTPRVLPFPHRPHSSASSAGDSRLHAQLSRDEFRIDTCNENSGGDSVEQLREWLSFSDHGPKTDIGKRIFCNRPLNMKNIVAVGFDMDYTLAQYMPETFESLAYHRTIERLVYDLKYPSELLSWSFDPNYMVRGLVLDKKRGNILKMDCHKYVKVAYHGFKELSKEDKVGTYGNTLVCDPFDGPDYALIDTLFSLAEAYLFAQLVDFKDRNPGKFPMSVDYACLYKDVRNAVDLCHRDGTLKQKVATDPGRYINEDSSIVPMLKMLRDSGRATFLVTNSLWDYTSVVMNFLCGSSVVNSSTGLDWLQYFDVVITGSAKPSFFLEGNDAILFEVEPESGKLLNTDNGSPMPQVGNISAGLLTKVKDRARKVFQGGSVNHLHNLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVIPELEREVQLLWELRDYRKDLRLLRSKDDSIADKMHDLNRSLKLENPDDHTKHKLNSKLDELKLEREMVRLSNQEMLRKLHLKFHEPWGQLMKTGYQNSRFAHQVERFACLYTSRVSNLGLLSSDKYFRPSEDFMQHEYGISEC >CAK8531556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124728824:124731158:1 gene:gene-LATHSAT_LOCUS1340 transcript:rna-LATHSAT_LOCUS1340 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDEALEMVVDSKDMQQQSKAFDKLTDRVEDRQLDSTRVQEAMASIAASAEADWNAMRLREKELAAVKINTADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >CAK8578052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604080199:604082106:1 gene:gene-LATHSAT_LOCUS30096 transcript:rna-LATHSAT_LOCUS30096 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEKAVPFPHDAELRIGNTTLSLNGIGFGETNNTNYGCTESSLGMKFSVAVDDGCKLVLGLGPTPKAYGDDYNDIGFNKKKKPASLFSQSMPSECESILQLGLSGAANEISSIMEYSGSTEINVNISRFSSQTSGEYNYAMIPVVDEGSTSAKKSGGYMPSLLLAPRMDNAEISVQTQELILGTKSHTFPELSSATNHSLGTASGPQETGITSQNRTSNPKRCRFFGCSKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGRRCGYPGGCAKAARGKSGLCIRHGGGKRCTIEGCTRSAEGQAGLCISHGGGRRCQYHECSKGAQGSTMFCKAHGGGKRCSFAGCTKGAEGSTPLCKAHGGGKRCLFNGGGICPKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDCCVRHGGGKRCKFESCGKSAQGSTDFCKAHGGGKRCNWGDGKCEKFARGKSGLCAAHCSLLQESETSKGSLIAPGLFRGLVPSASTICSSFENNSSSGVSVVSDSYDSMETPARRHHLIPKEVLVPLSMKSPSYSNFLAANKPAQDRNLHSITGDCSGATKGTSFDLPEGRVHGGDLMLFFGGNLKNALDGI >CAK8579475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701683985:701686197:1 gene:gene-LATHSAT_LOCUS31419 transcript:rna-LATHSAT_LOCUS31419 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLMVNKQGQTRLAQYYEYLTVEERRTLEGEIVRKCLARNEHQCSFVEHRNYKIVYRRYASLFFLVGVDDGENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKANILTPIQLMDKTS >CAK8565706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:310421418:310423061:-1 gene:gene-LATHSAT_LOCUS18895 transcript:rna-LATHSAT_LOCUS18895 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQTSQKHEISYGSDRFYVEPVQNISGENLDNYSSSDNSSPAFYPSHQDLEPLSAHESASTSNNSFPYQNSLTTLSFSRNNSCLVSKLESNSHVISQQNSLEFVYGSPQDDDSYLTQHDFDDLRHKIQELKSVMLGPNADMLDLYDTEIREESGLLSLEAENWKRNVEMVSRGDLKEMLYTCAKAVAVNDTDTIEWMVTELRKIVSISGSPIQRLGAYMLEALVSRMASSGSTIYKSLKCSEPTGNELLSYMHVLYEVCPYFKFGYMSANGAIAEAMKDESEIHIIDFQISQGTQWVSLIQALARRPEGPPKIRITGIDDSFSAYARGGRVDIVGERLSALAQSCHVPFEFHAVRVPASEVQLEDFELRPYEAVAVNFAIMLHHVPDETVNIHNHRDRILHLAKYFAPKVVTLVEQEFNTNNAPFLQRFVETMNYYSAVYESIDVVLPRDHKERINVEQHCLAREVVNLVACEGEERVERHELLTKWKTRFARAGFTPYPLSSFINSSIKDLLDSYRGHYTLEERDGALYLGWMYQTLIASCAWS >CAK8566435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423170584:423173183:1 gene:gene-LATHSAT_LOCUS19566 transcript:rna-LATHSAT_LOCUS19566 gene_biotype:protein_coding transcript_biotype:protein_coding MECVKTNSKYSSIFITIFVLLLSSAYSLAHAKIHNHEFLVEATPVKRLCKTHNSITVNGQYPGPTLEINNGDTLVVKVTNKARYNVTIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYRFTVDGQEGTLWWHAHSSWLRATVYGALIVRPREGETFPFPKPHRETTILLGEWWDTNPIDVLRESKRTGAAPNISDAYTINGQPGDLYKCSTKGTTTVPIHSGETNLLRVINAALNQPLFFTIANHKFTVVGADASYLKPFTTRVLMLGPGQTTDVLLTANQPPSRYYIAARAYQSAQNAPFDNTTTTAILQYDNSIKNKPIMPPLPAYNDTNTVTKFSRSFFSPRKVEVPAEIDENLLFTIGLGLNNCPKNFRDRRCQGPIKRTRFTASMNNVSFTLPNNISILQAHYNRIPGVFTTDFPAKPPVKFDYTGNVSRSLWQPTPGTKAYKLKFGSRVQIVLQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDPKKDTAKFNLIDPPQRNTVAVPANGWAVIRFVADNPGAWIMHCHLDVHIGWGLATILLVEDGVGKLQSIEPPPLDLPLC >CAK8575361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:94230163:94230954:1 gene:gene-LATHSAT_LOCUS27631 transcript:rna-LATHSAT_LOCUS27631 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLYFLVSILALASVSFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFKHIEPANPVNALGSQVTPVFVDQLFGLNTLGISLARIDFAPKGLNPPHIHPRATEILTVLEGTLYVGFVTSNQDKNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANALFKSDPLISDEVLTKAFQVDKSIIDYLQGQTWYDNN >CAK8563446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604610271:604622774:-1 gene:gene-LATHSAT_LOCUS16857 transcript:rna-LATHSAT_LOCUS16857 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSEIHDLSDDADYAASLQQGSASVMLCNDSTKESSSASELDGAEIVYSKENVAIHPTQFAISGRLKLIKQGSSLFMTWIPYKGHTADTGLSDKDRNLYTIRAVPFTEIRSIRRHTPALGWQYIIVVLSSGLAHPPLYFYSGGVKEFLATIKQHVLLVRSAEDANVFLVNDFQSTLQRTLSSLELPRAVPLAHGPSNMSVDESTLNENEERNDSGVNNGSVSVPQFHRRPRHKVQDPARDLSIQVLEKFSLVTKFARETTSQLFRENQTNGFSINERRTQIQTNLDSPKSSNVAGKVSDESSAVPDSQEFDNLSLVWGKPRQPPLDSKEWITFLDSEGRVTDSEAMRKRIFYGGLDHELRNEVWGLLLGYYTYDSTYAEREFLKSVKKSEYENIKNQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSITFYEGDDNPNVNVLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMDDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQHDCLNYFFCFRWILIQFKREFEYEKTMLLWEVLWTHYPSEHLHLYVCVAVLKRYRNKIIGEEMDFDSLLKFINELSGHIDLDATLRDAEALSICAGEEGAARIPPGTPPSLPVDEGSFYIQQDDEVL >CAK8544507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689284654:689284894:1 gene:gene-LATHSAT_LOCUS13179 transcript:rna-LATHSAT_LOCUS13179 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATFVDILLAIVLPPLGVFSRFGLEEEFWICLILTLFGYLPGILYAIYIITK >CAK8537183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124906117:124906638:1 gene:gene-LATHSAT_LOCUS6492 transcript:rna-LATHSAT_LOCUS6492 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCAVHFLGLVKHTEENPKGIKGTKAPPKDSVVRTILKGGKQEVYEHTFPASKLMAKYPGMCVALPQVFKYPHQSLLLPEDHLLLGHKYIVISCKDVKKLKRKHPECKIYENEGKVNANMNLSQGGEDVKESYCYANGFCYDPKGNKSTRYSIPKGIKGKKPFVQPLPKEKL >CAK8536077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905068749:905069231:-1 gene:gene-LATHSAT_LOCUS5478 transcript:rna-LATHSAT_LOCUS5478 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFEKICDISDKKELWKIVVKVHHKWTIVSNSKKHLEFVFVDVEGKDIHVIVPTTLKGTFDLVLQGNNMYIVTNFQPQPNDLVFKTYDHQFIIRFTSGTSVSDINKHDIPAKKLNFKHFVDIFRENGQRIS >CAK8562288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:467149420:467151783:1 gene:gene-LATHSAT_LOCUS15796 transcript:rna-LATHSAT_LOCUS15796 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDNVSTPSGEASISSSGNNNNNQTTTPPKPTKKKRNLPGMPDPEAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGSREYKCDCGTVFSRRDSFITHRAFCDALAEENAKSQTQPVVKASSESDSKVLTGDSLPQPPPPPPLPVVTTTPQSNSGVSSALETQKLDLLEIPPQIVEETQPVVVATTTLNATTINATTTLNASGSSSSTSSTSNGCPATSSGVFASLFASSTASASTSLQSQTPAFTDLIRSMGCPDARPTDFSTPPSSEAISLCLSTNQGSSIFGTGGQECRQYVPTHQPPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSASTSTGQQDSLHWGLGQVEQESAGLVHAGLGLGLPCDSESGLKELMLGTPSMFGPKQTTLDFLGLGMAAGGSAGGGLSALITSIGGSSGLDVTTAATSYVNGEYSGKDIGRSS >CAK8569772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10459820:10469371:-1 gene:gene-LATHSAT_LOCUS22584 transcript:rna-LATHSAT_LOCUS22584 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVIVDSVEEEAVVIEGVQIPVDTSKPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFEEVFECMFDYIDRLFNMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRSAKDSSDAAAEEARLREEFEREGRKLPPKEESQTFDSNVITPGTEFMAVLSIALQYYIHLRLNNDPGWTNIKVILSDANVPGEGEHKIMSYIRLQRNLEGYDPNTRHCLYGLDADLIMLGLATHEVHFSILREVVFTPGQDKCFVCGQMGHMAADCQGQAKRKAGEFDEKGDAIVAKKPFQFLNIWTLREYLEYEMRIPNPPFEIDFECILDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRELGGYLTNGSKPNLSRVEHFIQAVGSYEDSIFQKRARLHQRQVERIKRQKSQARRGDDVGPQVQPESLVAISKFHGSRLASAPTPSPFQQSGPTSVSKGNKEVFERPSKVSRSSSGATVAAAIVEAETSLEMDAQDNKDDLKIKLKGVLRDKNDVFNSKDGHEDKIKLGEPGWKERYYEEKFSAQTPEELDAIRKDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGGLNINFELGTPFKPFDQLLGVFPAASSHALPEPYRKLMTDPNSPIIDFYPIDFEVDMNGKRYAWQGIAKLPFIDEVRLLQEVRKVENLLTPEEKRRNAIMFEMIFVNLCHPLSACISTLDNKCRNMSNTERADVKEKIDPNESGGMNGYISLCGGEPCPPIFRSPIAGMEDIMDNHVICAIYRLPDVHEHITRPPHGVKFPKKIVTPGDLQPEPVPWHEDNGRRYNESGRKNPPGSISGRELGEAAHRLVANSLQVRSDANRYHHPNGSTMPYNGPRGHRHSHPRNNYESHPGYNYDSRPGYAAGLHPPLSAPPQYIPYTAPTAQYGYNQPYTPPVIHSPHHQSNSFPRGDHQNPRSHHYDRNNYHVDGGNARHSTGNYQNPRFTNTQGSYPRQSHHDSGRHSQNFQPYRGGSDQHWTPRGNPSGYRDYGQQSANHYSLLDRGAGRKPMPPPGHNRGK >CAK8569771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10459820:10469371:-1 gene:gene-LATHSAT_LOCUS22584 transcript:rna-LATHSAT_LOCUS22584-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVIVDSVEEEAVVIEGVQIPVDTSKPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFEEVFECMFDYIDRLFNMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRSAKDSSDAAAEEARLREEFEREGRKLPPKEESQTFDSNVITPGTEFMAVLSIALQYYIHLRLNNDPGWTNIKVILSDANVPGEGEHKIMSYIRLQRNLEGYDPNTRHCLYGLDADLIMLGLATHEVHFSILREVVFTPGQDKCFVCGQMGHMAADCQGQAKRKAGEFDEKGDAIVAKKPFQFLNIWTLREYLEYEMRIPNPPFEIDFECILDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRELGGYLTNGSKPNLSRVEHFIQAVGSYEDSIFQKRARLHQRQVERIKRQKSQARRGDDVGPQVQPESLVAISKFHGSRLASAPTPSPFQQSGPTSVSKGNKEVFERPSKVSRSSSGATVAAAIVEAETSLEMDAQDNKDDLKIKLKGVLRDKNDVFNSKDGHEDKIKLGEPGWKERYYEEKFSAQTPEELDAIRKDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGGLNINFELGTPFKPFDQLLGVFPAASSHALPEPYRKLMTDPNSPIIDFYPIDFEVDMNGKRYAWQGIAKLPFIDEVRLLQEVRKVENLLTPEEKRRNAIMFEMIFVNLCHPLSACISTLDNKCRNMSNTERADVKEKIDPNERDTCSGGMNGYISLCGGEPCPPIFRSPIAGMEDIMDNHVICAIYRLPDVHEHITRPPHGVKFPKKIVTPGDLQPEPVPWHEDNGRRYNESGRKNPPGSISGRELGEAAHRLVANSLQVRSDANRYHHPNGSTMPYNGPRGHRHSHPRNNYESHPGYNYDSRPGYAAGLHPPLSAPPQYIPYTAPTAQYGYNQPYTPPVIHSPHHQSNSFPRGDHQNPRSHHYDRNNYHVDGGNARHSTGNYQNPRFTNTQGSYPRQSHHDSGRHSQNFQPYRGGSDQHWTPRGNPSGYRDYGQQSANHYSLLDRGAGRKPMPPPGHNRGK >CAK8566459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425198497:425204901:1 gene:gene-LATHSAT_LOCUS19588 transcript:rna-LATHSAT_LOCUS19588 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTSIHHSHRFLFPFRPKPSLLDNPHSQQQQPLSFSKSLSLLSSSPPSSSSSCCRVARISTEPLELSPPSPGFNFRREIARLTSLREKLSRCNNLDDKLRVLDADYRVRRFFRSSSRNAGLARVLSALRLDSTSLFLIKCLVAAGQEHVLCFSETVPELESPGMASGSVKSAFYALAKMIENMDPSNSGVSFGKMDTGMTLEDHEIMDLNKLVETLAQIESFYDCIGGVIGYQITVLELIAQSLVDRKNTNWSQHMGDVKESQILGIDAPTGLDLSENIEYASQAALWGIEGLPDLGEIYPLGGSADRLDLVDPNTGECLPAAMLPFCGRTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHKHITSLCERLSWFGRGQSTFQLFEQPLVPVVGAEDGQWLVTKPFSPLSKPGGHGVIWKLAHDKGIFKWFFRQGRRGATVRQVSNVVAATDVTLLALAGIGLRQGKKLGFASCERVSGATEGINVLMEKRSPDGNWEYGVSCIEYTEFDKFGITDGSLVPKCLQAEFPANTNILYVDLPSAELVGSSRNVNSIPGMVLNTRKTIVYVDQFGRHCSVSGGRLECTMQNIADNYFNSYSSRCYNSVEDKLDTFIVYNERKRVTSSAKKKRRHGHKSLRQTPDGALLDMLRNAHDLLSPCDIKLPEIEADENYIDSGPPFLILLHPALGPLWEVTRQKFYGGSVSVGSELQIEVAEFFWSNVQLNGSLVIIAENITGSMKIDENSESILHHGQRCGRCKLQNVKVLNDGVDWSYDGNVYWKHDVKRSEMLKIVLHGNAEFEATDVVLQGNHVFEVPDGYKLKIMPGSPGLAIQLNPIEQDMMDSGSWHWDYKIEGSHIKLELVE >CAK8578676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646283449:646289681:-1 gene:gene-LATHSAT_LOCUS30666 transcript:rna-LATHSAT_LOCUS30666 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGDDKPPPHLGSSKDYNIDMNPKFIMANGMLVRVLIHTDVTKYLYFKAVDGSFVFNKAKVHKVPSNDMEALKSPLMGIFEKRRARKFFIYVQDYNESDPKTHEGLDLTRVTTKELIAKFGLDDNTVDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDAEGKVIGVTSEGETAKCKKVVCDPSYLPGKVRKVGKVARAIAIMSHPIPSTNDSQSVQVILPQKQLGRKSDMYLFCCSYSHNVCPKGKYIAFVSTEAETDQPAIELKPGIDLLGPVEEIFFDMYDRFEPVNEPTLDNCFVSTSYDATTHFESTVVDVLNMYTLITGKVLDLSVDLSAASAAEE >CAK8564592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1721739:1725200:1 gene:gene-LATHSAT_LOCUS17882 transcript:rna-LATHSAT_LOCUS17882-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHDMVLMLMLCTFFLCSTPTFSKLNTFTTIAPNQFIQYSDTLVSAAGTFEAGFFNFGDPQRQYFGIWYKNILPRAYVWVANRNTPVRNSTAMLKLNDQGTLVILDGSNGIIWSSNSSRVVVKPAVVQLLDSGNLVVKDANSSIENKDFLWESFDYPGNTFLAGMKLKSNLITGPYRYLTSWRNPEDPAEGEYSYRIDTHGFPQLVTAKGATFMYRGGSWNGFLFTGVSWQRMHRVLNFSVVFTDKEISYQYETLISSVITRVVLDPYGISQRFQWSDRTQNWEAIANRPADQCDDYAFCGINSNCKINDFPICECLEGFMPKFQPKWKLSNWSGGCVRKTPINCLHGDGFLKYPSMKLLDTSSSWFDKSLSLEECKTMCLKNCSCTAYANLDIRNGGSGCLHWFDNIVDMRKHPDQGQDIFMRLASSELDHKKNNSNSKLAGTLAGVIASIIGLSVLVLVTSAYRKKLGYIKKLFHRKHKMEKEDGDLATIFDFSTITNATNNFSDRNKLGEGGFGTVYKGILVDGQEIAVKRLSKTSEQGTEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNKSLDCFIFGTMRSKLLNWTKRLEIIGGIARGLLYLHQDSILRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPIHHLNLLGHAWRLWIEERPEELIADILYDKTICSEIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGEKPLPKPSEPGFYAGKDNTNSTGSSSKGSLNEASISLLEAR >CAK8564591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1721739:1725200:1 gene:gene-LATHSAT_LOCUS17882 transcript:rna-LATHSAT_LOCUS17882 gene_biotype:protein_coding transcript_biotype:protein_coding MENHDMVLMLMLCTFFLCSTPTFSKLNTFTTIAPNQFIQYSDTLVSAAGTFEAGFFNFGDPQRQYFGIWYKNILPRAYVWVANRNTPVRNSTAMLKLNDQGTLVILDGSNGIIWSSNSSRVVVKPAVVQLLDSGNLVVKDANSSIENKDFLWESFDYPGNTFLAGMKLKSNLITGPYRYLTSWRNPEDPAEGEYSYRIDTHGFPQLVTAKGATFMYRGGSWNGFLFTGVSWQRMHRVLNFSVVFTDKEISYQYETLISSVITRVVLDPYGISQRFQWSDRTQNWEAIANRPADQCDDYAFCGINSNCKINDFPICECLEGFMPKFQPKWKLSNWSGGCVRKTPINCLHGDGFLKYPSMKLLDTSSSWFDKSLSLEECKTMCLKNCSCTAYANLDIRNGGSGCLHWFDNIVDMRKHPDQGQDIFMRLASSELDHKKNNSNSKLAGTLAGVIASIIGLSVLVLVTSAYRKKLGKPRYIKKLFHRKHKMEKEDGDLATIFDFSTITNATNNFSDRNKLGEGGFGTVYKGILVDGQEIAVKRLSKTSEQGTEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNKSLDCFIFGTMRSKLLNWTKRLEIIGGIARGLLYLHQDSILRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPIHHLNLLGHAWRLWIEERPEELIADILYDKTICSEIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGEKPLPKPSEPGFYAGKDNTNSTGSSSKGSLNEASISLLEAR >CAK8561721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:365091846:365095385:-1 gene:gene-LATHSAT_LOCUS15283 transcript:rna-LATHSAT_LOCUS15283-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLEISLPNNIQTSSRWRRNSDDKDEEEMDLRFWKKSNTFDLSLTNPLSSFSSSTASNSNLNNLITHSFQPFHQNQNNYQRSLSEELGFLKPIRGIPLYQNPPPPPSSSVALFSQPSFDPAANSSISTTFHSQNFMRSKLLPRFPSKRSMRAPRMRWTSTLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKITDRAASPGKFDIYDNGSSGDNSEDFMFDINSSTRSNDLQVKLPREITTNQDKENHGFWSISSREAWLHGKPVESVGNLPSLEGMDPKCLSNERNSSDGSTSSNISETSLQNPNLEFTLGRSF >CAK8561720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:365091846:365095385:-1 gene:gene-LATHSAT_LOCUS15283 transcript:rna-LATHSAT_LOCUS15283-3 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLEISLPNNIQTSSRWRRNSDDKDEEEMDLRFWKKSNTFDLSLTNPLSSFSSSTASNSNLNNLITHSFQPFHQNQNNYQRSLSEELGFLKPIRGIPLYQNPPPPPSSSVALFSQPSFDPAANSSISTTFHSQNFMRSKLLPRFPSKRSMRAPRMRWTSTLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKITDRAASPGKFDIYDNGSSGDNSEDFMFDINSSTRSNDLQVKLPREITTNQDKENHGFWSISSSNREAWLHGKPVESVGNLPSLEGMDPKCLSNERNSSDGSTSSNISETSLQNPNLEFTLGRSF >CAK8561722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:365091846:365095385:-1 gene:gene-LATHSAT_LOCUS15283 transcript:rna-LATHSAT_LOCUS15283 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLEISLPNNIQTSSRWRRNSDDKDEEEMDLRFWKKSNTFDLSLTNPLSSFSSSTASNSNLNNLITHSFQPFHQNQNNYQRSLSEELGFLKPIRGIPLYQNPPPPPSSSVALFSQPSFDPAANSSISTTFHSQNFMRSKLLPRFPSKRSMRAPRMRWTSTLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKITDRAASPGDNSEDFMFDINSSTRSNDLQVKLPREITTNQDKENHGFWSISSSNREAWLHGKPVESVGNLPSLEGMDPKCLSNERNSSDGSTSSNISETSLQNPNLEFTLGRSF >CAK8560479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21778958:21780735:1 gene:gene-LATHSAT_LOCUS14147 transcript:rna-LATHSAT_LOCUS14147 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPNTLSDGRRTAGKAPEKFKFSQTCSLLSQFLKEKRISGDSASGMFGKIEPKGGAKDLFGNMQNSEGGLRLNASAMDSLPQLVENPCIKKSNTRSTTAPEKPQLTIFYAGKMLVFDAFAPSNATEIMELATKLASENSNTEENPPSALVTSEKPKSKESQIPQTNTTALEKSKPENQTNCSDSMRYPRRASLLKFLEKRKERVISRGPYQINNHKNEGNSTSGGEAKDQQNFDLNL >CAK8566002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366565029:366565409:1 gene:gene-LATHSAT_LOCUS19171 transcript:rna-LATHSAT_LOCUS19171 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSDFIDNLDLVELSVVRSKFTRRRSDCDVSNRLSKILISSGLVKMWNCNGLVIGLRDVSDHCPVWLKYKVIDWGPKLFRFVKGWFEHGNFLDFVMKEWSSIKVEGKKTYIMKQKLKILKGRLK >CAK8560891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:53123176:53123537:-1 gene:gene-LATHSAT_LOCUS14530 transcript:rna-LATHSAT_LOCUS14530 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFIIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >CAK8539518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514817284:514818475:1 gene:gene-LATHSAT_LOCUS8604 transcript:rna-LATHSAT_LOCUS8604 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEHCDVASENQCLKETQIVSVTSVPPVKITEPQQVRRILFNDETDNQKIHAIRKIQGCYHIVLYFENDEEEHHDWSLTSWIVESLARTLLDHPLLAGRIHKRNDATGFEIVSNDSGIRLLEARCSTTLSEFLELNRKKRDDHEIELVFWNEIDTQFPQFSPLFYVQVTSFISGGYSIGISCSLFLAEFVVVKKFLNKWAQTYNMLPSISNKEIDRTIFNYLGSKNPNFLSTEDLNNHSQNKNRVQSVGFKITTKDVNFSKVLWRELAMVCMEEAEEKLEMKIGSSFSLVVKEFLEVVEVESVTKSGIKNQIVCITSWDDFGVDKVVFHEENKVVHVSCWIGSVADAVVMILPCIEENVCGVIVVAPS >CAK8576802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515903806:515904283:-1 gene:gene-LATHSAT_LOCUS28969 transcript:rna-LATHSAT_LOCUS28969 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEKRKPTQSEMFVETRKGIKGKELDVETGKVISQLQEMTEKEESDTEAFKVFWKGVSWPGTLLWEKCN >CAK8572244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524235983:524237816:-1 gene:gene-LATHSAT_LOCUS24829 transcript:rna-LATHSAT_LOCUS24829 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQETFALKDKLRGLVNTIVEGDDFNLHAADEAITTLSVLRDLKSSASFSSKFDRLSPPVPPQFRCPISGLIMTDPVILANGQTYDRPFIQNWLNEVHRECPQTQHVLSHSILSPNYLVQDMISRWCKEHGVELPMPVGELDNREPTEAHKYRLRSLLHKLSLSALDKKEAARELRLLAKQIPPFRTLFGDSEVIKKLLSPISQGVACIDPELHEDLITAVLNLSIDDNNKRVFVEDEKVISFIVDSLKSGTVQTRSNAAAAIFSLSALDINKQIIGKTSAIKYLVDLLEKGHPSSMKDAASALFNLCIAHENKAKTVREGVVQVILSKIFVDRFLVDEFLALLALLSSHTKAVAALGSHGAVPFFMDILRDSSISDRSKENCVAILNIIVFSDKTKRKEIRDDEISNGTLAKLAECGTSRAKRKASGILDRLKFAQSSKHTT >CAK8562494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500860805:500873952:1 gene:gene-LATHSAT_LOCUS15986 transcript:rna-LATHSAT_LOCUS15986 gene_biotype:protein_coding transcript_biotype:protein_coding MARTFTLLILFLHTLHLVPSTIAVDAELLRNRNHDSRPAMVLPLFLTSPNSSTSALDPRRQLHGSEAKRHPNARMRLHDDLLINGYYTTRLWIGTPPQMFALIVDTGSTVTYVPCSTCEQCGRHQDPKFQPDLSSTYHPVKCSMDCNCDDDKVQCVYERQYAEMSTSSGVLGEDVISFGNQSELAPQRAVFGCENVETGDLYSQHADGIMGLGRGDLSIMDQLVDKNLVNDSFSLCYGGMDVGGGAMVLGGISPPSDMVFANSDPVRSPYYNIDLKEIHVAGKRLPLNPSVFDGKHGTVLDSGTTYAYLPEEVFLAFKEAIVNELQSFNQISGPDPNYNDLCFSGAGIDASQLSKNFPVVDMVFGNGHKYSLSPENYMFRHSKVKGAYCLGIFQNGKDPTTLLGGIVVRNTLVMYDREQTKIGFWKTNCAELWERLQLSMTPPPMLPNTEAINSTKSVGPSVAPSVSQHGIPAGTFQIAQITIALSFNISYGDMKPHLTELAGLIAHELDVNTSQIQLLNFTSSGNDSLSRWAITPRPHAEYFSNTTVMSIIGRLEHHMELPNTFGNYKLIDWNVEPLSKRNWWQRYYKVVGLVVLLTLLLGLSAYGIFLIWKKRQQSEHSYKPVDVALSEQELQPL >CAK8542424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512272609:512277442:-1 gene:gene-LATHSAT_LOCUS11264 transcript:rna-LATHSAT_LOCUS11264 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILSTGGGASSTVEEQQRVAAAARESGDQFNPTKYFVEEVVSAVDESDLHRTWLKVVATRNTRERSSRLENMCWRIWHLARKKKQVEGEEIQRLAYRRWEREQGRRDATEDLSEELSEGEKGDGVGEIIQIEIPQKKIQRINSTLELWSDDKKEKKLYIILLSLHGLVRGENMELGRDSDTGGQIKYVVELARALAKMPGVYRVDLFTRQISSTEIDWSYGEPTEMLSAGQEDENDDSTGESSGAYIIRIPFGPRNKYLEKELLWPHIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSLEDINSTYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARDRRGVNCHGRYMPRMAVIPPGMDFSNVVRQEDGPEVDGDLSQLTRRADGSSPKALPSIWLEVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRSLRELANLTLIMGNRDDIEEMSSGNGSVLTTVLKLIDKYDLYGHVAYPKHHRQSDVPEIYRFAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDINRALNNGLLVDPHDHHAIADALLKLLSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRVDACRMRHPQWQNTAPEDDVDVDAEESFNDSLRDVQDMSLRLSIDGEFVAANSGGNGLDMQDQVKNILSRIRKQDSGSNTDMLLDNVPNKYPLLRRRRRLIVIALDSYDSNGDPDKTLIEIVQRIVKAVQLDPQTARVTGFALSTAMTMQETKEFLTSGNVQVTEFDAIICSSGSEVYYPGVHTEDGKLLPDHDYDVHIDYRWGVEGLKNTIRKLMNASDGEENHGKTVSPIEEDSKSSNAHCISYKINDLSKAKKVDDLRQKLRMRGLRCHPMYCRGSSRMHVIPLLASRAQALRYLFVRWRLNVANMYVILGETGDTDYEELISGTHKTIIMKGVVSKGSEELLRGPGSYQRDDVVPNESPLVAYVSDTKEETIADVLKQLSNSGGN >CAK8537419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:347802643:347803278:-1 gene:gene-LATHSAT_LOCUS6712 transcript:rna-LATHSAT_LOCUS6712 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHMVFDRKSLSFGWSRSNCQDSSMRDTANFTSPSSGGSPASIPANQQQHVPNNTRAVPPAVAEKTPSKPSAATPGFNSWHLLNSSSLIFLLFWLLFG >CAK8537261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:185365974:185369293:-1 gene:gene-LATHSAT_LOCUS6563 transcript:rna-LATHSAT_LOCUS6563 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQQLTSVDWQHESYPAFPDFFLLPFFAIFFPSLRFLLDTFIFQKLARRFIFGKGHEVLDIHTDDSRKKIGKFMESAWKCVYFLSAEIFALAVTYNEPWFTDTSYFWVGPGNQRWPDQKIKLKLKGLYMYGAGFYSYSILALIFWETRRSDFGISMTHHVASLSLIVLSYTFRFVRVGSVVLALHDATDVFLEIGKMSKYSGAETMASFAFVLFVLSFTILRVIYFPFWVLRSTSSEMVPTLKLGKHWVDGSIHYYVFNTLLYCLLILNIYWWVLILRMLVRQIRAKGKVSEDIRSDSEDEHEHEE >CAK8568224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583441398:583445548:-1 gene:gene-LATHSAT_LOCUS21203 transcript:rna-LATHSAT_LOCUS21203 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLSSFTHLFSSPSTTSISRRSPVILRRRHFLQPIAANSSAKTTAAETKERVDNSKNRVPDRVITPRSQDFNAWYLDIIAHAELADYGPVRGTMVIRPYGYAIWESIQEYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLLINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAENEAKQMIDIYTRFSFEEAAIPVIPGRKSKVETFAGACKTYTIEAMMGDKKALQAGTSHNLGQNFSRAFETQFTDENGQREYIWQTSWAVSTRFVGGIIMTHGDDAGLMLPPKIAPIQVVIVPIWKKDDEKMTVLNAALSVKEALNSSGVKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKQGKVFGISMEPSILAAYIKDKLEEIQSSLLERAIAFRDSNIVDVSTYDDLKAAISQGKWARGPWSASDEDELKVKEETGATIRCFPFEQPQGIKRCLMTGNPAEEVAIFAKSY >CAK8570820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:121169382:121199361:-1 gene:gene-LATHSAT_LOCUS23539 transcript:rna-LATHSAT_LOCUS23539 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSLQLVSQIGTHLALRTRPNKDFIVKSLRKAADALSKLEQSPQPEITKGVQASKKRDDALKPLIDSVVYGGLLCHEDKDVKLLVAICVTELLRVMAPDPPFEDRHLRDVFKLIIGLFADLADTSNPLFSKRVKVLDTVAQLRCCVLMLEINGIDLVIEMFNVFFSAVRDDHHYSLINSMSSIMINMLNECEEASQKLLEVILRNLIKRKKDATCASYQLAASVIRSCAQEDELNSLICRFLSSCIYDRDAVGSGLKEFYHEIILQVFQCAPHMLLAVIPSLIEELSADQVDVRLKAVNLLGKLFALPEHHVAQKFHDLFLEFLKRFSDKSVDVRISALQCAKAFYAADPFGRESLEIITSVEGRLLDFDDRVRMQAVTVACDICSSNLKRVPLKLMAEATERLRDKKISVKKRALQKLMEIYQTYCKKCCEDSMETIDHFEEIPCKILMLCYDKDCKEFRPQSMELVLSDNLFPEHLSVAERTKHWIHIFSLFSSLHEKALNTILIQKRRLQNEMKNYLALQKKLKEICAEETEKKIECVFTKMAASFSDSHKAEECLHKLNQIKDNNLFKSLEKLLEEPTFTIGQTIKEELLAIIGDKNPNYEFLRSLFSKCSSNIFSSEHVQCILDYYLSNNEGEFKDLKGSSGNLLLAIVRIFPSLLKGAEKQFQMLLEHTSPVNDKLFEIIAMAGPNISLNLSGIYPFLVRMCLCGTRRQAKFAVSTILSSSFEHSVVSKLFERLIYSLNSQWNVPTIMQNLGYTAECSDSAFETQVEEITSFICQKLIQLESLDDDGLTSLYGTSQCSKSCQLKWNVPTILQSLGCIAQCSVSDLGSQAEEITSYICQKIIQMDCLEDDDLTSLNDTSQCSKSCQLKIYALKTLVKSFLPYQGNHTKQNVNGLIDILSRILRESDDFVDSENDKAHIRLAAATSILRLAKKWDLHITPEVFRFTILIAKDSFFFVRTKFLNKAQKLLKEHKLPIRFACAFALAVTESIDDLRFQNYKHMAEFIKDYSIAACKRQLSSVRGAIVDYPAYVMVHLVHVLALSNDFPDACQNEEVYANLCSPLFFLLQALVDISNVDGDLELINDAVLSLFSIFQALKKAEDSVDAQTTIKLHKLAEIGIFTLNAISPVEISVSQAPRQILLPSSLYRASLPTNEANSKCQKCFFDESFLSRVFDMLKNSGASQTYAQKPAKTLPKPARKGQQDVPSSKNIICSRLDLASSKPDSFPRGGITNTKTVKPNTSLEKRRKHVPPFDSGSIGLHECSTVAKQQKLSSKQVENTSERNRLTSSDSVSCKGSLVESRVQTRGSKRAAICSLENAVTSSKHTGQQFKCPRTNLKDTCRSKTRNVLADVSNENLISHCDPIEHSSLGTMQTSATTGCQTAKKGTSLCRKNLGAASVNVRKSAQKQ >CAK8534496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:735787802:735788569:-1 gene:gene-LATHSAT_LOCUS4039 transcript:rna-LATHSAT_LOCUS4039 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQHICKSEGGIRCPCINYKCCKISTLTNVRLHLYRDGFQPDYWIWTQHGEVELNIDIRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHANDNREQEELLEDEFPNEEAKQFYNKLISFNKPIYEGATQSMLSISTQLLEIRSNWHVPQKGLDFVAQMLKSVCPVQKCLPENYYQSSQLVSKLGLKVKKIDCCKNGCMLYYKDDILQDFSATVNYDQTH >CAK8577400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560910038:560912117:-1 gene:gene-LATHSAT_LOCUS29513 transcript:rna-LATHSAT_LOCUS29513 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFALDLEELRHLQEIAKRPRILSLLTSEIRNLEKLSSEAASSARASQIPLPAPISTGTKVSPVVNYSPLASFSWDQDNEKVKIYVSLEGVDESKIESEFKPNAFDVKFHDIQGKNYRCAVVKLHKEIVPEKCKVLVKPKRVIITLVKASKANWLDLHFKEDKLKPTMDKAKDPMAGIMDMMKNMYEDGDEEMKKTIAKAWTDARTGKTTDPLSSYR >CAK8577342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556270023:556274822:1 gene:gene-LATHSAT_LOCUS29456 transcript:rna-LATHSAT_LOCUS29456 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAEEDKPTTNVWSSSTKMAPATLRKPSSIFTPPHTLLRSQNKPKISNSKTVLSAAPTIVAPAVDEVVQPALVGVQSTVLEEYDPARPNDYEEYRREKKRKAREAEMMRELERRREEEEERERERERERKKERERDRDRDQGDSRLNISGEEAWRRRVGMSAGGSGGGPPRSPSPPGNVDGFTIGKSETGGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASDSKSDKKVKSVNINGVPTRVMLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPTDEAVRIFVQFERSEETTKALVDLDGRYFGGRIVRATFYDEDKFSKNELAPMPGEIPGFT >CAK8574214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670227565:670240004:1 gene:gene-LATHSAT_LOCUS26576 transcript:rna-LATHSAT_LOCUS26576 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANLSSLVHELRERIAASSSSSPSPNNPADDDVLELRFRTVLPNLLHAYVPPSSSGNDREVIAVVKLISRTAINFPGVFYHGKATAILPIIARLLPFFADPIFRSRHDVFFEAIGSLLSLLRSGARDSYRQFFVDSMFLIQDVLHLASLTVNGPSKVTLKCFSESFSGVEDLPLTNKPVDGCGLLLDLSTKSRWQPFATWILKLLSKCLTEGTLYVEGLIHASFVSSACSLLCFGDSDLHMACFDFVHVIATVTNYDIIPYESIIRSIIIILDLDKEGLPFFRNLTYDLSLGVCLNTLHSSCPEDIVRLTAADLVGVFFGSPGRTKSQQLKAALCSAYARIAQVCSPHVWKPEHLISALYHPEPCLPMIECFQVAVSTLGPHLVGGIQGNDKNGALLSNENKPIERTGHGQKRSIQDMDNFKIKRQRLSKEIDVVDASIEVECKYNCIVTCQRVEDYANHMNKSLLSFIQSLNAPAVGPGSLKPDIALSALSVLCIAFSIYPESDMSIRIFEQMLAWLPWITEQVKQGSSISVDISTYLEGIHSVLLLQSASFKENNPLQDGNYHVDLMLAVLKLPWTHTLLVIDNQLPWKIKCLALQVLSKLGPSLSSEVVLDVLDLGLHDEAEEVRTEAAISLPVMVLWSGLDVSPSVFKRMEYLRTDKEVKKLLPISLGLLSCLYGCRRAVSGLPKNKCKLFLKGKGGGSSWTIDHLLQGFSCSKCDKNFIRNHNEQHPPIIPRSDMCGVDAQVSLDCNFMLLQSLFFDLLFDESSEDVQISCVTIIHRILAHGAPDILLNTRLEWIKCVEYLLTSRSKELRDAFCNHISSFMDDHILSLIFAGDKGKSKEQKFLDTVKQAIIVADDPLILETLMESTAEIMVSVDIGSKLFLSSLILLIDQLDSVHVTVRMNASRLIHKSCHFHLKGGLELILSKDAHIRNELYDYLSERLASRPDLVREFAEAVFGVETEELVKKMIPSVLPKLVVSQQYNSQAVGTLHELAKCVNTDMVLLVVNWLPNVLAFALHQTDEQQLISAVQFYHENIGSDKKEIYEAALPALLDELVCFTDAGDSNEISKRLARVPQMIKGIAKVLTGAEDLPGFLRSHFVRLLNSIDRKMLHSDDFLLRKQALNRIEMLIRMMGSHLNTYVPKLMLLLLHAIDKESLQMDGLSVLHFFIKQLAKVSPSSIKHIISQVFASLLPFLERDKENPSIYLDKVVKILEELVFKNRVILKQNISEFPPLPSIPALAQVNHAIENARGTMALKDQLRDVVDGLNHENLNVRYMVASELRKLLNLRWKDITDLVIAEAGTDLDVLSSLITSLLRGCAEESRTTVGQRLKLVCADCLGALGAADPAKVKGFSCQRFKIQCSDDDLIFELIHKHLARAFRSAPDTVIQDSAALAIQELLKFAGCEASLDENASTKIKTTNSGNEMNNRGQKLWDRFSNYVKEIIAPCLTSRFQLPKVADSTSAGPIYRQSMSFRRWIFYWIRKLTVHATESRASIFNACRGIVRHDMQTAIYLLPYLVLNVVCHGTQEARHSITQEILSVLDAVASENSGAPVHGFSGGQSEVCIQSVFNLLDNLGQWVDDVEQELALSLSQSSVSKQQKSKDQSPVSLTGQDQLIVQCKYVSELLSAIPKVTLARASLICQAYARSLMYFESHVREKSGTFNPAAERSGIFEDEDVSHLMEIYSCLDEPDGLSGLSCLSKSLRLQDQLLMNKKAGNWADVLTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLVSRIPQYKKAWCMQGVQAAWRLGRWDLMDEYLNGAEDDSLVCSSSESNASFDLNVAKILQAMMKRDHFSVAEKIALTKQSLIASLAAAGMDSYTRAYPFVVKLHFLRELEDFHSLLGDDSFLEKSFHLDDPAFSKLVDNWENRLRITQSSLWAREPLLAFRRLIFGASGLGAQVGNCWLQYSKLCRLAGHYETANRAVLEAQASGAPNVHMEKAKLLWSTRRSDGAIAVLQQSLLNMPVEVLGAAAISSITSLSLVPLNPPLIVCESQAPNENRDIAKTLLLYSRWTHYTGQKQKEDLISLYTRVRELQPQWEEGYFYMAKYCDEVLGDARKRQEENSELGPRRVPLATAVAGSSKLNNEKRWWCYIPDVLLFYARGLHKGNKNLFRALPRLLTLWFDFGSKYLRSGSSQKDLEDVHKKALSVMRGCLRDLPPYHWLTVLPQLVSRICHQNADVVRIVKDIITSVLIEYPQQGLWIMAAVSKSTVPSRREAAAVIIQAARNGFNSGSNANSLFVQFASLIDHLIKLCFHAGQSRAKTINLSTEFSALKRMMPLGIIMPIQQSLTVNLPAYDGNLGDSLMSNIFSATDLPTISGIADEAEILSSLQRPKKIILLGSDGLERPFLCKPKDDLRKDARMMEFTAIFNRLLSKYPESRRRKLYIRTFAVIPLTEDCGLVEWVPHTRGLRQILQDIYITCGKFDPRKTNPQIKRIYDQCQGKVPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKTQRSSGVEVQNPHAQRAISNIEARLEGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWL >CAK8560188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10122689:10124424:-1 gene:gene-LATHSAT_LOCUS13885 transcript:rna-LATHSAT_LOCUS13885 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFSQPTTIVLAIALVLLYNIWRIKKPSNKSKGKKPPQPSYALPLIGHLHLLGNQIPLARIFASFSDKYGPIFEIRLGAYPALVISDQEAIKECFTTNDKILASRPKSSHGIYLGYNFAGFGFAPYGAYWTKLRKLAMLELLSSRRIESLRHVYESEIDTLIKDLSLYVKDGKVKVVISEWLERLTFNIMTKMICGKRYLEYLQDVDDVEAHGNIVKLIKEFMHISGEFVPKDLIPILGWFGFEGKVLKSMKRVARDLDIVVGRWVEEHVEKSNDINSDEKHDFMDVMLSVIEDDPVSGHNSDTIIKANVMNLMLAGSDTTSITMIWAIAILLNNMNALKLAQEEIDHHIGKNRRVESSDIKNLVYLQAIFKETLRLYPSGPLLVPHEATEDCYIQDYYVPKGTRVFANVWKLHRDPNIWLEPEKFSPERFINEKGEIDDDGHHFEYLPFGLGRRACPGYMFATQVSLITLARLIHGFDFEVPGDEVVDMKEGLGITLPKLTPLQVLLTPRLPYELYQ >CAK8544216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672226720:672227444:1 gene:gene-LATHSAT_LOCUS12915 transcript:rna-LATHSAT_LOCUS12915 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQSSDEKFEKFIWKVENFSHLIIDHIYSEPFVLGGYPWRIILYPSGICYCNYLSIHLEAMQTANMSEGWSRDVKFCILCSDHPSLIESHLNNDFAFAALGRVLYFLKTRKVKDMNEQACKDLQVLWEELEKYGFNLSWLEPHVQSALAMKNYVRNVLLMKKVKEDMVILELETERLNAKLAALELNLDVERDLRKEKGLEERDLDFELGYGS >CAK8566612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442406887:442407117:-1 gene:gene-LATHSAT_LOCUS19732 transcript:rna-LATHSAT_LOCUS19732 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGVPVCVECGTRSNPCRCKVVGPTLGFVAFVAAAVVEWPVGALVYCFKHMKGRKIMGHPATVVYPKVTNAIPI >CAK8577735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585651964:585654798:-1 gene:gene-LATHSAT_LOCUS29814 transcript:rna-LATHSAT_LOCUS29814-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLGLVPSLPFWNKLFYEFNASGYVSQVKVVYSDMLLCGVVPDVFSVNVLVHSLCKVGDLDLALGYLRNDGIDIDNVTYNTVVWGFCEKGLVDQGFGLLSEMVKRGLCVDSFTCNILVKGYCRIGLVQYAEWVMYNLVDGGVLKDVIGLNSLIDGYCEGGLISQAMDLMENSWWSDVKIDIVTYNTLLKGFCKTGDLAKAGSLFNEILRFRTDGDQLRNNDVVTRNEIGKLRPTLVTYTTLISAYCKYHGVEESLSLYEQMIMSGIMPDVVTCSSILYGLCRHGKLTEADVLFKEMYEMGLDPNHVSYSTIINSLFKSERVMEAFNLQSQMVVRGISFDIIMCTTIMDGLFKVGKSKEAEEMFDTILKLNLVPNCVTYSSLVDGYCKLGEMQLAELVLQKMEKERVPPNVVTFSSIINGYAKQGMLSKAVDVFREMVQRNIMPNTIVYAILINAYFRAGEQDVAAGFYKEMESHGLEKSNVIFDILLNNLKRGGRMNEAQSLIKDMHSRGIDLDIVNYASLIDGYFKEGNESAALSIVQEMTEKNTRFDVVAYNALIKGLLRLGKYEPQYVCSRMIELGLAPDCVTYNTIINTYCIKGNIGEALDLLNEMKSYGIMPNEVTYNILIGGLCKTAEIEKAIDVLNEMLVMGYVPTSITHRFLLKASSRSKKADVILQIHKKLVAMGLELNQTVYNTLIIVLCRLGMTKKAKAVIDEMVKNGISADYVTYNALIHGYCTSSHVEKAFDTYSQMLVDGISPNITTYNTLLGGLSNAGLMRETYKLVSEMKERGFIPNATTYDALVSGHGRVGNKQDSIKLYCEMITKGFVPTTGTYNVLISDYAKAGKMRQARELLNEMLTRGRIPNSSTYDILICGWCKLSYQPEIDRALKLSYRNEAKNLLREMCEKGHVPSDSTLLFISSNFCIPGKEADARRLLKVFSQKKNV >CAK8577734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585651964:585655029:-1 gene:gene-LATHSAT_LOCUS29814 transcript:rna-LATHSAT_LOCUS29814 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLKKVQTNTVLFLFSSTRLKTFHPTPITKFSSQLHKDSILIPPTKTHLYVSFFCTLVRLYLKCGRFCTASDAFFRMRNLGLVPSLPFWNKLFYEFNASGYVSQVKVVYSDMLLCGVVPDVFSVNVLVHSLCKVGDLDLALGYLRNDGIDIDNVTYNTVVWGFCEKGLVDQGFGLLSEMVKRGLCVDSFTCNILVKGYCRIGLVQYAEWVMYNLVDGGVLKDVIGLNSLIDGYCEGGLISQAMDLMENSWWSDVKIDIVTYNTLLKGFCKTGDLAKAGSLFNEILRFRTDGDQLRNNDVVTRNEIGKLRPTLVTYTTLISAYCKYHGVEESLSLYEQMIMSGIMPDVVTCSSILYGLCRHGKLTEADVLFKEMYEMGLDPNHVSYSTIINSLFKSERVMEAFNLQSQMVVRGISFDIIMCTTIMDGLFKVGKSKEAEEMFDTILKLNLVPNCVTYSSLVDGYCKLGEMQLAELVLQKMEKERVPPNVVTFSSIINGYAKQGMLSKAVDVFREMVQRNIMPNTIVYAILINAYFRAGEQDVAAGFYKEMESHGLEKSNVIFDILLNNLKRGGRMNEAQSLIKDMHSRGIDLDIVNYASLIDGYFKEGNESAALSIVQEMTEKNTRFDVVAYNALIKGLLRLGKYEPQYVCSRMIELGLAPDCVTYNTIINTYCIKGNIGEALDLLNEMKSYGIMPNEVTYNILIGGLCKTAEIEKAIDVLNEMLVMGYVPTSITHRFLLKASSRSKKADVILQIHKKLVAMGLELNQTVYNTLIIVLCRLGMTKKAKAVIDEMVKNGISADYVTYNALIHGYCTSSHVEKAFDTYSQMLVDGISPNITTYNTLLGGLSNAGLMRETYKLVSEMKERGFIPNATTYDALVSGHGRVGNKQDSIKLYCEMITKGFVPTTGTYNVLISDYAKAGKMRQARELLNEMLTRGRIPNSSTYDILICGWCKLSYQPEIDRALKLSYRNEAKNLLREMCEKGHVPSDSTLLFISSNFCIPGKEADARRLLKVFSQKKNV >CAK8576537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489305418:489306041:-1 gene:gene-LATHSAT_LOCUS28716 transcript:rna-LATHSAT_LOCUS28716 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCLSAVGEVAEFANIRKQLEVLEDRLDTTVQPRLTDALSNCKVDAAQDLRGVFVRIGRFKSLESQYSKVHLKPIKQLWEDFESREQANKSANEKNEMERTSSVRNFQFVSPTISFSNWLPSFYDELLLYLEQKWKWYHY >CAK8571223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:341175774:341175983:1 gene:gene-LATHSAT_LOCUS23905 transcript:rna-LATHSAT_LOCUS23905 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGQSLDYIGLYFPNDLFSHGQLYVAMSRIKSKVGLKILVHDKDKNPLNHTTNVVFKEVFYNVV >CAK8541495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:205328249:205328572:1 gene:gene-LATHSAT_LOCUS10412 transcript:rna-LATHSAT_LOCUS10412 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIMEKVNEFSEATRLTISIPKSKIYFGGVDDESKKNTQQTTRFAIGNLSFKYLRVPLTNKKLTVYDCQSLIGRMMVRLRHWNTILLFYAGKNQLVKSVIFSIVNY >CAK8573598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623963425:623963769:-1 gene:gene-LATHSAT_LOCUS26029 transcript:rna-LATHSAT_LOCUS26029 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPLITYFFCCLELKQIWIGILQWMGIQHSPKRWKEEMQWVLRNYGGKGWKSNLFRLALTETLHEIWLSRNESCFNQRTDKRKCLDRIINNIMYRGWTSPKLRPHIARLILP >CAK8531377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105838369:105841368:-1 gene:gene-LATHSAT_LOCUS1173 transcript:rna-LATHSAT_LOCUS1173 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAKNGLNNYVKQLQEHPLRTKVITAGVLSGISDIVSQKLTGIQKLQFKRLFLKVFLGAAYLGPFGHYFHIILEKIFKGKKDSKTVAKKVLIEQLTSSPLNNLLFMIYYGLVIEGQPWVNVKARVKKGYPSVQYTAWMFWPAVGWINYKFMPLHFRVVFHSLIAFCWGIFLNLRARSMTLTKP >CAK8538879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498698021:498702441:1 gene:gene-LATHSAT_LOCUS8029 transcript:rna-LATHSAT_LOCUS8029 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRAFCHLLLLLQLLLVSSSVSANNNGSQWKTLTGSPPLVIARGGFSGLLPDSSDAAYDFAIQTSVADVALWCDVQLTKDAVGICVPDPNLELSSLISFVYPNQSRSYLVNGVQTTGYFSLDYTLEDLYEVRLRQGVGYRSEKFDGCFQILTINDLIKKMTQTAALWLNIQHDAFYRQHKLNMKSLVLSVFKKVRVSYISSPEIGFLKSIKPLVNAKRTKLVFRFLDKNDTDPSTNQTYGLLLKKLTFIKTFASGILVPKGYIWPVEASYLQPRTSLVSDAHKVGLEVYASGFSNDALLSFNYSYDPLAEYLQFIDNGTFSVDGVLSDNPVTPSAAIGCFSQLDTNATKRDKTLIISKFGASGDYPACTDIAYNKAISDGVDVLDCPVQMSKEGTPFCLNSINLLESTTVAETSFMGYSISIPEIKSRDGVFAFNLTWTDIKGLTPSILNPFATEFKMFRNPKYKNSGTFVTLSDFLSMTKNETTLSGILITVENAAYLAEKQGLSVTDAVIDALRSAGYDKTGSQKVYIQSTDSAVLLKFKEKTNYELVYKIDEIKYDASNEAVEDIKSFADAVVINKKSVFPWDHSFLTSSTTRIVPKLQASNLSVFVETFSNEFVSQAWDFLSDSTIDINSFIQYAKIDGIITDFPKTAARYRKNKCLNLGYKTPPYMRAVQVGSLYKLISQISMAPTPAPAPLPLLTKSEVVEPPLPDVVKGATAPLPVRDEPKNAQPKVTFCFLSNVAMFVASLLLL >CAK8572250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524423067:524426456:-1 gene:gene-LATHSAT_LOCUS24835 transcript:rna-LATHSAT_LOCUS24835 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVYALFLMLIFTLIFCLSEGLNAEGKHLMDIKGKLSDRYNHLENWNSNDSTPCGWKGVICNSDINPLVESLDLHAMNLSGSLSSSIGGLVHLLHLNLSQNTFSGFIPKEIGNCSSLQVLSLNINQFEGQIPVEIGRLSNLTELHLSNNQLSGPFPDEIGNLSSLSILTLYTNHLSGSLPASIGNLKRLIRFRAGQNMISGSLPREIGGCESLEYLGLTQNQISGEIPKELGLLKNLQYLVLRENNLHGSIPKELGNCTNLEVLALYQNNLVEEIPKELGNLEVLKKLYLYRNELTGNIPREIGNLSVAIEIDFSENLLTGEIPIELVNIKDLQLLHLFENKLTGAIPNEFTTLENLTELDLSINYLNGTIPIGFQDLTKLNSLQLFNNSLSGRIPYALGANSPLWVLDLSFNNLVGRIPIHLCQHSNLMMLNLGSNKLAGNIPYRITSCKSLVYLRLFGNNLRGKFPLNLCELVKLSTVELEQNEFTGPIPPQIGHFQNLQRLHLSNNRFSAELPKEIGNLSQLVSFNVSSNYLFGRLPMELFNCRKLQRLDLSNNDFTGTLSGEIGTLLQLELLRLSNNNFSGKIPLEVGRLLRLTELQMSKNSFCGYIPQELGALASLQIALNLSYNKLSGQIPSQLGNLIMLESLQLNNNHLSAEIPNSFNRLSSLLSFNFSYNDLFGPLPSLPLFQNSTFSCFSGNKGLCGGPLVPCSSSTSHSPPNKLGKVLAIVAAIVSVVSLILILVIIYLMKNLTLPHQDIDKPNSPNVSNMYFFPNEELNFRDMVVATEDFHSKYEIGKGGSGTVYRADISNDHNHINTIAVKRLTSNSNSIDLNGCFRAEILTLGKIRHRNIVKLYGFCNYSGSNMLLYEHMENGSLGELLHGESSSSLDWYSRFRIALGTAQGLSYLHHDCKPRIIHRDIKSNNILVDHEFEAHVGDFGLAKLIDISKSKSMSAVVGSYGYIAPEYAYTMKVTEKCDVYSYGVVLLELLTGKKPIQSLDQGGGDLVTWVTNHINKYSLKLDIIDAKLDLLDEIDVAQIFDVLKISLLCIDTCPSRRPTMRKVVAMLTSSSKRKEQSLLSPCHESTNFEE >CAK8535877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888108289:888110294:-1 gene:gene-LATHSAT_LOCUS5296 transcript:rna-LATHSAT_LOCUS5296 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDEALPASPGKKRKFEMEDNAISVEEGVMPKEIKAVKDVELEDRISHLPDHVIHHILSFLRNPKDAIRSSSFSKRWRAVWHSYAMLVFDEVKFAARFGLEDGSNKEIMFREYVSDSLGRYLANNSQMYKLFIHMTSFGLTDTTLVDNWLTSAVSQGIKEIDLHVGFQGSNRYTLPQVVFSSETLTGIKLSGCILQSCGALPRLQKLYLRKVHLVEHSVISLISLCPSIEDLRLIQCTGLKFLVVYHDSLSRVEIHNCNQLKRVDIIASNLETFWFSGKSSTSCKVDLEHCKSLKKLTLEHPQVIRDFSKTKFTRFPLLEQLDLCVSDIMKSITIFNNCLQRIALKGCTKLTYAQIYAPKLVSFELKGDKMPYIDFHPFCLRDAKVSLVSKSKPRDIGLGVGNKLWHMMRPFISKFSPKGFKLIMHSKKNIIIHEDLSNIKFPPLYDLSFEIIKSSACLQDILYDLLRKLHPVSIAIVSSSDSKLSESVYEMMKIKDEDLICCRYKSSKNKCWRHFLKEFKSENWEEMLEVVEASEDEITSTLYFWLQLSYSTTEPRQMTHFRLNWNAHEPGVET >CAK8561098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93524402:93528081:-1 gene:gene-LATHSAT_LOCUS14719 transcript:rna-LATHSAT_LOCUS14719 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNSIANLAFNTVGTLQRDAPPNRLSPNYPEPPPAQPTEDGANFSEEPKLMSASLVKAAKQFDVLVASLPISETGEEAQLKRIAELQAENDAIGQELQKQLEAAEKELNQVQELYSQATDNCLNLKKPDIS >CAK8576993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530084870:530087497:-1 gene:gene-LATHSAT_LOCUS29143 transcript:rna-LATHSAT_LOCUS29143 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGNANYVLPPFLSKTYDMVDDSSTDSIVSWGKNNNSFVVLNATDFSKHILPKYFKHNNFSSFVRQLNTYGFKKVDPDCWEFAHEGFLRGQKQLLKTINRRKSTQVNGSSSQQPSKVQNAPVGACVEVGKFGIDEEVERLKRDKNVLMTELVRLRQQQQTTDNQLQTVGQRVQVMEQRQQQMMSFLAKAMHSPGFMAQFSQQQTESNRHVTGGKKRRLQRQEEENLATKNLHNPLDGRVVKYQPSINETAKALFNQMMQMNNSTRTESSNKNLDAFLIDDIPSTIPLDSSSSSTQVSGVTLSEVPPISGQSCMAVESQFPIGCMTNSMSEVQSSPSVLTDCVKAAEFPELTAHHCQDNVLNFGKVQGLATESSFMNPGQNFVGSVTENDEELDVISAVFDGTQSLETDAFSPDTNEISKLQGINDEFWDLFFMPNSLTGDTDEVKGSSLGYGLTKDQELSLEQEIQQENMDKKQHMDHLTQQMELLASDSSLCI >CAK8569391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698315859:698318440:-1 gene:gene-LATHSAT_LOCUS22247 transcript:rna-LATHSAT_LOCUS22247 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSRSRSRSRSRSPGIRKIRSDRHSYRDAPYRRDSSRGFSRDNLCKNCKRPGHYARECPNVAVCHNCGLPGHIASECSTKSVCWNCKEPGHMASSCPNEGICHTCGKTGHRARECSAPAMPPGDLRLCHNCYKQGHIAVECTNDKACNNCRKTGHLARDCPNDPICNVCNVSGHMARQCPKSNIIGDQGGRGSSFRGAGGAGGGGYRDVVCRNCQQLGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFVDRYPSRRY >CAK8572116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511925518:511926393:1 gene:gene-LATHSAT_LOCUS24715 transcript:rna-LATHSAT_LOCUS24715 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNWFASVLLLTNVMLTTTLGAFVGVNIGTDVSDMPSASNIVAILKAHQITPVRLYDANAHLLQALSNTSIDVIVGVTNEEVLRIGESPSAAAAWINKNVVAYVPSTNVTAIAVGSEVLSTIPNVAPVLVPALNSLHKALVAANLNFRVKVSTPQSMDIIPKPFPPSTATFNSSWNSTMYQLLQFLRNTNSSFMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLYHYNSMFDAMVDAAYYSIEALNFKDIPVVVTEQAGHLLVEQMNPMLLQKMLRPTVII >CAK8575089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28042108:28044192:-1 gene:gene-LATHSAT_LOCUS27379 transcript:rna-LATHSAT_LOCUS27379 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLPLRTCNQFPFFHFLPSKSFNNNHFSPLITKSQSMSSSFSSSSTSNNPTQEKQQPQIPNIDQHKLSHVIKYHNQTKHNFNNYARGPHGLDWANQPNPFRRYISSPLLPLVHFTPQQEQQSPLYSSLFNSLPSPKPISKSTISQFLYDSLALSAWKSTSFSTWSLRVNPSSGNLHPTEAYIIAPSIESLSDTPFVAHYAPKEHSLELRAQIPSGFFPKFFPPNSFLVGFSSIFWRESWKYGERGFRYCNHDVGHAIGAVSMAAASLGWDVKLLDSLGFEEMKLLMGVHVFPEFETPSRAVKGKIPEIEFEHPDCVMLVFPSGVSGFDLDYKELSNAMLEFSKLEWKGKPNSLSKEHVCWDIIYKTSEVVKKSLTLGDRFLVDPFQRSGVCSESVYKGLTVREVVRKRRSAVDMDGVTGMEKDTFYQILSHCLPSGSQAGKKQGKELSLPFRALPWDAEVHAALFVHRVVGLPQGLYFLVRNEDHLAELKKAMNPDFVWTKPEGCPDELPLYELLRSDCRRLAKQLSCHQDIASDGCFSLGMLARMEPSLREKNIWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHQLLGLQGSTFQSLYHFTVGSPVVDKRIMSLPAYPGPEDVA >CAK8532023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194232712:194233182:1 gene:gene-LATHSAT_LOCUS1768 transcript:rna-LATHSAT_LOCUS1768 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQEMLISLLQEMPQSQVVVLDMPQSSFGMLSKKRGLTGLENEVHKCIFKERYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTGRWNLASNKNIAHVVVLKHVTTEMLDTFIREFVQKRYVWYKGGPVQPPCIANDVGSKNCACSIHKLSRKY >CAK8537832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439940114:439940840:-1 gene:gene-LATHSAT_LOCUS7086 transcript:rna-LATHSAT_LOCUS7086 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPSYCYEEKEKAECLEACVGLDTCYGVLAVWIGAPDIMAATCGELVMAYGMMVSRTYIWCCGSFVAHCVHEKEWLVRSCPLENSWLKFWAHGSLPYFHFLQVFLIVIWT >CAK8578868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659718328:659724067:-1 gene:gene-LATHSAT_LOCUS30850 transcript:rna-LATHSAT_LOCUS30850 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFDDIAPTPTTRARPGARFAPKAKPKQPPRKNVSASKDENNVHVASSTTHKESEGVSQNESHNAVAVTSSIEEPIKSDLHPDIHLNNENELNNVAAPSTISSTVVRMEELPKHGEGSILGMDKSLQVIDNSVEVSLNAGFKSASGDSNTAIPESNIHSNFEFGKVGEVLSAEIELDSFSNVLPDPGTGNARKFQPKVKPRPRVSNTPAIASASSGIPFSENNRSIEAVIPSHPDFLNMTSEAVVHEGTRDLPSSFGKSAAETTDILLGLESLDGILNQAATATGKPDLKSSNVKDAEENFVLPEYDNKSRLESQEGANLSCTIDNVYDYQSMISGTDPPSGIPRHEWLTNSADSPTLADFLQLDSIREKEDANGTKKSLKKHERSSIAVVEDKGGKTLRQPRKQAVRKPAENSLNEAIEDDDVLDPPYYFDGDELEENNDEYRVDYSSKKKRASTSSKKKSVTKNGKASRICKKANDDIEKVSESPPKKFPHSSRRRKRHVDKALLDDEFLDQRTLPIRDIILLAEYKERLAKKEAATSKISSTNQSDGDFLHEADVNNEEFFGSDDEYRDPDDDDQVNEKFTSTAPLLNYQSFMDKAPRGKWSKQDTEVFYEAVRQFGTDFSMIQQLFPDKTRHQVKLKYKKEERQHPLLLSDAVNNRSKDLSFFKLVVERQKQISNKEDQDTAEDTSDLMLGEEPEDPTPGTNEEVATTKQEQEHVHIDVRDQEDSMAYPIAEQSDDSEDDLQKWSQYQSAI >CAK8572813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564933286:564935801:1 gene:gene-LATHSAT_LOCUS25335 transcript:rna-LATHSAT_LOCUS25335 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKLEYKGEEALKEIERLTMNADEVQESILKQILTQNKETEYLNKYMKEETYVTDLQEFKRCVPVISYEGIFPYIQRIANGEDSSLITGKPITEMLCSSGTSAGEPKLMPSIAEDLDRRTFVYNLIMPIMNQYVCYLDEGKVMYLYFVKPEKSTPCGLPARSVLTSYYKSKHFKCRTQDPWNDYTSPDQTILCNDINQSMHCQLLSGLIHRGQVLRLGAVFASAFLKAISFLERNWRTLCDDIRNGQLSSFIKDPSCRSSMSTLLSSPDPCLADEISKICSQKCWKGILCKLWPKAKYIEAVVTGSMAQYVPALEHYCEGKLPLVCTMYASSECYFGVNLKPLCDPDDVAFTLLPNMGYFEFIPLGHNGKLLMDFDENEKVPNDMLVDLVNVKLGCFYELVITTFAGLYRYRIGDVLQVVGYYNKAPQFRFICRRNVVISIDSEKTNEQDLHRSVTKAKKLLEPYDALLVEYTSYADTSSLPGHYVLYWEILHYGTKMDPLDPKVLQECCIAVEEELDYVYRRCRTNDKSVGALEIRLVEPGTFEALMDLFITKGASINQYKTPRCIKSKKALKLLKSKVMASFFSPRDPKWTVT >CAK8565182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:58748580:58750785:-1 gene:gene-LATHSAT_LOCUS18417 transcript:rna-LATHSAT_LOCUS18417 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSKSLESSLTVPSVQELSLQNPQKVPKRYLRDDGDDDFCAFPSSDPSLAIPLIDKAKLVNTDTQQDELHKLHLACKHWGVFQMVNHGVSSVNNMGNEVKRFFELPLQEKKRWAQKPGSLEGYGQAFVTSEEQKLDWNDMIFLKSLPMQNRKLDLWPQNPLQFRETLEKYSEDIKEEAISIVSFITLALGLEDTKISESFHEGLYDIRMNCYPPCPEPERVLGIIPHADNSAITLLVDFGDFPGLQFLKDGKWVSVEPIEGAIVANIGHIIEVMTNGIYKAPEHRAVVNKEKERLSIVTFCYPSPSIDIGPADKLIGEDNQQVYKNMTNAEYFNRFFNRKLYESFIDSLRL >CAK8542464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517818827:517819396:-1 gene:gene-LATHSAT_LOCUS11303 transcript:rna-LATHSAT_LOCUS11303 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHSYFTNLGLGYSIAIALAFLVLLATLFLSFYLCCRTNHNNNNNLANNNPNNNNPNNYTEGIVLSRDIFISETDEENQLAQENTVVGLEDNVINSYPRFQFNRDNATVLGQNTTCSICLCEYKDSEMVRMMPECRHYFHLCCLDSWLKLNGSCPVCRNSPLPTPLATPLQEVVPLSQYGADRRRRR >CAK8541801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:402508830:402509342:-1 gene:gene-LATHSAT_LOCUS10696 transcript:rna-LATHSAT_LOCUS10696 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPNSIFGRRRSKSPQDHHHHHHNNHPSYQTHGYEVSQPHTPHIPPLNFHEPTPIVNTTHIEWKETPEAHVYKAHLPGMKRNNIRVEVDDDRMLCIICEKSVEMEEQSGGWHRIEVASGHFVQRLTLPENSKVDHVKAYMDNDVLTINVPKNRVGVNKRVRNVQISHV >CAK8569493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2886628:2887701:1 gene:gene-LATHSAT_LOCUS22338 transcript:rna-LATHSAT_LOCUS22338 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHHFTRGFWEHEPSLSLGCKRLRPLAPKISDNTPSLSFDLKSFIRPQTETDNHNKKDPTSPQSQVVETHVPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITVQLSKYGKIEGKNVFYWFQNHKARERQKQKRNSLGLPHSPRTPTTTLVSPTFSTISTLDTPKRGEGTKRNQEDSPLKKSRSWPFEYLEEQNWSTICKEEHKTLELFPLHPERR >CAK8572058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505952418:505955142:-1 gene:gene-LATHSAT_LOCUS24664 transcript:rna-LATHSAT_LOCUS24664 gene_biotype:protein_coding transcript_biotype:protein_coding MTVISYKKQRREHNPSTMSDEQILEVICSTHVYSDIKFDAETLFNIARNILTCSTNVVDNVVVHGNQTIVEQLDNINTPACFTSPLCILKQINSEMACKTPDEEIAMKTTLAILNKLSNYSWVSKGVLTLSAFSIEYGEFWLSQYLPTQPLAKSLGIIKRVPQLTKPESLKKHHNAILEINNLIKATLKLVDIILELTRLNSSHDIRDVPALAPALEQIPVNVFWVIITIVAIVTQIECLTTDSDKRKDLSQFGQRINIIINNLEKHKSQCIQQIREAEYNKLLSGLFQIPTEITEVLKVLIFWKDTPKEPVIYDGLTLDEKQVHIEELKKKDVLLFISTLEISQEDISMLIKIDQHIKKKGNQHKIVWVPIVEEWDDGMHKTFESLKSKMPWYVVNHFAPIKGIKYIRKELHFKQKPMVVVLSPQGKILHPNAFHMIQVWGIRGFPFYKSKEETMSPTLEWDVLDSLVVDIDINIEWVEKKYVIIYGGENKEWTEKLTNFSTTIKDTNTLLDVFCLENYEKNVVTKFWKKVESLVFIKMHEETTIVKQQVEKLLSYKNETGWAILIKGSTVTAVGHKTTISKTIEEIDQWRGVVVEKGFDVAFTEHHKDVVPTKEYICSNLEIFNFGRKNPDIIYCPHCDEPMEMVITYKCSRGKNVPSLEV >CAK8537498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:377153550:377154160:1 gene:gene-LATHSAT_LOCUS6787 transcript:rna-LATHSAT_LOCUS6787 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLGEMQLSNASSHSLSQPCDSSVQSTNKSWTSSTEKETATLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAEVILYLLLSGVPPFWAETKQGVAQTII >CAK8530828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53863982:53865486:-1 gene:gene-LATHSAT_LOCUS664 transcript:rna-LATHSAT_LOCUS664 gene_biotype:protein_coding transcript_biotype:protein_coding MACEIEKWLIFHLFLLAECYMQCSCAHEKTRQVPCVYIFSDSFNDNGNNNNLETEAKANYNPYGIDFPTGSTGRFTNGKTVIDFTVEFLGFKEFIPPFANTSGSDILLGVNYASGSGGIRHESSQQLGDRIPLGQQIKNHKTIVSKIAKKLGGAFEAKNYLKKCLYYVNIGSNDYLNNYFLPEIYPTSRIYNPEQYAEVLINQYSLDLKDLYITGARKFVLVGLGLLGCLPYINTVNGNNGSCIESYNADALIFSQKLKSLVDKFNAELHDSKSIFVNSTAGPVQSTSDFTVTKAPCCPTMPDGMCIRDSIPCSNRDNYVFYDGIHPTSAYSYNTAAISYDSTSSPDTTYPTDIKRLVESKI >CAK8561659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:342150488:342151615:1 gene:gene-LATHSAT_LOCUS15228 transcript:rna-LATHSAT_LOCUS15228 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYIGNLNPRITERELEDEFRFYGVLRSVWVARRPPGYAFIEFDDRRDALDAIHALDGKNGWRVELSHNSKSGGGGRGGGHDGHGGEDLKCYECGEPGHFARECRSRVGSRGLGSGRRRSPSPYYRRRGSPSYGYGRRSYSPHGRSPRRRSITPRGRSYSRSPPYRYSRRDSPYANGYED >CAK8561658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:342150488:342151615:1 gene:gene-LATHSAT_LOCUS15228 transcript:rna-LATHSAT_LOCUS15228-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYIGNLNPRITERELEDEFRFYGVLRSVWVARRPPGYAFIEFDDRRDALDAIHALDGKNGWRVELSHNSKSGGGGRGGGHDGHGGEDLKCYECGEPGHFARECRSRVGSRGLGSGRRRSPSPYYRRRGSPSYGYGRRSYSPHGRSPRRRSITPRGRSYSRSPPYRYSRRDSPYANGD >CAK8566790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462918488:462920548:-1 gene:gene-LATHSAT_LOCUS19897 transcript:rna-LATHSAT_LOCUS19897 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIRLTPSHRRPLSFPAVHPCESISPATILTSLITLSQNISTFQPNSFPTQKRNSRETKRQIQILLIFFQELQSHGSPIPKPIIPIFSNLHFTLQKIHFLFQDCSLQNARLWLLIKSQFIATQFHLLVRSVAESLDALPLNLIDVCDEVKEVIQLLTEQNRTINLSLDHNDERENKRVISILNQFEKGTEPDVDSIKQVLHYLEIKTWLDCNEEIKFLQHEIEFEQEHELEQEKEVSQLSGLKCFLCYCRVVLFETIDFQSITRNRSETRCRRSIQMSTCVPDDYRCPISLELMTDPVTVSTGQTYNRASIQQWFEAGNITCPKTGEKLVSTEVFPNTALKTIIQKFCYNNRISISPTKSHVGIAVTTVNPGSPAAAHAIQFTSWSLAQRLVFGTDEQKNKAAYEIGLLAKSNIFNTACLIEMGTVPPLIDHILTSATQENAIFALLKLSKHSNGREVIMESRGLNSIVTVLIRGYSLEARRFAAGIIFYLTSVKEYRKIIGESSKAVSGLVELIKKGTIRGKKCAVDAIFGLLLLPKNHSKVLASGAVPAIVSVLDSWEKSYVVSDCLAVLVALAENVDGSRAVLEASGLSLVVGILQSPTSRAEKEYCISILVSLCTNIGDDIVSVLVKDGSVIMPLLYAILTDGTPLAEKKARKLINVLQEFDEKKTLGTSVLHQRLLQLN >CAK8531021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70214600:70215859:-1 gene:gene-LATHSAT_LOCUS839 transcript:rna-LATHSAT_LOCUS839 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLPQQGMALSSEADEGNDVVPPNPLTAETTASKHLRSSIETLTSPSPSSEDSLHSMPLPALPFEIVVEILSRLPVKFLMQFQCVCKSWKSLISDPKFAKKHLRHASQSLMLTLRNPSLDFVVIANPLSSVFIEATTSAIQVEYPLKYKNHSAVIVGSCHGILCLSFCFTLNKGVVFLWNPSIRKFTKLPSLEEIYRTTFCFSFGYDDLSDSYKVVVVCFPHNAIDYPVSNCKNVVKVHTLGTTSWRRIPGFPPNTLILDKSGKFLSGTINWLVYRQNYSSCWVIISLDLEKEFYRELPQPDYGEINLLSLNTGVLRDCFCIFSSTDTFSDVWLMKEYGNKDSWTKLFRFPHTRNLGSWPHVSALYVSQDDQVLFDIQLAELVVYNSRDGTFKDPGIHIIDKWMDSEVYHESLISPCF >CAK8531022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70214600:70215835:-1 gene:gene-LATHSAT_LOCUS839 transcript:rna-LATHSAT_LOCUS839-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSEADEGNDVVPPNPLTAETTASKHLRSSIETLTSPSPSSEDSLHSMPLPALPFEIVVEILSRLPVKFLMQFQCVCKSWKSLISDPKFAKKHLRHASQSLMLTLRNPSLDFVVIANPLSSVFIEATTSAIQVEYPLKYKNHSAVIVGSCHGILCLSFCFTLNKGVVFLWNPSIRKFTKLPSLEEIYRTTFCFSFGYDDLSDSYKVVVVCFPHNAIDYPVSNCKNVVKVHTLGTTSWRRIPGFPPNTLILDKSGKFLSGTINWLVYRQNYSSCWVIISLDLEKEFYRELPQPDYGEINLLSLNTGVLRDCFCIFSSTDTFSDVWLMKEYGNKDSWTKLFRFPHTRNLGSWPHVSALYVSQDDQVLFDIQLAELVVYNSRDGTFKDPGIHIIDKWMDSEVYHESLISPCF >CAK8575003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20854614:20854955:1 gene:gene-LATHSAT_LOCUS27296 transcript:rna-LATHSAT_LOCUS27296 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTVQDCVNQINDSVDQLTQAIKELKKLNQFNSIVNDKVLWHISNVETWVSTALTDASSCVQSFPGHRMSKSVATIKVKAKNVAEVTSNALALFHSYASRYKLAAAGTTKKP >CAK8572005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501439400:501441503:-1 gene:gene-LATHSAT_LOCUS24614 transcript:rna-LATHSAT_LOCUS24614 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKRSEKGEAAAVPKKDDVAPERPVRTLLGWKNKKENENNNEIEVKDNGSSPIFRNKEKVLVTCSRRIVFRYRHLMLNIVSLLPHCKKDNKVESKETKGATLNELVELKNCSSCLFFECRKAKDLYLWMSKCPNGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSSNFDKDAHWKLLKEMLLQIFETPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNESDKLPRGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALQKKKKAGTFAKKVKAKTRRKRHEMANPLEPDEFADMWKD >CAK8539506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514421494:514426848:-1 gene:gene-LATHSAT_LOCUS8592 transcript:rna-LATHSAT_LOCUS8592 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDYLAFERNKAQFDVDELKIVWAGSREEFELSDRISRLVANDPVFRKDDRASLDRKELFKNTLRKAAYAWKKIIELRLTEQEANKLRTFVDQPAFTDLHWGMFVPALKGQGTDEQQAKWLPLANKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFVIHSPTLTSSKWWPGGLGKISTHAIVYARLITDGQDQGVHGFIVQLRSLDDHLPLAGITVGDIGMKFGNAAYNSMDNGVLSFDHVRIPRNQMLMRVSQVTREGKYVQSSVPRQLIYGTMVYVRQAIVADASTALSRAVCIATRYSAVRRQFGSQNGGLETQVIDYKTQQARLFPLLASAYAFRFVSEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTTATADGIEECRKLCGGHGYLTSSGLPELFAVYVPACTYEGDNTVLLLQVARHLVKTISQLGSGKKPVGTTAYLARVEQLLQYQSDVTRAEDWLKPNVVIGAFEARAARMNVAVAQNLSKFTNPEEGFQELSADLVEAAAAHCQLIVVSKFIEKLQQDIPGKGVKQQLQALCSIYALFLLHKHLGDFLSAGCINQKQGSLANELLRSLYSQVRPNAIALVDAFNHSDHLLGSTLGRYDGNVYPKLYEEAWKDPLNDSVVPDGFHQYVQPILRQQLRNARL >CAK8534938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792649879:792680833:-1 gene:gene-LATHSAT_LOCUS4434 transcript:rna-LATHSAT_LOCUS4434 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSQFFFLSLIAIWLMSLTAFGATTIHPDEKKALEDIGKSLGKKDWNFDIDPCSSKPNWFTPPIPKIFENNVTCNCSVPGDNFCHVTLISLKGQSLQGTLPRELNRLQYLQIIDLSRNYLNGTIPKEWGSMTNLLNITLPGNRLTGSIPKEIANISTLIQLELTANQMYGNITPELGNLPQIRTLRFSSNNFSGELPVTLAKLTTLQDFQISDNQFSGKIPDFIQNWTNIKTLIIQGSGLSGPIPSKISLLRNLTDLRISDLSGSEYAPLPQLNNMISLSKLILRNCNINGTLPEYLRNMTSLKTLDFSFNKFSGTIPNIYTDISNDMNYIFLTGNLLTGPIPSWKTNLYVDLSYNNFSISQGNQICQNDKVNSFSTSWANNNIGTVSCLSECTKPSYSLYINCGGQQETVDRKSYDGDSDSPGPAKFHVSPTGNWAFSTTGIFIDSDQLGETYSPKNVTALTMADADLYKNARGSAISLTYYGFCLANGRYTVNLHFAEIMFTDDETYGSLGRRVFDIYLQGKPVQKDFNIAKEAGGVGKKVIKPFKDVVVTSNTLEIRLYWAGKGTQSLPNRSVFGPLISAISVESDSPPGSIPAGAVVGIVIAATVIIILVFGILWWKGCFGKKNSLARDLKSLDVQTGIFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIKLDWPTRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDTNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTMYRSKEEAFYLLDWAHLLKERGDLTELVDRRLGLDFNKKEAMVVINVALLCTNVTSNLRPSMSSVVSFLEGRNAVPEFVSDSSEVMDEKKLEAMRKYYYQKEENKTSTTSQTQNQSLLNDGPWTATSSSAVDLYPVHLDSAYWEERN >CAK8534937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792649879:792680833:-1 gene:gene-LATHSAT_LOCUS4434 transcript:rna-LATHSAT_LOCUS4434-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSQFFFLSLIAIWLMSLTAFGATTIHPDEKKALEDIGKSLGKKDWNFDIDPCSSKPNWFTPPIPKIFENNVTCNCSVPGDNFCHVTLISLKGQSLQGTLPRELNRLQYLQIIDLSRNYLNGTIPKEWGSMTNLLNITLPGNRLTGSIPKEIANISTLIQLELTANQMYGNITPELGNLPQIRTLRFSSNNFSGELPVTLAKLTTLQDFQISDNQFSGKIPDFIQNWTNIKTLIIQGSGLSGPIPSKISLLRNLTDLRISDLSGSEYAPLPQLNNMISLSKLILRNCNINGTLPEYLRNMTSLKTLDFSFNKFSGTIPNIYTDISNDMNYIFLTGNLLTGPIPSWKTNLYVDLSYNNFSISQGNQICQNDKVNSFSTSWANNNIGTVSCLSECTKPSYSLYINCGGQQETVDRKSYDGDSDSPGPAKFHVSPTGNWAFSTTGIFIDSDQLGETYSPKNVTALTMADADLYKNARGSAISLTYYGFCLANGRYTVNLHFAEIMFTDDETYGSLGRRVFDIYLQGKPVQKDFNIAKEAGGVGKKVIKPFKDVVVTSNTLEIRLYWAGKGTQSLPNRSVFGPLISAISVESDSPPGSIPAGAVVGIVIAATVIIILVFGILWWKGCFGKKNSLARDLKSLDVQTGIFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIKLDWPTRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDTNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGRNNTLHRTKEEAFYLLDWAHLLKERGDLMELVDRRLGLDFNKKEAMVVINVALLCTNVTSNLRPSMSSVVSFLEGRNAVPEFVSDSSEVMDEKKLEAMRKYYYQKEENKTSTTSQTQNQSLLNDGPWTATSSSAVDLYPVHLDSAYWEERN >CAK8534939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792649879:792658832:-1 gene:gene-LATHSAT_LOCUS4434 transcript:rna-LATHSAT_LOCUS4434-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSQILFLSLIAIWFISLTAFGADTIHPDEKKALEDIAKSLGKKDWDFNIDPCSNKPNWATPKPTPSTPRLSIVENNVTCNCSVAGDNFCHVVSITLKGQNLPGTLPPELARLHYLQIIDLSRNYLNGTIPKEWGSMVNLLNITLPGNRLTGSIPKEIANISTLIQLELTANQLSGNITSELGNLPQIRTLRFSSNNFSGELPATLAKLTTLQDFQISDNQFSGKIPDFIQNWTNINTLIIQGSGLSGPIPSQISLLRNLTDLRISDLNGSEYAPLPQLDNLTLLEKLILRNCNINGTLPENLSTMTSLKYLDFSFNKFSGTIPSTYAYINGVKFIFLTGNLLTGPIPSWKKNIDVDLSYNNFSINQESQICQSDKLNLFSTSWANNNIGTVSCLGECPKKSLYSLHINCGGKQERVNGESYDDDSDSPGAAKLHVSPSGKWAFSTTGIFIDGDQLGETYFPPDFTPLTMEDAKLYMNARGSPISLTYYGFCLENGRYTVNLHFAEIVFTDDQTYRSLGRRVFDIYLQGNPVQKDFNIAKEAGGVGKKVIKQFKDVFVTGSTLEIRLYWAGKGTQSLPNRSVFGPLISAISVESDSPPGGISVGAVVGIVVAATVIIILIFGILWWKGCFGKKKSLARDLKSLDVQTGLFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIKLDWPTRQRICVGIARGLAYLHEESRLKVVHRDIKATNVLLDTNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTMYRSKEEAFYLLDWAHLLKERGDLTELVDRRLGLDFNKKEAMVVINVALLCTNVTSNLRPSMSSVVSFLEGRNAVPEFVSDSSEVMDEKKLEAMRKYYYQKEENKTSTTSQTQNQSLLNDGPWTATSSSAVDLYPVHLDSAYWEERN >CAK8537390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:323655942:323656439:1 gene:gene-LATHSAT_LOCUS6683 transcript:rna-LATHSAT_LOCUS6683 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIEEFCCRMTGTIKEWYHNLGAFKQDELHHLETTASVLGVLHREFIGDMEIFDRKNRQEFFEMKCCSLKTKDLDKHYHRMAHKYYVLNGYNDPSLKNTYVSSLPQELQPEIHKILATAQKDIKIMSLIQIHQVTLEALEKLCSFHHQFSEVIEQK >CAK8542337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502476516:502477076:1 gene:gene-LATHSAT_LOCUS11183 transcript:rna-LATHSAT_LOCUS11183 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFSNTFILLLLFFFFTNSLCIEAKKCHPNGIVKGKSPSGHCNQENDLCCVPGKKYHTYKCSPLVSSHTKAYLTLNSFEKGGDGKGPSTCDDNYHSDETPVVALSTGWFNTKRRCLHNITINGNGQSVVAMVVDECDSSKGCDAKREYQPPCANNIVDASMAVWKGLGVPRNQWGGLDITWSDV >CAK8534628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750723719:750724462:-1 gene:gene-LATHSAT_LOCUS4159 transcript:rna-LATHSAT_LOCUS4159 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFIIFLAILVSKGNGGYNISSSDPYAGGVSIIIINGGYDTIWPAVYTEKGDRVVPTGVKLESDEQYELKIPDTWSGTIWARTGCSGNPNNSFHCAVGDCGTNHIHCHYSKPTPPVTQVKFDLVPKGGSSSYKVDFRDGFSVPVTLTPCDLKCEKIMCITNLVNECPDWLAVYSNEGRKIACKSPCYTTREPKDCCTGEYASLEMCALNKYTELLDEKCPSVVSNAFDETHFTCFEGTSFSILFN >CAK8532073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200442319:200446529:-1 gene:gene-LATHSAT_LOCUS1810 transcript:rna-LATHSAT_LOCUS1810 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIKSKTQLVKNKDNEKKAVANRTNKEDDSVFASCTFSSLGLHPTLCDQLRERMGFEGPTLVQAQAVPVVLSGRHALVNAATGTGKTIAYLAPIIHHLQSYEKRIERSDGTFALVLVPTRELCLQVHEILQKLLHRFHWIVPGYIMGGESRSKEKARLRKGISILIATPGRLLDHLKNTTSFLHTNLRWIIFDEADRILELGFGKEIQEILNLLGSMKTGHEDQENPVTRPSKFQRQNLLLSATLNEKVNHLAKISLENPVMIGIDDKTMEPTSKIRFVHSDSDEDNEDKYSNKIASVGAYKVPEQLIQRYLKVPCGSRLATLLSVLKHLFEREPSQKVVVFFSTCDAVDFHYSVLTGFLFSSHPQTEEGNRPIFLGCKTLRLHGNMEQEDRTTSFQAFKTDKSALLLSTDVSARGLDFPNVRCIIQYDSPGEATEYVHRVGRTARLGERGESLLFLQPAEIDYLQDLKKHGVSLTEYPLPKVLDSFPMSGHKNMKNSVFIDMHPWIMCLQKALESFISSKPHMDELAKKAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVAKSFALKQIPSLVGKSFQNQAKKRKRFEKKNGPSNKRKVARRVK >CAK8572143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514463400:514466944:1 gene:gene-LATHSAT_LOCUS24739 transcript:rna-LATHSAT_LOCUS24739 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVMSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSSDRARISIMKDELFRLLSHEDLQHSVILVFANKQDIKDAMTPAEITDALSLHSIKDHDWHIQACSALSGEGLYDGLGWIAQRVTGKA >CAK8541646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:326486929:326498374:1 gene:gene-LATHSAT_LOCUS10551 transcript:rna-LATHSAT_LOCUS10551 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMEIDSPSESQPLSARDRVIQRLVQFGVPEEQLDQPGLVAFVQEKKVLINDIVYAILPTDDELDEVSQDSNLGSKKMFKESLLWLQWLMFKGDPREALKGLSNMSVGQRGVCAAVWGSTDLAYRCRTCEHDPTCAICVPCFQNGEHTGHDYGVIYTGGGCCDCGDVTAWKREGFCSMHKGVENVQPLSKEVENSVSPVLSPLFNCWKDRLTVVCDSDPRGKKAANDLTFAMATLLLDFCKHSESLLSFIAGLCSTDLLSVLVRAERFSTNDVVKKLHELLLKLLGEPTFKYEFAKVFLTYYPSLIKEVIKEGSDLPLKRYPLVSMFSVQILTVPTLTPRLVKEINLLNMLLGCLENIFISCAENGRLQVSRWVHLYETTIRVVEDIRFVMSHMVVSKYVTNNHQDISRTWLKLLSYVQGMNPQKRETGQHIEEENENVHLPFALGHFIANIHSLFVDGAFSDASKGEAGDETVWTLNHNESDDGGDLRHAKVGRLSQESSACNVTSSSVFATPKVLEIKSDASSHLLPYSVTWLIYECLRAVENWLGVENTPGVLPSGTSNISASKGAVSNLRRGKLKTNEEIGSENAYAHSSFDNGRASEKYILTSTDGSAMEEDFTVESDGLRFLSSPDWPQIVYDVSSQDISVHIPFHRFLSMLLQKALRRYFCESEVLDVTDICAANSSSTIYNDFFGHALRGSHPYGFSAFIMENPLRIRVFCAEVHAGMWRKNGDAALLSCEWYRSVRWSEQGLELDLFLLQCCAALAPEDLFVSRVLERFGLSNYLSLNLEQSSEYEPVLVQEMLTLIIQIVKERRFCGLTAAESVKRELIYKLSIGNATHSQLVKSLPRDLSKFDKLQDILDTVAVYSNPSGFNQGMYSLRWLFWKELDLYHPRWNSKDLQVAEERYLRFCSVSAPTTQLPKWTNIYPPLKGIARIATCKVAFEIIRAVLFYAVVTFKSPESRAPDSVLLPALHLLSLSLDICFQQKENTDNAFNHTAQLPVIAFSGEIIDESSFYGVGEQSLLSLLVLLMGMSRKENDDSNAEAGGLSSLVESLLKKFAELDDSCMIKLQKLAPEVVNHIPECVPTGDPSISLSASDSEKRKAKARERQAAVMEKMRAQQTKFMASIESIIDDGSQLGHEGDLEAEHDSEESKQVVCSLCHDHNSRLPISFLILLQKSRLVSSIERGPPSWTQLRRSDKEPMSVCNTKEVDKTAINQNSGSTESTLSSDLTQSVQNATSELASSGQPVEVNTFLQYMKNHFPALGDFQLPDTPGDEKEKPPYTFETLEQVIYVSVRDQMHNLMSSSNFIDEDEKVPSAEGNSNVRITGSALIGKYAAEIVREISEVSSASGNAGNENASVESTSQRLENVGFGPTDCDGVHLSSCGHAVHQGCLSRYLSSLKERSVRRIVFEGGHIVDPDQGEILCPVCRRLVNCVLPTLPGELHDPLVSSTSSIQSTTPFADLNDETYSLHLQQALNLLKFATNAVGKDEFLKAIPLHHIDKTRPIVDNFSLVLSKMYFPGKQEKLSRFSKVNHSLLMWDTLKYSLTSMEIVARCGKASFTPNFALSAMYEELKSSSGFILYMLLKLVQKTRSKNSIHVLQRFRGIQLFAESICSGVSLSHADNVSGKGDMLSVLKRIEMDQANTDICFWNQASDPVLAHDPFSTLMWVLFCLPHPFLTCEESLLSLVHTFYIVAVTQAIILYYEKSQDKSSSESALSDCMITDINKIMGESGCASQHFVSNYFDHNVDIKDAIRKFSFPYLRRCALLWKILYSSIPAPFCDEGNMSNRPLIIQRDTMGSADINMFEIIKIQELENMFKIPPLDVVLKDDLSRSSVSIWCRHFCKEFESQRIQRNIHVTPAVPFSLMKLPNVYQDLLQRCIKQRCPECKSHLDDPAACLLCGRLCSPSWKSCCRKSGCQTHSATCGAGTGVFLLIKRTTILLQRSARQAPWPSPYLDTFGEEDFEMNRGKPIFLNEERYAALTYMVASHGLDRSSKVLGQTTIGSFFLV >CAK8575418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:115471850:115474883:-1 gene:gene-LATHSAT_LOCUS27687 transcript:rna-LATHSAT_LOCUS27687 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAVIGLSGGKRLLSSSYHYSDIVEKFSHASDFASTQCHLPPSKFLILAKKSSKYTPTFPASERQNHSIKALNVVADSEQRFQGCTSIDLEVETSGIENYSLEALLLLQKSMLEKQWGLSFEGELLTHNSRREKIRRKLPVTCSGMSARQRRMNIKRKITSKSASAIMQMRSTICPELIQNRFKGYGYVKGVVSDKLLSHAEVVKLSGKIKAGLSLNDHKSRLKERLGCEPSDDQVATSLKISRAELRTKIIECSLAIEKLTMSNVRLVTSIAQRYDNMGAEMADLVQGGLIGLLSGIEKFDSSKGCKISTYVYWWIRQGISRALVENSRTLRLPIYLHERLSLIRNAQNRLKERGVTPTIDKIAKCLNISQKKVRNATEASSKVFSLDREPFPSLNGLPGDTHHSYIADKRLENIPWNGVDEWALKEEVNGLINATLVEREREIVRLYYGLDKECLTWEDISKRMGLSRERIRQVGLVALEKLKHAARKKELEAMLLNH >CAK8534198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703925713:703925962:-1 gene:gene-LATHSAT_LOCUS3759 transcript:rna-LATHSAT_LOCUS3759 gene_biotype:protein_coding transcript_biotype:protein_coding MVENIAEELKCEGCNEKVSMEKQLMLNQTPSIAAFHLKRFKTDGFFVEKINKHIDIWCHE >CAK8575846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:335428075:335435118:1 gene:gene-LATHSAT_LOCUS28076 transcript:rna-LATHSAT_LOCUS28076 gene_biotype:protein_coding transcript_biotype:protein_coding MDTERSIEDQFSKLHPSLPENTRIGIIGAGPSGLSAAYALARLGYKNVTVLEKHHTVGGMCESVEIEGNVYDLGGQVLAASSAPVIFHLAKETGSAFEEMDSHKLAVVDPSSGEYHDIKVADDYVSVMSLTLEIQEKVKNCGRIGVHAVSDIASDLTPEYLECHGLKSVPKSVAYGYTASGYGFVQDTPYAYLHEFTRTSMAGKIRRFKGGYTSLWKKIAESLPLRLICNTEVLSIKRYSDRVVVCIKNINVVDSLEFDKIIISGSFPLNYGKIYGSPSTFIECEKEVMDATDLEKDLFSKVETNDYYTTALKIKGLEHFPIGFYYFSKYMEDPSTIGNPVAMQKFYDDSNIFLFWSYGNSVDIKGPTVKELAMKTVEAMGGEVESFILQRCFKYFPHVSSQDMKEGFYEKLESELQGSNHTYYVGGLMAFELTERNSSYAMALICKNFANRNDLPTFPYTKNLFPLQTELQKKNPRELDELPEVKFPNLPTLNSYLKYWGTHPITQNRTLYTWINEEGNPVSRRTFGEQHFYSSCVAHKLLTSQKPVIKPGDRVLLIYIPGLDFVDAFFGCIRAKVLPVPIIPPDPMQRSGQALMKIESVAKSCGIVAILSTKAYHSAVRAGSLKNLLSLKNGKSSGCWPNLPWLHTDAWVKNSKNIVLEHLDDQNEPHPDDTCFLQFTSGSTGDAKGVMISHGGLIHNVKLMQSRYKSTSRTKLVSWLPQYHDMGLIGGLFTSLVSGGSAILFSPMTFIKKPMLWLEIISKYQATHSAGPNFAFELLIRRLESDKDKIRNLDLSSLVFLMVAAEPVRQKTLKRFIELTTPFGLSQKVMAPGYGLAENCVFVSCAFGEGKPIIVDWQGRVCCGYVCSADKDIDIRIVDPDSCEEFREDGTEGEIWISSPSAGVGYWGREELSQKTFRNELTNHPGRNYTRTGDLGRIIDQKLFITGRIKDLIIVAGRNIYSSDVEKTIETSSEFLRPGCCAVIGVPEEILSAKGISIPDGSDQVALVVIAEVRDGKPVSKDVIDNIKMRVAEEHGVSLASVKLIKPRTISKTTSGKIKRFECIKQFTDETLHLVPLRTKPMMTKKSKVWSFTTSTCRAEKPFQLQLVKSAPADSKRINKNDIVEFLKVLISEQTGVPINNVSVTDNLTSYGIDSIGVVKATKKLSDFLGTPVAAIDVFTASCILELASFSEDLLSKTQPQIVRSIPVPVKRINKNDIVEFLKVLISEQTGVPIDNVSITDNLTSYGIDSIGVVKATQKLSDFLGTPVAAIDVFTASCIQELANFSEDLLSKTQTQLSNNPSEVLEVEIDSTESVVEVSKSRQLGIRLLQFLALIYISIMLASPAYLSVTSFLNSSLCANKSVAGVPWSNYIISLVFAPLAWILCIASTCICISVFGSSLLGLNYELTSDISIYSMDFVKWWALYKTQEISSKILATHLRGTVFLNYWFEMLGARIGSSVLLDTVDITDPALVSIGDEVVVAEGVLVQSHEVKKGVLSLHPIRIGNCSSIGPYAVVQKGSVVGESVEVQALQKVGGGEHVLKPNNLDNIDKNADLPVINSKTQYDTIYHFMGIYLVGFLSSLAAAITYFLYIKFSKHPPSLQHFSFVCICGAFHWIPFTVIAYASMFHDIPTNPITFAISFTSAYFLHSLMLITLSAVLTRLLILNQNQTEFKTWLQCRINISCHLRCAKLLSGTEAFCVYLRLMGAKIGKHCSIRAINSVSNPELMSIGAGVHLGDFSKMITGFHSSNGYTRGKIEVQDNSVIGSQSLILPGSLIQKNVILGALSIAPMNSILQEGGLYIGSQSHIANLTSPLHKQESPKVTFTLTRKWYQTLSSLFIQPFLQTVLPHILLGLSIYAPLNLVFHLKNTNKVPIFWLLPLIWILSGTMAALTCVIAKWVLIGRKKNGEKVAIWSKSIILDSTWQAIRTLTGDYFMEMTCGSFMFVKWMKMMGVDVDMDDGDVYVDSMGALLNPEMVKIERGGCVGKEALLFGHIYEGDEGGMVKFGEIKVCEDGFVGSRAMVMPGVRLENGANVGALSLVMKGEVVRSR >CAK8544987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:716171766:716173432:-1 gene:gene-LATHSAT_LOCUS13623 transcript:rna-LATHSAT_LOCUS13623-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKGYCSDRGEEEEDEGAIAGEESNSSRLKTQLQKTQHEEEEEETVVGNLNEKNEERKKEEDLMELRLGGSGSKSKEEGIECSNSKNEEEVEEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFHRGRAELYRHRLYIDYRPRSHTHSPYSQHHHHHHLMPQYPPNIATLPFYLPNHHFSSCGGLGARSLYNSSQYSPHLPNYSPAAAASSPIPPLQYYNNNFYTTLHQHHQQQQYHSSSEHLVNNNMNPLFYLASSPMTPPTSAMGGSGGGDQHFPGPVPGQGQQQDQRNANVNLPMNMIIDSVPVTHHHHRSGNLNGSNNSNSTGKRLRLFGVNMECDSSSSSSAQPNVTMANQSHSLSSTTTTTTTTTSGGGGDYHRGGLSELFDLEPFNYRRH >CAK8544986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:716171766:716173462:-1 gene:gene-LATHSAT_LOCUS13623 transcript:rna-LATHSAT_LOCUS13623 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQSKLNLMEEVKGYCSDRGEEEEDEGAIAGEESNSSRLKTQLQKTQHEEEEEETVVGNLNEKNEERKKEEDLMELRLGGSGSKSKEEGIECSNSKNEEEVEEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFHRGRAELYRHRLYIDYRPRSHTHSPYSQHHHHHHLMPQYPPNIATLPFYLPNHHFSSCGGLGARSLYNSSQYSPHLPNYSPAAAASSPIPPLQYYNNNFYTTLHQHHQQQQYHSSSEHLVNNNMNPLFYLASSPMTPPTSAMGGSGGGDQHFPGPVPGQGQQQDQRNANVNLPMNMIIDSVPVTHHHHRSGNLNGSNNSNSTGKRLRLFGVNMECDSSSSSSAQPNVTMANQSHSLSSTTTTTTTTTSGGGGDYHRGGLSELFDLEPFNYRRH >CAK8574619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3964679:3969768:-1 gene:gene-LATHSAT_LOCUS26947 transcript:rna-LATHSAT_LOCUS26947 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAVFNELCNILDPGKPSFTPKKGKASVVMFVGLQGSGKTTTCTKYAFYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFRKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDLSGFMDKIHEVVPMDQQPELLQMLSDGHFTLRIMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGREKESQAKIKRYMTMMDSMTNKELDSSDPKLMNESRMMRIARGSGRQVREVMEMMEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNANHMSKILPPQMLKQIGGMGGLQSLMKQMGSSKDMMGMFGGGDK >CAK8565716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311830997:311852526:-1 gene:gene-LATHSAT_LOCUS18904 transcript:rna-LATHSAT_LOCUS18904 gene_biotype:protein_coding transcript_biotype:protein_coding MSISELISELRDSFLQRDFDRVEEALIARETRLKAEIEDKKREIRLLNEEIDFQRIEKMSAELELKRLKELIDGGDIACAVPHTGNVGASTVAQIGNVGDSIVASETLMVREATLKSAIEEKVGEIEQIYKFKNWGMLNFEVEVQLIRGEKRGEEFRKVGENDKVLKAVVGKEKCKFGVHDWKACPDGEQDKKDCPNASETLMVREATLKSAIEEKVGEIEQNDQFKNWGKLNFEIDVQIIRDEKRGEEFRKVGENSKVEKGVVGQEKRKFGVHDGNACPFGEHGKKDGPNASGNVIDLVEESLDVKEATTTATIEDKMKEIRLLHEKLAKKEKCATAEVKDEKVDVMAEKKRLGGEPSTNAGLGGSSGNWWTNSSRVVSKNFTTKVAEPAGVIKRDFASPGGYVENVLDGLESDSSSSSSSSSSGEFDISSFTSLKRTKLS >CAK8542960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562428334:562430351:1 gene:gene-LATHSAT_LOCUS11755 transcript:rna-LATHSAT_LOCUS11755 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIWPPFPRLPVYLLILLFSPAKGVKLFFIPALIQLYELRRIDHRSDTGKRSLATSPKTMSCTSAISIHIRISREVPPKGHLKKICLAKDKPSLTGSMFRTYIGIRP >CAK8544677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698762924:698765586:-1 gene:gene-LATHSAT_LOCUS13334 transcript:rna-LATHSAT_LOCUS13334 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNKTSSLFLLLILILILIFFFFSTNSPPSPSLSTTRRSLLTSSCSSANPNSNGIINYHCIFPQTPSLSITSLSILLILHFYILIKTAQHHFSIVTTKLASHLNLSPSMAAVTLLALGNGSPDVFSSLAALRAGQYRTGFGAILSAGAFVSALVVGFVAIYAAPFPVDPAPFVRDVLFYLTAAMFLFYVYLSAEIFLWQAVGFVAFYLFFVGFVFYMDLGIVNRREKSSADLEGQVESYYDEKSLGSGEKEKHASGFSGSFRLISKAWELPVLTLLRLTIPQPAPSQWSRFYASANIALCPLALLYACNSFMPLNHPIVFLLPNTLFPLWSVVLMTSFSLAFLHFVLEKEPPKTEHLPVVVVAFVMSVFWISTTAGELVSCLEALGTLLKLSPAFLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGTALVIQTSNIYPKAYELNFHVGIVIAFVFLLLSLMGSLLVITWSRFRVPRFWGFCLVGLYIAFMALSMVVAIFSS >CAK8571763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470646083:470647649:-1 gene:gene-LATHSAT_LOCUS24396 transcript:rna-LATHSAT_LOCUS24396 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVNLVVANNVGYVIPEGKCFPCSRVYGKTTAVFSCPSIGRHRIRSHVKIGGLKPGSLAASFVCEASKNPYFSRQNNNRNVPSRDRNRNNDGRDIFENFEEDMLSSKNGSLESVSSGKFQATSSPGAKEREIVELFKKVQAHLRDRASSVKEEKKIESPHRHVKEKSENDAVDSLLKLLRKHSVQQAKKSSGEDNGEDHNSDQLQESNQYDGVQSTNSFDSNNSPKDESRDANVASVTRPRSVFKKKSPVPRVNVEPIVREDNVNNRHQIDLKPEDEPEPEPEPKSEIDLDTKDELFFPELSEDDSHDAELTFNDESVEEELDVQTEDLSALKLSELRAIAKSRGLKGYTKMKKVDLIELLIEN >CAK8533503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627208453:627208905:-1 gene:gene-LATHSAT_LOCUS3126 transcript:rna-LATHSAT_LOCUS3126 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEMPPCRSTACRCIPVGLVIGYCRNPSGVLRTTDEHPNLCEADADCRKKESGNFCGHYPNPDIEYGWCFESKSEAEDVFSKITPKDLLSTV >CAK8530426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20383494:20384695:-1 gene:gene-LATHSAT_LOCUS292 transcript:rna-LATHSAT_LOCUS292 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIIYHSVYGHVEKLAEEIIKGVSSVEGVEAKLWQVPEILSEEILRKMKAPPRSEVPDISPKQLVEADGFLFGFPARYGMMSAQFKVFFDATGSLWNKQALAGKPAGFFFSTASQGSGQEETAFTSITQLVHHGMLYVPIGYTFGDGMFEIEKVKGGSPYGSGTFGDHDVGQPSELELAQAFHQGKCFAGIAKKLKGSQ >CAK8567914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556506658:556508835:-1 gene:gene-LATHSAT_LOCUS20923 transcript:rna-LATHSAT_LOCUS20923 gene_biotype:protein_coding transcript_biotype:protein_coding MESSYRRRHRTWCCSFAVPPSSPDNPPFKPRRPKPEAQSKPAFSSSVPNSPQSSKSSSRIVARIDPRRILSPGRVSPIEDALPDPSPVLDSAPRSRSFRAPIANPIPPPPMNSVADVTVGISDGFDVRMSLRGKNGGCVVLELNSVILCANSEVFAGLIGDYRKGNSSSGSNKMCRIEVPEVDNLGVFRETIELMFEDDVPKRLLNIGVYRSIDVLEVSAGIMFTKGVLSCLQYLEAVPWTEEEEEKLRNLFTRFKFDDETTRDILGRLYLHDSEDSKPNVARQLVWSITTCVNANARNELKSLVKGLLCKSSVYEKNHLDLSKDDLYSVCHSCIGSLISLFEEALGTVPNERLTKKDTSKTLIERISTQVDNINWLVEIMLDGQIAEDFVDIWSDQHQLLKMHDTASPMVRYELSRVSAILFVAMATRKLQCRLEARSGLLQAWFAPMLLDFGWLQRCRKGLDIKVLQEAMGQTLLTLPLKQQHTLFMEWFHHFSRHGTECPNLSKAFQIWWRRSFLRGSETHAIESR >CAK8575292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71714503:71715314:1 gene:gene-LATHSAT_LOCUS27566 transcript:rna-LATHSAT_LOCUS27566 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSVLSVDDWEFSSSHDDVKTLVLLGRTGNGKSATGNSILGKKVFKSRASSSGVTISCEMDTTVMNDGQIVNVIDSPGLFDVSAKSEFFGKEIVKCISLAKDGIHAIILVLSVKTRFSVEEENAVRSLQTLFGSKIVDYMIVVFTNGDALENDELTLDGYLGSECPESLKELILLCDN >CAK8573772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635773846:635776828:-1 gene:gene-LATHSAT_LOCUS26179 transcript:rna-LATHSAT_LOCUS26179 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEIPELDLVSSSCEALESGEMNIGSQRILVSDHIKAYQYSSEKVDSFVVDMDSFSSSIHKDIINANSRTTLQRSLSRKGSQRWGDRNMNGGNVTVQDKDIVPTTCSPKGPSKSTVMAVGSIQNSANIQQQVHHQITITTASSNICNNNTENKCMMKRNSFGRSYSWLLDPKKILLIFATLSSVGTLLLIYLTLSISKHNNGVENGSDLQ >CAK8573771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635773846:635776828:-1 gene:gene-LATHSAT_LOCUS26179 transcript:rna-LATHSAT_LOCUS26179-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEIPELDLVSSSCEALESGEMNIGSQRILVSDHIKAYQYSSEKVDSFVVDMDSFSSSIHKDIINANSRTTLQRSLSRKGSQRWGDRNMNGGNVTVQDKDIVPTTCSPKASLLGPSKSTVMAVGSIQNSANIQQQVHHQITITTASSNICNNNTENKCMMKRNSFGRSYSWLLDPKKILLIFATLSSVGTLLLIYLTLSISKHNNGVENGSDLQ >CAK8539340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509749192:509760083:1 gene:gene-LATHSAT_LOCUS8446 transcript:rna-LATHSAT_LOCUS8446-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSSATPLDLAAMNGTTNPVSPSQINLYRINKVLERLAFHFLPGNRSDSFEFFNLCLSLARGIDYALANGEPPPKANELPTLMKQMYQRKTDELSLAAVMVLMISVKNACKIGWFEKKESEELLIVADEIGKIYCTLGNISTGPSSSHSAVLTIMERFYPKMKLGQIIVSIEAQPGYGASAVDFHITKNNVQPDKKIWLLVAQTDNIETSACLISPQQVNFLLNGKGIDTRTNFRMDLGPQMPTNVTSVLKFGTNLLQAVGQFNGHYIILVAYMSVASLPEHPVLPPDYVQPATSVDSDSDIIEGASRFSLNCPISFTRIKTPVKGRSCKHFQCFDFDNFIKINSKRPSWRCPHCNQNVSYTDIRLDRNMIEILEKVGENIVEVTVHADGSWQPVLENDHDVDKIQNKVHNCEKEQTEQQESTHSPDTFPHVVDLTNKDNDMDIIMDTCETADRKPSQGSAPTSVQIEDDFWAGLYIANSRSDTPTGGVTDIPVLADGVSPALIQEAEGHNNISAMHNQFQALSNLQVMNYMNSFVSEYGRSSSSPSHIHRTPVAVQALPVQSQPLGPQQNSVTNLDSLITSSPSATHVSLSNSASADPYNAILSDAERQQLFSRSPLNMPQVSAATQNRMPTVNMPAPTHNRVPPVSMSATTLNRAPSHLQTQQYRAGMLSDFRNSHLQQSFNPRAHQPMQPLNPQRSHIQQGISQANAAGGAANSQQAARVMASSHVARQGEQRGPPVQAVSRTDELFNSQPDQNWRPTSRMRGSLSGQQLTDDVRQRLIMPSSQEAQSSRPQGPPQPGRTTSQLNVLIANSRNAHNPPRP >CAK8539341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509749192:509760083:1 gene:gene-LATHSAT_LOCUS8446 transcript:rna-LATHSAT_LOCUS8446 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSSATPLDLAAMNGTTNPVSPSQINLYRINKVLERLAFHFLPGNRSDSFEFFNLCLSLARGIDYALANGEPPPKANELPTLMKQMYQRKTDELSLAAVMVLMISVKNACKIGWFEKKESEELLIVADEVCFYIGKIYCTLGNISTGPSSSHSAVLTIMERFYPKMKLGQIIVSIEAQPGYGASAVDFHITKNNVQPDKKIWLLVAQTDNIETSACLISPQQVNFLLNGKGIDTRTNFRMDLGPQMPTNVTSVLKFGTNLLQAVGQFNGHYIILVAYMSVASLPEHPVLPPDYVQPATSVDSDSDIIEGASRFSLNCPISFTRIKTPVKGRSCKHFQCFDFDNFIKINSKRPSWRCPHCNQNVSYTDIRLDRNMIEILEKVGENIVEVTVHADGSWQPVLENDHDVDKIQNKVHNCEKEQTEQQESTHSPDTFPHVVDLTNKDNDMDIIMDTCETADRKPSQGSAPTSVQIEDDFWAGLYIANSRSDTPTGGVTDIPVLADGVSPALIQEAEGHNNISAMHNQFQALSNLQVMNYMNSFVSEYGRSSSSPSHIHRTPVAVQALPVQSQPLGPQQNSVTNLDSLITSSPSATHVSLSNSASADPYNAILSDAERQQLFSRSPLNMPQVSAATQNRMPTVNMPAPTHNRVPPVSMSATTLNRAPSHLQTQQYRAGMLSDFRNSHLQQSFNPRAHQPMQPLNPQRSHIQQGISQANAAGGAANSQQAARVMASSHVARQGEQRGPPVQAVSRTDELFNSQPDQNWRPTSRMRGSLSGQQLTDDVRQRLIMPSSQEAQSSRPQGPPQPGRTTSQLNVLIANSRNAHNPPRP >CAK8539342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509749192:509760083:1 gene:gene-LATHSAT_LOCUS8446 transcript:rna-LATHSAT_LOCUS8446-3 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSSATPLDLAAMNGTTNPVSPSQINLYRINKVLERLAFHFLPGNRSDSFEFFNLCLSLARGIDYALANGEPPPKANELPTLMKQMYQRKTDELSLAAVMVLMISVKNACKIGWFEKKESEELLIVADEIGKIYCTLGNISTGPSSSHSAVLTIMERFYPKMKLGQIIVSIEAQPGYGASAVDFHITKNNVQPDKKIWLLVAQTDNIETSACLISPQQVNFLLNGKGIDTRTNFRMDLGPQMPTNVTSVLKFGTNLLQAVGQFNGHYIILVAYMSVASLPEHPVLPPDYVQPATSVDSDSDIIEGASRFSLNCPISFTRIKTPVKGRSCKHFQCFDFDNFIKINSKRPSWRCPHCNQNVSYTDIRLDRNMIEILEKVGENIVEVTVHADGSWQPVLENDHDVDKIQNKVHNCEKEQTEQQESTHSPDTFPHVVDLTNKDNDMDIIMDTCETADRKPSQGSAPTSVQIEDDFWAGLYIANSRSDTPTGGVTDIPVLADGVSPALIQEAEGHNNISAMHNQFQALNPYNAILSDAERQQLFSRSPLNMPQVSAATQNRMPTVNMPAPTHNRVPPVSMSATTLNRAPSHLQTQQYRAGMLSDFRNSHLQQSFNPRAHQPMQPLNPQRSHIQQGISQANAAGGAANSQQAARVMASSHVARQGEQRGPPVQAVSRTDELFNSQPDQNWRPTSRMRGSLSGQQLTDDVRQRLIMPSSQEAQSSRPQGPPQPGRTTSQLNVLIANSRNAHNPPRP >CAK8576687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505996125:505997482:1 gene:gene-LATHSAT_LOCUS28860 transcript:rna-LATHSAT_LOCUS28860 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKSRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAEAKNKSVPQVVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISQMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8569486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2574440:2580096:1 gene:gene-LATHSAT_LOCUS22332 transcript:rna-LATHSAT_LOCUS22332 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSVLNFVNTTLDWVTYALDAPSTRAVVFGFNIGGHLFIEVFLLVVILFLLSQKSYTPPKRPLTNKEIDELCDEWVPQPLIPSLNEELHYEPPVLESAAGPHTIVNGKDVVNFASANYLGLIGHQKLLDSCSSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNDMDSLSKTLENITSKYKGTKNLRRYIVIEALYQNSGQIAPLDEIIKLKEKYRFRILLDESNSFGVLGSSGRGLTEHYGVPVEKLDLITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLDENPNLLTKLKNNIGVLWKGLSKIPSFTIASHPESPIIYLRLKKSTGSLKDDLHLLENIAERVLKEDSVFVAASRRSTLDKCKLPVGIRLFVSAGHEESDLHKASESLERVAALVLGDRS >CAK8574414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680865232:680868582:1 gene:gene-LATHSAT_LOCUS26765 transcript:rna-LATHSAT_LOCUS26765 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDFHHRHSAHASHSPPDYFVRIGDSDNKDDLYLRKRTRMRRWLCCTCQVEESYPSNENEHLKSPKGYGDGNTKGSRVSAPLKSEAQKAPPPIEVPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNDGSAVAVKKLDVSTEPESNNEFLTQVSMVSRLKNENFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLNWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLIFEDYRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPET >CAK8574415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680865653:680868582:1 gene:gene-LATHSAT_LOCUS26765 transcript:rna-LATHSAT_LOCUS26765-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQVEESYPSNENEHLKSPKGYGDGNTKGSRVSAPLKSEAQKAPPPIEVPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNDGSAVAVKKLDVSTEPESNNEFLTQVSMVSRLKNENFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLNWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLIFEDYRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPET >CAK8537275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:197600665:197601704:-1 gene:gene-LATHSAT_LOCUS6574 transcript:rna-LATHSAT_LOCUS6574 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGGPLLCIGDLLSDVGEENEDGGTTSSSYHPTSGSSSLSDLSNNNQPLPDLTKLFQENYDHLNEALNATDHSWTSLTLKLCTSLDTANKLVQSTNSNVASLLEKVEELEKIVKRGDSAIAATKAFYVSPDNNSNSFK >CAK8535503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854991336:854991794:-1 gene:gene-LATHSAT_LOCUS4958 transcript:rna-LATHSAT_LOCUS4958 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGPYSGTSTLALVARASAFSFGIVYGSIKLKLLKSKAKSQQKAEAKAHH >CAK8540414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558935293:558935592:-1 gene:gene-LATHSAT_LOCUS9419 transcript:rna-LATHSAT_LOCUS9419 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRTRRSAHQEMELISKVRNPFIVEYKDSWVEKGCFLCIIIGYCEGGDMAEAIKKGQQC >CAK8569338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695346663:695350031:1 gene:gene-LATHSAT_LOCUS22199 transcript:rna-LATHSAT_LOCUS22199 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGYDEGERKRVDVGVSNESIGGGSYEGEEVFEEAVDRGDAVGATVSVLPADLVEEIHDDDAEELDSFLEAIGAADGRVKVSEDEEEIEVITDQGANDLVNGYSGLSSERFKNEDVEYATPRQNGGMPFENGSTDKVDYDVDGFHTYSGSDEEMRNQGADAEDLKEGGLDTEHRDDKIIEEQCSDIQDDKVHIHSAHGGLEPPDKIFIEMEGETLGTDVVHEDRNGEEIGTSDCPSIECKDYSNDEDKDANAETDSGHQETVGEVGGSSPAVERTGIETAGRRSQSENPFLNEIVPSTASVFDEQSIKDYSSKISNEESQGNYETLSSVEESNRIPENNAENKETNQIAEEQKREPVSSSVAASTPLVRPAGLGSAAPLLEPAARVVQQPRVNYTVSDTQPRKTEDSPIGEADEYDETREKLQMIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLESVGQEPLDFSCTVMVLGKTGVGKSATINSIFDEVKFNTNAFHTGTKKVQDVVGKVQGIKVRVIDTPGLLPSWSDQQYNEKILLSVKRFIKKTPPDIVLYLDRLDMQSRDLSDMPLLSTITDVFGPSIWFNAIVGLTHAASAPPEGPNGTPSSYDMFVTQRSHIVQQAIRQAAGDMRLMNPISLVENHSACRINTAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSQPEKPYTARARMQPLPFLLSSLLQSRPQLKLPEEQFSDEDDLDEALDSGDETDPDDLPPFKPLTKAQLRSLSRAQKKAYLDEVEYREKLFMKKQLKYEKMQRKMMKEMAKSAKDLPDGYDENLKEESGGAASVPVPMPDMSLPASFDSDTPFHRYRFLDSSNQWLIRPVLETHGWDHDVGYEGLNVERLFVLKNKIPFSFSGQVTKDKKDASVQMEMASSVKHGEGKATSLGFDMQTVGKDLAYTLRSETKFCNFRRNKGTAGLSFTLLGDSLSAGIKVEDKLIANKRFQMVIAGGAMTGRDDVAYGGSLEAQLRDKNHPLGRSLSTLGLSVMDWHGDLAVGCNLQSQIPIGRYTNLVARGNLNNRGTGQISIRLNSSEQLQIALIGLIPLLERVVCYCQQWQFGQ >CAK8571527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434941258:434941747:-1 gene:gene-LATHSAT_LOCUS24181 transcript:rna-LATHSAT_LOCUS24181 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8571528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434941604:434941747:-1 gene:gene-LATHSAT_LOCUS24181 transcript:rna-LATHSAT_LOCUS24181-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHDQLLRGTMAA >CAK8570067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22871961:22873392:-1 gene:gene-LATHSAT_LOCUS22851 transcript:rna-LATHSAT_LOCUS22851 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSNEKRKSILNVRLGMDSDSLRSPTSPLDVTLLSNRGNPLRTISPEQGQKRGWDCTKVGLSIIDSLEDCSKFSRNVLLSSEFNKGGSLSPNPSPRMITKVPNVNHCLDSVKASKSLPKDFFKLPYTRNSSVFRKGESNVVFEIGETLAEHELPPFGKSMSCLLDFYGPIKDSNFDDLKPVGSRPCFIGENMNPNMLLPMSLSAREIENSEDYTCVISHGPNPKKTHIFCDCILEVCAGDGVRKLRNENEEKEGLFPPVVDRLETPKQYPSTEFLAFCNGCNKKFEEGKDIYIYRGEKAFCSLTCRALEIMIDEELEKSNAPPSENSIEYESGGEVFGSGIFTAE >CAK8578341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621888954:621890312:1 gene:gene-LATHSAT_LOCUS30358 transcript:rna-LATHSAT_LOCUS30358 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEDSTVLISLNLIQKSTKLYHLLQLHSLFLKSSLHHHPNIISHFLLSASSISLSYANSFFHSSPILPPLFAWNTLIRAFSNTQTPLQSLYLFCQLQASPLSPNNFTYPFVLKACARSSSISLGGVIHSLTFKTGFCSDRYVGNALLRFYSDCGEIQFARKVFDEMSDRDVVSWSSMIAGYVCFNNPLEALNVFQEMREANEKPNYVTLVSLLSACTKMINICAGESIHSYVIRNHIEMGVELGTALFEMYSKCGQIEKARLIFDLMPQRNLQSCTIMISALANHGREKDAILLFNQMENMGLQPDGLSFSVVLSACSHMGLVYEGKMYFDRMVRLYDIKPTVVHYGCMVDLLGRAGLIQEAYDIIKNMPVEPNGVILRSFLGACRNHGWVPNMDDDLMSKLESELGANYVLTANLFSDRSSWKDANELRLAMKRKGLKKVPGCSWLVVQN >CAK8538989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501047435:501048630:-1 gene:gene-LATHSAT_LOCUS8131 transcript:rna-LATHSAT_LOCUS8131 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQAPRPAVAGGAPVRT >CAK8560132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8571799:8573956:1 gene:gene-LATHSAT_LOCUS13839 transcript:rna-LATHSAT_LOCUS13839 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFITHVLIFSFISPCLCTRFHVGTTNDNYNVMQYGAIGDGKSDDTQAFSKAWSSACAAIGMSTLVIPSGKSFMVTRVNFSGPCNAKILIQIEGRIIAPSKENWKGEANLISIEYLNGLIVDGNGHGEVYGNGGNWWKCPTCFRPGVFFFHACNDLNVRNLTISDSPKTHVYVNQCNGATFTRVSINSPATSPNTDGFGISYSTNILIQDSNIKSGDDCIAINDGSTFINATQVTCGPGHGISVGSLGRNRTTEKVSDVHVRNCTFIGTTNGARIKTVEGGSGYAKQITFEEIILVNVKNPIIIDQKYNVVIQDTSVLVSSVTYRGFTGTSATDVAIDLDCSSSGCYGIVLDENNIVSAQPGKKTSAFCRNAHGAATNTIPNVLCLSK >CAK8562924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557472742:557476041:-1 gene:gene-LATHSAT_LOCUS16385 transcript:rna-LATHSAT_LOCUS16385 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSVIASIFLLSILRFQQFLHASSSPLLYENQVHNESSGGRNFKQEQEDAPEVRCSRDRSRAAWKVMDQYLTPFVEKENYQLSKKCRLHPENDMFREQEEHKIYLDRNEWRCGYCKKSFREEKFIDQHFEKRHYNLLDVSHEKCLADLCGALHCDAAMNSKSSRSKCNPAAAARNRHLCESLADSCFPISEGPSASRLHELFLHQFCEAHSCSGKHKPFSRGGKDQGSFFRLAVGALVLVLLPVFYLFLYLIQSDMKGRTQELRRISKAGWKAKPA >CAK8562925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557472742:557476041:-1 gene:gene-LATHSAT_LOCUS16385 transcript:rna-LATHSAT_LOCUS16385-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSVIASIFLLSILRFQQFLHASSSPLLYENQVHNESSGGSRNFKQEQEDAPEVRCSRDRSRAAWKVMDQYLTPFVEKENYQLSKKCRLHPENDMFREQEEHKIYLDRNEWRCGYCKKSFREEKFIDQHFEKRHYNLLDVSHEKCLADLCGALHCDAAMNSKSSRSKCNPAAAARNRHLCESLADSCFPISEGPSASRLHELFLHQFCEAHSCSGKHKPFSRGGKDQGSFFRLAVGALVLVLLPVFYLFLYLIQSDMKGRTQELRRISKAGWKAKPA >CAK8577212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544862088:544865773:-1 gene:gene-LATHSAT_LOCUS29339 transcript:rna-LATHSAT_LOCUS29339 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRENNNWLFDYGLIDDISPPISTFMPTPSTGFTWPAHQPFNVSSNVGAEIDVSLGDSDSLKESGSKKRGRSESCAATSSKACREKLRRDRLNDKFIELGSILEPGRPAKTDKAAILIDAVRMVTQLKGEAEKLKDANTGLQEKIKELKVEKNELRDEKQRLKAEKEKLEQQLKSMNAQPSFLPPPTALPAALPAAFAAQGQAHGNKLVPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >CAK8563524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612411437:612411880:1 gene:gene-LATHSAT_LOCUS16924 transcript:rna-LATHSAT_LOCUS16924 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYEGRPLVMEMETKQDFEDVSGYGCGCGFFRVFTSEWWRRNDEEGKHLLDEKSEGIRGEDTWMMEKLKKMKETSEVIAGPKWKTFIRKISGYGKKQQKNKFQYDEHSYALNFNSGAQSEDEEYLPPSFSTRFSNPFPAARRQNET >CAK8540729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18010048:18011173:1 gene:gene-LATHSAT_LOCUS9703 transcript:rna-LATHSAT_LOCUS9703 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNSRKPSEIFTAQSQLYKHMYAFIDTMCLKWCTEMNIPNIIHNHGQPISLSNLVSTLQIPSSKFGNVQRLMRYLAHNGLIEIVKNQELEEAYALTVTSELLVKGTKFCLAPLVESYLNPEAIDYFNQLNKWICEEDVTLGSGFWEFLNTNPERNRSFIEAMASDTKIIKLAMKDCNLVFEGLESIVDVGGGNGTMGKIITETFPKLKYVVFDRPEVVENLSGNNNLTYVSGDMFISIPRADAALLKYILHDWTDKDCIKILKKCKEAITSDEKRGKLIIIEVVINEKKDNIQITQLKLQIDIFISSINGKERNEEEWKKLFVEAGFKDYKISPLTGLLSLIEVYP >CAK8573263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598690969:598693572:-1 gene:gene-LATHSAT_LOCUS25733 transcript:rna-LATHSAT_LOCUS25733 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSTLGSSKSSSKCTAVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTHKSSGKLYACKSIPKRKLLCQEDYDDVWREIQIMHHLSEHPNVVQIQGTYEDSVFVHLVMELCAGGELFDRIIQKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDSSGEDAKMKATDFGLSVFYKPGQTFHDVVGSPYYVAPEVLCKIYGPEIDVWSAGVILYILLSGVPPFWAETESGIFRQILHGDLDFESDPWPSISDSAKDLVKLMLNRDPKNRISAHNVLCHPWIVDDKVAPDQPLDSAVLTRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMIDTDNSGTITYEELKDGLKRVGSNLMESEIKSLMDAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQTACKDFGLGEVHLDDIIQEIDQDNDGRIDYGEFVAMMKKGDGDMVGRSRTMKGNLNFSIADAFKVNESS >CAK8575848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:336978180:336978500:-1 gene:gene-LATHSAT_LOCUS28078 transcript:rna-LATHSAT_LOCUS28078 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLGVVDISNTRNGQKINHDSGKGKKRKWTRRKTTRKAKLDKEKLLEVECGKRNLVDVMILDETIDMSDMGEKKPRGREIPMNELTKEPEVVLDSQHRLNQWKY >CAK8530947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65860504:65861016:1 gene:gene-LATHSAT_LOCUS773 transcript:rna-LATHSAT_LOCUS773-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSKITWLKLGDSNNSYFHTLVKEKNKQKGVHTITSLQGETLYQHKDIEREVLSFYTNLICTNASELKGIDIAAARRGTTISVEKALRLIRPVDEGEIWNALNSIGNCKAPGIDGFNAHFFKTTWSVIKQDVISAILEFFSTSRMLRAVNCSLITSISKSDATTIIKDL >CAK8530946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65860193:65861016:1 gene:gene-LATHSAT_LOCUS773 transcript:rna-LATHSAT_LOCUS773 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLNCVTQDPSFLQTVTNSWGQHAQGTAMFILWSKLKRIKSAITPLIKKLVISKNKFARKDLNQIEEKILMQQSKITWLKLGDSNNSYFHTLVKEKNKQKGVHTITSLQGETLYQHKDIEREVLSFYTNLICTNASELKGIDIAAARRGTTISVEKALRLIRPVDEGEIWNALNSIGNCKAPGIDGFNAHFFKTTWSVIKQDVISAILEFFSTSRMLRAVNCSLITSISKSDATTIIKDL >CAK8576263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440055882:440056577:-1 gene:gene-LATHSAT_LOCUS28466 transcript:rna-LATHSAT_LOCUS28466 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVSRSLTKDFKVGRGLRQRDPLSPFFFVIITEYLSELLKIASVRGDFKGFSVQEGLSTDIIQFVNDTFIVGSGCIQFVNDTFIVGSGCGKNLWSIKAILRGFELVSGLGVNYHKSRSININVSNYFLLAISNFLVCKLQDPNFNFLRIPTGSNMRRINLWSYIIDNFKSKLALWRGRFLSFGGRITLINAVLSSLPIFIFSFYKAPVKVLKKVDKILQRFICGGSDEK >CAK8539249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507553875:507557983:-1 gene:gene-LATHSAT_LOCUS8361 transcript:rna-LATHSAT_LOCUS8361 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAPEDCVVGSIVWVRRRNGSWWPGQILCSEDLSVSHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDACIERAESAQGMPMKKREKYARREDAILHALDLEKQIVKKQDKLGTGQTVTAFRAKRSRCVYLPAESSDSLDYNEALTHVPVSSSQLGGEYLYGSPFAEESESAFLNDVESDSSETASINSESDSSETELDNDEEMIIYSETGHDAEELESTSSEEADELAFSSDMPHLYPREPRTCNEAVSKWQLKGKRNNRNLVKRSAVASDRRGAVLYGADVEGQRRHLNHNHQRSGVNFPYYRNDYSDAPDDTDQMFGSEDEYSPTPRAVAKGQNKNFHGVDWDEWPWEDQPALKGYSDFKGYAPSYSDHYQYDGMKRSVLVDVDLKVQTSYKKEPVPIVSITSKLNGRSIIGRPIQIESLKDGSTDNLFSTIDDYSNDWIGYEGSSVLPPAWRTARRTANFRVPRPHIQSSNVDEDFSIEQERNNEYKRLNSGNSSHNASHWKKNNLNGVGPSIDKKSFKKSAKKASLSSSQKTRTLSSLSIEDNLSRKQSLTRSFYQIDKLSKPEVSGLTTVACIPVKLVFSRLLEKINRPPLKVSTNVDALNTGVERKS >CAK8576774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512929179:512929510:-1 gene:gene-LATHSAT_LOCUS28942 transcript:rna-LATHSAT_LOCUS28942 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVKSRPHTVDHDCCTKDMSDLLANSNILGHSFCGKSFKELFEQL >CAK8572951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572655451:572658873:1 gene:gene-LATHSAT_LOCUS25452 transcript:rna-LATHSAT_LOCUS25452 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLLRPHDHEGSVGVVHEDTNHQHSHLADPCLVLTSDPKPRLRWTTDLHQRFVDAVTQLGGPSKATPKAIMRTMNVKGLTLYHLKSHLQKYRLGKQAGKDSDEGCKDGISGSYLLESPGTENSSPKLLASDANEGHEVKEALRAQMEVQSKLHLLVEAEKHLLIRQDAERRYMAMLERACKMLADQFIGDSTLDTDIQKYQELPSNELGGMHVSDIPYILQPQGANCSTESCLTSLESLRGLTLEGSPGGTKRMVGLDSTVSPLIWSETNMRSQGIHLAQVNPQGIARYGM >CAK8572950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572655451:572658873:1 gene:gene-LATHSAT_LOCUS25452 transcript:rna-LATHSAT_LOCUS25452-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLLRPHDHEGSVGVVHEDTNHQHSHLADPCLVLTSDPKPRLRWTTDLHQRFVDAVTQLGGPSKATPKAIMRTMNVKGLTLYHLKSHLQKYRLGKQAGKDSDEGCKDGSYLLESPGTENSSPKLLASDANEGHEVKEALRAQMEVQSKLHLLVEAEKHLLIRQDAERRYMAMLERACKMLADQFIGDSTLDTDIQKYQELPSNELGGMHVSDIPYILQPQGANCSTESCLTSLESLRGLTLEGSPGGTKRMVGLDSTVSPLIWSETNMRSQGIHLAQVNPQGIARYGM >CAK8544108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663227084:663230356:1 gene:gene-LATHSAT_LOCUS12812 transcript:rna-LATHSAT_LOCUS12812 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKKLTPNLDQSSTKLLNLTVLQRMDPFIDEILFTAAHVSFYDFNIETNQWSRKDVEGSLFVVKRNALPQFQFIVMNRRNTENLVENLLDFEYELKNPYLLYRNASQEVNGIWFYNAEESEEVANLFNRILTAYSKAPSDTTVPSNKSEFQEHDPVSVINESPVESSSAAATATDAVGDSVLTKFFNAPKFTGYASNVDSFQQPYHSASVTSTVPGGFLPPVHSLQTPSTSLETINSGNPVTNLVKPSNFLAPNPLLPLIPPVSSPMPPSAAVHHSQNLPHQYGTPLLQPFPAPNPPSLTPISISIPNSPVISRDKVRDALISMVQEDKFIDMVFETLLKTHNS >CAK8533248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:596937767:596939746:1 gene:gene-LATHSAT_LOCUS2892 transcript:rna-LATHSAT_LOCUS2892 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYSSNPYPSFLPSSSSSSSSSLFPFPFLNPENASSTNNNNTLFHDPFSIPYIPTHHHHSHLHNITNIPETLTNLAVSQDSNNNINNIAVPMPKQDPLTLGGGSHYGISCFLTKKPAKKDRHSKIYTSQGLRDRRVRLSIEIARKFFDLQEMLGFDKASNTLDWLFTKSKKAIKDLTKSKQRNNNSEVDGDAKSFASSSDCEDSEVATNDSLNLQKEGAGSKIEDKKLKRVQIKEPACARASKMKESREKARARARERTSNKISNNNIRGVQEVELKKKYENSNNNNNLQAFHQSRSSSQDHQIVSSNEAQRGNFNVIEESIVIKRKLKPSLMMHHHHHHQQNHVIPKEATSFNNNGDYNFLPNSSPNWDVNNGSSSTNRSTFCAIASMNLSTGLQIFGKSWEECTNPRLH >CAK8576705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508136608:508139052:-1 gene:gene-LATHSAT_LOCUS28878 transcript:rna-LATHSAT_LOCUS28878 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLDTSALVAAPRENPLPTSNETTQQPLLHPLNATDRESRFKKSNLQQNNDSVIPNKRGNNNNGFVLKQNKVHSKCLKKWVSYSGRIPTILHALDTIHDLDKAFRPWKKRLSNKEMSIILKEQVCWERALKIFEWFKKKGCYELNVIHYNIMFLILGKGKKWSLVVGLWDEMNLNGVAPVNSTYGTLIDVYSKDGLKEEALAWLQMMLSQGMEPDEVTMGVVVQLYKRAGEFRKAEEFFRKWSRGEPLRVEVDHSTVDSLHIGNEVSHANVCLNSHTYNTLIDTYGKAGQIRAVCEIFAKMIKQGVVLTTVTFNTMIHLYGNRGHIRKVSLLLQRMEELRCSPDTRTYNILISVCVKHNNFTLAAKYLAKMKEAFLEPDLVSYRTLLCAYSTRKMVQEAEELIREMDESGLKIDEFTQSGLTRMYVESNMLEKSWLWFMRFHLAGNITSRCYSANIDAYGEKGYTLEAEKVFMCCKDRKKLSVLVLNVMIKAYGIGKCYDKACQLFDCMENFGVVADECSYSSLIHILSCAGKPHIAKPYLNKMQEAGLMSDCVPYCAVISSFAKLGQLDTAEALYNEMIKYAVQPDVIIYGVLINAFADAGNVKKATIYVDQMRKAGFSGNPTIHNSLIKLCTKVGYLEEAQEAYKLLQSSDQGPSVFSSNCMIDLYTERLMVEQATEIFESLKKDNIVNEFSYAMMLCMYKKIGRMGEAIQIAKQMRKLGLMTDLLSYNHVLGLYSMDRKLKEAKETFKEMIESGIQPDDFTFRALGNLLLNYGASKQDIGRLEVMVKRDAPRGLHAWMVALSRDYSRDE >CAK8566730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456872432:456876063:-1 gene:gene-LATHSAT_LOCUS19842 transcript:rna-LATHSAT_LOCUS19842 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPSTTVFSTKHLLALSLALNVSFILRMLYEGEQVRNVSCFKNGTDFDSKTHTNIIKSRVVMSSSTSSLANSTCTDVKARSNKIINLDHGNPTMYEKFWRQSGDKTNIIIRGWQSMSYFTDVSNICWFLEPEFANEVMRLHRVVGNAVTEGRYVVVGTGSSQLFLAALYALSSSHATQPINVVCAAPYYSSYQTMTEYLKSGLYKWGGDAETYEKDGPYIELVTSPNNPDGHVRTSKVNRSEGLLVHDLAYYWPQYTPITSPADNDLTLFTLSKITGHAGTRIGWALVKDKEVAKKMTKFIELNSIGVSKDSQLRAAKILSTVSDSCEQENSKEGDSFFEFSQKLMTNRWKQLREVVNRGELFSLPQFSPSFCNFFNQVSEPQPAFAWLKCEGNVEDCESFLREHKILTRSGRHFGVSPKYVRISMLDTDENFSQFLERLSSI >CAK8563706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626370284:626372373:1 gene:gene-LATHSAT_LOCUS17086 transcript:rna-LATHSAT_LOCUS17086-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDTKKHSIVGGASLMAKPHLEDRKPLVPSPLSASASPAPPATKKVIIKSADMLPDMQKEAVDIAAFERHNVEKDVAETIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >CAK8563705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626370284:626372373:1 gene:gene-LATHSAT_LOCUS17086 transcript:rna-LATHSAT_LOCUS17086 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDTKKHSIVGGASLMAKPHLEDRKPLVPSPLSASASPAPPATKKVIIKSADMLPDMQKEAVDIAVLAFERHNVEKDVAETIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >CAK8578454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630211067:630211882:-1 gene:gene-LATHSAT_LOCUS30466 transcript:rna-LATHSAT_LOCUS30466 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGEDLSMNTVKQYMMKNWNFVKLPDMFYNEEGFFILRFHSFQDKHSVIMKGSYTIHNRPMMVRDWKPDFSLNKYMLRTIPLWVKLPQLPLHLWGARSLSKIGSAIGTPLVTDECTSNKLRMSYARILVEIDITQDLKSDIMIRDKKGDRLKQPIEYEWKPLYHRRCHKVGHNCEKPAKQAKELRPKVKEVQIREEVKTNVEEKMESVQSETAEEDQNDENDEWSRITSNRRDKGKGISKEGTSIHSQNGFGLLGILNDPLSSQNRVQC >CAK8572258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525099780:525103275:-1 gene:gene-LATHSAT_LOCUS24843 transcript:rna-LATHSAT_LOCUS24843 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMASPSLQFHLIPPLSKFWFSISPLSQHHTHPFTSTTSLRFTSTNSSTTFSPKPLSPCFALTESNSPNSTQPDPNTLLQDIAESFDLPSDYFAKFPNDLRLDLNDAAFDLSNGPVLDECGKELGETLLNLSRAWELADTSSSRSLVAKLPLIEASLTGTAKSALGKRLVAAGRRFQSMGQYGQGETQKIAKTMIAAGRALSASSSSAVIDKQPKEESRTLKFGALQVEITPTKANIGAAIALVFGILTWEIAQGVQSTPENSLQYANDNAMMLAKSLRGTLLALFYASTFLSALTSAGLVLLGIQLKSEKN >CAK8572259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525099780:525103266:-1 gene:gene-LATHSAT_LOCUS24843 transcript:rna-LATHSAT_LOCUS24843-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLQFHLIPPLSKFWFSISPLSQHHTHPFTSTTSLRFTSTNSSTTFSPKPLSPCFALTESNSPNSTQPDPNTLLQDIAESFDLPSDYFAKFPNDLRLDLNDAAFDLSNGPVLDECGKELGETLLNLSRAWELADTSSSRSLVAKLPLIEASLTGTAKSALGKRLVAAGRRFQSMGQYGQGETQKIAKTMIAAGRALSASSSSAVIDKQPKEESRTLKFGALQVEITPTKANIGAAIALVFGILTWEIAQGVQSTPENSLQYANDNAMMLAKSLRGTLLALFYASTFLSALTSAGLVLLGIQLKSEKN >CAK8573756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635293937:635294704:1 gene:gene-LATHSAT_LOCUS26164 transcript:rna-LATHSAT_LOCUS26164 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMYHPRLQLHLESQLVESRTLTLTLPSPKRSNDLAFKSCFPDSNVNKTETFQDKPDNSGWNFVDALSNISQTTTTGYVHPQQKRSSLVLSPKSLELCTENLGNESGSGSNIVENDMLLSLMETKEQRQRCRHVLADTKTVKIQNFPPPLATIRGSESLRVRPHREDGRLVIEVTKVPRRTSCFRAERSHGRLRLCFLTNEATSFNSEEEQEEDSDIDIDDDVIDENEHSRNEEEFSKNEMIGEKTHDVEKEG >CAK8578552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637539942:637540508:1 gene:gene-LATHSAT_LOCUS30553 transcript:rna-LATHSAT_LOCUS30553 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLQLQASSSKSNHHDHHHHHQQPPLSRYESQKRRDWNTFGQYLKSQNPPVPLSNCNFNHVLDFLRYLDQFGKTKVHLNGCIFFGQPTPPAPCACPLKQAWGSLDALIGRLRAAYEENGGSPETNPFAGGAVRVFLREVKDSQQKARGIPYKKKKKKKKSYQIRGSTSSTQTQQSADVNQQQDS >CAK8570848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:127560146:127561438:-1 gene:gene-LATHSAT_LOCUS23562 transcript:rna-LATHSAT_LOCUS23562-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIIFTCDPMNVQHITYKNFSNYGKGSDFHEFLEVFGVGILNLDFNEWKQERSLLHSLLKRKNFEIFHQNNIQKKLENCLLPLLDLSCEGVQVLDLQDILERFTFDITSTFLFGFDPNSLPCNFSDFSDVTYVKAVSEIEDTIFFRHSIPKCLWKLQKWLQIGQEKKHKVALENLHQFLYKCITYSKGNEDEDEYHSCLLKELMKGCLEKDEMVDKYYLRDTTLNLLSAGNGTISSGLSWFFWLVSTHPIVEAKIVQEIKDNYLAQEENSISNLSIQHLDKLVYLHGAICEALRLYPPIPFELKCAIKADILPSGDHVSANTKLIYSLYAMGRMEEIWGEDCLEFKPERWISDKGQIIHVPSYKFIAFNAGPRSCIAKGISLVQMKMVAAAMLWRFHIEVVDSHRVTPRVSFVLRIKHGLKIKVTKRCL >CAK8570847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:127560146:127561657:-1 gene:gene-LATHSAT_LOCUS23562 transcript:rna-LATHSAT_LOCUS23562 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFECISLFVAILFIIFYNIWKRNKNVVIPSWPIIGMLPSVFLNLSNIHDFATIVFKHYAGTFHFKGPWLTNMANIIFTCDPMNVQHITYKNFSNYGKGSDFHEFLEVFGVGILNLDFNEWKQERSLLHSLLKRKNFEIFHQNNIQKKLENCLLPLLDLSCEGVQVLDLQDILERFTFDITSTFLFGFDPNSLPCNFSDFSDVTYVKAVSEIEDTIFFRHSIPKCLWKLQKWLQIGQEKKHKVALENLHQFLYKCITYSKGNEDEDEYHSCLLKELMKGCLEKDEMVDKYYLRDTTLNLLSAGNGTISSGLSWFFWLVSTHPIVEAKIVQEIKDNYLAQEENSISNLSIQHLDKLVYLHGAICEALRLYPPIPFELKCAIKADILPSGDHVSANTKLIYSLYAMGRMEEIWGEDCLEFKPERWISDKGQIIHVPSYKFIAFNAGPRSCIAKGISLVQMKMVAAAMLWRFHIEVVDSHRVTPRVSFVLRIKHGLKIKVTKRCL >CAK8543733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635368354:635371019:-1 gene:gene-LATHSAT_LOCUS12473 transcript:rna-LATHSAT_LOCUS12473 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRVSDASVQSDMKLWPFKIIAGPAEKPMIVVNYKGEDKQFAAEEISSMVLMKMREIAEAYLGSAIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSPITRARFEELNMDLFRKCMEPVEKCLRDAKMDKKSIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKIAGKLDSEDKKKIEDTIEQAIQWLDSNQLAEADEFEDKMKELESVCNPIIAKMYQGGAGPDMGAAADDDDAPTGGSGAGPKIEEVD >CAK8568603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:622931132:622931560:1 gene:gene-LATHSAT_LOCUS21545 transcript:rna-LATHSAT_LOCUS21545 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKFSSIILMLSLLSYSIFTDAADGSPPSPNPTPSPVAPSSPNPTPSANTTPPSPQGQCPIDSLKLRDCVGLLGLINYGNPPFGGKCCALINGLVDLEAATCLCIALKANVLGINLSIPISLSAIISACHKTVPSEYKCV >CAK8540606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12172775:12174084:-1 gene:gene-LATHSAT_LOCUS9595 transcript:rna-LATHSAT_LOCUS9595-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMREGRVVQSGKYDELLKAGIDFGALVAAHESSMEILETSDNSNDDSSQSPKLACIVSKEKEREVEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFGWWGIALVVAMSAGWVLSFLAGDYWLAIATSDGSGIPSFTFIFVYAAIVVVACIVLIGRAFMYTYLGLKTSQRFFVGMLQSILRAPMSFFDTTPSGRILSRVSTDIIWVDITIPMFTNFVMITYLSLFSILIVTCQNSWETVFLVIPLVWLYNWHRKYYLATSRELTRLNSITKAPVIHHFLETLSGVMTIRSLRKQNEFCDEYIDRVNASLRMDFPFQTC >CAK8540605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12172775:12174159:-1 gene:gene-LATHSAT_LOCUS9595 transcript:rna-LATHSAT_LOCUS9595 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGIDFGALVAAHESSMEILETSDNSNDDSSQSPKLACIVSKEKEREVEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFGWWGIALVVAMSAGWVLSFLAGDYWLAIATSDGSGIPSFTFIFVYAAIVVVACIVLIGRAFMYTYLGLKTSQRFFVGMLQSILRAPMSFFDTTPSGRILSRVSTDIIWVDITIPMFTNFVMITYLSLFSILIVTCQNSWETVFLVIPLVWLYNWHRKYYLATSRELTRLNSITKAPVIHHFLETLSGVMTIRSLRKQNEFCDEYIDRVNASLRMDFPFQTC >CAK8534626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750654681:750656900:1 gene:gene-LATHSAT_LOCUS4157 transcript:rna-LATHSAT_LOCUS4157 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRVGFFLLILLSFSLRCESWGWFSSSKESHTSERSYENQANFRGSSAEFSIEPFNDPKGIKLVENAKNKMSGSNACWQNAYQHLFAGCSEILATDDKRSRLAWHLSDCFQKDSGRASFPRCDSKTQIAKCLRDLDDLAHKVYLEFYLETNSICYQLQTHAFKHETERLVTELKSSAQYVEDKLDSIEEKSDNLLQGSKQISESLESVNSHTQLVAQTVKNVKGHIDVVLRHSESVYEQTTKIAVSQSELKEGQEDMKRNLEDGVALLKESYSYLGTEIEKLRDEAIEIENEVIKVGDAMSSKMNNLQNKAEDIGNMAGISLDKQQQLLDGQSTALKGLNSLNEVQLKALEESRKSLQYFAEYGHRQQEELLKRQEQIQGLHDKLMENSKTILSAQESFEAKQATMFVALDKLFALQNAMLLESRVIKAFFIYSISIFVIFMLTSTKLTYNIRPLLYIEFCVALMVEVFIIRLLNDNMEKQTWIINKIRLCFMGAGLVQLLYAICTYKDFERMNHQMLLTLVNKINNIQKIEESNFDEDDDDYMDWSQWIDNDLSDDVNELDDPDFIIPEEVAENSITISPSTKKYNLRLRNRLY >CAK8543560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619839717:619840768:1 gene:gene-LATHSAT_LOCUS12310 transcript:rna-LATHSAT_LOCUS12310 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGTSSASGSPCGACKFLRRKCAPDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHIPAHERCEAVVTIAYEAQARIRDPVYGCVSHIFALQQQVACLQTQLIQVKSQLSQNLENSNNNNQWTENNDHNVVGTQQMNYHPFCPTYMNPISPQSSLESSIDHSGSMNDGMMNMQDVQSSREDFSFQVCNSSKKRMSYNNSNSHDLGELQELALRMMRN >CAK8572061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506287886:506290733:1 gene:gene-LATHSAT_LOCUS24667 transcript:rna-LATHSAT_LOCUS24667 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSPAAAAATGTLVQHGGATATTNNSLIQKSATAPHSHQKANNYWPNPFELHDSQILDKVYLTHVTDDEFCDTDIIFDLVSTLVLQTNTQIPVTGFKPDFPTLKLISCQMITTRSAAHCVHQTTLWILQNLRSYSWNAKALITLAAFTLEYGNYLHLTRVTATDPIGNSLRQLNQIQTRKISTDITELVSFIVHKLLHLKEWATWSAEGYDPEDVPALTEALQEIPVFVYWTIASIVASTGNLVGVSDYKLSEYRERLSGIVQKLVVHLDNCKLQISYIDDLFNRRKIFDKPKDIVDCLKALIHRNGTDSPQIHEGAIYVKTGLEIFRNKHVLVFISSLDSIDDEISLLNSIYERLQENSKESIKGFKKEDFKILWIPIVNNWDEIRKERFRALKSGIKWYAVEYFYELPGHRIVTDPERIGYIGNPIIPVFNPQGYITNIDAMDLIFQWGIDAFPFRKSDGIDLTFKWKWLWDVIKKATPGLQVKGDRYIFIYGGTNNKWIQDFTLELEKIKRHETLKRADVIIENYQLGKDDPNRVPSFWIGVERKKQNKKHQEALDCEIQDIVKSLFCLKRDPQGWIILSKGQNIKLLGHGEPAYQTLAEFQNWKDRVLEKEGFDIAFKEYYEMKAKELSGREPCEVVNVDTYSSNVIATIACPNPMCGRVMEVSSVHYKCCHRDEPNNFGN >CAK8535249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830400209:830405969:1 gene:gene-LATHSAT_LOCUS4722 transcript:rna-LATHSAT_LOCUS4722 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFVAILSMLFLAALVPLYLWKRHHDSRSSSSNQEPQQAPQRETVVRATATRRMRRRPAASGASTSSAQPATQQESADESDNEAAGDDYEARASKKKEIKRQEREARRQAEEAMRDSKQSKQDRYSEMRRLKDEEREAQERKLEEEAKAQKAKEEEAAAFEFEKWKGEFSVDDEGTLEEEQDKTEDLLANFVEYIKKHKCVPLEDLAAEFKLRTQECINRIASLESMGRLSGVMDDRGKFIYISHEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKTHYSEDISNMEEITVN >CAK8568384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600784448:600786011:-1 gene:gene-LATHSAT_LOCUS21344 transcript:rna-LATHSAT_LOCUS21344 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKKLKRESTELPDCIISYIFSKLSLKNLVKTSVLSKQWYHEWGLKKDLKFDLFNMFDYDTIPDSPITLPLFQQLQSQFAASLDTFMHKYPGDTIRSIQVSFPLGVDHTYAIDGLIHNGLLKGVNRIELLLANKTNFKKPYITDLKIEPYKFLFPFLSGPNSLTYLHLQNCHIAASMKFSGLKNLTTLVLTRVPVEQNMLQDLCFNCIRLENFTLNQCCFLSHLKITSPTLLHLNINCKPLVTMPWNIDIISPSLLYIEYSPKFFPNLLPKVKIDSPMLSKLTYRSAKLFNLEFSRLTNVTTIVLDRLCEDDDAITHLFSKCLQLEDVTLNMCRFTSDVNIISAKLRHLSLVHCYHRYSRTCKIDIHALNLSSFQYRGYKHMRSMVSLEAPKLLKVFWDAGFNQINVYNFSTTSRLHQHENFAMPMTLSQISKLTNEYLIQYPKLTQLELFTGGAYNPNMDNFWILDIAMASQDLLKLSLTISYSTL >CAK8536536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953489761:953492174:1 gene:gene-LATHSAT_LOCUS5895 transcript:rna-LATHSAT_LOCUS5895 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLVVSKQGRQYEGKVTVFVLVTCLVAAMGGLLFGYDLGITGGVTSMEPFLVKFFPGVYKQMKDESEHESQYCKFDNELLTLFTSSLYIAARAALIASFFASTTTRMLGRKASMFAGGLFFLVGALLNGFAINIEMLIIGRLLLGFGVGYCNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILVANIINYFTSNLERGWRISLGVGAVPAILLCIGSFFLGDTPNSMIERGQKERAKKMLQKIRGIDNVDEEFQDLIDASEEAKKVQHPWKNLTQLRYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGNDASLMSSVITGGVNVLATFVSIFTVDKFGRKILFIEGGVQMFLCQIIVGSMIAVKFGVSGEGSFTHIEANLLLFFICLYVAAYAWSWGPLGWLVPSEICSLEVRSAGQATNVAVNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVLIMTIFIVMFFPETNNVPIEEMNKVWKSHWFWSKFVPDVVVDHDRKAVV >CAK8531033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:71529409:71535435:-1 gene:gene-LATHSAT_LOCUS850 transcript:rna-LATHSAT_LOCUS850 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGVVLTTAMPSSSSSSSSSWSHHCNNLCNCKHAPSATLDLLILLLVLFSGAFLLSSYFSYIFNSLSLLLPSVSLPIPYLLAFVIFFIASVITVELCCGARSRKCERIGCRGLKKATEFDLQIERFGSGTGSGLGLGEYDKLPWKGGSEGNPDYECLRSELRKMAPINGRALLLFRAPCGCPIAKLEASGPKKGKRHKRSQSSATLNGGGNHR >CAK8577664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582143888:582145291:-1 gene:gene-LATHSAT_LOCUS29751 transcript:rna-LATHSAT_LOCUS29751 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGNCDVDNEKTIVTSSKWTQSEIDDHKVSMASSTGNRVMEKPGQELLQQQQQALRCPRCDSSNTKFCYYNNYSLTQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPTYSCSNNNNNIDSSASPSSSTPSSVVANPNPPSQSQQQQQQQHHSFDIAATSNHINTMLYGGNNSCHDVMNFPFSTRFNSTTRVSNPASGYDNLHQNGLGLGFSSGILMSAAGGEVNLNHHHHHHEEGSYRNGFSTSNNNNYSSIFGSSSTSTTPVMASLLNSTLLQQKFMSTGGGIKGGGGGGRDDDPFHHHHEMDSKEGKLGEGLQNRLDQWNMNNLNGNGGAAFQNQMENMGLSDNNASLYWNNNHNNSNNNTAATATGLGSVWSTDQPGSNSVSSLI >CAK8577665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582143888:582144982:-1 gene:gene-LATHSAT_LOCUS29751 transcript:rna-LATHSAT_LOCUS29751-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGNRVMEKPGQELLQQQQQALRCPRCDSSNTKFCYYNNYSLTQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPTYSCSNNNNNIDSSASPSSSTPSSVVANPNPPSQSQQQQQQQHHSFDIAATSNHINTMLYGGNNSCHDVMNFPFSTRFNSTTRVSNPASGYDNLHQNGLGLGFSSGILMSAAGGEVNLNHHHHHHEEGSYRNGFSTSNNNNYSSIFGSSSTSTTPVMASLLNSTLLQQKFMSTGGGIKGGGGGGRDDDPFHHHHEMDSKEGKLGEGLQNRLDQWNMNNLNGNGGAAFQNQMENMGLSDNNASLYWNNNHNNSNNNTAATATGLGSVWSTDQPGSNSVSSLI >CAK8569051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666915934:666920524:-1 gene:gene-LATHSAT_LOCUS21947 transcript:rna-LATHSAT_LOCUS21947 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENGYGGSSGSTLETSKAERSVWLMKCPVAVAKSWQNHPPSQPLSKVVFSIDPLLPEDDPAHLQFTMEMSGTESVNMAKAYSLNMFKDFVPMCIFSETSEGDKVAMEGKVEHKFDMKPRHENIDDYGKLCRERTKKSMIKNRQVQVIEDIRGVHMRPMPGMVGLVSSNFKDKKRTQPVKQTDTKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSVEDINAE >CAK8542818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551245344:551253878:1 gene:gene-LATHSAT_LOCUS11622 transcript:rna-LATHSAT_LOCUS11622 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSAAYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWLNNVVGELESQIDNFEAELEGLTVKKGKNRPPRLTHLETSITRHKAHIKKCELVLRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYISLPLDKVDTLEDLVTIPTSVAVAKTISSLPLDEGKILEDLVTIPTGLAKVAPGLSLKSPLAASASQSASSQTSEQADETASQDSNSDIVAKTPPPKSGGISSSASTPTGNNATPAFVNVSGHNLSSSPTAAVLPVSNSVRNVLENANVNQSASTKEEDINSFPSRRPSPSLSDAALVRGRNSLSNQATASIPLGSGNMVSGNGAFGSLPSASEITKRNILATDDRLGSSGMVQPLVSPLSNRLILPQVGKANDGTASVDSSTVNEAAAVSGRVFSPSVVPGMQWRPGSPFPNQNDAGQLRGRTEIAPDQREKFLQKFQQVQQQGPSTLLNMPSLVAGNHKQFSSQQQSPLLQQFNSQGSSVSSQSSMGIGAQSPSLGGISSVSLQQPSSLHSPSSQQAIPGAAKDADKIEEQQHQSFPDESTTESTSTGIGKNLIVEDDLKSAYVVDSSVGVSASLPEAAQISRDIDLSPGQPLQSNQSAGHLGVIGRRNGVDLGAIGDSFSASSVNAGGVRDQLYNLQMLEAAHFKVPLAKDSERPRTYTPRHPAITPPSYPQVQAPIVNNPAFWERLGLEAYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDDFEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELLV >CAK8540153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542416571:542424238:-1 gene:gene-LATHSAT_LOCUS9186 transcript:rna-LATHSAT_LOCUS9186 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIQCHRRHHHHHTAYLTALIGILLLLPEGTSQAQILKRKFYSWGPFNASNEHFFETLSSATIHLDAFQVTPDSAGSTTIVSMFNNFGRVFFKDPFKLWEDSSTTGKLVSFNTSFVINMLPYMNGTPGEGLAFLIAPSISFPLNSSGGYLGLTNASTDGNSTNRFVAVELDTVKQDFDPDNNHMGLDINSVRSNVTVPLGFEIAPKVAKIFALWVEYDGNRKNIDVYMAEQPSKDAPVVEKPVKPVLTSGLDLKQVLNQDSYFGFSASTGNFAELNCVLRWNISIEVFDEKKDKALRIGLGVGVPVLVLILLGGGFWYYYMSKKKKNDENGSNSQIMGTLKSLPGTPREFSYQELKKATNNFDEKNKLGQGGYGVVYRGTLPKDNLEVAVKMFSRDKMKSTDDFLAELTIINRLRHKHLVKLQGWCHKNGVLLLVYDYMPKGSLDSHIFCEEGTSTTPLSWHLRYKILSGVASALNYLHNEYDQTVVHRDLKASNIMLDSDYNARLGDFGLARALENEKTSYAELEGVQGTMGYIAPECFHTGKATRESDVYGFGAVLLETVCGQRPSCTKIKGYQFLVDWVWYLHREGRILEAVDQSVGSDYDVEEAERILKLGLICSHPVAGERPNLQTIVQILSGSVNVPNVPPFKPSFIWPAVDLSSLASTDFTKSNTSEYTKQW >CAK8540154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542416571:542419676:-1 gene:gene-LATHSAT_LOCUS9186 transcript:rna-LATHSAT_LOCUS9186-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIQCRHNHHHTAFLTALIGILLLFLSLTSQAQIPTQAQSQILKREIHSYGPFNETNKHLFKTLSSASIHLNAIQITPDSAGSSSIVPMYNNSGRIFFKDSFKFWEDSSPTGKLISFNTSFLINVFPYRDETPGEGFAFLIAPSLSIPLNSFGGYLGLTNASTDGNTTNHFVAVELDTVKQDFDSNNNHMGLDINSVHSNVTVPLDFKIAPNDTQFYVLWVEYDGDRKNIEVYMAAQPSQDKPIVEKPVKPVLTSGLDLKKVLNQHSYLGFSASTGNFAELHCVLRWNISIEVFDETNALRIGLSFGVPVLVLILASAGFLYYYTCKKKKSDEYNSNSQIMGTLKSLPGTPREFSYQELKKATNNFDEKNKLGQGGYGIVYRGTLPKDNLEVAVKMFSRDKMKSTDDFLAELTIINRLRHKHLVKLQGWCHKNEVLLLVYDYMPKGSLDSHIFCEEGTSTTLLSWHLRYKILSGVASALNYLHNEYDQTVVHRDLKASNIMLDSDYNARLGDFGLARALENEKTSYAELEGVQGTMGYIAPECFHTGKATRESDVYGFGAVLLETVCGQRPSCTKIKGYQFLVDWVWYLHREGRILEAVDQSVGSDYDVEEAERILKLGLICSHPVAGERPNLQTIVQILSGSVNVPNVPPFKPSFIWPAVDLSSLASTDFTKSNTSEYTKQW >CAK8535818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883366617:883368157:1 gene:gene-LATHSAT_LOCUS5238 transcript:rna-LATHSAT_LOCUS5238-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSAFGLMSIGNGGLSCSMAFGADQVNRKDNPNTYRVLETFFSWYYAFAIIGVIIALTRIVYIQDHLGWKIGFGIPAALMLLSTLLFFLASPLYVKITKRTSLCTSFAQVTVATYKNRKFPLPPKNSTGLYHHNKNSDLVVPTDNLRFMKKACVIKDHEQDIASYGSAINPWRLCTIDQVEELKALVRVIPLWSTGIMMSLDIGGSFGLLQAKSLDRHITSHFEVPAGSFSVIMVGAIFICIVLYDRVVIPLASKIRGKPVRISPKTRMGIGLFFSFLYFVTAATFESIRRKEAIKEGYLNDPDGVLKMSAMWLAPQLCLSGIASAFNGIGQNEFFYTEFPTTMSSVSASLSGLAAAVGNLVSSFVFSIIENFTSRGGKQGWITDNINKGRFDKYYWVIAGLNALNFVYYLVCSWIYGPTVDKVSKETEENGTKEEDSTELKNVNPLFDEKVISDETRSMEKELTELKNSEENGFKEEELTKD >CAK8535817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883366533:883368157:1 gene:gene-LATHSAT_LOCUS5238 transcript:rna-LATHSAT_LOCUS5238 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMVPQARPPACVHPTEGCKSATKGQMAMLLSAFGLMSIGNGGLSCSMAFGADQVNRKDNPNTYRVLETFFSWYYAFAIIGVIIALTRIVYIQDHLGWKIGFGIPAALMLLSTLLFFLASPLYVKITKRTSLCTSFAQVTVATYKNRKFPLPPKNSTGLYHHNKNSDLVVPTDNLRFMKKACVIKDHEQDIASYGSAINPWRLCTIDQVEELKALVRVIPLWSTGIMMSLDIGGSFGLLQAKSLDRHITSHFEVPAGSFSVIMVGAIFICIVLYDRVVIPLASKIRGKPVRISPKTRMGIGLFFSFLYFVTAATFESIRRKEAIKEGYLNDPDGVLKMSAMWLAPQLCLSGIASAFNGIGQNEFFYTEFPTTMSSVSASLSGLAAAVGNLVSSFVFSIIENFTSRGGKQGWITDNINKGRFDKYYWVIAGLNALNFVYYLVCSWIYGPTVDKVSKETEENGTKEEDSTELKNVNPLFDEKVISDETRSMEKELTELKNSEENGFKEEELTKD >CAK8537159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:116935847:116937846:-1 gene:gene-LATHSAT_LOCUS6469 transcript:rna-LATHSAT_LOCUS6469 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFDSATSNLLCSENNSTCFDEDLECNGVVDGSGISTSWDHMNLNLDNLDNVGSESFVCFVAQSEEIVRVMVEKEIEHLPRVDYLMRLRSGELDLSVRREALDWIWKAHAYYGFGPLSLCLSVNYLDRFLSAYQLPRGVSWTVQLLAVACFSLAAKMEEVKVPHCIDLQVGEPRFVFEAKTIQRMELMILSTLGWKMHALTPCSFIDYFLAKISCEENHAEKSSIASSVQLILNIIKGIDFLEFRPSEIAAGVAISVLKELPGHEVDKALADFIIVDKERVLKCVELIKDLSLIKVGASKYTPFVPQSPIGVLDGGCMSFKSDELTNGSYQNSLHNSPNTKRRKFDGPSNG >CAK8530121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1775305:1779239:1 gene:gene-LATHSAT_LOCUS14 transcript:rna-LATHSAT_LOCUS14 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKGNTSDHIVAENRRELKTKKSSKRLVVSNRDNDATTHLISNTNAYRDSSDEGDRDKEIHIATEGTGSEEDIKNITRSFSVKSNDERGIGIVEGWPSWLTAVASEAIVGWVPRRADSFHKLQKIGQGTYSSVYRARDLETNKIVALKKVKFAPMDPESVRFMAREIILLRKLDHPNVMKLEGIITSRFSGSLYLIFEYMEHDLTGLATLPRKNFTEAQIKCYMQQLLRGLEHCHSQGVMHRDIKGSNLLLDNNGNLKIGDFGLATLFQPSQEQPLTSRVVTLWYRPPELLLGATNYDVSVDLWSAGCILAELLTGKPIMPGRTEVEQLHKIFKLCGSPSKEYWKKTKLPHATIFKPQQPYKRILSETFKDFPSSALSLLEVLLAIEPKDRGTASSALQNEFFTSKPLPCDPSTLPSYSPSKEFDAKLREEEARRRRAANKGHGQELAGRSFKESKVVPAPDANGELQASIEKRRGQCYSKRISEKYNPEEEGDYGFPIEPAKEVAHNTLSDSGQLMHQGAYGSSCNMNLKEEIVVAAPDRVFTSKNSKLRKQNSYRQGSSTAQLSRFSNSVAVRGESQLDMSKDSSLNSQWQEDHFDLRYSDLTDGESNQMLDETKYLRKKDFHLLGKDRAMGIAPKNGHINYSGPLLPPEANIDEILKEHERQIQQAVRKARLVKDKNKKGASEIGQSETLVHQMRYGKSHIKEF >CAK8568549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615611148:615617254:1 gene:gene-LATHSAT_LOCUS21496 transcript:rna-LATHSAT_LOCUS21496 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVGSSKDVSIAGLDMNDLLDDDNNDMEMDIDLDEDPSNAEILNELGDDMVKYFCKKASMMFFNEYGLISHQINSFNQFVTSGLQNTFNSFGDLTVTPGFDPSKKGDSEHYRYATVKFGTVTLDRPKFWCGENNTQELKMLPRHARLQRMTYASKMKVNVHVEVYIPKKVRSDKFKTGKEEYIDKETVMEESREITIGRLPVMVKSDLCWMSEVEKGDCEFDHGGYFLIKGAEKIFIAQEQIFLKRLWLTTSPYWAVAYKSQMKRNRMVVKLVENSTFEGIQNGEKLLTVYFLSIEVPVWILFFALGVSSDKEIVDLIDYGKGDGRIENLLFASIREADEKCKAFRRGNNALLFLEENIKGVQFPPPESINECLDMYVFPNIKGLKRKARYLAYMVKVLLLAYTGRRKTDNRDDFRNKRLELASELLEREIRVHFAHARKRMAKALQRDLYGDRDVRQVEHYLDASIITNGLQRAFSTGAWTHPFKRMERISGVVATLGRTNPLQTIAELRRTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLSVTGVVSTNVTEYILPHLFDCGMEELVDDTTTVLGKKDKVFLNGDWVGVCLNSASFVSELRNRRRRNELPHQVEIKRDQIQEEVRILSDAGRILRPLLVVSNLLKIKESKSDHKSFKSLLDNGVIELVGPEEEEDFKTAWGVQYLFGKEGKSSVKYTHCELDMSFLLGISCSMVPFANHDHARRVLYQSQKHSSQAIGFSTTNPNIRVDALSHQLFYPQRPLFRTMASDCLGKPGYLGQSKVLPKSEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLQRGMFRSEHIRSYKAEIENKESSEKRKKPEDIVNFGKIQSKIGRVDSLDDDGFPFVGANLQSGDIIIGRCADSGTDHSIKLKHTERGYVQKVVLSSNDEGKNFATVSLRQVRSPVLGDKFSSMHGQKGVLGFLESQENFPFTKQGIVPDIVINPHAFPSRQTPGQLLESALGKGIACVGSLRNATPFSTPSVEAITDQLHRAGFSRWGNERVYNGRTGEMVQSLIFMGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASSNLYERLFTLSDSSQIHICSKCKNVANVILRPVSGGRKIRGPYCRFCESADDIVVASVPYGAKLLSQELFSMGINLKFDTELC >CAK8561297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:140864141:140866123:-1 gene:gene-LATHSAT_LOCUS14904 transcript:rna-LATHSAT_LOCUS14904 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQMVNLFGTGDYTALTPLQDIDEETLPLRHMYCPPQHMTNLQLSVDDTSSDVFYNPSQKIEGVLKVGDQYRTKEECMKVVRKFHMDNFVDFYINRNDSKRYVVVCRNADCKFRLAASYRKRSDCWVIGTMDPPHSCTTNINRKDHGKLSSQLVSQEILHLVGVGPSVKVSTIISHIVAQFNYTLSYRKAWIGRIKAVEHVYGNWERSYNQLPQYLLALQKYVPGTVLILESLPAYTPEGTCVDGSRIFSRLFWAFQPCIKGFVFCKPVIQVDGTWLYGKYKGTLLIAVAQDGNNNIFPVAFALVEGETGETWSFFLRNLRTHVAPQPNLCLISDIHASIVSAYNNPANGWHNPPFVHVYCIRHIAQNFMREMKDKNLCKKVGNAGYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRAFDGGSRWGHMTTNLVESLNDVFKGTRNLPITALVRVTYYRLGSLFAARGKKWSAVLELGQIFSESSMKYMKDETSKAASHRVRPFDRHDYSLIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRLPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYSNSFPVVASKEYWPTYHGDTIYHNENMRRNKKGCPKSTRITTEMDTTEKMERLCGICRLPGHTRTNCPNVGTSSR >CAK8572465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538667889:538670318:1 gene:gene-LATHSAT_LOCUS25029 transcript:rna-LATHSAT_LOCUS25029 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSQTLMPLDSEEPPSLTSIPSPPDPSPNSASTALHISFNQDSGCFAVGTDQGFRIFNCDPFREIFRRDFGHGGGIGLVHMLFRCNILAFVGGGSDPRYPADRVMIWDDHQSRCIGELSFRSEVKGIRLRRDRIVVVLGHKIFVYNFSDLKVLHQIETITNPKGLCEVSHHLENMVLACPGLQKGQIRVEHYASKRTKFIMAHDSRIACFNITQDGLLLATASSKGTLIRIFNTLDGSLLQEVRRGADRAEIYSLAFSPTAQWLAVSSDKGTVHVFNLKVDSGLLGHERSHTTSESSPTSPSAALSLSFIRGVLPKYFSSEWSVAQFRLQEGLQYNVAFGHQKNTIVILGMDGSFYRCQFDSATGGEMTQLEYYNFLKPEETF >CAK8562852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547959850:547960965:1 gene:gene-LATHSAT_LOCUS16316 transcript:rna-LATHSAT_LOCUS16316 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHQYCSFLNTITITLMLTIIAKPAVVSATFQPSPWTFANATFYGDETASATMGGACGYGNLFVNGYGTDTAALSSRLFNNGYACGTCYQIKCVESKFCNTNVPYTTVTATNLCPPNWSQASDNGGWCNPPRSHFDMSKPAFMKIAEWKAGIIPIMYRRVPCARKQGFRFSFQGNGYWLLVYVMNVGGGGDIANMWVKGSRTGWIRMSHNWGASYQAFATLGGQTLSFKITSYTTKETVFAWNVAPSNWRAGLTYSTSINFH >CAK8564146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654395232:654395543:1 gene:gene-LATHSAT_LOCUS17475 transcript:rna-LATHSAT_LOCUS17475 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8569798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11607296:11611341:-1 gene:gene-LATHSAT_LOCUS22607 transcript:rna-LATHSAT_LOCUS22607-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDEGDDNKINDDKFVQDIKLWGVFLFGLIGATATTFALSRSLKGGNVGSFRTTFQEEAWKRYNKGGNVGSFRTTFQEEAWKRYNKRLQEVYEVYEEEMERVERIRRMQDVFNRERNKYRRNPENWKENGSGTYHQNFQRDDRYRKAEQTFRDQWKNYPRENGSINYSLLSHHYSVLGLDRSRTTPYTDAEIKTAFRTKAKQFHPDQNQEDRVAAEAKFKEVMGSYEAIQQERKNHSL >CAK8569797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11607296:11611341:-1 gene:gene-LATHSAT_LOCUS22607 transcript:rna-LATHSAT_LOCUS22607 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDEGDDNKINDDKFVQDIKLWGVFLFGLIGATATTFALSRSLKGGNVGSFRTTFQEEAWKRYNKRLQEVYEVYEEEMERVERIRRMQDVFNRERNKYRRNPENWKENGSGTYHQNFQRDDRYRKAEQTFRDQWKNYPRENGSINYSLLSHHYSVLGLDRSRTTPYTDAEIKTAFRTKAKQFHPDQNQEDRVAAEAKFKEVMGSYEAIQQERKNHSL >CAK8562430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:490180130:490182195:-1 gene:gene-LATHSAT_LOCUS15926 transcript:rna-LATHSAT_LOCUS15926 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHFRSKCRALTTTMFFLLPLISSLFFTSSTACSNGNCQVREACSTATDCGSGLFCGNCPALGLNQPICTRGQPILPTSTVNGLPFNKYSWIVTHNAFSIVDSPPLPGVQRLTFYNQEDSVTNQLRNGVRGLMLDMYDFQNDIWLCHSFRGQCFNFTAFQPAINTLKEVEAFLTQNPSEIVTIIIEDYVHTPKGLTNLFTSAGLDKYWFPVSKMPKKGDDWPTVTEMVQANHRLIVFTSDASKEAQEGIAYQWKHIVENESGDPGVQKGSCPHRKESKALNSKGASLFLMNYFPTYPVEADSCKEHSAPLAEMVNTCYKAAGNVVPNFIAVNFYMRSDGGGVFDIVDKMNGHSLCGCSTVAACQAGATYGSCKNISVPSTSSGSSTGGSGSFTGSVQFSKSASPVRYPNSLLVFWFYFLLIVVLFKL >CAK8562616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516461286:516461849:-1 gene:gene-LATHSAT_LOCUS16101 transcript:rna-LATHSAT_LOCUS16101 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSQNGMFCAGFYVVGENAYSFAIWFSEPNHQTQNLTLVWNANRDQPVNGRGSKLHLLNNGNLVLKDTDESHVWSTNTVSLSYVALVLLNTGNLVLREVNGVTLWQSFDSPTDTLLPLQVFNRQSRIVCSRSETNKSYGFYMLYLDNDNILRLLYDGPGVSSIYWVPNLAMKSPGHASSQVLSTCT >CAK8542669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536122936:536126841:1 gene:gene-LATHSAT_LOCUS11489 transcript:rna-LATHSAT_LOCUS11489 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFKVDHGDRDGSGHSSSSSDEDYDNQTAEVSQDNDDDDDEVSSASSGYKSDDSSANDIDANSADTNSAGPLYSDDAGTINDREVFINKKFASERDSEKSNIMPEKKPLPADIQSDFVVQIKSVFKCRLCPRIICLTEDTLRNHLQSKRHARSEKMHREGRLKVMLNSDGEIENQEDSESDKETEDTEVYTKNDKGPKPHKDRSNKKKRDKPNSRKMRSSKGSYKRSRKK >CAK8542097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473296097:473297593:1 gene:gene-LATHSAT_LOCUS10968 transcript:rna-LATHSAT_LOCUS10968 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPTFSQLSQPYHTLIKIPLFTIKANNNNSINPSLTNSFIKHTTKNLIDFKDKTTKFRLKNLVRNITSLSSSKNKTHILQKILDKDADGFQIQTISDFNHLLMALLIAQELQLCQTVFTKLSSFHLVPDSCTYSIMIRCHCEKNDAEEAKRLLFTVIENGFEPDAATITVLMNSLCKRGKVKKAVEVFQFMKRKGLKLGVQSYNCLLKGLCYVGRVEEAIEILMRMKETNLGVDVYSYNAVMNGLCQVGRSDEAMTLFNEAIGIGLVPNVVTFNALILGYSRQCRPVECFGVLKMMKEHGCVPDCISYSTVLRGLLKWNEVVAALWVYKEMVEIGFEVDLKMMVTLVRRLCKKSRREKCLIEDAYEVFEKMKEKGLVVDKRTMEVMVEACCRGEKFDEALVSLNDMVRWGYSLEAIGFEKVIRGLCRQGRVDEAVSSWLLLRANGGILDRNCFEVLVNEVDAHGRVFCGSFLFGLALKQGVVLVPNKELHDDKRC >CAK8568519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613540458:613544440:1 gene:gene-LATHSAT_LOCUS21467 transcript:rna-LATHSAT_LOCUS21467 gene_biotype:protein_coding transcript_biotype:protein_coding MEKECVEDIMDIEVLPSMWPEDIATDVGKQFNIEKPGNDQDMLEEITIIEEPTIADFKRLIELTNFTEKGSSQLANLMKHWEYKQANVARLLREELDNLSKQRKDVELRKLEILEEHRFEKERYGGDKRPVSILDDIYDIWQQDVPCRKSDVVVKNKRIEIDAEFDTVVYWKQRAVHLEKLLEASLMREESLEQKLRESIKNFERQFSPVEELSQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGKTDVEIFTGSGVRESQDFKMEVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKTGETIGINYMGMDVTDQVRKRERMVKIREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDREQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKTLTLEGHVADDVPIEVTGDVLRIRQILTNLISNAIKFTDEGKVGINLYVMKEEKHLSTPQNHGFNDDCRSLVKSECSMNGDTEDQHHSAETIVWIGCDVYDTGIGIPENAIHSLFKRYMQVSVDHARKYGGTGLGLAICKQLVELMGGSLTVSSREQHGSTFTFILPYKVSIACDSSDYSDELSDMEHNDAASDDTTTEGFFQFHTNRTQKLLPHKFSGFSESSFSFPATSNDIVSKGTCSFDESSSVVDASDMSESASSSSNKHHLYNAHAWFQNGSADSSQHMVVNTTTQCVSRSSKSEVTKSISKPKILLVEDNKINIMVTQSMMKQLGHNIDVVTNGAEAVRAVQRCTYDLVLMDVCMPVMDGLQATKLIRSFEETGNWDAAKNAGIEQSLLDLDYETSVPSTKRTPIVAMTANALSESAEECFANGMDSFVSKPVSLQKLKECIEQYT >CAK8533072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574886151:574891286:1 gene:gene-LATHSAT_LOCUS2723 transcript:rna-LATHSAT_LOCUS2723 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTITDKYNVDSAETLANEAQGLPIAEAIPIYEQLLQLFPTAAKFWKQYVEAHMAVNNDDAIKQIFSRCLLNCLQVPLWRCYIRFIRKVNDRKGTEGQEETKKAFDFMLNYVGADISSGPVWMEYISFLKSLPSMNAQDESHRMTAIRKVYQRAIINPTHQIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYFDEIDWNMLAVPPTGSSKEEMQWMAWKKLLSFEKGNPQRIDAASSNKRVLFTYEQCLMYMYHYPDIWYDYAIWHAKGGSIDAAIKVFQRSLKALPDSEMLRYAYAELEESRGAIQAAKKIHENLLGDGENATALSHIQFIRFLRRTEGVEAARKYFLDARKSPTCSYHVYVAYASVAFCLDKDPKMAHNVFEAGLKRFMHEPVYILEYADFLTRLNDDQNIRALFERALSSLPPEKSVEIWKRFVQFEQTYGDLSSMLKVEQRRKEAFGEDATTASESSLQDVVSRYSFMDLWPCSSNDLDHLSRQEWLAKNLNKKVEKSIIPNGMTLTEKGSVANISNTSSKVVYPDTSKMLIYDPKQNPGTGANTNAFDEILKATPPALVAFLANLPAVDGPTPNVDIVLSICLQNDLPIGQSGKTGIPSQLPGGSAPATSELSGSSKSHPVQSGLSHKPTGRKHYGKRKEFNRQEDDDTTSVQSQPPPRDAFRIRQFQKARANSTSQTGSVSYGSALSGDLSGSTG >CAK8543400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605714102:605718724:1 gene:gene-LATHSAT_LOCUS12157 transcript:rna-LATHSAT_LOCUS12157 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLLLRNSSSSSSKLLSPLLFSSQFHTSLTRSPQSQSPLTNDNTTSSPSSSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITRVLADEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALNGTNEEIGSKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGIIKVGDEVEILGLMQGGPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDIQRGQVIAKPGSVKTSKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELILPVPLETGQRFALREGGRTVGAGVVSKVLS >CAK8565820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339033618:339034799:1 gene:gene-LATHSAT_LOCUS19001 transcript:rna-LATHSAT_LOCUS19001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVTVIATKKTSKVRKQLHIHHDIALSILSKLSIKPLKRFECVCKSWSFLSDNPYFMSHYRNSFLTKYHSYYDDASFLPLQRFPIFHNQRFELHSLYEERFPSNVKIDWPYLHCFPRMVGCGSVHGILCFSIVTQNDIILCNPSTKDYKAIPLDRNHHEYYRRGYSNSGFGYDCVEDDYKVMCIYHLDNEPMEDLYLDPFIGEIFSLKNNTWKKLEVDIKCNPTFWNDEQLYIDGFSHRVCQIEEYDYKTYVLSFDWHREVFTTTLIPFNIEDILDFLYHWINLVLLNGSIALILNYTSTSTFHIFILGELGVKESWTKLCTLEHLPYLEHPIGMGKKSDMLFRKKDGGLVCFDLITQKTTDLSITNKACSNIVIHKQNPISLLAYVGKSI >CAK8574627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4290525:4290779:-1 gene:gene-LATHSAT_LOCUS26955 transcript:rna-LATHSAT_LOCUS26955 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQFFALNLRDPEARNYLYREIPEHYCWNNRDKEWHCMQSLRKVIGRIYTVSPLEGENFYLRVLLSHVIGPTSWEYLLTNNGT >CAK8540763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20024571:20027179:1 gene:gene-LATHSAT_LOCUS9735 transcript:rna-LATHSAT_LOCUS9735 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLISALCVTVLCSCAVAYDPLDPDGNITIKWDVVSWTADGYVAAVTMSNFQMYRHIMNPGWTLGWSWAKKEVIWSMVGSQTTEQGDCSKFKGNVPHCCKKTPTVVDLLPGVPYNLQFSNCCKGGVVAAWGQDPSSAVSSFQISVGQGGTSNKTVKLPKNFTLSAPGPGYTCGPAKIVPSTTFLTSDKRRKTQALMTWNVTCTYSQFLARKNPSCCVSLSSFYNETITPCPSCACGCQNKKNCVKGHSKFLDMVGLHTPKRDNEPLLQCTHHMCPIRVHWHVKVNYKDYWRVKIAVTNFNYRLNYSLWTLAVQHPNLNNVTQVFSFDYKPLLPYQSINDTGMFYGMKFFNDLLMEAGPSGNVQSEVLLQKNKDTFTFNQGWAFPRRVYFNGEECMMPPPDTYPYLPNSSPVNALNFQAFITSFLLLLALW >CAK8573097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:583743326:583749270:1 gene:gene-LATHSAT_LOCUS25583 transcript:rna-LATHSAT_LOCUS25583 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEEISFQSFDDDCRLLGNLLNDILHREVGTSFVDKLERIRVLAQSACNMRQAGIVNMGEILEKQLASELSKMTLQEAFTLARAFSHYLTMMGIAETHHRVRKGGNMAQISKSCDDVFNQLVQGGVSPDDLYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLDYNDRPDLSPEDREMLIEDLVREITSIWQTDELRRQKPTPVDEARAGLNIVEQSLWKALPHYLRRVSNALKKHTGKPLPLTCTPIKFGTWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYIREVDSLRFELSMNRCSDTLSRLAHEILEGAKDENRRESWNQSMNRSQSLPTQLPARAYLPSFAANGESQHPRLDIPGPDHKDVGISPNLATIRTGNPSIQVSQKSSENSNASSASIPSSPSLISSQPLSQRKLLAESQTGKSGFQKLLEPQLPQLPGIAPYRVVLGNVKDKLERSRRRLELLLEDVTCDYDPLDYYETADQLLEPLLLCYESLQSYGSGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHADTLDAITTYLDMGTYSEWDEEKKLDFLTRELKGKRPLVPVSIEVPADVKEVLDTFQIAAELGSDSLGAYVISMASSASDVLAVELLQKDARLAATGELGRPCPGGTLRVVPLFETVKDLREAGSVIRKLLSIDWYLEHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNDYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVEAKFGLPQIAVRQLEIYTTAVLLATLRPPLPPRDKNWRNLMEEISEISCQCYRNVVYENPDFLSYFHEATPEAELGFLNIGSRPARRKNTRGIGNLRAIPWVFAWTQTRFVLPAWLGVGAGLKGACEKGHSEELKAMYKEWPFFQSTIDLIEMVLGKADITIAKYYDEALVSKERQELGHELRNELLTAEKFVLVISGHEKLQQNNRSLRRLVENRLPFLNPMNLLQVEILKRLRRDDDNLKLRDALLITVNGIAAGMRNTG >CAK8541629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:314415241:314417371:-1 gene:gene-LATHSAT_LOCUS10534 transcript:rna-LATHSAT_LOCUS10534 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLTIASLTSLFAPTTARIPGVYTGGPWTSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTVLFNNGLSCGACFELKCDQDPRWCNPGNPSILITATNFCPPNFAEPSDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVAYRRVPCRKIGGIRFTINGFRYFNLVLITNVAGAGDIVRVSVKGTNTAWMTMSRNWGQNWQSNAVFVGQALSFRVTGSDRRTSTSWNVAPPHWQFGQTFTGKNFRV >CAK8531452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111468877:111470565:-1 gene:gene-LATHSAT_LOCUS1243 transcript:rna-LATHSAT_LOCUS1243 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIVVLLSLYSSTFATSSPQNTFIQCLINNSEASNPIIESIFTQNNPSFPSILQAYIRNLRFNTTKTPKPFLIITSSHVSHIQSSIICGKKHSFQMKIRSGGHDYEGLSYVSDSKEPFFIVDMFNLRSVEVDIENERAWIQTGATLGEVYYKIAEKSETHGYPAGVCPTVGVGGHVSGGGYGNLMRKYGTSSDNVIDAQIIDVKGRLLDRQAMGEDLFWAIRGGGGANFGVVLSYKIKLVKVPKIVTVFEVNRTLEQNATNIVYNWQHVAPTTSNDLFIRLILEVEKDEENGVKTIRASFIALFLGDTKTLVSLMNETFPQLGLEQKDCIETSWLQSILFWDNIDIDTPLEILLERQPQLLRYLKRKSDYVKEPISKAGLEGIWKKMIDLEKGVMFFNPYGGKMDEISPTETPLPHRAGNLWKIQYQANWNEEGEEVTFYHINVARELYKYMTPFVSKNPRQAYLNYKDLDLGVNHHGLFSRYSQGSVYGIQYFKENFDRLVEIKSKVDPDNFFRNEQSIPVYGYVDRVRRVFEKSLISIFVCLVVNWIWKMSQGHAAKK >CAK8532164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214265625:214266548:-1 gene:gene-LATHSAT_LOCUS1895 transcript:rna-LATHSAT_LOCUS1895 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDSDSGTQLSDGSYRNNHKLNSRGGLVERIAARTRFNALRLNTEGIRSTELSLNSEIQSPYLTIPPGLSPTTLLDSPIFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKSKLNGFNDMYASSFAFKPTPDTGPSFYHGAGRNINQTTLPQQTLHGFEASVQSQRVDATENKSSLHLKAEFSDSPPQKTILHQWKIKQKKRENKESTETQLLLVLVALRQKMDIIGENTVKSKLRVASSREVITNAPIRIVR >CAK8573044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579933308:579938716:1 gene:gene-LATHSAT_LOCUS25538 transcript:rna-LATHSAT_LOCUS25538 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWVGATSSDYAGTPPQPRSGHSAVNIGKSKVVVFGGLVDKKFLSDILVYDIEAKLWYKPECTGSDSDGHVGPSPRAFHVAVSIDCHMFIFGGRSGGQRLGDFWVLDTDIWQWSELSGFGDLPSPRDFAAASSIGNRKIVMYGGWDGKRWLSDVYVLDTISLEWTELSVSGTLPQPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIDEENETPGWTQLKLPGQAPTPRCGQTVTNAGHYLLMFGGHGTGGWLSRYDIYYNDSIVLDRVSAQWKRLSIGNEPPPARAYHSMTAIGSRYLLIGGFDGKSTYGEPWWLVPQDDPIANRVTSSPPRNIPESNDAASLNGNFQPQFKENETEKFPLSELQQRLQISVSQSNSNLLTVNELEDKELLELASRLAGENVSASSLAIEALRGHWKQAEPNMIKLKELGPMLRDYQRLIHRLLLGKSASEEQPGFDEHAIHRFYHVKNVSQLRMDDIPKLLAEYKQIPTLN >CAK8535421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846793530:846795014:1 gene:gene-LATHSAT_LOCUS4885 transcript:rna-LATHSAT_LOCUS4885 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCLMLFYPNPPTKTNQLLHHSSIPSIFPLFKTHFPASRKYQARNYTTRSFGNFLDFKPEYQPDAMDFDLPWHRASDHSHFDVIVIGAGPAGIRLAEQVSLYGMKVCCVDPDPLSVWPNNYGVWLDEFEDLGLEDCLDKIWPMASIFVDDKNTKYLDRSYGRISRRKLKEKLVKGCVFNGVKFYKSKVWEIKHHEFESIVVCDNGKELKASLVVDASGFGSKFIDRDDHKARNYGFQVAFGVLAEVDSHPFDLDKVVLMDWRDSHLKDGNSISTFMYAMPFSSSLIFLEETSLVSRPAMSHEEVKRRMVARLRHLGINVKRVLEEEKGLIPMGGSLPRIPQNVIAFGGNSGIVHPSTGYMIARTMALAPVVAASINECLGSNRMIRGKDLYANVWNSMWPIESRVAREFYTFGMETLLKLDLNETRDFFDAFFELKPYYLQGYLSSSLSLNDIVWLSMSIFANSSNPSRYAVATKCPELLANMMGNICLEFLR >CAK8573469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614631797:614632973:-1 gene:gene-LATHSAT_LOCUS25915 transcript:rna-LATHSAT_LOCUS25915 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVRLFHDRVNDAGTMSAMTDFAGQRKSKVGGRKPLGDLSNSVKPIDPVDGGTTSSKTDFAGQKKSKVGGRKPLGDLSNSVKPVDVVDVKKVLNGSFNTVKPSLSQTTNLPKSKNKPAILNKEVVSAKEKNIEVDKKPGIKASKKSNIGGRKVLSDISNSEKAHVHNVINKNSLNTSSFTGKDLHPDEIAEERMFHNHQKCIESRTQILNVHHFFEDDSDDDMKISFEPLVFRNKSESPLFKLEEVPEEFIPDTPPRSAWHGSPVYCKSPEFSSCTMWDDLAVDFKLLMDSPKRTQIV >CAK8567926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557052044:557053267:-1 gene:gene-LATHSAT_LOCUS20935 transcript:rna-LATHSAT_LOCUS20935 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAHTEITIPHLFRCPISLDLLEDPVTLTTGQTYDRSSIEKWISAGNFTCPVTMQKLHDLSFVPNHTLRHLINQWLQLGSQFHHPCNNNISATIDYLATIKHTLESHDSSLETKFKALEKISVLSDEYCSFRKSCFQQLCFLALLLELVFGLSDSQLSENYYMEFMELALSCILKLLPIVNLEPLNIIKDESKLKKFIFLFEKGTSSMKTSLCLVIDYSTTTSQTEEVCEILGNSQKLVHEIVQVVVNKNCDNLSEAAIKALSALCSLESNKESLVKGGAIYGIITYISRCDTTREKNLAPLAMTTMMKLLVLESGKEALVNHVNGIETLVKMVFKVCNQECSESAVGILSIVCSDFGSAREVAIGAGVLSQLLFLLQSQCGTKTKTKARMLLKLLRSKWTEESMH >CAK8539755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524211090:524216046:1 gene:gene-LATHSAT_LOCUS8823 transcript:rna-LATHSAT_LOCUS8823 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTLIINPMFRRRELLQLTRKFTCHVIISCILYYLVAYGLCSRNGAQNLPDYDACSSFEKGYHFDSSATAVSDSCLGHRFPAAHNSFENVCPNSNLFCFPSLLDGFFPDKESGSQYKSPRCVELAQDRWQESNKSWSSDFGVFRLLSGGVISCSLNSKEGLNEAPSLQTENGHKNVISSCGGSLHKVKSTHIWPKSIEVSKSKSSSLDDSVLPNIKIGPTVLDWGQKYLYSSSAAFLTVENTCNESTLHLYEPFSTDLQFYPCNFSELSLRPGESATICFVFFPKCLGLSSASLILQTSSGGFLVEAKGYATESPFGIQPLSGLEISPGGRLRRNFSLFNPFDEPLYVEEITAWISISLGDSNFVETEGICSVNDFQVFDTRLSPTIKDRLVVKSSQVGSPIVVIRPNRKWDIASQSSETLFEMDITVGLEGKIFGAFCLRLLRSSQDTSDTIMVPIEAEVDSHSSASATVSKFLSASLEGLATCDSGEIAITISLRNDASHILSFVKVLEVADMELFHIKYKEGLLLFPGTITQVGIIYCNHLHLDSREVADLRENCKLSILTNDSTSPLIVIPCEDILYICFEHHRLSSAGVEDKSKHIEARNMRAGYVGRNTQLPPNVKVLETSVDELVLENWKSQGTVSDTSVFEEREMVFPMIQVGSYVSRWITVKNPSKNPVTMQLILNSGELINNCKGIHDLLNPSSGNLVVDEGATPTKFGFSVPENALTEAYVHPYDCITLGPIIFYPSERCSWSGSVLVRNNLSGVESIPLRGFGGLLSLAMFDDGSSSEHVQSVDFDLKMLKPLNFSLPYSLLHTKEMTSVCLQPLVKELYVKNTGDLPLEVKSIRVSGRECGLDGFKILHCRGFALKPGESVKLMISYQTDFSAAVVHRDLELALDSGIFLLPMKASFSHDMLSNCKKSMLWMRVKKTLFGFLLIASLICFVFWFVSPQSTALDSLDFSGKSDDNLVHTTTMNSGGKTSLLDQNQRKSKLSVTNKINHLMEASCGTYSYGQGNPSEHEISQHLMQTSENRHLSDTPVLSSEQMKASESGHLVVKTSKEKGRRKKRKNLGAKLTALSEVSSSQSGNSTPSSPLSPAAFAMPKYNWPSSSDLEQPLETLSSTSVAAQHSGNNQCSVLKPASPEVSHSHSKSVATTTTTNITVQLHRKTSPFRAGSNTSPFRAASASTPSLLSSECSVTTTSHVRAPGSEPDNRKDVGAQKAGLADEYVYDIWGEHLSLPHLLVSTKNVTHMKRGLANNSSFDSFFVRGPQTLVTNSQVGK >CAK8534811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770901766:770903004:1 gene:gene-LATHSAT_LOCUS4323 transcript:rna-LATHSAT_LOCUS4323 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLMDGFGFHMRCCAHILNLVVRDGLKVASTSISSVRNAIRFVRSSLHRALKFKECVEYVGITCKKSVCLDVSTRWNSTYLMLDAAEKFQTAFDKLEDGDEDYRDLFDGDSPPSSQDWDNVRVFIKFLKKYYEATKVFSVSTKASLHTAFPFLTEIYIELKKLNMDLNGLFANVARDMLEKFCKYWVDITKMNQLLYFVIIFDSRYKLRYVEWCFDDMYGKDSDTKKSVLKDINDNLSKMFDLYKQAHDIAGGSVTSVAAAVSHGETAASDELPTYIARENAFQEHLMSIDSVEEETELQSYIAGKCLTFNEKDKEKFDILCWWKHNAGQYPVLSQIVRDIMPTPVSTVASESAFSTSERVLEVYRSSLKSEMAEALICTQNWLRPTFYQFKGMEFNEDYEISVKILVTS >CAK8565560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:278213516:278218283:1 gene:gene-LATHSAT_LOCUS18761 transcript:rna-LATHSAT_LOCUS18761 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWTKQLNTAFGVSFLWLICLIYFTQGFRSFVWTAISYQLKDNLHLTPSASQFVFSVAFFPWSIKPLYGILSDCIPIKGSKRISYLVIATVLSLVPWFILGLSSTLRNSTWHLMVFLTVQNLGSAMADVVVDAMVAEAVRHDKASFAGDLQSLSWFSMAVGGICGSLFGGFALSSLRIDAIFLLFSILPCIQLLSCCFVKENSVNIEDLVEDSTAKDMQTKRSSSNSGVLDEDSSLTKKSNSSTRKRKKGKKNTKNKAVNTRKSKVLDKGDSLATKSFHSLKNAIYDLCRAFRQPMILRPMSWFFLAHITIPNLSTVLFYYETEVLKLEASFLGTARVVGWLGLMLGTFIYNRHLKHMTLRRILMYAHVALAFLNLLQIAVVSRKNIAFGVSDRVMVLFGSALVDAINQFKFMPFLILSGRLCPPGIEGTLFALFMSINNLGTTIGSFVGAGLASILNIDSGSFDNLLLGIVIHALCNFIPIAFLFLIPKEATGSSG >CAK8534809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770760015:770760748:1 gene:gene-LATHSAT_LOCUS4321 transcript:rna-LATHSAT_LOCUS4321 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEVVLLDKWASMYGMRVRIALAEKGISYESKEEDFNNKSDLLLRSNPVHKKIPVLIHNGKSISESGIIVQYIDEVWNHKNPFFSSDPYERAQARFWVDYIDKKVFATWMKVWLSKGEEYEEAKKELISIFKTLEETLGGNTFYGGETFGYLDIGLIPFYSWFYTFETYGNFKFEVETPKLVAWGKRCIEKESVSKSLPDENKIYDYVVAGKKALGYDD >CAK8536707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9324411:9325566:-1 gene:gene-LATHSAT_LOCUS6041 transcript:rna-LATHSAT_LOCUS6041 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWKWAEDKELAWIRFEYISGLTVNGGGQINGQGAPWWKEYPDNESKRPSAIKFIGCEKITVSNLTHYDSPKNHMGIASCKDVYISDLKMIAPDDSPNTDGINIASPSNVIIKDSTITTGDYYVAINTDSFFINITGVFCGPGHGISVGSLGKNGEYAKVEDIYVNNCTFTRTSNGARIKTWE >CAK8576275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:442583741:442584391:-1 gene:gene-LATHSAT_LOCUS28477 transcript:rna-LATHSAT_LOCUS28477 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSAKKKMVVKSTKKVVEESVQVSVISSTKRLTRRNKDIQTEDEEKEQVIRVIPVHEAHEAHETKGDDSTSSTTTTTTENKNSDQQKEQNRNINLIKEEVKKNKTQKMSNNNGKKKRKKSVEGYQRYVYKVLKQVHPEMGISSKSMVILNNFMNDMFERVACEASKLKDYTGNMTLSSREIQGAVKLVLPGELGKHAIAEGIKAVNNYTSYGSA >CAK8573052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580305180:580305960:1 gene:gene-LATHSAT_LOCUS25545 transcript:rna-LATHSAT_LOCUS25545 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFEHSKFLQFVKEEWSSFCVTGTATFIFTKKLQLLKERLRWWNSNVFGVLNLNVEKEVDILNEIEKIMADDQNSITEAVSLLVKRACQVGSLDAFKINDDDEFSLLQYADDTILIGQGSWSNLWAMKAIIMGFEMISGLLVGGNQRRIAFWNPVIECVKARLSSWKGRLLSIGGRVSFINSVLTNLPIHYFSFLQNSKESRTNSGGATETFLMGRFKN >CAK8541361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:136028156:136028795:1 gene:gene-LATHSAT_LOCUS10286 transcript:rna-LATHSAT_LOCUS10286 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSYVESRFLLTIPCEFGKRHFDMEKKIGNIYFQVLDDERVWPARYAIRMTERRIMFEVTRGWTKFSKNYNLKVGDVCNFELILKTNMTFQVHIFRKTNEDNTDRPTSAKTSQQKNKATQG >CAK8570045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21752432:21752833:-1 gene:gene-LATHSAT_LOCUS22832 transcript:rna-LATHSAT_LOCUS22832 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTMKEWYHNLGDFKQDELHHLQTTASVLEHLHREFISDMEIFDRKSRQEFFDMKFCSLKIKDLDKHYHRMAQRYYVLNGYNDPSLKNTYVSSLPQELQPEIHKMLATTQKDIKTMSLSQIHQVTLEALEKL >CAK8561483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241127713:241129266:1 gene:gene-LATHSAT_LOCUS15070 transcript:rna-LATHSAT_LOCUS15070 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPDRLLSVHIMHTTLVAGWAGPMALYELAVFDPSDPVLDPMWRQGMFVIPFMTHLGITNSWGGWNITGGTITNSGIWSYEGVATTHIVFLGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVHPPQRLYKGLRMGNIETVLSSSITAVFFADFVVVGTMWYGSATTPIELFGPTCYQWDQGYFQQEIYRRVGSGLVENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKLDGVFRSSPRGWFTFGHASFAMLFFFEHIWHDARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKKQGVPLCCYLIES >CAK8532736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:507720148:507723632:-1 gene:gene-LATHSAT_LOCUS2412 transcript:rna-LATHSAT_LOCUS2412 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTFELLKGCETHEEIMKVLAAVAVDLGDVIDDVSVVEVNPLKGAMTNEVFEVNWPTKSDGHLRRVLVRLYGEGVEVFFDRDDEIQTFECMSKNGQGPRLLGRFTTGRVEEFIHARTLSAADLRDPEISSLIASKMKEFHKLHMPGAKKAQIWQRMRKWLSHAKSLCSQKDIKIFGLDNLDAELSSLRELLSDGYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYSSYNPVAYDLANHFCEMAADYHSDTPHVLDYTKYPDLEERRRFIYTYLSSEGEKPSEDEVEQLVNVVEKYTLANHLFWGLWGLISNYVNTIDFDYKEYSRQRFQQYWLKKAILLT >CAK8575246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:58554072:58559283:1 gene:gene-LATHSAT_LOCUS27525 transcript:rna-LATHSAT_LOCUS27525 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEEEERYEGNGAGGGGGEGGGEGGGGEDLDTTHPYPSSASSPQPLDHPVSKSRHESRDYDRESSRSREKEREKDRKRDKERHRDRDRERDRGDGEKERDPHNRDYRHRDRKDRERGRDRDRDRDDGDSHRSRDRDRDHDRRGRGDYDREERHKRRSRSISPSKDRSEHGTRSRSRSKSKRVSGFDLAPPASAMLAGASTVTGQITAPNPTIPGVLQNMFPMATSQMQQFSALPMMPIQAMTQQATRHARRVYVGGLPPTANEQSVAIFFSQVMAKIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESILMHAQQQIALQKLIFQPALVATKVVCLTNAVSPDELKEDEDFEEIIEDMRQECSKFGTLVNVVIPRPQPDGDLSGGVGKVFLEYVDIEGATKARTGLNGRKFGGNEVIAVFYQENKFAQGDYEG >CAK8568933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:656127356:656127963:1 gene:gene-LATHSAT_LOCUS21840 transcript:rna-LATHSAT_LOCUS21840 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPMKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8564615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2531725:2535486:-1 gene:gene-LATHSAT_LOCUS17902 transcript:rna-LATHSAT_LOCUS17902 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQFQREKLFTLSNSVKLLLEKIGSTELIDKTELVENFRKMKLDVSLLTKLKRRLTRIVVLLNDDADFKEWFDMVSYAVFELETLFDEINTEALRRKVEAEYKTLTLSPSQVIKNAIYSPFKRFNKAIKSKLLKLIERLDLLSSGSGQKGKLGVSNSGGVWLENPENSDVVEESDIYGRDNDISKLRSFLLSEEDASGGDRKIRGISIVGMGGIGKTTLAKLLYNDPQVKEKFGLRGWAVVSKDFVVFKVLEAILNSITSETIRNDEVNREVIEFANTKRNDPDNLYANLILLMFKKILSDNLFLLVLDNVWDAESVNWTHLMDIFSVGEMGSRIIITTRDERISPSMETFFSIHYLSPLKSEDCWFLLARNAFGACKDQQQSNLEEIGKEIAKKCYGIPFLAVALGDFLRTKLSPDDWNYVLQSNIWELTDHDMQPFLQLSYNYLPTPLKRCFAYCSIFPKKSIIEKNMVVQLWIAEGLVDSSTDPEKVGEEYFDVLVSRSLIHRRSISEEEATFEMHDLIHDLATEVSSPYCINLDDHNLHDMVHNLSYNRGMYDSHDKFEKLYGLKSLRTFLALPLQEQLPLCLLSNKVVHDLVPTMKQLRALSLSNYKSIAEVPNSIGNLLYLQYLNLSHTKIESLPSETCKLYNLQFLLVVGCKRLTELPEDIGKLVNLRHLDVSDTALTEMTVQIAKLENLYTLSDFVVSKHNGGLNIADLGKLPHLHGKLSISQLHNVNDLSEVDQANIKMKEQIDKLIFEWDYGSTFPDSQIKSVILDHLQPSTNLKSITIKGYGGINFPNWLGDSLYRNMVYLKISNCDDCLWLPPLGQLGNLKELIIEGMQSVGTIGIEFYGSGGSSFQPFPSLENLHFENMQEWEEWDLIGGTTTTFPSLKTLSLSKCPKLIVGNIADKFPSLTELELRECPLLVQSMPLSDHVFRQLMFPLNSLKQLTIDGIPSSMFFPTDGLPKTLKFLIISNCENLEFLPHEYLSNYTSLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSILIAEDASLKSLSFLRSIKIWDCTELKSFPPGGLATPNLVYFAVWKCEKLPSLPEAMHTLTNLQEMEFDNLPNLQSFVIDDLPSSLQELTVGSVGGIMWNTKPTWEHLTCLSVLRINDDNTVTTLMGPFLPSSLVTLCICGLSDTSFDGKWLQHINSLQNLEIVNAPKLKTLPKKGLPSSLSVLSMTRCPLLEASLRKKRGREWRKVAHIPAIIIDEELIT >CAK8569277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690905720:690905965:1 gene:gene-LATHSAT_LOCUS22151 transcript:rna-LATHSAT_LOCUS22151 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKRGRGRPKATVPPSPETLTNLKTPERESSNTIVVGQTSENTSRTTIGEDKAMTNTLIWVNKETLTKPIQPQPEERKP >CAK8571668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458532661:458534937:-1 gene:gene-LATHSAT_LOCUS24308 transcript:rna-LATHSAT_LOCUS24308-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQLHPHPHPLPRFLNPYHHSTLPFTFSISFFSNSNFKFPTLCTTPSSTAHHPLPPNFSSSQLLHLLRRQNDQPSFIQTFQLASNHPNFNPELSFYNELLLHLTQTSSFDSITTVLKQMKSSGFVPKANSFATLIHGFSHFQDIELVLHIMENELGFKPDTKFYNLALNALVEDNKLKLVEMLHSKMVSEGVPLNVSTFNVLIKALCKARQLRPAILMLEDMANHGGLKPDEITFTTLMQGFIQEGDLNGALRITKQMLEYGCLLTHVSVNVLVNGFCEQGRIEEALRFIHGVCEEGFFPNQVNFSTLVKGLCRNGNVNAALEIVDFMTENRFGPDVYTYNSLISGMCRSGEFDKAIDILQQMVLRDCSPNTATYNTLISALCKENEIEAATELARILVTKGMLPTVCIFNTLIHGLCLTKNQEIALELFEDMKKNGCQPDEFTYSILIKSQCSERRLKEALMLLKEMELSGCVRNVVVYSTLIDGLCKSRRVEEAKEIFDQMELLGVSRNSVTYNTLIDGLCKNERVEEASQLMDQMIMEGLKPDKFTYNSLLTYFCRVGDIEKAADIVQTMKSNGCDRDIVTYSTLIGGLCKAGRPEVAIKLLGSIQMEGIVLTPRVYNPVIQALFTRKRTKEGMRLFREMIEKSDPPDAVTYKIVFRGLCNGGGPIQEAVDFTVEMLEKGIQPEFPSFSFLAERLCSLSMEETLIELINLVMERAKLSERETSMIRGFLKIRKFNDALANLGGILNRQNPRRY >CAK8571667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458532661:458534964:-1 gene:gene-LATHSAT_LOCUS24308 transcript:rna-LATHSAT_LOCUS24308 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVCNIVSMKLSQLHPHPHPLPRFLNPYHHSTLPFTFSISFFSNSNFKFPTLCTTPSSTAHHPLPPNFSSSQLLHLLRRQNDQPSFIQTFQLASNHPNFNPELSFYNELLLHLTQTSSFDSITTVLKQMKSSGFVPKANSFATLIHGFSHFQDIELVLHIMENELGFKPDTKFYNLALNALVEDNKLKLVEMLHSKMVSEGVPLNVSTFNVLIKALCKARQLRPAILMLEDMANHGGLKPDEITFTTLMQGFIQEGDLNGALRITKQMLEYGCLLTHVSVNVLVNGFCEQGRIEEALRFIHGVCEEGFFPNQVNFSTLVKGLCRNGNVNAALEIVDFMTENRFGPDVYTYNSLISGMCRSGEFDKAIDILQQMVLRDCSPNTATYNTLISALCKENEIEAATELARILVTKGMLPTVCIFNTLIHGLCLTKNQEIALELFEDMKKNGCQPDEFTYSILIKSQCSERRLKEALMLLKEMELSGCVRNVVVYSTLIDGLCKSRRVEEAKEIFDQMELLGVSRNSVTYNTLIDGLCKNERVEEASQLMDQMIMEGLKPDKFTYNSLLTYFCRVGDIEKAADIVQTMKSNGCDRDIVTYSTLIGGLCKAGRPEVAIKLLGSIQMEGIVLTPRVYNPVIQALFTRKRTKEGMRLFREMIEKSDPPDAVTYKIVFRGLCNGGGPIQEAVDFTVEMLEKGIQPEFPSFSFLAERLCSLSMEETLIELINLVMERAKLSERETSMIRGFLKIRKFNDALANLGGILNRQNPRRY >CAK8570984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:220193212:220201991:-1 gene:gene-LATHSAT_LOCUS23687 transcript:rna-LATHSAT_LOCUS23687 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLKDFELKDKDRSIEALSRWRSAVSLVKNPRRRFRNVADLAKRAVALERQRKIQGKFRAVINVQRAALHFTDAIASPEFKVSEKTREAGFGIEPDDIASVVRSHDYKNLTKVGEVQGITSKLSVSVDEGVHQDSIHSRQEIYGLNCYTEKPSKSFLMFVWDALHDLTLIILIVCALVSIGIGLPTEGWPKGVYDGLGIILSIFLVVAVTAISDYQQSLQFRDLDKEKKKINVHVTRDGKRRKISIYDLVVGDIVHLSTGDQVPADGIFIHGYSLLIDESSLSGESEPVNIDGRRPFLLSGTKVQDGQGKMIVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATVIGKIGLTFAVLTFLVLTVRFVIEKAVQGDFSSWSSEDALKLLDYFAIAVTIIVVAIPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVDKIWICEKTTEIKGDESTDKLKSEISDEVLSILLQAIFQNTSSEVVKDKEGKQTILGTPTESAILEFGLVSGGDFDAQRKSCKILKVEPFNSDRKRMSVLVGLPDGRVRAFCKGASEIVLKMCDKIIDNNGATVDLPQEKAKFVNDIIDGFANEALRTLCLAVKDIDETQGENNIPENGYTLIAIVGIKDPVRPGVKEAVQKCLAAGISVRMVTGDNINTAKAIARECGILTEGGIAIEGPEFRNLSPEQMKDIIPRIQVMARSLPLDKHTLVTCLRNMFGEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIIMDDNFTTIVKVAKWGRAIYINIQKFVQFQLTVNVVALITNFVSACITGAAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMERQPVGRKASFITKPMWRNIFGQSLYQLIVLGVLNFEGKRLLGLSGPDSTSVLNTLIFNSFVLCQVFNEINSREIEKINIFKGMFDSWIFLSVILATAVFQVIIVEFLGTFASTVPLTWQFWLLSVLFGVLSMPLAVILKCIPVERATTTKHHDGYEALPSGPDLA >CAK8534970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798281798:798282236:-1 gene:gene-LATHSAT_LOCUS4460 transcript:rna-LATHSAT_LOCUS4460 gene_biotype:protein_coding transcript_biotype:protein_coding MALYAASFIQMPLLRTTYYKSSPTKSMTIIITCGNHKYKAKRVDRSRNGGYGPGPQTTSIKLQSTTTTRNLQLDNIYATNTLSTVNDEDDHNTNPKRITNIDNHYEI >CAK8531919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:176720578:176723201:1 gene:gene-LATHSAT_LOCUS1676 transcript:rna-LATHSAT_LOCUS1676 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPQHFQPLSHHNQLTVKMNNTVKRREMKNPKEEEKLQVMDLSGMSLDSLPKSSLHLAIISKLDLSNNNLKNIPESLTARLLNLEMLDVHSNQLRSLPNSIGCLSKLQILNVSGNFIESLPATIDNCRALEELNVNFNMLSKLPDTIGFELIRLKKLSVNSNKLVFLPSSTSHLTALKVLDARLNCLRSLPDNLENLINLEILNVSQNFQYLESLPYSIGLLLSLVELDISYNSIKTLPDSIGCLQKLQKLSVEGNPLSSPPPEVVEQGLHVVKEYMRHKMNSRDNVSTKKRWWMVKCGTFSGHNRNRKQTEHKGFSMLQYQPMNGLATPGFMGKLSPLRLFSPRRSFN >CAK8567702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537324063:537325714:-1 gene:gene-LATHSAT_LOCUS20729 transcript:rna-LATHSAT_LOCUS20729 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATHFNIQTNFLPSSPSPTRRLSVLSPSPQISSKTNILPSTTFFKGEPTKQFLKFNYAAPPPTTTTKHRVVRMSWDGPLSSVKLIIQGKNMELNDAVKQHIKDKVGRAVQKHSYIVREVDVRLSTRGGGEFGPGPRTRRCEVTLYTKRHGVVRAEEDAETTYGSIDLVSSIIQRKLRKIKEKETDHGRHMKGYNRLKVREPILPLPLEDEEDEIEISPQKEEEEQLIEEVIRTKYFDMPPLTVFEAIDQLENVHHDFYAFRNEETGEINIIYKRKEGGYGLIIPKGDGEADKLEPIVLDLAKEPSVQE >CAK8565808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337419353:337420597:1 gene:gene-LATHSAT_LOCUS18989 transcript:rna-LATHSAT_LOCUS18989 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVLPDELISEVLSSLPVKSILRFKCVCKSWEFLDSDPFFIEKQLQQSQKRNMHLALILCKNSCTASYYYDRDSSVVPFPLNRLLHNPSITITVLPSYRLNYKRCFRVIGSINGLLCLFDSYITTSTPYGSRDRNTSFRLWNPATKKISQFLGNLTHPDSHAYYSHEDRSNYLRFAFGYDKSTDTYKVVAYCPNQVKIFTFGDNVWKNIQCFPIVPFYHVAIVRHTNQRVNEGVYLNGTVNWLAIPNSEYFWRKYEAEDIPRIDQFVIVSLDLAMETYLHLLPPSGLVEVPSILPTIAVLRDCLCFSYHIESTHFVIWMMMEFGDQESWTQFLKISYDDLQIDYDSRRYNYDYMMYPLCLSEDGETIVLANSHEEQAFLYNWRDNRVEKTRITNNVLWMFSLNYIESLVTTG >CAK8566694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453194112:453196013:-1 gene:gene-LATHSAT_LOCUS19806 transcript:rna-LATHSAT_LOCUS19806 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTTHQILLLFSFLSLLFSIHAKLHLHPSDAKALATLRNNLGLKTNATDHPCNTEGVFCEKRLTNNESYSLRVTKLVFNSKKLRGTLSPIIGKFTELKELSLSHNKLVDRIPSPIVDCRKLEILNLGDNLFSGEVPSEFSSLVRLRFLDISGNKLSGDLNFLRYFPNLETLSVANNHFTGRVPASVRSFRNLRQFNFSGNRFLEALPLNQKLLEYDNTNTNTANKRYILAENDNSNSKSNGTRISRSHSPAPAPGPAAVDPHHKHKKNRRKLTGWILGFVAGAFAGILSGFVFSLLFKLALIVIKGKGKGSGPAIYSSLIKKAEDLAFLEKEDGLASMELIGSGGCGEVYKAELPGSNGKMIAIKKIIQPSKDAAELAEEDNSKLLHKKMRQIKSEIDTVGQIRHRNLLPLLAHVSRPDCHYLVYEFMKNGSLQDMLNKVESGEAELDWLTRHRIALGIAEGLEYLHTSHSPRIIHRDLKPANILLDDDMEARIADFGLAKVMPDAQTHITTSNVAGTVGYIAPEYHQILKFSDKCDIYSFGVMLGVLVIGKLPSDEFFQNTDEMSLVKWMRNVMTSENPKDAIDPKLIGNGYEEQMLLVLKIACFCTMDTPKERPDSKNVRIMLFQIKH >CAK8533081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575884529:575885897:1 gene:gene-LATHSAT_LOCUS2731 transcript:rna-LATHSAT_LOCUS2731 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >CAK8543954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651061599:651063062:-1 gene:gene-LATHSAT_LOCUS12674 transcript:rna-LATHSAT_LOCUS12674 gene_biotype:protein_coding transcript_biotype:protein_coding MESQESQLHFVLFPLMSPGHMLPMIDIATTLAQQNNIIVTIVTTPHNASRLSLSSHKIRLLQLQFPSQDAGFPEGCENFDMLPSMSMAYTFFAAANTLLQEQAERAFETLTPKPNCIISDVGFYYTSQIANKFGIPRISFYGVSCFCLVWQQKVIISNVMEKIAKDSEYFLIPDIPHKIQITKAQPPSTSNDGNWKEFLVKMAAADMASYGLIVNSFEELEPEYASDLKKSRNGKVWCVGPVSLRNKNHLDIAQRGKNNKVASSIDVENCLNWLDLQESNSVIYICLGSICNLTSLQFIEIGMALEACERPFIWVIRERNQTEELNNWIKESSFEERTKEKGFLIKGWAPQVLILSHCAIGGFLTHCGWNSTLEAICAGVPMITWPLFGDQFFNERFVVEVLRVGVMVGAESPVNWGEEENVGVLVKKEDVEKAIEKLMDDASCEKEERRKRAKELAEMAKRCVGEGGSSQLNVTLLIQDILQLSTK >CAK8577835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592694156:592695509:1 gene:gene-LATHSAT_LOCUS29904 transcript:rna-LATHSAT_LOCUS29904 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKNGLKRGPWTQEEDQKLTDYIHKHGYGNWRLLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHNPRLDLLDLSSILNSSLYASASLMNPEILKLAASLFSSQNGQENHHQIDQNQQIISHLVQTQIQDSIHQETYNKLLNPLCDNSVPFTQSHLVESNENLYSSSFLPEFGFQQQHERVHLNDLHCNGTASSTITDDFVHQLPSYDYHGNGLIMEPHISETSTFHSNNTNQNFNFASVLSTPSSSPVRLNSNSTYINGTSIEDETESYVSNNMFEFQISDILGVNEFM >CAK8544210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671905492:671905836:1 gene:gene-LATHSAT_LOCUS12909 transcript:rna-LATHSAT_LOCUS12909 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFACKNLQIIWEELQHFSFDLTWLKPHVDSALGMRSYLMKLEEAKKLKDNVIALELEMETHKDTLVALDLEMRRVTSRINTLELNLDAARDLLIAEELEEVDLEAELGFVKS >CAK8534315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:715628743:715629045:1 gene:gene-LATHSAT_LOCUS3867 transcript:rna-LATHSAT_LOCUS3867 gene_biotype:protein_coding transcript_biotype:protein_coding MEESESITDFFTRVTKLVNLIKVCEGVLTSRSFVAKILRSLAPKFDHVVVAIEESKDLSTLTKEEFQRMLESHEQRMTERAAGKSKSDMALQAQLENEIF >CAK8543384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604195255:604196518:1 gene:gene-LATHSAT_LOCUS12143 transcript:rna-LATHSAT_LOCUS12143-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIASYSHFVYKKLHHRDEHCISSFVSGKDSLLWRKSCFGNILIENRNRKREEKKIGRRDFRVKSTWPDFSRPSTVEMEVINDSEQLDQILVHAQQYSEPVVIDWMAAWCRKCIYLKPKLEKLAAEFDTKTKFYYVDVNKVPQSLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVIEEVREMIQKYI >CAK8543385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604195255:604196518:1 gene:gene-LATHSAT_LOCUS12143 transcript:rna-LATHSAT_LOCUS12143 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIASYSHFVYKKLHHRDEHCISSFVSGKDSLLWRKSCFGNILIENRNRKREEKKIGRRDFRVKSTWPDFSRPSTVEMEVINDSEQLDQILVHAQQYSEPVVIDWMAAWCRKCIYLKPKLEKLAAEFDTKTKFYYVDVNKVPQSLVKRGNISKMPTIQLNCLQLWKDGEMKAEVIGGHKAWLVIEEVREMIQKYI >CAK8572752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:560175570:560177554:-1 gene:gene-LATHSAT_LOCUS25280 transcript:rna-LATHSAT_LOCUS25280 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEQISSSRDSLNNLNSLLSSLTPFVDVKFSSESESKGSKTSDSGEKSYFVLEDLWESFKKWSAYGVEVKFNLNSDEPVLQYFVPYLSAIQLYAADEELDQKSSEKTGDSVSSKETTHKVLYEYFETHSPYVRLPLTKKVSDLAKEDPCIKNLKSSEISPRSWFSVAWYPIYRIPNGPTLKDIEASFLTYHKLSTQFKRKTRPEISDSDKKLLKTRLRVFGLTTYKVKGSILPFPAASESPRLNSLLKAADDWLENLKVSHCDHYHFVKTGKQWVD >CAK8575939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:368333140:368333472:1 gene:gene-LATHSAT_LOCUS28164 transcript:rna-LATHSAT_LOCUS28164 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASQSSQSASSAATTRPGVMAPRGSAAATAGMRRRRLAGGNTTSSSSAAGASSGGNNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSAAGAAA >CAK8577878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595342164:595347175:1 gene:gene-LATHSAT_LOCUS29945 transcript:rna-LATHSAT_LOCUS29945 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRNQNRPPRNHLNKKDSAEEIEAEKTGRTREPLTAVVNNASTSNSDGKESNTIEFTKEDIEALLNEKMKRGTTSLDYKKKMDQMVDLIKRLKICVRWCFNENEKLHSEVEFAVKKCCDNEIEMKNKTEELSDTISDMRKKISSLEEINVKEKSEKLVAIEDNMKLQQCNGSLQSDLKSANEAYRRLDIEKTIIVESLNATRDLNKELQDRLKSLKDSHSEAIKHKEMLANEITCLREELKKIMDDRNRLQGQLNAIMLEREKYIKFKYETYSKLDKLASKTEALENTCSSQKEKITLLEKKLCDEREKLEMADLSALKTREMFEEKKNIIRELQDQLADKEFQVVEAEKLRKKLHNTILELKGNIRVFCRVRPLLREDRSETNMVVSYPTSIEMLGRGIELAQNAGQKHLFTFDKVFNHDASQHDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMVGRPDTPDLKGMIPLSLEQIFQTSQSLKDQGWNYKMQVSVLEIYNETIKDLLSNRSSGFDQTRAENSVHGKQYSIRHDKNGNTHVLDLTIVDVCSAAEISSLLQKAAQIRSMGRTQMNEQSSRSHFVVTLRICGINENTEQQVQGVLNLIDLAGSERLSRSGATGDRLKEAQAINQSLSCLSNVIFALAKKEDHVPFRDSKLTHLLQPSLEGDSKTLMFVNISPDQSSIDESLCSLRFAARVNAVKLGSQSLRPKHTHCV >CAK8577877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595342164:595347175:1 gene:gene-LATHSAT_LOCUS29945 transcript:rna-LATHSAT_LOCUS29945-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRNQNRPPRNHLNKKDSAEEIEAEKTGRTREPLTAVVNNASTSNSDGKESNTIEFTKEDIEALLNEKMKRGTTSLDYKKKMDQMVDLIKRLKICVRWCFNENEKLHSEVEFAVKKCCDNEIEMKNKTEELSDTISDMRKKISSLEEINVKEKSEKLVAIEDNMKLQQCNGSLQSDLKSANEAYRRLDIEKTIIVESLNATRDLNKELQDRLKSLKDSHSEAIKHKEMLANEITCLREELKKIMDDRNRLQGQLNAIMLEREKYIKFKYETYSKLDKLASKTEALENTCSSQKEKITLLEKKLCDEREKLEMADLSALKTREMFEEKKNIIRELQDQLADKEFQVVEAEKLRKKLHNTILELKGNIRVFCRVRPLLREDRSETNMVVSYPTSIEMLGRGIELAQNGQKHLFTFDKVFNHDASQHDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMVGRPDTPDLKGMIPLSLEQIFQTSQSLKDQGWNYKMQVSVLEIYNETIKDLLSNRSSGFDQTRAENSVHGKQYSIRHDKNGNTHVLDLTIVDVCSAAEISSLLQKAAQIRSMGRTQMNEQSSRSHFVVTLRICGINENTEQQVQGVLNLIDLAGSERLSRSGATGDRLKEAQAINQSLSCLSNVIFALAKKEDHVPFRDSKLTHLLQPSLEGDSKTLMFVNISPDQSSIDESLCSLRFAARVNAVKLGSQSLRPKHTHCV >CAK8575864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:343177887:343179338:-1 gene:gene-LATHSAT_LOCUS28092 transcript:rna-LATHSAT_LOCUS28092 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSIGATQWLRLIYAIALCIIAFSTFAIADDDKPYYGGQYPYNYPQPPYSYKPPPYNNYQSPPPYAYKFPPYYYQSPPPYAYKFPPYYYQSPPPYENKFSPYNYQSPLSYDNKYPPYYYKSPPSPYVEKFPPYYNKSPPLPSPSPPPPYVYKSLPPPPYIYKSPLPPPYVYNSPPPPYVYQSPPPPPYIYKSPPPPSPPPPYVYKSPPPPPYVYNSPPPPYVYSSPPPPPYVYKSPPQPSPSSPYVYKSPPPPPSVYNSPPPPYVYSSPPPLPYVYKSPPPPPYVYNSPPPPYVYQSPPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYISPPPPYVYNSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPSQPPPYVYKFPPPPYPSPPPPYVYKSPPPPYIYKSSPSPYVYESPPYIYKTPTLPPYVYKSPPASYIDAPPSRPSYSAPPPYIYKSPPTLYNPYLYSSPPPPGY >CAK8530616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35867668:35870416:1 gene:gene-LATHSAT_LOCUS468 transcript:rna-LATHSAT_LOCUS468 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLKLGTLALKTLSKPVASRLKQQAAIHPRFRQLIVDMAQSNHQITTKMQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAGLLLIFEVQRSARSEARKEEQRRKDLEAMKQRNDELAEEVELLKHRFEELEQLARGRGLTGILNFKNIISSKENGKTEKTA >CAK8571177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:323088599:323096775:-1 gene:gene-LATHSAT_LOCUS23866 transcript:rna-LATHSAT_LOCUS23866 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVKRWVVDISKWDPLPHQFSFALSLLPSQQHSSVTRFFKTQDQKRALISRMLQYALLHHFIKINNPFLFTIKTTSQGKPFLDLLDYDKLGITFPNFNFNVSHHGDYVAIASEPLCLVGIDIVSFDIPHGETVADFIHSFESYFSTLEWDNILNAATSNHVLIKFYRYWSLKEAYVKAMGSGLVEGLNKVEFSHTNWTNISATMDGKLMDLWRFWLIELGERHCVAIARGPPKSADTSYISTLKKVDFTEDEYSTGLHLPNVDFVELSVEQLVLILQKAFSCENRG >CAK8563942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640790214:640791803:1 gene:gene-LATHSAT_LOCUS17296 transcript:rna-LATHSAT_LOCUS17296 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVETGAILLATLTLALLHLIPAIPTSSEFNRHHEITLLDKHITAAEYGARVVRVRKDGTGDFRTVTDAVKSIPSGNKIRVVVWIGMGEYREKITVDKSKGFVTFYGERNGNDNNMPIITYDATALHYGTVDSATVAVDADYFVAVNVAFVNSSPMPNENSVGGQALAMRISGDKAAFYNCKFIGYQDTLCDDKGRHFFKDCFIQGTYDFIFGNGKSIYLRTTIESVAKGLNVITAQGRENMSEDTGFTFVHCKVTGKGYRNTYLGRGWRRSPRVIFAYTYMDSVVNSVGWFNHYESNETIYFGEYKCIGPGAASSAGRLNYQRILSDEEAKPFLSMAYIQGDEWVRPPPKLL >CAK8575535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:155982685:155985906:1 gene:gene-LATHSAT_LOCUS27792 transcript:rna-LATHSAT_LOCUS27792 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSFFIKASRALTTHPFSSNFLLLCTISGGGVVAISESQSEGGGVVALSESQSEAERPIVEDHEPRKKKVVVLGTGWAATSFLKGLDASLYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRKGEIKFWEAECVKIDAAGKKVFCRSNIETLVGSGKFSLDYDFLVVSVGAQVNTFNTPGVKENCHFLKDVEDAQKIRLSVIDCLEKAVLPSQSEEEQRSNLHFVIVGGGPTGVEFAAELHDFIQEDLIKLYPTVKDKVKITLIQSGDHILNMFDERISSFAEQKFKRDGIEVQTGCRVLSVNDKEITMKVKSTGAVSSVPHGLIIWSTGISTLPVIKDFMEEIGQTKRHVLATDEWLRVNGCEDVFAIGDCSSIKQRKIMDDILDIFKAADKNNSGTLTVEEFKEVMDDIILRYPQVEYYLQKKHILDLRVLWNDPEGNEREEIDIEGFKLALSVADSQVKTLPATAQVAAQQGAYLASCFNRNDQCEKHPEGPRRFTESGHHRFLPFRYKHFGQFAPLGGEQAAAELPGDWVSIGHSTQWLWYSVYASKQVSWRTRYLVVSDWTRRFVFGRDSSRV >CAK8577237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546559220:546561712:1 gene:gene-LATHSAT_LOCUS29362 transcript:rna-LATHSAT_LOCUS29362 gene_biotype:protein_coding transcript_biotype:protein_coding MDILSFMTIMIAYMIVPIIATSSISVSESLSDGETLVSKGGQFELGFFRPGNSTRRYLGIWYKQLPIQKVVWVANRATAVNNTFGILTLSTTGNLILRQNETFVWSTTSDKQAQKPIAELLDSGNLVIRNQVETYPEGGTYLWQSFDYPCDTILPGMKLGWDLRNDFERRITSWKSPDDPSPGDLSWGLVLHNYPEFYLMNGKEKYCRIGPWNGLQFSGFSNRKQNSVYGFKYVANNDLNYVSNKDEMFYSFTLKNSSAYVSATIYQTLFTISVWEKNYTNWLIIEYTPMDQCEMYATCGPYASCSITYAPPCKCLNGFIPKSPQQWAINNWTQGCVRNISLSCNNPQVEVDDMLVKYMGVKVPDTTHTLLYENIDLELCRTMCLNNCTCTAYTNSDISGNGSGCVIWFGDLIDIRQFDTGGQDLYIRIAQEVIKASNRRNKSMITIATTTAAAISGMLLFCVYVIYKVRRRIADKSRTKDNIEKHLEDIDLPLFNLQTIVIATSNFSLNNKIGQGGFGSVYKGKLEDGQEIAVKRLSTNSGQGITEFLTEVKLIAKLQHRNLVKLLGCCVGGQEKLLVYEYMANGSLCE >CAK8578118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608144778:608150879:-1 gene:gene-LATHSAT_LOCUS30157 transcript:rna-LATHSAT_LOCUS30157 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPEFSKDQRQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRSSNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMINQRPLFPGDSEIDELFKIFRITGTPNEDTWPGVTSLPDFKSAFPKWPSKDLATLVPSLEPSGLDLLSSMLRLDPSRRITARGALDHEYFKDIKFVP >CAK8534876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779995623:780011598:-1 gene:gene-LATHSAT_LOCUS4381 transcript:rna-LATHSAT_LOCUS4381 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVLAEPIHFEQGESSNARQNHHEYDEYEYDNTRAITANSVTSSINGDYAEQLQPGPFDSERLPTVFASEIQRFLRVANLIEKDEPRVAYLCRVHAFVIAHNLDKNSSGRGVRQFKTSLLHRLEQDENATKRGGIGDIRELKRVYHVYRDYFTRNNKAFDLEQSHREKLINARIIASVLFEVLKTVTITPSNQALTEGNAIHKKTEFNILPLEQGGIQHAIMQQPEIKAAIAVIRNVRGLPSAQDFKKHGAFVDLFDFLQHCFGFQEANVANQREHLILLLANMQTRQTHNQTSALKLGEGGVDELMRKFFKNYTTWCKFLERKSNIRLPYVKQEAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMSYELHGVLSGDISFTTWEKVMPAYGGEPESFLNNVVTPIYKVIEKEVRNSKGGTTDHSAWRNYDDLNEYFWSPDCFGIGWPMRQEDHDFFFVKTKDKPNFKNALVVSTDQKKEKKKSKKEEKKVEEDAQETVDENQEKRERQWLGKTNFVEIRSFWQIYRSFDRMWNFYILFLQAIIIIACHDLGSPLQLFDATVFEDIISIFITSAILKLIQAISDIAFTWKTRYTMEHSQKVKHMVKLVFAIIWNIILPVCYANSRGKYTCYSTKYGSLVEEWCFTSYMVASAIYLTSNAAEVVLFFVPAMGKYIEVSNNKICRVLAWWIQPRIYVGRGMQEDQVSVLKYTVFWILVLSCKFLFSYSFEIKPLIEPTRQIMKIGVRKYEWHELFPRVKNNAGAIVAVWSPVVMIYFMDTQIWYSVFCTIVGGVYGVLHHLGEIRTQGMLRSRFDNLPAAFDVCLNPPSSKRGKKRRKGFLSNIFQKLPDEKNAIAKFVVIWNQIINHLRLEDLISNREMDLMMMPVSSELFSRKVLWPIFLLANKFSTALTIAKDFEGKEEILAKRITKDKYMFYAVRECYQSLKYVLEILIVGSQEKRIIRDILSEIEKSIEETTLLKNFKMKVLPALHEKVIELAELLIEGDKDNRHKVSKALLDLFELVTNEMMDDSRTLDTSHFPEQNECGFVYFRDDDQLFSSEEINSESFPFSNESCIHFPLPEGPLMEKIKRFHLLITVKETAMDIPANLDARRRISFFATSLFTDMPDAPKVHSMMSFSVITPHYMEDINFSMKELGSEKEEDSIIFYMQKIYPDEWKNFLERMCCENRKSLEDENKLEELRLWASFRGQTLGRTVRGMMYYSEALRLQAFLDMAEDEDILEGYETAEKGNRALFSRLEALADMKYTYVISCQSFALQKARNDPRYKDTIDLMIRYPSLRVSYVEEKEEFVQGKPHKVYYSKLVKAVNGFEQTVYQIKLPGPPQLGEGKPENQNNAIIFTRGEALQTIDMNQDNYLEEALKMRNLLQEFLKRQGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRVFHITRGGISKASKTINLSEDVFAGFNCTLRRGCISYHEYLQIGKGRDVSLNSISKFEAKVANGNSEQTISRDIFRLGRQFDFFRMLSCYFTTIGFYFSSLISVLGIYVFLYGQLYLVLSGLERALVIEARIRNVQSLETALASQSFIQLGLLTGLPMMMEIGLERGFLTALKDFVLMQLQLAAVFFTFSLGTKTHYYGRTILHGGAKYRPTGRKVVFHASFTENYRLYSRSHFVKAFELMLLLIVYNMFRKSYQSSMTYVLITYAIWFMSLTWLCAPFLFNPAGFSWTKAVDDWKEWNKWIRQQGGLGIHQDKSWHSWWYDEQAHLRRSSLGSRFAEILLSLRFFIYQYGLVYHLDITQQSKNLLVYVFSWVVIFGIFILVKAVNIGRNQLSANHQLGFRFFKAILFVAVIAVIITLSIICELSVSDLFVCCMAFMPTAWGLIQIAQAVRPKIEHTGLWDFTRALAREFDYGMGIVLFGPIAILAWLPIIKAFHARILFNEAFKRHLQIQPLLSAKKKKHRP >CAK8567962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559482937:559484061:-1 gene:gene-LATHSAT_LOCUS20967 transcript:rna-LATHSAT_LOCUS20967 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRKALYGLKQAPRAWYSRIDQYFMDQGFRRSKSEPTLYIKSQDQYTLLLSLYVDDLIYTGNNTKMITEFKEDMMKTFEMTDLGLMSYFLGIKVSQRNEGIFISQKKYTEGLLKKFKMYGCKPVATPLITNEKLQKNDGAPEGDASKYQSLIGSLLYLTATRSDIMYATSLLSRFMQSPSQIHFGAGKRILRYLQGTKELGIWYSTETNSGLLGYTDSDWAGSVDDMKSTSGYAFSLGSGIFSWASKKQATIAQSTAEAEYVAATEATSQAIWLRRILEDMGEKQDQPTKINCDNKSAITMAKNPVHHSRTKHIAIKYHFIREAEATKEIKLDYCRTEDQIADIFTKALPRPRFEELRAMLGVKEICIKEEC >CAK8543726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:634869323:634873869:-1 gene:gene-LATHSAT_LOCUS12466 transcript:rna-LATHSAT_LOCUS12466 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMNNRAVHNDDKPFPGCLGRMVNLFDLTTATTVNGNKLLTDKPHRDHAASLSRSQSDVSRIASPSFADQIEDRPIVSNLTRASSNKKINGTPIKMLMDQEMSKEVVSKHNPPNVVAKLMGLEALPLGKRNLPVERSPGGDCSQHICGHSGTSFNHRQLDDRFMDKEMLHEIHPSREQVAYKDIYEIWLQSQRTSNVNDKTPERGKWVEDVNEKKMAFIRQKFMEAKRLSTDERLRQSKEFDEALEVLSSNNDLLIRLLDSQNLYERQSTPLAETKRITVLKPSKMVDNEKLSRKGNNSDKHIKKPLNNDAAWERNSPGYSPASQKVDEFPVQPTRIVVLKPSSVRAHDIKALVSPMSSSPQNLQSGNFYHDPEDDDLLESRKVAEEITRQMHEDIRSYQRDETVYSPVFSTGYIGDDSSFYKSDHECTAGNFSDLEVMSPSPRHSWDFVNRCGSPYSSSSFSRASCSPESSVGREAKKRLSERWAMMASKKDLQEQRHIRRSSTLGEMLALSDIKKSLISEVEGINEEPEPRESVSCSKNSNDEEISADGSTKSLPRSKSVPVSSNVYESGLYNEACNNDAGKAHDSKELTKSKSMKSTFKGKVASFLFSRNKKSIREKSCLSHSIDESQSTIAETSVSTINSPDVFGNDVSQSFNSGSFEECSLPALCESSSKALSYPVSCRRGVISMEPEFTTSKPTVTGISSENQDQPSPISVLEPPFEDENAAHESLDCMKGGLLGSRVSLKSNLIDKSPPIESIARTLSWDGPCTEVASSYPIKSTSVSLDTKEEDQDLLVFVQKLLSAAGLDDQVQSDSFYSRWHSLESPLEPSLRDKYANLYDKEEVQPLHEAKRRQRRSNQKLVFDCVNVALIEITGYGSESSLIGKLWSGDHGRLQLSEGAPPLLVDLIVAQMKELTSSGIRSVWGDCGDTNSLVVETVVRKEVVGKGWVELMGIEMDILVKEVEGKLLEELVEDAVIDLTGRVV >CAK8573777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636309680:636313587:1 gene:gene-LATHSAT_LOCUS26183 transcript:rna-LATHSAT_LOCUS26183 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSKTNKHCICISSFHPFFLFILVNFITSTARNDDTFTPSCSLGYETTPNSVFQRNLKTLLSYLSSNATANKEFYNTTVIDKNNSSNTVYGLFMCNGDVPAHLCGQCVTNATSYNLSSYQNIDCSLSNEVTIMNDDCMVRYSNNSFITVDLSLHSESCHFIIMSNQATFKFSVSETLNGVADEAASSSIGVKKYATKEVALSEIQTIYSQAQCTPNLSPLDCRKCLNTTITDFLDICEYENNVIRAGYSRAYSCYIRTDVYPFYRPSNATTPQELIPASNTIDSKYSQQPSYLSHNCSSKTMNYDFQSHLTTLFSSLSSNAIKYSFFNMSVDTAHGLFMCRGDISLSPALCQLCVQDAIKRISSECPSSKEAVIWYDKCLLRYSYHSLHSDIDTAAPKFHQFNIANTSHLNMLQSFTNWKLADILSEVPNLETGDSSIKNYETRSEKLNDHQTIYTLAQCTPDLSDGKCRYCLQTIFENEIPWNSLASPEGRILYQSCYMMFGLSQFYNNGDEPEELGHVNPPPTKENEKRKTRTIIIVVPTILSTLLLTFSCYLLRKRARKSSYKTLILRKNFGHESTTLKGLQFEMTEIKTATNSFSHENKIGEGGFGQVYKGILSDGRHVAVKRLSSSSNQGIIEFKNEILLIAKLQHINLVALIGFCLEDQEKILIYEYVSNGSLDYFLFDTQQQCLNWDARYKIIEGTALGILYLHEYSRLKVIHRDLKPSNVLLDENMNPKISDFGMARMVQINQDRGHTNRIAGTWGYMPPEYAMHGQFSEKSDVFSFGVIILEIITGKRNISPCDPDHVAEGLTSYVWRQWKNETPSIVLDSKIENYSLIEVIKCIQIGLLCVQENPNVRPTMSTVVSYLNSHSPELPSPQEPAFFIHSRMNQEVTAQMESSSTNNFEAFSVNEMYISEFYPRR >CAK8530475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23472371:23475073:1 gene:gene-LATHSAT_LOCUS336 transcript:rna-LATHSAT_LOCUS336 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRVSTPEILTPSGQRSPRQGSKEAKSSNAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYSTFHNLNAGVGFQALVLPVAFAYLGWSWGIISLTVAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILVGGETMKLFFQIVCGPTCTSNPLTTVEWYLVFTSLSIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQQRPPSISYEPLSLASPSSSLFLALNALGIVAFSFRGHNLVLEIQATMPSTFKHPARVPMWKGAKVAYFFIAMCLFPMAIGGFWAYGNQMPNGGILTALYAFHSHDISRGILALTFLLVVFSCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKQPTKYSFSWYFNWILGWLGVAFSLAFSIGGIWSMVNDGLKLKFFKPN >CAK8531503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116923886:116931960:1 gene:gene-LATHSAT_LOCUS1291 transcript:rna-LATHSAT_LOCUS1291 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLTPKTYVFKLMNSSGVSESGESEKVLLLMESGVRLHTTVYMRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGENANYVILELYAQGNIILTDSSFTVMTLLRSHRDDDKGFAIMSRHRYPMESCRIFERTTTTKLQTALMSSKEGDNDEAVKSNGNGTDVSNVEREKQGSRKGGKSHATLKIVLGEALGYGPALSEHMILDAGLVPSEKVPKDKTWDDATVQALVQAVAKFEDWMQDIILGELVPEGYILMQNKNLGKDSSILQPESVKQIYDEFCPILLNQFKSRDHTKFETFDGALDEFYSKIESQRSEQQHKAKENSALQKLSKIRNDQENRVHTLRKEADHCVKMAELIEYNLEDVDAAILAVRVSLAKGMSWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTILLSNNLDEMDDDEKTLPADKVEVDLALSAHANARRWYEQKKKQESKQEKTITAHEKAFKAAERKTRLQLSQEKTVASISHMRKVHWFEKFNWFISSENYLIISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPLQPVPPLTLNQAGCFTVCHSQAWDSKMVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEETIDDYVETGPVEEQSDSESEKDVTDEKSAADSERNDNLSAGSLVLPTEDVLKDSSQNSLPTTINAKTDVSDDFSAKDSSTTNMLDSEKSSDIGRNGLASVSPQLEELIDRALGLGSVAKSNKSYAAENSQADLSSEHYIEPSKPAVRDKPYISKAERRKLKNEPKHGEEPSVEHAKDEVKVKDISGNLHAKDAQNLKTGGGKKISRGQKGKLKKIKEKYADQDEEERKIRMTLLASSGKPIKKEETSSGNEALDKGKKSDSGPIDAPKICYKCKKLGHLSRDCKEQSNDLLHSHATSEAEENPNTSASNTILEDRVAMEEDDIHEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCGPYNAVQSYKYRVKIIPGPVKKGKAAKTAMNLFSHISEATNREKELMKACTDPELVASIVGNVKITAAGLTQLKQKQKKGKKSAKQGS >CAK8575033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22791051:22793629:-1 gene:gene-LATHSAT_LOCUS27326 transcript:rna-LATHSAT_LOCUS27326 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSSDKQHQQQLSLGKNGRQRYNEWIFRDVPSDITIEVCGVTFSLHKFPLVSRSGRIRRLVAEHRDSDISRVELLNLPGGAECFELAAKFCYGINFEITSTNVAQLCCVSDYLEMTDDFSKDNLSSRAEEYLDCIVCKNLEMCVEVLQQCESLVPLADELKIVSRCIDAIASKTCAEQIASSFSRLEYSSSGRLHMSRQAKCDGDWWIEDISVLRIDMYQRVITAMKCRGVRPESIGASLVNYAEKELTKKPALWNQSSQNKTDSNSTLHEKLVVETIVGLLPVEKLVVPIHFLFGLLRSAVMLDCAISSRLDLERRIGSQLDQSTLDDILIPSFKHSGDTLFDVDTVHRLLVNFSQQDDSDDDLEDGSLFESDSPRSPSQTSLVKVSKLVDNYLAEIAPDANLKLSKFLVIAETLPAHARTVHDGLYRAIDIYLKAHQGLSDLDKKKLCKLIDFQKLTQEAGAHAAQNERLPLQSIVQVLYFEQLKLRNSLSTTSGDDDIKPMHASWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEREHVCMKRDMAKSGSRKFMSSFSKKISRLSLFGHSSSRETSSPSRNSHRTDSKVIERTCASTE >CAK8573624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625808284:625809360:-1 gene:gene-LATHSAT_LOCUS26053 transcript:rna-LATHSAT_LOCUS26053 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQESEINSKTLIAPPKNKIATVRAAPDDFGRATAKVAVAQLCEAAGFHAVKDSALESFSDIVIRYLIEFSKTAKFYTNLAGRSQCNVFDLIRAWEDLEAPRGFSNGMNEIMSYAESMPETSFAQPIPHFPVTRERRNIPSFIQMGETPPSKHIPPWLPALPDPHTYIHTPVWDERVSDPREDKVEQARQRRKAERSLLNLQKRLLLCNSNRNRNGSTETAAVVPTTSVPDEDVDVDPVPVVLPPKLPLVDGDRVSVLEAFAPAIEMLGGDGVLCNDGIEGEKTDIPAATARPTVHFKFRTGKKFIGESFDDRNKKKDALHAISLVGREDERDDKKRRAEYILRQSLENPQELTLL >CAK8535498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854369406:854370451:1 gene:gene-LATHSAT_LOCUS4954 transcript:rna-LATHSAT_LOCUS4954 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNNKNTSPSKAIPFQVNLDSDRQNRPIIRELDFFSQNNNNNNHVSTSTPPNPYIHDHYTPSSPFEMKVNTSLNLLTTNRSSDESVVEADIPTSSEDTRANLEVEKINYM >CAK8572744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559741469:559746459:-1 gene:gene-LATHSAT_LOCUS25273 transcript:rna-LATHSAT_LOCUS25273 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENQIHDVEVADEEQRTPLITHVVEVADKSLPSPPNQRLVSLDVFRGLTVALMILVDDVGRAFPSLNHSPWFGVTLADFVMPFFLFGVGVSIGLVFKKVSSKPNATKKVILRTIKLFLLGILLQGGYFHGRGNLTYGLDLSKIRWFGVLQRISIGYFLASMSEIWLVNNNILVGSPAAFARKYSIQWMFSILLCSVYLCLLYSLYVPNWKFEHSNFLWSGRVSTVQNVHCEVRGSLDPPCNAVGFIDRLILGEDHMYQRPVYRRTKECSVNSPDYGPLPPDSPGWCLAPFDPEGILSSLMAAITCFVGLQFGHILVIFQDHKQRVLIWSVFSFSLLVVGYILEILGIPLSKALYTLSYMFITAGASGLVLTAIYYIVDIKRFTKPTALLQWMGMNALIVYALAACDIFPAVVQGFYYRSPENNLVDVSEALVQNILQSKKWGTLAFVIIEILFWGLLAGFLHKKGMYIKL >CAK8567830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:548477406:548484131:-1 gene:gene-LATHSAT_LOCUS20851 transcript:rna-LATHSAT_LOCUS20851 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASKGDRKASLDAASWLFNVVTSVAIILVNKALMATYGFSFATTLTGMHFATTTLLTIILKSLGYIQTSHLPKSDIIKFVLFANCSIVGMNVSLMWNSVGFYQIAKLTMIPVSCLLEVVLDNVRYSRDTKLSIVLVLAGVAVCTVTDVSVNTKGFIAAVIAVCSTALQQYYVHFLQRKYSIGSFNLLGHTAPAQAASLLLVGPFMDYWLTNKRVDAYDYGLTSTLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLFMGFIFFGKEGLNLHVVLGMAIAIAGMIWYGNASSKPGGKERRSFSLPTTKTQDYAALPVSSESVEKV >CAK8531734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144455720:144457510:1 gene:gene-LATHSAT_LOCUS1502 transcript:rna-LATHSAT_LOCUS1502 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFNRTLIYLKGLTLSPNPNPLLHHYPSLFSLHFCTNTSDSTSFAASYLIHNFGFSPQFASKLCSTHRLRFKTTQNPDSVLNFFTNHGFSDSQLCNMISKVPGLLCCNPSKRVLPKFQFLLSKGASNSDIVNLVSKNPRVLSCSLENHIVPTYQLLYRFLQSDKDIIASAIQNPDLLCYHIVPRNITMLIENGVSDSNIARLLRLRLRSPTLMARDTMKFVEEVKNLGFNPLLHHYPSLFSLHFCTNTSDSTSFAASYLIHNFGFSPQFASKLCSTHRLRFKTTQKPDSVLNFFTNHGFSDSQLCNMISKVPGLLCCNPSKRVLPKFQFLLSKGASNSDIVNLVSKNPRVLSCSLENHIVPTYQLLYRFLQSDKDIIASAIQNPDLLCYHIVPRNITMLIENGVSDSNIARLLRLRCRTLRAHDTMKFVEEVKNLGFNPSKIIFSVALIAKSSVRKNLWEEKVEAFKKWGWSDEDAFEAFRKKPYCMLTSVVKINLVMSFWVNQLGWDAIAIAKTPYILSLSLEKRIIPRVAVVQFLLSKGLTNKNASLTYPFVVSEKMFLDMFIKRFKNESSYLLKLYKEKLNHTYTRDKTCML >CAK8543088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575269329:575270247:1 gene:gene-LATHSAT_LOCUS11872 transcript:rna-LATHSAT_LOCUS11872 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLKKLSSGIDEKKAKELGPIIDQFYDKSIKNKDFPEFYRGVCEIVEELNRKLGYTQFKLPKAKELEKAYNEHHKGKEKELTKTEFQDIMKEMVKESGFTGVGAKEALLYIFGVPVTALFIKQRVMPQAIPNEFFIPGITSVTVLILAALNKI >CAK8540176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543078875:543081454:1 gene:gene-LATHSAT_LOCUS9206 transcript:rna-LATHSAT_LOCUS9206 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNFIAPKPQQYFNTTTLSLEGSPHNEQSLPKLIMHPITLKFEDLEYKVKVNQKEKTILNGITGMVCPGEILAMLGPSGSGKTTLLTALGGRLAGKLSGKVTYNNQSFSGLIKRRTGFVAQDDVLYPHLTVTETLVFTALLRLPQTLTRDEKVEHVERVISELGLNNCRNSMIGGPLLRGISGGEKRRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILNTIKKLASGGRTVVTTIHQPSSRLYYMFDKVVLLSEGCPIYYGPASTALEYFSSVGFSSCVTVNPADLLLDLANGIGPESKHVTEQSEALEIERKNVRETLISAYDKNLATRLKAEICSMEENNLDALTRNQIKPEQWCTSWWYQFTVLLQRGVKERRHEAFNKLRIFQVVSVAFLAGLLWWHTPKSHLEDRIALLFFFAVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFVVILYWMGGLKPDLMTFILSLLVVLYSVVVSQSLGLAFGAILMDIKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKFLSYSYYCYKLLLGVQYGENDYYQCPNGETCKVLDFPPIKSMGLNHMWVDVSVMALMLVGYRLVAYFALHRVR >CAK8572918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571583911:571585311:-1 gene:gene-LATHSAT_LOCUS25423 transcript:rna-LATHSAT_LOCUS25423 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTETLNSNINDQLHVIMFPFLAFGHISPFVQLSNKLFSKGVRITFLSASSNIHKIKSTFNLNPAINVIPLQFPNGITNTAELPPHLSGNLIHALDLTQPQIKSLLLELKPHYVFFDFAQNWLPKLASQLGVKSVHFSVFSAISDSYITVPSRLDGIEGTTITFEDLKKSPKGYPQKNNTSLKTYEAMDFMFLFRKFGEGLTGYERVMQSLSECSYFVFKSCMEIEGTYIDYLEKQFGKQVLLTGPLVPEPSNDVLEEKWLKWLDNFPAKSVIFCSFGSETFLTDEQINELSTGLELTGLPFILVLNFPSNLNAETELERALPEGFMERVKDRGMVHSGWLQQQLILKHTSVGCYVCHGGFSSVIEAMVNDCQLVLLPFKGDQFFNSKLVANDMEAGIEVNRREEDGCFYKEDIVKAVKIIMVDDEKEPGKSIRENHMKWRKFMINKETQNKFIINLVAQLKSLA >CAK8571422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404368178:404373733:1 gene:gene-LATHSAT_LOCUS24088 transcript:rna-LATHSAT_LOCUS24088 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRQFDRSKEPILKRPRLMKDLERASNSSSPMFPQRQQQVSSASRFIQNEGDGENVDDGYQPQPLPFQELVAQYKSALAELTFNSKLIITNLTIIAGENRAAEKAVAATICANILEVPSEQKLPSLYLLDSIVKNIGRDYIKYFAAKLPEVFCKAYRQVDPPVRQSMKHLFGTWKGVFPPQTLQVIDKELGFTPAVNGSSSASAALRSDSQTHQPPHSIHVNPKYFERQRVQESSRSNQHTHRDPFDGPVPEKSISASYEGSEHGSNLSRNMGMGIGRTDGSITELGHRNLYNKATAGVSGTISGQRNGVGLKHRFSNTEATTISDAQHQPTRNITGIKRNVMSSSWKNSEEEEYMWDEMNTGLTSHGVRNNLGNDAWTADDENLDVEDNHHQIRNVFGTNVDREMTNRSQATQKKQFRHHPSLSWKLQEQQSIDELDKELGHSDRCMSMSGSLLGNANSSAARMGNRAFLPNARIGGQQFHSVGSETTSGQSPLRQRSPSPPNIDHSHLMENFDKQDHPITQKTSHFSGGQQSQYIKDSSLTLSPNIQVGDLRRSSQVKDLKGPLPSPSFQPRYQQQLSSSHTEVTVKTKKPPLSNVSLARETSEQPATSHTEAASVKSRLFSIPTTSSLPSILGSRPSQSGGSSPATLISSVSANASPSSSALPKRSKRKAGHSIRTSTLPPASSNVSSASAQTSGATNKTSNPLSNLLSSLVAKGLISTETETPAEVVSRLEDHCDSFSTSSSMPVASLSGSAAVPVPSTKDELDDTAKTPMTLSESTSTDIRNVIGFEFKPNVIRKLHPSVISGLFDDFPHHCSICGLKLKFQEQFNRHLEWHDTREREHRGLTTTSRWYLKSTDWVAGQAECSPENEFTDSVDSQDKETDESQEDAMVLADENQCLCVLCGELFEDVYCPENSEWMFKGAVYVTGSDSDNEIGIKDTSSGRGPIIHTRCLSENSLSSVIKMVSLLFDVSGE >CAK8560082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7018378:7022231:-1 gene:gene-LATHSAT_LOCUS13795 transcript:rna-LATHSAT_LOCUS13795 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSSSNPQLLHDVFLNFRGEDTRTNFISHLDAALSNAGINTYIDRQLSKGTELGSELSRAIRMSHISIVVFSKRYAESSWCLYELKEIMSCHRTNGQLVVPIFFDIDPSVVRHQEGDFGNSLRATAKKIYLDFAEERMEYVLSSWRNVLTQAANLSGWHIPKCRSESELVQQIVEDVLEKLGSGVLSITEFPVGLESHVRSATDFIENRLKKVCMIGIWGMGGSGKTTSAKAIYNQIHRKFVDRSFIENVREVCEKESRGIIHLQQQLLSDIMNTKEKIRSTALGTSTIRKRLQGKKVLVVLDDVTTSEQLKALCADSKLFGPGSVLIVTTRDARLLKGVNYVCTLKEMDEKESLELFSWHAFRQPSPIKDFCKLSRNVVAYCGGLPLALEVIGSYLSQRTKQEWKCVLSKLERIPNDQVQEKLRVSYDGLKDDMEKDIFLDICCFFIGKDRSYVTKILNGCGLYADIGITVLVERSLVKIEKDNKLGMHDLIRDMGREIVRKSSAKDPGKRSRLWFHQDVHDILTKNSGTETVEGLVLKLQGTNRVCFSANSFKEMKNLRLLQLDSVDLAGDYECLSKELRWICWQEFTFNRIPDEFYLGNVVVIELKHSSIKHVWNETKLLGNLKILNLSHSKYLKSTPDFSKSPNLEKLIMKDCPKLSAVHQSIGDLNNLLLINLKDCTNLSDLPRKIYQLKSLKTLILSGCSKIDKLEEDIVQMESLTTLIAKDTAIKEVPYSIVRSKSIGYISLCGYEGLTRDVFPSLIWSWMSPTINSLPRISPFGNMALSLTSINVQNNNLGFLSPMVSSFPQLRTVWVQCHSKIQLTQELQRILDDQYSRTELEASQVSNISLRSLLIGIGRFHAAIDTLGKSISQGLTTNDSSDFSLPGCNYPSWLAYTGEGHSAQFQVPKDIDCDMKGMVLCVVYSSTSENMGAECLTSILIVNYTKCTVQIYKRETVMSFNDEDWKNVTSNLGPGDDVEIFVTFGQGLIVKKTAVYIIYGLSITREYEQSIIVEVDSSTNMEMETSEEENLPPSLNVKAETSADVKSDSSPEVKVKSSSSIMKIKSNKSSIFARLAKRMSVCLCLNQHRDKGLNNLL >CAK8540695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16304158:16306330:1 gene:gene-LATHSAT_LOCUS9674 transcript:rna-LATHSAT_LOCUS9674 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLTKQGPPKINSPASLEIQHRMIHYLTKNFIRNLVSKQRRRILIAGYDLDMSYITDRLLAMSFPAERMRAVYRNPLWQVKSVLDMRHQEHYKVYNLCIEERYDPEHFYGRVEAYPFDDNHVPPLQMVKDFCESVDSWLSEDPRNIAVIHCMAGKGRTGLMVSAYLAYCGMSADEALQLYADRRTTNNEGVSIPSQRRYVGYWESLLSVPRGIGYGSPSVNLPQPCSRELRRIRLYDTANIDTVFFVISELQEIPNQVYRPAIEICRSCCRAIKKGYQRTNSPRYYISIPEGDEDGKQSEIEEPRVVVQMDTETPAIYQKSCLDHYFDKPIQVTGDVRVIFYEKMIGGRLFYCCFNTAFIRNSLLQLTVKDLDKVGKKGRSICGPTFCVELLFGPANTGYTSSSISVGENFSDDSL >CAK8568326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:595462294:595462794:-1 gene:gene-LATHSAT_LOCUS21292 transcript:rna-LATHSAT_LOCUS21292 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPEVTTAATSEQDSSASPQQNGDQTKLSSAGFSIWPPTQRTRDAVITRLIETLTTPSVLSKRYGTLPSDEAATAARQIEDEAYSFASDSGAPDGGDGIEILQVYSKEISKRMLETVKARPTIGSNAVDNDAAEAPTTVDPPSSSPTAVDAPDTGKIETETETET >CAK8533656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646477528:646480677:1 gene:gene-LATHSAT_LOCUS3265 transcript:rna-LATHSAT_LOCUS3265 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSLISTFFFHSSSFSRSIITKSKSLHFHSPTHSISHPTLFLLHPPPPPPQTPLTKTPISLPNLSIPKTCLDSLFIFCTSIALSFTLFISNPDSASAFVVTTPRKLQTDELATVRLFQENTPSVVYITNLAVKQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLRVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGINTAIYSPSGASSGVGFSIPVDTVNGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPATGPAGKAGLQSTKRDAYGRLILGDIITSVNGKKVTNGSDLYRILDQCEVGEKVIVEVLRGDHKEKIPVVLEPKADES >CAK8561871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399999521:399999916:-1 gene:gene-LATHSAT_LOCUS15423 transcript:rna-LATHSAT_LOCUS15423 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKFIAPQIVNGEVEVVIEDEDIDSELKFWDTSLILYVLGADMSMHSVKQFMTKMWNFVALPDMYYHEDGYFLLRFGTHRDKDEVLRKGPYTIRNMPVILREWRPEFDMRKDLLRTLPVWIKLPKLPLYL >CAK8540139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541391503:541394054:-1 gene:gene-LATHSAT_LOCUS9172 transcript:rna-LATHSAT_LOCUS9172 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVIIAVVATIGNLLNGWESSTIAGAMTYIKQEFELEKDPTLEGLIVSMSFITATVVTIFSGTISDMVGRRPMLITSSVMFIIGGLVMLWAPNVTVILLSRIIKGVAIALAVTFNPLYISEIAPPDIRGQLNTLAQFSCSVGMFLAYILVFLMSLMPSPSWRVMLSAISIPSVVYFLLTVFYLPESPRWLVSKGRILEAEKVLKRLRRVDDVSGEMALLAEGLSPGGEDISIEEYVVAPASEILINQEAGKDYIKLYGPNEGVTMIAQPVDGQVSMLLHSMLSWHGSFASQATANLKDPIVNLFGGLHESTLIENGRSNSMLINNGNSIFSTGDPESSPFGTSDNLRAPLNPFHGGADRAYGSKDMLGMRSHSSLVHGNDVETPRNTDIGGGWQLVYKSTDDAMGGKREGLQRVYLHADPSAAAVSQAPHISFVSTSGYDMPIDGGEAFQAAGIVSRSVLGTSYGLSMTEVAAKGPKWRALLEPGVKRALIVGIGLQILQQAAGINGFLFYAPQILEQAGVGALLSNLGISSISASFLVNIIISFCMLPCIAISVRLMDVAGRRSIMLYTIPILIVCLLVLVLRQFFQLSPVLNASISAISVVVYESVFCMGLGIIPSIICSEIFPTSVRGICISLTSLTYWACILAVTLTFPYLLQLLGLSGVFSLFVGGCIISWIFVYLKVPETKGMPLEVIIEFFALGAKPGTDPAEFGMKD >CAK8544698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700163341:700166162:1 gene:gene-LATHSAT_LOCUS13354 transcript:rna-LATHSAT_LOCUS13354 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSQQLNIEAEEVVDDSFPSLDNYHEIDEKLLQQMVYDALVFATLNGLLVGDKSVERSGRVPGVGLVHLPFSLLPPPFPQTHWNQACELAPLFNELVDRVSLDANFLQKSLSRTKKVDEFTSRLLDIHSKMLQINKKEEIRLGLFRSDYMFDEKTRSLLQIEMNTISTSFSGVGCIITQLHRNILSHYGKSLGLDSERVPANTAGSQYAEALAKAWSEYNNPSAVIIVVVQAEERNMYDQHFVSTILRERYHITTMRKTLAEIHQEGEILSDGTLSVDGQAVAIVYFRAGYTPADYPSESEWKARLLIEQSSAIKCPNISYHLVGTKKIQQELAEPNVLERFFENKEDIAKLRKCFAGLWSLEDSDIVKRAIETPELFVMKPQREGGGNNIYGDDLRETLLKLQKSDSQEDAAAYILMQRIFPVNTEAILVRNGFLNKDRVISEFGIFSTYLRNKDKVIVNNESGYMVRTKPSLSDEGGVLPGFGVIDSVYLT >CAK8566614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442492589:442502425:-1 gene:gene-LATHSAT_LOCUS19734 transcript:rna-LATHSAT_LOCUS19734 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVHVPPSCMLDGVHSQHFFGTGVIIYQTQDIGLVAVDKNTVAVSSSDVLLSFAAFPIEIPGEVVFLHPVHNYALISYDPSALGPVGATVVRAAELLPEPALCRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSSFSGVLTDEHGRVQALWGSFSTQLKYSGSTSEDHQFVRGIPIYAISQVLDKIISAANGPPLLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDVWIQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPVTCFLDIENACQALDKPNINDGLLQMTIFRQGREVELLVGTDVRDGNGTTRTVNWCGCVVQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPDLDSFVNVTKELEHGEFVRIRTIHLNGKPRVLTLKQDLHYWPTWELRFNPDTAVWHRNVIKALNYSSV >CAK8566615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442492589:442502425:-1 gene:gene-LATHSAT_LOCUS19734 transcript:rna-LATHSAT_LOCUS19734-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVHVPPSCMLDGVHSQHFFGTGVIIYQTQDIGLVAVDKNTVAVSSSDVLLSFAAFPIEIPGEVVFLHPVHNYALISYDPSALGPVGATVVRAAELLPEPALCRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSSFSGVLTDEHGRVQALWGSFSTQKKKKRRKMKTGKRRDKTIFTHTSKDYQMLKYSGSTSEDHQFVRGIPIYAISQVLDKIISAANGPPLLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDVWIQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPVTCFLDIENACQALDKPNINDGLLQMTIFRQGREVELLVGTDVRDGNGTTRTVNWCGCVVQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPDLDSFVNVTKELEHGEFVRIRTIHLNGKPRVLTLKQDLHYWPTWELRFNPDTAVWHRNVIKALNYSSV >CAK8562790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537567699:537568188:-1 gene:gene-LATHSAT_LOCUS16257 transcript:rna-LATHSAT_LOCUS16257 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAHNETGVIDTSLACQQSSYEVPFTRIEALPRFPLSFGGNGSQENLMMLFASYHDLAKIIADTTSFELVAR >CAK8563866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636234562:636238259:-1 gene:gene-LATHSAT_LOCUS17231 transcript:rna-LATHSAT_LOCUS17231 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLKMSPKLEQIHGEIRDIFRALANGFQKLDKIKDSNRQSNQLEELTGKMRDCKRLIKDFDREVKDEGAGNPEEVNKQLNDEKQSMIKELNSYVALRKTYMNTIGNKKLELFDMGAGASEPAEGNVQLASEMSNQELVNAGMKTMDETDQAIERSKQVVHQTIEVGTQTASTLKGQTDQMGRIVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPVPSRRLLYVRTGELYD >CAK8539031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502048243:502051577:-1 gene:gene-LATHSAT_LOCUS8169 transcript:rna-LATHSAT_LOCUS8169 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAASSDTIQPRDVCIVGVARTPMGGFLGTLSSVPATKLGSIAIEAALKRANVNPSLVEEVFFGNVLSANLGQAPARQAALGAGLSKSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMENMSSVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCAELCADNHSITREDQDNFAVQSFERGIAAQESGSFAWEITPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKETGGSVTAGNASSISDGAAALVLVSGDKALKLGLQVIAKITGYADAAQEPELFTTAPAIAIPKAISKAGLEISQIDFYEINEAFAVVALANQKLLELDSGKINVHGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGVCNGGGGASALVLELV >CAK8532391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255706577:255715659:1 gene:gene-LATHSAT_LOCUS2101 transcript:rna-LATHSAT_LOCUS2101 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLLNPSGSSQPGGKDSMSKEEPVVSNTEEEVMAGGKQKKRSLSESSEQPAPTRKVPKRSASAASKNLKEKSFSISDKSCLVETKKDKVADEELLAVRMTAGQEDGRPNRRLTDFILHDVSGTAQALEMLEIKDLYITGLILPLEENADKKKEEGVRCNGFGRIESWDISGYEDGSPVIWISTENVDYDCQKPAGTYKKYYDLFFEKARACLEVYKKLAKSSGGDPDISLDELLAGMARSMSGSKYFSGTASLKEFIISQGDFIYKQLIGLDTMLKANDKGFEDIPALIALRDESKKQAHFANTQVRPSNVTLRIGSGIADEEKKNQMDSVDEEDEDAKLARLLQDEEYWKSNRQRKNPRSSSSSNKFYIKINEDEIANDYPLPAYYKTSLQETDEFIVFDNDCDIYDTEDLPRSMLHNWALYNSDSRLISLELLPMKPCSEMDVTIFGSGTMTSDDGSGFSLDTEAGQSSIASGAQDIGGIPIYLSAIKEWMIEFGSSMVFISIRTDLAWYRLGKPSKQYTPWYDTVLKTARIAISIITLLKEQSRVSRLSFPDVIKKVSEYTQDNKSYISSDPLAVERYIVVHGQIILQLFAEFPDDKIRKSSFVTGLMNKMEERHHTKWLVKKKKLLPKSEPNLNPRAAMAPVVSKRKAMQATTTKLINRIWGEYYSNHLPEESKEGTAIEEKDDDEAEEQEENEDEDAEEETILLEETLKPRLASKQIKAFSDDGDIRWEGVSERKTCSGLPLYKQAIIHGEVVSVGISVSVEVDESDELPDIYYIEYMFESKDGKKMFHGRMMQHGCHTVLDNAASEREVFLTNECRDLALQDVKQINVASIRRTPWGHQHRKASNAAGKIDRERAEERKKKGLPTEYYCKSLYWPERGAFFSLPFDTLGLGSGVCHSCNIQEADKAKENFKVNMSKSSFVLEGTEYSLNDYVYVSPFEFEEKKEQGTHKSGRNVGLKAYVVCQVLGIIAKKETKQAEIKSTELKVRRFFRPEDVSSEKAYCSDVQEVYFSDETYTISVQSVEGKCEVRKKIDIPEGSAPGAFHNVFFCELLYDPATGSLKKLPSHIKVKYSSGPTADDAARKKKGKCKEGDSISVPDLKCKTSNENRLATLDIFAGCGGLSEGLHQSGASSTKWAIEYEEPAGNAFKANHPEALVFINNCNVILRAIMEKCGDIDECISTAEAAELASKLDDKDLNSLPLPGQVDFINGGPPCQGFSGMNRFNTSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLALASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEDVLPEWPEPMHVFSVPELKITLSENVQYAAVRSTANGAPLRAITVRDTIGELPAVGNGASRTNMEYQSDPISWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGCDWRDLPDEKIKLSTGQLVDLIPWCLPHTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDHYQFSGNIIHKHRQIGNAVPPPLAFALGRKLKEALDSKSSN >CAK8560126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8187933:8190557:-1 gene:gene-LATHSAT_LOCUS13835 transcript:rna-LATHSAT_LOCUS13835 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQEMEKFGMENDFEGGRWEGGEFYYRARKEKRTQTKDDVLYGVFADSEDDDDDEYSSRKRRKDRNFSKKQDLTKPVNFVSTGTLPNQDKVDEIPKEKEEKDSYNSEDRPGLGLGLGLGFGSASTSGSGLGFNFGRGAANGSDRNDDEDDNDNGDDKFLPTEFGKKIKEGAMRREKERLEKKKKVQKQGLGLGHEGSVDVGKFESHTKGIGMKLLEKMGYKGGGLGKNEQGILNPIEAKLRAKNSGLGFNESKETKTQLPALQTEKTVPGGAVQPTVGRTKERSWLKQKKKKKEEEEYVTAEELLASKQEEDSEVVQKIYDMRGPQVRVYTNLSDLNAEEKAKEKDVPMPELQHNIALIVRLAEADIQEIDRDLRKERDIALSLKNEKEKLEAEAAFQKKQLNNSEKIMSVLDRVGEENTLGTLTLDSLAQCFRDMLKRYPEDYKLFNLSCIACSYALPLFIRVFQGWDPLRNPSHGLELVSQWKALLQGDDCFDIWDTSSPFIHLASEVVLPAVRISGINTWHARDPEPMLRFLESWEKLLPSSVLATILDNIVMPKLLSAVSTWEPHLETIPIHTWVHPWLPLLGHKLEGIYQTIRFKLSTVLGAWHPSDGSAYAILSPWKTVFDSDSWQQLMHRFIVPKLKTVLQDEFQVNPASQNLDQFYWVMNWASAIPIHMMVDTMETFFAKWLAVLYHWLCSNPNFDEVTKWYLGWKELFPKELLANESIRYKLNCGLDMMNQAVEGMKVVQPGLKEKISYIRVSEQRKFETQQKAAASAQQQAAASMGSAVNSDGVNEMSVKELIEAYARDHGLLFKLKPGRMHNGHQIYGFGNVSIIVDSLNQKVYAQNEETWSLETLERLLELHNKSLSKRR >CAK8536651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4441505:4443282:1 gene:gene-LATHSAT_LOCUS5992 transcript:rna-LATHSAT_LOCUS5992 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPWKEEEAAVGGGESGPPQRPNLTLPPRTDALFSGGFSPGPMTLLSNLLTDGDDGKSFSQLLSGAMVSPVATAGALDSPGFFSHPQVSFGLSQAQAQVSFQGAQPNINMYNQAEHSSSVSASTAFTNHGPPSGQRLQSSSLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGHVTAIIYKGEHNHQRPNPNKRSKDANDNSNMQGNADSSYQRTSTNSMSMMDPESSQATGEHISGMSDSEEVGDRETEVEEKRVEPDSKRRNTEVAVSDPIVSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDRKAVITTYEGKHNHDVPAAKTYSHTFANKNASQLKSQSTISEQPSFGNIGSVGSNEQQPVACLRLKEERIT >CAK8536652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4441505:4443282:1 gene:gene-LATHSAT_LOCUS5992 transcript:rna-LATHSAT_LOCUS5992-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPWKEEEAAVGGGESGPPQRPNLTLPPRTDALFSGGFSPGPMTLLSNLLTDGDDGKSFSQLLSGAMVSPVATAGALDSPGFFSHPQAQAQVSFQGAQPNINMYNQAEHSSSVSASTAFTNHGPPSGQRLQSSSLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGHVTAIIYKGEHNHQRPNPNKRSKDANDNSNMQGNADSSYQRTSTNSMSMMDPESSQATGEHISGMSDSEEVGDRETEVEEKRVEPDSKRRNTEVAVSDPIVSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDRKAVITTYEGKHNHDVPAAKTYSHTFANKNASQLKSQSTISEQPSFGNIGSVGSNEQQPVACLRLKEERIT >CAK8530239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8984328:8988799:1 gene:gene-LATHSAT_LOCUS121 transcript:rna-LATHSAT_LOCUS121 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAAEKTEQELRSEIDELLRQQREITERLRDPRGLRKGALPAPILRNNAIRQRSFLRPGVDNNDSEDQPPAKRRLSSAIVKLEEGELIEDADAGNTKDSTGKSDNGNAAAGQNDMNHFNSHQSGFSRRDGYQRNSKAFEIPPSEHVPRVLPKNEDPSLVNRNKRMLGQLLGTLEKFRKEDKQLSGTEAYMRRSNSLQRAEQRAREESERLRKEEREQIAEKRRRDLTLRARVAAKTEEKKLELLFLRWSEHHKRLSNFIRTKAEPPIYYMPNMPLDEDAASAEKRIEEDFLEWKNARREELSEYQKQIGDQYLANVEKDLERWQNARNARKVNINDQNLQETMDKELDTHRLEHGPKKRKIPDGSNNEDEDDDVEDINAGEDDMMEDELDETIKMETGDANSDPAPDAVNVDLK >CAK8531075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78609251:78613305:1 gene:gene-LATHSAT_LOCUS890 transcript:rna-LATHSAT_LOCUS890 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFPFSDWSWWNRFTDFTLSHNPFFYALCAAYSLISFLALIQLIRIELRVPEYGWTTQKIFHLFNFIVSGVRALVFGFHARIFFLHPKVLTLVLLDLPGLVFFSTYTLLVLFWAEIYHQARSLPTDKLRIVYISVNGAMYFIQAGIWIYLWIYYNDVVDFIGKIFIGGLSFVVAIGFLIFGGRLFFMLKRFPIESKGRRKKLQEVGFVTVICFTCFLIRCVMVLLSAFDSDASLDVMDHPILDFIYYMVVEILPSALVLFVLRKLPPKRISAQYHLIR >CAK8532689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:480463394:480464632:-1 gene:gene-LATHSAT_LOCUS2371 transcript:rna-LATHSAT_LOCUS2371 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSDSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNSIMPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPSVQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSASGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKNFDLMSMFARDYARIEGEKVEIERKKVDAKIKKAGNAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8537143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:108405380:108406663:-1 gene:gene-LATHSAT_LOCUS6455 transcript:rna-LATHSAT_LOCUS6455 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGACAKRVVVDARHHMLGHLASIVAKELLNGQKVVVVRCEEICISGGLVRKKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTLRGMIPHKTKRGEAALTRLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKYCHLGQLSSEVGWNYYDTIKELENKRKERSALAYERKKQLRRDPYEVHGINRNSTDQEIKSAYRKMALKFHPDKNANDPKPADMFKEATFSYNILADPDKRRQYDSSGFEAVELDNQELELDLSSLGAVNIMFAVLFSKLGVPIKTTVSVTVLEEALNGLVTIRPLPLGEFISKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEDNGGFSLALQEDSAKNGKFTSARMYFLGFPVYRLDQTMNSIAAAEDPDTPTPAVASDGTKRRSGRPPKNQQ >CAK8543507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613041284:613041993:-1 gene:gene-LATHSAT_LOCUS12261 transcript:rna-LATHSAT_LOCUS12261 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLSDVQIAEIHEAFFLIDKNSDGFITEDELTTTIKSLGVNPTKEEIQNMVTEVDINGRGSIAFEEFLNIMSTKMKENISKELKDAFRVFDRDQDEYISAIELKDVMMRLGERLTDEEVEQMIREADLDGDGKVSYEEFAKIMVLK >CAK8539517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514813842:514815687:-1 gene:gene-LATHSAT_LOCUS8603 transcript:rna-LATHSAT_LOCUS8603 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATTTSSFMFLKLPTSSPCRTRLGSSRSSKFIKAQSSDVRKEHVVIVGGGIAGLATALSLHRLGVRSLVLEQSESLRTGGTSLTLFKNGWSVLDSIGVADNLRTQYLEIQGMVVKSEDGRELRAFNFKQEDESQEVRAVERRVLLETLASQLPRDTIQYSSRLVKIEASSNGDTLLEFIDGSKLLAEIVIGCDGIRSPIAKWMGFSEPKFVGHCAFRGLASYSGGQPLESRVNYIYGKGLRAGYVPVSPTKVYWFICFNSSSPGPKITDPTVLKKQAKELVENWPQELLNIMDSTPDDTIIRTPLVDRWLWPTISPPVSAGRVVLVGDAWHPMTPNLGQGACCALEDAVVLAKKLARAINSDDTSVEEAFKSYGSERWPRIFPLTIRANLVGSALQWDNPVVCSVRNNIVIPKLVRLGPLLEHTNFTSESL >CAK8571079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:277538344:277547219:1 gene:gene-LATHSAT_LOCUS23772 transcript:rna-LATHSAT_LOCUS23772 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVCLQALEDGMTEITEVPKVELKREYHQCVADTELPNVSPNKKQAKEVSNDEVRSEVSNPNVFATEHALTFHDISSQPTEMANVNHAECGELTSTCLENSSSHDTFSDEAVDKNNDNNNNTSLYDKSTSSKDAVMSRVVMEIPKHVSSTGIRKITFKFSKKKEDYDGQTPAGYTDGYGNGYHGDDEYLAKDDYNSGLLESSYGTRYVPDGYLESYSRNMELKMSKKVVPNCFPTNVKKLLSTGILDGAAVKYIYNPGKVELEGIIGSGGYLCGCSMCSYSRVLSAYEFEQHAGAKTRHPNNHIFLENGKPIYSIIHEIKTAPNSMPDEIIKNVAGASINEETFQVWKESLLESNRKVPAHKNYITKFTGMPHTNNSQSIESESHFLSSRARNHFEQQMYVNQTSDEWKRVVKKPSTYTHTSGILQKRSTDGCTKKRDNDLHRLLFLPNGLPDGAELAYYVKGQRLLGGYKQGSGIVCSCCDIEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQNLTTGDSDDMCAICGDGGDLVLCNGCPRAFHAACLGLHTVPASGWHCLNCKDNADDERGVRPIMIRLTRVDKEPEYEMGGCVVCRENDFSVDKFDDRTVIICDQCEKEYHVGCLRDIGLCELEELPKEKWFCCEDCSRIYVALQTSVSAGADTIPTPLSELIIRKHKERGLCTYGFMNDIQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSIVVSAGLLRIFGRNIAELPLVATSREYQGKGYFQVLFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFHKMSEDQLSKHLREVQLTLFNKTSVLEKTVQLATE >CAK8534373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720974071:720974868:1 gene:gene-LATHSAT_LOCUS3923 transcript:rna-LATHSAT_LOCUS3923 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIMAAKSPHDSSFSFSRRYFHWKKKPLDEDDEEKILNISSSSHFAEDDNDDDDDVGGKKEEDHHNQLRYQMPVGIAALEKNKNSKSKFKSALTILTHSSSTRMVGTLFGYRRGHVHFAFQEDSKLSPAFLIELATPTSVLVREMASGVVRIALECEKKSGGGGRKGLKLLEEPIWRTYCNGRKCGYGYRHECRSEEWKILKAVEPISMGAGVLPMPSSGNEGEIMYMRAKYERVVGSKDSEAFYMMNPIGSAGPELSIYLLRV >CAK8570301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35056509:35057960:1 gene:gene-LATHSAT_LOCUS23063 transcript:rna-LATHSAT_LOCUS23063 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILSLKRAVLAQQRSEKLGIGYRLFSTQGASTASTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGIHDPFLKGAMKRGDWHRTKDLVTKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARKEAYAAGLLGKNACGSGYDFEVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLIPKNVCDDVMMDYDALKAVQTGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNARLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKENAERELLQATG >CAK8579220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684867710:684871715:-1 gene:gene-LATHSAT_LOCUS31184 transcript:rna-LATHSAT_LOCUS31184 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSLDCLEAETFTDFHENSSCLVENCVDSTDHDKTKQKSLFCQFFSVYLKENCSRGNVRHVPVMLGDGQLLDLYQLFSLVKKNGGYDVVSRKGLWDSVIVELGLNLHVLASVKLIYDRYLNDFEGWLCKTNPKIDGNDDEEKFCNTDGDVLRVEISAEKFHSPKRKRESLSEMITWMRDIAKHPFNPAAQPLPEPSKWKGCKGGQNFFVQMLKARDVLLVRKHSKPNSGSSYQKVKMHPAMYEDPVALGHQGTKKLRCSERIPVALKSRCRCCNSYPGYGNKCPLEKTKSKPGVTGKKKSTAKPDVARKKKSKSSWDDLHETLVSIGHGFQVEVPEWTGVASESDSKWLGTQVWPVKEDDLKATTESDLAGRGRRGKCSCNVQGSVDCVRFHIAENRMKLKLELGSVFYQCGFDRIGEGVSLQWTAEEEKKFKDFMMLNIPSEKKAFWINFWNNTFEDFQLKTRKDMVNYYFNVYLIQQRSYQNRVTPMDVDSDDDGDEFGSFCDGFGRNAIKHPSMEFMECSENTQCFDFE >CAK8537007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:55543442:55543858:1 gene:gene-LATHSAT_LOCUS6327 transcript:rna-LATHSAT_LOCUS6327 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTWMKIIAPFFCLLLLALSEAAIFPHPVHIELLNKLSDNKKLTIHCYERYGEDLGELIVPPGGQFNFAFMPRVVGKSSKYYCSLRWDGSNLKWFDMWSQGRDYNACRVCKWIVNEKEACRFDYGTGAYSVCVVYNQ >CAK8560729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38693153:38694676:-1 gene:gene-LATHSAT_LOCUS14383 transcript:rna-LATHSAT_LOCUS14383 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLDLEEFKRQGYMMIDFLTDYYKNIENYPVLSNVEPGYLAEKLPSSPPFQPESIESILEDVQHHIIPGITHWMSPNYYAYFPSSGSIAGFVGEMLSNGFNVVGFNWLSSPAATELETIVMNWLAKMLNLPKCFIFSSNFECGGGGVLLGTTCEAILCTLVAARDEKLSKIGKENIGKLVVYCSDQTHSALQKATQIVGIHAQNFRVIKTEGSNFFALSRESFLSTILSDVENGLVPCFLCATVGTTATNAVDPIKLLCNVAKEYDIWVHVDAAYAGSACICPEFRHYIDGIEHVNSFSFNAHKWFLTNLACCCLWVKDHSALTKSLSTYPEFLRNDNSDSKEVIDYKDWQIPLSRKFNSLKLWIVIRSYGVENLKKFLRNHVEMAKTFEGLVRKDERFEIVVPTRFALVCFRICPSAINIDNGSEGCYCIGKKMNDGYSVNEVNHKLLDSINGSGKAYMTHCEVNGAFVIRCAIGSTLTEEHHVTMTWKLVQQHASFLLDTPQTG >CAK8532723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:499468889:499470035:-1 gene:gene-LATHSAT_LOCUS2401 transcript:rna-LATHSAT_LOCUS2401 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQLEPLSVGGVIGEVVDIFNPSVKMNVTYSTKQVANGHELMPSIVINKPRVDIGGDDMRSAYTLIMTDPDAPSPSDPYLREHLHWMVTDIPGTTDVSFGKEMAEYENPKPVIGIHRYVFILFKQRGRQTVRAPNSRDQFSTRRFSQENNLDLPVAAVYFNAQRETAARRR >CAK8531793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151845241:151845927:1 gene:gene-LATHSAT_LOCUS1559 transcript:rna-LATHSAT_LOCUS1559 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTMTASADQYWMQFYQQPLMDDHAIENFSDSTVMTTNPLPLETMVMSPTNSYSNTSDQLTPKGGNVSKPIRRRSRASKRTPTTLLNANTTNFRQLVQQFTGCPSTTSSSSLSSLGVHKGPITLNFQQGNKQNIQHDTSTATATARLMPQFSSTGYNQVSRPFPLKMEQIQVAQQQQNGYNSFDYVKNNGFIPNSGNMDVSDGLVVDNDFSLQDLSVNAFSTDTFM >CAK8573510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617157189:617166319:1 gene:gene-LATHSAT_LOCUS25952 transcript:rna-LATHSAT_LOCUS25952 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEENPEAFAPPEQKDDDDSKKKKKHKKKKKNNENSAQPNEHPHLQVCSEPLVVVTPNQEIKSSEESSLPKKKKSHKKKSVINAEVEQPNREESKPSLDLEIEKIAEPVGVSVEGAETVTGKRKRKSKIGKLDEVVVVENALKHDHDQEQLNTVDTEEKTGKKHKRKRNKSELDGLQSNEQNIELGETAVRTSIIDREVPPVDPTILGNTRPVNLSELEHEYLDRKWNQRKRKGNQRKRKGELKSKEEEKAGKTIEIQVQRPIDPITEPSTDPAIIINPHPATPIDAAQKIAKKRKKKKRKCESYESDGGEKNEEHNGKLCESEAPAQKSIISTTVPSGVPIDQVISIDPACPTAAPPVDAAIPEQKTSKKKRKMKGGLKSKALESDICNAGSTAETSVQGTKRVKVTNAPYYQHGISSMICWACRETDHTIQQCQKLKYLSKDEEICFFCGEIGHSLGKCSVYKAGGGRLARCLFCDAHGHFSYNCPGNGHDPKWPVEDERKIQC >CAK8567260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498318946:498323113:1 gene:gene-LATHSAT_LOCUS20326 transcript:rna-LATHSAT_LOCUS20326 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSISLCILLSLFSFQCVSSRNVSESDIQQATIPPRGWNSYDSFCWTISEQEFLQNAQIVSQLLHHHGYEYVVVDYLWYRRKVEGAYHDSLGFDVIDKWGRVIPDPGRWPSSNDGRGFSHVANQVHIMGLKFGIHVMRGISTQAVNANTPILDTKTGRAYQESGRVWYAKDIAIRERACAWMPHGFMSVNTNLGAGKAFLRSLYQQYAAWNVDFVKHDCVFGADLDLNEISYVSEVLRELNRPIVYSLSPGTKVTPAMAKDVSGLVNLYRITADDWDTWGDVKSHFDVSRDFAAAHMIGGKSLMGNSWPDLDMLPFGWLTDPDSCWTSNTGPRRYSNLNLEEKRTQMTLWAMAKSPLMYGGDLRKIDRTTYGLITNPTLLEINSFSSNNMEFPYIASLKNEDQNSDRQMRRSYRETKASHTHSLGLTSCTESKASGWTSESISQYPERICWKRNLGNKHLAPFCMHKRELNLASDEDNMYQDYHHLVAVDRIKFCLDASPKQNLTSNSKEFKRGTFSSCKWDSNQMWRLNRNGTLVNSYSGLCATVDPVKATINSGGFRAWAATGRTGEVYVAFFNLSEQKAVISLKTSNLSKLFVRRDLSSCKGREVWSGSYIVITHGVLSAEVEMHGTALFVLNCN >CAK8532921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:550150895:550153861:-1 gene:gene-LATHSAT_LOCUS2581 transcript:rna-LATHSAT_LOCUS2581 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHLLRSQTRNRFSSLSTNTPSVYQCFRSSTFGQPISANPIFKTQNNAKPTFKTSSQLGASQIRWASKAAPTEEDKISIGPASRRQSEEEDKETGIFYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDSNVILKGAVASSVIFFSASTTAILHWFVSPYIHKLRWQPGSDSFEVEMLSWLATHIPKTIKFSDIRPADTNRPYVTFKANGSFYFVDTEHCHNKALLARLTPQKETHDSAFKNL >CAK8573954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650234839:650235351:-1 gene:gene-LATHSAT_LOCUS26347 transcript:rna-LATHSAT_LOCUS26347 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAPQLMRNAKATRVILPTGEVKQFREIMKAAELMLENPNYFVVNSRSLRISTRFSPLAADEELEFGNVYIFFPMRRLNSVVTGADMAVLFLAANSAAKRLRAGKTRVQPDESTGGGVENDEKECVPRLSLEGVDSGFSYRLSYCRSKKPLLETINEEPIRSR >CAK8564644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4941163:4943619:-1 gene:gene-LATHSAT_LOCUS17928 transcript:rna-LATHSAT_LOCUS17928 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLWIAEGLIPQPKSEESWEKVAEEYFAELVWRSLIRQRPIDDESKEFIGDERVCFEMHDLINDLAMAISSPYCIRLDNYKPDERVEKRVRHLSFDRVDYSSNNRLEKLCGLKGLRTFLPMPLQISWACENSVSSKLFSDLLLTMTQLHVLSLSHLTSMTELPNSIGNLIYLRYLNLSRTQIKTLPSETCKLYNLQTLLLSSCQQLTELPKDVGKLVKLRHLDIRGTKLKKMPTQISKLKSLQTLSDFVVSSVKDVGLKIEDLGKYPHLQGSLSISQLENVTDPSHASQANMEKKKEIDDLELRWSYVTPSNSQIQNVVLERLCPSTNLKSLAISRYGGDKLPNWLDRPFFSNMVRMKISECINCSRLPSLGQLDNLKELFISGMESVKSVDTVFYGSGSAPFQSFQPFTSLETLSFENMPEWEEWKLIGGGSTEFPSLISLSLSDCPKLKENIPINLPKLKHLCVKHCPKLIGITPNNLPSLVDLRLKDCPSLMNSRHSDIFSQLMISLNSLQNMTLQDTPTLTSFPREGLPKTLQSLVIKGCENLEFLSADSFHSYTSLEDLQIHDSCNSMISFTLCSLPALKILKIIGCKHLKSLIISEDASKQNLLCLRDIWIQSCNELESVSLIGLPIPNLTHLSVLMCEKLRSLPESIKTLTSLQDMYMDNLPNLQSFSIDDLPMSLREIRIGEVGEILWNTTSWEHLTSLSALSLSGDDVVKALVKIQVPVLPINIVDLEIFSLEDVECLDGKWLKHLSSLHTLEIHFASNLRSLPEQGDLPSSLKELIITKCPLLEAKLQRKKGKEWRKISHIPSLDI >CAK8540619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12561691:12564189:1 gene:gene-LATHSAT_LOCUS9605 transcript:rna-LATHSAT_LOCUS9605 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCSEIEEKRVTSIFHEDDFSSQQEVSKEDKLKYAKTEMGEVKEENERLKTMLSRVEKDYNTLQLRFFDIVNKEVSNNGVEESSDSHEENDEEPEFVSLCLGRSPNEYKKEATKIDQNSNKPKEQEDMEVNLSLGLDSKYMLSMELVSDLTPMNSSEELPKDVEVEEKGTLFSTNKSTKVINVNDEVSDQMPTKRVRVSVRAKCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPACPVRKQVQRCADDMSILITTYEGTHNHPLQVTASAMAYTTSAAASMMLSGSSTSSSSSHHQNLHHHNNSTSFGNSPTLLNNGLNFNHHQFEQSRTPKQHFFIPPNHSSHNSLFPTITLDLTSPSSLSSSSSSSPNIAPIPRFSPNNLNFCSTQQPNFTPSSTIWNNNNKLGLGFINNNTIMPIEKTQIRPFNNFQENFYQKCVTNYQTPSRQALADTISKAISTDPSLHSVIAAAVTSIVGQGSNNSVNQKEVKENGLGLNLKLGEYPQLGSNNLLNQNGKGCLKGSYFKRLSPTTSSQAKNFMLLQPSLPFSVSKSSASKSSSIVNHINHCDSNVNTHH >CAK8535685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874352874:874353759:-1 gene:gene-LATHSAT_LOCUS5117 transcript:rna-LATHSAT_LOCUS5117 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITEGVNNININNSDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDIKDDSRGRPVQKAKIEIVLGKTENFDELMAAAAAEDGENGDAEEQSA >CAK8537181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124462088:124463263:1 gene:gene-LATHSAT_LOCUS6490 transcript:rna-LATHSAT_LOCUS6490 gene_biotype:protein_coding transcript_biotype:protein_coding MALILFAGYLENAEVSVDAFSICMNILGWTIMVSFGMNVAISVRVSNEVGATHPRTARFSLVVAVITSIFISLLLALVLILSRDKYPALFTNDAEVADLVRDLTPLLAFCVVINNVQPVLSGVAIGAGWQAAVAYVNIACYYLFGIPMGLILGYKVNLGVKGIWCGMMSGTILQTCVLLVMVYKTNWNKEASLAEDRIRNWGGGQDVTETNIENIEQT >CAK8570762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:109903070:109903309:-1 gene:gene-LATHSAT_LOCUS23489 transcript:rna-LATHSAT_LOCUS23489 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSYGLMLDAVGVVNILPICGFLGLGLLGKQVHGFGVRTGLVDDVFVGNALVDMYAKCGKMADANKVFERMGFKDVVT >CAK8561155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:107289809:107292547:-1 gene:gene-LATHSAT_LOCUS14768 transcript:rna-LATHSAT_LOCUS14768 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLKDVVSKVPDYGHGHGHTDAGGADDQAIPKRRKAAGDDCNDSDEEAKRGKMIELGHPSPTGRGRGRGRGRGRGRGRGRASLREGHHYETEFDPFPSIQQVSQQIPDTNVIIHDISEPRELPKENIVAAVENSDSLRNIDLNANMTENDDKKASTVANLTVAIPEAAKPDAANPAAANSDAANPEAANPATAIPEAGKTTLSEPAPADSMHHEEIPGWSLSEVDKMAIDTMQLAQRMEEDDEDYDEEDE >CAK8531713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142561271:142564024:-1 gene:gene-LATHSAT_LOCUS1484 transcript:rna-LATHSAT_LOCUS1484 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDSTAPLSTKENNAPISSKIAELNESRSELLGRIQSLKQDLQGWRSKLDTQVKVYRDELTVLKQTLNVEVEQLRTEFQDLRTTLQQQQEDVTASLRNLGLQDASGDVKEAQSQETKIEEVVKEEQPVLHEEENTKVVEN >CAK8537119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:102139662:102140780:1 gene:gene-LATHSAT_LOCUS6433 transcript:rna-LATHSAT_LOCUS6433 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGHWLWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSIAKLYNLKKFNVSTNFLVRPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRVSLAVDVGPGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVEEKERITTHANFLPQQISQTIMHTGLHLNLYRQYPAANLLHTTACKTKQPAQQLPC >CAK8568256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586898648:586903375:-1 gene:gene-LATHSAT_LOCUS21230 transcript:rna-LATHSAT_LOCUS21230 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSVSPLCSSPSPRLQLHRQSFFTVSQAIAHPASSATDSNSTFTFATTSNLTPKVVVTRERGKNSKLINALAKHEISCLELPLIEHLRGPDFDRLPCTLSDNAFDWVIITSPEAGSVFLEAWKTAGMPHARIGVVGSGTASIFKEALLSSNKSLDVAFSPSKATGKVLATELPKVGNKTTILYPASEKASNEIEEGLSSRGFQVTRMNTYTTVPVQHVDQMVLKQALAAPVVTVASPSAIRAWKNLLSDSDWSNYVACIGETTAAMSRRLGFKNVYHPTQPGIEGWVESILEALGSYDELLR >CAK8572384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533646243:533647187:1 gene:gene-LATHSAT_LOCUS24955 transcript:rna-LATHSAT_LOCUS24955 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKKLIVKLSYPPGSRKRDSNSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTTFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRVQDTKECNMLL >CAK8544500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689009497:689009841:1 gene:gene-LATHSAT_LOCUS13173 transcript:rna-LATHSAT_LOCUS13173 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKRIGISLRLIPPSSQDWVNVRVFIKFLKNYYEATKVFSVSTKASLHTAFSYLAAIYIELKKLNMDLNGLFAEVARDMLEKYSKYWVDITKMNQLLYFGVIFDPWYKLRCVE >CAK8538547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486935427:486936503:-1 gene:gene-LATHSAT_LOCUS7733 transcript:rna-LATHSAT_LOCUS7733 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDETPTSTATPTTPSVTFTPNTSEKIQSAVQSLSSIVNPSLPISSTTTPLSILNEPEIYSQIATLLRSPNSGSGDNNLCRWLYDTFQSNVPDLQLIVLRFLPIIAGVYLSRVADRKPQAGFEAVLLALYAYETTSRAGESVTVTIPDMSHPSVYHESKSTMNKNNATDLNVAVLSPSLEPHGTVRSTRRARIVGVALELFYSKISQIAIESKIDFCKFCKIWAGEDGDMYKDFEDDDLEDVDDDNNNNNDVEREIVEIEEEKKEENGPNKRGRVPMPWELLQPVLRILGHCLLGPNNKDTVLFEAASEACRCLFARAMHDVNPKAILPMRSLLRLSKNVGDEIDPTEPGKTDVISL >CAK8536565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954908186:954908611:1 gene:gene-LATHSAT_LOCUS5921 transcript:rna-LATHSAT_LOCUS5921 gene_biotype:protein_coding transcript_biotype:protein_coding MACHRRLATKGRLKRLGLTTDDNCKFCDKEKTIDHLLFDCPPFKTCWQRILVCLGYQHFPCDCREALEWLITHCKGKGWRKCILRSSVAETIHEVWRYRNNAVFGNTVNILEIRDLVISTLANRGWVNTRMRYHIAQLLLE >CAK8530450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22401136:22402418:-1 gene:gene-LATHSAT_LOCUS314 transcript:rna-LATHSAT_LOCUS314 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKNNGLKKGPWTTEEDEKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILCSSQINNIQTLLSMQQQQSLMNPELLKLASSLFTTSSHHHHQQQQDYSNNQPCNPQTQNQVSLSHLVQFQDQIQQVPSTNSNVCTSVSSSIPNDHSHLFETNVNTYPSNFTDQSCYNNQHSVPDIDWLENNGFGLSTFKEDIDYTPQLSNYNQYYGSDYNQNLMIHQTSNQILSTPSSSPTPMNSNSTCIIGSNTEDEKESYDSCNNRMKFEIPLHILDSNDFM >CAK8565117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:40891136:40891354:-1 gene:gene-LATHSAT_LOCUS18354 transcript:rna-LATHSAT_LOCUS18354 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDRTIEAPIIATEFVTDPAAPASGPGAGDNEGLRSWAEATVAAKTKTKRKEKNFIAEDDAIVKLWNFFK >CAK8536915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39283574:39284728:1 gene:gene-LATHSAT_LOCUS6240 transcript:rna-LATHSAT_LOCUS6240 gene_biotype:protein_coding transcript_biotype:protein_coding METNGVVSIGVEDEEVHVLAVDDSLVDRKVIERLLKISACKVTAVDSGIRALQFLGLDESDGFVPGLKVDLVITDYCMPGMTGYELLKKIKESSTFREIPVVIMSSENILPRIDRCLEEGAEDFIVKPVKLSDVKRLKGYMTTRDVKGEIENREINVKVNGDVEGNDGVVINNKRKLEEASDEFSSEPSISSSSVSPSSSPLSSSPDVLDSPIRRLKMTDTE >CAK8568728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636088818:636090595:-1 gene:gene-LATHSAT_LOCUS21660 transcript:rna-LATHSAT_LOCUS21660 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKPTKAEKKQNYDAKLCQLIDDFTQILVVNADNVGSNQLQSIRSGLRGDSVVLMGKNTMMKRSIRIHAEKTGNKAFHNLIPLLVGNVGLIFTKGDLKEVSDEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLSKLGIRPFSYGLVVLHVYDNGSVFSPEVLDLTDDDLVQKFAAGVSMVASLSLAISYPTLAAAPHMFVNAYKNVLAVAVATEYSFPEADEVKEYLKDPSKFAVAAVAAPAAASAAAPAAAKEETKKEEPEEESDEDIGFGLFDE >CAK8562122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443054417:443054716:1 gene:gene-LATHSAT_LOCUS15648 transcript:rna-LATHSAT_LOCUS15648 gene_biotype:protein_coding transcript_biotype:protein_coding MALGYEYHGRHAMCSYSTKFVNVQQLISAYGSSGNWKEAHNVCKKMTDNKVGPDLVTHDIMLSAFKSRARYSKALSYFELMKGTHIRPDTSFTLPLPFQ >CAK8577634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579871242:579872959:1 gene:gene-LATHSAT_LOCUS29724 transcript:rna-LATHSAT_LOCUS29724 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHDCHVFMECLLPFAFSSLPDLVWKPLTELSQFFKGLCSNTLRKDELIKLGDNIPVIICKLERIFPPGFFDSMEHLPIHLPYEAQLGGPVQYRWMYPFERMMGDFKRSVKNKARVEGSICMSYLHRETTYFCSHYFKTATLFATSKHNEALGLNDDVVPTLSISNPLGRPSGKSQIHWLTDAEWRSAHVHILINCNEVKPYINIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGVTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGVIKHIFELEYHELSHKVALFYCQWFDPKRGRGTKVHPHYDIVDIKMNKKYDRYDPFIIAQKAKQVYYVPYPEMRVDKRGWCAVIKTKPRGRIEVVDIDDDTPYQDEEMAHVEQITEIEDITGLHDETHSDEEVDVTLISSMQINASADNDYREDNNIDDEVTNTILSISSTHSKSELKKIARATCFAIQD >CAK8544890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710532631:710536211:-1 gene:gene-LATHSAT_LOCUS13535 transcript:rna-LATHSAT_LOCUS13535 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCNDLLRFTNVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNRIMGYIMGKVEGQGDSWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDNIDKAYFVDLFVRASNAPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSHDVEKKSIIPLKRPVTPDELEYD >CAK8567953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559048628:559053064:-1 gene:gene-LATHSAT_LOCUS20960 transcript:rna-LATHSAT_LOCUS20960 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPMSPSSSLTSLVFARKLLRTQNWNFPTTRGRFTSFKACVRFVQTSCRISAPGTFPTVNDEKHHSSNALRDRKVVPDADPPSANDVELLYRFFEKSTKLMVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQEFIRSSRARRRYWARSYAGWRKFTASQPSAAHRALATFEKAGRIDFMITQNVDRLHHRAGSNPLELHGTVYTVICLDCGYSLCRSLFQDQLKVLNPKWAEAIDSLDHGNPGSDKSFGMKQRPDGDIEIDEKFWEEDFIIPTCQKCNGVLKPDVVFFGDNVPKDRADMAMEASRSCDAFLVLGSSVMTMSAFRLVRAAHEAGAATAIVNVGLTRADGFVPLKINARLGEILPRVLDMGSISVPAV >CAK8567954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559048628:559053049:-1 gene:gene-LATHSAT_LOCUS20960 transcript:rna-LATHSAT_LOCUS20960-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSLTSLVFARKLLRTQNWNFPTTRGRFTSFKACVRFVQTSCRISAPGTFPTVNDEKHHSSNALRDRKVVPDADPPSANDVELLYRFFEKSTKLMVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQEFIRSSRARRRYWARSYAGWRKFTASQPSAAHRALATFEKAGRIDFMITQNVDRLHHRAGSNPLELHGTVYTVICLDCGYSLCRSLFQDQLKVLNPKWAEAIDSLDHGNPGSDKSFGMKQRPDGDIEIDEKFWEEDFIIPTCQKCNGVLKPDVVFFGDNVPKDRADMAMEASRSCDAFLVLGSSVMTMSAFRLVRAAHEAGAATAIVNVGLTRADGFVPLKINARLGEILPRVLDMGSISVPAV >CAK8570622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75759093:75759386:-1 gene:gene-LATHSAT_LOCUS23358 transcript:rna-LATHSAT_LOCUS23358 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8560699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36387126:36387317:-1 gene:gene-LATHSAT_LOCUS14354 transcript:rna-LATHSAT_LOCUS14354 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVMKMKGGSPIRVVKNTRICCDCHTVAKYISLARNCEVLLRDAGRFHHFKDGKCSCNDCW >CAK8543697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631953782:631960737:-1 gene:gene-LATHSAT_LOCUS12439 transcript:rna-LATHSAT_LOCUS12439-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDDQRRFRGNSKIESSSRRVSSDVEYLFPNSVNREDNDEEEALKWAAIQRLPTVARLRTGLLKTSEGKANEIDIHHLKQEEKKFLLERLVRVADIDNEKFLLKLRDRVHRVGINFPTIEVRFEHLKIGAEGQVGKRALPTLINYTLDLIEAPFNYILRKRRQHVDILQNVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDPNLKVAGKVTYNGHEMNEFVPQRTAAYVSQNDLHIAELTVRETLAFSARFQGVGPRIDMLEEVSRREVERNIFPDPDIDIYMKAVATEGGKENLAVDYTLKLLGLENCADTVVGGAMLRGVSGGQRKRVTTGEMLVGTAKALFMDEISTGLDSSTTYQVVKSVKQSVQLLNGTAVISLLQPPPETYDLFDDIILLSEGHIVYQGPCQHVLEFFASIGFKCPERKSVADFLQEVTSVKDQEQYWVERRKPYKFVTPKEFAEIFESFHVGRTLGNELVTPFDKLKSHPAALTTNTYGIGKWELFKTCLAREYLLMKRNAFYYKFKLCQISVMAIVTMTVFLRTGMHRTSVIDGGIYAGALFFGNLVVMFNGFADLATTIARLPVFYKQRDLLFYPSWAYAFPTWILKIPITFAEAAAWTFLTYFVIGYSPEFSRLIKQYFLLILINQMGTSLFRLLGAIGREMAVATTLASFLLTFLIAVGGFAVSRDSIKKGWLWGFWISPIMYATNGLMNNEFLGKAWGKVLPNSTEPLGVQVLKSKGFFTEWYWYWISFGAMIGYTLLFHFCYTLALAYFNPIEKRQAVKSEQSQSNGENSGKKGMVLPFEPHSITFDEVSYSVDMPQEMRSQGVTEDKLVLLNRVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKSGGYITGNIRISGYPKKQDTFARISGYCEQNDIHSPHITVYESLLYSAWLRLPAEINTETRKMFVEEVMELVELNSIRDAYVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNIVDTGRTIVCTIHQPNIDIFESFDELFLMRRGGQEIYVGPLGRHSSQLINYFEGIQGVPKIKDGYNPATWMLEVSNAAKEMETGINFAEVYKNSALYRRNKALIEELSTPSPGSKDLDFPSKYSRSFFVQCMACLWKQHWSYWRNPYYNFMRFIFTIAVALMLGGVYWKVATKIETQQDFFNSMGFLFTATLTIGSKNCSSVQPVITVERVVFYRERAAGMYSELAYAVSQALIEVPYNLLQSVLYAIIVFSLLGYEWTTAKFFWYIFFMFFTFLYYTYYGMMATAITPNQAMAALFSSASNSFFNLFSGFLVPLTRIPVWWSWFYWINPVAWTLNGLVTSQFGDMKDDLDFDGKIVPIQDFLRSYFGFNQDFLGVTAAAVVGFTILFVLVFAISIKTINFQRR >CAK8543698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631953782:631960737:-1 gene:gene-LATHSAT_LOCUS12439 transcript:rna-LATHSAT_LOCUS12439 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDDQRRFRGNSKIESSSRRVSSDVEYLFPNSVNREDNDEEEALKWAAIQRLPTVARLRTGLLKTSEGKANEIDIHHLKQEEKKFLLERLVRVADIDNEKFLLKLRDRVHRVGINFPTIEVRFEHLKIGAEGQVGKRALPTLINYTLDLIEAPFNYILRKRRQHVDILQNVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDPNLKVAGKVTYNGHEMNEFVPQRTAAYVSQNDLHIAELTVRETLAFSARFQGVGPRIDMLEEVSRREVERNIFPDPDIDIYMKAVATEGGKENLAVDYTLKLLGLENCADTVVGGAMLRGVSGGQRKRVTTGEMLVGTAKALFMDEISTGLDSSTTYQVVKSVKQSVQLLNGTAVISLLQPPPETYDLFDDIILLSEGHIVYQGPCQHVLEFFASIGFKCPERKSVADFLQEVTSVKDQEQYWVERRKPYKFVTPKEFAEIFESFHVGRTLGNELVTPFDKLKSHPAALTTNTYGIGKWELFKTCLAREYLLMKRNAFYYKFKLCQISVMAIVTMTVFLRTGMHRTSVIDGGIYAGALFFGNLVVMFNGFADLATTIARLPVFYKQRDLLFYPSWAYAFPTWILKIPITFAEAAAWTFLTYFVIGYSPEFSRLIKQYFLLILINQMGTSLFRLLGAIGREMAVATTLASFLLTFLIAVGGFAVSRDSIKKGWLWGFWISPIMYATNGLMNNEFLGKAWGKVLPNSTEPLGVQVLKSKGFFTEWYWYWISFGAMIGYTLLFHFCYTLALAYFNPIEKRQAVKSEQSQSNGENSGKKGMVLPFEPHSITFDEVSYSVDMPQEMRSQGVTEDKLVLLNRVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKSGGYITGNIRISGYPKKQDTFARISGYCEQNDIHSPHITVYESLLYSAWLRLPAEINTETRKMFVEEVMELVELNSIRDAYVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNIVDTGRTIVCTIHQPNIDIFESFDEGIQGVPKIKDGYNPATWMLEVSNAAKEMETGINFAEVYKNSALYRRNKALIEELSTPSPGSKDLDFPSKYSRSFFVQCMACLWKQHWSYWRNPYYNFMRFIFTIAVALMLGGVYWKVATKIETQQDFFNSMGFLFTATLTIGSKNCSSVQPVITVERVVFYRERAAGMYSELAYAVSQALIEVPYNLLQSVLYAIIVFSLLGYEWTTAKFFWYIFFMFFTFLYYTYYGMMATAITPNQAMAALFSSASNSFFNLFSGFLVPLTRIPVWWSWFYWINPVAWTLNGLVTSQFGDMKDDLDFDGKIVPIQDFLRSYFGFNQDFLGVTAAAVVGFTILFVLVFAISIKTINFQRR >CAK8536539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953527188:953530658:-1 gene:gene-LATHSAT_LOCUS5898 transcript:rna-LATHSAT_LOCUS5898 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTVNTFEVRERYFQQGRMGIRVERARKPNSKTKQALKFCDIHRGSIKKQRSVSVTALKAAIAEPAEAPKVETYENPMLANYVPVYVMLQLGVITGDNVLANRAGLEKQLKELRAAGVDGVMVDVWWGIVESKGPQQYDWSGYRSLFQLVQDCKLKLQAIMSFHQCGGNVGDSVFIPLPKWVLEVGESDPDIFYTNRTGIRNKECISLGVDDKPFFNGRTPIQMYRDYMKSFRENMADFLESELLIDIEVGLGPAGELRYPSYSDCLGWKFPGIGEFICYDKYLQADFKEAATKAGHPEWELPDNAGLCNDIPESTEFFRSKGTYQTEKGKFFLTWYSNKLLTHGDDILDEANKIFLGCKVKLAAKIAGIHWWYKTDSHATELTSGYYNLSDRDGYRPIARMFSRHNAILNFTCLEMRNSEQPEEAKSCAQELVQQVLSGGWRENLEVAGENALPRYDTEGYNQILLNARPNGVNKKGPPKLRMYGVTYLRLTEELFQKQNFDIFKTFVKKMHADQDYCPDPEKYYHYTVPMERSKPKIPLEILLEATKSVKSYPWSEVTDTSVIEASGFFADLIALILSIFRRNRN >CAK8568520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613594480:613599534:1 gene:gene-LATHSAT_LOCUS21468 transcript:rna-LATHSAT_LOCUS21468 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRSNHGIMIFMVTCWCVSLLLGGVAETRNETSVVVKVGAVLDINNGTVREIGLSCINMALSDFYLSHSHYKTRIQIILRDSHRDVVTAAAHALDLIKNEKVQAIMGPTTTMEANFVIQLGDKAHVPIVTFSATSPSLASLQSPYFFQISQTDSAQTKAITSIIQAFGWNQVATIYVDNSFGEGLIPYLTNVLQQQNIQVPYLSAISLSATDGAITQELYKIMTTVPTRVFVVHMTPTLGSKLFTMAKKIGMMGQGYVWIVTDSMTNLFNSLNLDVLESMEGVIGVRTYIPRTKELDDFRVRWKRKFISDNPTLVDTDLNIFGIWAYDATIALAMAVEKVGNGTKQFGYNESNTSNNYYTPEFERFGIAENGEKLREALSNTRFNGLSGDFNVSDGKLQASTYEIVNVIGNGEKRVGFWTPDKGLARTLDTKDRNNSTYSSSKNDLGTILWPGDTYSIPKGWEIPTINGKKLRIGVPVKNGINYTEFLKVVLDPSDNSTQATGFCIDVFKAVVEALPYGFPQYEFVAFAKPDGDMAGTYDELITELYHGKYDAVVGDITIIANRSKYVDFTMPYTESGVTMIVSMKDSRKKNAWAFLKPLTWQLWATTACSFVFIGFVVWVLEHRINNDFRGPLSHQIGTSLWFSFSTMVYAHREKVVSNLGRFVVVVWVFVVLILVQSYTASLTSLLTVEQLSPTITDVNQLLKNKMNVGYLKGSFVYGILKNLKFQDSQLITYQSAEECNDLFIKGSANGGIDAAFDEVPYVKRFLGIYSCSKYVMVEPRFKTGGFGYAFPKGSPFVADISRAILNVTQGDRMKTIESAWFKESSCQDSNTEISSHNSLGLESFWGLFLIAGIASLLALLICFVTFLYQHKHIWLNNDPSASIWQRIRVLVRIFDQRDMNCHNTFKKSEIKNERIVSPRDDDLGSVEASPGTHCPPSPSSQTDSIVSGDFSADHGSANLHNYAAHGENTEMDVVQNTNPEVEPVNNITTSTIVTSSS >CAK8579371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694405141:694407438:-1 gene:gene-LATHSAT_LOCUS31322 transcript:rna-LATHSAT_LOCUS31322 gene_biotype:protein_coding transcript_biotype:protein_coding MACIGFSSTCLFEVSLVQHEGLRFSQRIQMLSTPCMPKSYTLATSKKCKRIKAMASPNVAAPQREKDPKKRVVITGMGLVSVFGSDIDVFYNKLLEGESGISLIDRFDASSFPVRFGGQIRDFSSQGYIDCENDRCLDDCSRYCLVAGKRALEDANLGYEALNNMDRTRMGVLVGTGMGGVSSMNTAVDALTHRGHEKINPSFIPYTINMSSALLAIDTGLMGPNYSISTACATANYCFCAASHHIRSGEVDIMVVGGTEASIIPSGVGGFIACRALSHRNDEPKKASRPWDKNRDGFVIGEGSGVLIMESLESATKRGARIIAEYLGGAITCDAHHMTDPRSDGLGVSSCISKSLQDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKDTSELKMNGTKSMIGHCLGAAGGLEAIATIKAITTGWLHPTINQDNLEEDVTIDTVPNFKKKHEVNVAISNSFGFGGHNSVVVFAPFKP >CAK8542815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:550651633:550658634:1 gene:gene-LATHSAT_LOCUS11619 transcript:rna-LATHSAT_LOCUS11619 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSLQLGFQGTTEPNTSALDSSATLLIKHLPDAIPHDTLSRLLAHYGASSVRPCSGGRLRNCAFVDFKNDMLASQAQRQLNGLKFLGKVLSAEKASKPIANEEKSSETQIRKDSNTPAVKNENVTKPIDGDTKSGGQPIQEPIAHRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPPPFRMALPTPPLPPEVSAPPLPPPHSVTAKPQSADMSSDESEMGSSDEEDGARAQNSGRKRARHGAIVGPAIDKDVAHESVGVKPATLIPKEIPMIKKNPVLKIKIAPKATLNEHKDNDTSPELQEPEKETLDPNKFLTPEELERGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVITDDFYFLFGSFFGSTEAAKSGLQVKLMQEGRMKGQAFLTFPSTELAHRALNLVNGHVFKGKPMIIQFGRNPAAAKGT >CAK8565311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:113095279:113095727:1 gene:gene-LATHSAT_LOCUS18534 transcript:rna-LATHSAT_LOCUS18534 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEKKPELLILFSPLCYVDGCRYLDGSITFWLLCTCCRCLTAIDHGFGLGFSSVLFSCYRFCIGTVYSFMSLVRILVAAETW >CAK8564297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666589957:666594234:-1 gene:gene-LATHSAT_LOCUS17618 transcript:rna-LATHSAT_LOCUS17618 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATSIVVSFSKSSPSSSLFLTKYTSRIHSVLLPKRYHSTTKLMSHTLARATTLGLTHPAIIETPKISFSATDVDVTEWKGDILAVGVTEKDLTRDSKSRFENLILSKIDSKLNGLLAEASSEEDFSGKVGQSTVLRIKGLGSKRVGLIGLGQSPSTTALFKGFGEAVVAAAKSAQASNVAIVLASSEGLSSQSKLSTAFAIASGAVLGLFEDHRYRSESKKSALRSIDIIGLGTGPELEKKLKYAEDVSSGIIFGRELVNSPANVLTPAVLAEEASKVASTYSDVFTAKILDAEQCKELKMGSYLGVAAASANPPHFIHLCYKPPSGPINAKLALVGKGLTFDSGGYNIKTGPGCSVELMKFDMGGSAAVFGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGVFSPSDELVKEVLEASEVSGEKFWRLPLEESYWETMKSGVADMLNTGGRPGGSIAAALFLKQFVDEKVQWLHIDMAGPVWNDKKRTATGFGVATLVEWVLKNSS >CAK8561014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72844026:72845123:1 gene:gene-LATHSAT_LOCUS14642 transcript:rna-LATHSAT_LOCUS14642 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLLTLLLFTVISTVKSCPPSDRAALLAFKAALTEPNLGIFNTWSGYDCCRGWHGVSCNPTTWRVTDINLRGDSEDPIFQNVTNSGSMTGNISPEICKLDELTTIVIADWKSISGEIPSCITSLSSLRILDLTGNQISGYIPGNIGKLQRLTVLNLADNAISGEIPMSIVRISALMHLDLSNNQIIGELPADFGKLRRLSRALLSRNQLVGSIPNSVLKMNRLADLDLSLNKITGSIPVRLGKMRVLSTLKLDGNSMTGKIPSSLLSNTGMGIVNLSRNGFEGSIPNVFGSKSYFMVLDLSFNNLTGRIPDSFSSAKFMGHLDLSNNHLCGTIPIGSPFDHLDAASFNNNDCLCGNPLKTC >CAK8563399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600354252:600358858:1 gene:gene-LATHSAT_LOCUS16816 transcript:rna-LATHSAT_LOCUS16816 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQAGSDSEDEESDYDEEVEEVAGESGADATKTNYYLQGNASDSDDSDGQKRVVRSAKDKRYEEMASTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVAETDKVPSLYVKALVLLEDFLAQALANKDAKKKMSSSNAKALNSMKQKLKKNNKQYEELIIKCRESPESEEEKDEDEESEEEDESDGEIIEPEQLRKRELKSDSEVEYEDGKEDAGEGPWDQKLSKKDRLLDRQLMKDPREVTWDIVNKKFKEIVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPITVWKKCVQNMLLILDILVQFPNIKVDDSVEPDENETQKGADYNGPIRVWGNLVAFLEKIDTEFFKSLQCIDPHTGEYATRLKDEPLFLILAQNVQEYLESSGDFKASSKVALRRVELIYYKPQEVYDAMRKLADLTEDEENEGEASEESKGFEDTRIPTAFVVTPELVARKPTFPENSRALMDVLVSHIYKHGDERTKARAMLCDIYHHALFNEFSIARDLLLMSHLQENVQHMDISTQILFNRAMSQLGLCAFRVGLISEAHGCLSELYSGGRVKELLAQGVSQSRYHEKTAEQERLERRRQMPYHMHINLELLEAVHLISAMLLEVPNMAANIHDAKHRVISKNFRRLLEVSDKQTFTGPPESVRDHIMAATRVLIKGDFNKAFDIITSLDAWKFVKNRDIVLEMLKDKIKEEALRTYLLTFSSSYESLSVDQVTKFFDLSVPRTHSIVSRMMINEELHASWDQPTGCIVFQNVEHSRLQALAFQLTDKLSILAESNERATEARLGGGLDLPPRRRDGQDYAAAAGGGSGTGSIGGRWQDLSHSQPRQGSGRAGYGNAGGRPLAYGQAARSGYSRDRTGRGTGGGYQSSGRATQGRGSQGDVSTRMVSLKGVRA >CAK8534133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697782143:697784877:1 gene:gene-LATHSAT_LOCUS3701 transcript:rna-LATHSAT_LOCUS3701 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSRLCACMQRRLKKGGTPSQHGGDDDKDTIDACDSSNLLFDLHTLQLATNFFSELNQLGRGGFGPVFKGLMPNGEEVAIKKLSLESRQGIREFTNEVQLLLRIQHKNLVTLLGCCAEGPEKMLVYEYLPNKSLDHFLFDKKRSLDWTTRFRIVTGITRGLLYLHEEAPERIIHRDIKASNILLDEKLNPKISDFGLARLFPGEDTHVQTFRISGTHGYMAPEYAMRGYLSVKTDVFSYGVLVLEIVSGRKNHDVKLGSEKADLLSYAWKLYQGGKTMDLIDQSIGKYNGDEAAMCIQLGLLCCQASVVERPDMNSVHLMLSSDSFTLPRPSKPGLQGRVGKWSTTNTSALTNTNASSATRVSGGSSFLEDYSRNSISTSSFDEGR >CAK8562240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460135788:460142136:-1 gene:gene-LATHSAT_LOCUS15753 transcript:rna-LATHSAT_LOCUS15753 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTHSRQPNKVSVTLPKKISNGTFSSTKTLYDDVYGGPPKFTASSISPRFEDYGEIFSSFHAARSSSIPVLDLPEVDAGEVFFDFRRNAFDYAEVFGGSGGLDFWCSHEDLFRYGDYDEEEKEEEEAWTSVETDSFSGDLDRFGNNVGVSSGGLFQSVDGNTEFNISFHKVNGTSNEDMSKGKTHITQMHAVPGVTHVVDETTLFHRTDPSLQVVDDIDLDMEFSAGRGKRNHHKKMMSRPCNVTSGEQILCCDLDLHDGYDRNVSHSSEMFITVSDVSLRTIPSQLPPPCRPPPLLDPSKGYTSESHSNSERIDSEDTLGDGSPPFFDVEVDINSSASVVKEATDRSEAKVRTVKDLKGRKKRGSESSIKSTFDLKTKETETSENITRFNSLNDERVLATCDRRSGKIKISATDERQKARKAAPVIPEPLEGKKHLNLFEEKHMKESRPSQESDQSIGVGTWKEATEFIELVGTEESQKVVHPINHPKCLVQDARTFEHWRKEGEASNIQEEYQKVKASLEIYEPDEYKKKSKAERGAYEQVKNIRRSKSSSVECRQREPAKNDEIAEIFKLEKSEKIRTTSQHGKTEKKATKANQVESETSKEVDGQEPSEVQFNLKLKENEKKLKQNGEQHLGVKNHKHSQIMKENETIQREAFAPEAAEGEESVKDSEELEKIKGRSNQAIKLDRPEENVTCTRDNEQHIENRSGLQESQKDSFKNKGSSEGPKPAHGWVVNETGLSEEDFESGLKTKTEVAFERRTNEACVEDQSKEKFKLVSNEYGKSNRLEETSESEGTSNVRKQAIELERRSGNEAQMKQETESISNQISDREAPLGISNENSHSKQFEKILEDPGRIEKDDGFDIALEQMEVNRECMSKEFAKETNEKWKAQHGKNHLAAHSSTLHEENIGKLGVSLEPVADHEIGEARTDCEIGGRKLEEVGVEKIIAGEKITSFEMSHGKGEVSGTQPGKVDCSMRHTNELCFSSEHVCTEKAKTVPQMEFDSRNQEMKIAHEWGESKTIKHHVNVAPNQEGSRNLKSSSQVNTCNDYKRSTIVDKPAAVQEAVDVHNTSQRSHIAHSSQIKEKCLNETPASVEKGAEKLRIERELEKERLRKIEEVERERERQKDRMAVDRAMLEAEREREREKDRTAVDRATFEARDRAYAEARERAERAAFDRATAEARQRALTEARERLEKACAEARDKSYADKANAEARLKAERAAVERATAEARERAMEKVRVERAVFGSRERLDRSVSDKFSVSSRNDGRMGSSSSDIPDPQFHNISSAPGSRHPYSIYGAASFSERSEGESAQRCRARLERCRRTEDRAAKALEEKNMRDLLAQKEQAERSRLAETLDTEVRRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITSAAAKKAYRKATLCVHPDKLQQRGASIQHKYICEKVFDLLKDAWSKFNSEER >CAK8538856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498216086:498219036:1 gene:gene-LATHSAT_LOCUS8010 transcript:rna-LATHSAT_LOCUS8010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEAQNPLIGENTCGSLLKKLQEIWDEVGESDEERDKMLLQLEQECLDVYKRKVEHATKSRAQLLQSLSDAKLELSTLLSALGEKSFAGIPDNTSGTIKEQLAAIAPVLEQLWEQKEERIKDFSDVQSQIQRICGEITGSLNHNDVPAVDESDLSLKKFEEYQSELHELQKEKSDRLQKVFELVSTVHDLCAVLGMDFFSTVTEVHPSLNDSTGVQSKSISNDTLARLANTVLTLKEDKKQRLHKLQELASQLIDLWNLMDTPQEERKLFDHVTCNISASVDEVTIPGSLALDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIFAHAHIEIDSDVAREKIMALIDSGDIEPTELLADMDNQIAKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPALVETLVAKTRTWEETHDMSFTYDGVPLLAMLDEYAMLRHEREEEKRRMRDQKKFQELQITEPEAPFGSKPSPARPVSAKKGGGPRVNGGANGTPSRRLSLNAHQNGSRSIAKDGKRDNTRPVAPVNYVAMSKEDAASHVSGTEPIPASP >CAK8534098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694712497:694714023:1 gene:gene-LATHSAT_LOCUS3668 transcript:rna-LATHSAT_LOCUS3668 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTTVSYRFNINGKFSDKVVARRGVRQGDPLSPLLFVIIMEYLNRLLFRMQRNLDFNHHVRCERLQLTHLTFADDLLLFSRGDIVSIEIMQRTVNSFLDSTGMKVNPTKSKVYFGSVSDSVKQSILNFTAYDEGSLPFRYLGVPVSSKKLSVVHYLPLMDKLLCRITHWSSRLLSYAGRLQLIKSVLYAITSYWMQCVCFPKTVIRRINAICRTFLWTGGNSSSRKSLIAWDKICKPAAKGGLNVLDLVVWNSMFMMKLLWNISMKTDDLWVRWIHAYYLKNEDVMYRMVKNSDSIIFKTILMQRENIGTMQGDWNEMVQAGRFIGRRMYANLLPATPKVAWSRLILHNRARPRAIYTLWMTCHGKLATKVRLNRFGMVDNNQCVFCPAAETIDHLFFDCATLRKIWVEILHWIGIPHNPGDWTEELNWMLNCFGGKGWKADLVRLALTETVHEVWRYEVWRFRNDTCFNQRNDSRNCTDRIINNIVYRGWSSPKLRPHIALLMVQ >CAK8573936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648657069:648657440:1 gene:gene-LATHSAT_LOCUS26330 transcript:rna-LATHSAT_LOCUS26330 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRPTIIVILETRRVSVKLKKAFEKLGFEDFIYTDNYGFARGIKIGWKEEDVSVSLAHKEFQFIQVWNFTTVCASPNAENRKLLWEKLKDISETNQVPWLNITGDFNDIVYDHEKRGGVPLS >CAK8544220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672722188:672724395:-1 gene:gene-LATHSAT_LOCUS12919 transcript:rna-LATHSAT_LOCUS12919 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESEEFSTEILQRGVESNDPNDGPISFSIKVQPRMPDFLSSVNLKYVKLGYGYLINHSLYLLLAPPLLAILIHHIGKFTMEDLSVKYNITEGLFISGVLLLMLYIYIDSTSSSTYLLDFSCFRPSNDYKISKEEFIELAKRSGNFNESAIKFQEQVLKKSGIGDETYLSKSVFRPGYTSSLKEGREELSMVMFGAIKNLLAATKVKPKDIKILIVNCGILNTTPSISSMVINHFKLRHDIHSFNLGGMGCAAGIAAVDLAKDLLDAYPRSYALVVSTEAVSYSWYSGNDFDMLLPNCFFRMGASAILLSNYRLDRWRAKYELKQLVRTHKGMDNKSYKSIHQKEDNEGKKGLSISKDVIEVGGHALKANIITLGPLVLPVSEQLHFFTNLLFKKKKTKSYIPDFKLAFEHVCALATSKKVLDEMQNNLKLTEEYMEASRKTLERFGNTSSSSNWYELAYLEFNKRIKKGDRVCQIAFGSGFMCNSVVWKALRNVGKPKQSPWIEDDS >CAK8561960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418557566:418561631:1 gene:gene-LATHSAT_LOCUS15503 transcript:rna-LATHSAT_LOCUS15503 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLGNLALLLDISSPRALSLDRKPRLVGVDAILTLPLKRDSSSSTSSSCGCDGEVRGRAVVARGKSNSEQNGVDFDAEWSDEEGGFGDGADFEKKIRMRVKEFEERRELEKKVEEYLQSEKIDDGDEEITEEEKRMRVRKELQKVAKEQAEKRAMAQMMFDLGQKAYGRGKYGRAIEFLEGALTIIQRPTLFGGEIQIWLAMAYEANYRHNDCIDLYKQLEKSHPSVSIRRQAAELRYIMEAPKLKITQEEMVTIPLIFDSYDSYAVTWSGKNKDRKSGRGNSPFTSSKDNLLDSLVWSPPTGLGKNRAFWVGLTIWLGLVGAALFIQR >CAK8576484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482898668:482899027:-1 gene:gene-LATHSAT_LOCUS28667 transcript:rna-LATHSAT_LOCUS28667 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLWHKLKRLKHDLRSFSKPLSDVKQRLLFARDNLKKAQQELSANRMNIIIIRKVKELTEEVISLNELEEKILQQRSKIEWLRKGDGNNLYFYASIKAKQHSNCLSNLKKNDGTSIQS >CAK8563199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582467505:582468842:1 gene:gene-LATHSAT_LOCUS16633 transcript:rna-LATHSAT_LOCUS16633 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENGSLVHKRNSNTALPTTYSNDKNRRNRSKTFNNVKITILCGFVTILVLRGTIGVNFGSTDSDAVNQSVIEETNRILAEIRSDADPSDPDDKDETFFNPNATFTLGPKISDWDSQRKSWLNQNPEYPSFVRGKARILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYGRLHGIEIVYNMAHLDMELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFQLPLSKYDDYNMVIHGYPDLLFEQKSWIAINTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKVLTANLKGRPAFEADDQSALIYLLLSKKKKWMDKVFLENSYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHPSEKSESKS >CAK8532051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196792259:196792698:-1 gene:gene-LATHSAT_LOCUS1789 transcript:rna-LATHSAT_LOCUS1789 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEMKNVVMIMMMLILAEASYSLTRESPNSIGSVVKCAGECAVKCVDHLGDEIKYAECFAGCIIFTCHEISSQAVYDCTTRCAYSKLKYINTDAHGVIAIVNSCFKTCKDKM >CAK8567208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494956077:494956610:-1 gene:gene-LATHSAT_LOCUS20279 transcript:rna-LATHSAT_LOCUS20279 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNLKSSNVLLGSDFESCLNDYGLNVFLNPKTIDEPSATSFFYRAPECRSFQCPQPQSADVYNFGVLLLKLLTGKAAYQDLVQAHSSYIPIWVRSVREEEIESRDDPALSGNEVNIAMACVLVSPENRSTIRKFLKMIRDARGETHVSYNNNSDHSPGRWSNTVQSLPRDEHLNI >CAK8574548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1940988:1943095:1 gene:gene-LATHSAT_LOCUS26883 transcript:rna-LATHSAT_LOCUS26883 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTMSSVAMPYTGGDIKRSGELGKMFDIPMDGSKSRKSGPLNNAPIRTGSFGGAVSHSGPIMQNAAARSAYVTSGNLSAAGMSTSASMKRTNSGPLNKHGEPVKKSSGPQSGGGTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLESLGSMKAHNAAVVHNPAVTTLSVDDEYSFKKNFPKPILWSVILIFVMGFIAGGFILGAVHNAILLIVVVILFGAVAALFTWNSCRGRKAIVGFISQYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANAKHRRFTWGLRSTERHVVDFYISDFQSGLRALVKTGFGARVTPYVDDSVVIDVNPENKDMSPDFLRWLGKRNLSSDDRVMQLKEGYIKEGSTVSVMGVVHRNDNVLMIVPPPEPLTTGCQWAKCIFPASLEGIVLRCEDTSKIDVIPV >CAK8539822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527374781:527376769:1 gene:gene-LATHSAT_LOCUS8882 transcript:rna-LATHSAT_LOCUS8882 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNSIPFLRKFNLLQLRIQIRFYTRRRDPFPTKICHYLNRAKLIDSIRLSLRSNNPNSTLPTLISHRLFDSFVVTHALRSAPCADSALSLIYTLEKTESTNFSHTQNTLHALATVLAKSGKCDELKSLIDDIQRKRFGNVKISFMNLMQWYAAARDIGSVLEVWDRYRLDAMNRVCTESYNIVMSLYVELGRDCEAVGVFCKMVDEGSVPNCRSFSIIIEHLVKSGKFFEAIEVFNLLPLMRIKRTMKQYSVLIEGLVGSKMFDEVGVLVTEMQVDGILPSRTVSLLLKQVKDEGFLKDMDELYTEICPDERIKNVRYANDSSDEDEDEEEGENENGNGDLQCDHVNAIRLKPWLDPRSLASALQNWSPDEVSALEDAKFVWTTRLVCKILRCFNKPDTAWNFFCWVADQPGFTHNIYTVQRIMTFLARHGRTELVDKLTSKIRMEGMRLPFSTLRLIIDFYGISKNADAALKVFNNDRILCGSISKVNMMLLFSSLLRTLTKCGKDSDALEMLDEMILNGICPDIQTFSGLMQYFSQLGDIKTAQTLFSMLRQSGFEPDAYLFKVLIEGYCKSKRAALAWRLFEDMKNSGLIPDFATKELLVKSLWREGRRREAAAVEESCEEVNMALPPALPGHVWTVSSADLTRVFNIYSNCFTSKGD >CAK8533016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566289886:566290827:1 gene:gene-LATHSAT_LOCUS2668 transcript:rna-LATHSAT_LOCUS2668 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEATRAKEIAKRKFIEEDTLGAKKFALKAQNLFPSLEGIPQMIATLDIYISADNKVKGEADWYGILGVSPHADDDTVRKHYRKLALMLHPDKNKSIRADGAFKLISEAWSILSDKARRAAYDEKINARAQKGSAIFGGSSAKAAANGANNSKKKTPSMGKSQKNTAKENTSSSNKSKSTFWTTCKRCKMQYEYLRVYLNLKLVCPSCHEAFLAVETDPPPASGIRPGTSWIFKQKYDNEGPNKSKSVGKNNTTPPNDGAESNKNSFQWAPFSKTSGVSDVTQATNVVQQAYDKVRRDREEAQAANKRK >CAK8576493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:483356837:483357316:-1 gene:gene-LATHSAT_LOCUS28676 transcript:rna-LATHSAT_LOCUS28676 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDKYILLQLRNYAGQIFAVFAFAIFEHYSGRAMIPYFYGEEMEGYDERYSEEIQAAIDAEREENNEEDLMVYVSENEKTSNNTNIQKKTKILPPVAERGLVNILFDFQRWTRPVRYIKNDHFERIVRDENSQFFFKHVKVMEKNEYLSRIHLIYLLF >CAK8563799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631642786:631647834:-1 gene:gene-LATHSAT_LOCUS17174 transcript:rna-LATHSAT_LOCUS17174 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIPPSTPDSPTSPSIGFNTDQLPHTHTSRASEDDEASVDPDIIRDEPEPEEDEDGEDLYNDNFLEDYRRMDEADQFESVGLDDSVEDERDFDQIMQDRRAAEVELDTRDGRASNRTKLPQLLHDQDTDDDSYRPSKRARADHRSSIPPSDDDLDGMNSSPGRSQRGQHSREDNPTTDQNEDDQYEDDFDDEAGYEMYRVQGTLREWVTRDEVRRFIARKFKDFLLTYINPKNEHGDFEYVRLINEMVSANKCSLEIDYKQFIYVHPNIAIWLADAPHSVLEVMEDVAKSVVFQLHSNYKHIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNFQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFSTVVEANYVTKKQDLFSAYKLTQEDKEEIENLGKDPRIGERIVKSIAPSIYGHDDIKTGIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKLFTQNVELTDPIISRFDILCVVKDVVDPVTDEMLAKFVVDSHFKSQPKGANNDDKSVSESQDVSGMPTDPEILPQDMLKKYITYAKLNVFPRFNDADLNKLTNVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTPEDVDMAIRVLLDSFISTQKFGVQKALQKSFRKYITFKKDYNDMLLYILRELVKSAIDFEAIVAGSTSSLTHVEVKVDDLFVKAQEHDIYDLKPFFNSSQFAKSDYVLDEERAVIRHQLR >CAK8542115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474593064:474595616:-1 gene:gene-LATHSAT_LOCUS10985 transcript:rna-LATHSAT_LOCUS10985 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIEMIVVLVTLLLICITSSSLAYNKNYEEEHLLRRNLLANGLARTPPMGWNSWNHFACQIDEKMIRETADALISTGLSKLGYTYVNIDDCWAELNRDHKGDLMAKNSTFPSGIKALADYVHGKGLKLGIYSDAGYFTCSKQMPGSLGHEFQDAKTFASWGIDYLKYDNCFNGGSKPTERYPVMTRALMKAGRPIFFSICEWGDLHPALWGAKVGNSWRTTGDISDTWESMISKADTNEVYAELARPGGWNDPDMLEVGNGGMTKNEYIVHFSLWAISKAPLLLGCDVRNVTKDTMEIISNKEVIAVNQDSLGVQAKKVRMEGDQEIWAGPLSGYRVAVVLLNKGAQRIAVTANWDDIGIPPKSVVEARDLWEHKTLENYFVDKLSATVEPHACKMYVLKPVA >CAK8560646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33803520:33804644:1 gene:gene-LATHSAT_LOCUS14305 transcript:rna-LATHSAT_LOCUS14305 gene_biotype:protein_coding transcript_biotype:protein_coding MADLMGSRAYCCFKCQNLVAFHDDIVSKDFQASNGRAFLFSHAMNIFLGAKEDRNLMTGLHTVADVYCSDCGEELGWKYIKAYEETQKYKEGKCVLEKFKIVLGNG >CAK8543762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637080627:637081139:-1 gene:gene-LATHSAT_LOCUS12500 transcript:rna-LATHSAT_LOCUS12500 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRQRNNEGTADNIDVANCLMLLSCPQQEKAFETGVYECKTCNKKFSSFQALGGHRASHKRMKLAEGEELKEQAKSLSLWNKPKMHECSICGMGFSLGQALGGHMRKHRAAMSEGFSSINQIIAKIPVLKRSNSKRVMGFDLNLTPLENDDLMLGMKLPLTPIPLSLF >CAK8540683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15874836:15876415:-1 gene:gene-LATHSAT_LOCUS9665 transcript:rna-LATHSAT_LOCUS9665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSNEKENNIVETLTPQTDDSDALLAMVLGANMVFPAVLNAAIKLKLFEIIGNEFKSAIEIASKLPAQHSDLPNRLERMLRMLASYSLLSVSTCTNDDGSKVRVYGVTRSGKYFVNDENGGGYVGSFTSFMCHRALLGVWLNFKEAVIDPEIDLFKKVNGISKFEYFGTDPQINQLFNRSMTDSCNVHIKRILDIYKGFEGVSTLVDVGGGNGQSLKLIIAKYSSIKAINFDLPQVIDNAPPITGIEHVGGSMFESIPQGDAIILKGICHNWSDGQCIEILSNCHKALPPNGKVIIIELTQPEDPEPTDASRMIATIDNIMFITAGGRERTLKEYESLGKQSGFSKLQVVCHAFSILAVMELYK >CAK8533592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639919867:639920362:-1 gene:gene-LATHSAT_LOCUS3211 transcript:rna-LATHSAT_LOCUS3211 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMKYSYPCYEKTPYHCAPGYRTFFDHFEEDDFLWRHYLELEDKDPTESDMWSSTTFIFSFTYVEMHHSNRVKLQFGIKQDIPSPPTCMERYHKSTANDQWKFDDWRDHNRQERQHWINRRRTVLRGNVMDTECKPSR >CAK8533593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639919867:639920353:-1 gene:gene-LATHSAT_LOCUS3211 transcript:rna-LATHSAT_LOCUS3211-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSYPCYEKTPYHCAPGYRTFFDHFEEDDFLWRHYLELEDKDPTESDMWSSTTFIFSFTYVEMHHSNRVKLQFGIKQDIPSPPTCMERYHKSTANDQWKFDDWRDHNRQERQHWINRRRTVLRGNVMDTECKPSR >CAK8579071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672580143:672585232:1 gene:gene-LATHSAT_LOCUS31044 transcript:rna-LATHSAT_LOCUS31044 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSADLHRHESDIELIAPENITKLSVAEPKAGTYVDHNKKEEEEEVADYVEVTMDIQGGSVALHSMKTITESEMGESDREKLCLTGKRLVKKKSFGASVVQNATIRIKQLKRLTSFAKPEPAKRLERTKSAVAHALTGLKFISTTDVSAGWSKVEKEFEKLTATTDGYLPRALFAKCIGLNAESEAYAEKLFDTLARQRGIQGGSINKIQLREFWDCISDQSFDTRLKTFFDMVDKDADGRITEEEIKDIICLSATANKLSNIQKQAEEYAALIMEELDPDDTGYILIGNLETLLLHAPEENTRGESKYLSQMLSQKLTPMFEENPIKRWYRDTKYFFQDNWRRSWVFALWIGVMLGLFAYKFVQYRRRAAYEVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGTFVPFDDGLNFHKMIAVAISLGVGIHAIYHLVCDFPRLLHASNEKYKLMEPFFGRKPSNYWYFVKSWEGVTGILMVVLMAISFTLANTRFRRNRTKLPKPFNKLTGFNAFWYSHHLFIIVYALLIIHGTKLYLTNEWYKKTTWMYLAIPVTIYALERLTRALRSSIKSVKILKVAVYPGNVLAINMSKPQGFSYKSGQYMLVNCAAVSPLEWHPFSITSAPNDDYLSVHIKILGDWTRGLKDKFSEACQPANNGQSGLLRGECLKGDNSPITFPKVLIDGPYGAPAQDYREYEVVLLVGLGIGATPMISILKDMVNNFKAMEDEDGFAMEEGSPVTNNHKDTRFSDFKTRRAYFYWVTRDQGSFDWFKEVMNDVAEEDRGGLIELHSYCTSVYEQGDARSALIAMVQSISHAKNGVDVVSGTRVKSHFAKPNWRSVYKRIALNHPEARVGVFYCGPSTLTDQLRQLSLDFSHNTSTKYDFHKENF >CAK8531781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151137944:151142835:1 gene:gene-LATHSAT_LOCUS1547 transcript:rna-LATHSAT_LOCUS1547 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTPSVATDLAPPVAKVTGIFTYPIKSCRGISLPCAPLTPFGIRWDRQWVVVNSNGRARTQRVEPKLALVEVELPHEAFLEHWEPTTDSFMVLKAPGMEPLKVCLNKQYEVVDDITVWEWNGPAWDEGAEASQWFSDYLGSPSKLIRFNTASEIRRVDPDYVEGQQMTLFSDGFPFLLLSQESLDALNKLLEEPIPINRFRPNILVEGCEPNAEDLWRDIKISRFSFQGVKLCARCKVPTVNQETGIPGTEPYETLMKVRSGQVLRPNEKHGKKIYFGQNLVWNWKDSSAKGDGNVLKLGDPVCIIKKFSSLAEAAA >CAK8575427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:116738894:116740195:1 gene:gene-LATHSAT_LOCUS27696 transcript:rna-LATHSAT_LOCUS27696 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRRTTIDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSDIRMLAYGSPADIVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDIEHLLKMGESRGFPGMLGSIDCMHWEWKNCPVAWKGQFCRGDHGKPTIMLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNVFNDILEGHAPTVQYTINGTPYNMGYYLADGIYPEWATFVKTISMPQGEKRKLFAQHQESARKDVERAFGVLQSRFAIIRGPARAWHMETLKHTIYACIILHNMIVEDERHTYEGHFDYSYDNVDDNNSTTETFNGPHPNLATRLQRRANIREKQVHRQLQGDLVEHIWERFGHEEDET >CAK8568609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624247466:624248017:-1 gene:gene-LATHSAT_LOCUS21551 transcript:rna-LATHSAT_LOCUS21551 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKFKAAAFYKILINDGTRVHWRRLIGYNKERPRAIQCLWQACHGKLATKERLKRFGVIEDNICSLCKSEEETISHLFFSCPRTRHIWVEILKWFNIQHEPQQWDTELIWITNQTKGKGWKADVLKMVTAETVYNIWIYRNSITFGNIVENTNMVEKIIDNVIYRGWKNNRIRKYLASLMM >CAK8542536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524183833:524186858:-1 gene:gene-LATHSAT_LOCUS11370 transcript:rna-LATHSAT_LOCUS11370 gene_biotype:protein_coding transcript_biotype:protein_coding MAENASTELRIFVLFSLLLIQVCVSEIIFEERFEDGWQSRWVKSDWKRSEGKAGSFKHTAGKWAGDPDDKGIQTSNDAKHFAISAKIPEFTNKNRTLVFQYSIKFEQEIECGGGYMKLLSGFVNQKKFGGDTPYSIMFGPDLCGTDTKKLHVIVSYQGQNYPIKKDLQCETDKLTHFYTFILRPDATYSVLVDNRERDSGSMYTDWDILPPRKIKDVKAKKPADWDDREYIEDPNSVKPEGYDSIPAEIPDPKAKEPDSWDEDEDGIWKRPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWLDNPEFEDDPDLYVLKPIKYVGIEVWQVKGGSVFDNILICDDPDYAKQVVDEVFANREIEKEGFEEAEKIRKAQEEEEAQRAREEGERRRKDRGYDRHRNRHRDRYRKHRHDYMDDYHDEL >CAK8569834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13089143:13089730:-1 gene:gene-LATHSAT_LOCUS22643 transcript:rna-LATHSAT_LOCUS22643 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELSQHNIQGLTQEEFKELEPIIKKYHILEPTTNTCTSIITYKIEAPSSIVWPFVRSFENPQKYKHFIKGCNMQGDGNVGSIREVTVVSGLPASTSTERLEILDDEKHVLSFRVVGGEHRLQNYRSVTSVNEFVNDEGKVYTIVVESYIVDIPHGNTEEDTKMFVDTVVKLNLQKLGVVAMSSCSADSMENNI >CAK8566392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418567275:418569431:1 gene:gene-LATHSAT_LOCUS19525 transcript:rna-LATHSAT_LOCUS19525 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWMFASRASKEYEDGVQEFVRFAIAHAEDTSKIICPCLKCCYTDVNANVLEDHLICNGIDKSYTCWIMHGEKKTKSTKRSNGRDKSNDFEQDTNYEFDLVEEFVNVIEEDIRDCPQMFERLVSDAETPLYEGCTKFTRLSVVLKLYNLKARHGWSDRSFTDFLTLLNEILPKNNVLPSRTYEAKRLLCSIGMSYEKIHACPNDCMLFRNEYASLDMCPKCSAPRYKKKETTPAKTLWYFPIIPRFRRMYRNAEDAKNLTWYAKERVVNGMLRHPADSPQRAKIDHDYPNFGQEERNLRLALSTDGINPHGIQSSKLTSWPVILLIYNLPPWLCMKRKYMMLTMLISGPKQPGNDIDIYLAPLIEDLKHMWEAGVEVYDEYKKESFQLRVMLFGTINDFPAYGNLSGYSVKGKLACPVCEDNTHSIRLDHCMKNVFLGHRRFLNTNHRFRKWGKAFNGESEEKRAPVPLMGDQLYQKVKLLSTNFGKPFSSELATGGWKKKSIFFELPYWKSLYVRHFLDVMHIEKNVLESVVCTLLNLPGKSKDGLKARLDLQSMGLRNELGPVKREGKRTFLPPAAHTLSRKEKKILCKVLHNVKVPEGYSSNIKSLVSLKDLKLKGLKSPDCHVLMENFLPVAICSILPENVRWTITKLCFFFKAICSKVIEMYFPPSFFDIMVHLTVHLIMEIQYCGPAYMRWMYPIERYMKIIKGYVKNRS >CAK8572109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511163670:511168873:-1 gene:gene-LATHSAT_LOCUS24708 transcript:rna-LATHSAT_LOCUS24708 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCWFHKFKSNKDKMQSSKNKETASVVKERSKPPTNEEAPSNVTQQKVAAAKQYIENHYKKQMKNLQERKERRNMLEKKLADSEVSEEEQNNLLRFFEKREREYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKATRNVYAMKKLKKSEMLRRGQVEHVKAERDLLAEVDSNYIVKLYCSFQDEDCLYLIMEYLPGGDMMTLLMRKDILTEYEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRHGHMKLSDFGLCKPLDCSNLQEKDFSTGINRSGALQSNGQPVAPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWRNYLKFPEEAKLSAEAKDLISRLLCNVEQRLGTRGADEIKAHPWFKGVEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDHQSQPSSKSGPWRKMLSSKDVNFVGYTYKNYEIVNDNHLSGMAELKKKSTKPKRPSIKTLFDDDSATTANQPGQGSYSTGSSRGK >CAK8544295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676924406:676925140:-1 gene:gene-LATHSAT_LOCUS12987 transcript:rna-LATHSAT_LOCUS12987 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLQMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDNDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSGESPLCSENYVIEEHTISRWSPKQCRERSI >CAK8531301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99284331:99293440:1 gene:gene-LATHSAT_LOCUS1104 transcript:rna-LATHSAT_LOCUS1104 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFKTLTVVAFHKQFIDDATVDRHSNRSPQRVINDSPSSITQKKPKTTSSRLQFFVRMMWKCDTLVIRASSEDTVKTLLLQISLKIKVPFVYLRLIYKGKQLQLEHNLGECGIENDVNLQLVGRLRSIGCPVVWEATQETVSLILRLCRNEMVSDASNLILKHFKDYMNNPEYFGVFMFMKIPSLLVNLFMSPSASNKNVAELSIQQFVQNSLDMTCKKLQGLYIEVVLEFCELLRGVGCKSDNLLYVYCRDGFATLLAHVGVLFRISKRRVLLQGVSYCVRELADGLLKYLDSSKTCLTSAEILCYDIRGFVKISTPLRKGMAEQQGEFENCAIYYGDDPLLGGLVDQLRIVFIQLLNKLDECLQVMENCLGNKEQGEGDRDAIRSGRSHYLFILKELYHISKLYSGAEEMFWEVLLRRKNMLSYLIVRYAERTDNHRWVLENMGVTNFESRRHLAMMLFPALNDEVLGYEMLIGRSHILAESFEYISRAKPKSLEGGIFMGFKNEDATGPGVLREWFVLVCQEIFNPKNALYLACPNDQRRFFPNAASKVHPLHLKYFSFSGRMIGLALKSKVHVGIVFDRVFFKQLAGNSITLEDIRDADPIMYHSCKQILEMNADYIDTDVLGLSFSIEVEELGHRRVIELCPGGESIVVDSNNRGMYVDLLIQNRFVTPISEQVSHFAAGFADVISGKRLEFFRYLDLEDLDWMLHGSENALSVEDWKAHTKYNGYKEIDRQISWFWEIVGRMSAEQKKVLLFFWTSVKYLPVEGFRGLASRLFICKLNEPENHLPTSHTCFYELCFPPYSSITIMQDRLGIITQEHISCSFGTP >CAK8543537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617395220:617395831:-1 gene:gene-LATHSAT_LOCUS12288 transcript:rna-LATHSAT_LOCUS12288 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAPHYSSLPSFPSSTPPNFISRATAATKPASVTRRPWEEVFALYSFTRPYSISEASSRVKRNLNHFRVNYIMIVLFVLFLSLLWHPISIIVFLVSLVAWFFLYFFREEPLVVFGRSIDDRASAAVLAVVTVVALGFTGVWVNVLVSVVVGVLVVVLHAAFRSTEDLYADEPEGYDGGLLSFVGGTPTKRTTGQGFK >CAK8542526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523120616:523124179:-1 gene:gene-LATHSAT_LOCUS11360 transcript:rna-LATHSAT_LOCUS11360 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKFLVPLCILLMLLSVKAAPRRSLITHLPGFSGKFLSHHYSGYVSIDGNAETGKNLFYYFVSSERNPRKDPVVLWLNGGPGCSSFDGFVYEHGPFNFKAAESKENLPTLHNNPYSWSKVSNIIYLDSPTGVGFSYSKNISKYSTGDLQTASDTHAFLLKWFEQFPEFQANPFYISGESYAGIYVPTLAFEIAKGIQSQIKPVINLKGYLVGNGVTDPKFDNNAFIPFVHGMGLISDTIYESVHASCKENNYNSDSESDLCAKSMEKVSKAIQGLNVYNILEPCHHDPEVATNLSSNLPLSFQKLGLTERPLPVRKRMFGRAWPFRAPVRDGPVTLWPQLLANTRHVPCVSDEVATSWLNNAAVRKAIHVEASGGWELCTDRISFDHDAGGMIPFHKNLTKLGYRALIYSGDHDMCVPFTGSEAWTSSLGYKTVDEWRSWSSNGQVAGFLQAYENNLTFLTVKGAGHTVPEYKPREALDFYSRWLDGKSI >CAK8542525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523120616:523124179:-1 gene:gene-LATHSAT_LOCUS11360 transcript:rna-LATHSAT_LOCUS11360-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKFLVPLCILLMLLSVKAAPRRSLITHLPGFSGKFLSHHYSGYVSIDGNAETGKNLFYYFVSSERNPRKDPVVLWLNGGPGCSSFDGFVYEHGPFNFKAAESKENLPTLHNNPYSWSKVSNIIYLDSPTGVGFSYSKNISKYSTGDLQTASDTHAFLLKWFEQFPEFQANPFYISGESYAGIYVPTLAFEIAKGIQSQIKPVINLKGYLVGNGVTDPKFDNNAFIPFVHGMGLISDTIYESVHASCKENNYNSDSESDLCAKSMEKVSKAIQGLNVYNILEPCHHDPEVATNLSSNLPLSFQKLGLTERPLPVRKRMFGRAWPFRAPVRDGPVTLWPQLLANTRHVPCVSDEVATSWLNNAAVRKAIHVEVASGGWELCTDRISFDHDAGGMIPFHKNLTKLGYRALIYSGDHDMCVPFTGSEAWTSSLGYKTVDEWRSWSSNGQVAGFLQAYENNLTFLTVKGAGHTVPEYKPREALDFYSRWLDGKSI >CAK8543146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583091467:583091799:1 gene:gene-LATHSAT_LOCUS11927 transcript:rna-LATHSAT_LOCUS11927 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPNAPLYIPAAFRQVEDFSPEWWQLVTTLTWYHDYWLNQQHDDEGFYVDEFSGNDVVDLLPDAFDLDLNDDDDDLSIEAQLEEFIKSSEAQEEAFAESG >CAK8532246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:230108146:230108519:-1 gene:gene-LATHSAT_LOCUS1976 transcript:rna-LATHSAT_LOCUS1976 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVRSVKDGVSHRKSGRSPTKRFDFHLLARSSAKLKKKVLKQVKKEKIDKLHEMLQGNNRERVQEVVEVMDETPKKGTQQERV >CAK8542391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507638607:507639806:-1 gene:gene-LATHSAT_LOCUS11232 transcript:rna-LATHSAT_LOCUS11232 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTLFLFFLLLISLNSHFALAGKRKVHITDDLDDVFDDEEDDDWKQWGKKPAPSFAPSDITKMDTKQIQEEMKKRHVGPVIGFVKLRLGVRRTPDMVAELAMKWTHVLRTGAVGIRFTGVDLSTIMFNMDSIKDLDELKEFVLDQSEAYEIKMGEQFFRRPGDLPLDELIQKQHSEKSEADNAGPEEADGNLKTEL >CAK8575009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21155133:21155765:1 gene:gene-LATHSAT_LOCUS27302 transcript:rna-LATHSAT_LOCUS27302 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNLEIFSKLWDISDLPTAQVASHLQKYRNYLKRPSCGKKSKKSPRIETPAECINKTSLESEDVHSMLQQDQSSQLDSTLHSDNIFETQQQSNDVTNYQVPDSGYNYETQQHSTDVSDGRVSDIMSNDFPDPSDSLFDLDELISLLF >CAK8530738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46289465:46293544:-1 gene:gene-LATHSAT_LOCUS581 transcript:rna-LATHSAT_LOCUS581 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYSNCLSILSFSLYFYFFPCLVSSTKKNITTDELALLSFKSSITLDPYHVLSNWSISSNSSSFSSCNWVGVTCDEHHGRVNALNLSNMGLQGSISPQLGNLSFLLVLDLQANSFNGELPQELLHLKRLKWLDLSYNDFVGEIPSRIGELSKLQYLDIGYNKIVGIIPQSISNLSMLEYLSLKSNFIEGTIPLVIGKLHMLRILDVKNNKLSGTIPSTIFNMSSLQEIRLSNNSLSGEIPKGIGDLTQLITLNLQYNLLTGNILMLNNSSLQRLGLGFNNLSGILPSNVCQGLPNLRLLYLYANDFSGKMPNVWRNCKELEDLELSFNNFDKGPLPADIGSLTKLQSLYLTSTNLEGEIPVSLFNISSLIEINLDGNHLNGTLPGEMCLQLPQLEKFTLFGNHLEGTIPGSIGNCTFLQILTLQDNFFSGSIPMEIGNLNQLQFLQLGNNSLRGHVPSNVFNISTLQNLHLELNSLSGMLPSNIGLGLPNLQQLHMYGNRFVGNIPNTISNASNLVIIDLSSNEFSGVIPNSFGNLRDLESLVIGGNNLTIDESLEFNFLTSLTSCRYLKHLEISENSLPLKLPKSIGNLSLEHFWANSCGIKGNIPLEIGNMSNLVRLSLSRNDLNGQIPSTIKELQKLQSLGLDYNKLQGSIIDELCEIRSLSELNLTSNKFFGVLPTCLGNMTSLRKIHIGSNRLNSTIPSSFWNLKDILEVNLSSNALIGNLPFEIKNLRALVLLDLSGNQISNNIPTTISFLTTLETLSLANNKLEGLIPKSLGEMVSLSFLDFSQNLLTGMIPKSLESLIYLKYMNVSNNRLQGEIPNGGPFKKFTSQSFMSNKALCGSPHFQVPPCDKQIRKKSMKKMILIICISSIIVVLGLVAIACIIVQMFKRKKVENPLERDLSTNLGVSKRISYNELVQATHGFNESNLLGKGGFGSVYQGMLSSGKMVAIKVLDLKLEVASRSFDAECKAMRNLRHRNLVEIITSCSNVNFKSLVMEFMSNGSLEKWLYSENYFLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDKNMVAHVSDFGISKLLDEGHSKTHTGTLATLGYVAPEYGSKGVISVKGDVYSYGIMLMEIFTAKKPTNEMFAGELTLKNWISESMVSSVMEVVDFNLVSQHDKEIHEFLAHVSSILALALRCCEDSPETRINMIYVTASLIKIKTLFIH >CAK8562091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439641889:439645564:1 gene:gene-LATHSAT_LOCUS15618 transcript:rna-LATHSAT_LOCUS15618 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPVPDSNASTTTTAIGSRFSILNKSFKVALRSMLTSRPKEEFVKEFPTFTNAEKNYLHRLYLQAMNSFQEAFEEEFEAICLKIKLGAVLDAVEEIVEEQELDPLFSKRSNIVDTAESLSLAKKNEIQHLKHMVQLGEENNQRLRNQLQLLKENSQLLSGVSHAIEKFKIINSNYGANPGDEMHDAYHF >CAK8540461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:3633486:3634160:1 gene:gene-LATHSAT_LOCUS9464 transcript:rna-LATHSAT_LOCUS9464 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYIVSTGNTTDASEKEYSIVHFQNPSNYGIKIIPTTQVYKQTLFSIFNFLKPYKITTIEENLSTDKCKDVYLLSRDDIRNHRSKYNFLHIGLVQFSIVNTLLTNEETLNVSISLRDSKYPKFEDSILVRLDSDFSKGDVKFNWFPNFSTRLSDLANSNALVVTIDPPDDSVNLKVRYRVCYKLMKKSFNTDYLFQNPVIEVDTEKINVVVPVSNNNNNNQS >CAK8530524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27527666:27529131:1 gene:gene-LATHSAT_LOCUS382 transcript:rna-LATHSAT_LOCUS382 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCKVCVTGGAGYIGSLLVKKLLQKGYTVHATLRNLKDESKVGILRGLPDAESRLVLFEADIYKPDDFSHAIQGCQFVFHVATAFQHQTNSKFNGIEEAVIAGVKSIATNCIKSGTVRKLIYTGTVVSSSPLKDDGSGYKDFIDETCWTPLHLPLTALHKEYADSKTLAEKELLMSYGKDENGSGGIEVVSLSVGLVGGDALLSYTPATVAVLTAQLKDDDEAMHQSLKFVEDICGKIPLVHVDDVCEAHIFCAENPSINGRFLLANSYASSAEIASYYLQNYPEFNLKEKYLEGPDRKIKWASTKLIDEGFVYKHDLKMILDDSIKCARRIGYLNM >CAK8533137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:582731808:582735621:-1 gene:gene-LATHSAT_LOCUS2785 transcript:rna-LATHSAT_LOCUS2785 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMRGKNVRARPVQIDYMIHIQEIKPWPPSQSLRSLHSVLIEMKNGSSTLGSTKLVSPSLGSVIGEGRIEFNESFRISVTLLRDVSIRGGDFDVFQKNFLEFNLYEPRKDNKIVKGQLLGNAVIDLADYGVIIEGLSINTSMNCKRSYKNKDQPVLFVKIQPVDKIRARSSSLQERFSNEVLEDKSNGGDSVSTLMNEEYAEEAEIASFTDDDVSSRSSVVTGASTSFELPNEPDGPIRKTNMVPQDAYKKVESSSHTAASTDASLSTEKGATSPSLVCENIDENSRIRTRSNNHEKFDENFHDKVTHSRNIVEGVQRINSKSTYNIHSNSNEKRDAKISPKEAKNDNLESKVDYLKKKAKNLEGELREAAALEASLYSVVAEHGNSMSKVHAPARRLSRLYLHACREKLQGRRYGAAKSSVSGLVLVSKACGNDVPRLTFWLSNAIVLRTIISQNSKDLVSSNHGGSDRRRKTGKNGNGRIASSSQRLKGGKNESIKSLGFECFGNWDDPHVFISALEEVEAWIFSRIVESIWWQTLTPHMQHVDSNITNKEVASTSRKSYQTVSSSCDQDKSNLSLDIWKNAFKETFERLCPIRAGGHECGCLPMLPRLIMEQCVARLDVVMFNAILRESGDEIPTDPVSDAISDPKVLPIPPGKSSFGAGAQLKTVIGNWSRWLSDLYGMNEEDSIENKDGSNNNEQKHNTCFNSFTLLNSLSDLLMLPKDMLLCASIRNEVCPMFSAPLIKKILDNYFPDEFCPDPVPITVLESLDLENDMEHGKELDNNFTCSAAPISYSPPNVTSIASILGEIGSKSQLRRNKSSVVRKSYTSDDELNELKSPLSSILFNDSSSLSEVLTKSNLKSKEICSESPVRYDLLRDVWMSSN >CAK8575714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:271609080:271609436:1 gene:gene-LATHSAT_LOCUS27953 transcript:rna-LATHSAT_LOCUS27953 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNPKNLFPKHLFHSKKEKSSVSRSDPLSFGSSSSSDESTHKVVTGGSQTPTSVLPEASSSLSSDVTIEVQWELAQAFWLIDRNNNNDNIVQATTLFLDFVPPLFHSNNNDLSTTTT >CAK8561773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:380669842:380670666:-1 gene:gene-LATHSAT_LOCUS15332 transcript:rna-LATHSAT_LOCUS15332 gene_biotype:protein_coding transcript_biotype:protein_coding MTITTITKISNFVLLTSSIYLLFLATNVESEFSFNFPTFSPDVFKTGVGFANDATLKNGVIQLTKKDGYGNPLKHSAGQFGLIEPIQIYDKTTGKIASFITEFTFLVNTNGRIDHGDGFAFFIVSPDYKIPNKKNSEGGFLGMFSKETALYAKQILLVEFDSFANEWDPSPLSQFSHIGIDINSIKSVAYTPWYNDFEDGNVGKARIEYDSREKNLKVLVSYSKKGPLNGDSSLVYNIDLTSFLPEFVQIGFSASTGDLVETHDILSWSFTSNM >CAK8539717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522724775:522726654:-1 gene:gene-LATHSAT_LOCUS8789 transcript:rna-LATHSAT_LOCUS8789 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFTYSSSSKIGETCGTCDAGLTCQTCPANGNTRPRCSRIQTLNPITKVKGLPFNRYSWLTTHNSFALAGAKSATGSFIVAPMNQDDTIGDQLKNGVRGFMLDMYDFQNNVWLCHSARNKCFNFTSFIPAVDALNEIRAFLDSNPFEIVTIFIEDYVVAPSGISKVFQGSGINKYLFPLARMPTKGEDWPTVDDMIQKNHRFIAFTSKKSKEESEGIPFMWKYVVENQYGNEGMQEGICSNRPESPPLDLKSRSLVLVNFFHSTPNRSQSCADNSAPLLNMIRTCQKAAGRWPNFIAVDYYLRNDGGGAAEAVDEANGHLTCGCDNINYCKVNGTFGECDVPKISPPPPAAEASPDGNKQSPKKNSACIGRTAMMMQPVMLVSVATTFLAFL >CAK8578572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639005879:639011301:-1 gene:gene-LATHSAT_LOCUS30570 transcript:rna-LATHSAT_LOCUS30570 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAQEAQRALNIQPPKIKLKARRLKGHKDSTNCCIASSQNPRLIVTAGEDGRVCWFDLRCNDEPRLTMDVSEESILSLCFKSGNEDNIYVSSGKEIKCFDVRLAAAKWEPLENYNYNKEEINKVTCNSRSSFLAAADDSGEVKIIDIKQHCLYKTLRAETGHTSICSTVEFLPWRSWEVISGGLDSTLVLWEFSKARPYKVVNFGTVSNSGAGQCFNPSLIHAIAVPEIDMVDKLGKVCAVAGGGVINVIDIESEIAAVRSKTSSNTRKGSQPRLKDGSSTSNTDADHNVKKRLHFDYSMGGHTSAVSSLAFSLFGERGKFLISGGNDKLVKVWNWSSYTDAGSSDSNNDILHLNIGVPQKVNWLCTTSADTDNLVVCDTSKTVKVYSIT >CAK8572161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515849041:515850648:1 gene:gene-LATHSAT_LOCUS24754 transcript:rna-LATHSAT_LOCUS24754 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFFKRLFCLICFLFLSFDVSLCFSSSSLDDFLFCLENHNIKNFTVLPYSDYYKILNFSIQNLRFAEPTTPKPIAIVLPESLEQLQKSLACSREFSLGIRVRCGGHSYEGTSSVAGDGTLFVIIDMMNLNHVKVDMETKTAWVEGGATLGETYYAISQASDVYGFSAGSCPTVGVGGHIGGGGFGLLSRKYGLAADNVLDVLFVDADGQLLDRKTMGDDVFWAIRGGGGGVWGIVYAWKIQLLKVPQIVTSCSVPRTGAKTDIAKLLNKWQHVAPNLEEDFYLSCLVAAAGLPEAENVGISTKFNGFYLGPKSNAISILNQDFPELNVVEEECSEMSWIESVVFFSGLNDGASVSDLGNRYLQDKQYFKAKSDYVRSHVPLFGIETALGFLEKEPKGSVVLDPYGGIMNNISSDFIAFPHRKGNIFSIQYLVYWKEEDNDKSSDYIDWIRGFYFSMTPFVSQDPRAAYINYIDFDLGVMEVISVNDVVNPRVWGEKYFLSNYDRLVIAKTLIDPNNVFTNEQGILPMTFASSNA >CAK8532424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259786261:259787610:1 gene:gene-LATHSAT_LOCUS2131 transcript:rna-LATHSAT_LOCUS2131 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVIITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSAEDVQNKIKLIEEAIAEDLNKKVKEQSSQEMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8536728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11498308:11498997:-1 gene:gene-LATHSAT_LOCUS6062 transcript:rna-LATHSAT_LOCUS6062 gene_biotype:protein_coding transcript_biotype:protein_coding MASESELSYIFERMIRNGDMSLLLPFIEGLSESSTRRNRDNPNQESESNEQDSNRQRIILVNPSTQRMIIIDGVSSLETLFQELESTRKKGQPPASKESIEAMKRVEIEESDHGECVVCLEEFEVGGVVREMPCEHRFHGDCIEKWLGIHGSCPVCRYQMPGGEKDEGEKIDEEEEEGGERGRVGAEVWVSFSINRRRENHDQNQTTSPGDSNDSSSSPRDGHEIRNSS >CAK8569088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670022091:670023451:1 gene:gene-LATHSAT_LOCUS21978 transcript:rna-LATHSAT_LOCUS21978 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITRILVMVFGYAYPAYECYKAVEKNRPEIEQLRFWCQYWILVALLTVCERIGDTFISWVPMYSEAKLAFFIYLWYPKTKGTTYVYDSFFRPYVAKHETDIDRNLMELRTRAGDIAVTYWQKAASYGQTRVFDILQYVAAQSAPPAPPAQRRPGARGRQPAASNAPATAPPAEGPTPATSSSSSSQHQKEVAEELSSSQVPKAPSSLTGLNTQKNNPASESGNQSAPVEAEQMQIEAALPPSSSANENPPSNDTVMEESIRVTRGRLRKIRSGGAQ >CAK8565208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:69066582:69067061:1 gene:gene-LATHSAT_LOCUS18441 transcript:rna-LATHSAT_LOCUS18441 gene_biotype:protein_coding transcript_biotype:protein_coding MFASIHSVSQMTWHHTNSNSSGMMRYPSDGEAWKHFDRVHTDFVAEPRNVRLGLCSDGFTPYIISSTIAYYCWPIIFIPYNIPPEMCMTKPYMFLTCLVQGPSIPKAGINIYLQPLIDDLKRLWIGEWTYDVSRKQNFNMRAAFMWTINDFPAYVMLAG >CAK8571645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454580332:454584914:1 gene:gene-LATHSAT_LOCUS24286 transcript:rna-LATHSAT_LOCUS24286-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTLRFRYFPNCFLTHPCSSSSSGGNKCMFSSTQHQTTISLPLSSSTHFHLLASQTSNTTTARKNRKLKTDDEICSEIRQFIAEVGLPHDHIPSTKELILHRRNDLANIVRRRGHKKIQDLLTSSLYGEIDSLNTGEILEERLDSTNDSVDLLTGQNEDGVGELKDYAEVVNNVAEGNFRPTEVTTVDNDCSSSTEDFYPNFDSLSSMPTEISGESPSETTLFGNSEGEDTLMAKMVGDITFPLSVPSMENHSNTSFKDPDLDTENRVSMEQKDCGVLEGVDIYYNYIAEDVYQTSEFFENKIDSVIRFADIRDVNLETSSNLSLEERVANFIQNGDLDAVEGARPHKENNVMANNVKSLTSNQVIPPGKLDQPLREDHMPHEDPITHFDKDLDAEAPNVQNQSEINHLKFMLKELELTRLKEQIEKEKHALSVLQTKAEEEISKAKKLLSEKDAELLEAEESLSGLKEVLIEFSGDGDVVEVAGSFNGWHHPIKMDPQPQPLTSVIDHGGSRKSRFWSAMLWLYPGVYEIKFVVDGHWTTDPQRESVGRGHICNNILRVDR >CAK8571644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454580332:454584914:1 gene:gene-LATHSAT_LOCUS24286 transcript:rna-LATHSAT_LOCUS24286 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTLRFRYFPNCFLTHPCSSSSSGGNKCMFSSTQHQTTISLPLSSSTHFHLLASQTSNTTTARKNRKLKTDDEICSEIRQFIAEVGLPHDHIPSTKELILHRRNDLANIVRRRGHKKIQDLLTSSLYGEIDSLNTGEILEERLDSTNDSVDLLTGQNEDGVGELKDYAEVVNNVAEGNFRPTEVTTVDNDCSSSTEDFYPNFDSLSSMPTEISGESPSETTLFGNSEGEDTLMAKMVGDITFPLSVPSMENHSNTSFKDPDLDTENRVSMEQKDCGVLEGVDIYYNYIAEDVYQTSEFFENKIDSVIRFADIRDVNLETSSNLSLEERVANFIQNGDLDAVEGARPHKENNVMANNVKSLTSNQVIPPGKLDQPLREDHMPHEDPITHFDKDLDAEAPNVQNQSEINHLKFMLYQKELELTRLKEQIEKEKHALSVLQTKAEEEISKAKKLLSEKDAELLEAEESLSGLKEVLIEFSGDGDVVEVAGSFNGWHHPIKMDPQPQPLTSVIDHGGSRKSRFWSAMLWLYPGVYEIKFVVDGHWTTDPQRESVGRGHICNNILRVDR >CAK8571747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466898450:466901323:-1 gene:gene-LATHSAT_LOCUS24381 transcript:rna-LATHSAT_LOCUS24381 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIFSKKPKPKEVLRESKREMANATRGIEKEIRGLQLEEKKLVAEIKRTAKTGNEDATKILARQLVRLRQQIANLQSSRAQMRGMATHTQAQYAQSSVSVGLKGASKAMEAMNKEMAPRKQAKVIQEFQKQSAQMDITTEMMSDAIDDVLDDDEAEEETEDLTNQVLDEIGVDLASQLSAAPKGRLKTKNTENVSSSEIDDIEKRLAALRNP >CAK8562173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450939407:450940158:1 gene:gene-LATHSAT_LOCUS15692 transcript:rna-LATHSAT_LOCUS15692 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEITGSYEAPLPLLSLNHVSVLCRSVLDSMRFYEEVLGFGLIKRPSSFKFNGAWLYNYGFGIHLLENPNYDAFDDTPMDEPRPINPKDNHISFQCTDVGLVKMRLEELGMKYVTALVEDEGIKVEQVFFHDPDGYMIELCNCENIPIVPISSSSCSFKARSPSIKKAPTKCGFIMENVMMRSLSMDMMNFAF >CAK8531632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132804390:132805169:1 gene:gene-LATHSAT_LOCUS1408 transcript:rna-LATHSAT_LOCUS1408 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGVIFTLNFHYEGKFFRDNVICYLGGHEHIVDIDLDKWSFFEAIGIVKDFCQLEYSKYWLWWYNNESYRHSRTVSDSDANEVYKFDIEMKSVVDIYVEHKVVDHGSINVEEVGCVNDDGVNDNGGNKCIINYGDSVVNIEDGVNVEYDGGVNDEYVINAEEDEHGDSDFSDDSDFEANGLFSLVNDEMETNYASDELGFSDPDASD >CAK8566783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462629425:462631075:-1 gene:gene-LATHSAT_LOCUS19890 transcript:rna-LATHSAT_LOCUS19890-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSWSRGSDSTQFESPARFCSPLRWDAADSPEYRSPVNSPGKMVENSMAVVAVDIKQISQEKLPDQRKLPPDNTFTVFPRPEREEPQRQVTKVETAEKGGERRPRSAPVSFTAEEVTRKAGLGFRLCEVVVCLISFSVMAANKTQGWSGDSYDRYKEYRYCLSMNVIGFAYSGLQACDLAFQLVTGKHMISHHLRYHFQFFMDQVVAYLLISASSSAATRVDDWQSNWGKDEFTEMATVSVGMSFLAFVAFAMSSLISGYILCNGRNSM >CAK8566782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462629425:462631099:-1 gene:gene-LATHSAT_LOCUS19890 transcript:rna-LATHSAT_LOCUS19890 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTHPSMKKSWSRGSDSTQFESPARFCSPLRWDAADSPEYRSPVNSPGKMVENSMAVVAVDIKQISQEKLPDQRKLPPDNTFTVFPRPEREEPQRQVTKVETAEKGGERRPRSAPVSFTAEEVTRKAGLGFRLCEVVVCLISFSVMAANKTQGWSGDSYDRYKEYRYCLSMNVIGFAYSGLQACDLAFQLVTGKHMISHHLRYHFQFFMDQVVAYLLISASSSAATRVDDWQSNWGKDEFTEMATVSVGMSFLAFVAFAMSSLISGYILCNGRNSM >CAK8572068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506645140:506650316:1 gene:gene-LATHSAT_LOCUS24673 transcript:rna-LATHSAT_LOCUS24673 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTRQLHPFHTSPNFNSLRFFTYTSSSIHKNFIFKTPTTSFTYLAFKKPIFPCNSFCTDTWLKRWNEPSSKHTRPKPPRAILDHSSSDDEDVGGSRMDRIVEKLKKFGYESDEVENKTEESVIEKGSVEDIFHVEEGMLPNTRGGFSPESPFGVGKFGSGGGEVRFPWEKPVVDEEVEERRALRKKSKTSMAELTLPESELRRLLKLSFMKKHKTRIGGGGVTQAVVDKIHERWKDSEIVRLKFEGDAALNMKRVHEILERKTGGMVIWRSGNSVSLYRGVSYKDPSIQHNKKLYRKSENSSKFLSPPSNKFAVKPSEFTSNSETNTSLVKSESTNDQREKFNLPKVSYEEEVDKLLDGLGPRYTDWSGCEPLPVDADMLPPTVPGYQPPFRVLPFGVRPTLGFKEATALRRIARGLPPHFALGRNRQLQGLAAAMIKLWEKSSIAKVALKRGVQLTTSERMAEEIKKLTGGTILSRNKDFLVFYRGKNFLSPDVTQALLERERMAKAMQDEEEHARLRASSLILPSINTSELSVEAGTLGETLDADAKWGKTLDERHEKNVIREVEQLRHANLVRKLEEKLSLAEKKMMRAEKALMKVEESLKPSENRADTESITDEERFMFRKLGMRMKAFLLMGRRGVFDGTIENMHLHWKYRELVKIIAKASNFEQIKKIALALEAESGGVLVSIDKISKGYSILVYRGKDYKRPSMLRPKNLLTKRKALARSIELQRHEALSSHISTLKIKVEKLRSEIKQIEKVKEEGDEDLYNKLDSAYSTDDDSSEVEDDEEGDETYLESYNNENDREVEDDSEVEDDSEVENDTINLQPEEFALRS >CAK8576243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:434359936:434360673:-1 gene:gene-LATHSAT_LOCUS28449 transcript:rna-LATHSAT_LOCUS28449 gene_biotype:protein_coding transcript_biotype:protein_coding MHLILFYTTAIFDRSGRYVITGSDDRLVKIWSMETAYSLASCRGHEGDITDLAVSSNNALVASSLNDCVIRVRRLPDGLPISVLQGHTGVVTAIAFSPRPNAVYQLLSYQCSHEVYLSSSW >CAK8536913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:38853976:38856524:-1 gene:gene-LATHSAT_LOCUS6238 transcript:rna-LATHSAT_LOCUS6238 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMMFHLLSHVLVIQIFLIQTHYCQPNSPENQNIETFYPIETPPQSTPPSPPSSQAPTSSSTTNSPSNNNIVTAVAATAAGTLVLSALVFFFVLKCFRAKKKNVRVNNNNNNTGSSLAHRNAVPQVKNVFEKMEGNIKGLIVDEDGLDVVYWRKLQDQNFDKDMKKGVFLNSPRNKDHEDDEQGKNNQENHLFRGKSSTSHMNIFPQESSYTIMKITPPAPPPPIQPFSLNLLPSSPKKLSTFYSSIPNVKNHAPSMVSDDRKSQEPPPPPPPPPPSIVPNRKSSTPPPPPPPPPIPDRKSIATPPPPPPPPLSTRKISTPAPPPPPKTSGLKLKSSSKPPPTPLETKSTNDKQGNSSSEVKLKPLHWDKVNTNLDHSMVWDKIDRGSFRVDDGLMEALFGYVAVKPNDNTLKGKESTSPSKDASTNAFILDPRKSQNTAIVLKSLAVSRKEIIDALLDGQGLNADTIEKLSRIAPTEEEQSHILEYEGDTTKLAAAESFLYHILKAVPSAFKRLNAILFRLNYDSEIKEIKEILQTLEMACKELRSQGVFVKLLEAVLKAGNRMNAGTNRGNAQAFNLVSLRKLSDVKSVDGKTTLLHFVVEEVVRSEGKRAVLNRNHSLTRSFSRSSSSSSIGDSKNSATSNEQKLMEYIKLGLPIVGGVSAEFSNAKKIALTDYNSFAGSVTTLSAKIGEIRQLVSQCGNDKGGKFVRDMNHFLENSEKDLQLVREEQTRIMQLVKRTTDYYQGGASKDSAGEQALYLFVIVKDFLGMVDQACIEIARNMQKKKTSKAKF >CAK8535623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870575526:870578049:1 gene:gene-LATHSAT_LOCUS5066 transcript:rna-LATHSAT_LOCUS5066 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDWVNLALADDSIVADTLLRFNLPQPPPPPLHLHWTVRQPRSRSLPKLSAKLDFTRASPTTPLTWSAATSASEESSLPTKLTKTSRSKVSNQKETVTTKNSKRKKTLAELREEESLLLKERINLKNELASLRLTVEKEKATNERLKRVKLDFDSRPNTKTASTSEICKQEPKFALPDLNL >CAK8574978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19374150:19374473:-1 gene:gene-LATHSAT_LOCUS27272 transcript:rna-LATHSAT_LOCUS27272 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSHVLVEKLKVLRNKLRWWNTHIFGWVDLSIEERVRDLNMIENEMDFIRVNISEEVLTKRSEAQGKVWFNLKLKKSLVKQKSKVKWVKEGDINSRFFHDIFKSR >CAK8530574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31815684:31820194:-1 gene:gene-LATHSAT_LOCUS429 transcript:rna-LATHSAT_LOCUS429 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDSPNAPDDPSLQEEEDDEEGSEEEEEEEIEEVEEEEDQDQEEEEEEEEVEEVEEEVEEVEEEEEEEEEVEGEEEEEETVEVEEEEEEEVEEEVEEEEEEEVEVEVEEEEEEEEEEEEEQETVVEKKNEDKDEIPVLNKESVEEEEEEEEEEEEEEEEEKEAIEMEEEEEEEEEEEEKEAIEMEEEEEEEEEEEEKEAIEMEEEEEQETVVEEKDKDKHGVSDSLPDADKQSKDSSQNMELDVKEENLGPVSSVPENPEVIRSSHDMEESNAVLLASGPPHEELTLKQEVSSPPKPSENLVSAEDEKSQGLNVDVENSGYFLQKKTIGGCSEPDCNSKASLSEVKDIDSRATKSSSNNTVKDVVTGIIPRDDFVENSDGGQNSKEKIKQSETESRVDAKQKPSRARSPPPSAQITDGNKRRAVTCAFFAKGWCIRGSSCSFLHIKDSASNTNQGAEGNLVNAHQKGELKLEKVVKENVDGSRMNEGEASPSWHPSHEKEKFPMRDSLFPKNTSNNYFSSNLSSYSSRAEGMAAIRNQHVYRGYTPTKDFNSSLSASTLDSQKLLNNDKEYHAYKSTFSSDREDLYLGGSSRVSQHANGYKLKTRSYDWEPSVPFQPSFFITSMNVSSPGDLYDPLRDSIEIPNIGDGSLKASLLIQGSSIQASSQVRTHDDSAAVGKHMSGLNDDKSSVSSHNKFYENEPNRSSVPRGKDSLGTKTEITSGTCANYQNGNLDVGQNAFGVEDRIETKKKRTKHDARHHGDGSEHKNKRVARDDKIHEMEVDFQTDGSMHKETKALKFFRAVLVDLVKELLKPFWHEGRLSKEAHITIVKKSVDKVVSTLEPHQIPTNEVSAKQYFSLCRPKITKLVHGYVNKHGKS >CAK8544939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713710992:713712357:-1 gene:gene-LATHSAT_LOCUS13579 transcript:rna-LATHSAT_LOCUS13579 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARALWQRTANRCFVQEDAKRAPKLACRQSSSATSKLVDAGVTNTADESDCAAVKSAHFSSKSSFCNPPPDPRWWLHSQSQPSYGYQKGLTNEKLSALEKEVETLIASDESKGSSKSSLAFLELKDVMEKHEKIENDSIACSLASKKTKDFSSEPDYSWIEGDKAEPWWRMADRDELASFVSHKSSNHIENCDLPPPKKKHLTGYSCSRIITNNDKTKTASYNLDAESGRFSNSKQGPSANEGLLYFASAKSSSDTPILDDVKQNIQISEGDASKAQLMEALCHSQTRAREAEEAAKQAYAEKEHIVTLIFKQASQLFAYKQLIKLLQLETLCNQIKNNDQSTSTLFPVALPWMSDERRKSRKRKQTYSSSRRDRKGKGNCDITTYAVAIALGLSLVGAGLLLGWTVGWMSPCS >CAK8575834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332883129:332889829:-1 gene:gene-LATHSAT_LOCUS28065 transcript:rna-LATHSAT_LOCUS28065 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDMESLDDDDFYSGDTDDAALDYYSDYDDDAGDYFDDADKIESRRPEQNFTILKDSDIHVRQEDDISRVAAVLSVSRVFASILLRHYNWSVSKVHDAWFADEERVRKAVGLLEKPVVQNCNARELTCGICFENYPCSGIGMASCGHPYCYSCWEGYISTSINDGPGCLMLRCPEPTCGAAIGQDMIDLLACDEDKQKYTRYLLRSYIEDNKKSKWCPAPGCEYAVTYDAGGGNYDVSCLCSYSFCWNCTEEAHRPVDCGTVVKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDDTERRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHERAKKPFFEYLQGEAESGLERLHQCAEKELQQFLSAEGPSKEFNDFRTKLAGLTSVTKNYFENLVRALENGLADVDGNGATFSRATSSKNAAGSSKGRVGRGKATLRTSRTSDDSHWSCDHCSSMNIKSATTCQICNQRR >CAK8578918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662090123:662090533:-1 gene:gene-LATHSAT_LOCUS30896 transcript:rna-LATHSAT_LOCUS30896-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8578917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662090123:662098464:-1 gene:gene-LATHSAT_LOCUS30896 transcript:rna-LATHSAT_LOCUS30896 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8532734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:507209741:507210079:1 gene:gene-LATHSAT_LOCUS2410 transcript:rna-LATHSAT_LOCUS2410 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDCSNKKKPVKIVIITTQYVETDVMNFKSVVQKLTGKHSSDDRVDDEATESKKVKRKRYNLSEFDEAAACENDHDGRSSFIISDSLLNECDLLLREMQTNNHFMFDSQI >CAK8533267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598174657:598178922:-1 gene:gene-LATHSAT_LOCUS2909 transcript:rna-LATHSAT_LOCUS2909 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEYMEPKGEESPPKVEESPPKGDESPTIPTGWDVKSEVQKNGSIKRSYYCPDTGQNFYTYPNLIRYVNYAKRYQVGAYQLCGKSIRRREDEALKKNTSIGRRKTSAALSIRGRPSRLSNSNSLASAKNDGSKPSSSGESTDDEKDEDYIPK >CAK8560419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18438794:18439138:1 gene:gene-LATHSAT_LOCUS14089 transcript:rna-LATHSAT_LOCUS14089 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIFGELGDTSQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNHESRMPDNLSRNVGLIRELNSNIRRVVDLYADLSSSFTKSQEASSEGDSSGTLKSDGKVNQKRIRSS >CAK8566057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374206620:374208699:-1 gene:gene-LATHSAT_LOCUS19224 transcript:rna-LATHSAT_LOCUS19224-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGARSKADAKSSVAQQKEDVQVELQLNKNNENEDGEGQGFFACYLLTSLSPRFKGHTYIGFTVNPRRRIRQHNGEIGSGAWRTKSKRPWEMVLCIYGFPTNVSALQFEWAWQHPIESLAVRKAAVGFKSLSGVANKIKLAYTMLTLPSWESMDMTVNFFSTKYMKHCAGCPDLPQHMTVEIGSMDELPCYTEKIDGMLENEDDDNNELEFGDNNAGTSGSVPDACDDSVTAVSPKNPSHAENISEPFEWNKESEATEPPPSHLFIPFECNEESEATELAGHLFTPVEWNKVSEATEPPPSHSFIPFECNEESEATELAGHLFTPFEWNKESEASELPGHSFTPREHSEPFDSILSPKGKASSVVEEMDSISSTKKSNAESSKTDPEQTGATASDVRGAFSVPHQADIIDLSTPSPSCRNDIDRKKRRVSSTVSSEFIDLTKSPNFIQL >CAK8566056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374206620:374208699:-1 gene:gene-LATHSAT_LOCUS19224 transcript:rna-LATHSAT_LOCUS19224 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGARSKADAKSSVAQQKEDVQVELQLNKNNENEDGEGQGFFACYLLTSLSPRFKGHTYIGFTVNPRRRIRQHNGEIGSGAWRTKSKRPWEMVLCIYGFPTNVSALQFEWAWQHPIESLAVRKAAVGFKSLSGVANKIKLAYTMLTLPSWESMDMTVNFFSTKYMKHCAGCPDLPQHMTVEIGSMDELPCYTEKIDGMLENEDDDNNELEFGDNNAGTSGSVPDACDDSVTAVSPKNPSHAENISEPFEWNKESEATEPPPSHSFTPREHSEPFDSILSPKGKASSVVEEMDSISSTKKSNAESSKTDPEQTGATASDVRGAFSVPHQADIIDLSTPSPSCRNDIDRKKRRVSSTVSSEFIDLTKSPNFIQL >CAK8539068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502822749:502823171:-1 gene:gene-LATHSAT_LOCUS8201 transcript:rna-LATHSAT_LOCUS8201 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFFPNRSGNALPVSTTGDDAGGGGIRRRLSSSSLNNIPAAAANWSFPRSKSLPSSFSSVGDSVKTWWLWIFAWILSRKPIFATDLEMNEQETKHLASGERGSWKHVFYRMRSEIKRITHSQDSHLPQTYTPNPQTVI >CAK8561226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124350703:124351474:-1 gene:gene-LATHSAT_LOCUS14835 transcript:rna-LATHSAT_LOCUS14835 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYGHQMEHAYSTRSLSAASEMGSSFVLESGFYITSFSATIFIAGFATLGLLLITLLVSMAMMLQSCQNNSVGILELKNVKDDYIYCKVHSLHAKFNHLEEHNVPEICKDLAVQYIKGGQYARDLDLTKSVIEEYFNGVRPSQDGFDVVLIDIDGIFPLSPLTDNLESRYLAIS >CAK8562978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563290362:563291931:-1 gene:gene-LATHSAT_LOCUS16434 transcript:rna-LATHSAT_LOCUS16434 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDGTPKEAKDYYSRSIWWSETSQAFEDYKNCNRNGTYSFQEIMTPEISEAFSDLKSLTTNRNIANEIVLDTGSDENGEFVVPYSIQILSTEIVEIVEDGKSIAISKDRRVDDLYVAVGEDDLDVVKWALDHAVSPGARIFLVHVSPPITLIPTPVGMFERNQLTPQQVRRYLNEVNNKRKDLLQTYIQLSNEAKVTAETLILESKYKGKAILDLISILNITNLVLGIKKLPCKRRNEKLSEGLFVKKNAPKTCDVTLIYNSNVFVSDACLGEFPSYGLLSQNKDHSKRSFFHQCICFSGCVGEDKDN >CAK8579130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678143167:678143875:-1 gene:gene-LATHSAT_LOCUS31098 transcript:rna-LATHSAT_LOCUS31098 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWIFITQLNSIAGPVLMLLYPLYASVVAIESTSKVDDEQWLAYWIIYSFLTLMEMVLASLLEWVPIYYTAKVFLVAWLVLPQFKGAAFLYERFARPHIRKYITSKKVNES >CAK8542604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:529743583:529743927:-1 gene:gene-LATHSAT_LOCUS11429 transcript:rna-LATHSAT_LOCUS11429 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIGTLMVNPLVMRRAIGRPKKLRIMTNDELKNPHVLPRNLTSTTCTKCGSMGHNKRTCKGKRATYMVMPKGGNKTKKQKTTKGNGKKKKSNNASQPTQEVGSCSQGPPSTKD >CAK8537479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:369805829:369819707:1 gene:gene-LATHSAT_LOCUS6769 transcript:rna-LATHSAT_LOCUS6769 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRGFPISTSLDKFKSLYGTTSGTVKPLSSLSARQTSDSVSSGSFANLKLTAEKLVKEQASVKTDLDIANTKLKKSLEHIRALEEKLQNAFNDNAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQQLAGIVQDAEKDKETLENKLSASSEALVSLNKQMDGLSLKLDSTQETIKSRDNELEKLKFSAKEREKFHSDEKFRAANLIQEKDTMIKSLEDMLTSNRLDTETLNTKLGELHLQLEVKDEEITHRIACQQKLDKEKSDLQLCNADLTEKLGKSLQEIKNLEGSLQSMAAHLLNLDKESLNLVSKFNELDSLYTSCFTLVQHERDTFSKHAQNKYDELHNKFLVLSSEKDGIHMINQELSNSLDDLRKVQESTLAQYAEDCRLSAEKIQRLELEAEDSISKKAEAEVTISKLEEKAEILLESVRSSENQMQGLLLKISALETESKENMERMQADILKKSEEIDTLQKERMKVEEHADSFDKEVIQLRKVLEEKEQCILHHKEQEKKLEDQITENRSLLTAAESKLAESKYQYDQMVEDKKLELSKHLKEISQRNDQAINDVKRKYEIEKKEIVNMEKDKADKAIAEIEGRRDQKLAECKEESRQQLMQIQEEHTKLVTHMQQEHDKRQLCLQAEHSEQLKRTQLQAENELREKTTFMRKDHEAQIKALRCELEDECRKLEEELHLQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQEYSVSSIKRRSSFGGKVNQHEMESPYVEETEAPVPKLLKKVESVKAGNAGGIPKHHRKVTRREYEVETSNGRTITKKRKTRSTLLFEDPRKQKINTPKTNTPRTVVKSIRAEGHPRPSTIGDLFSEGSLNPYADDPYAFD >CAK8576896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522636707:522637806:-1 gene:gene-LATHSAT_LOCUS29053 transcript:rna-LATHSAT_LOCUS29053 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALEEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQIVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8542496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520458869:520460922:-1 gene:gene-LATHSAT_LOCUS11335 transcript:rna-LATHSAT_LOCUS11335 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISRMVLPSIGVQFTPFSILRTHTTRSTTTTHSFKKHSLSNSTINMSSLPKNTNRKLPILLFDIMDTIVRDPFYKDIPAFFGMSFNELIDSKHPTAWIQFEKGLIDEVELARIFFKDGRDFDLEGLKACMRNGYSYIEGIEQLLLALKKNNFEMHAFTNYPIWYQLIEDKLKLSKYLSWTFCSCTYGKRKPDAEFYMEVVRHLEVDPAYCIFIDDRQKNVEAAAEVGIKGVHFKNVDLLREELSFMGVDILTDEDQ >CAK8566396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419400872:419401198:1 gene:gene-LATHSAT_LOCUS19529 transcript:rna-LATHSAT_LOCUS19529 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVGMSGKVLVSRQFVDMSRIRIEGLLAAFPKLIGTEKQHTYIETENMRYVYQPLEALYLLLVTNKQSNILEDLDTLRLLSNLSLNSLIPLVERVSTDMPLS >CAK8536438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941503217:941504224:1 gene:gene-LATHSAT_LOCUS5806 transcript:rna-LATHSAT_LOCUS5806 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRGLKPHFKEGVVAFLTYTFAQECCRSEGGVRCSCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGETLPEMNREASSSQTHIGVEIGRETSSSQSHLQDHEQFNLIDDMVGDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSMLSMCVRLLVAKSNWNVPDQCLELFAKMMLDATPVKENMPKSYYGAKRMVSKLGLKVKKIYCCIGGCMLFYDNEFGTNDGGLEECKFSQSPRYLVQSKGVDQKQKRVAVKSMFYLPIIPRLQRMFASIYSASQMTWHHTNSNSSGMMRHPSDGEA >CAK8567180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492819925:492822922:-1 gene:gene-LATHSAT_LOCUS20253 transcript:rna-LATHSAT_LOCUS20253 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASFSIIRHPRLVATATPQLLRRFGFFPISARQQNPKHDAPSKNLLKAKRTLKNFSSLAPILSREDNPPLSESQAVGVVASSQANFMRVVVQSVPDESSGNFHRPSGGVELLCVVRALLKKIKRRVMVGDKVLVGSVDWVDRRGMIVNVFQRNSEMLEPPVANVDHLLVLFSLDQPKLETFTLTRFLVEAESTGIPLTLALNKTELVDKETLDSWKARFHDWGYQPVFCSVKSGQNLDLLAFQLRDQTTVIVGPSGVGKSSLINALRSNHRTCDTADGENGFEPILGSKWLEDQRVAEVSTRSGRGKHTTRHVSLLPLTGGGYLADTPGFNQPSLLKVTKQSLAQTFPEIRKVLGGNEPAKCSFNNCLHLGEPGCVVKGDWERYSFYFQLLDEIRIREEFQLRTYGTKRESDVRLKIGDMGSQQAEPRLDFKKHRRQSRKKTNQSILDDLDDYDDDNLLDGGNNPILNAFRNENP >CAK8563910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638584114:638584608:1 gene:gene-LATHSAT_LOCUS17268 transcript:rna-LATHSAT_LOCUS17268 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQSISNFTLTQSIYEAALILALLRWILCFIFKTIKNRNTPQHQQQCCQMLPLTSFGEIIERHPETQDSSTTCAVCLNKMKMEDEVRELMNCCHVFHRECIDKWLEHGLENENHNQTCPLCRAPLISTDAVFSEVLSDCVSPNQPSWAVERLLYLFGDDLLPC >CAK8536922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39892360:39893205:1 gene:gene-LATHSAT_LOCUS6246 transcript:rna-LATHSAT_LOCUS6246 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRTTDENKRRKMEDSVKPMVTCHWVDSNYRTKSSALSQPKNNDNVVEDNQVSKTRALSQPEVVEDKKMIKNQVFKTSALSQTKNNDNVVEDKKVIKNQVSNRVMPNNIDVENKKIIKNQVSKTEIGFNGGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMIDKSESKSKGIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDAWKLEDRGRSKTHNSTRYL >CAK8539339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509689410:509698135:-1 gene:gene-LATHSAT_LOCUS8445 transcript:rna-LATHSAT_LOCUS8445 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPKHEHRRSLDTVLEKIIAGTGTLPETESNSVANRNVEVSLNLQDNHAAVLHDVEPVYAINIDDDVKTSGNENSAPDTKLPSTRRSTSKMLRQFSHRIKTEAVAKVKQFSHEFNRLTFNPGGSEEALLARDLRKQRAQLDRNRSGAKKALRGLKFISSKSNDGDAWNDVQSNFDRFANNGFLHRTDFAQCIGMGDSKEFGLEIFDALNRKRRMKVDKINKDELRQFWLQITDQSFDSRLLIFFNMVDKNEDGILNKEEVKEIIALSASANKLSRLKEQAQEYADLIMEELDPERLGYIELWQLETLLLQKDAYIGYSRAPSYPSQALNKNPQGLWRITLIGRMSHRLLYYLEENWKRLWVLTLWISIMIGLFMWKFIQYKQKDAYNIMGYCLLTAKGAAETLKFNMALILLPVCRNTITWLRSTKLAYIVPFDDNINFHKTIAAAIVIGVTLHVGNHLTCDFPRLVNSSEDEYKKYLNDAFGDHKPSYGDLVIGIEGVTGILMVIFMAIAFLLATTRFRRNLIKLPKPLNRLTGFNAFWYSHHLFVIVYVLLIIHGINLFLVRERIYQTTWMYLVVPILLYAGERILRFFRSDFYTVSLIKVAIYPGNVLTLQMSKPPRFRYKSGQYMFVQCPTISLFEWHPFSITSAPGDDYLSVHIRTLGDWTQELKRVFSEACEPPLAGKSGLLRADETTKKSLPKLRIDGPYGAPAQDYAKYDVLLLVGLGIGATPFISILKDLLNKQKKTVATTSTYFYWVTREQSSFDWFKGVMNQVNEIDQKGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKKGVDIVSGTRVRTHFARPNWKKVFSEICSKHCSKRIGVFYCGAPILAKELSKLCSEFNKKGASKSKFEFHKEHF >CAK8574060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656365313:656370128:1 gene:gene-LATHSAT_LOCUS26440 transcript:rna-LATHSAT_LOCUS26440 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVMSKDNYIGRSWKQKELFFLVTYVLGFYVIIIRRSLQLSHDHYTKLFGLRPGWLIPQHLNDVSDAQWRNFRGNLPVLTLVFGVFTLLANLTRAFFNLKVRGMSIIWLLFSFIYLSYLHGACVIFVLSIATINFLLVKIFARKKYFPLILWSYNIFFLVCNRIYEGYSFSMFGQQWRFLDNSRGSFRWHICFNFVVLRMISFGLDYHWTNEDSHFDPEKHSQRCHICKSGNTCYQALQEGSLHVNKFGYVVYLCYLVYAPLYIAGPIVSFNAFASQLDVPQNSNSVRNVILYGFRWVLCFILMELMTHLFYYNAFANSGLWKHLSAMDVFIIGYGVLNFMWLKFLLIWRFFRFWSLVNGIEAPENMPKCINNCHSLEGFWKNWHASFNKWLVRYMYIPLGGSRKKLLIVWVVFTFVAVWHDLEWKLLSWAWLTCLFFIPELVLKSSAKAFQAQSSFREFVFRELSAVAGAVTITCLMVANLVGFVIGPSGINWLISSFLHKEGLPVLGGLLTTFYVGTKLMFHIEEVKKTSP >CAK8579181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682414811:682415614:1 gene:gene-LATHSAT_LOCUS31147 transcript:rna-LATHSAT_LOCUS31147 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYNLEYEFNLIRRAIHQNHFIISMDTAFPGVIHSPKIDHRRLQPSDYYRYLKANVDDLKLIQVGLTLSDSKGNLSDFGSNNTYIWEFNFSDFDINHDLCNQDSVDMLRRQGINFERNICHGVDSMRFADLMLSSILVESIIWVTFSSAYDFGYLAKILTRMNLPNRLEEFLNIIEVLFGKSVYDMKHMMKFCNSLYGGLEQVTTILNVNRVVGKSHQAASDSLLTWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8535953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893895400:893897016:-1 gene:gene-LATHSAT_LOCUS5366 transcript:rna-LATHSAT_LOCUS5366 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTLFQSSPFSLNAKTKPYSLPKSKPTNFIIKSQSQSQPEPLTHNNNNNSTPSSATPSPASSKHRRPADENIRDEARRINVSQRLFSAKYVPFNADPSSTESYSLDEIVYRSQSGGLLDVQHDLDALKKFDGAYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEINDDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKNCGTSHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANRISIAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLYFKSGWKEFKPVRAQTTFASAIQIGDPVSIDRAVHALKNCNGIVEEATEEELMDAMAQADSTGMFTCPHTGVALTALFKLRNSGVIKPTDRTVVVSTAHGLKFTQSKIDYHSKNIKDLACQFANPPMQVKADFGSVMDVLSKYLQSKAPKYH >CAK8571958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497053754:497070161:-1 gene:gene-LATHSAT_LOCUS24570 transcript:rna-LATHSAT_LOCUS24570 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKKGGSKAAAAAAAARKWKVGDLVLAKVKGFPAWPATVSEPEKWGYSTDWKKVVVYFFGTQQIAFCNPADVEAFTEEKKQSLVKRHGKGADFVRAVKEIVDSYEKLKKERQLGEANCGSNVADANISNPFNSYEKDQKDAPDLSPTLPMKSSNSAIDKHELCPAKDDSAAVLRDECHDKEASKELTDNFAPIQSPKPITYSSRKKSAGDLCSQGFITDRHMPVRRNRRSSSRIQTIMSPGNDGGKNSGSQLTNAAKGASVRRNKRLRKSPDLAGCNDFDSSALVLNDSMEDKGNNSEILTIDSDEFSLNEDSAMDSNFKHKETIECPEKDELNKGLDLKIKGMVNKKKRNHNRKRATNDSSKPTIDSSKPTIRLDEELGLRNSSQSSQNICGNSEERCFEQDGDEHLPLVKRARVRMGKSSSTEGELNSILHAPGKSCKEDISPPSQMIDSSNPENGSNAPGKSCKEDTSPPSQMMDSSYPENGSNAPGKSCKENTSPPSQMMDYSNRENESNTPGKSFKEGISPPSQMIDSSNRENGSSLDAGSSVLIGTVENISPSKNLAPCFENQICNNKRDQTFCSVDCEAALPPSKRLHRALEAMSANAAEEGQARIESSASRMTSIGTCCISAIKTSPNMTINDHEGGGLEPQKFNACDGDSSHIILHSLPANSNHVDKLSTRFQPQETGTDVLAGAAYKVEEPSDFVVCHTANADSEIQVHREISPNLDSKCYEVESNQDSPDLSLPPISEDNIITLNHSNTTSDASEHNGISHLSVVDVLKKEISPQNNIDLPRNEVAISEDMKCLTPVVVDVDRANDMSEVIKEVKCKGPEEDLNSVSTSDCLGQKVISGIRSSTSLTDGGDCLPQGSPPNTSICNVSTSDSSNMLHNGSCSPDVHLHQKQTFSGPVDENKYGSEATQQSRSMGKSSEAGRAALLYFEAMLGTLKRTKESIGRATRIAIDCAKFGIAAKVMEILAHNLENESSLHRRVDLFFLVDSIAQFSRGLKGDVCLVYSSAIQAVLPRLLSAAVPPGNAAQENRRQCLKVLRLWLERKILPEPMVRHHIRELDLYSSISAGVFSRRSLKTERAMDDPIREMEGMHVDEYGSNSSLQLPGFCMPRMLKDEDEDDNEESDSDGGNFEAVTPEHISEVHEMTSTIDKHRHILEDVDGELEMEDVAPTCDVEMNSFCNVERGNATQFEKNISLSTAPLPQSSPLPPSAPPPPPPPPPPPPPPPPPPLPMPHLVASTSDPCSAVFNSRAHAESQCVKDNPLHPMAQPSAAPRSDHPSSDAVHYHVSEYREVHMPESTCSFNSFPVPPVNYQHSDGVAMNNRGYSIRPPCHVPSNQFSFVHGEHHVRHRREVPPPPPPPPPYSNRQHFAENLEREHFYNNNHERLKPPPYDYRERWDVPPPYSGPRYPDEDMPSPYGCHPCEPPRVPGHGWRFPPRSMNHRNTMPFRPPFEDSIPVTNRGPNFWQPR >CAK8564503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678545768:678549485:1 gene:gene-LATHSAT_LOCUS17804 transcript:rna-LATHSAT_LOCUS17804 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDGLKHLCGAVINCCDSDSLNQPRGLENPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKSNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >CAK8535013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802960921:802962371:1 gene:gene-LATHSAT_LOCUS4500 transcript:rna-LATHSAT_LOCUS4500 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFDTKPFVSAMASIVLMRTITNELIPHEFLHFFQSGIDHLSRRFSAQFTVVIEEFQGMARNQVFEAAEAYLGTKATVSTERVKVSKLEDHKNLSFNIDRNEEVSDVFEGVSVKWKLICIQVDSSRIRHYDPNSSAVAEIRSYELTFHKKHKNKIFNSYLPYVMEIAHKIKQGNMAINIHSNEYGCWTNHEPVKFNHPMSFNTLAIDEKLQREIVNDLDKFVRSREFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLHYDIYDLDLTVIGDNRDLKQLILGMSNRAILVIEDIDCTIKLQNREEDTEGSDNGHSKVTLSGLLNATDGLWSCCAEEHIIVFTTNHKERLDPALLRPGRMDKQIHLSYCNFSAFKQLAMNYLCITEHELYEKVEELLGQVEVTPAEVAEELTKDVDATECLQDLIQFLQDKKMIKEVVTDEGNVKEE >CAK8536400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937987772:937988944:1 gene:gene-LATHSAT_LOCUS5772 transcript:rna-LATHSAT_LOCUS5772 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICDEQQNHKFHSSYQPLSPKKPLREIDIPPRKLLTRRTIAADMFSDDTILQKYLPHNDSDSDSDDPYSSDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGNVCPEYRRGGCNRGDSCEYAHGVFECWLHPARYRTEACKDGKNCKRKVCFFAHTPRQLRILPVNSSKSSNSNEQFSCNKKNNKLFNNHVASKSNNCCLFCHHCGGNGNANANNSPTSTLFGMSHFSPPASPPVSPMKPQNGVSSLSRYGSLVNSNNLRYRDMLIDLLGSFEGLNFNDGSSSVVSGLQKQNNMGYFDVPVNSEEQIQKQFLVSPSASFDQQQQHFNILSPSIQQNLTPISFNDGGDNNINNNNSDKVFAGGDVPDLGWVNELLM >CAK8541360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:136027403:136028096:1 gene:gene-LATHSAT_LOCUS10285 transcript:rna-LATHSAT_LOCUS10285 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVSQFFTIIVTENHQQEKLVKPCTLPIKFVKKYGEGLGKVICLKTPDGKDWKVNLVKKDDKIWFEKGWKEFVEYHSLSHGHFLVFKYRRHSKFHGDIFDNTRVEIDYPLKRVQAEKVSSNEEDCRTSKRNIILTKGAKENK >CAK8542726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541196438:541210865:-1 gene:gene-LATHSAT_LOCUS11540 transcript:rna-LATHSAT_LOCUS11540 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRSLLLRIGDKSPEYGPSSDFKDHIETCFGALRRELEHSETEILEFLLTCSEQLPHKIPFYGTLIGLINLESEDFVKRLVEKTHSKFQEALETENCNGIRILTRLMTAMMCSKALQPDSLVGVFETFLSSAATTVDEEKGNPLWQPCADFYITCILSCLPWGGAELVEQVPEDIERVMLAIEAYLSIRKHTSDTGLSFFENDDEIERDLNNKDFLEDLWDRIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHQFGTISCPNLPSPPSVPSGVSFGKEKHEAELKYPQRIRRLNIFPSSKMEDLQPIDRFVVEEYLLDVLLYFNGSRKECAAFMVGLPVTFRYEYLMAETIFSQLLMLPQPPFKPVYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPRWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPGLEELLPPKGGPNFNLGVEDGKENNEHLLSGNLNDMVKGKAPVREIIAWIDESVSLNNSLEVTLKVVIQTLLNIGSKSFTHLITVLERYGQVIAKICPDEDKQIMLIAEISSFWKSNTQMTAIAIDRMMGYRLISNLAIVRWVFSEENIEQFHLSDRPWEVLRNAVSKTYNRISDLRKEISSLKRNISSAEAAANEAKTELDIAESKLALVEGEPVIGDNPVRMNRLKSRAEKAKEELVSIQDSIEAKEALLARATDENEALFLLLFKSFSNVLADRLPKGSGARTLREWKSAQVEEMVVDLEEPSTMEVDNENQIPQNSQSNGGKKSVAYNVGEKEQWCITTLSYVKAFSRQYASEIWAHIEKLDAEVLTEDASPLFRSAVCFGLRRPINEA >CAK8540603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12126826:12129925:1 gene:gene-LATHSAT_LOCUS9594 transcript:rna-LATHSAT_LOCUS9594-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDNAIQAGSLDVGKKSKGKMKKDGDEKEIGCCVKFNCFAKCIPSRSKVDSSKSGTTSAYSVDKTSAYEKRERERSAPPGSSTTSNAESIPSTPKFSEELKVSSDLRKFTFIELKMATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAELNILGDIVHPNLVKLIGFCIEDDQRLLVYQFMPRGSLENHLFRRSLPLPWAIRMKIALGAAKGLHFLHEEAQRPIIYRDFKTSNILLDAEYNAKLSDFGLAKDGPQGENTHISTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRAVDKNRPNGEHNLVEWARPVLGERRLLFQIIDPRLEGHFSVKGAQKAAQLAAQCLSRDPKLRPMMSEVVQALKPLQNLKDMAITSYHFQVVRVDRTMSMPKNGTQTQLTSLSKKGQPMRILSSPNGQNGSPYRHYIKSPKPNG >CAK8540604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12126826:12129925:1 gene:gene-LATHSAT_LOCUS9594 transcript:rna-LATHSAT_LOCUS9594 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDNAIQAGSLDVGKKSKGKMKKDGDEKEIGCCVKFNCFAKCIPSRSKVDSSKSGTTSAYSVDKTSAYEKRERERSAPPGSSTTSNAESIPSTPKFSEELKVSSDLRKFTFIELKMATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAELNILGDIVHPNLVKLIGFCIEDDQRLLVYQFMPRGSLENHLFRKGSLPLPWAIRMKIALGAAKGLHFLHEEAQRPIIYRDFKTSNILLDAEYNAKLSDFGLAKDGPQGENTHISTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRAVDKNRPNGEHNLVEWARPVLGERRLLFQIIDPRLEGHFSVKGAQKAAQLAAQCLSRDPKLRPMMSEVVQALKPLQNLKDMAITSYHFQVVRVDRTMSMPKNGTQTQLTSLSKKGQPMRILSSPNGQNGSPYRHYIKSPKPNG >CAK8544317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678308492:678310666:1 gene:gene-LATHSAT_LOCUS13008 transcript:rna-LATHSAT_LOCUS13008 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASAITNNNGYDSFCLTNAKNNNVKVNTADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVKVELSESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGTESNHILPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGSSGEILNAKQAFQFAGINSDFFELQPKEGLALVNGTAVGSGLASIVLFESNILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAKKLHETDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAVEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNIVSQVAKRTLTTGVNGELHPSRFCEKDLLRVVDREHVFAYIDDACSATYPLMQKLRQVLVEHALVNGESEKNLNTSIFQKIATFEDELKTLLPKEVESARAAYESGNPRVPNKINGCRSYPLYKFVREELGTGLLTGENVISPGEECDKLFTAICQGKIIDPLLKCLGDWNGAPLPIC >CAK8565962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:359878968:359880177:-1 gene:gene-LATHSAT_LOCUS19135 transcript:rna-LATHSAT_LOCUS19135 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKHKHSYCENKEKNKDRLSDLPNCLLLHILSFLNAKIVVQTSILSKRWKNLWKSLSVLRLTSSNFKTARGSSNFQAVQNFNKFMSKILSLRDYSASLHTLDVHRYAIREPRQLKWIIKYAVSHNVQHLDIYLKCRFQQLPPCLFSCRTLTSLKLSVFRSRLYTMKAFFPISPNLPALTSLSLQSFTFLVGDDGRIEPFSSLNKLNSLLIDKCEVLDAQNLCISSATLVNLTIIMSYRAHKAYFGFELSTPSLCTFNFSGFPVQKLCGSNSNLSTVKHANIDVDYWLHTADTPLVLLNWLVELANIKSLTVTSTTLKVLSLVPDLVKFEFSFLCNMKSLKVKRKYSSSVPDGLVDFLLQNSPSAKVDIVD >CAK8572628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551891647:551892389:-1 gene:gene-LATHSAT_LOCUS25170 transcript:rna-LATHSAT_LOCUS25170-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNWSILVILCCAISFTLASDPDTLQDLCVALPSSGVKVNGFACKAEANITAADFFFNGLANPKAINNTVGSLVTPANVDKIPGLNTLGVSLSRIDYKPKGLNPPHTHPRATEIVFVLEGELDVGFITTSDKLISKSIKKGEVFVFPKGLVHYQKNSGDKAASVISAFNSQLPGTFSIASALFGSTPTVPDDVLAQAFQIDTKQVDEIKAKVAPKKT >CAK8572627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551891647:551900860:-1 gene:gene-LATHSAT_LOCUS25170 transcript:rna-LATHSAT_LOCUS25170 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNWSILVILCCAISFTLASDPDTLQDLCVALPSSGVKVNGFACKAEANITAADFFFNGLANPKAINNTVGSLVTPANVDKIPGLNTLGVSLSRIDYKPKGLNPPHTHPRATEIVFVLEGELDVGFITTSDKLISKSIKKGEVFVFPKGLVHYQKNSGDKAASVISAFNSQLPGTFSIASALFGSTPTVPDDVLAQAFQIDTKQVDEIKAKVAPKKT >CAK8572049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505017583:505018952:1 gene:gene-LATHSAT_LOCUS24657 transcript:rna-LATHSAT_LOCUS24657 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHNFAGCGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSVASDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQTQVPFYPSQNFTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAADDDFNDDLVAQFMNPNNDAGPSTQTQNAEVDRRRSTKNVQAPAYGTHQRLRRPGRN >CAK8566817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465111167:465113287:1 gene:gene-LATHSAT_LOCUS19922 transcript:rna-LATHSAT_LOCUS19922 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPIAMAVVATVISMLFIFGIFLFFYHRFFLARYQTRNKGSFLHEPDMKRFGGNVKGVIADENGVDVIYMMDKESRQMITSFPNCMFNPSYEDDVEKEKIIDVLVHRSKISNPYHENLFSCESPLQKTLPMSYNQPLPSPPSSPPPPPPPPPPAPPKKVTPKVLLPPGPPPLPKASGFSFMKPPPAPKGKANMKEGMIGESSREKGGGYGQTRLKPLHWDKVIADVDHSTVWDQINDGSFRFDDELMESLFGYSTRYKTHERNMSLSSLAKNNSNTPTQIFILEPRKSQNTAIVLRSLAVSRREILDAVLDGQELSVETLEKLTKIAPSQEEASKIVQFSGNPNSLAEAESFLYYILKSVPTSFIRLKAMLFRSNYDSEILQLKEHLQTLDLACKELKTSSLFLKLLEAILKTGNRMNAGTSRGNAQGFNLSALTKLSDVKSTNGKTSLLHFIVEQVVHSEGKRQAIFESEKEYLILGLPVLGELSDELSEAKKAATIHYQSFITMCSTLISHVTEIRHIITCCGNTEKSGFINDMKGFLELCEEELKVVKEEQTRIMEVVKKTNDYYLAGASRDNKPNPFHLFVIVKDFVDMVGQTCIELKKKVENKNVRVEFGSTTPPLSPSKMVPLRFSNFDLNFMSNRSDSTFSSQSEDGF >CAK8570942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:182903461:182905257:1 gene:gene-LATHSAT_LOCUS23649 transcript:rna-LATHSAT_LOCUS23649 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGTGSSFKEVLESHMIFSDYEKAKSKSEANCNNTFVKSRICSDGKNGNHSKNGVYDLLECPVCKNLMYPPIHQCPNGHTLCSNCKTAVHNRCPTCHRDLGNIRCLALEKVAESMELPCRYQNLGCHDIFPYHTKLKHEQNCRFRPYNCPYAGSECSMMCDIPTLMAHLKNDHKVDVHDGFTFNHRYVKSNPHEVENATWMLTVFNCFGKHFCLHFEAFLLGTAPVYMAFLRFLGDEKEAKKFRYSLEVGGNSRKLIWQGIPRSIRESHRKVRDSQDGLIIQRNLALYFSGGDKQQLKLRITGRIWKEE >CAK8563330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593104020:593104776:1 gene:gene-LATHSAT_LOCUS16754 transcript:rna-LATHSAT_LOCUS16754 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHFYTSASASEPVGYPGGPYDTSLLVKYEHHFAIHIWFGEERGSKKELKVAGHGLKLIQRVSLQLPKEMEGWISRFGLASLQRTNLTKIDTNLVSAFAERWHLETSSFHMLFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWVVDYLGVSRRVAQQQVRDCRGSYYKLEWLYDRFVEHRVASIWDYDTRAYLLMLMSSTIFADKTFTLVEAR >CAK8539757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524269516:524274670:-1 gene:gene-LATHSAT_LOCUS8825 transcript:rna-LATHSAT_LOCUS8825 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEYVPVFNSLIDAYFRSLLWVGPALLFSTATAISILGWDGKVRTILSISMPRAVLVGALNDRLLLASPTEINPRQKKGVEIKSCLVGLLEPLLIGFVTMQQRFKQKLELSEILYQITSRFDSLRVTPRSLDILALGSPVCGDLAVSLSQSGPQFTQVMRGAYAVKSLHFSTASSVLKDEFLRSRDYPRCPPTSQLFHRFRQLGYSCIRFGQFDKAKEIFEVTADYESMLDLFICHLNPSAMRRLAQKLEEEDLDSELRRHCERILRIRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTLATAKDIPKWELAAEVTPYMKTDDAAIPSIVVDHVGVYLGSIKGRGNVVEVTEDNLVKAITMAGSDVKANDLELSPIKSLRNQLKGVDKTQGDSLMGLESLNKQFASSSADKQAKAAEEFKKSMYGAAADGSSSDEEGLSKTKKIHVRIREKPIEPSVDVNKIKEAASKFKLAAGLTSSRSRSLTSGSQDFGQISAMPPVTTGMPAHTVSTPGDLFGTEAFTRPGSISQPATGAEGRGPIPEDFFQNTVSSLQVAATMRPAGSYLSKLTPSVEGSQATANQFSASKADVGNVPPNAVQQPVVSNDPIGFPDGGLPPQSSAQAAGMPSSQQQAPISTQPLDLSVLGVPNSADKPAQVGSPPSSVRPGQVPQEAAASVCFKTGLAHLEVNNLRDAFSCFDEAFLALAKEQSRGNDIKAQATICAQYKITVTLLQEIGRLQRVHGSRAVSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLLSKAPASKQEEFRSLMDLCIQRGLTNKSIDPQEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVNAPGCFICGMGSIKRSDTVTGSVGPVPSPFG >CAK8574488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:652974:654783:-1 gene:gene-LATHSAT_LOCUS26832 transcript:rna-LATHSAT_LOCUS26832 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPFFSFFLFLVSFYFIIANGVCQFEQNKIFKLQMLQRKHQFGPKGCFLPESRKEKGAIILEMKDRGYCSERKINWNRKLQKQLILDNLRVRLMQNRIRTKVSSHGLGQSSEIQIPLASGINLETLNYIVTLGLGNQNMTVIIDTGSDLTWVQCDPCLSCYNQQGPVFNPSNSSSYKSLLCNSSTCQNLQLTTGNTEVCESSNPSSCNYAVNYGDGSFTDGELGVEHISFGGISVSNFVFGCGRNNKGLFGGVSGLMGLGRSNLSLISQTNTTFGGVFSYCLPTTENGASGSLVIGNESSVFKNLTPIAYTNMVSNPQLSNFYILNLTGIDVGGVALEDTSFGNGGVLIDSGTVITRLAPSVYNALKTEFLKQFSGYPFAPGLSILDTCFNLTGIEEVSIPTLSMHFEDNVDLNVDAAGILYMVKDDTSQVCLALASLSDENDMAIIGNYQQRNQRVIYDAKQSKIGFAVEECSFT >CAK8577290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552854578:552855183:1 gene:gene-LATHSAT_LOCUS29411 transcript:rna-LATHSAT_LOCUS29411 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTIILDDVSLFHLPVRGVFWSPQDISEVFVVELAFDYLGVSHRVAQQQVRDCRVSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLMGSIIFADKMFTLVEARYLSLFIGLDGLSGYSWGAAALVTLYHYPRDASMFSCKHLGGYPTLLQCWIH >CAK8570512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53381964:53382995:-1 gene:gene-LATHSAT_LOCUS23255 transcript:rna-LATHSAT_LOCUS23255 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSTIIVFVVFLVVHASAARTVPNGGDEQKMGVVHANVAQHAENSTGKGVDEKKNFLGGGVGGFVGGVAGVGGFVGGIGKAGAIGGGIGGGIGKVGAIGGGIGGIGGGIGKVGGIGGGIGGGIGKAGGIGGGIGGGIGKVGGIGGGAGIGGFHGVGAGAGIGGFHGVGAGAGIGGFHGIGGGIGKAGGLGGGIGKVGGIGGGAGIGAFHGVGGGAGILGGGHHGIGGGVGGLGGAGGGGLGGAGGGAGGLGGTGGAGSLGGGPGGLSGGTGIGSLGEPGGIVGLGAPGGDGSQGGTGGPGGLGGGSGGLGGGSSSLGSGDGPGGDGGLGGGSGGLGGGASP >CAK8569472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2200168:2201744:1 gene:gene-LATHSAT_LOCUS22320 transcript:rna-LATHSAT_LOCUS22320 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWAIAVHGGAGVDPNLPLQRQEEAKQLLTRVLNLGISALRSNLPAIDVVELVVRELETDPLFNSGCGSALTAKGTVEMEASIMDGPKRRCGAVSGLTTVKNPVSLARLVMDKSPHSYLAFDGAEDFARQQGVEVVDNEYFITEDNIGMLKLAKEANTILFDYRIPASGYETCGAGVESPLKMNGLPMSVYAPETVGCVVVDREGRCAAATSTGGLMNKMMGRIGDSPLIGAGTYACKLAGVSCTGEGEAIIRGTLAREVSAVMEYKGLGLQEAVDYVIKNRLDEGFAGLIAVSSKGEVAYGFNCNGMFRGCASENGFMEVGIWE >CAK8536485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945681141:945681416:-1 gene:gene-LATHSAT_LOCUS5849 transcript:rna-LATHSAT_LOCUS5849-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATTTSKAWIVAASVGTVEALKDQVGICRWNYALRQAQQHLRNRVRSYSQAMNFSSSSAVACKLKDEKKAKQAEESLRTVMYLSCWGPN >CAK8536484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945681141:945681419:-1 gene:gene-LATHSAT_LOCUS5849 transcript:rna-LATHSAT_LOCUS5849 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRATTTSKAWIVAASVGTVEALKDQVGICRWNYALRQAQQHLRNRVRSYSQAMNFSSSSAVACKLKDEKKAKQAEESLRTVMYLSCWGPN >CAK8544192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671235668:671237739:-1 gene:gene-LATHSAT_LOCUS12892 transcript:rna-LATHSAT_LOCUS12892 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESSNGSLNTKTCKCRYCNCECGCSEISTNWIRKVKRKHDEMEKVRKSNGGGGVVRVEIEDECVALREAVSSQQKAIQDLYSELEEERNAASSAASETMSMILRLQTEKAELEMEARQFKRFVEERTSHDQQELFALEDLLYKREQAIHSLTCEVQAYKHRLMSYGLTESEVEGDCEFPPYEYPPLKCNVMHAVGDVDNDDVFDVDVEKYAFGETPRDRLRSLENRISQMERTPTYSQMDGDFNTKNVIEKVIVGHSPRRNKHSRKFSCDSSSFGAEFVSDSPRINGSSRKMDYNGSEDFSNTKKVDNVSEVGDNTDRVYTVDSEFKGGVGGYDDEYATTPREFGNQGDFEDPYVKKLCMRLQALEADRESMRQSIISMRTDKAQLILLKEIAQHLCKGTSDQRRVTVKTFVGGFSFFTIFKWVASIVFWRKRGHQIKYMLGLPSTDAGLLMLLDKEPQLRSWRYVSRAQTGG >CAK8538685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493001137:493006783:-1 gene:gene-LATHSAT_LOCUS7859 transcript:rna-LATHSAT_LOCUS7859 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVAASSSSLPLSSVSEGICYSLSSGVTCNSIKYPIDGRRVHDLTNTRFKRPFFGSPRFPWLSTEHDFLSKICVAADYSDSISDSYHPLEKLKVPNDVPPARLSSAEIARTTIEATNDALLIFPGVVHSERHEQISWAESQFVIDEYGEIYFRIFDDENLLADRGAYNPVNALFGMDIPMYDNTRIINEYDIFYGGITDPFLIDDDFIKVPEIEEFNALVNPIYFSKCLEKAVNRECEKRMSHPSNGVSILGNLIHAYADEEFYKRMSGLGYMPDLEGFFPNRINDERKTKFMFYKLEIEQIMLHCVYGSQSEISLLEFQDAEPDILVFTSSELLKRFNRNYHDALQAFCKKKGLDAEEAHLIGLDSLGVDVRVFSGKEVKTHRFSFKVQAKSGDMVEKQIEKLLYTSSRRKKNMQKPRRSIKKPT >CAK8542972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563427019:563430155:-1 gene:gene-LATHSAT_LOCUS11766 transcript:rna-LATHSAT_LOCUS11766 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSSAVSPSTSRRENTPDKIHVKTIGCMSGILHLISTSNSRHHRRFLTFGKKQSKNHGCSVSTPTATVNPQLEKTKEKKSNEVSTMRNPKSSCEVPRSPTLPAEIRRKSVENVREAPALVARLMGLEAISDSPESVVEKRQKLLGALQRCDDDLKALKKIIDAVQTPEPVSSPKPVVVKRTVCEVKCSVVNGEQQQPSPVSVLDEFTRSPLSSNCHSGRHSFARIQQTKQQLLKKPGEEEISSTLYMHERIPNELVNKKVNEEDRSIMWSSKGMIRTVEEVCRDVAWGENREVGKIGLALQDCICRDLVEEIVRELGILYTLPFESCKRRLCF >CAK8539352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509929068:509930635:1 gene:gene-LATHSAT_LOCUS8455 transcript:rna-LATHSAT_LOCUS8455 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLLSKIATGNGHGENSPYFDGWKAYENDPFHPTNNPNGVIQMGLAENQLASDLVENWITSNPEASICTLEGVTQFKAMANFQDYHGLPQFRQAVAKLMERTRGNRVSFDPDRIVMSGGATGAHEVIAFCLADPGDAFLVPTPYYAGFDRDLKWRTGVQLVPVICKSSNNFKLTKKSLRICV >CAK8571056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274695400:274698156:-1 gene:gene-LATHSAT_LOCUS23752 transcript:rna-LATHSAT_LOCUS23752 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDNQLFWMGKSNGNLNDSDDTAYDNSRIESKRSNQWFVDGPEVDLSPNKKQAVEAPNSLSPGLLNSNISAWGDSLSFHSLTGHFTAQLFDPGAASMNFEDANIFPLNIDTKLSAERKDILDPFGGDASFGLSMLTTLENSQPVFNHDGTRNVNDDEVKESRNFMFVPTNNPCDGGVSNTVSHSHASKEGDSSISTSLTYNNEDANVILVDGAFDRMDNGLMSTSKTYNKGDGNLSVPPTYKEICNTISMDQGYINVDSDAMSIAQAYNKACDNSMLSNHLFNEVEDDTILMRQTYHQRPNDMSFVSHSYNKGESTIISFGGCDDDDAIQSDAFVSDYALFMGQAASHMSQVANEKELVRSSSKLIPSTAQMSASEAENVPKTKEEMKLSKKATSNNFPSNVRSLLSTGMLDGVSVKYKAWSREKELRAVIKGAGYLCSCSSCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGVVQELRSTPQNMLFEVIQTITGSPIHQKSFRIWKESFLAAARELQRICGKD >CAK8571057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274695400:274697447:-1 gene:gene-LATHSAT_LOCUS23752 transcript:rna-LATHSAT_LOCUS23752-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNGNLNDSDDTAYDNSRIESKRSNQWFVDGPEVDLSPNKKQAVEAPNSLSPGLLNSNISAWGDSLSFHSLTGHFTAQLFDPGAASMNFEDANIFPLNIDTKLSAERKDILDPFGGDASFGLSMLTTLENSQPVFNHDGTRNVNDDEVKESRNFMFVPTNNPCDGGVSNTVSHSHASKEGDSSISTSLTYNNEDANVILVDGAFDRMDNGLMSTSKTYNKGDGNLSVPPTYKEICNTISMDQGYINVDSDAMSIAQAYNKACDNSMLSNHLFNEVEDDTILMRQTYHQRPNDMSFVSHSYNKGESTIISFGGCDDDDAIQSDAFVSDYALFMGQAASHMSQVANEKELVRSSSKLIPSTAQMSASEAENVPKTKEEMKLSKKATSNNFPSNVRSLLSTGMLDGVSVKYKAWSREKELRAVIKGAGYLCSCSSCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGVVQELRSTPQNMLFEVIQTITGSPIHQKSFRIWKESFLAAARELQRICGKD >CAK8534665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753936293:753938412:-1 gene:gene-LATHSAT_LOCUS4191 transcript:rna-LATHSAT_LOCUS4191 gene_biotype:protein_coding transcript_biotype:protein_coding MINILLLVMCLVTIITGQTDNGMSKEEDLELERQLSILNKPPIKSIHTKSGYIIDCVDINKQPAFDHPLLKNHKLQKKPIFKRNVTETKGQNSPIKKLKSILIFEKFNCPEGTVPIRRTTKYDLIQKQKLFNGPNLTENGSINHFARVYLSKVAAPYYGVSGTSSVWNPKVYKGQSSSSNLYVGSEEGDNYNKVSVGWHVFPELYNDNQTYLFSYWSSGKNGCFDMLCNGFVQVDKSYTFGTRISTTSTYGGKIIELPLQISQDNVKNWWLKVVDKDIGYFPAALFSNLDGADQVGFGGYTVTPAGTASPAMGSGYKPDKNFTHASYFRFIKHLNIVRTPFDPFPFMVESYNDAPNCYGVTNYEDKIKSEGYSIQFGGPGGKCST >CAK8560983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:67046677:67048335:-1 gene:gene-LATHSAT_LOCUS14614 transcript:rna-LATHSAT_LOCUS14614 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRGHGSRIFRSLAISKLRTSYQKSPVANATTPALDRRLMSTTGTSMEELRSLRMVTAVKTPYLPNGQFDLDTYDNLVNMQIAKGVEGILVAGTTGEGYLMTWDEQIMLIAHTVNSFGDKVKVIGNAGSNCTSEAITATEQGFAVGMDAAMHINPYYGKTSSDGLIAHYNSVLSIGRVIIYNVPTRSSHDIPPSVVERLAENPNLVGIKECVGNDRVKMYTSKGLHVWTGNDEESHEARWECGATGLHSVAGNLVPGLMKELMFDGKNPSLNAKLVPLFDWLFHVPTPVALNTALAQLGVIRPVFRLPHVPVPLEKRREFVNLVKEMGRENFVGEKDVQVLEDDDFIVVARY >CAK8565930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354797978:354802905:1 gene:gene-LATHSAT_LOCUS19103 transcript:rna-LATHSAT_LOCUS19103 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRKKKKQKPPKKVEENKEPKQRVLESLVEAFSLSSIEEAVVAYDVANGDSNKASEILRRGLVDSIEDPFFSSSYSSSSCSGGSNGNGVSSGLDVGSTSGSSDGFLEQICREDVVGFEGVQQKQKQKKIVAATGTVSTILGNEYVRRNSGRKKRIGNEVVDKEEAEQFLYSMLGNDCDLNLAVVRDVLCQCGYDVEKALDILLDLAANKRSSNNRNSNFKVGNNMDDTRFLVDYDPNDSMIDRRSECMSLSSLSSEGDFSDNIWGPTPYGRNYAEVLTSSKANSHISPGCTKFELPQKVLESLFNIPKSSEHDKGTMNWRNIAKKMQSMGPGFVTCPNVAEPQQRAHTKGEEYHAFREGARQQWDSVKCYFQKAATAYTNGDRGYAAYLSDQGKEQTKVAQKADTKASHDIFVARNKGIENVITIDLHGQHVKPAMRMLKLHLLFGSYVPSVQMIRVITGCGSHGFGKSKLKQSVTKLLDEESIEWSEENRGTVLIKLNGWREFSFLDADSDSDSD >CAK8574846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11485956:11489144:1 gene:gene-LATHSAT_LOCUS27146 transcript:rna-LATHSAT_LOCUS27146 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKRGRATGFVKEPGVLVGEHKKEAVMKEFGSNNLPDLGLGDSVTDSDFMSICKEGYMVPRTKCEPLPRNKLLSPIIFHEGRLVQKPTPLVALLTFLWMPIGIILSILRVYLNIPLPEKIAWYNYKLLGIRVIRKGTPPPPPKNGQSGVLFICNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIKAVALTRERDRDAANIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSMFYGTSVRGHKLLDPYFVFMNPMPTYEITFLNQLPLELTCNGGKSSIEVANYIQRVLGGTLGFECTNLTRKDKYAMLAGTDGIVGSKKKD >CAK8530576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31991519:31992037:1 gene:gene-LATHSAT_LOCUS431 transcript:rna-LATHSAT_LOCUS431 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSANREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPPAPVAGGLCLDLNAPSTGLTTWPPVPSRSLVLTEFLQTGVLKDFNPPQPPPIAALAGQRRNDGALPVSARVQMVENSPTAAYLGLVRRGIPIDLNEPPPLWL >CAK8576811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516210725:516214529:1 gene:gene-LATHSAT_LOCUS28977 transcript:rna-LATHSAT_LOCUS28977 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKIHGVLACTDEAVLPVSQVFDAIRELGDEGVEKLDPLVITSVSSMSKFPVEPSSVDLVVLIWKSLDFPINQLTQEVLRVLKAGGTTLIRKSSQSAVGLDDKTIPDLENKLLLAGFSEIQALQSSAIKAKKPSWKIGSSFALKKVVKSSPKVQIDFDSDLIDENSLLSEEDLKKPQLPSGDCEIGTTRKACKNCSCGRAEEEEKVFKLELTAEQINNPKSACGSCGLGDAFRCSTCPYKGLPTFKMGEKVAISNNFLTADI >CAK8571994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500686955:500688816:1 gene:gene-LATHSAT_LOCUS24603 transcript:rna-LATHSAT_LOCUS24603 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIPSTLRSSLSFEIYNKSHLHEDHDYNNNKDPEHVLKRTVLSLETIGSDEFTFERSKMDLIEEAENEIENDWSTEIQNLSIDDEDDEDVQPSTPPMYLATGLGVDGGEVVVSDNNDMLFVPSLQESDDLEEYYKRMVHEYPSHPLILKKYAHFLQGNGELQDAEEYFNRATQADPNDGEILMHYAKLVWENRRDRDRASVYFERAAQASPQDSDVLAAYVSFLWETEDDETEDENRQPQNDMEKQETELVNTSKKENGVENLTTANLNEDINDADYLKKMIDENPKNPLFLKKYAQFLFQSKRDLEAAEGYYSRAVSADPSNGETISEYAKLHWELHHDQEKALSLFEQAVKATPEDSNVLAAYTCFLWETEDGES >CAK8570862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:135415025:135415624:-1 gene:gene-LATHSAT_LOCUS23574 transcript:rna-LATHSAT_LOCUS23574 gene_biotype:protein_coding transcript_biotype:protein_coding MECLVGAQVVAFLGVLIYKFYGDELREMFGYEQHPYGFYTMAVLAIILVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREHVKNVPELDPSHVTELRMLGLY >CAK8565484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:234423821:234425170:1 gene:gene-LATHSAT_LOCUS18698 transcript:rna-LATHSAT_LOCUS18698 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFPKTPYGIRQDMVKVQSLRTLIQVFRLSLKVLVTMEWVRSHQGGVESANLTIFTAIGARFYSKGYESKFRRLNQSLYTSRDVLGHGTPTLSIAGGNFVSGANVFGFANGTAKGGSPRSHVAAYKVCWLGTVEIECTDADIMKAFEDAISDGVDVISCSLGKGSPTEFFEDGISLGAFHAVQNGVIVVAGGGNSGPKFETVTNLAPWLFSVSASTIDRNFVNYLQLGDRKHIIMGTSLSTGLPYEKFYSLISSVDARVANATVN >CAK8538038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459753451:459758206:-1 gene:gene-LATHSAT_LOCUS7279 transcript:rna-LATHSAT_LOCUS7279 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTIFRTIQVHPFLLYPTSKLKQRNGFFYSTITKATQTKVPASTQSKKLDTILKDYEAVIGIETHVQLSTLTKAFCNCPYSYGSFPNSSICPVCMGLPGALPVLNSKVIEFAVKLGLALNCNLAFNSKFDRKQYFYPDLPKGYQISQFDAPIAASGFLDVDIPLEFGGGHKRFGITRVHMEEDAGKLMHSENGNFSQVDLNRAGVPLLEIVSEPDMRSGIEASEYAAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSKFGTKVEVKNLNSFASMSRAIDFEISRQVLLHSQGQEDQIVQETRLWEEGSQRTITMRKKEGLADYRYFPEPDLPSVILSQEYVDGIQSCLPELPEEKRRRYEKMGLGMQDVLYLANDKNIAEFFDATLAKGADAKLVANWIMSDIAAFMKNEKLTINDIKLTPEELSELIGSIKGGIISGKIGKEILFELLAKGGSVAEIIEKKDLVQIADPVEIEKMVDKVIAENPKQVEQYRGGKTKLQGYFAGQIMKVSKGKANPGLLNKILLEKLNSKS >CAK8567311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502871812:502872411:-1 gene:gene-LATHSAT_LOCUS20375 transcript:rna-LATHSAT_LOCUS20375 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHIHAYANFSCELRILQARNIEFIKSTKNLFARFYLPIGNNKRIQLNSKIVSAKFGPFWDESFNLDCSCPKEFLENLNQQSLVLELRQKKMWGSQLIGKGEIPWKVILQSQNMELKKWLKMDLICGSDCKEVMLTTPEVEVEIKVKVSSVAKTNKQNNKRFNDWNECGCKNGHDHNAWCNAEDCDIFALGAALEAF >CAK8540686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15970609:15972078:-1 gene:gene-LATHSAT_LOCUS9667 transcript:rna-LATHSAT_LOCUS9667 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGANMVFPAVLNAAIELKLLEIIGDEFKSAIEIASKLPAQHSDLPNRLEGMLRLLASYSLLSVSTRTNDDGSKVIVYGVTGSGKYFVNDENDGGYLGSFTSFMCPRALLGMWLNFKEAVIDPEVDLFKKVNGMNKYEYFGTDPQINQLFNRSMTDTSKVHIKRILDVYKGFEGVSTLVDVGGSSGQSLKMIIAKYPSINAINFDLPQVIDNAPPIKGVEHVRGSMFDSIPQGDAIILKAVCHNWSDEKCIEILSNCHKALPPNGKVIIIELAQPEDPEPTNAFRMIATIDNIMFIDPGGRERTPKEYESLGKQSGFSKLQVVCRALSIIEIMELYK >CAK8532399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257105584:257107419:-1 gene:gene-LATHSAT_LOCUS2109 transcript:rna-LATHSAT_LOCUS2109 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPKRQIIFMGMFSRYINYLKNHSFSSLAHHYHHHHHYHSYLFRINALPQIVSTFQSSMFPVFKYSTHYQLPLSNAYCVPLFQSLPMNSFVAFHLSIRHYCSETVPMNHDSGCGVRDDVVEVNGVVESNGFESDIVKVHTTVMDNLLGFRNMEKALEKLGIPLSTPLVTGVLHRLRYDEKIAFRFFTWAGHQDNYSHEPCAYNDMMDILSSTKYKVKQFRIVCDVLDYMKRNNKSTVPAEVLMNILRKYTERYLTHVQKFAKKKRIRVKTQPEINAFNFLLDALCKCCLVEEAEGFYKRMRKMINPNGDTYNILVFGWCRVRNPTRGMKVLEEMIQLGHKPDNFTYNTALDTYCKAGMVTDAIELFEFMRTKGSIISSPTAKSYSILIVALVQNDRMEECLKIMGHMISSGCLPDVTTYKDIIEGMCLCGKIDEAYKFLEGMGNKGYRPDVVTYNCFLKVLCDNKKSEEALKLYGTMIDLNCVPSVQTYNMLISMFFRMDDPDGAFETWHEMEKRGCRPDTDTYCVTIEGLFNFNKAEDACILLEEVINKGMKLPYRKFDSLLMQLSAIGDLQAIHKLSDHMRKFYNNPMARRYALSQKRKSMGLRGKS >CAK8563276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588849243:588852342:1 gene:gene-LATHSAT_LOCUS16704 transcript:rna-LATHSAT_LOCUS16704 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPESNSNKWQDLGWMGLKVQKEKVSSGSGSGSGLGSGSGRGRMKLWMIRATSSVILWTCIVQLTAIGDLWGPRVLKGWPSCFTHESASNSRAMDIQFPSSRPPLLPPKRVYKNNGYLMISCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDHFITSLRDEVRILKELPTRLKQKVEHGFLYSMPPISWSDISYYKNQILPLIQKYKVVHLNRTDSRLANNNQPLEIQKLRCRVNFSALKFTPQIEELGRKVISLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNSEEVEELTRMRYAYPWWKEKIINSDLKRRDGLCPLTPEETALTLRALDIDRNIQIYIAAGEIYGGERRTTSLSKEYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVAGHRRYLGFKKTILLNRKLLVDLIDQYNNGILNWDQFSSAVKESHAHRMGNPSKRLVIHDRPKEEDYFYSNPEECLEPPNDLLSRT >CAK8535787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882161804:882162436:-1 gene:gene-LATHSAT_LOCUS5210 transcript:rna-LATHSAT_LOCUS5210 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRENIRKTKFLLQKTLKNFKCLIFGGYQKLPRSLSFKPFIGRTRSNNNSARTTYTSDQFYNEFYDILQSDLNRMNRNGETKLTEGAAAATASNVNVKHVSFVKQSPQKNGNENIAMEKKKNKGKKNKNEGVNELALKMKELEMNDSGDVEQVLDIEEALHYYSRLKSPVYLDIVDKFFTDMHSEFSVSQSSVRNKHSKTKGRFGAIRL >CAK8570440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46350964:46353909:1 gene:gene-LATHSAT_LOCUS23187 transcript:rna-LATHSAT_LOCUS23187 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETDSKLTQFKNSVTKLGSSTQGYGDATLMRFLIARSMDSDKAAKMFVQWRKWRETMVPNGFISDSEVPDELETRKIFLQGLSQDKFPLMIVQASRHFPSKDQNQFKKFIVHLLDKTIASAFKGREVGNEKLIGVLDLQNISYKNVDARGLITGFQFLQSYYPERLAKCYILHMPWFFVSVWRLVSGFLDKATQEKIVIISNEDERKEFINEVGEEILPEEYGGRAKLVAIQDFEVTPLENGTTN >CAK8561264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:131890323:131890847:1 gene:gene-LATHSAT_LOCUS14873 transcript:rna-LATHSAT_LOCUS14873 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIARVKDSAARQVTFSKRRTGLFKKANELAILCGAQIAIVVLSPGNKPYSFGHPSVDAIASKFFQKELNRSNVRGNSSIIEDLNQQLDDLRAQINVAENEAKVHDEILNKHKAENFTQLKELKNLFVEFKDTVKSRICDIDISQSMILLAKEPVIGIKNQATEKRRKN >CAK8538806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496781344:496782879:-1 gene:gene-LATHSAT_LOCUS7962 transcript:rna-LATHSAT_LOCUS7962 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFCGAASFQIPVISGGVHRNGTLLSTGREFLSVTGGISFCNTLKRSLSFTCLSVSSDARIGVKEAVETEKAPAALGPYSQANKVNNLLFVSGVLGLIPETGKFVSDNVDDQTEQLLKNMGEILKAGGAGYSSVVKTTILLADLKDFKTVNEIYAKYFPAPFPARATYQVAALPLDAKIEIECIAAL >CAK8531598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:128351511:128352723:1 gene:gene-LATHSAT_LOCUS1376 transcript:rna-LATHSAT_LOCUS1376 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKWIFFLLTISTMLVISKTQSISSEKPLVKIVKGKKLCDKGWECKGWSVYCCNETISDYLQTYQFENLFSKRNDPTAHAVGFWDYRSFITAAALYQPLGFGTSGGKHGGQKEVAAFLGHVGSKTSCGYGVATGGPFAWGLCYNKELSPDQFYCDDYYKLTYPCSPGAAYYGRGAIPIYWNYNYGKTGEALKVDLLNHPEYIEQNATLAFQAALWKWMTPPEKHIPSAHDVFVGNWKPTKNDTLSKRVPGFGATINVLYGDQVCGQGSDNDGMNNIISHYLYYLDLLGVGREEAGPNEILSCAEQAAFKPSGPPSSSAT >CAK8573387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607224513:607225136:1 gene:gene-LATHSAT_LOCUS25839 transcript:rna-LATHSAT_LOCUS25839 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDVNLAPKRKKHPRFTFINALVDVPDFRDVVSSRWNVEIEGKLMQKLWNKLKILQPILKGMIKHWTEELLNNTDIEEKIMMQNSKVNWLKLGDGSNAYFHAIVKEKNKQNGLHRLENNQDKILGEFKDIENEIIQFYQELIRTNTQNLMHVDVEVLRRATQLEENHRE >CAK8530683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40571638:40572273:1 gene:gene-LATHSAT_LOCUS529 transcript:rna-LATHSAT_LOCUS529 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKYSQVNDDVPTNFDGREQGAVTDVKNQGTCGCCWAFSTVAVVESITQIKTSNLISLSEQQLHDCDQQSYGCKESYMDNAFKSIIQTNGIVSEADYPYQEVR >CAK8561146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:104528998:104530335:-1 gene:gene-LATHSAT_LOCUS14759 transcript:rna-LATHSAT_LOCUS14759 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQILSTTAIHAPNHLNDHIVDLTPWDLQFLQFRYNQTGLLYHQPFELDATNQFQHFKNSLSSTLDFFHLFTGRLKITQHEDNTISCSIECNNEGALLVHAVAKNITVSNILEPNDLPPIHHSLFSLNGVKNYEGTSQPLLAVQVTELVDGVFVGFTLNHVVGDGKSFCHFSNSLAEISKGCVKISKLPIFERWFPRDIECPIRFPFAVELQNNYSNKEEKKLNLPERLFHFTKENIAKLKFKANLEAGTQNISSLQALLTHIWRSFIRSRKLDPQTEVSFVLDIGVRPRVIPPLHEDYFGNAVIDCAVTMKAGELLEDGGLGKGGLKMNKTIALHSDEKLKSDYKNWLITPSFIVTPDEVLNNNSLVIGSSPWFDVFVNDFGWGKPVGVRSGSSNKRNGKVYVYAGVEKGSMDLEICLPYENLEAIGNDPEFMDHATHYRGF >CAK8565159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52562339:52562833:1 gene:gene-LATHSAT_LOCUS18394 transcript:rna-LATHSAT_LOCUS18394 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFLQDVFKCFQSRDFALRLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDDVYRTLRNYYGKTLVSLYKENDIAGTEGAEGATLEDLTVGTNAVVVPVGSALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8534514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737980234:737980665:-1 gene:gene-LATHSAT_LOCUS4057 transcript:rna-LATHSAT_LOCUS4057 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLNDISCLLYLSIRGRFLHYGRMTKDEAVDMMVEHLGADPVKVLREVDMTKGAHVRFSFLKERFDEALVVAAQVNGDAQEVEIHMSHALRCYFLFLVSTMLFMDTGATYTDITYLDTSWISHKSMSTTGGRLAWPICIRS >CAK8576545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489685061:489688109:-1 gene:gene-LATHSAT_LOCUS28724 transcript:rna-LATHSAT_LOCUS28724 gene_biotype:protein_coding transcript_biotype:protein_coding MVSICVNFKTNTHVTNLRKDNIFRQDNGFLGERIKGGVNYSPWIINQLEISLKTKERVNKVKKPGVVSAVLTSNDATETKTFQVPSFLRRKADPKNVVSIILGGGSGVQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGINKVFVLTQFNSASLNRHISRTYFGNGINFGDGYVEVLAATQTPGEAGKNWFQGTADAVRQFTWMFEDAKNRNIENVIILAGDHLYRMDYMDLVQTHIDRKADITVSCAAVGDSRASDYGLVKVDSRGRIIQFSEKPKGDDLKAMQVDTSLFGLSPQDAITSPFIASMGVYVFKTDVLLKLLKWRYPTSNDFGSEIIPASVKEYNVQAFFFGDYWEDIGTIQSFYDANMALTEESPMFKFYDPKTPIFTSPRFLPPTKIDKCKIVDAIISHGCFLRECSVQHSIVGERSRLDHGVELQDTIMMGADYYQTESEIASELAEGKVPIGIGRSSKIRKCIIDKNARIGKDVIIMNKDGVQEADRPRDGFYIRSGITIVMEKATIEDGTII >CAK8567209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495126916:495128918:-1 gene:gene-LATHSAT_LOCUS20280 transcript:rna-LATHSAT_LOCUS20280 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKKIRIGINGFGRIGRLVARVALQRNDVELVAINDPFITTDYMTYMFKYDSVHGQWKHFELKVKDSKTLLFGEKEVAVFGTRNPEEIPWGEVGADFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDLNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLAKNATYEQIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSTRVIDLIVHIASVA >CAK8543033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568443972:568448194:1 gene:gene-LATHSAT_LOCUS11820 transcript:rna-LATHSAT_LOCUS11820 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEIKSTSTRHRRAEEVIGGNAEAIQTLRDLITFPWRLLPCDAKRLGVKFGRGVLLHGSPGTGKTSLVRAVVEECGALLTNISPNTIHSAHVGESERNLREAFSEASSHAALGKSSVIFIDEIDVLCPRRDSRRLIASQLRFLMDSNKATSSTPGVVVVASTNRVDAIDPALRRNGRFDVEIEVTVPNEKERLQILELYTKKIPRDLRDLEFIAASCNGYVGADLEALCRKAIESAIKRSKNANKDVNDSSLTMKDWKSARSLVQPSITRGITVEVPKVTWKDIGGLKDVKTKLEKAIEWPIKYPDAFSRLGITPVRGILLHGPPGCSKTTLAKAAANAANVPFFSLSGAELFSMYVGEGESLLREIFRKARLAGKSIIFFDEADVVAGKRGDSSSGSAVVGERLLSTLLTEMDGLEEAKGVLVLAATNRPYAIDAALMRPGRFDQILYVPPPDLEGRVEILNVHTRRMQLGGDVDLRRLAEDTEFFTGAELEGLCKEVGIVALRENMDATVVFDRHFQIVKNSLKPALTADVIDSYSSFRRTSSRVLPKIDQEHRDG >CAK8534384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722061754:722064197:-1 gene:gene-LATHSAT_LOCUS3934 transcript:rna-LATHSAT_LOCUS3934 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNLSHQILQPFHFPTACTIFRPPSSAVNINHCPLLPLHKVCLRAKTKKHRKWAIKLSLVEQSPPKSNINLEQLAAFLYDDLPHLFDDKGIDKNAYDERVFFRDPITKHDNLSGYLFNIALLKTLFTPQFYLHWVKPTGAYEITTRWTMVMKFMLLPWKPELVFTGTSVMGVNPQNGKFCSHVDFWDSLKKNDYFSVEGLWDVFRQLRIYKTPELESPKYQILKRTANYEVRQYNPFVVVETNGDKLSGNTGFNDVAGYIFGKNSTTEKIPMTTPVFTQAVDPDLSKVSIQIVLPSDKETNSLPNPNQETVSVRKVEGGIAAVIKFSGKPTEDVVLEKEKILRSNIIKDGLKPKTGCLLARYNDPGRTWNFIMRNEVLIWLDDFSLE >CAK8536943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41654652:41655788:1 gene:gene-LATHSAT_LOCUS6266 transcript:rna-LATHSAT_LOCUS6266 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYLFQFLLFLLLFILNPSTLSAIRVPPSLTKQIITKFKDQLNHYIWKKTLDKFKKLPPPNAQSAKEIKDLSEIKQYFSDFGYLQQSAPFNDTLDDVTISALKTYQKYFNLQITGDLNNETLQQILLPRCGVPDMNFEYGFTETLNVSWPNGNSWFPFGTRNLTYGFAPENEIPLNFTQVLKNALTRWSQTTNVLSFTETTYDVANIKIGFYIYDDRVEDVVIGDSFINKQLNSNVSSGVIQLDASKYWVLPTDNFAWSWKDGEIDLETAVMHQIGHLLGLNHSSDKESIMYPTILPSQQRKVEITVSDGQAIQQLYSTKDNNTSSGNVGRFNNTSSGNVGRFTMFESSYGFVISLSLGVFFHGCAELAYEFVICIL >CAK8561167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:109794593:109797723:1 gene:gene-LATHSAT_LOCUS14779 transcript:rna-LATHSAT_LOCUS14779 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMKKEIPDDILDDDQIGSELNDEGNGTSACGVVLKKGPWTTAEDDILMKYVKKNGEGNWNAVQKQTGLLRCGKSCRLRWANHLRPHLKKGAFTEEEERLICELHATMGNRWARMAVHLPGRTDNEIKNYWNTRIKRRHRAGLPLYPPGIYQETLNNQSTGGINGRNKVHSDFLHKKSFNMPDTVFDCLKDTQGILPYSPELPDISDYGNMLNGFDSSQYCSFGPSASSNPKCFRESPMPFLDFGCIDRNGIYPFEHIQDDAFDKLTQSFGVQSPLDPGFFSNSLPCYSHSLKNGNFSTSKPFEAVKSELPSLQYPEIDLGSWGTSPPHPLLDSIDDFIKSPTPISTLESDCSSPQNSGLLQSVLHQRKTLSSSQNQYYDRSSNSSTATPCERDDLSAWSMYEPEREDYADPVSPFGTSSILNECPAVGANINSLDEQPPIQTDYGNMVKSGNVDHVWSPDSENYIMSLLNNSQPDLVLDSGWYEPCSGHDKNQSTVTDAASMFQEDQLATTDYKHMTAAGTSNPSQVWEFSSFGWNNMPAVCHVSDLGSENTRDV >CAK8542942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561485117:561487996:1 gene:gene-LATHSAT_LOCUS11739 transcript:rna-LATHSAT_LOCUS11739 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLNEKLLRKPEEENDEEETLGKRVWNETKLMWIVAAPAIFTRFSTFGIQVISQAFVGHIGSRELAAFALVFTVLIRFANGILLGMASALATLCGQAYGAKEYGMMGVYLQRSWLVLFLTALILLPVFFLTSPLLILLGQDESIAEVAGTISLWSIPVMFAFIVSFTCQTFLQSQSKNTIIAFLAAFSIIIHAFLSWLLTMKYKFGIAGAMISTGLAYWIPNIGQLIFVTCGWCPETWKGFSFFAFQDLWPVVKLSLSAGAMLCLELWYNTILVLLTGNMKNAEVQIDALSICLNINGWEMMISLGFMAAASVRVSNELGKGSAKAAKFSIVVTVLTSLAIGSCLFLFFLFFRERLAYIFTSNKEVAAAVGELSPLLSISILLNSVQPVLSGVAIGAGWQSIVAYVNIGCYYIIGIPVGIVLGNVIHWQVKGIWMGMLFGTLIQTIVLVIITYKTNWDEQVIVARNRVKRWSKVESTNQGEERKLTGK >CAK8566996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478588435:478588629:1 gene:gene-LATHSAT_LOCUS20089 transcript:rna-LATHSAT_LOCUS20089 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGNVTITQVSSPDELLQLFSDTYTEGRHFRQHIRSCNHVILFTLHGVHVNESLVATGHGI >CAK8536745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12500143:12500844:1 gene:gene-LATHSAT_LOCUS6079 transcript:rna-LATHSAT_LOCUS6079 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLIQIQRWMTDTKVWRFVCFASAIVGLLCYALSSSFNHLFGNWNFMKIFLYTIFSFIICLIILFARTWRDITSLRFKAHSTFLVLVITSFYSFFSDKVITGKPDLYSSISYAAFALMSLSLSRQIQCGFEVDLMYFYLGCLIIQLMKIKLPLAIIGACYSYCLIILRSTFSSLSVSPENQYLEEQRIIIRVDSQQHENINTGTNVMQEFMTCMDELKQNNSNISFTNLTF >CAK8542973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563440874:563441925:-1 gene:gene-LATHSAT_LOCUS11767 transcript:rna-LATHSAT_LOCUS11767 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNKRLAVLVGCNYPNTPNELHGCINDVLAMKETLVKRFGFDHSNIELLTDDPISSSSTMPTGANIKQALSNMVDRAESGDVLYFHYSGHGTRIPSMKYGHLFRHEEAIVPCDFNLITDLDFRQVVNRIPKGSSLTILSDSCHSGGLIDKEKEQIGPSKLEEQKNATLKQTHNKPKTIPYESVLQYLSSLTNINTTDIGTHLLEFFGSEASMLFQLPLHDLDLFQPLKPDEGILLSGCQSDETSADMSPNMSNGKAYGAFSNAVQIVLKENNGKLSNREVVMKARDFLQRQGFVQHPCLYCSDENADDVFLLQS >CAK8575900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351891712:351892641:-1 gene:gene-LATHSAT_LOCUS28125 transcript:rna-LATHSAT_LOCUS28125 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRNIGVALDFSKGSKIALKWTIDNLLRHGDTLYIVHINHSKSTESRNLLWVTTGSPLIPLSEFREKNVIHQYNIEPDAEVLDILDTAANQKQVTVVAKVFWGDAREKICESVGDLKLDALVMGSRGLGAVQRVLMGSVSTYVTSNATCPVTIVKV >CAK8533533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633181013:633189178:1 gene:gene-LATHSAT_LOCUS3154 transcript:rna-LATHSAT_LOCUS3154 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIFMLLWLIFLASSGFVNGSKEEDHDIKLFVLKKGDLTLKVTNWGATLVSLILPDKNGKLGDIVLGYDNIKTYTNDSSYFGATVGRVANRIGGAKFSLNGIQYKLIANEGNNTLHGGPRGFSDVLWKVEKYVKEGDRPLIKFSYHSFDGEEGFPGDLKVTVTYILGKNSIIIIMKAKALNKPTPVNLVNHAYWNLGNHNSGNILDEVVQIFGSKITLFDNNLIPTGKFSSVKGTPYDFLKPEIVGKRINQLPKTNGYNTNYVLNKEKEKNEELKVAAIVMDKKSGRVMKLSTNAPGLQFYSANFVKNEKGKSGFVYQPRSALCLESQAFPDSVNHPNFPSTIITKEKPYKHVMLLKFSTKVPHAFSQL >CAK8533532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633181013:633189178:1 gene:gene-LATHSAT_LOCUS3154 transcript:rna-LATHSAT_LOCUS3154-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIFMLLWLIFLASSGFVNGSKEEDHDIKLFVLKKGKLGDIVLGYDNIKTYTNDSSYFGATVGRVANRIGGAKFSLNGIQYKLIANEGNNTLHGGPRGFSDVLWKVEKYVKEGDRPLIKFSYHSFDGEEGFPGDLKVTVTYILGKNSIIIIMKAKALNKPTPVNLVNHAYWNLGNHNSGNILDEVVQIFGSKITLFDNNLIPTGKFSSVKGTPYDFLKPEIVGKRINQLPKTNGYNTNYVLNKEKEKNEELKVAAIVMDKKSGRVMKLSTNAPGLQFYSANFVKNEKGKSGFVYQPRSALCLESQAFPDSVNHPNFPSTIITKEKPYKHVMLLKFSTKVPHAFSQL >CAK8562905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555267961:555268338:1 gene:gene-LATHSAT_LOCUS16367 transcript:rna-LATHSAT_LOCUS16367 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKWCTNCTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHYMVLIRGGRVKDLPGVKSHCIRGVKDLLRIPDRRRGRSKYGAEKPKSI >CAK8536594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:967402:968115:-1 gene:gene-LATHSAT_LOCUS5949 transcript:rna-LATHSAT_LOCUS5949 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPPPPPPPKSVAISSRPPRPPRRNTAPSSQVSQRQPQESSPEIKESQLLSWFQEIFPGRDLPPCLQPETNNVPPRDYSLQRMPSLPRSNAVPWHKSPERLLLRELYPHLDVLCTDLVDKPGGGLRPRRPPRSQPQAISFPSLDSQSQEEIVIAAPSPSKREPVAETVGSPPARKGGLRQCWIFVVIFRCFHNCCTSFGAFYLFYSFIYNTIAASTITHGDDCCFRSTFLDITYI >CAK8572711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557530690:557531577:1 gene:gene-LATHSAT_LOCUS25242 transcript:rna-LATHSAT_LOCUS25242 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQEKSKEFFFNYVITIYTPRAIFHLLTISILTLLLPLSFLLLSSLSGAKVHLEIHSQQQPFPHLFTFATHTSPCILYVLVSIVSVATLINGLMGKITLLNDSSNSVILRTRSYISWKWILLCVFQICVGLGIEASIAAGVFDSENDVFDDGGVERTFLSRMIFLLGLHETTQIWSKVVVRPVVDDTVFGKGKRERLWVEKVVVAGCLGTLWWWKLREEIENLGFMSETQKEQELMDVGIEEFVGWWLYYLTVTIGMVRVVKGVIWIFMVSLCRRRVTEVSEVELEQNQNDEKV >CAK8572095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:509289375:509290636:1 gene:gene-LATHSAT_LOCUS24696 transcript:rna-LATHSAT_LOCUS24696 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLVKDVVPFNFLTPMRIIRNAISEWGGSPAPVVVSEGANTMDVGRAVLVQKEPRTRLDAGTWGTMGVGLGYCIAVAVAYPDRLIVAVEGDSGYGFSAMEVETLVRYQLVVVVVVFNNGGVYGGDRRSPEEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTSDELKSALSESFSARKPTVINVVIDPYAGSESGRMQHKN >CAK8539487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513916126:513917706:1 gene:gene-LATHSAT_LOCUS8577 transcript:rna-LATHSAT_LOCUS8577-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDAFALVDLVRTATVHSFNDAHNLLDEIPEPNVVSWNTLISGYVHGGLFKRALSVFGNLERSQICADVFSFTSAMTACAQLSLLKLGSSIHSKTVKLGMSNGTVVANCLIDLYGKCGSVEHAVRLMPNPDSISYNGLINGIAQGGRIEDAVSILTTMPCPNSSSWNSIITGFVNRNRVSEALDMFGKMQLRNLQMDEFTFSIILNGIAGLSALTWGMLIHCCTIKNGLDSSVVVGTALIDMYSKCGRVSDAESIFNVLSDRNLVSWNAIISGYARNGDFAHVIGLFESLKMERDTKPDGITFLNLISACSHSQIPFESAISYFDAMINEYGIAPSIEHCCSMIRLMGQKGELWRAQRMIHEFGFESCGVVWRSLLGACGTQEDLQVAEVAAAKVIELERDEDYVYVMLSNMYASFGRWEDVNVIRSLMSKKRVRKEAGSSWIQIDSFVPYETT >CAK8539488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513916126:513917706:1 gene:gene-LATHSAT_LOCUS8577 transcript:rna-LATHSAT_LOCUS8577 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDAFALVDLVRTATGIFCHKFGQQLHSYAIRSGYHYSNIYVSTSLIKFYVTVHSFNDAHNLLDEIPEPNVVSWNTLISGYVHGGLFKRALSVFGNLERSQICADVFSFTSAMTACAQLSLLKLGSSIHSKTVKLGMSNGTVVANCLIDLYGKCGSVEHAVRVFYDVIDKDVISWNSVIAACANNGNIELGFNFLQLMPNPDSISYNGLINGIAQGGRIEDAVSILTTMPCPNSSSWNSIITGFVNRNRVSEALDMFGKMQLRNLQMDEFTFSIILNGIAGLSALTWGMLIHCCTIKNGLDSSVVVGTALIDMYSKCGRVSDAESIFNVLSDRNLVSWNAIISGYARNGDFAHVIGLFESLKMERDTKPDGITFLNLISACSHSQIPFESAISYFDAMINEYGIAPSIEHCCSMIRLMGQKGELWRAQRMIHEFGFESCGVVWRSLLGACGTQEDLQVAEVAAAKVIELERDEDYVYVMLSNMYASFGRWEDVNVIRSLMSKKRVRKEAGSSWIQIDSFVPYETT >CAK8574592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3135084:3137452:1 gene:gene-LATHSAT_LOCUS26922 transcript:rna-LATHSAT_LOCUS26922 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVNRKVCVTGAGGFVASWLVKLLLSKDYLVHGTVRDPGNQKYEHLLKLEKASENLTLFKADILDYESVYAAIHGCSAVFHVACPVPSTVVSNPEVEVIEPAVKGTANVLEACLKANVERVVFVSSIAAVVISPNVPKDKVMDESFWSDKDYCKKTKNWYCFAKTEAEEQALNFASRTGLRMVSICPSLVLGPILQSTTNASSLFLIKLLKGSDSLENKQRWIVDVRDVVSALILAYENHEEGRYICASHAFRTRDLAEKLKSIYPNYKYPINYIEVDDDYKMLSSEKLQSLGWKCRPIEETLVDSVESYKEAGLLQSELF >CAK8562774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:535711899:535714158:1 gene:gene-LATHSAT_LOCUS16243 transcript:rna-LATHSAT_LOCUS16243 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPSHASPILNDPVPANKSRLGIQSSLLACSQQDPPLSPGKYSKSNSKKNMGSLDDVRSNGMLDAMKASSPPRKKSIHLSAQVAPFDHDIEDYNLWMQGYPSALEAFEKIVDCAKDKKIAMFLDYDGTLSPIVEDPDCAFMSEPMRKTVRRVAKYFPTAIISGRSRDKVFDLVKLTELYYAGSHGMDIIGPVSDTLSINHPNCVKSTDHQGKEITLFQPAREFLPMIDEVFKTLIEITKDIEGAKVENHKFCASVHYRNVEENNWTMIGQRVHDMLKNYPRLRSTHGRKVLEIRPVIDWNKGKAVEFLLESLGLTDRNDVLPIYIGDDKTDEDAFKALRENNLGYGILVSSVGKESNAFYSLRDTNEVMKFLQLLVNWKREQESKHGKE >CAK8562963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562340835:562342052:-1 gene:gene-LATHSAT_LOCUS16422 transcript:rna-LATHSAT_LOCUS16422 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDIMASIHSVNHFSTLQSSPPLHSDDYPVIHYTDVYEDDDYQSHLRCPFCDFQIQLPLPSNDFQHDYCPALKYMVCPVCEQDLGNDAIAQFTHSTSPKWGWKSEKSGTWSGNSAMFGKKPAGRRNKQESVADPLLSPFIYNVPVPNSNSSHQGEKSSFKNKDINIHSAKRCWTDGVELDQQEQELKAAFVQNLILSTIFEET >CAK8572009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501644416:501645503:1 gene:gene-LATHSAT_LOCUS24618 transcript:rna-LATHSAT_LOCUS24618 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKKALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPIGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPAQASKKLKLLQSSQSSKQFILQFSNHIRSYIDDVVNVVLDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEVGHQPPHKWLTLPDMGYVIVNRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNKNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRQQHWQQLTPILPTHFEL >CAK8535588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865050322:865052650:1 gene:gene-LATHSAT_LOCUS5032 transcript:rna-LATHSAT_LOCUS5032 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRCRFYPSISKRLNAFASFSHAFYQTLSLPSHSKTQYPPLNFKFDLDDPALPQFLQLLQKDDQHCLSSLEPSRDLICSAIWVLREDWKHALRAFELNSSCNDEKACNLMIWVLGTHGKFSTAWSILRDMHNSSLSTHQAMLIIIDRYASANNSAKAIETFNFMNKFRLTPDQKAFRALLTALCKYGNVEEAEEFMLVNKNIFPLEIDSFNIILNGWCNITTDVYEAKRVWRDMLKYCITPDATSYSHMISCFSKEGNLFDSLRLYDQMKKRDWIPGIEIYNSLVYVLTRENCPKEALKTIDKLKEQGLQPDSATFNSMIVPLCEAGKLAMARVVLNTMVEENISPTVETYHAFFEAADYHGALEFLSKMKGSGLSPNKDSFLIILVKLFKLKQPVNALKIWAEMKKYEVTPTCIHYRKMVEGLVTCRWFIKARDFYEEMISNGCSEDPKVNKILQKEVLGNGDKRKQSVKKANSDKV >CAK8536168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913694026:913694883:1 gene:gene-LATHSAT_LOCUS5561 transcript:rna-LATHSAT_LOCUS5561 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKIPDHQVAGHQAKAGILGPLIDDSGKFYKPLQDDGRGSHELAFYTSLYSDPRIPSNILNLFPNFHGTQVVEASDGSGLHPHLVMEDIAGNFTNPAVVDFKIGSRTWHPQSSEDYISKCLKKDRESSSIKLGFRISGLRSVSPSNQLWQPQRKFLMDLSAEDVILMMRKFVSSDGNADEPDCVFGSRVYVHVLEELLVLKKWFEVQTIFHFYSCSVLVVYEKDEKDEKKSARAVVKLVDFAHVVDAKGAIDHNFLGGLCSLIKFVKDVLAGLGDDEISNPKP >CAK8561909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409324323:409327283:-1 gene:gene-LATHSAT_LOCUS15457 transcript:rna-LATHSAT_LOCUS15457 gene_biotype:protein_coding transcript_biotype:protein_coding METPLIIRSFTSENDYLAVKSLKYLKHVLWSETLKIWKIAIPVALSLLFQFLNNSSTSIYAGHLGDIQLSSFSIYQSVIASIYFALLYGMSNAITTLCGQAYGAGQFQNAGIYLQRSWILLITTCILLLPFHIFATPILKLLGQEKEIAELAGKYAILVVPNMFSYAINLPLMKYLQSQSKVNVIMYLAIVTLLTQNGLLYTFINVFHWGITGLAMASNISGWVFSGALLIYTIGWCKEGWNGFSWMAFRELWEFIKLSIGSCVMICLEQWYSACIMLLAGHLHNPVIDVGSYSICLNIQGWHTMLLLGVSIAVSVRVSNSLGMSHPREAKYSFLVTMFQSLLLGIIFMTVIFLSKDKFPLIFTKSEDMIHGASELAYLLGISMILNTVSQVISGVVIGCGWQVMVGYINLACYYIVGLPIGIFLGFHQHLGVKGLWEGTMCGITLQILVLMVIIYRTNWTKEVEQAATRMRIWSSNKDQPLYESD >CAK8544125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664967296:664968291:-1 gene:gene-LATHSAT_LOCUS12828 transcript:rna-LATHSAT_LOCUS12828 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVNKTTTTEAGGAATISAVHPDIIQTHILTCLDGPSLASAATTCSQFNTLSSYEHLWSNICTSTWPSTNTPRVRNVISTFPNMSRSFFSDSFSTVTAPASNRHRANLETTPEILSAVDLFHRKKLVLSKLVETETESGWFRCSPFRIDILDPKDSVETSMEYPREEEACKNLEEDLSLSWIVIDPRGKRAVNVSSGKPVSVNRHWLTGDVEVRFATVLHGGEKGSAKEATLCSLLVTLGKEMQVRETCFQLEDMDGNQMNGRDSLGILQRALESERERLRNGKERYVEFVKKKMERKERKMRRERKLDILCIALAALSVAAFSTLFLS >CAK8538754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495097440:495101378:1 gene:gene-LATHSAT_LOCUS7919 transcript:rna-LATHSAT_LOCUS7919 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGKKSLEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAVDTHTGEKVAIKKVHGIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSSRDFKDIYVVFELLESDLHQVIRANTDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKSVVHQLDLITDLLGTPSLDAISRVRNDKARRYLTSMRKKQPVPFAQKFPNADPLSLRLLERLLAFDPKDRPTAEEALAHPYFNGLAKIEREPSCQPITQMEFEFEKRRVTKGEIRDLIFHEILEYHPQLNGTEKTNFLYPSAVDQFEKQFTHLEETGGKSDPVVPLERKHASLPRSTTVHSNTTSEKEQSNIASSKNRQTTEEYNTSYPESSNIRGLQIIPLDTPGKVVRPLVRYGHESIVNDSYDSRTSMRGKTYPAMLNQNRNSIRFNHKY >CAK8574651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5644149:5645281:-1 gene:gene-LATHSAT_LOCUS26976 transcript:rna-LATHSAT_LOCUS26976-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAGNPLHLKSVNHISLVCRSAEESMDFYQNVLGFFPIRRPGSFNFDGAWLFGFGIGIHLLETENPEKLPKKKEINPKDNHISFQCESMGAVEKKLKEMEIDYVRAIVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVIPLAGEVARSCSRLNLQQLMQNQNQQQQQQIHKIVK >CAK8574650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5595144:5645281:-1 gene:gene-LATHSAT_LOCUS26976 transcript:rna-LATHSAT_LOCUS26976 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAGNPLHLKSVNHISLVCRSAEESMDFYQNVLGFFPIRRPGSFNFDGAWLFGFGIGIHLLETENPEKLPKKKEINPKDNHISFQCESMGAVEKKLKEMEIDYVRAIVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVIPLAGEVARTCSRLKLHQVMLNQNQQQQQIHKIV >CAK8541328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:121668977:121670377:1 gene:gene-LATHSAT_LOCUS10257 transcript:rna-LATHSAT_LOCUS10257 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTHIAVIPSPGFSHLVPIVEFTKRLLTNHPNFHITCIIPSLGSLPDSSKSYLETIPPNINSIFLPPINKQDLPQGAYPAILIQQTVTLSLPSIHQALKSLTSKAPLAAIVADPFAFEALDFAKEFNSLSFVYFPCSAFVLSLVLHFPKLHEQVSCEYKDLQEPIELQGCVPINGIDLPTPTQKRSSEPYKMFLQRAKALNFVDGILFNSFFELESSVIKALEQKGHGKISFFPVGPITQIGSSNSNGECLKWLKNQPQDSVLYVSFGSGGTLSQKQINELAFGLELSGQRFIWVVRAPSDSVNAAYLEATNEDPLKFLPQGFLERTKEKGLVLPSWAPQVEILKQNSVGGFLSHCGWNSVLESIQEGVPIVAWPLFAEQAMNAVMLSDGLKVAQRLKFEDEEIVEKEEIAKVIKCVMEGEEGKGMRKRMMDLRDSAANALKYDGSSRQALSQLARKLGSFGGN >CAK8568369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599453350:599459073:1 gene:gene-LATHSAT_LOCUS21331 transcript:rna-LATHSAT_LOCUS21331 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFTIKSTTQTTNLKRLPMARRDLGSKGSNIQLLTNHFRVDLTKKDGHFYHYNIVLYYQDGHPVESKGVGRKVIDKLCITYDVLRNKNFAYDGEKNLFTLDSLHHIKQEFIVVLDEFSSIRVGNNLDDATKRMRCHSHSKTFKVEISRVGKISLQEIENASRGHESGHCQKVLNILDVILRQNATKEECLRIRQSYFHDNPKNITNLGGGIQCCRGFHSSFMPTQKGLCLNVDLSTTLLVKPGPVVDFLLHNQNIHQPKLIDWTKAKRILKNIRIKANNRVYKITGLSEMSCRNQMFLFKNGNDANGEVQLSEITIYEYYKHHKNIELQHSIDMPCINVGKSNKPIYFPMELCTLIPLQQYTKVLSNKQRAQLMLESRNSPQERKEVVLRILKSRRYDDESMLRSIGISIKPCFNQVNGRVLQAPTIIVERGQIVSPRNGSWNFDDKKLIEPVKIKRWAIVNFSSHCDIKYLCSMVEKCSKIKGMLLDSPFHIFEEDERHRNESPSFRVSAMYEIIKAKLPGPPLHPPAQLLLCILPVKKICEIYGPWKRRCLVNEGIATQCIAPTKINDNYVTNVLLKINVKLGGMNFRLLTEIERSIPVFSNIPTMVIGMDVSHGSPYQLDVPSIVAVVSSRYWPQISRYKAAVRTQPSKVEIIQSLFKPVSDTKDDGIISELLKDFYATSGTRPQQIIIFRDGVSETQFSQVINFELDEIIKACKHFDENWCPKFTLIVAQKNHHTRFFKVNAPQENVLPGTVIDNTVCHPKNNDFYMCAHAGNIGTSRPTHYHVLYDEIGFSSDNLQELVHSLCYVYQRSTNAISIVAPIYYAHVTAAQISQFIKFDESETLYNHKKFTLTDLSQVPELPRLHERVVNTMFFC >CAK8567628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530621766:530625206:1 gene:gene-LATHSAT_LOCUS20664 transcript:rna-LATHSAT_LOCUS20664-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYWRYAESQHAPSAIPAKRPRPDYDVSGVHDLASYFPPDADRGRLHVVRDTESLDASYERYLRNAISTYGPSQPARTIDGGVHSHSVDESHVANIGGVDRRSNVNDKIQELSGGRSDHSLPHGATNTVFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLLLCFVDFVSPAHAATAMDALHGYKFDELDRNSVSLRFQFARNPGARSGAGHRGKR >CAK8567627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530621766:530625206:1 gene:gene-LATHSAT_LOCUS20664 transcript:rna-LATHSAT_LOCUS20664 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYWRYAESQHAPSAIPAKRPRPDYDVSGVHDLASYFPPDADRGRLHVVRDTESLDASYERYLRNAQISTYGPSQPARTIDGGVHSHSVDESHVANIGGVDRRSNVNDKIQELSGGRSDHSLPHGATNTVFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLLLCFVDFVSPAHAATAMDALHGYKFDELDRNSVSLRFQFARNPGARSGAGHRGKR >CAK8536053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903357116:903357745:-1 gene:gene-LATHSAT_LOCUS5455 transcript:rna-LATHSAT_LOCUS5455 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYIFKYIIIGDTGVGKSCLQLQFTDNRFQPVHDVTIGVEFRVRMISIDQKPIKLQIWDTAGQELFRSITRSYYRGATGALLVYDITRRETFDHLASWLEDARRHANSSMTIMLIGNKCDLIKKRVVSTEEGEKFAKENGLMFMEVSAKSAEKVKEAFVKTSRKIYKKIKDGEYDELNDTNGIKIGYGSKPLVASAHKPCVSGGCCT >CAK8532026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194605830:194643866:1 gene:gene-LATHSAT_LOCUS1770 transcript:rna-LATHSAT_LOCUS1770 gene_biotype:protein_coding transcript_biotype:protein_coding MKMILTISFILSLLSLSHASVVDFCVADYNAPNGPAGYSCKTPKKVTADDFVFHGLAKSGNTTNIIKAAVTPAFDAQFPGVNGLGISIARLDLAGGGVIPLHTHPGASEILVVVQGTICAGFVSSDNVVYLDTLDKGDVMVFPQGLLHFQINSGGSNALAFVSFSSANPGLQILDFALFKSDFPTELITQTTFLDAVVVKKLKGVLGGSG >CAK8532027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194643231:194643866:1 gene:gene-LATHSAT_LOCUS1770 transcript:rna-LATHSAT_LOCUS1770-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMILTISFFIFSLLSLSHASVVDFCVADYNAPNGPAGYSCKTPKKVTADDFVFHGLAKSGNTTNIIKAAVTPAFDAQFPGVNGLGISIARLDLAGGGVIPLHTHPGASEILVVVQGTICAGFVSSDNVVYLDTLDKGDVMVFPQGLLHFQINSGGSNALAFVSFSSANPGLQILDFALFKSDFPTELITQTTFLDAVVVKKLKGVLGGSG >CAK8560789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42759155:42759682:1 gene:gene-LATHSAT_LOCUS14437 transcript:rna-LATHSAT_LOCUS14437 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTFKDPDHNNLHSSITFSKLITFFFLLISISYLFYSLRFVTHSYDCGNNQTHQNPEIIPIIHNSHTNFQPQTQNPFQELETPTNISHIVFGIGASAKLWKKRKEYIKLWYKPNQMRGIVWLEQKVKPDPKDKDLLPVLKISEDTANFKYKNSKGHRSAIRIYQGLCRRRCGSG >CAK8571107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:298681286:298685447:-1 gene:gene-LATHSAT_LOCUS23800 transcript:rna-LATHSAT_LOCUS23800 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSREDIQLVQNLIERCLQLYMNPKEVVETLLAQAKIEPGFTELVWQKLEEENQEFFKAYYLRLLLKEQITEFNRLLKEQAELSQLQSTAVASLPNSNGSHISSLPQNPSCYASEQASAVVKPENRRHALDSTLHDVFNNGGSTLNTSIRDLTQISARGNRISSPPSMLSSQNSSLGLIQGINGGMIKSEPGYSGSPPYIFGHDGSVLEACPTIGDAAVTSFNSVDSNSHSMNGALIDPDISSFGVLGQISRNLSLSDLTADFSQSSDIMESYPRCPYLGTTNENYLQNGEQN >CAK8561770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:379802751:379803299:-1 gene:gene-LATHSAT_LOCUS15329 transcript:rna-LATHSAT_LOCUS15329-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKYSVGRVYQFLKKDDPDVGWKHMLSNTIARPRALFTMWMACHHRLATRGRLKRLGLTTDDKCNFCDKEETVDHLLFECPLFRTCWQQILVWLGFQHFPCEWREELEWLITHCKGKGWRKCILRSAVAETIHEVWRYRNNVVFGNTTNVLDIRDLVISTLANRGWVNTRMRHHIAQLLIE >CAK8561769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:379802751:379803317:-1 gene:gene-LATHSAT_LOCUS15329 transcript:rna-LATHSAT_LOCUS15329 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWYEMKEKYSVGRVYQFLKKDDPDVGWKHMLSNTIARPRALFTMWMACHHRLATRGRLKRLGLTTDDKCNFCDKEETVDHLLFECPLFRTCWQQILVWLGFQHFPCEWREELEWLITHCKGKGWRKCILRSAVAETIHEVWRYRNNVVFGNTTNVLDIRDLVISTLANRGWVNTRMRHHIAQLLIE >CAK8538784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496302400:496304612:-1 gene:gene-LATHSAT_LOCUS7945 transcript:rna-LATHSAT_LOCUS7945 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFACCKSQDKNAKNSCKKSIKDYHNICFKYDSGKRKYIMEEIKSDEKGNITSKIFSYHELCVATKNFHASNMVGEGGFGRVYKGRIKSIDNKVVAVKKLNKDGFQGTREFLAEVMILSFLHHSNLVNLVGYCSQRDQKILVYEYMANGSLEDHLFELPQNKKPLDWYTRMKIAEGAAKGLEYLHAEANPPVIYRDFKSSNILLDENFNPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVFLEMITGRRVLDYSRSSDQHNLVNWALPLFKNKRKYASLVDPSLKGNYPTRGLLQALAIAAMCLLEDANARPLIGDVVTALGVLAMRHVQVGKQKNTKETCSEQGEGSLQMNLVD >CAK8530489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24129651:24129935:1 gene:gene-LATHSAT_LOCUS348 transcript:rna-LATHSAT_LOCUS348 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEQNNEDASWCYDNFVDNSALKSVSKNRTSIFIAHRLTTAMQCVEIIVLENGKVVEHGPHEMLLENAGRYAQLWGQQNNSIDTIDAAIKLGA >CAK8561149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:105351174:105353326:-1 gene:gene-LATHSAT_LOCUS14762 transcript:rna-LATHSAT_LOCUS14762 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEELVNKIAFENDVNDKENYLSCLPDEAKKILKSLASKWENVLDANALEVIPLKGAMTNEVFQIKWQTKEGEMSRKVLVRIYGEGTDIFFDRDNEIRTFAFISKNGQGPRLLGRFAQGRLEEFIRARTLSAPDIRDPSISALIASKMKEFHDLDMPGSKNVCLWDRLRNWLIEARRLSSTEEIETFRLDIMDKEISFLQNELSLSLERIGFCHNDLQYGNIMLDEVTDSLTIIDYEYASYNPIQYDIANHFTEMAANYHTETPHVLDFNKYPDLEERQRFVQTYLSLSEEKPSDNEVQQLVDEVEKYTLASHLLWGLWGIVSAHVNKIDFDYKDYAKQRFQEYWSKKNNLLNHDSSSDNSVTSDNNGQEELESTSNEKPRKSHRISKKLKKYLGFGLFRSKR >CAK8531593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127900320:127901846:1 gene:gene-LATHSAT_LOCUS1372 transcript:rna-LATHSAT_LOCUS1372 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYASSEDELRYHLGLTNFTTGKGKVNLIDSNLRPLEVFMCSIVRKMGYGDGFQWLSQYIK >CAK8537412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:345714491:345715129:1 gene:gene-LATHSAT_LOCUS6705 transcript:rna-LATHSAT_LOCUS6705 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSSRRSLLLLAVVSIGCLFASSVAKEEGTTKSGTIIGIDLGTTYSCVGVYKNGHVEIIANDKGNRITPSWVPFIDSERLIGEDVKNLAAINPERTIFDVKRLIGRKFEDKEVQRDMKLFPYKILNKDGETKVFSPEEVSAMILTKMKETAEAFLGKTIRDAVVTVPTYFNDAQRQPTKDVGVIVGLNVARIINKQQQPPWNLHNARTNH >CAK8573597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623894361:623894975:1 gene:gene-LATHSAT_LOCUS26028 transcript:rna-LATHSAT_LOCUS26028 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILKQRDTMDLIRNEWDQLLISHRFKASVFYKVLIDDGTRVPWKNLIRSNKSRSREVFCLWKASHGKLATKDRLKRFGMIQDSRCSLCHTEEETMNHLFFCCQGTRHIWKKVLHWFNIVHTPQPWDVELIWITNMTKGKGWKVDIFKMLVAESIHCIWGYRNSATFDKPVDITTIATNIIDNVTYRGWQNLKIRKHLVSYMM >CAK8535908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890555202:890556302:-1 gene:gene-LATHSAT_LOCUS5326 transcript:rna-LATHSAT_LOCUS5326 gene_biotype:protein_coding transcript_biotype:protein_coding MILQTHNHQAPPSAPAPPPQNLGLSRGPTWTPAEQLLQLHYCIHSNPSWPEALLLGFHHYIVMFGTTVLIATNLVPQMGGSHGDKARVIQTLLFMSGIKTLLQTWFGSRLPVVMGGSLAFVLPVMSIINDYNDQTFSSEQERFTRTMRTIQGSLIVSSFINIFLGYSRAWGNLTRLFSPIIIVPIVSVVGLGLFTRGFPLVADCVQIGLPMLILLIITQQYLKRLHPQAHHILERFALLICIAIVRAFAAILTVAGAYNNSKSKTQTSCRTDRSYLLTSAPWIKVPYPFQWGTPIFKASHVFGMMGAALVSSAESTGTYFAAARLSGATPPPYTHKPHPDFYYHPTLHHNNILPNSKPTCTYTNNK >CAK8544815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707153075:707156102:1 gene:gene-LATHSAT_LOCUS13464 transcript:rna-LATHSAT_LOCUS13464 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQLCCSIAMCVAIFLHLCSFAVSHPNKINDLPGQPHVEFRQFSGYVNVDNKNQKALFFYFVEAQNDAASKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGEGLVRNQFSWNSEANMLYLESPIGVGFSYSTNTSSYEGVNDKITARDNLIFLQNWFVKFPEYRNRSLFIVGESYAGHYVPQLAELMLQFNKKEKLFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTFKMFTSVCNYSRYVREYYNGAVSPLCSSVMSRVTTETSRFVDKYDVTLDVCISSVFSQTKVLNPEQVKETTIDVCVEDETLNYLNRKDVQSALQARLVGIQRWSPCSNVLDYELRDLEIPTITVVGKLVKAGIPVLVYSGDQDSVIPLTGSRTLVDQLAKTLRINTTVPYRVWFERQQVGGWTQVYGNILSFATVRGASHEAPFSQPERSLVLFKSFLEGKPLPEEF >CAK8544816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707153108:707156102:1 gene:gene-LATHSAT_LOCUS13464 transcript:rna-LATHSAT_LOCUS13464-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAIFLHLCSFAVSHPNKINDLPGQPHVEFRQFSGYVNVDNKNQKALFFYFVEAQNDAASKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGEGLVRNQFSWNSEANMLYLESPIGVGFSYSTNTSSYEGVNDKITARDNLIFLQNWFVKFPEYRNRSLFIVGESYAGHYVPQLAELMLQFNKKEKLFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTFKMFTSVCNYSRYVREYYNGAVSPLCSSVMSRVTTETSRFVDKYDVTLDVCISSVFSQTKVLNPEQVKETTIDVCVEDETLNYLNRKDVQSALQARLVGIQRWSPCSNVLDYELRDLEIPTITVVGKLVKAGIPVLVYSGDQDSVIPLTGSRTLVDQLAKTLRINTTVPYRVWFERQQVGGWTQVYGNILSFATVRGASHEAPFSQPERSLVLFKSFLEGKPLPEEF >CAK8575179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:46444113:46444796:-1 gene:gene-LATHSAT_LOCUS27464 transcript:rna-LATHSAT_LOCUS27464 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESMNVVFKGTRHLPITALVRATYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEEKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMPYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8566674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447934479:447937875:1 gene:gene-LATHSAT_LOCUS19788 transcript:rna-LATHSAT_LOCUS19788 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGVLLVTQVALYLEQELNKRYNLFRLWDYPQKPHFLTQHGASIRAVVGRSTAGADSELIDALPNLEIISSSSVGVDKIDLIKCKEKGIRVTNTPDVLTDEVADLTIGLVLALLRRICECDGYVRSGNWKRGDYKLATKFSGKTVGIIGLGRIGTAIAKRAEGFNCSICYYSRTQKQESKYKYYPSVVEVASNCDILIVACSLTAETHHIINREVISALGPKGFLINIGRGKHVDEPELVSALLEGRLGGAGLDVFENEPHVPEELLGLENVVLLPHVGSATVETRTVMADLVLGNLEAHFLGKPLLTPLI >CAK8537891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444790200:444791180:-1 gene:gene-LATHSAT_LOCUS7141 transcript:rna-LATHSAT_LOCUS7141 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDDCWECVFKFLNNHEDDKYNCYLNSLSLVSKQFLSITNRLRFSAAVGSKILPFIHLLFQRFPNITSLKLNCSFYRDPNAFNGDRNAFLHQISSFSLKLKSLDLSSQNIIPADGLQAFSENVTTLTSLNFSQMNSIRSSDMLLIADCFPLLEELNLGTITTFNSEDNFIDGINTLSLALSKLSKINLSSHRYMTNECLFHLFYNCKFLQEAIIYDCLNITNAGIVSTLRERPNFRSLHFTNKTDNCSNLFAILRSCPSLSNIKMECPYPRWWEKSVDNSNSLMVLSPQLETLCLANNTWLSDEKRYNICFHFPQFATT >CAK8564023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646732673:646733997:1 gene:gene-LATHSAT_LOCUS17370 transcript:rna-LATHSAT_LOCUS17370-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQVLFIS >CAK8564022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646732673:646734712:1 gene:gene-LATHSAT_LOCUS17370 transcript:rna-LATHSAT_LOCUS17370 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVNKEDEGMLQDIQKFYNVLVEELPSNVAELL >CAK8564024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646734137:646734712:1 gene:gene-LATHSAT_LOCUS17370 transcript:rna-LATHSAT_LOCUS17370-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVNKEDEGMLQDIQKFYNVLVEELPSNVAELL >CAK8567326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505045843:505046766:-1 gene:gene-LATHSAT_LOCUS20390 transcript:rna-LATHSAT_LOCUS20390 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIELKKLFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNYKVPKVSKSKKISWSKIRCPRQINSIDCGYFVM >CAK8576389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469074373:469074786:-1 gene:gene-LATHSAT_LOCUS28578 transcript:rna-LATHSAT_LOCUS28578 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLCVLTPNRIVWDSEVKEIILSTNSGQIGVLKNHVPIATTLDIGILRIRLKDRWLTMPLMGGFARIGNNEITILVPDAERASDINPQEAQQTLKIAEANLNKAEGKRQTIEANLALRRAKTRVEAIVEPIKRIS >CAK8572606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550671614:550672582:-1 gene:gene-LATHSAT_LOCUS25156 transcript:rna-LATHSAT_LOCUS25156 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIRADEISQIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFKEGTVGIALNLESKNVGVVLMGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVVCVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLVQMEEKNLLINEFQFVD >CAK8571996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500895467:500895860:1 gene:gene-LATHSAT_LOCUS24605 transcript:rna-LATHSAT_LOCUS24605 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLCKKLVNMGYALTEATFNYYHREIRRTNFEASNWIDNTHREKWARAFDRGKRWGHMTSNLAEAINSVLKATINLPITTLVQSTYYRMGSLFGKQGYK >CAK8562781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:536995845:536999354:-1 gene:gene-LATHSAT_LOCUS16249 transcript:rna-LATHSAT_LOCUS16249 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKVSSKKIDLDVIKDVDLYKIEPWDLQELCKIGSDEQNDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKQFLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNQNGTSQEEGWVVCRVFKKRMTTVQKMSEYDQSPCNWYDDQVSFMPDLESPTRTNSHPNYTSPYQSCKPELELLQYNIIPNHQYHDLPHLQSPKITPSVIIPYNHAHANNNNIALTQQQEQQQMQYLQYHQQNLHSIYGGNSSAGNVNDEQVTDWRVLDKFVASQLSQEDNNNNNNNTNNDQDQVSNKQNSSFSNAAILQVAEQITLLANDSKKNEVSEEHASTSTSSCHMELWK >CAK8542398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509114716:509117888:-1 gene:gene-LATHSAT_LOCUS11239 transcript:rna-LATHSAT_LOCUS11239 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLITFLKRTSSSSSSFAVACHHSIIMRRFLTTTTATSVDSSSFAQRIRDLPKDLPGTNIKKHVSQLIGRTPLVYLNKVTEGCGAYIAVKQEMMQPTASIKDRPALAMMEDAEKKNLITPGKTVLIEPTSGNMGISLAFMAAMKGYKMVLTMPSYTSLERRVCMRAFGAELVLTDPTKGMGGTVKKAYDLLESTPDAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSRNPNVKIYGVEPSESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARTLAVKEGLMVGISSGANTVAALRLASLPENKGKLIVTVHPSFGERYLSSVLYQDLRTEAENMQPVSVD >CAK8574386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679391103:679395499:1 gene:gene-LATHSAT_LOCUS26739 transcript:rna-LATHSAT_LOCUS26739 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRSGVRRARAAPVTNKESEPPKKNTPARGRPRTRLAAKKSEPLTQLLIPETLKKVVEEGVGVMGDERVGVSANKDKGVAAVPEEDANSPPLPERVQVGGSPLYKVERKLGKGGFGQVFVGRRERANAPGAVEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPKVHYKGRQGEYYVMVMDILGPSLWDVWNTSGQSMSPEMVSCIAVESLSILEKLHAKGYVHGDVKPENFLLGQPNTAQEKKLFLVDLGLATKWKDSSSGKHTEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGFQGDGKSFLVCKKKMGTSAEMLCCFCPAPFKQFLETVVNMKFDEEPNYSKLIALFDGMLGPNPALRPINTEGAQKVGQKRGRLNADADDEQPKKKVRSGIPASQWISVYNARQPMKQRYHYNVADGRLAQHIERGIADDLLISCVSCCSNLWALIMDAGTNYTAQVYKLSPLFLHKEWILEQWDKNFYITSIAGANNGSSVVVMSKGTRYTQQSYKVSESFPYKWINKKWKEGFHVTSMGTAGNRWGIVMSRNAGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATLDQSALILSIPRNRPGDETQETLRTSQFPSAHVKEKWSKNLYLAHLCYGRTVC >CAK8566238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394021680:394025990:-1 gene:gene-LATHSAT_LOCUS19388 transcript:rna-LATHSAT_LOCUS19388-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIDFAGQKESRKYSHSQIMGKSRKYSKGHATGFVPEFRHVVETMGESDGLGSSGRVEMEPAASADSYAPNRKCAGLKSDTCYGSSDVPYQLFSLSKMSAMERRDLKLRLTWELEKVRKLQKKMDGMNSTIIGLSPSSDIRSCSVGQKRPQLESQYSILQASVPHGKKRPLPGRSGPKTKKSMSGRFECPKPAAPMDSYDTLMKQCENLLNRLMSHQYGWVFNKPVDPVVLNIPDYFNVIKHPMDLGTVKSKLTSGKYSNPMDFAADVKLTFSNAITYNPPGNDVHSMANTLNKVFETKWKSIEKKIPFIDLRVSSELSKHTHIETKISDPIPPIKKKKMTPNNTNTTKPEPVKRIMSDMEKQKLSQELEEMLGELPETILEFLKEQSHNAGQTNDDEIEIDIDTLSDDTLFKLRKLLDDYMLEKQRFQPKAGQCEMEILNESGFSNSSMQPSKGNELVEEDVDIIGGNDLPNSNYPPLVIERDGANRNSKCSSSSSSSSESGSSSSGSYSDSSSSSGSELDTAKASEPLSSKENIGPGLTYDQNRGDPGNPATGNDSTNLGSQVDQSLETKTVTIESESHQDGECAASKRQVSPEKLYRAALLRSRFADTIFKAQEKALEKDDKRDPEKLRIEREELERRQKEEKARLQAEAKAAEEARRKAEAEAEAEAKRKRELEREAARQALQKMEKTVDINESCQFLEDLEMLSAVHDENTPNFKEEASPDDHQNGFGGIRLQGNPLEQLGLYMKVDDEDEEEELPQSAAEPSKDVEEGEID >CAK8566237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394021680:394025990:-1 gene:gene-LATHSAT_LOCUS19388 transcript:rna-LATHSAT_LOCUS19388 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIDFAGQKESRKYSHSQIMGKSRKYSKGHATGFVPEFRHVVETMGESDGLGSSGRVEMEPAASADSYAPNRKCAGLKSDTCYGSSDVPYQLFSLSKMSAMERRDLKLRLTWELEKVRKLQKKMDGMNSTIIGLSPSSDIRSCSVGQKRPQLESQYSILQASVPHGKKRPLPGRSGPKTKKSMSGRFECPKPAAPMDSYDTLMKQCENLLNRLMSHQYGWVFNKPVDPVVLNIPDYFNVIKHPMDLGTVKSKLTSGKYSNPMDFAADVKLTFSNAITYNPPGNDVHSMANTLNKVFETKWKSIEKKIPFIDLRVSSELSKHTHIETKISDPIPPIKKKKMTPNNTNTTKPEPVKRIMSDMEKQKLSQELEEMLGELPETILEFLKEQSHNAGQTNDDEIEIDIDTLSDDTLFKLRKLLDDYMLEKQRFQPKAGQCEMEILNESGFSNSSMQPSKGNELVEEDVDIIGGNDLPNSNYPPLVIERDGANRNSKCSSSSSSSSESGSSSSDSDSSSSSGSELDTAKASEPLSSKENIGPGLTYDQNRGDPGNPATGNDSTNLGSQVDQSLETKTVTIESESHQDGECAASKRQVSPEKLYRAALLRSRFADTIFKAQEKALEKDDKRDPEKLRIEREELERRQKEEKARLQAEAKAAEEARRKAEAEAEAEAKRKRELEREAARQALQKMEKTVDINESCQFLEDLEMLSAVHDENTPNFKEEASPDDHQNGFGGIRLQGNPLEQLGLYMKVDDEDEEEELPQSAAEPSKDVEEGEID >CAK8566940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474203116:474204429:-1 gene:gene-LATHSAT_LOCUS20035 transcript:rna-LATHSAT_LOCUS20035 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSFQFLFAIFLLLSLLHSSFSEIRFSEIRNDDRPIVPFDQFGFTHNGRLELNVSKISLSNSNLDLSKVGFFLCTLDSWLHVLQQLEDGEIRCALQSDLVKSVYTFNSLNGKDSFNTLYNETDADQYNLVFANCHPQQLKVTMDVDSAMYNLDGKSNVRDYLSAGRTILPRVYFIFSLMYFTLAAVWISVLYKKRLTAFRIHYFMLAVIILKAINLLCEAEDKSYIKRTGSAHGWDILFYMFSFLKGISLFTLIVLIGTGWSFLKPFLQDKEKKVLMIVIPLQIVANIAQVVIDESGPYGHDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRHYYVVVIFYIYFTRVVVYALETITSYRYSWTSVVAAELATLAFYMFTGYKFKPEAHNPYFVIDDEEEEAAAEALKLEDEFEL >CAK8539292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508535061:508542660:-1 gene:gene-LATHSAT_LOCUS8401 transcript:rna-LATHSAT_LOCUS8401 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAISTVKLPDANLHTRFYSSSSSSSTPFTLSLPLSLHFRFSSHSKRFSSIRCQSVNGEKRKQSSRNVFDNASNLLTSLLSGANLGSMPIAEGAVTDLFDRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLETWKQRRRVIAPGFHTSYLEAMVQLFTSCSERTVLKVNKLLEGEEHDGQKSVELDLEAEFSNLALDIIGLGVFNYDFGSVTNESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFQDDLKVINTCLDGLIKNAKESRQETDVEKLQQRDYSNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPDKMKKAQAEVDSVLGTGKPTFELLKKLEYIRLIVVETLRLYPQPPLLIRRSLKPDVLPGGHKGDKDGYTIPAGTDVFISVYNLHRSPYFWDRPNDFEPERFLVQNKNEEVEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAMLLQNFDVKLKGTPESVELVTGATIHTKNGLWCNLMKRSSLD >CAK8532581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:302663837:302664547:1 gene:gene-LATHSAT_LOCUS2272 transcript:rna-LATHSAT_LOCUS2272 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIVLKVELYDDRMKKKAMKTVSGFSGVESVSVDMKDQKLILIGDIDTVNVVEKLRKLCHAEIVSVGPAKEEKEEEKKEAVTEEVKKKDPKEELAELMKAYESYYNQMRQPTYPYYYYRTVEESPSGCVIC >CAK8530530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28113659:28114400:1 gene:gene-LATHSAT_LOCUS388 transcript:rna-LATHSAT_LOCUS388 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQTESQPQQQPAEENINNNQDVQQLKYLQFVQFATIHALMRCAILYSYAKERSGPLKTGVDTVEEAVKTVVGPVYDKFHQVPVELLKYVDRKVDESVSELDRHVPTNVKKVSTQARSVVTEVRRAGVVESASGLAKTVYSKYEPKAEECAVSAWKKLNQLPLFPQVANVVLPKAAYCTEKYNEAVVLSAEKGYRVSAYLPLVPTEKIAKVFAA >CAK8537336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:277567573:277567884:-1 gene:gene-LATHSAT_LOCUS6633 transcript:rna-LATHSAT_LOCUS6633 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKKNQLKSATRLRTVLHDFSSILHTLSITFTFHHNSLRLCSNSPTCERNHDTVTSLQIQRTTFKLNSTSTRHATRESAARLKKQGVDEKELRFSAKRIKRS >CAK8570656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82819015:82820328:1 gene:gene-LATHSAT_LOCUS23392 transcript:rna-LATHSAT_LOCUS23392 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYIVSFSASNNIWMTGDVMVKRVPLLYLQIAYGIFVSRLFYFVLKSLRVPLIIEQVLAGFTLSPTLLGNFKWVFPLFYGQYEILLVETFANLGIMYYVFLSGLELNADTILKSRKKGTSITITSIVTPMLFGVGFLDLPQNLIDKNDVFAQTPKENHGEAYLFWILTFFVTSFPVLARILANLKLLYTKLGKDALTTSMLTDSYGWVMFTLLIPYSSRGGKPYLSVISTLLFIVFCFIVVRPILTPMIEHKTSTNTWRKSLLLDVLTGVFICSYITDCLGTHLIVEAFVFGLILPRGKFAYMVLEMTTDFVSGILCPIYFAGFGFRLNLPLLLKHKNAGLMLLIMLLLSIPKVLSSLVVTFFFDMPARD >CAK8531406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107406669:107407097:1 gene:gene-LATHSAT_LOCUS1200 transcript:rna-LATHSAT_LOCUS1200-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKHWRGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8531405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107405582:107407097:1 gene:gene-LATHSAT_LOCUS1200 transcript:rna-LATHSAT_LOCUS1200 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKTSSPSTRNGALNSQVKSNSRNRLISGQHHCCKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIITIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLKSTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKHWRGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8578241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614131201:614132881:1 gene:gene-LATHSAT_LOCUS30268 transcript:rna-LATHSAT_LOCUS30268-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIFFYSLFYLSIFFIFKLFFQSRKFKNLPPGPTSLPIIGNLHHLKRPLHRTFNSLSNKYGKVISLWFGSRLVVVVSSLSEFQQCFTKNDVVLANRPRFLSGKHIFYNYTTLGSTSYGEHWRNLRRITSLDVLSNNRINSFAGIRRDETKRMIAKLAEDSSSDFAEVELTFRFFDMTFNNIMRMISGKRYYGEDCEMSDLQEASEFRDMVSQLLQLAGANNKTDFLPLLKFLDFENLEKKLKHIGERCDNFLRRLLEEQRNKKIRTNTMIDHLLNMQESQPEYYTDQIIKGLVLAMLLAGTDTSALTLEWSMSNILNHPEVLKKVREELDTHVGQDRLVDESDLPKLTYLKNVIYETLRLYTPAPLSLPHSSSENCIIGGYKVPRDTIVLINAWAIQRDPETWSEATSFKPERFEKEGELEKLIAFGMGRRACPGEALALRAISMTLALLVQCFDWKLTGDGDKIDMSEKNGFALAKLVPLKARCKTRPVINKVFK >CAK8578240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614131195:614132881:1 gene:gene-LATHSAT_LOCUS30268 transcript:rna-LATHSAT_LOCUS30268 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFSIFFYSLFYLSIFFIFKLFFQSRKFKNLPPGPTSLPIIGNLHHLKRPLHRTFNSLSNKYGKVISLWFGSRLVVVVSSLSEFQQCFTKNDVVLANRPRFLSGKHIFYNYTTLGSTSYGEHWRNLRRITSLDVLSNNRINSFAGIRRDETKRMIAKLAEDSSSDFAEVELTFRFFDMTFNNIMRMISGKRYYGEDCEMSDLQEASEFRDMVSQLLQLAGANNKTDFLPLLKFLDFENLEKKLKHIGERCDNFLRRLLEEQRNKKIRTNTMIDHLLNMQESQPEYYTDQIIKGLVLAMLLAGTDTSALTLEWSMSNILNHPEVLKKVREELDTHVGQDRLVDESDLPKLTYLKNVIYETLRLYTPAPLSLPHSSSENCIIGGYKVPRDTIVLINAWAIQRDPETWSEATSFKPERFEKEGELEKLIAFGMGRRACPGEALALRAISMTLALLVQCFDWKLTGDGDKIDMSEKNGFALAKLVPLKARCKTRPVINKVFK >CAK8536883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33238363:33241919:-1 gene:gene-LATHSAT_LOCUS6209 transcript:rna-LATHSAT_LOCUS6209 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQIEHLMECKPLPEADVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHETRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >CAK8578153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610185060:610185866:-1 gene:gene-LATHSAT_LOCUS30191 transcript:rna-LATHSAT_LOCUS30191 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSMALSSPTLAGKQLKLTPSSQELGAARFTMRKSATTKKVASSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >CAK8578071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605106725:605106988:-1 gene:gene-LATHSAT_LOCUS30113 transcript:rna-LATHSAT_LOCUS30113 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSIWTTRRGKGNGEGETLTSFFFTEFPKLYEAKDMVKVFKEYGLVMEVFILATRDKQGKRYGFARFRKVLNERIMAEKLYSIHI >CAK8533947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674580267:674580761:-1 gene:gene-LATHSAT_LOCUS3532 transcript:rna-LATHSAT_LOCUS3532 gene_biotype:protein_coding transcript_biotype:protein_coding METSISVRNVSIHFDILCNYTREDYNHIMHEIFYMVPPHIVNIILPRIEECARQMIACNSEGRDILEMDVLIHVIEEEGENINQNVEGQAQQVVDLLEKLQNDHLFSDSTRQCSICLEEFYTKSDLVSTKCSHIFHEKCMVSWIQKCIDSSSTYSCPLCRRQIP >CAK8533948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674580267:674580659:-1 gene:gene-LATHSAT_LOCUS3532 transcript:rna-LATHSAT_LOCUS3532-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPHIVNIILPRIEECARQMIACNSEGRDILEMDVLIHVIEEEGENINQNVEGQAQQVVDLLEKLQNDHLFSDSTRQCSICLEEFYTKSDLVSTKCSHIFHEKCMVSWIQKCIDSSSTYSCPLCRRQIP >CAK8567061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483836622:483837779:1 gene:gene-LATHSAT_LOCUS20148 transcript:rna-LATHSAT_LOCUS20148 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSNILTEIDIPETYIDDLPKLKFYCQVIDDSFKCDPIRQMSWNLSSNLISFLWKPIIAAESCRNRDDITSYKQRYPELSQTSLDTCKIQYNRMFNHMVPRSEVAPSQHGPVSESGGGVIGVSPVSLGMDGGEIKKKRGRPRKYGPDGSVSAMALSPLPISSSGPFSSEFSSGKQGKPKGMEFKQSKKVGVDLFGDSVGTNFMPHIITVNAGEDITMKRQS >CAK8532931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551557573:551560260:-1 gene:gene-LATHSAT_LOCUS2590 transcript:rna-LATHSAT_LOCUS2590 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPQRSDPASGSSDLRIYQAWKGSNKFFLQGRFIFGPDARSIALTIFLIVAPVVVFCIYVARKLIDDYSDHWGISIIAVAVVFTIYIVVLLLSTSGRDPGIIPRNAHPPEPEGLEGGLDVVAGQTPQLRLPRIKEVEVNGIVVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMKAEETTIWKAMIKSPASIVLIVYSFICMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNRGVFDNFKEIFFTSIPSSKNNFRAKVPIEPVLPARSVGGGFMSPSMGKAVDDIEMGRKAVWGDMGSNIDHCEGQLNSRVAVKDGEFGDNSPEIRTTVDETADRVGIHPRRSSWGRKSGSWEMSPEVLALAARVGEPNRVGGVGSSSLSTESRRT >CAK8535537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860082720:860083277:-1 gene:gene-LATHSAT_LOCUS4989 transcript:rna-LATHSAT_LOCUS4989 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNLKICLSVSAMVLIVVSTITVTLIFTVFKVRDPNIIVKPSRFDFLTSDISPNISIPVLIIIKNSNYGNFKYIDSCSYITYRDTLVGTVPIPSQLVPARGGINVSTHANFMVSELIENPNFFNDIENGSKFSLISKAELPGKVTILSFIKLKAMATNQCDISVNITSKDVVSNCTSHIKIYH >CAK8539806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525774040:525775911:1 gene:gene-LATHSAT_LOCUS8867 transcript:rna-LATHSAT_LOCUS8867 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLKLNPGRAVSLVFCVASIHTQTQISRTISQELKSPTHQTLHCLIDQCFSLNQLKLIHAQIILHGLATQILTLGKLVSSGVQFGDLRYAHHLFDQIPQPNKFMFNHLIKGYSNSNDPTMSLLLYRQMVSAGLLPNQFTVPFVLKACSAKSCYWAGVCVHAQSIKLGMGSHVCVQNSILTVYVACDLILSARKVFDDISERTLVSWNSMIAGYSKMGWSKEVVLLFREMQQVGLEPDVFTLVGLLSVSSKHSNLDLGRFVHRYIVVNGIKTDSVVTNALVDMYAKCGRLKYAESVFDQMLDKDVVSWTCMINAYANHGLIDYALTVFNQVPVKNVVSWNSIIWCHVQEGLYAEAVELFYRMCGSGVLPNDATLVAILSCCSHMGDLALGKQAHNYIYDNNVTLSVTLCNAIIDMYAKCGALQTAMDIFFGMPVKNVVSWNIVIGALALHGLGKEAIEMFEKMWASGVCPDEITFTGLLSACSHSGLVDIGKHYFDTMSSTFGIYPDVEHYACMVDLLGRRGFLGEAISLIQKMPIKPDVVVWSALLGACRTYGNLAIGKQIMKQLLELGRYDSGLYVLLSNMYSESERWDDMKKIRKILDENGIKKCKAISFIEKTSRESI >CAK8573928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648146314:648155222:1 gene:gene-LATHSAT_LOCUS26322 transcript:rna-LATHSAT_LOCUS26322 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRRELIPFLTENNDDDDEVLLAMAEELGVFIPYVGGVEHASALLPPLEAFCSVEETCVRDKAVESLCRIGSQMRESDLVEYFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPEATKTELRSIYSQLCQDDMPMVRRSAATNLGKFAATVEYTHLKADIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPDLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEMINNPHYLYRMTILRAISLLAPVMGSEVTCSKLLPAVVAASKDRVPNIKFNVAKVLESIFPIVDQSVVEKTIRPCLVELSEDPDVDVRFFSTQALQAIDHVMMSS >CAK8562099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440436194:440437972:-1 gene:gene-LATHSAT_LOCUS15625 transcript:rna-LATHSAT_LOCUS15625 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFHHEVICWCSFITILLQHVSSLNLPNKESVPAVFVFGDSLVDPGNNNYITTIIKCNFPPYGRDFQGGNPTGRFSNGVVPSDIIAEKFEVKKILPAYLDPNLQLKDLLTGVSFASGGSGYDPLTSKIMAVKSLSDQLEWFKEYKKKMEEAVGRKNMTLIISKSIYMVCIGSDDIANTYAQTPFRRVQYDIPSYTDFMASEASKFLQELYEEGGRRIGVFNIPAIGCVPSQRTLNGGFFRECSNTSNNAAKLFNTKLYIKMKTLERNYSDAKFVYLDCYNPFMEIIQNPSKYGFNETKKGCCGTGNIEVGILCNRFSINTCSNPSDYVFWDSYHPTEKAYYALSTLVLDNKIKDFF >CAK8571043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273490885:273491568:-1 gene:gene-LATHSAT_LOCUS23740 transcript:rna-LATHSAT_LOCUS23740 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFHFFIALLFFVFSLISTTTSVAATAVVVVAAASPTTPATQQKPSPSASRGKGGGGRRRRNTAKFFGFVRTSRSAYLHNQTELAKEFLHAHNWVRKEYKLPELAWSENLASFARKYLTERSDDCKLVHSSGNYGENLFWGKKLHWTPSDAVYYWYMEKDSFDFNTLKCEPPPKLCEHFTQMVWRDSEHVGCALQHCKNEGTGMLIACEYDPPGNFVNENPLVHST >CAK8541863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419096912:419097226:1 gene:gene-LATHSAT_LOCUS10754 transcript:rna-LATHSAT_LOCUS10754 gene_biotype:protein_coding transcript_biotype:protein_coding MTVILERRDSENLWGRYCNWITSTENRLYIGWFGVLMILTLLTATSVFIIAFIASPPVDIDGIREPVSRSLLYGNNIISGAIIPTSAAIGFHFYPIWEAASVDE >CAK8544689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699354183:699354512:-1 gene:gene-LATHSAT_LOCUS13345 transcript:rna-LATHSAT_LOCUS13345 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPTQLKCR >CAK8543378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603688248:603688508:-1 gene:gene-LATHSAT_LOCUS12137 transcript:rna-LATHSAT_LOCUS12137 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNFSFSSTNQSGSGIRRRGNRCWCELESPLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWFDEDMSTIIPEKDFMVVVILR >CAK8567002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478879435:478881104:1 gene:gene-LATHSAT_LOCUS20093 transcript:rna-LATHSAT_LOCUS20093-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSVSGGRRRSITPSVTPSPSLIPLLSTVQDNHNAATVYDSSDSTGDHTHAPLPDFVPDSEPADSLDDDICITCNRAGGPLLVCTQTDCPVVVHVNCIGSEPKFDDSGKFFCPYCSYKRALKRTRELREKTILAKKALSSFLEKSQTVRKDNDDDDEEHIAEPEPVQDHPNRDEPDVSDSEEKQEVNSNENDKDKRKVSVSGSSVSEAKDSDSNSVSVKKGHANAIAKGKRKVAYVKKSLLPERKTAGGGGGGDGGVDEEEVTSSRTLSLQKQVTKQNLMTGKRRRLLWTDEEEKALKEGVSKYSTEKQNIPWRKILEFGCRVFDKTRTPVDLKDKWKNIISKEGKITRL >CAK8567000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478879435:478882062:1 gene:gene-LATHSAT_LOCUS20093 transcript:rna-LATHSAT_LOCUS20093 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSVSGGRRRSITPSVTPSPSLIPLLSTVQDNHNAATVYDSSDSTGDHTHAPLPDFVPDSEPADSLDDDICITCNRAGGPLLVCTQTDCPVVVHVNCIGSEPKFDDSGKFFCPYCSYKRALKRTRELREKTILAKKALSSFLEKSQTVRKDNDDDDEEHIAEPEPVQDHPNRDEPDVSDSEEKQEVNSNENDKDKRKVSVSGSSVSEAKDSDSNSVSVKKGHANAIAKGKRKVAYVKKSLLPERKTAGGGGGGDGGVDEEEVTSSRTLSLQKQVTKQNLMTGKRRRLLWTDEEEKALKEGVSKYSTEKQNIPWRKILEFGCRVFDKTRTPVDLKDKWKNIISKEEGGGSI >CAK8567001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478879435:478881980:1 gene:gene-LATHSAT_LOCUS20093 transcript:rna-LATHSAT_LOCUS20093-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSVSGGRRRSITPSVTPSPSLIPLLSTVQDNHNAATVYDSSDSTGDHTHAPLPDFVPDSEPADSLDDDICITCNRAGGPLLVCTQTDCPVVVHVNCIGSEPKFDDSGKFFCPYCSYKRALKRTRELREKTILAKKALSSFLEKSQTVRKDNDDDDEEHIAEPEPVQDHPNRDEPDVSDSEEKQEVNSNENDKDKRKVSVSGSSVSEAKDSDSNSVSVKKGHANAIAKGKRKVAYVKKSLLPERKTAGGGGGGDGGVDEEEVTSSRTLSLQKQVTKQNLMTGKRRRLLWTDEEEKALKEGVSKYSTEKQNIPWRKILEFGCRVFDKTRTPVDLKDKWKNIISKEGCK >CAK8576043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:390769910:390771093:1 gene:gene-LATHSAT_LOCUS28261 transcript:rna-LATHSAT_LOCUS28261 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIQGYVDPPNWHHQQHNHHQQNGSDNTQLLPPLPPQVGGNIGGTMSSIRPGSMADRARLAKLPPPEPALKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNNKKNKRNRSKSPTSANSQEKQTSSSSAIPSHHELIGHQLPQQITNLPFMTSMQNHLSRYVVGNVNMGLGNSDHMGFQIGVANGNGNRNGNSSSISISASAAVGGGGGGDDGGVGVEQWRNLQQFPFMNTFESNSSGNNSYHFQGETIEAAAATGFVGDHIASNSRVVVNQEPPVKREENRGLNLSRTSLGVSEHNNQQQYYSWNDLSGSSTTHLL >CAK8544334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678889814:678893196:-1 gene:gene-LATHSAT_LOCUS13023 transcript:rna-LATHSAT_LOCUS13023 gene_biotype:protein_coding transcript_biotype:protein_coding MELMSHSSTFLLEPENPNADSSSISLTCALIVLNQPLPKLTPLFWDHAHIRVCADGGANRLYDEMPLLLPGQDPFQIRSEYKPDAIKGDLDSIRTEVLDFYAKLGTKIIDESQDQDTTDLHKCVAFLRDLTPNTDKSELCILVAGALGGRFDHEIGNINVLCLFSNTRIILLSDDCLIHLLPKNHLHKIFIQNSVEGPHCGLVPIGIPCGSSTTTGLEWDLDHTEMRFGDLISTSNILKEDIVTVQSDSDLLWTVSIKKS >CAK8532891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:544333937:544337206:-1 gene:gene-LATHSAT_LOCUS2553 transcript:rna-LATHSAT_LOCUS2553 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVTVEIGEQDSFETPALIKFISTNDVDGFDTFHSQFPSPSHKDTEASSPTSLQDEPLVNNHQRKASVSLSMPLSSEEVLLQNEINHQKVFFSGETVIIKDKKPSRTAGSLPPKNPKCYSQPMQKGMNRPDGSQTQKGNSNHHNQPAGIKMFRDKRFDSFKTWSGGLERQLTILRGKEPSGSAHDGNNSTRSLDRALPVDRYFDALEGPELETLKSSEEIMLPQDRRWPFLLRFPISSFGICLGVSSQAILWKTIATSPATEFLHITPMINLILWCISTLLVASVFTVYILKLILYFEAVRREYYHPIRINFFFAPWIALLFLALGVPPSVAKNLPHSLWYILMVPIFFLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLVEGPLFFFAIGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKLQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNEVPNIVTKSLCVALALVSTFTVMALLLSTILHAFLFRDLFPNDIAIAISDRKRKTHKSWLGFRYGSQDGKEIETYLKFVNTDDSSVDGSTQQPSSGCMDQISLK >CAK8561692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:357035549:357037487:1 gene:gene-LATHSAT_LOCUS15258 transcript:rna-LATHSAT_LOCUS15258 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNNPTRTKENLIDESPLLQSEVEIQHDEDGSKSNSNNNESSPASFTGSVFNLSTTIIGAGIMALPAAMKVLGLTIGIVSIIFLAFLAHTSLEILMRFSRVAKAQSYGDVMGGAFGSAGRLVFQIAVLLNNFGILVVYTIIIGDVLSGTSSSGTHHFGVLEGWFGEHWSTGRTFVLFVTTLVVFAPLGFFKRIDSLKYTSGLAVVLAIVFLVITAGITVFKLFNGSIESPRLLPNVTDMSSIWNLFTAAPVLVTAFVCHYNVHTIDNELGDSSSIQPVISASLVLCSSIYILTALFGFLLFGESTLDDVLANFDTDLGVPYSHVLNDIVRISYALHLMLVFPVIFFSLRFNLDDLVFPTAESLELDNCRFSLITTGLISLLYVAANFVPSIWDVFQFTGATATVCLGFIFPAAIALRDPHSIASKKDKILSIVMIILAVFSNVVAIYSNAEALFRKHQSNSNLDRNFAWSMKIQQ >CAK8563467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607888427:607890687:-1 gene:gene-LATHSAT_LOCUS16873 transcript:rna-LATHSAT_LOCUS16873 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCATKSVVKRKAKETDETLSFTNNNNNKMSHHHDRNKAKRFPLVSFWELPNYMKDNEYILRYYRANWPLKEAFFSLFRWHNETLNVWTHLLGFILFLGLTLANLMKPHVVDLLQQFTRSLSSGAEKNVSDTIKDFLGVALLFDLKNQLPLKMEVEALEFVIARWPFFVFLGGSMFCLLSSSICHLFSCHSHDLNLFLLRLDYCGIAVMIITSFFPQIYYVFLCQPHWQLLYLAGITAMGLFTIITLLSPTLSTGKHRAFRAMLFCSMGLFGIVPAVHACIVNWANPRRNITLAYECAMAFSYLIGTLFYVTRIPERWKPGWFDLAGHSHQIFHVLVVVGALAHYAATLKLLEWRDNFGCDIV >CAK8539025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501975932:501981917:1 gene:gene-LATHSAT_LOCUS8164 transcript:rna-LATHSAT_LOCUS8164 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSDEEAFLFAMELSFASSVPMVLKSALELGIIEVIAKAGPDANLSSSQIVSQIPCIKNPEAPSMLDRLLRLLASCKILTCSVKQVDGDCNEERLYGLHPLAKYFVKNNNEDEDGASMISFFLMQHDKALQDVWYHLTDSIKEGGLPFNNAFGMNTFEFQGINPRFNKLYNNAMSDISCIRMKKILATYSGFEGLGSIVDVGGGIGTVANMIVSKYPNIKVINFDLPHVINEAPSYPGVEHVGGDMFVSVPKADAIFMKSICHAWNEEQCLKILKNCYDSLPVIGKVIVVESIDPVVPNSNLSSKSMVQMDVIMLCYTSGGKEKTKKEYEDLSKGAGFQGFQITYCGFNKYVIEFLKNA >CAK8535976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895155155:895157689:1 gene:gene-LATHSAT_LOCUS5388 transcript:rna-LATHSAT_LOCUS5388-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRAGFSVWPNVRQLCFRKGILYGFMRMCSTPYKTLCGGASRSLKVARFCSVANMSSTLQIELVPCLSDNYAYLLHDVDTGTVGVVDPSEALPVIDALSKKNRNLNYILNTHHHHDHTGGNVELKARYGAKVIGSGADKERIPGIDILLNDGDKWMFAGHEVHVMETPGHTRGHISFYVPGSGAIFTGDTLFSLSCGKLFEGSPEQMQSSLKKITSLPDNTSIYCGHEYTLSNAKFALSVEPENKELRSYAAHVTYLRSKGLPTIPTILKLEKACNPFLRTSSAQIRKSLNIAVTADDAEALGIIRQAKDDF >CAK8535975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895154901:895157689:1 gene:gene-LATHSAT_LOCUS5388 transcript:rna-LATHSAT_LOCUS5388 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPSTSAMLTFSSARVRAGFSVWPNVRQLCFRKGILYGFMRMCSTPYKTLCGGASRSLKVARFCSVANMSSTLQIELVPCLSDNYAYLLHDVDTGTVGVVDPSEALPVIDALSKKNRNLNYILNTHHHHDHTGGNVELKARYGAKVIGSGADKERIPGIDILLNDGDKWMFAGHEVHVMETPGHTRGHISFYVPGSGAIFTGDTLFSLSCGKLFEGSPEQMQSSLKKITSLPDNTSIYCGHEYTLSNAKFALSVEPENKELRSYAAHVTYLRSKGLPTIPTILKLEKACNPFLRTSSAQIRKSLNIAVTADDAEALGIIRQAKDDF >CAK8535251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830609097:830612511:-1 gene:gene-LATHSAT_LOCUS4724 transcript:rna-LATHSAT_LOCUS4724 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGVSADSFYQTRPDCTDVPISRFKIKPGKTLSPRKWHAAFSQEGYLDIGKTLRRIYRGGVHPSIRGEVWEFLLGCYDPKSTFDERDQIRQRRRRQYATWKEECRQLFPLVGSGRFITSPVITDDGQPIPDPLLMPETNTANGSTVLPQDDNRLSSMDSANNLENVTDKKLIQWMLTLHQIGLDVIRTDRTMVFYEKQENLAKLWDILAVYAWIDKEVGYGQGMSDLCSPMIILLDDEADAFWCFEHMMRRLRGNFRCTGRTLGVEAQLSNLASITQVVDPKLHKHIEHIGGGDYVFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPHMFLMYEEAQTASEKAAGIKGKAKSIRQCGKFKRENLKNGAKNAETPLPISVFLVASVLKDKSTTLLHEARGLDDVVKILNDTTGNLDAKKACTEAMKLHKKYLKKAKKA >CAK8560629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:31058950:31059453:1 gene:gene-LATHSAT_LOCUS14290 transcript:rna-LATHSAT_LOCUS14290 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRNDFVFDFQAKSSTDLKRKMKIKVENEKALKDNPTNQGSNPINTNVGNIQKPDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQWEVEISNKVAKPSKVHVLNSNKRQMEVEATKEITESRKVLALNNNTKKKLKSTVDHQVKGSRESQVSKNTSDQTKKVIYSTF >CAK8541052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:49167803:49168012:-1 gene:gene-LATHSAT_LOCUS10003 transcript:rna-LATHSAT_LOCUS10003 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPIFVSVVLFILLTPGLLFQIPGRGRFIEFGNFQTSGLSILIHAMLYFALVCIFFLAIGIHMYAG >CAK8572205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:520022073:520024633:1 gene:gene-LATHSAT_LOCUS24795 transcript:rna-LATHSAT_LOCUS24795 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSPLNINTEFQDKKMEIEREVNQNLEDKLPVIGSEAIQSDEAWTEHITVRGLFVSMMIGIIYSIIAMKLNLTTGMVPNLNVSAALLAFVFIRSWTKVLEKAGFVSKPFTRQENTIIQTCAVACYSIAVSGGFASYLLGLNRKTYELSGVGIEGNNPNAVKDPAFGWMSGFLFVVCFVGLFVLIPLRKVMIVDLQLPYPSGLATAVLINGFHTQNDKMAKKQVHGFMKYFSISFLWGFFKWFFSGVEGCGFEQFPTFGLQAWKQTFYFDFSTTFVGAGMICSHLVNLSLLLGAVLSFGVMYPLVDRLKGDWFPDNLEETNMKGLYGYKVFLSIALILGDGVYTFTKILVSTVIGVNERMKNKKLKNESASDQQESPTGDIKQGQTFLKEVIPMWIGVVGYGVFTTISIIIIPHMFPQLKWYYIIVAYIFAPSLAFCNAFGAGLTDINMAYNYGKVALFLLATVTGKENGVVAGLVGCGLIKSVISVSCNLMQDFKTAHCTRTSPRAMFACQVVGTAMGCICCPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAVIGVQGFSALPRHCLQLCFGFFGFAIGTNMLRDFSPKKIGKWMPLPMVMAVPFLVGAYFAIDMCMGSLVVFALHKLNTKKAELMVPAIASGLICGEGLWTLPAAILALAKINPPICMKFVSS >CAK8532366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249829874:249830609:-1 gene:gene-LATHSAT_LOCUS2081 transcript:rna-LATHSAT_LOCUS2081 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEAFQTANIYRHLLKAVKKHIGKEENKRHFLEYVTSEFHKNRNLSDGVAVQHKIKLARDYTYLLNNVQYHKELLFSYNIAVDRSDEVKRTLRKSAASVGLQLPEVYRS >CAK8578584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640350226:640354634:-1 gene:gene-LATHSAT_LOCUS30581 transcript:rna-LATHSAT_LOCUS30581 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCSTTLSFSSSTSLLHFQSPKFPKRNFCHKISNPTLPKPFLQIYGKPHTLIYEQNSTKLSPRTHRAIITAKSGRQNWDLGRFIKTLYFFNGPPSPAKFFDFLVGKLSSTSPSVSVNSMGTSDIVLVAGATGGVGRRVVDVLRKKGIPVRVLVRNEEKARKMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGDSPEKVEYIGMRNLIKAVKNNLGLRRGKLLFGFEGESYRQLSWGALDDVVMGGVSESTFQIDSNGSENGGPTGVFKGVVSSANNGGFTSIRTKNFSEPEDLSAYDGLEFRLKGDGRRYKVIIRTSPDWDALGYTAGFNTEKGKWQSIQLPFSSLRPIFRAKTVSDAPPFDPSNIASLQLMFSKFEYDGKLNETFAEGPFELPVSSIKAYINDPITPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELDYILTFKLKGEDSIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVAQMCVAALESPYACDKTFEVKSVIPFSEPFTVDPENPPLEKDYDIYFKTLKEGITGKEALQQNPIPV >CAK8561172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:111091535:111094890:-1 gene:gene-LATHSAT_LOCUS14784 transcript:rna-LATHSAT_LOCUS14784 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDHMREPLLKNNRHYYKDCPGCKVEQAKELNKGVSITNLFIIWMVVLSSTLPASSLFPFLYFMIRDFNIAKSEADISYYAGYVGSSFMLGRTLTSVLWGMVSDRYGRKPVMVIGVFSVVIFNTLFGISTSFWMAVITRFLLGCLNGAIGPTKAYATEIFREEHQALGLSTVSVAWGTGLIIGPALGGYLAQPTEKYPHIFPKGSFWDKYPYSLPSFIISAVSFIVVIVCLWIPETLHKHNGNNVSTDDTEALENGSNNVDKEKTVQNNESLFMNWPLMSSIIAYCVFSLHDIAYQEVFSLWCVSPPSLGGLNFTTDDVGNVLAISGLALVIYQLTLYQYMEKACGPVGIARITGIFSIPLLQSYPFIAMLSGITLYILISIASILKNIISVTITTGLFLVQNRVVEQEQRGAANGISMTAMSLFKAIGPAAGGTMLTWSQKRGDASFLPGTHMVFFFLNVIEGIGILMMFKPFLGEKKNTLSDQLH >CAK8536356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:933993710:933994744:1 gene:gene-LATHSAT_LOCUS5733 transcript:rna-LATHSAT_LOCUS5733 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKSTGGEIVQVQGGHIVRATGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLDELPPWNPIENATEEFQEEDENNNGLERSKMVIAAENSESSGYNLQQNNQHNQNHNPSGFIQSSIDNDAIAFFPTSSVGDSMNFQSYSSDIISRTNNSTQDLGLSLHSFQDNSGSNDQTLFSESNHVGFDANYHRIVNWNNDHHGTTTDMNMNMNMNRTGFMVNNSPASFLGHGSAFSAHRGTLQSSFSPSLRPWNDIPMADSSVDHHDQKSQQPIHHGSIFGSRFLSDALTGFCIPARIQGDHENHGVGSEQPSPSSNSHH >CAK8568921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654925324:654935451:1 gene:gene-LATHSAT_LOCUS21828 transcript:rna-LATHSAT_LOCUS21828 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVKVQPAVMLETVQEVAVYVHRFHNLDLFKQGWYRIKITVRWEDNENNAFGIPARIVQYEAPDLDQSSICGVWKIDDTENSFSTQTFRIKYARQDVYLCMMISFNLSRSKSMDLTTNGVILKFELIYASTFEDGADVQASLDALPAAIHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASSTAASKGFRGVASQDVKDIMLVRALLDSRNLLLEELHKINKAINEAIDTSDFVSKMNDVNLINLVVRANEFSIDGDNLGQGKPQNGLEGGNGAQDILDAEKLHSLSQNELLDCFHSLGDQLYYLWKIFLKIHRENKTEILEFLRNIWAKDRKSEWSIWMMYSKVEMPHHYINSGGSDESSRRGMHKRVSSLWKLPDEPLETAISCAELHRRSIAQMRINSRSIQDMQIFGDPLRIPVVLVERVMNVPRRSTSEISLMRNVEFVDSHSLTNGPSSDTLSKKSASQSNVRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEVNEDKTTGDFKEMGHRLAQEVISFVKSKMDKVTKYGILGDIKLSFVGHSMGNLIIRAAIADSSMEPYLRHLHTYVSVSGPHLGYIYSSNSLFNSGMWFLKKLKGTQCIHQLTCTDDPDLQKTFLYKLCKKKTLEHFRNVILISSPQDGYVPYHSARIESCQAASHDTSKKGKMFLEMLNACLDQIRANPSERRVFMRCDVNFDATAHGKNLNSFIGRAAHIEFLDSDIFARFIMWSFPDLFR >CAK8532973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:558504155:558505009:-1 gene:gene-LATHSAT_LOCUS2627 transcript:rna-LATHSAT_LOCUS2627 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDICHNASLSTLDDLFPPHNMEVDFGMEWLSVFVEECFSSKPTCVIEPSSTLQIQTTTTNKNPSNTMQKPQQNQSYIHNFVVPGKPRSKRKRLSSPRTKIWSYSYPSLLKQTYRLSESELGNPNLKVESKVSAVAHRDILKKDKKNKSDDEMKDVVNARRCSHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSNLHSNSHKKVLKMRMQSN >CAK8573191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592799730:592800206:1 gene:gene-LATHSAT_LOCUS25666 transcript:rna-LATHSAT_LOCUS25666 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFWFGRTAKNVLLAVATSRTCCDNSCCLRIEKRIFRCIELIFLLRYVAVVAELWPYGAIRRNPFAFCQPFCGVNYPLSFSSLHKEFTMCCKLSFV >CAK8576444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:478660020:478677087:1 gene:gene-LATHSAT_LOCUS28631 transcript:rna-LATHSAT_LOCUS28631 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRIAYFYDGDAGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSTDYVEFLHRITPDTQHLFSDELSKYNLGEDCPVFDNLFEFCQIYAGGTIDAACRLNNHLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKFHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDAKEIGEREGKFYAINVPLKDGIDDSSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKRFNLPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPENDYIKYFGPDFSLKIPNGHIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFNEDLQNPDERIDQHTQDKQIQRDDEYYEGDNDNDHQTDL >CAK8576424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:474605078:474605776:1 gene:gene-LATHSAT_LOCUS28612 transcript:rna-LATHSAT_LOCUS28612 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTYPGSHGLKPHFKEGVVAFLTYVFAQECCRSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNREASSSQTHIGVEIGRETSSSQSHLQDHEQFNLIDDMVGDALEVNVTYDEPQDFDADELPNEEAQTFYQLLKEINIPLFEGSSDSMLSMCVRLLAAKSNWNVPDQCLELFAKMMLDATPVKENMPKSYYDAKRMVSKLGLKVKKIDC >CAK8567285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501221021:501224516:-1 gene:gene-LATHSAT_LOCUS20351 transcript:rna-LATHSAT_LOCUS20351 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGYGDANQKIDYVFKVVLIGDSAVGKSQILARFARNDFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRPSFDHIPRWLEELRNHADKNIVIILIGNKSDLEDQRAVPTEDAKEFAEKEGLFFLETSALEAINVETAFMTVLTEIFNIVNKKNLAADENQGNGNSASLSGKKIIIPGPAQVIPAKSSMCCQ >CAK8539272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508022276:508024477:-1 gene:gene-LATHSAT_LOCUS8383 transcript:rna-LATHSAT_LOCUS8383 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLGPKNLFLSKLQNCIPNSWKQQPTKPFHKPLVSTDSLSFSMVPVLIASLKDFVTHGHLSNAFKTFIHIQHHAATSSSSNASFDLIFQPIKHLLLACTNLKSLPQGKQLHAHIISLGIDQNSILVSKLINFYASVNLLDDAHIVTESSNSLDPLHWNMVISLYVRNSFFEKAISVYKKMLSKNVQPDDFTYPSVLKACGELLDCDTGVEVHKSIRDSSIKWSLFVHNALVFMYGRFGKLDVARHLFDNMSVRDDVSWNTIISCYASRGMWEEAFRLFGRMQEEGIEMNVIIWNTIAGGCLHTGNFKGALKLFSQMRMAIHLDSVAMVVGLNACSHIGALKLGKEIHGHAVRTCFDVFDNVRNSLITMYSRCGNLNHAYMLFQKMDEKGLITWNAMLSGYAHMDRAEEVSFIFREMLHEGVEPNFVTIASVLPLCARIANLQHGKEFHCYLVKREEQFNGHLLLWNSLVEMYSKSGKVLEARKVFDSLSRKDEVTYTSMIMGYGMRGDGKTALKLFEEMHSSYIKPDHVTMVAVLIACSHSGLVAQGQLLFRKMIEVYGINPRVEHYSCMVDLFGRAGLLDKAKEVITGMSCKPTSAMWATLIGACRIHGNTVIGEWAAGKLLEMKPDHSGYYVLIANMYAAAGCWSQLAKVRTCMRDLGVKKAPGCAWVDVGRELSPFLVGDTSNPHSDEIYPLMDGLNELMKDAGYVPSEGFISSEEDFEEMNIVGNTC >CAK8533019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566402632:566403009:-1 gene:gene-LATHSAT_LOCUS2671 transcript:rna-LATHSAT_LOCUS2671 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIINKIGETLHIGGHKKEDEHKGEKSHDDKHKGEHKEGLVEKIKDKIHGDDEHKEHKGEKSHDDKHKGEHKEGVVEKIKDKIHGGSDEHGHKGEKKDKKKKDKKKKEHGHGHDHDSSSSSDSD >CAK8577892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596170249:596172134:1 gene:gene-LATHSAT_LOCUS29956 transcript:rna-LATHSAT_LOCUS29956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHIKIDILLYTFLCSLLGILMTSQSTETDIYCLKSLKNSIQDPNNFFQSWNFNNETEGFICDFVGVVCWHPHENRVLNLELSNMGLKGQFPRGIVNCSSMTGLDLSNNEFSGTIPSDISILLRYVTKFDLSNNKFSGEIPISFANCTYLNSLKLNNNMLSGEIPKQLESLPRLKEISFANNYLSGSMPVFSGKVNFSYANNNGVCGGSLRRCSLARDMQEDFHQSFKNGLIVGYVFSIISSVMITFMFYSKCARWMHHLKKRKNNYLNKAIEVGKYIYSIISMMKQMVVNQIHELLPMRLTCKENKEISALCESLTSTIWLEELRDATDCFTIDNAIGVGKMGMMYEGFMPNGKERILTYEYMSNGRLSKWLRPLESEVIRLKWHDRVKIALGIARGLSWLHHTCDLCIVHFNICSECILLDENFEPKISNFGEAKFMNPSIEDHLGVIFKVNDGKKDVYDFGSVLFELIMGKPYDELSHSYDTTNMCGNPLSFYNVIDKSLTGEGLDKEVCTLLKIACECVKPLPNQRPTMLEVYNNISNLRKEQDGYIDEIIEF >CAK8577891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596170234:596172134:1 gene:gene-LATHSAT_LOCUS29956 transcript:rna-LATHSAT_LOCUS29956 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHKMTFHIKIDILLYTFLCSLLGILMTSQSTETDIYCLKSLKNSIQDPNNFFQSWNFNNETEGFICDFVGVVCWHPHENRVLNLELSNMGLKGQFPRGIVNCSSMTGLDLSNNEFSGTIPSDISILLRYVTKFDLSNNKFSGEIPISFANCTYLNSLKLNNNMLSGEIPKQLESLPRLKEISFANNYLSGSMPVFSGKVNFSYANNNGVCGGSLRRCSLARDMQEDFHQSFKNGLIVGYVFSIISSVMITFMFYSKCARWMHHLKKRKNNYLNKAIEVGKYIYSIISMMKQMVVNQIHELLPMRLTCKENKEISALCESLTSTIWLEELRDATDCFTIDNAIGVGKMGMMYEGFMPNGKLLAVKRLFHFQNFKRQVLLETRILCKYRHRNIIPLLGFCIEGKERILTYEYMSNGRLSKWLRPLESEVIRLKWHDRVKIALGIARGLSWLHHTCDLCIVHFNICSECILLDENFEPKISNFGEAKFMNPSIEDHLGVIFKVNDGKKDVYDFGSVLFELIMGKPYDELSHSYDTTNMCGNPLSFYNVIDKSLTGEGLDKEVCTLLKIACECVKPLPNQRPTMLEVYNNISNLRKEQDGYIDEIIEF >CAK8536697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7986108:7987565:1 gene:gene-LATHSAT_LOCUS6031 transcript:rna-LATHSAT_LOCUS6031 gene_biotype:protein_coding transcript_biotype:protein_coding MVPISLLLVGFLTIFSSAYAYGGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGSCFEIRCAGDHKWCLPGSILVTATNFCPPNNALPNNAGGWCNPPLHHFDLAQPVFLRIAQYKAGIVPVSYRRVPCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAIKGSRTGWMPMSRNWGQNWQSNNYLNGQPLSFKVTTSDGRTIVSNNVAPSGWSFGQTYTGAQFH >CAK8572517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543144436:543145278:1 gene:gene-LATHSAT_LOCUS25076 transcript:rna-LATHSAT_LOCUS25076 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITENGRRIMVAIDEGDESIYALTWSLKNLIFQNSKDHLILIYVKPPRVVYSAFDGTGYLFSSDVTATMEKYSQQVAESVLEKAKLVCNDVQNVETRIENGDPRDVICQAVQRLGVDILVMGSHGYGVIKRAFLGSVSNHCAQNVKCPVLIVKKPKSTDGEDN >CAK8544088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662285821:662292244:-1 gene:gene-LATHSAT_LOCUS12794 transcript:rna-LATHSAT_LOCUS12794 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLASRHLSTPFSHNRTTTTSSSSIKPSSSLYFRNSFAKEIWGLVQSKNVTSRRTDMIRPVVVRAEMFGQLTSGLESAWNKLKGEEVLTKENIAEPMRDIRRALLEADVSLPVVRRFVQSVTDQAVGVEVTRGVKPDQQLVKIVHDELVDLMGGEVSELTFAKSGPTVILLAGLQGVGKTTVCAKLATYLKKQGKSCMLVAGDVYRPAAIDQLTILGKQVDVPVYTAGTDVKPSVIAKQGFVEAKKKKIDVVIVDTAGRLQIDKAMMDELKEVKRALNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMLQEDAEEMQKKIMSAKFDFNDLLKQTRSIAQMGSVSRVIGMIPGMTKVTPAQIREAEKNLQFMEVIIEAMSPEEREKPELLAQSSARRKRVAQESRKSEQQVSQLVAQLFQMRVQMKKLMGVMEGGSMPTLSNLEEALKTEEKVPPGTARRRKKADSRKLFAKSTLRPAPPGFGSKN >CAK8536149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912062674:912063585:1 gene:gene-LATHSAT_LOCUS5545 transcript:rna-LATHSAT_LOCUS5545 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYALYYVSKDKEIVNSSVIKWLSEIYVPEAAFAMVVTEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTQSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKATEKCSICFEDFKVGVCMPCLHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8542884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555668473:555671372:1 gene:gene-LATHSAT_LOCUS11684 transcript:rna-LATHSAT_LOCUS11684 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLVLVTFLLCVNYSQQLQSSNSQTLLRIQQLLNFPSSLRSWKNSTDFCNTDSNSSLAVVCYEDTITQLHIIGEGKTQTLSKNFSVDSFVTTLVKLQGLKVLTLVSLGIWGPLPGKLARLSSLEIVNMSSNHLYGSIPIELSSLSNLQTLILDDNMFSGVVPIWIDLLSGLTVLSLKNNLFNGTLPNSVSNLDNLRVLSLSRNKFYGVIPDLSHLRNLQVLELDDNAFGPQFPKLGHKLVTLVLRDNMFRSGIPADVSSYYQLKRFDISSNTFVGPFQTALLSLPSIAYINISQNKLTGMLFENVSCNSELEVVDLSSNLLTGSLPKCLVSNSSDRTVSYARNCLETTNQNQQPPPFCHTEALAVGVLPERKKQKQVSKVVLTLGIVGGTIGGVAVFLLILFIVRRGNPKRKTNKTPPTRLISENAASGYTSKLLSDARYISQTKKFGALGLPNYKSFSLEEIEAATNNFDTASFMGEDSYGEMYKGQLKNGSSVVLRCIKMKKRYSTQNFMQHMELISKLRHRHLVSALGHCFECSLEDSSVSRIFLVFEYVPNGTLRSWTSDGHTGKSLNWTQRIAAAIGVAKGIQFLHTGIVPGVYSNNLKIEDVLLDHNLVAKITSYNLPLLSNIGKVRRGNSSNGFKHSSINKSGKHEDKCDIYDLGVILLEIILGRTIKTTNDAEAFKELLQASLGADEDARRSIVDPAIRKACLEQSLKTMVEICVRCLIKEPAERPSIEDVLWNLQFAAQVQDAWRGDSQSSEGSPGSPQRSAFR >CAK8536554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954009460:954010353:1 gene:gene-LATHSAT_LOCUS5912 transcript:rna-LATHSAT_LOCUS5912 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAETISHIDIEAMRMGKQLNMDQREYLTRPISENKITKALKGIGDLKAPGLDGYGAKFFKTSWSTIKADVITAVREYFETGKIYKAFNSVAVSLIPKGHNACEIKDYRLIVVSTIFYKIISKILTDRLGSVIPSVVNQNQAAFVPGQNIHNHIMLAAELLKGYTRKGGTPRIMMQLDLQKAYDMVNWKALECIVKEMGFPNKFIQWIMLGITTVSYKFNIMREYTNILQAKRRIQKGYPLSPMLFVLIMEYMNRMLVKMQRDPNFNYHAKCEKLKITNLTFADDVLLFCRGDDI >CAK8536952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44966726:44968786:-1 gene:gene-LATHSAT_LOCUS6275 transcript:rna-LATHSAT_LOCUS6275 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVQLLISTLVLFSFMPVLSQVNQFLYAGFKDIDPKILTLNGFAGIEKNGILRLTNHTTNVIGHAFYSQPFQLKNSTTAKAFSFSSSFALAVVPEYPKLGGHGMAFTIATTKDLKGSPIQYLGLFNSSNVGNFSNHVFAVEFDTVLDFGFDDINDNHVGIDINGLKSNASVTAGYYTDDDSTKQHVLNIKNGKPILAWVDYDSLLNLVSLTLSQTSTKPKKPTLSFHVDLSPILHDTMYVGFSASTGLQLASSHHILGWSFKINGQAPLLDLSSLPQLPQPKEKNTSLITGLSVTVSVVALCSIAIGIYIFRKIKNADVIEPWELEVGPHRYSYQELHKATKGFKEKELLGQGGFGRVYKGTLPNSNIQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRKGDLLLVYDFMANGSLDKYLFEKTKIVLSWEQRFKIIKDVASGLLYLHEGYEHMVIHRDVKASNVLLDSELNGRLGDFGLARLYEHGANPGTTKVVGTLGYLAPELPRTGKSSTSCDVFAFGAFLLEVACGRRPIEPKALQEELVLVDLVWERYKEGRIVEVVDKKLNGKFDESELMMVLKLGLICSNDDPIIRPSMRQVVRILDGEVELNGDLMRKPGGIDQDEGFDEFLHSLAFSSNDVRSSSSYLGNTDTDTCYISFPSSPQSLLNPRGET >CAK8577357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556736654:556737904:1 gene:gene-LATHSAT_LOCUS29471 transcript:rna-LATHSAT_LOCUS29471 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLFNCKLMKLMGGGNLNSLVCKIVFLFIAVLILRSLLFPSNNVVVYMTNKNVGFVVERQKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLKPISFDKVFQFDKFNELCSGFVRLGRYSDLTNATEVIEIQKGSGRKWTVERDLDHLREVKNGSFDEYEVVKIVGKNPFLWHDHWPVKDYAKVFECLVLVDEISREADRVVSTIREVGKNNRYVAVHMRVEIDWMIHCKKLEQRLNTNQICSSKNEIIERVGNIEGLNKSPPIVLYLAVADKLLQNSSILEGWKDGFLPYEKKKLGVDKIYGKYSYLVQSAIDYEVCLRADVFVGNSFSTFSSLIVLERTQKMMKLGVSSMCGSDVTWPSFAYNIQGELNGPMRWVTNMSDSSLQAISYGTNHISC >CAK8570699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89886610:89890126:1 gene:gene-LATHSAT_LOCUS23432 transcript:rna-LATHSAT_LOCUS23432 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNKPNSQQWRPDPFHSNNNNTIMKQPETPRDPMEFLSRSWSASAMEVSKALSPAQLPPSNKLSNGSSNAAILEDFAGEIDESNVTFSGNPFSFASSETSQMVLDRIMSHSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDFKYNRSSNNHNNNSIIASLNNQYRVSGTGGGGGGGGKTVGRWLKERKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGARKDEHMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVEKNLLGNGNGNVNGGGGSGSNGSSNSSFSGELAPEDNFLGICSRELLARGCELLKRTRTGELHWKIVSVYINRTNQVMLKMKSRHVAGTITKKKKNVVLGVIKDMPAWSGRHLLEGGENRRYFGLKTIMRGIVEFECRNQREYDVWTQGVSRLLSISAERNNKNRTC >CAK8544434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683994573:683996640:-1 gene:gene-LATHSAT_LOCUS13113 transcript:rna-LATHSAT_LOCUS13113 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >CAK8569209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682506029:682506401:1 gene:gene-LATHSAT_LOCUS22089 transcript:rna-LATHSAT_LOCUS22089 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLEVEVEYDNHVGCFVFWNKDCIPFVQMTATVLRQIMKKAGEDNPKIYSAHLDKLLNKELAFRIKYPSFFQQYSIVKGVHFKNFDPMIGFLS >CAK8569245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686834815:686836117:-1 gene:gene-LATHSAT_LOCUS22123 transcript:rna-LATHSAT_LOCUS22123 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIEIPILDFNSSDGVKLEEGDGWKEMSKKVREAFESHGCFLIRCDDISNDLHDKFFLGMKSLFDLPEETKKKFTSSRAYRGYTTKNNVIPYSESFGIDNDVNPDTAHQDFIDLMWPQGNSSFGAVLSSYTSKVRELSSLILKMVVEAFELPQHYNLDVEELNCYNDARMTRYSTSKETKGSNIGLVAHTDKGTISFICDNGVQGLQLLPKIGNWVDINIPPNGFVVVAGDILQAWSNRRLEAATHRVVARDEQRFAFVFFAVPREGMIITGPSELVDDENPLRYRPFVYDDYVNYQHSTGTQESPLDKFAGL >CAK8570805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:117701366:117703860:-1 gene:gene-LATHSAT_LOCUS23526 transcript:rna-LATHSAT_LOCUS23526 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRKCREAVKTLAKRPSLTKDPRQLQFEADVNRLFLYSSYNQLGKSADESDAEKIIEKASNTSFADQAIQVQENVHSQIKTFCAFMDDILLPNEQTMNDPLGLSQQASVLPRRSGLSLAVGRNGSSPDNSAGPQTRPLSQAEVSLKLKDQLGYTLNIKPSQISHKDAGQGLFLDGVVNVGSVVAFYPGVVYSPAYYRYIPGYPKVGAQNPYLITRYDGNVINAQPWGSGGDKRELWNGGYTVEVKPDTKVAEKGSDRVWKVLSKPLEGKGDNGEVIERRNPLALAHFANHPSKGVLPNVMICPYDFPLTENNLRVYIPNILFGNAEVKMRRFGSFWFKSGGSKNSGSNVPTLKSLVLVATRAIQDEELLLNYRLSNTKRRPEWYAPVDEEEDRRRWS >CAK8569144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675644955:675652222:1 gene:gene-LATHSAT_LOCUS22028 transcript:rna-LATHSAT_LOCUS22028 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKSDSVVYLHGNLDLKIVEARFLPNMDMFSERFRRFFSALNSCTTSMSGKGKNREPRHHHHKIITSDPYVTVCLAGATVARTRVISNSQSPKWEEHFRIPLAHPVSQVEFYVKDNDMFGADLIGIATVSATRILSGDNICDWFPIIGSFGKPPKPDCAVFLEMKFTRCDDDPLYRSGVSLEPDRFAVKESYFPVRRGGSVTLYQDAHVPDSMLPRIELDDGVEFQQGKCWEDICHAILEAHHMVYIVGWSIFHKVKLVREPTKPLPSGGNLNLGELLKYKSQEGLRVLLLVWDDKTSHSKFFINTNGIMQTHDEETRKFFKHSSVTCVLSPRYGSSKLSIFKQQVVGTLFTHHQKCVIVDTQAHGNNRKITAFIGGLDLCDGRYDTPEHRICRDLDTVYKDDYHNPTFCAGTKGPRQPWHDLHCKIEGPAAYDILTNFEQRWKRATKWSQLGQKLKRVSHWHDDSLIKLERISWILSPSESMPNDDPELWVSKEDDPENWHVQVFRSIDSGSLKGFPKDIHEARAQNLVCAKNLVIDKSIQTAYIHAIRSAQHFIYIENQYFIGSSFAWPSYKEAGADNLIPMELALKIVSKIRSRERFTVYIVIPMWPEGVPSSVTVQEILYFQGQTMQMMYGIIARELKYMNLNNSHPQDYLNFYCLGNREKFATEDSNPKNSHSDDGVTVSASQKFQRFMIYVHAKGMVVDDEYVMVGSANINQRSMGGSRDTEIAMGAYQPQHTWNKKKGHPHGQVYGYRMSLWAEHLGTIHDCFKEPESLECVETVNNIAEQNWKKFTADDFKPLQGHIMKYPIKVNADGKVRPLPGFESFPDVGGKVLGARSTLPDALTT >CAK8540634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13784546:13785376:1 gene:gene-LATHSAT_LOCUS9620 transcript:rna-LATHSAT_LOCUS9620 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDGQVSNAWSGSKLLINEDIPEIQDFMSKLPTNEQKEKPTQSAKSLSNWSGGSQYSPVERFVHNAKCMSLSQFCKIKHETLCVTVATTLKFVVSKYGWFYYGCTKCSSKAPNPEKAYECSCGQKVEQPIPRYKIEIYVSNGESKYQFVFWDSECAAIL >CAK8544508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689366222:689366480:1 gene:gene-LATHSAT_LOCUS13180 transcript:rna-LATHSAT_LOCUS13180 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATCIDIIVAILLPPLGVFLKFGCKVEFWLCLVLTLLGYLPGILYAIYAITK >CAK8539290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508504410:508505861:-1 gene:gene-LATHSAT_LOCUS8399 transcript:rna-LATHSAT_LOCUS8399-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMFQEEGSSSVTCSPLQLFSMMSLSPGIGGIGSPYPWLSRELKSEERGLYLIHLLLTCANHVASGSLENANTTLEQISQLASPDGDTMQRIAAYFTEALADRILKTWPGLHRALNSTRIVMLSEEILVQKYFFELFPFLKVAYIMTNQAIVESMEGEKMVHIIDLNAAEPAQWIALFQVLSARPEGPPHLRITGIHQQKEVLDQMAHKLSEEAEKLDIPFQFNPVVSKLENLDFDKLRVKTGEALAISSILQLHSLLALDDESSSSRRKTPILSRNSNGLHLQKVMLMNQSTSLGDLLEKDMVNGYSPSPDSASSSSSPASSTASMNAESFLNALWSLSPKVMVVTEQDSNHNGSTLMERLLEALYSYAALFDCLESTVPRTSLERLKVEKMLFGEEIKNIIACEGAERKERHEKLDKWFMRLDLCGFGNVPLSYYGMLQARRFLQSYGCEGYRMREENGCVVICWQDRSLFSTTAWRARK >CAK8539289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508504410:508505873:-1 gene:gene-LATHSAT_LOCUS8399 transcript:rna-LATHSAT_LOCUS8399 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGMESMFQEEGSSSVTCSPLQLFSMMSLSPGIGGIGSPYPWLSRELKSEERGLYLIHLLLTCANHVASGSLENANTTLEQISQLASPDGDTMQRIAAYFTEALADRILKTWPGLHRALNSTRIVMLSEEILVQKYFFELFPFLKVAYIMTNQAIVESMEGEKMVHIIDLNAAEPAQWIALFQVLSARPEGPPHLRITGIHQQKEVLDQMAHKLSEEAEKLDIPFQFNPVVSKLENLDFDKLRVKTGEALAISSILQLHSLLALDDESSSSRRKTPILSRNSNGLHLQKVMLMNQSTSLGDLLEKDMVNGYSPSPDSASSSSSPASSTASMNAESFLNALWSLSPKVMVVTEQDSNHNGSTLMERLLEALYSYAALFDCLESTVPRTSLERLKVEKMLFGEEIKNIIACEGAERKERHEKLDKWFMRLDLCGFGNVPLSYYGMLQARRFLQSYGCEGYRMREENGCVVICWQDRSLFSTTAWRARK >CAK8579215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684193978:684195420:1 gene:gene-LATHSAT_LOCUS31179 transcript:rna-LATHSAT_LOCUS31179 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDSFEDEHSHHHQSGPYDDDNTINYEGYDSQQHYDYGSSYPANNSDDDHLAVNTNNNSNNQHSPPAYGFGVSTPNPDFVTPFQSTETDDGLFSSDGPVLPDPTQMQEEGFARREWRRKNAIHLEEKEKREKEMRNQIIKEAEDYKEAFHEKRKLNCETNKQNNREKEKIYLANQEKFHKEADKHYWKAIAEIIPREVPNIEKRRGKKEADNKPSVHVIQGPKPGKPTDLARMRQMILKLKQNPPSHMMPPPPKEEKDAKENKDGKDTKDAKENKDGKDAKDGKDTKDAKEGGNDKDGKTSTATAAATADSAENKPTAPEKDAAAAATNGELQDPPAAEGDQVASTEPPAA >CAK8539726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523133617:523134150:-1 gene:gene-LATHSAT_LOCUS8798 transcript:rna-LATHSAT_LOCUS8798 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYKPSKNDGSSNTTKPEKMPISFSDSDLALPMVIKLQITNNTVSRVSVNPGSQANILYMSAFLKMGLSESMLKPFKAYLKGSFGGGVPVKGYIDLDTTFGKGESAKMLKVRYLVIDSWSVYNVVIGMPTVAELGAVVSTVHLSMKYPIGDGMVGVVMADLEMAKKCHEMSPHYVG >CAK8568127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574940585:574943891:1 gene:gene-LATHSAT_LOCUS21120 transcript:rna-LATHSAT_LOCUS21120 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGDANTTSQEQELEWATMAQAWLCSFPEAKEVSMAEVEAWIDANLSSLPEGIQSMPRSDLCLRLISIQNCMRLPNQENEANNLDVPHARFQRTDQWLPVYSWLETLNKDEVVKSKEISDWLEQNPKIQEQLCSRHSRYHLMHYVKKCHFKILKRRQKGKGLVEQPGKDMSLKVQKDVAMKQPAPFPNSSVNNLPKDSDLYLAKRNEAYQKYEILLELEKLFSPIFSKPPIINQ >CAK8563244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585597642:585601721:-1 gene:gene-LATHSAT_LOCUS16674 transcript:rna-LATHSAT_LOCUS16674-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEHVKSKTSLLALFKSSPSSSSLSYSSSSSYTTENAIHSFTPVRTRSNAYVAENEAIEFESMGMRFFNDIFGHGGILKWKDVEKRFNQVAWTGNAPEPVISWPEFGFCIGIGMQSSPELARELLRALRGCKDWKSDITKTELHTFWLRMNDDSFNSRMRMFFDMCNRNMDGRITETDIKQTILLTASINKLSVTRDEAEDYAGLIMESVDKKNKGYIEICEMESVLKASLLKEHSPAMKLKEMIIQEESEEYEEEQERMSKTEVLFRTYWRRCWVVMVWLIGCFGLFGWKFEQYRKRSGFEVMGYCLPTAKGAAETLKFNMALVLLPVCRNTITWLRKNRRLNYVVPFNDNINFHKLIAGGIVVGVILHGGTHLTCDFPRISDSDKSIFRQTIAAGFGYHQPTYMEILATTEVASGIGMVVLMAVAFSLATKWPRRRSTVLPLSLRRVTGYNTFWYSHHLFVLVYVLLIVHSMFLFLTDKWIEKTTWMYIAFPVLLYVGERIFRAIRSGSYEVDIMKASLYPGKVLHLKMQKPDGFKYRSGMYIFIQCPQISPFQWHPFSLTSGPQDEYLSVHIRTLGDWSYQIYALFQEAVLSGLQGCPKLYIDGPYGSASQDHVKYDILVLIGLGIGATPFISILKDVANGVQMTAQTDHSGLRECSLTKDSPSKAYLYWVTREQNSFDWFRDVIKEIASSTKQQSVVEMHNFLTSVYPEGDVRSALLSVIQALNHAKNGIDIVSRTPIHTHFARPNWFNIFSRLARKHVGAKIGVFYCGPSNLATELKNLCTKFSTKTTTRFVFHKENY >CAK8563243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585597642:585601721:-1 gene:gene-LATHSAT_LOCUS16674 transcript:rna-LATHSAT_LOCUS16674 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEHVKSKTSLLALFKSSPSSSSLSYSSSSSYTTENAIHSFTPVRTRSNAYVAENEAIEFESMGMRFFNDIFGHGGILKWKDVEKRFNQVAWTGNAPEPVISWPEFGFCIGMQSSPELARELLRALRGCKDWKSDITKTELHTFWLRMNDDSFNSRMRMFFDMCNRNMDGRITETDIKQTILLTASINKLSVTRDEAEDYAGLIMESVDKKNKGYIEICEMESVLKASLLKEHSPAMKLKEMIIQEESEEYEEEQERMSKTEVLFRTYWRRCWVVMVWLIGCFGLFGWKFEQYRKRSGFEVMGYCLPTAKGAAETLKFNMALVLLPVCRNTITWLRKNRRLNYVVPFNDNINFHKLIAGGIVVGVILHGGTHLTCDFPRISDSDKSIFRQTIAAGFGYHQPTYMEILATTEVASGIGMVVLMAVAFSLATKWPRRRSTVLPLSLRRVTGYNTFWYSHHLFVLVYVLLIVHSMFLFLTDKWIEKTTWMYIAFPVLLYVGERIFRAIRSGSYEVDIMKASLYPGKVLHLKMQKPDGFKYRSGMYIFIQCPQISPFQWHPFSLTSGPQDEYLSVHIRTLGDWSYQIYALFQEAVLSGLQGCPKLYIDGPYGSASQDHVKYDILVLIGLGIGATPFISILKDVANGVQMTAQTDHSGLRECSLTKDSPSKAYLYWVTREQNSFDWFRDVIKEIASSTKQQSVVEMHNFLTSVYPEGDVRSALLSVIQALNHAKNGIDIVSRTPIHTHFARPNWFNIFSRLARKHVGAKIGVFYCGPSNLATELKNLCTKFSTKTTTRFVFHKENY >CAK8577441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564172728:564173156:-1 gene:gene-LATHSAT_LOCUS29549 transcript:rna-LATHSAT_LOCUS29549 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKEHQLKLPMILNLILMFFYITVPVTNGVETRKLDETTMPTTNGTDVKCAPSCGGYAPPPPPPPQNCPPPPSPPPPSPKKPPSQNCPPPPSPSSYIYITGPPGNLYPIDENFSGVNYRHHQSLAAVLFSLAVAFLGVIKI >CAK8560042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5976936:5982682:1 gene:gene-LATHSAT_LOCUS13759 transcript:rna-LATHSAT_LOCUS13759 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLSFFGGGNSRSVDNNSSKNEELLPTLKLETDKQVYRPGDPVIITIQISNPSNAYSFLMERLSFEIRGIEKLDTQWFVTQKALPGSRQKRGEHVFVDSSTPILVANQIINAGASKSYVVRTMLPSVIPPSYKGSNIRYLYYVKSTITGGWLILENGQSRTEPTKDVTDLEVRIPLQIWVNQKSNGFPKDDDIVPLTTVQLDIFWKEMDGDADWVRANDIDDGVDEDYDSSRDDISSVSSYNPTKENMYRGYGSSLSLQSSSARSLNRDALEGHRTSLSSNIALPRLSVAEVLSDSGADALSTQKSFAMEQQKFGKQFSAEDDAEVSSSPEAGAVESLSSEGFIRGRSYNIRLDDQILLKFSPKNSDSTYYFSDMIGGTLTFFHEEGARRCLEISVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLLQTSFLFSVPMDGPMTFSTPHVSVQWVLRFEFYTTPKFVDWTKYEHPLLIERREKTEWVLPITVHAPPPRTSTSGSRSEKLFSLDPMWVHT >CAK8579392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695491653:695492243:1 gene:gene-LATHSAT_LOCUS31341 transcript:rna-LATHSAT_LOCUS31341 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGDPLAPFLFAIVAEGLSSLVKSAAAGNLLSQFKIDDQTTVSMLQFTDDTLLIGDGSTSNIWAFKAILRAFELTPGLKINYSKSCLYGIRVDPDFLVAAEDFLHCKSRRLPIIFLGIIVGRNHRRYSFWNLVLNCLRNKLSNWNGRNMSMGGRVTLINSVIANLPIHYLVFFKAPHRVVKDIIAIQYRFLWAGK >CAK8533429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616856029:616876049:1 gene:gene-LATHSAT_LOCUS3053 transcript:rna-LATHSAT_LOCUS3053 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTSDAAAAAANFAGHRGTSMSNTVHSEVASCLPLPSLPVFCGASDQDLRLFDSPMQLNRDDILSKSSKIAEMLRHTDVSYLNLRDDAKVVQYNYVEPLELHDEVLRCYPEAFECGTAGPVKEKISGSALPEKKLLESSFSDPSQTQKDYNSTHSRLLDDFSTNDISTLSSKKSKVKKKGGNEISVAPDSAVLQDDIIGRFREFLEDLCSKAELNSDDLDEAVEWLPLPLSDLRLLVNEIMSIREKNLLHLVPVEFLVRLLKVLDNQIHRAEGLSMEDSDNSNSELVSSLLAALESIHAALAVMAHTDMPKQIYKEEVIERILEFSRHQIMDVMCACDPSYRALYRPSENTALEVDDEDDDSDYGSASKKRRTSSKTVKLKRPSSSRFSSTMNVILQKLCSVLGLLKDLLLIERLSDSCILQLIKTSITTFLVDNIQLLQLKAISLLSAIFYLYTQHRTYVLDEMLHLLWKLPHSKRALRNYHIREEEQRQIQMITALLIQLIHCSANLPDTLRQASSSNSVMEISVDASYPAKCRDAVTEACSYFWSRVLQRLASVKSQDASDLKSIMENLVTDLLTTLNLPEYPASASILEVLCAILIQNAGTSSKDFAARSMAIDILGTIAARLKRDAVICSREKFWVLQDLLSKDAAPQNYPKDTCCVCLDGRAENLFPCSGCSRLFHAECLDIEENEVLNQNWYCHMCICSKQLLVLQSYCNSQRKDDVKKNRKVSKDDSTFSNLEIVQQLLLNYLQDVTSADDLHLFIGWFYLGSWYKNDPKCQQKPIYYFARMRSRTIIRDSATDSSMLTRDSIKKITLALGQKSSFCRGFDKIFHTLLVSMKENTPIIRAKALRAVSIIVEADPEVLGDKLVQLSVEGRFCDTAISVREAALELVGRHIASHPDVGFKYFEKIAERIKDTGLSVRKRAIKIIRDMCSSSANFSGFTRACTEMISRVIDDESSIQDLVCKTFHEFWFEEPSASQTQVFEDGSTVPLEVAKKTEQIVEMLKRLPNNQLLVTVIKRNLTLDFLPQSAKAIGVNPVSLVTVHKRCELMCKCLLEKILLVDEMNNNEMEKHALPYVLVLHAFCLVDPTLCAPASNPSQYVITLQPYLKTQVDNSMVAQLLESITFIIDAVLPLLRKLPLSIVDELEQDLKQMILRRSFLTVVHACIKCLCSMSKIAGKGADVVEHLIQVFFKCLDTQAIVNKQQVGRSLFCIGLLIRYGNCLLASSGNKLVDVKRSLSLFMKYLAVDDYSLKVRSLQALGYVLIARPEFMLEHDIGKILEETLSSHADARLKIQALQNMFEYLLDAESKMETEVDDNVPGHSVRAGQSVPVAAGAGDTNICGGIVQLYWDNILGRCLDCDEQVRQSALKIVEIVLRQGLVHPITCVPYLIALETDPLESNSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFMFMQAICVSPDENVNHKTPSKIPVSGKGKPESDSITQSRVGVSRIYKLIRGNRMSRNKFMSSIVRKFDKPKWNKFVIAFLTYCTEVLALLPFIAPDEPLYLIYAINRIVQVRAGPLEANFKAWCSSLLRSEGDVTPHGNGMYQQAPNEPIHSTQVMSKDLNGTFQQSADVQPNLDDMTSVDLNGTNNQLPDYPLAQNGRSKVYADTFTFSKDDLEKVQADCLHAIALQLLLKLKRHLKIMYSLDDARCQAYSPSEPPKPGEVFSRQSIPFNVGESQFSLPTNPQELVQRYQEFKNALKEDTMDYSLYTANIKRKRQTPTPKKVQVRKPGPMLGGDSDDEDDEEWAGGMRNINFSGGRRQSLRSSRQ >CAK8542281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497369280:497371706:1 gene:gene-LATHSAT_LOCUS11129 transcript:rna-LATHSAT_LOCUS11129 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGSKVLVFSHNPFNLMPFLHCIHTAVAASHQSIYHSNQLLNGLSKSGRVDDARKLFDKMPQKDEYSWNTMISGYVNVGRLVEAGELFDGFSCRSSIAWSSLISGHCKFGCKAEAFDLFRSMRLEGHKPTQFSLGSVLRVCSSLGLIQTGEMIHGYVVKNGFESNVFVVTGLVDMYAKCKCISEAEFLFKGLAFDRKNHVLWTAMVTGYAQNADGRKAVEFFRYMRAQGVESNQYTFPTVLTACSSVLAHCFGEQVHGCIVRSGFGCNVYVQSALVDMYAKCGDLNSARTVLETMEDDDIVSWNSLIVGFVRHGFEQEALILFKNMHGRNMKIDDYTFPSILNCCVLGSIDPRSVHSLIIKTGFENYKLVSNALVDMYAKTRDMNCAYTVFENMLEKDVISWTSLVTGYAQNGSHEESLKIFCDMRVAGVNPDQFIVASILSACAELTLLEFGKQVHSDFIKSGLWSSRSVDNSLVAMYAKCGCLDDADTIFVSMEVKDVITWTALIVGYAQNGKGRDSLRFYDAMVSSDTKPDFITFIGLLFACSHAGLVDEGRRYFQQMNKVYGIKPGPEHYACMIDLFGRSGKLDEAKELLDQMDVKPDATVWKSLLAACRVHGNLELGERAATNLFELEPYNAMPYVMLSNMYSAAHKWDDAAKIRKLMKSKGIAKEPGCSWLEINSRVHNFISDDRGHPREAEIYSKIEEIIVRIKEAGYVPDMNFSLHDMDKEGKEVGLAYHSEKLAVAFGLLAAPPSAPIRIFKNLRVCGDCHSAMKYISRVFTRHIILRDSNCFHHFREGECSCGDYW >CAK8542282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497369334:497371706:1 gene:gene-LATHSAT_LOCUS11129 transcript:rna-LATHSAT_LOCUS11129-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLHCIHTAVAASHQSIYHSNQLLNGLSKSGRVDDARKLFDKMPQKDEYSWNTMISGYVNVGRLVEAGELFDGFSCRSSIAWSSLISGHCKFGCKAEAFDLFRSMRLEGHKPTQFSLGSVLRVCSSLGLIQTGEMIHGYVVKNGFESNVFVVTGLVDMYAKCKCISEAEFLFKGLAFDRKNHVLWTAMVTGYAQNADGRKAVEFFRYMRAQGVESNQYTFPTVLTACSSVLAHCFGEQVHGCIVRSGFGCNVYVQSALVDMYAKCGDLNSARTVLETMEDDDIVSWNSLIVGFVRHGFEQEALILFKNMHGRNMKIDDYTFPSILNCCVLGSIDPRSVHSLIIKTGFENYKLVSNALVDMYAKTRDMNCAYTVFENMLEKDVISWTSLVTGYAQNGSHEESLKIFCDMRVAGVNPDQFIVASILSACAELTLLEFGKQVHSDFIKSGLWSSRSVDNSLVAMYAKCGCLDDADTIFVSMEVKDVITWTALIVGYAQNGKGRDSLRFYDAMVSSDTKPDFITFIGLLFACSHAGLVDEGRRYFQQMNKVYGIKPGPEHYACMIDLFGRSGKLDEAKELLDQMDVKPDATVWKSLLAACRVHGNLELGERAATNLFELEPYNAMPYVMLSNMYSAAHKWDDAAKIRKLMKSKGIAKEPGCSWLEINSRVHNFISDDRGHPREAEIYSKIEEIIVRIKEAGYVPDMNFSLHDMDKEGKEVGLAYHSEKLAVAFGLLAAPPSAPIRIFKNLRVCGDCHSAMKYISRVFTRHIILRDSNCFHHFREGECSCGDYW >CAK8564100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651688229:651688753:1 gene:gene-LATHSAT_LOCUS17439 transcript:rna-LATHSAT_LOCUS17439 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCYSCNASSIFQNIIRVVHVDGSVEDFHQPISAYQIIGNQPKHFVCTSIQLLSSSYKPLKPDNSQLQPGQLYFMLPYSVLQADVSPVDLACLAKRLTAKAKNKPCDYNKAPKAIPILLRSPCRVGMEEKVMMNRGRRSPCRMQSWKPILESIAEKSLNRRSESDLQDIMFKD >CAK8531968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185019640:185021061:-1 gene:gene-LATHSAT_LOCUS1715 transcript:rna-LATHSAT_LOCUS1715 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSFRAITCFWLMMSFFILSEAEPMKTQLSPDFYRTTCPDLWTIVRREVSNAMEDEIRIAASLLRLHFHDCFVNGCDGSILLDGDEETEKFATPNKNSARGFEVIDRIKTSVESSCSGVVSCADILAIVARDSVFLSGGPFWYVPLGRRDGFVSNKTLANLAIPSPFDTLDNITSKFDNVGLNLKDVVTLSGAHTIGRARCTFFSNRLFNFSGTEEPDNTLESEMLFELQNLCIQDEDGNATTVLDSNSFDQFDSNYFKNLVNGRGLLSSDQILFSSDEEVTSTTKYLVQLYSENEREFFMEFAYAMIKMGNINPLIGSEGEIRNNCRVINS >CAK8564439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674227299:674229157:-1 gene:gene-LATHSAT_LOCUS17744 transcript:rna-LATHSAT_LOCUS17744 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDREISSDHEESGNEPTKNISRHMSESSMAATEEDDDDVERKIDLGPQFTLKEQLEKDKDDESLRKWKEQLLGSIDMSSVGETLEPEVKFLSLAIKSEGREDMILPVPETGNPNGLWFTLKEGCRYRLMFTFQVNHNIVSGLKYTNTVWKTGLKVDSTKEMIGTFSPQAEPYTHEMPEETTPSGLFARGTYSARTKFVDDDKKSYLDINYTFDIRKDWL >CAK8578499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633336438:633337194:1 gene:gene-LATHSAT_LOCUS30504 transcript:rna-LATHSAT_LOCUS30504 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSAKNLSSLFSSLIFLVFMFGLVTSDVNQDKAECTDKLIGLANCLPFVSGQSKTPTIDCCTGVRDVVNMSKRCLCILIKDHDDPNLGLSVNVTLALQLPADCKSPTNVTQCIDLLHLSPKSHEAKIFEDFEKTLEKNSTTPVPPASNGTGKGTNTGVGQDKSGSKEGKVIYGISVFVLVSYLFIV >CAK8578498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633336438:633337194:1 gene:gene-LATHSAT_LOCUS30504 transcript:rna-LATHSAT_LOCUS30504-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSAKNLSSLFSSLIFLVFMFGLVTSDVNQDKAECTDKLIGLANCLPFDHDDPNLGLSVNVTLALQLPADCKSPTNVTQCIDLLHLSPKSHEAKIFEDFEKTLEKNSTTPVPPASNGTGKGTNTGVGQDKSGSKEGKVIYGISVFVLVSYLFIV >CAK8544896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710812072:710813550:1 gene:gene-LATHSAT_LOCUS13541 transcript:rna-LATHSAT_LOCUS13541 gene_biotype:protein_coding transcript_biotype:protein_coding METPKQETVTAPTTPLPKPPMVVMLPSPGMGHLIPMIELAKRISLHQNLEITFLIPTDGPPSKAQLTVLQSLPISISHTFLPPVSFTDLPPNTKIETFISLTVLRSLPSLRETFRSLSVSHTITAVLVDLFGTDAFDVADEFNVPKYIFYPSTALALSLFLYLPRLDQEVHCEYRELTEPVKLPGCIPVHGKDLLDPLQDRKNEAYRSVLRNTKRYIEADGIIENSFLELEPGPIKELQKEEPGKVKPVLYPVGPLVNREVAETGPNGSECLKWLDNQPHGSVLFVSFGSGGTLSSNQIIELALGLEKSEQRFLWVVRSPNDKVANASYFSAATASDPFDFLPKGFLERTKGRGFVVSSWAPQPQVLAHGSTGGFLTHCGWNSVLESVVNGVPLVVWPLFAEQKMNAVILTEDVKVGLRPNFNENGLVERQEIASVVKCLMEGEEGKKLRYKMKDLKEAAANTLGENGTSTKIISKLALKWSNGNHISTVTN >CAK8561454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:223809985:223811407:-1 gene:gene-LATHSAT_LOCUS15043 transcript:rna-LATHSAT_LOCUS15043 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNDFVFDFQAKSSTDLKRKIKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKKIIEPRKVLALNNNTKKKLNSTVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKSLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELNSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRQYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLVSM >CAK8574652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5676464:5677877:1 gene:gene-LATHSAT_LOCUS26977 transcript:rna-LATHSAT_LOCUS26977 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWYHDGSNLEDACLRMDGAEVVWPSWRSKNLAVQPLIQPLPAALLQDRLIAMSQIARDQEHPDVTIPLQPAELRSCSATSASLSLMHGMLHDEIDSFCKQVASENMARRPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGMALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPQDVITSSAPMLNSLKEEPQCTTGEHGLQTTGMVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNYGSLLVDFLYFFGNVFDPRQMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYIVFENELTLLNGDGESCSKPSYRRFPSIFKSHLLKINSLY >CAK8541582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:267390008:267391049:1 gene:gene-LATHSAT_LOCUS10492 transcript:rna-LATHSAT_LOCUS10492 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSYFICSFSGKDQLLPSQHEGERLCQLLPNCELRKFDDSGHFLFLKGNLDLVTIIKETSYYRRGKYHDYASDFIPPTRDEVNKIIESYSLFNFITSFVMLSTLEDGKIVKGHAGIPSEGPVLLVGNHMLLALDKFSLLNRTYTKRDITVRGMAHPFMFRRLKSGRLPKVSFFDWLRVMGIVPIMATNLFKLFSSKSHVLLFPGGFHKAFHRKVNPLS >CAK8575478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:132183832:132187221:-1 gene:gene-LATHSAT_LOCUS27737 transcript:rna-LATHSAT_LOCUS27737 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNEIIILSKLSLLVTMIMSTTMVKSAPINYADALTKSILFFEGQRSGYLPASQRMTWRKNSALNDGSDIRVRMDGGYYDAGDNVKFHFPMAFTTTMLAWSVIEFGDLMGPDLQHAFEAIRWGTDYFLKATNDPNVVIAQIGDPNSDHGCWERPEDMDTSRKAYVVTTSKPGSEVSAEIAAALAASSIALSKNDGRYSSALLDRAKQVFDFANNHRGSYNDSIGDGVCPFYCDFNGYMDELVWGAAWLYRASNDQTYWNFVQSNIQSLGPSTEFGWDSKHAGINVLISERVMNDSSNQNPFIPNADSVICTLLPNSPTIAVKYSKGGLLFKLGSSNMQHVTSYSFLLIVYARYMQVNQKTVNCGSVVAKPADLIDLAKSQVDYVLGNNPLGMSYMVGYGEKFPQKIHHRASTMPSIDVYPNHIGCRDGDKYFELQSPDINQLTGAIVGGPAEDDSFQDSRFNVSQSEPATYINAPFVGVLAYFKQRNP >CAK8534092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:693010061:693011947:1 gene:gene-LATHSAT_LOCUS3662 transcript:rna-LATHSAT_LOCUS3662 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKCTSFIEELHTRFSSKHASTSVPVHLPEDVLAEILIRLPVKILVQCRFVCKSWAKLVTDPIFINKHLHLNSPTRIIFSDRQQVYPISISLPLNPNSNSVLNTQRLRPPLCPHAFQVKSYCNGLFIIIKDEGPIILWNPSISRHRVLPVPALPPSENHCSRRFLRDICAIGYDSLNDVYKIIVAPFSYGAWNVEVLSLNSNSWRKLPDAAEYPPYHVEYFRPHQQPLSINGAIYWIADDHFSDDHFPVIVRFDVCQERFSRVPPPWCDTRRGIYWIGDINHSLCTLNYDDESCTHIWSTQDDFNWVKLITFSKIVEPNPQSQTSFLYYAPLCFNENGELLISVRGAGCSFDRRRGLVVYDPKEQSYRRFLLEDNTHWMEETVYSDSLVFPNEPLDKTCSASSSGSFKQMMLNFSHTILSHLVCNATSRKRLL >CAK8530831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53950813:53952184:-1 gene:gene-LATHSAT_LOCUS667 transcript:rna-LATHSAT_LOCUS667 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIMNIGIVLVVLVLSFGIGNYAQQVPCYFIFGDSLVDNGNNNQLTSIAKANYAPYGIDFPAGPTGRFSNGKTSVDVIAEQLGFNGYISSFASARGRDILRGVNYASAAAGIREETGQQLGQRISFRGQVQNYQRTVSQLVNNFGDENTTANYLSKCIYTIGLGSNDYLNNYFMPQIYSSSRQFTPQQYANVLLQAYSQQLRILYNYGARKMALFGVGQIGCSPSELAQNSPDGRTCVARINSANQLFNNGLKSLVDQFNNQFPDARFIYVNVYGIFGDIISNPSGYGFRVTNAGCCGVGRNNGQITCLPLQPACRDRNGYLFWDAFHPTEAGNTVIGKRAYNAQSASDAYPFDINHLAQI >CAK8575406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:110930361:110933777:1 gene:gene-LATHSAT_LOCUS27675 transcript:rna-LATHSAT_LOCUS27675 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGEFSSRSFVSQKWTLFLCLGSFCAGMLFTNRIWSIPEQNGLARPTAAETGKLDAVTEGCNSRILQEMEMRHENRDMYGDDFKGHNSVQALDKTISSLEMDLATARAVQESVRSGAPVSEDIRTNESSGKRKYLMVVGINTAFSSRKRRDSVRATWLPQGDKRKKLEEEKGIVIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLNHVEGYLELSAKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGETLVRHRSKQRIYIGCMKSGPVLSQKGVRYHEPEHWKFGESGNKYFRHATGQLYAISNDLATYISNNQNVLHKYANEDVSIGAWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSASF >CAK8575707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262592584:262592847:1 gene:gene-LATHSAT_LOCUS27947 transcript:rna-LATHSAT_LOCUS27947 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDPNELLTKADKLTKLSLTGWSADWKTATGLYEQAAIGFRASKNHEKAKLAYEKASQGQEMLSSPWDAAKHMESAVALAKELSN >CAK8541203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:88741913:88744727:1 gene:gene-LATHSAT_LOCUS10139 transcript:rna-LATHSAT_LOCUS10139 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSVSLELTYEFLGWFAFIAWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNASLYFSSAIQNQYFQKYGYDQMIPVAANDVAFSSHAVLLTAVALFQIAIYERGSQKLSKISIGIVSVVWLTAAVCFFVALSDHSWLWLLNIFNSIQVLMTTIKYIPQVSMNFLRKSTDGFSIGNILLDFSGGIANYGQMVVQSIDQDSWVNFYGNIGKVLLSLASVSFDIIFIIQHYVLYPAKKHSKLGTTGDDEDQIREHLVRPSDESPPENA >CAK8566209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391353996:391356180:1 gene:gene-LATHSAT_LOCUS19362 transcript:rna-LATHSAT_LOCUS19362-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATCFWFQALFLLALVWFFSYGSVDGASSTVKVGNISKVEDAVNFHIYYGQTFKVIKNAVDGQSYLLLQNNSRIASRTKYCTSRIKSFVIPLSNFSVDTDSFPVSFLEHLGLLESLKGVTSDTVASPCVLKLYKEGQIEMLNKSDYQMISQFSAHFFSDTDQHSACNFATTVPFSEDTPLQRAEWIKFIGAFANVEARANQVYATVKQNYLCLAEIAKSRTSFKPTVAWMKYNNGVWSFTKEAYYLKYVEDSGGEVLDANKNTYNVSDPDDLEELHAILCTVEVVIDETLTSDPLNYTLSTFIQNLNVEDRSCFSFLTNTSLWRYDKRIQTSSLDWYNGAVSQPQLVLADLIEVLFPTGNYNITYFRNIVKGETPINIGPEMCDRETSSTLDPTIVPCG >CAK8566208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391353978:391356180:1 gene:gene-LATHSAT_LOCUS19362 transcript:rna-LATHSAT_LOCUS19362 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMVMDSATCFWFQALFLLALVWFFSYGSVDGASSTVKVGNISKVEDAVNFHIYYGQTFKVIKNAVDGQSYLLLQNNSRIASRTKYCTSRIKSFVIPLSNFSVDTDSFPVSFLEHLGLLESLKGVTSDTVASPCVLKLYKEGQIEMLNKSDYQMISQFSAHFFSDTDQHSACNFATTVPFSEDTPLQRAEWIKFIGAFANVEARANQVYATVKQNYLCLAEIAKSRTSFKPTVAWMKYNNGVWSFTKEAYYLKYVEDSGGEVLDANKNTYNVSDPDDLEELHAILCTVEVVIDETLTSDPLNYTLSTFIQNLNVEDRSCFSFLTNTSLWRYDKRIQTSSLDWYNGAVSQPQLVLADLIEVLFPTGNYNITYFRNIVKGETPINIGPEMCDRETSSTLDPTIVPCG >CAK8571856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483665276:483667403:1 gene:gene-LATHSAT_LOCUS24479 transcript:rna-LATHSAT_LOCUS24479 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYGISLDGEDANGCFLCDDIMPGALHRFLSRFVKIQHDGGSEASANAADKEKGEASANAADKEKGEASANAADKEKEPASVKVSQKRKGDDVVLRSCEADAKNKDILKEEASEAVARFFYNNAIPLKLVESKEFVDMYNMISRLGVGYEPPSADEIREKYLTKVGKSTDKVLEEHRAVWKTRGCTIMVDAWTDNKRTILNLFANSLKGKYFLKSIDASHMLESETPYELFNMMDDIVEEVGEENVVQIVTDNTPFYKAAGEMLMEKRTRLYWTPCVTHCIEMILEDFKKKIPIYGNTIAESKIITTFIYSRDSIVSLLHSFTYGIDLVKTSITRCASCYLTLDCLYENKAALKKMFKSKGWKSSEFAKTRVGILVEDVVFDNEFWKNVLICLNGANPLIQVLRLVNSIGEPATGFIYEAMEQAKEEIRSKLSIESFMPLWKIIDERWDNQHLNPLHAAGYFLNPQYQYCIGFRDDNIITHGLHHCITRMAGSPEERTKIEIQLDDFERRTYLLGDPVAIMTAGYEIPTVWWADFGGGLPELQSLALRVLSSTCSSYGSESNQSAFKMVYPKRRNLLRQESDNNAVFVMVNSKLGEKRQARRSVELSLDDNGDDEGLDADHLEYEMISDLHGEYANGDEDQIEACWC >CAK8542052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:461552851:461559785:-1 gene:gene-LATHSAT_LOCUS10926 transcript:rna-LATHSAT_LOCUS10926 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRYHIRSAHSLADPELHRAADKDDSEALLEAVAMSGLVGFLRQLGDLAQFAAELFHDLHEEVMATAERGHSLMSRVQQIEAEIPPLEKAFLSRTHHSSFFTNGGIDWCPNLRSEQNLVSRGDLPRFIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYSDPSFFKAEPASSVSVTATVEVHRERKIRKVKQKKGEWRRDGETPGAVLSHSKLHQLFLEERIENVCSDPARLVKLKKRQFDGSAVEAKSGRSYMEEILEITSPDHKMACETSINPLPVKLMSNDTSETGIEILEINDISHMRRSIENGKTHSSSNEQELELNSSSEVGRKTNGYLVKEPEQISSGGTGEVSSKHLKVPDEAELVDDDGQNKSLLVKTNGYLLKEPEKISSGGTGQVSSKHLKVPDETELVDDDGQNKSLLVKSNGYLVKEPEETSSGGIGEASSKHLKVPDETELVDDDGQNQREGSLDCYHSDDTASEVDDYMDALATIDSELEIDNECGPKKSLLNVQKVIDSNGEEEHQLQAPFSDSQSFGDSSLSEEMSSFEQDRSEENNEVQAQLPDSRSAGTSCASDDDNSSFRKDRTEEHTQLHAQLSDFQSIGNSSPETENMLSNQLPQTGELKKNYDECVTHDDAHDLGGEISDSEPVSSGSRPVDSGCLLLSSDHGATALSDKMPHVPVERHLRLEDDEDAISLIKDNNLPVVYFDNNSLNNLDVCNPHVHSHTTLQVSNDLNLAHEGECGDHSDIKVMQDESHNEYCSEISTVGDIGSRGENPICLPMELDLNLGTKMQLDDWDLQSDDDIKAMQLDSEDLFPVVETTVENSFAEELFSDFIQGNPLDGPHSVEVKTLYSDYLSNFEEVPKIMLGDERNGSTCSLDQVEDDDLINHPPCPNYILQDDDIMVNDMFPVKVLSKNLAVSPIPSLDNTETDASVVNCQASNFISSPSMNPPNLLESLPASPDSNRMEMESNEVELTKISIDLNAEKRENQLEPFSYMTSPVSSLTNLEESISTFEDSHWKNLEVSEEVARDSLTELTSHLVVDQLKIASTDELLSLNRSDSSNSSVCNNQHSLLKDKDQDGSSLNDMKMVTQCSELDSQDSESTIVRKNDLQNSKDSFSPPSYNQLEPETHLEWTLKPRVVQHDVGFLLKNEEKCTSSKFEPQPMPISNHLEGERINCVASEFSAEVHLKESSDGSASKSSDQKINSSKHFTDPLKPLLPNLFPKATKINLEETPPMPPLPPMQWIMSRAQNASLVSEREEIGVSHVLFQPVQPVKPDYNSQIDLSTSERVTLPYQNPFLPAVAVESNNCLHSSGLSAGISEHPVAIPLQLPVMVNEANGRHNYQVLERSQIHNPFLALPMLSYGWLPHGRVKASEGESILKSNPCPPIHLTECAVFGDDPSDQQETLPQFKSQLMENTSLEAKDDNLGESVLNSSSCPPILPAECAVPGADTIFQQEKQTHSSGQIMEDTCFEAKMDSPEESHSVLPSECPVSGGDPISSNEQHSHSPNALMEETVLEFTTLEETSIHLERDQGDHIVSPKSPSPSVEIVQPNHGLLPSEGDVTLSLDTSSQSSEFDNQIPNGKSKKLPPPQNHLFDVVAALDKSRLRKVTDRVRPPIAPKVDERDSLLEQIRTKSFNLRPAVVTRPNIQGPKTNLRVAAILEKANSIRQALAGSDEDDDAWSDS >CAK8536862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29888067:29888988:1 gene:gene-LATHSAT_LOCUS6189 transcript:rna-LATHSAT_LOCUS6189 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTSTSSNTPQQKHEVFLSFRGEDTRYTFTSHLHATLTRLDVGTYIDYNLQRGDEISSALLKAIEEAKLSVVVFSKNYGNSKWCLDELVKILECKKKNGQIVLPIFYDIEASHVRNQTGSYAEAFANHERRFQGNMQKVQKWREALRDAANLSGWDCSVNRVESELLDKIAKDVIEKLNRVYVGDLDYQIGKLEQLATLQYQFYHSIITVDNLNKYNATVKRITELKMERSLRLLRMSPEMLSHLG >CAK8540595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11894617:11898676:1 gene:gene-LATHSAT_LOCUS9587 transcript:rna-LATHSAT_LOCUS9587 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKKSKIMQKIDTDSDEYDDVMSDEEVNNDSEMEEEEEVEEDEDDGTDGEEEDEDEGEDEGEDDGTDEEEEDGTVKKSEWNHDEMEELEKQYRDLHHQEQQLNILKNLKHHKDEDLLKGQAAKTQKALWYKILELRFLLQKPFSSSNRLPEESVKASFCESDETVRVAYADLITSSKETLNSMLELQEALIAKNPSVTQAINGSEKSSKDLEVSYDMDDNLDQEWSQVSRMHNSIASFRDKSINKWQRKTQVTSGAAAIKGKHAFNQDISNQVAGYMRDPSRMVKQMQLSRSAVNVFGSVSKISQSSDEAVRHADGDPELLDDSEFYQQLLKEFFETVDPTSSEKAFYALKRMQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPQPANIPPMAPMLFENLFGLKTQRSSAAAS >CAK8541879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420168879:420170189:-1 gene:gene-LATHSAT_LOCUS10769 transcript:rna-LATHSAT_LOCUS10769 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPSNKFLHIAVFPWLAFGHISPFFQLSKLIAQKGHKISFISTPRNIKRLPPLPPNLQPFINFVELPLPHIDQLPENAEATMDIPPHIVPYLKKAFDGLQQPLTLFLETSTPDCFIYDFGPYWLPPILSKLGVLTIYFSIFSAFGISCIVEVFVQKSNEEENIISNVHYEQNESGVSDMYRVKGTLFCADFIVVRSCMEIEGKALESIENQSKKKVIPVGLLPPSLEFSEEKKDENWDTILKWLDKQEKQSVVYVAFGSEVTLSDDEFTEIAKGLELSSFPFLWIVKNHDKHDWFVENDSNKNGLIWNNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPLIMLPFHNEQGLVARLMEEKMVGVKVDRNDEKFSRDSVAKALRLVMVEAEGKTYRSKAEEMRKIVGDKELHQKYIDKFVDYVELNIPASKH >CAK8574531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1726121:1728503:1 gene:gene-LATHSAT_LOCUS26870 transcript:rna-LATHSAT_LOCUS26870 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAVPFWRAAGMTYITYSNICANLVRNCLKEPHKTEAISREKVHFSLSKWIDGKPEKPTLRSDTPDQ >CAK8567424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513515986:513519831:1 gene:gene-LATHSAT_LOCUS20478 transcript:rna-LATHSAT_LOCUS20478 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTNENENEEGKTPYTVEQQEQDDDDEEEEVWDDWEGDDGDSDSDFLCLFCDSNHDSCTSLFQHCTSIHHFDFHALRDSLNLDFYASFKLINYIRSKVSENSCWSCGLAFQSKHDLQNHLHDVIDSNAIKPLWDDDRYLKPFMQDDSLLYNFGEFEEGEDEQTLIMDEDLVRDLINALETNDVDEDLVRDLKNALETNDVDEDLVRDLKNALETNDVDQDAVKNLVVNDHAYDDCKRKETASVSSEHSNLPSSSAKELVNGNYSRGCVSSIDKDPEEGSLMGNPHSHIAKHIKKVNESYFGSYSSFGIHREMLSDKARMDAYGQAILKNPSLLNGAVVMDVGCGTGILSLFAAQAGASRVIAVEASAKMAAVASRVAKDNSLLLNKNEARVNGNQKGVVEVVHGMVEEIDKIVELQPHSVDVLLSEWMGYCLLYESMLGSVLYARDRYLKPGGAILPDTATIFVAGFGKGGTSLPFWENVCDFDMSSIGEELVTDAAGYPIVDVIDHQDLVTSSTILQTFDLATMKPDEVDFTATASLEPKSSTSENGKTHLNSKTCCWCYGVVLWFDTGFTSRFCRETPAVLSTSPFTPKTHWSQTILTFREPIAIGSGKDNARKPETIGTEVYPAAKIDLCVSIVRSTEHRSIDISMEAAGVSPDGRRRSWPAQFISLQ >CAK8574150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665817967:665818866:1 gene:gene-LATHSAT_LOCUS26523 transcript:rna-LATHSAT_LOCUS26523 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEILGFGFFVIGLWHFFNHTKLHFLSSSSLKSYPSTLWFPTKLSRYIELHFIMASCMIFISMELFISPLHHQPFDPKDGTIPSNHLHNFEHSSMALSFLFYATFAIILDRKITIPKKTQNDLTHLLAAIAFAQQFLLIHLHSRDHIGVEGQYHYLLQVLIFICFSTTLMGIGFSRSFLVCFVRSVGIIFQGLWLMFMGFMLFTPGYQPKGCFMKLEGDQYVVRCSDKEALHRAVSLVNIHFSWFLIGVTVFAVSFYLIMALCYGEKTVEYVSLMKEEHFDVESQCQKITANKEEQT >CAK8566417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420936147:420938074:-1 gene:gene-LATHSAT_LOCUS19549 transcript:rna-LATHSAT_LOCUS19549 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSDGVHAPLLTGCNSAAGSGSIPGAVLNVATSIVGSGIMSIPAVLNVLGVFPSFTLFLIVAVLAEVSVEFLMRFTHAGETTTYAGVMKEAFGSAGAFTAKVCIIINNFGALILFLIIIGDVLSGKKSGDEVHLGILQQWFGIQWWNSREVALLITLVLVMFPLVLYKSVESLKYSSAISTLLAVAFVVICSGMAIVALVQGKTQTPKLVPRLDYRTSFFDLFTAVPVIVIAFAFHFNVHPIGFELANPSDMKTAVRLAIIFCVVIYFIIGLFGYLLFGDSIQSDILVNFDQNADTAVGSFFNSLIRISYAFHIMLVFPVVNFSLRTNIYELFFPKKSLLATDTDNKRFVILTLVILIFSYLAAIAIPDIWYFFQFLGSTTALCLSFIFPGIIVLRDALGISTRKDKIIALVMIILALVTSAIAISTNIYHALGSKS >CAK8566348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:411133536:411136518:-1 gene:gene-LATHSAT_LOCUS19483 transcript:rna-LATHSAT_LOCUS19483 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRSELVFAIFLCYGTLSSTATATAVCPTESLLDSVLGFPGSTCSLSDSLGSIRYVGVTQGDEASLQKALNMVHKNYHEYVAVLFYASWCPFSRVFRPVFSVLSSLHPSIPHFAIEESSVRPSTLSKYGVHGFPTLFILNSTMRIRYHGSRTLGSLVGFYNDVTGIRIDSLDQLSLEKIGRTSDDENLSDTEPESCPFSWARSPENLLRQETYLALATAFVVLRLLYLFSPTLLICIQYAWRRVIQNVRLWTLLEHPLVYLKRIIQSIYRLKEPCKRSNLQEGAMNAKAWASKSLATVSIGEESTSRVMHQ >CAK8562587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512551600:512552136:-1 gene:gene-LATHSAT_LOCUS16073 transcript:rna-LATHSAT_LOCUS16073 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLYVKLHDCGQLVEWKNLVYGNNARPRANFILWLACHGRLATKDKLLKYGMIDNNECWFYAKEETLNHLFFECESLKNVWKEILRWAQINHTPGSWHSKVKWLIQQTKGKGVRAAVIKMEISETIYEIWKARNNKNFGETNEITTIGRNVIDTLVYRGWNTKKLIKYIAILMIEGG >CAK8538768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495536414:495540649:1 gene:gene-LATHSAT_LOCUS7931 transcript:rna-LATHSAT_LOCUS7931 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLCLVSFSLLYYNGGVVMASTESSSGSKNLDQTPTWAVACVCTIFILISFVLEKSLHKIGMWLGERQKRALLEALEKVKAELMILGFISLLLTFGQTFIVKICIPANVSHTFLPCSYDSTQHASNEAEHHRKLLFYEHRYLSEDATPVQCKEGKEPLISANGLHQIHILIFFLAVLHVLYSAVTMLLGRLKIRGWKAWEAETSSHGYEFATDPSRFRLTHETSFVRQHATFWTKNPIFFIISCFFRQFYRSVDKADYLTLRNGFIAVHLSPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASFVVFLLLNVNGWHAIVWASLIPVVIILAVGTKLQATLTKMAIEITERHAVIQGIPLVQGSDKYFWFGRPQLLLHLIHFSLFQNAFQITYILWIWYSFGRENCFHADQSIAIVKVVLGIGALCLCSYITLPLYALVTQMGSRMKKSIFDEQTSKALKKWHNTVKKKHGHKHGKSSVRTMDGSTTDSTVHSISPTLHRYKTTGHSARVVSAYDDDRDDYHSDIELSPTSPRSNLLAVRVDQVEQDAKENDHQTIIETIEQQHQTIIETIELQPTIRGSGSIVKRDHPEKSAN >CAK8534998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801365095:801366520:-1 gene:gene-LATHSAT_LOCUS4485 transcript:rna-LATHSAT_LOCUS4485 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARGPPKRIRRNRQSPNILTRSSTSSSNSRHTNGVVTEDNPAFPFQPVFNIQAPNRNESSLESANMGFQRYYNETVGIRFINPFSSFRQQHRRYDLPTISMHGVPPNPPPGAMIPPQDMAILNGEVENQLDPYRGMRLNIEEMSYEELLALGENIGSVNTSLSEETITALLKTKEYTPKPIIINLEELPSDDQESDACIICQEEFESKENVGILACDHVFHVGCITQWLLIKNKCPICKSEALTPTKVE >CAK8570682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:87002355:87005592:1 gene:gene-LATHSAT_LOCUS23417 transcript:rna-LATHSAT_LOCUS23417 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRSGAAVIDGTVKSTATRTTTRSITPLTTSRKLPSLSSNPLSSSKLEKENNNPRRSTSRGPTSNPAQKPVTRPIARVDRRSSSSFSSLPRGRSPSPSDLSQTRRISVDRTVKDSTHLKSRKDSNAKSTNYLRSTEKVKAFATTESNGPRVEENTTNGNNNNNKYQSKLHEKLAFLEGKVKRIASDIKKTKEMLDLNNPDASKVILSDIQDKISGIEKAMVRVVVSNDENSNTNTKKEVSIVDGVVNDVNGVECLKQIKANSLVKGLNNDELEARLIPHHKLIRDRTLIKDSSMKANVGIEENVRSSVDENSIAFEFLATLDETNGYPCDGIEKEKSGCSSNNQQCDIDMLLEADEKLEEFDDQEKENIKNTQEEGEFVGDEIDEAFNFKLNGIGSKIAAAGWFVSEGEAVLLAHDDASCSYYDIANSEEKSVYIPPPGVSPSLWRDCWLIRAQGSDGCSGRYVVAASAGNTMESGFCSWDYYTKEVKAFQLESGTSFSRTALRPLPNNIRRNSTTSTLLSAEASQWWYKPCGPLVISTCTSQRGMRVFDVRDGEQVMRWEVPKPVVTMEYSSPLQWRNRGKVVVAEAESLSLWDVSSLSPQALVSVPFGGKKISALHVSNTDAELGGGVRKRVSSSEAEGNDGVFCTSDSINILDFRQASGIGLRIPKHGVNVQSIFSRGDSLFLGCTTSNPTGKKQPSSLIQQFSLRKQGLFSTYAFPESNVHSHYAAVSQVWGNNDFVMGVCGLGLYVFDATKDDAASRVLSMDYDTNNGENFREVVGPDDLYWPSFDYMGSRSLLISRDRPAMWRHLIV >CAK8533166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586425754:586430017:1 gene:gene-LATHSAT_LOCUS2813 transcript:rna-LATHSAT_LOCUS2813 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGCLMQPFCYASGISNEANENNTNHALGQSISFGRFMTETLAWEKWSSFTQNRYVEEAEKYSKPGSVAQKKAFFEAHYKKLAAQKAAAALLEQEANNAAAQNNVAEQQENNEKVDESPKYEIVVKEEQDTNVLSNDVKEEQDTKVSESNKLEEDVLRNSMMVELRKKIENVDTLEKLSEKLSGTTPIMTPVLKDVSNYDQEVLASTSKKKPPVSSYKLLKANHGTSKFTTTTPVKSTSPISFKIDSFATPTMSNNKPSALSNADKKRSTPKKVNFTPIREFNRLTASVRRRFESTKVGSSSSSSKVSKDSLTPLRTSTMASKEMQKHSTLTPLTENKRNKTPIDSSASRNHTSGPKWRLLSGEKKTRSPIISSPFSLRTEERAATRKKKLEEKFNANEVQKVQLHTKLKAKAGTEIRKLRQSFCFQARPLPDFYKERKASNIETRKVPQTHSEPPNVGRYLTTSMAECKTSLTPNKSSLKNNSTKNFMGKGGGHHHTLTNHHPQTSNSMKIITTHENASPNIQHRSQNGRIYK >CAK8564918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15625058:15627907:-1 gene:gene-LATHSAT_LOCUS18169 transcript:rna-LATHSAT_LOCUS18169 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFVLQELGQFVIKESCKFAVEERASVAGIERDFNDIKDELENIHAFLKDADRKASDDGGGGGGGSNGVKTWVKQVREASFRIEDVIDYYNMYLAEKASQSIFKSAIQMIPGLNTIMNTDHQIVSEIRDIKMSLARIKERSTRFEFRSDNEAGSHRGTKAPRIGDPRMAPYFIEETQVVGFESARDELVRCLVEGNKELMLVVVFGMGGLGKTTLAKHVFDNQIVKSSFDCRSFITVSQTYTIRELLTEMIKKFCKDSNEPIPRGLQKMDDEALINQVRQYLESKRYLVLFDDVWKDNFSDEIVHALISNNKGSRIIVTTRKMQVAEYSKSSFPVHVHELQPLSPNKAWELFCNKAFRGRCPTELEEMSREIVQKCGGLPLAIVAIGGLLSTKAKTMFEWEKVSRNLRMELDRNVHLTSLVKILSLSYDDLPYRLKSCMLYFGIYPEDYTINRKRLTRQWMAEGFVRHEEGRTLEEAAEECLTELIQRSLVNVSRGGFDGKVKSCQVHDLLREVIIRKMKDLSFCHLCHKDDEQVTVGITRRFSIAAISNNDVTNTSNSGIRAIFVFDKGESPKKLMDGLATKFKLLKVLDFENSLLNSIPDNLGNLFHLRYLNLSHTQVTVLPKSIGMLVNLETLDLRQTPVHELPKEINKLTKLRLLPAYYRKYEGHYAMLNFTIGVKMQKGIGSLKSLQKLYFLEADHGGNDLIQELKKLKQLRKLGIKHVRGEYGNALCEAIQEMDHLESLNIGAIAKDEILDLDLESAPTYLRVLNLKCKLTKLPEWIPNLKYLVKLRLGLSNFEDDPLDSLKNLPNLLRLNLWDDAFSGKRLHFQKGGFHKLKELDLTRLSRLSSVSIDKDALHCLEHFRFNNNPQLKVVPQDLQNLKKLQFLGFADMPVELVDSIDLEKDGPCHWIINHIPLVLIRQKVGQKFHDYELRPIPTQLNI >CAK8533051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:572210947:572212418:-1 gene:gene-LATHSAT_LOCUS2703 transcript:rna-LATHSAT_LOCUS2703 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEDDMSVKASSFMLFGKKIPVPDYHIPASSQIQANSTTMKSKNSSNDEDESKVTTNTVEDTMETSSKEQDKVLKKPDKIVQCPRCKSFDTKFCYFNNYNVNQPRHFCRSCHRYWTAGGTMRNVAVGAGRRKNKHITNDNGIVLKFGRENGSLDESRTSMLNLMNQKRNVDAGGGNNCRENGDEESSLCVSSVTNGFTRGNELTEAEQNRSKQLQSYPSAPWMIPVNQRWNNYTSMVQSSMQMCNPFGIDPNAMQWCHAPMVAVTNIFTPNIGLQFVPGSYGNGNGNVSIGSNGCISPSSSITSNSFCEGNGSTIPSKHTRDSVLMDEEKS >CAK8543864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645254530:645255115:-1 gene:gene-LATHSAT_LOCUS12589 transcript:rna-LATHSAT_LOCUS12589 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVILILSLSMVLLSSVAMATDHIVGDDKGWTVDFNYTQWAQKIVFRVGDNLVFNYNPSFHNVFKVNGTLFQNCIFPPENEALSTGKDIIPLKTEGRKWYVCGKGDHCVARQMKFVITVLSEGAPAPSSPPPSSTGHYVVSSVFGVVMAAMVAIVTIFA >CAK8543510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613284341:613286360:-1 gene:gene-LATHSAT_LOCUS12264 transcript:rna-LATHSAT_LOCUS12264 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVKKLKSESTELPDCVISHIFSMLTLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDYNTMPELPKTLPLLREAQSQFATILDNFMQKYPGDTISSVRVNFPLGVDHTYAIDGLIHNGVLKGANRIELLFAYKTKIQIEPYKFLFPLLSGPNSLTYLHLQNCHIAATMEFSGLKNLRTLVLTLVPVEQNMLQDLCFSCIHLENFTLNQCLFLSDLKITSPTLLHLNIHCGGIRSRTMARKIDIIASNLLSIEYSSKWNYSYRLHILNIESHTLSQFNYRCNKISNLVNLSGLNNVTSIVLDDVSDGLCEDLKSNVITNLFSKCLQLEDVTFKNCYFKCDMKIMSAKLRHLSIIDCYYPNEGSYKIDIDALNLSSFEYRIRTCMRPIISVEAPKLLKVFWDTGFREINNFGTFARLDHVENLTMNVGRSQISALKKGLVRLQNLRQLELFIIGAYNPTMDYFWILDIAMASQHLQKLSLRIRNGHKKISHNVGSQRQRRRKYVRFFHNGLKYVELHGCVCSTNVIELATHLLRRATLLKQITFSSRQNYYIGAGTWTKASDDGCCWFDRNLIHERLKDEVNEQCRLVIL >CAK8569302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692663094:692664688:-1 gene:gene-LATHSAT_LOCUS22170 transcript:rna-LATHSAT_LOCUS22170 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGIAGAGLTLLNCFSSSASSSSTRCRTRSLTMAVSTDQKPKTTEKLSLRKSEEAFAAAKELMPGGVNSPVRAFKSVGGQPIIIDSVKGSRMWDIDGNEYIDYVGSWGPAIIGHADDQVLAALAETMKKGTSFGAPCLLENTLAEMVIAAVPSIEMVRFVNSGTEACMGALRLARAFTGKEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPFNDFAAVEKIFEDNKGEIAVVFLEPVVGNAGFITPKPDFLSSLRKITKENNALLVFDEVMTGFRLSYGGAQEYFGITPDITTLGKIIGGGLPVGAYGGRRDIMETVAPAGPMYQAGTLSGNPLAMTAGIHTLKRIKEAGTYEYLDNITGELVQGIVEAGKKAGHAICGGHINGMFGFFFTEGPVYNFTDAKKSDTAKFAKFFWGMLAEGVYLAPSQFEAGFTSLAHTSDDIKKTIAAAERVFREI >CAK8567837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549811726:549818310:1 gene:gene-LATHSAT_LOCUS20857 transcript:rna-LATHSAT_LOCUS20857 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEELEDKDSSEKGRESIDRTERSYRKRDEIDDGAELKRSKRSSGDDDEHVSRKDRDRDDDRDKERRRSGRDRDRDRDGERSSRDRDRDRGLDRERDGDREKDRRDKDRERERREREKEKEKEKERERSRRSKSRSEREERERSRRSVSRSERDREKEREERERSRRSVSRSERDREKEREERDRSRRSRSRSERDSVRERDFDMRDSRRLREKKEAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTTTGAAGVVGPYGAVDRKLYVGNLHFNMTESQLREIFEPFGTVEVVQLPLDLETGHCKGFGFIQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVADHVGGQDTAAKSADFDDDEGGLALNAQSRALLMQKLDRSGIAASIGLPMNGSVPAQQAISLPIGNPGLIPALALPTQFMPTQVAEPVGTPSNCLLLKNMFDPTTETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSAGFVYLMFETVEAASAAQRAMHMRWFARKMISAIYMQPQVYEAKFKGEV >CAK8578679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646504357:646506420:-1 gene:gene-LATHSAT_LOCUS30669 transcript:rna-LATHSAT_LOCUS30669 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSSSGVRNFVYPGKQAHALLPPKSPFPSISQAYADYVSNPTVGARPVNKPREGNTHHQRTSSESHLIEEQPSWLDDLLNEPDTPVRKGGHRRSSSDSFAYIDTLNAPNVNYADHDEYKYKNFLSIPAWSPPDFDRNKDARHVPMYSEMNAAKQKNRSWDSFSNMSGAPSGKDSVAFQRSGSPTPCTLYEADRILPTANENYDSVESGLQDIRSSFERKDGLHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQSLQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQLIKYLEQEVLEREIGRLRGMYQQHLQQSHQPQQQQQQQQPSGSHRRTNSRDLDSQFANLSLKHKDTNSGHDPSNGALRI >CAK8565690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307858934:307862178:1 gene:gene-LATHSAT_LOCUS18880 transcript:rna-LATHSAT_LOCUS18880 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRVLIRILLFLVVFNSFYLCLYFIHHSSSLVSSNPIPNTFPKKSPFKRPFIEIQQTYFSKPWPILPSYLPWSKDSTFVPPRSCEGYFGNGFTRRLEVFSGDKGGWFSCWYSESLRSSVCEGGRVRMVVEKIEMAKGGESLVDVIGRSEDEELPVFRNGAFEVDGGQGFGDEGKLVVDREFLDQYVPRGEIMRHTMRDLISKIRVVGRKEFACDQWIEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPHLIFVDGHCMAQLEETWKALFSSVRYAKNFSGTVCFRHAILSPLGYETALFKGLTEDIFCDGASAQELRQKPDDQKTARLSEFGEMIRAAFGLPLNLNHVRKPISGGHNVLFVRREDYLAHPRHGGKVESRLTNEAEVFESLKSWASNYKGCKINLVNGLFAHMSMKEQVQAIQDASVIIGAHGAGLTHIVSALPKTVILEIISSQFRRPHFAYIAKWKGLEYHAINLDGSYANTETVINALVSIMKSLQC >CAK8544282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675930763:675931445:1 gene:gene-LATHSAT_LOCUS12975 transcript:rna-LATHSAT_LOCUS12975 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWRIGDGSKIKVMSDPWLRGVGKVWANAPQNRDAYNISVKDLMLNSVKIWDKYKIKHLFTRDVAKAILEVPLLEEVGEDGLVWKEEQSGIYSVKIGYKILKGELRERKDLNVEGE >CAK8578467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630870084:630870596:1 gene:gene-LATHSAT_LOCUS30476 transcript:rna-LATHSAT_LOCUS30476 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQQRQYNIHKAFLFCNYILLSASSSCIFLTLSLNLFPSICGFFLILLHAFTIAGAVSASASSSLTTITRWYSAHMVVTVLTAIFQGSVSVLVFTRTEDFLAELKSYVREEDGSVILKLCGGLAGVIFLLEWVVLTLAFFLKCYSDEDGDGGTMRSGKVQSEEELKTWP >CAK8565357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:149900766:149901161:-1 gene:gene-LATHSAT_LOCUS18578 transcript:rna-LATHSAT_LOCUS18578 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRVNRLSVEYDHGVKEFLELAESNAKKNLAPPKSDVEKSLHLVFLCPCVHCANHEPKLNKKEIMDHLICQGIYQSYTQWIWHGEVVAKSNVSQRDNVSAEMDDRLEDLMRDIGQDSFKKAHAYDTL >CAK8574132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664363576:664364577:1 gene:gene-LATHSAT_LOCUS26506 transcript:rna-LATHSAT_LOCUS26506 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNVTCREYYSYVLQIRHNDQFVLLKSGRLLQQYAVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNADNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPYIFLTMTCNPSWIEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKGVLGRVRSYMYVKEFQKRGLPHVHMLLILDTDDKLREPEEYDSVVKAEIPQHESEPELYEAVLKHMTHGPCGVLNQKSPCMKNGHCKKKDTQKNFVKKRVREMTHTRNT >CAK8569770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10447194:10451647:1 gene:gene-LATHSAT_LOCUS22583 transcript:rna-LATHSAT_LOCUS22583 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLDQTSHHNKDGKPVLVILVGAPGSGKSTFCEDVMRSSSRSWVRVCQDTIGNGKAGNKAQCLSSAARALKDGKSVFIDRCNLNREQRSDFVKLQGEIQIDMHAVVLDLPAKLCISRSVKRSGHEGNLQGGKAAAVVNRMLQSKELPKLSEGFNRITFCQSESNVKDAIDTYQKLGPLDNLSHGCFGQKNPDSKIQSSIMKFLKKVDVPVDTASKENGIRDSTSKTPGKSDPLCKDMEKIPSALDNSKLGSEEIEGQADKSSSSCYNQVSLDDTPTLAFPSISTSDFHFNHDKAADIIVEKVAEYLTKVGNARLVLVDLTHKSKILSLVKSKAVEKNIDTQKFFTHVGDITRLYSKGGLRCNVIANAANWRLKPGGGGVNASIFDAAGPELESATKEKLKTLSPGNAVVVPLPSSSPLFTREGVTHVIHVLGPNMNPQRPNCLNNDYEKGCKVLQDAYASLFEGFASIVKNQVQQNENPGKKFLEVQDQSEQRSRNHSPNTDQKSKRDADHGLEKSKKYKGSQDGFDTTFTGSRDEKIDSEHKRSDGSTRKAWGSWAQALHQIAMHPEKHKDDLLEISEDIVVLNDMYPKAQKHVLVLARTRGLDCLSDVQNEHLSVLKRLHAVGLKWAENFLRENASLIFRLGYHSVPSMRQLHLHVISQDFESKHLKNKKHWNSFNTAFFRDSVDIIDEVSNHGKATLKDDDKLLSMELRCHRCKSAHPNIPRLKSHISSCQAPFPAHLLENGRLVGA >CAK8565675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:303664614:303665177:1 gene:gene-LATHSAT_LOCUS18865 transcript:rna-LATHSAT_LOCUS18865-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMEVLLKSMYMQQNPHLSEEEVNDKMREALHNDNIPTPHSSTSTYAPAHQKVRNEDDPQDEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQDDDSHDSQYNEYDEDLH >CAK8565674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:303664602:303665177:1 gene:gene-LATHSAT_LOCUS18865 transcript:rna-LATHSAT_LOCUS18865 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKMGAMEVLLKSMYMQQNPHLSEEEVNDKMREALHNDNIPTPHSSTSTYAPAHQKVRNEDDPQDEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQDDDSHDSQYNEYDEDLH >CAK8538326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477513245:477514012:1 gene:gene-LATHSAT_LOCUS7538 transcript:rna-LATHSAT_LOCUS7538 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNSNGDDENNDKVRGSWSPQEDDTLRRLVKEYGPRNWSVISNGIPGRSGKSCRLRWCNQLSPDVQHRAFTPEEDNIILQAHAVHGNKWATISRLLPGRTDNAIKNHWNSTLRRRLTEAPLTPSPLSIGKRPPNAYDGYNDLLDLRYPYKRPCLENNGSRDGEETVTEEEDAGNDVAVITPLSLFPPGEKLLKEEKEKKEELINSNVKLLGNDNFRSFIQRMIAEEVRNYIDNMRLDGFQHGNGFAPDSTHRK >CAK8538069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461423069:461423727:-1 gene:gene-LATHSAT_LOCUS7309 transcript:rna-LATHSAT_LOCUS7309 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISTFAQIDTFHWILDMNTFVGEAYDQVTEICIFLLNSFTLPPDKALAVYVQSPGSPFVFCGAVTIARPSAVLSLPWPEPGSGSLQPQLTADAQQLSAKIGVSVEDLASLPSLDVAGEKRIERLAMKVGENLFNFMQSFCGVDGSKLIVPMDILDRWFKKFQEKAKRDPEYLKGFAL >CAK8540976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37983867:37984265:1 gene:gene-LATHSAT_LOCUS9930 transcript:rna-LATHSAT_LOCUS9930 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQEKLMHYQVEQQPSWSYYMTRVTRTMEEDEMERIMRLATQNAVVIFSISSTSCMCHAMKSLFSGMGVNAMVHELDQDHKPFMMRLLGNSTSLPVVFIGGKLVGSMDTVLAFHINGSLVPLLKHAGAWWL >CAK8566518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432279252:432282190:1 gene:gene-LATHSAT_LOCUS19642 transcript:rna-LATHSAT_LOCUS19642 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNRTVLQFSSSSSSSQSLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESVDQVALDIEYHHNMLLSHQKVNQKEIIVGWYSTGLGVTGGSALIHEFYSREVPNPIHLTVDTGFTTGGSIIKAYVSNNLSLGDRQIAAQFQEIPLDLRMVEAERVGYDTLKATTVDKIPSDLEGMEASMEHLLALIDDIYKYVDDVVEGRVTPDNKIGKFISDAVGSLPKLPPSDFDKLVNDSLQDHLLMLYLSSITRTQLSLAEKLNTAAQIL >CAK8541444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:173604191:173605746:1 gene:gene-LATHSAT_LOCUS10364 transcript:rna-LATHSAT_LOCUS10364 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQDQRIVINKWFDLRRRAIVQLLCAIVYYYVRMSRKRKLCYSMSSERERVREEIMYRISNSETSRNILRMCPKTFMSLCGLLEREGGLQATRWSSVEEQVAKSIYILTHNAKNREVNFWFRRSGETISRHLHQVLRAILELEEKFLIQPDGSMVPPEISSNYRFYPYFKDCVGAIDGTHIRVKVSAKDAPRYRGRKDYPTQNILAACTFDLKFTYVLAGWEGSASDSRIIKNALTREDKLKIPQGKYYLVDAGFMLTSGLITPYRGVRYHLKEYSARNPPQNSKELFNLRHSSLRNAIERAFGVLKKRFEILSNSTEPNYGVKAQKLIIFACCILHNYLMSVDPDEDLIAEVDAGIANQNVSNDNHQGSRSDRDELALGGVIKDSVAHQMWLNYQNNDLA >CAK8533591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639897824:639898423:1 gene:gene-LATHSAT_LOCUS3210 transcript:rna-LATHSAT_LOCUS3210 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKSECERETKEQEFNEDSIQSIMFTLGTFLLMVCLKSFLVEKWRSYVFLFLNVILLAILYMSMKPNYWSSRSLENESNVEDVKNDDKEKKMECEFSQEKEIEEDEECYKKQCWNNTSSSTSSIHHVDVENEIDEDDDEEIEEDEHVEVLSKEELNERVEAFIAMFRKHLISDDKQGENFRHHKTSNLTTKIQVSCC >CAK8534351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719941345:719941644:1 gene:gene-LATHSAT_LOCUS3901 transcript:rna-LATHSAT_LOCUS3901 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVINEQSSVDFSGNSGSRSKLLRYPLCSSNKLKELKPDASNSTNHSESKRGRNTPIVSKSVGVLDFSAKGKSSSAKLPRRLSNPVKASPTPSPNTCF >CAK8536421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940081979:940103712:-1 gene:gene-LATHSAT_LOCUS5790 transcript:rna-LATHSAT_LOCUS5790 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLLRGESSGLRNRSRGIVRRTDAITYGSNYEKAAALIDLAEDGVGIPEQLLDSSNFQSYSRFYFMFTKCDLIWSLSYFALIVLNFLEKPLWCEKNTAHSCNDRDYFFLGQLPYLTAAQCIIYEGIVLVLLILHTLWPLSYEGPHIYWKSPINLLKALCLLVILADMLVYALYMSPVAFDFLPFRIAPYIRVVLFVLNIRELRETTIILAGMLDTYLNILALGLLFLVFASWVAYVIFEDTIQGKTVFTSYTTTLYQMFLLFTTANNPDVWVPAYKASRWSCIFFILFVLVGVYFVTNLILAVVYDSFKSELVKQVFKMDRMRRAMLERAFNLLDTYNVGYLNKDQIIRLFEEVNKYRTLPKISKEEFELIFEELDDTHDVKINKDEFADICNAIALKFQKEDVLSYFDYLVFYHSPTSKRLKAFVRSTMFGYIVTFVLIVNLVAVIIETTLDIENSSAQKVWQMVEFIFGWIYVIEMLLKVYSYGFENYWRDGQNRFDFVITVIIVIGETIDFAFPDDALPFFTNGEWIRYLLLARMLRLIRLLMHVQRFRSFVATFLTLIPSLMPYLGTIFCILCIYCSLGVQIFGGLVNAGNPDLEATDLAANDYLLFNFNDYPNGMVALFNFLVSGMWEELMESYRDLTGTSWTHVYFISFYLITVLLLLNLIIAFVLEAFFAEIELESSETCDGDDKEVAGDRYPRRRALGTKTRSQRVDALLHHMLSAELCQNHPSNT >CAK8538662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491016354:491017793:-1 gene:gene-LATHSAT_LOCUS7838 transcript:rna-LATHSAT_LOCUS7838 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSFLTLIMFFEIAMVANIIKGEPLVHGLFVFGDSIVDVGNNNYLATLVKANFLPYGRDFVNHSPTGRFSNGKIASDYTSEFYGFPLHQPAYLQLVSKGNNLLSGANFASSASGYYPSTAARYNVLTLSQQLDLYKDYQKELVKTVGQSHALSIISGSIYFVVAGSGDFVLNYYVNPFLQSIYTPFQFSDVLIESYVTFVEDLYALGARKIGVATIPPIGCLPLVITLYGSYSNTCVERFNEVAMDFNKKLNITSEKLLKKFPDLTLIILDIFQPLYKLIIKPSDYGFSESRKACCGTGLFEVTFLCNQLSPGTCANASEYVFWDSFHLTGTANKHLIDALTPVLKSFIKN >CAK8574161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666595117:666599834:-1 gene:gene-LATHSAT_LOCUS26533 transcript:rna-LATHSAT_LOCUS26533 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSASLFGFREEDPNQMNQQQHSLPPSSTTAAPATAATSQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQEGPRQPPITLTGAIGSHLFGGGGSGGGGGNNNNSMGLNLSQVAPQMANMQHQQDHHSNSATEILRLGAAASRTGQFDHHNILQSTFRPNSFFNNNMHEANQNYIPDQGLMMQQNNNNSGNNSNLFNIPNFLSSNSTTNTNSSNNSFSEHLNMNNEGTNFFTGSGGTSSAPSLFSNCFPQGGGNSSNNNMNAVTAISSMSATALLQKAAQMGATSSNGNGTTATSLLKSFGNNTPATNSASSGGVTTGAGVAGASAGEHNRILQMGGGSVNYGFGGGNNEQTNLQDLMNSFAATGNASIFETGRGGLGLGSGGADSSQLTRDFLGVGEIVRNMSGVVGGQREQQQQQQNHQHAAAAFNLPGSMEGDQRNIHAAQSFGSGGRGGAGGGAGAGTFH >CAK8539176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505986256:505986831:-1 gene:gene-LATHSAT_LOCUS8296 transcript:rna-LATHSAT_LOCUS8296 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLISSKSYPREENIDLNQITLRPLNLSDLDDVMVWTTDEKVANFCSWEPHTSKDDGINYIENIASNFLWCKAICFNDRAIGCVSLFSSSPHDTCRNKTAELGYVLGSKYWGKGIATCVVKQVVKVAFTEFSHLERVEALVDVENVGSQKVLEKAGFQKEGVLRKYLFMKEKSRDMVMFSVLSDITLIK >CAK8541953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441535978:441553322:-1 gene:gene-LATHSAT_LOCUS10836 transcript:rna-LATHSAT_LOCUS10836 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKLIVLVILLLFSYSADSLSRDDFPSDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNANMYKGDGDVACDGYHKYKEDVELMANMGLDAYRFSISWSRLIPDGKGPINLKGLQYYNNLINELISHGIQPHVTLHHWDLPQALEDEYEGWISKNIVYDFTAYADVCFREFGDRVKHWTTLNEVNVFAMFGYGIGTAPPQRCSPFSIAKCSRGNSSTEPYLAAHHMLLAHASVARLYNKKYKAVQHGFIGLNLLTINFVPLTNTSGDIIATQSARDFWMGWVLNPLTFGDYPDIMKRIVGSRLPSFTKKESNLVRNSIDFLGINFYCTFSVNNYTESLKMEYIDFLPDIAAEITILPENDTSINKVLDSLKNDYGNFPIYIHENGHSTPHNSSLNDFSRIKYLHKYIGSIAKAVKSGSNIKGYFVWSFMDLFEIIGGYEESFGLYYIDLKDPNLKRTPKLSAKWYSSFLKNKAIDPKIIMEVESDASYL >CAK8572765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561629161:561629529:1 gene:gene-LATHSAT_LOCUS25292 transcript:rna-LATHSAT_LOCUS25292 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFVIFNIFELNLFSTCEADDEYGFETTPFTFLSDATDVKGYFLATLFQLELVAEKRVLKECRTFLDASPLKNCNYPTDFNKGISGAELHSYRLLNDKKMSLYTVGPFVFTSTPKSISNGY >CAK8564328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668388380:668390038:-1 gene:gene-LATHSAT_LOCUS17643 transcript:rna-LATHSAT_LOCUS17643 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDNTPISSSSSPRPSPNLAAEEPEPKKVKMSTTTSDDEQCTTAEGTKIRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGELEEALYVSGAVPEQDRGLSKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGFVERLNSNLPSQIRIFGFKRVTASFSSKKFCDRRRYVYLIPVFALDPCCHRDRETVLASLGSENELVKCLECSERGRKVEGLVGNSKRNLEIEAVNVDTNGLSDRNVVVNSGVTENVEVSLRKEGENGLNKESINNDEGKVLVEEVNSKTGLETVVSAENNSGALEEEKVNGDDTPVKKSVFTYGEKERERFNKILKYYVGTHNFHNFTTRIKPEDPSAHRFIISFDASTTVVVDGMEFVKCEIVGQSFMLHQIRKMMGLAVAIMRNCAPESLIDKALQKEVSITVPTAPEVGLYLDECFFTSYNQKWKDTHEEVSMKAYEKEAEEFKMKYIYSHIASTEHKEGTVGLWLHSLNHRNYADLRILDEEGVMNDKKSEVEVVTE >CAK8543677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630837848:630838567:-1 gene:gene-LATHSAT_LOCUS12421 transcript:rna-LATHSAT_LOCUS12421 gene_biotype:protein_coding transcript_biotype:protein_coding MTINPPPSDNEVSALENKNLGRITQIICPVLDIVFPGKMPYIYNALIVQGRDTVGQQIQRLLGNNRARAVAMSATDGLKRGMEVIDTGAALSVPVGGATLGRIFNVLGESIDNLGPVDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTILIMELINNIAKAHGGVSVFGGVDERTREGNDLYMEMKESEVINEKNIAESKVALVYGQMNEPPELV >CAK8563830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633477061:633478638:1 gene:gene-LATHSAT_LOCUS17200 transcript:rna-LATHSAT_LOCUS17200 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESQIFVASKMNFTEDSEKVKKIEVVVLSEDEGEEDYESVEEVDAGEDDGDEDDDGDEEDDDEEEEEDDAPDGGNDEDDEDEEEDGDDEVGGGECGGDGDDDDDDDDENDDDEEDNDEEDDLGTEHLVRPIGNAEEEEASSDFDPEENDEDEDEEDDEKDKVPPKRKRSNKDDDSDDDDGGEDDERPSKK >CAK8540336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553196982:553197458:1 gene:gene-LATHSAT_LOCUS9350 transcript:rna-LATHSAT_LOCUS9350 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNIRNFDLNECSVEDFSVENDYSEVIVEHFDCNIGEKDVLEGDIISSIEKENLVSSNQNVEINEFLEEVDNGGASNETNILPFVGQIFLSEEEAFVFYKRYAYQHGFSIRKGRFIKQNGIISRRDFFCHCEGKVPLKIIEPSKEQRKRETCKAHL >CAK8541999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:449523538:449527087:1 gene:gene-LATHSAT_LOCUS10876 transcript:rna-LATHSAT_LOCUS10876 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAHSAGVSPTKDDVEWEMRPGGMLVQRREAGDDDYVDGPMINISVAHGSSHHEVFLPAQSTFWDVKKMLAHKTGLKPELQRLLFEGKEKENEKYLHMEGVKDKSKLLLLEDAASKESYLEEVRKHNEMLKAFEAVAAVRSEVDKLCERVSALGVAVDGGTKVSDKEFLVSTEMLMRQLLKLDGIKAEGEAKLQRKAEVRRVQNAVDTLDSLKARNSKPFSNIGKSVL >CAK8534381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721775829:721777187:-1 gene:gene-LATHSAT_LOCUS3931 transcript:rna-LATHSAT_LOCUS3931 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKAKIIVVLSVITVIIIIIIARFFVVSKIFFLICGAGVAVIFAIFAFAFISLSHKRRRRILESQLKSESRELRIEYSFLRKVAGVPTKFRYNELEEATDGFQSLIGRGSSASVFKGILNDGTSVAVKRIHAEERGEREFKSEVAAIASVHHVNLVRLLGYCNSSSAPRYLVYDFISNGSLDCWIFPKRDSQRRPRRSGCLSWSLRYKVAVDVAKGLAYLHHDCRSRILHLDIKPENILLDESFRALVSDFGLSKLTPKDESQAMSTIRGTRGYMAPEWLLEKGISDKTDVYSYGMVLLEIVGGRKNVCLVEDEKYKSKRKWQYFPKIVNEKVKQGKIMEIVDDRLMEYGGVDENEVIKLVYVALWCVQEKPRLRPSMAKVVDMLEGRVMVDQPPATKMILVDFLCDDDDDNVADSSINISKMDSNMSIQSNVECNSSTYSLSTTVFSGR >CAK8532824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:522257467:522257682:-1 gene:gene-LATHSAT_LOCUS2488 transcript:rna-LATHSAT_LOCUS2488 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAAQTGVRYVMQNPGSYMHNNIAGFVNLLEACKSANPQLVVVWASSSSVFGERPNRPTGEPLYSDEESR >CAK8544781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705497298:705498095:1 gene:gene-LATHSAT_LOCUS13434 transcript:rna-LATHSAT_LOCUS13434 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGTCLVVLTLVCLIATTIAEQCGRQAGGATCPNNLCCSQYGYCGDTDDYCSPSKNCQSNCHGSGGESASNVRATYHYYQPEQHGWDLNAVSAYCSTWDASKPYSWRSKYGWTAFCGPVGPRGQASCGRCLRVRNSGTGAEETVRIVDQCSNGGLDLDVGVFNRIDTDGRGYQQGHLIVSYQFVDCGNELDMINPLFSIMDAKQ >CAK8578846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657999879:658000429:-1 gene:gene-LATHSAT_LOCUS30828 transcript:rna-LATHSAT_LOCUS30828-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQRVTTVKNMGKRFWGCPNYKGGMQTGCGFFDWFYVEVGDENEQFWMHRLGVVTEGIAEAKKDIEKATMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVMGS >CAK8578845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657999879:658000504:-1 gene:gene-LATHSAT_LOCUS30828 transcript:rna-LATHSAT_LOCUS30828 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSQDSDVCSRSRWKPMCHCGDMAVLQRVTTVKNMGKRFWGCPNYKGGMQTGCGFFDWFYVEVGDENEQFWMHRLGVVTEGIAEAKKDIEKATMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVMGS >CAK8562939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:559024882:559027634:-1 gene:gene-LATHSAT_LOCUS16399 transcript:rna-LATHSAT_LOCUS16399 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACKSQEEIAIEAINHALKALRKRHLLEEAAHAPAILALSRPIIIQGSEWKEKTENLELELQQCYKAQSRLSEQLVTEVAESRVSKALLQEKETVIADIQKELTELRDECAQLKSDLEQKIKEVELVVCENSELKSQLKEVTTTANKAEAENKMLIDRWMLEKMKDAERINEANALYEDMVQRLRASGLEQLAREQVDGVVRRSEEGAEFFSESNIPSMCKYRLNAHEGGCASLLFEYNSSKLITGGQDRSVKVWDTNTGSVSSNLHGCLGSVLDLAITHDNRSVIAASSSNNLYVWDLNSGRVRHTLTGHSDKVCAVDISKVSSRHVVSAGYDRTIKVWDLMKGYCTNTIMFHSNCNALCFSTDGQTVFSGHVDGNLRLWDIQSGRLLSEVAAHSLAVTSISLSRNGNIALTSGRDNLHNLFDVRTLEVCGTLRASGNKVASNWSRSCISPDNNHVAAGSADGSVHIWSISKGDIVSTLKEHTSSVLCSSWSGMGKPLASADRNGIVSIWS >CAK8578185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611493384:611494939:1 gene:gene-LATHSAT_LOCUS30220 transcript:rna-LATHSAT_LOCUS30220 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSSQQATLNDLFPINTCKPTTPTVFKGVPEVDLSHPDAKTLIVNACIEFGFFKVVNHPVPLQLMTNLENETLKFFKQTQLEKQKAGPPDPFGYGSKSIGTNGDIGWVEYLLLNTNPDVISPKSLHLLHHNPNKFRCAVEEYILAVKGVCCEVLELMADGLGIEERNVFSRLVRDERSDSCLRVNHYTACGEVEALSGGNLIGFGEHTDPQIISVLRSNNTSGFQICLRDGVSWVSIPPDHTSFFITVGDSLQVMTNGGLKSVKHRVLTDTNMSRLSMIYFGGPPLNEKLAPLPSILMSKKEQSLYKEFTWREYKNAAYKSRLAYNRLSLFEKKSVAQ >CAK8540289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549207522:549212377:1 gene:gene-LATHSAT_LOCUS9306 transcript:rna-LATHSAT_LOCUS9306 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNRDNGWRELVKNMLPPGVSVPDDASSLDYSRGSEYLGPPVSYEVPIVEPLGVKSRTIEQIPLPVSRIVGVTGSPNHSPRVSGSSESVVSVLLNPDLSSGSPSASPASVHNPSNVVSKTGINEAKRAPVVTFNTVERSQMKEVVEVVKPVYPEYVGVVKEKKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDELKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLQPEQLSINGLPLKPDEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFSGKLSTNASNGNTEVYINGREITKLELRVLRLANVQCPRDTHFWVYDDGRYEEEGQKNIRGNIWEKASTRFICALFSLPFPHGQHHGSRDETSNYTAVPKYLEQKKTQKLLLLGIQGSGTSTMFKQAKFLYGNTFTEQELEDVKLMIQSNMYKYLSILLDGRERFEEEVVSRLNGQGSPRQVMEPGSNGEASTASECVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFMEFSLDDRSPKYEAYTDNPDGQLQPQTKYQLIRVNAKGMSESCKWVEMFEDVRAVVFCVSLSDYDQLSLAPDSSGNGTLLQNKMIQSKELFETMVRHPCFKDTPLILVLNKYDVFEEKMKRVSLNACEWFNDFCPVRAHDSNQSLAHQAYFYVAMKFKDLYASITGRKLFVAQVRARDRITVDEAFKYIKEVLKWDEEKDENYYGPPEDSITDMSSSFYVRQE >CAK8535480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851732725:851734570:-1 gene:gene-LATHSAT_LOCUS4940 transcript:rna-LATHSAT_LOCUS4940 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIRKLRRHLLRWNHRSRSGSVFFVRRISYKEVRKATDSFQRIVYSNSEVSAYAANFGEGRGGVCLVKEVKDFDKGNDENFHKQVQLLGRLHHRHLLSLKGFSLGHDRKSKRLLIFDNIENGSLKEHLNDPLKTPLNWRTRLQIANGVVAALEYLFLFSEPPISHVSISSSNIMLDENFTPKLSDFGLLTGGSSVMMTQDCTGQENCKIIFQLGVLILELVTGQSSEMEELKSLLAVAKLCIKSWDKPSYTIPQLFRYLQKETDIPQH >CAK8535479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851732725:851734570:-1 gene:gene-LATHSAT_LOCUS4940 transcript:rna-LATHSAT_LOCUS4940-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIRKLRRHLLRWNHRSRSGSVFFVRRISYKEVRKATDSFQRIVYSNSEVSAYAANFGEGRGGVCLVKEVKDFDKGNDENFHKQVQLLGRLHHRHLLSLKGFSLGHDRKSKRLLIFDNIENGSLKEHLNDPLKTPLNWRTRLQIANGVVAALEYLFLFSEPPISHVSISSSNIMLDENFTPKLSDFGLLTGGSSVMMTQDCTGQENCKIIFQLGVLILELVTGQSSEMEGSDLIEWIQESRFFSSIDKMLDPDLGNNYDGTELKSLLAVAKLCIKSWDKPSYTIPQLFRYLQKETDIPQH >CAK8536182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914751631:914755358:-1 gene:gene-LATHSAT_LOCUS5575 transcript:rna-LATHSAT_LOCUS5575 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLLTKAWRQQTQSKNHTAFSPIFPRYFSRKSQRPSFGIAFDIDGVILLGNTPVGGSPTALRKLYDAEGRMKIPYVFLTNGGGIPEAKRASELSELLGLSVSPSQVLQGHSPFRQLVDRFEHKLIVAVGKGEPTSVMSEYGFKNVISIDEYASCFENIDPLAPYKKWTTKVAATQNPKFDESGSQIDVFSERVQAAFIVSDPVDWSRDIQVLCDILKTGGLPGRNVGMQPQLYFANDDLEYQTKFPSERLGMGAFRIALESIFNRTHPHSLEYTCFGKPNPSVFKNAATVLQQHVPRVYEDFNDISHKNAQHFQRLYMIGDNPAVDIRGARQTGHPWFSILTRTGVFKGKENHDKFPADLVVDTVDEAVDYILAKENA >CAK8543409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606346944:606347459:-1 gene:gene-LATHSAT_LOCUS12166 transcript:rna-LATHSAT_LOCUS12166 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTPATTKKGGRGKPKTKSVSRSSKAGLQFPVGRIARFLKAGRYAQRVGSGSPVYLSAVLEYLCAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLMGSVTIANGGVLPNIHQNLLPKKVSGKGKGEIGSISQEF >CAK8570959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:195092994:195096212:-1 gene:gene-LATHSAT_LOCUS23663 transcript:rna-LATHSAT_LOCUS23663 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSASHPCISSITNCSIPSSSSSSWKVSPSRVTSQRRFYCRAMVQIQTGAPAAYAKEMERLSAKESLLLAFKDAGEFEGLVSGKTTEYQRIDVNERITGLERLNPTPRPTTSPFLEGRWKFEWFGPGSPGLFAARVIFENFPSSLANLSKMDVFIKDGNAKITANTTFLNSIESSVILSTKLSVEGPLRMKEEYVEGILVSPTVLEDRVPEQLKGALGQAVNVLQQLPIPLRDALSNGLKVPLSGSFQRLFMISYLDEEILIIRNTSGIPEVLTKIEASPSPLGDSNPEYES >CAK8544422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683439929:683442814:1 gene:gene-LATHSAT_LOCUS13104 transcript:rna-LATHSAT_LOCUS13104 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLNSTTFNLIKTDSIFDLSERKFKVKGFPLFHDVPENVSFSSFSSICKPSESNAPPSLLQKVLAFSHKGGFFGFSHETPSDRLMNSLGSFNGKYFLSIFRFKTWWSTQWIGKSGSDLQMETQWILIEVPETKSYVVIIPIIEKCFRSALFPGFNDHVMICAESGSTKVRESTFNSIAYVHFSENPYDLMKEAYSVIRVHLNTFRLLEEKTIPSLVDKFGWCTWDAFYLTVNPIGIFHGLDDFSKGGVEPRFVIIDDGWQSISFDGCDPNEVAKNLVLGGEQMTARLHRFDECYKFRKYESGLLLGPNSPPYDPKKYRDLILKGIEHEKLEKKKEEAILSKSSDLDEIESKIKEVVKEIDDLFGREQFSSVDKSEMKSEYGLKAFTKDLRTKFKGLDDVYVWHALCGAWGGVRPETTHLKTKIIPCKLSPGLDGTMEDLAVVKIVKASLGLVHPSQAYDLYDSMHSYLAESGITGVKVDVIHSLEYVCDEYGGRVDLAKVYYEGLTKSIVKNFNGNGMIASMQQCNDFFFLGTKQISMGRVGDDFWFQDPNGDPMGSFWLQGVHMIHCSYNSLWMGQMIQPDWDMFQSDHVCAKFHAGSRAICGGPIYVSDNVGSHDFDLIKKLVFPDGTIPKCIYFPLPTRDCLFKNPLFDRTTVLKIWNFNKYGGVIGAFNCQGAGWDPIMQKFRGFPECYKPITGTVHVTEVEWDQKEETSHLGKAEDYVVYMNQAEELCLMTPKSEPIQFTIQPSTFELYSFVPVTKLCGSIKFAPIGLTNMFNSGGTVIDLEYVGNGAKIKVKGGGSFLAYCNESPKKFEVNGCEVDFEWVGDGKLCVNVPWIEEAYGVSDLAIFF >CAK8573377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606851069:606852793:-1 gene:gene-LATHSAT_LOCUS25831 transcript:rna-LATHSAT_LOCUS25831 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVFFFFLISSLFFKLSFSTTVLVDGSLEWKNPTVYIGDSIIFKHKQHYNLCIFKNQKAFNLCNFTEATLLTDPNTTSYTWHPSRVGFFYFTFYNDSLKACQDSQKLAIEVTSATSSATSAAPEASSPTATTPAPSSGGDVQSSPSFPWPFRPHQGSSPGPAPTPEASSPVTVPLVPFKGSGDGMPFINSNPAVPLPTGEVDSATINPIPTSGHQGQVMIGPFGFHVAVHIMALLLL >CAK8567659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534100023:534100907:-1 gene:gene-LATHSAT_LOCUS20691 transcript:rna-LATHSAT_LOCUS20691 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEKENIHEGVETSMSCLETSSLSSRKKNKKVENKRRFSDEQIRSLECIFESESKLEPRKKMQLARDLGLQPRQVAIWFQNRRARWKSKRIEQEYRKLKVEYDNLASKFQSLKEEKESLQSEVQKLSYMVETSHDGGREAKENSTEDGGSGNGYRNCMAETETKERFSNGGLEDRMVIYSDEQNEGSLTRTEKVDDIGDQVLRNEEIPLTALEKWYNVDPSVILDQSCSSSQWLDFWT >CAK8543766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637971489:637972562:1 gene:gene-LATHSAT_LOCUS12504 transcript:rna-LATHSAT_LOCUS12504 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHSVTNLRHTNLSLHHNRSSFPSPLSPSLSFRPLPPPPSFKFQPIKASSSSNDPAFHQPRKNLPSLPNPFQALTSLFSPVAETTCIIIAATAFFFMRFHHTPVIAATLATPATVETSAENANASVEEAEKLIEERLSENPNDSEALRALMEVKIKARKVDEAINLIDRLIEIEPEETEWRLLKANMYVYNDDHETAKKLFEEILQSDPLRIEAFHGLVMASSESNESNEPNETMKGLLQRVEKALELCKKQKKVSDVRDFRLLVAQIKVMEGNFSEALKAYQELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKQFDQFRRLIPKNHPYKEYFDDNMYGSNFFAQKFEREGAGARS >CAK8567851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550987164:550988998:1 gene:gene-LATHSAT_LOCUS20868 transcript:rna-LATHSAT_LOCUS20868 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLQKNLMLFTSSRSPLQSCTLLVRFQLSKLLSSSPIPYSHRKRHGNKEYRNVRVSVWWDFETCNLPSGVSISKVKPAVTNAVRANGIKGPVHITAFGDVCQLSRPNQEALPFSGIHLIRFLKIPKGGENSDDISRTADLMNWVSENPLMYWVSQNPPPAHLFLISGDRDFAGILHLLRIKMYNILLASPGNAPDVLCSAATMMWQWTSILKGEDLTGKHLNHPPDGQFGSWYGNSKVPLENPFWTSILKGEDLTGKHFNHPPDGQFGSWYGNSKVPLENPFSVENPFSAAEESTSSQNIHVTEINEPSSDLKVGVGLKVRSMKFSDDEIVRSTDISPKVREKYTTLGKLLAGTDHTNKHEDQPRKEVDDHSPYSSAVDDSLVDKRPDVHPETYSKRSTFFSWIKSWWPFQKSNVKADDSTFYQKKVTRLKNPSH >CAK8569557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4974512:4978483:1 gene:gene-LATHSAT_LOCUS22397 transcript:rna-LATHSAT_LOCUS22397 gene_biotype:protein_coding transcript_biotype:protein_coding MACKCKGNLNVRKPHFYEVYSSSSSFETLKIPNGFICQMEGRTCGLVSLTGPSGNTWQVRLIEQGNYLFFHHGWSTFTEDHHLEYGDLLIFRYEGDLHFTVQVFGKNACEKEAAFHSECNLNSFNLDNVEGLKRDAEEISSLDVVGGVQKKMRRDTVENQELGLSIVGRELPQCEVIRPIRMIRETEETYGGECSASATPFHAKTSNEDEAILSGASLSKLSAHDEKKIAQSFTSSFPYFVKIIKTFNVSGSYTLNIPYQFSMAHLPNCKIKIILHNLKGEHWTVNSVPTTRVHTSHTMCGGWMAFVRGNSIKVGDVCIFELIRECELRVRIAEVGKDGLDCQVGKLAFSMLRARHDVACQKTAKYMSKSPKISSKSRNKVDLSDKRLSKIGQEAVLSGRASNTSKKIGVCPKSKAAKKKLVVPRKHSVEDELSSQAKAGLRMLFALDEQRVAEAFTSDFPNFVKIMKMFNVSGSYTLKIPYQFSAAHLPADKTEVTLRNSRGQCWTVNSVPDANGRTIHTFCGGWMAFVRDNDINFGDTCIFELVSHYVMQVYISGVGKEGLDHQNGGHVKLITN >CAK8562143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446224537:446227011:1 gene:gene-LATHSAT_LOCUS15667 transcript:rna-LATHSAT_LOCUS15667 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDVAMSGLKSPVSQSHETWKEGMERNQSQVDILQEKLKEIKACIHGSEEDSKKELEVLWQRVRTTNTLLTYLKSKARIMAIPHLAHTSCGIKKLDGVGLVDKDGIPLSGWSRNVDLSSFDGKDEEESWIGISRHQGSLDEQDAVYIGEMLNSVQMVTDVMEVLVKRVLLAESETTIEKEKVSLGQEEIMRKSAQLENLSMKLEEMESFALGTNSILNDMRQRVADLVEETTRQRERAAENEEELCRVKQEFVSLKSYVSSLITVRETLLSSEKQFQTIEKLFERLVGKTTQLEGEKMQKEAEVQKLMEENVKLSTLLDKKEAQLLALNEQCKMMALSASNM >CAK8562026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:427731461:427734411:1 gene:gene-LATHSAT_LOCUS15562 transcript:rna-LATHSAT_LOCUS15562 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVQIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDKVKYEATARSWTQKYAMG >CAK8561450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:222429566:222432684:1 gene:gene-LATHSAT_LOCUS15040 transcript:rna-LATHSAT_LOCUS15040 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLASGNVRRFVYSFHRKQNNGNFEGFHSTGLPYSTYKFFSYIGVERKGHTPFMLFKSKVKLLTNSNARSLYTLPANSVKYHHSQVLWNMMRFHKGPALPPVGQFTCAVSLAIVKSNFFVHGMIAVIIGAWTQGKLAKAEPFPKRDLLYLHANDGRVYLTSALLEAFEMFILFLRAVYLLLLFTPCIAMAPLVHYLGIEFRKTWIRVVRLTLAKAGPAFIKWGQWAATRPDLFPRDLCGELAEFQTNAPSHSFSYSRKCIENAFGRKLNEIFEKFEEEPVASGSIAQVHRATLKYKYPGQQIKKPVVVAVKVRHPGVTEAIRRDFFIINLVSKISCIVPNLKWLRLDESIQQFAVFMMSQVDLSREAAHLNRFIYNFRRWKDVSFPIPLYPLVHPSVLVETYEQGESVLHFVEELEGHEHIKSSLAHIGTHALLKMLLVDNFLHADMHPGNILVRIAKRKSPPAVQLFKSKPHIIFLDVGMTTELSKRERESLLEFFKAIALQDGRGVAECTLRLSKQQNCPDPKSFVEEVDKSFKLWSSLEGEAVHSGDRMQQLLEHVRQCKVNIDGNICAVIVTTLVLEGWQRRLDPNYDMLHELRTLLFKTDWAEESLAYAIEGPVAP >CAK8579009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667172062:667178404:1 gene:gene-LATHSAT_LOCUS30986 transcript:rna-LATHSAT_LOCUS30986 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFTFNLYRFGRNLCNTLYPTSSLTLLNPRIVKIRRRISFSGSTFSRHFSSVTASSSSRDYEFGIADEKYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGAPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAMLMKNVVESTPNLSIREAMVTDILLGKNDNVEGVSTFFGMNFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPARVDIRTVDFSGLEPQHGDEEVSWFSFDPDVHIEREQMCCYLTRTTSTTHQIIRDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQVFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIQGLFFSGQINGTTGYEEAAAQGIISGINAARHADGKSLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQEKQVRISEEKKRLKSVKISGGDLAAEVTHMSAQPVKHSSTLESLLKKPHIHYGLFDKHGFGDKNLSRMEKECIEIDIKYEGFILRQQSQLQQMVTQQHKPLPEDLDYYAMMNLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIELEAKRRKAQGQRMYKMINTVQVNTPDDEPEVSLTETIRSG >CAK8540561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10856551:10856877:-1 gene:gene-LATHSAT_LOCUS9557 transcript:rna-LATHSAT_LOCUS9557 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLILSHLKLVLGFLFYKLQEPDLSNIGEENVECAVCLCKIGEGEVLKVLRCEHAFHEDCIDKWISIKNSTCPLCRESVSEVGAQVLLFEFCSVHAKNDDGDSWWLR >CAK8543570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620338719:620339810:1 gene:gene-LATHSAT_LOCUS12318 transcript:rna-LATHSAT_LOCUS12318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLLKELWTVIGQKLNTTIDVTRFRATCCLWRSSLSHPSPNLCIPHHLFSLLQTKIYRIQPSSHDQNPSTSSSACSNKGWLVKVFQDPNSSKLYLLDLFTNERLTIEETTEQHLNLMKFRVVELFELYTLCRKAESDFSFEPNSYVCKVILFSVEDRCVVFELSSDKILTVSDIGKKKKTVLKDDGAENKYFDDIILYKGQVYVVDKMGTVFWINALSLKLFQFSPKNVCCGERKMHVSMNCDINKKQLVEYDGSLYVIDLYVNDKKYYSGYFSKDVFVEVFKLDQEWGKWLDVKDLGDVSFVLGKDSNFALLAKEYYGCEGNSIYFASQFRTFCFSLESLVSKPPNIFWPCVTLFHPKN >CAK8531771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:149597699:149603797:-1 gene:gene-LATHSAT_LOCUS1537 transcript:rna-LATHSAT_LOCUS1537 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRALPGFYFDAEKNRYFPIKNPIPGSSSKKPKITVPQSSPTDQFLEKSKSSCRQLRNRTSKLLQIRELDGRHVSASHYCKCNFTEEFRRIRTSQPTIWKYQGTDRMCISALEHLNVDVQTLEGRFRTDVLLSGSISGSLSFSEVGRIGQNFNDGVKWMPYCMKSNIKGKEDGHSKVPGPLFRHNGASLHMSSRISCIRLGPNLAPNAASDSPIVGNALFTTLGSETSGGSVYTISLVEPIDLGEGILNTWSRLEEITSFMCTLWTAEYDYNRHRAIIGTNQGGASVDLESGTRSWFLRCKSDVFAQQIVDSGNVVLCGLRNGEVVSVDFRKKRLLSSRFPEHRISYVSSDKRVGSSNQGGFKLAGNIYPSHTIKMPSSISCLASLKYDDQYFLASSVDGSIKLYDRRMLQRGAVQSYEGHVNSHTQIQLGVDPDERYVMSGGEDCKLRIWSIKSGELLLEDKFSNSVLTTVCYQSFKKFKAEEENQYTYNSSLGAWLGSYEGLFYMDWQ >CAK8567044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482041898:482042713:-1 gene:gene-LATHSAT_LOCUS20132 transcript:rna-LATHSAT_LOCUS20132 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFGVVRPPPESCDERGKRLKSEHESEDESMSEKAKVESEDEPESEEETDLESDDESMSEEAKEELEFYLNWESKPIEYMQEKFDDDPFLRLFSCKNYCYKNKAMIKKEEDSKKAVAEYLDRSRHLSPFDAIPIPPLANVCDNNFPRPIPLTENDRPHFIQLSNLALDYYNQHNQGLGLLYVFEDIVKVTGRHIPLVTRYITFIAKPRDSMEPATTFQAEVWDRMTSLGPPIVKSCSIKNY >CAK8534538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739768488:739769582:-1 gene:gene-LATHSAT_LOCUS4079 transcript:rna-LATHSAT_LOCUS4079 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFDLRNDVELATSVSDKHRDLLRPSARSYSIFRGQAADASDHDRGKYALIRDPEDLQTGLYDKPLPCFGCGIGWFSFLVGFLCPPLWYYATILYFGNYYMKDPRERAGLGASAIAALISSVALLIIGAILLLHSL >CAK8535528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858514597:858518127:1 gene:gene-LATHSAT_LOCUS4982 transcript:rna-LATHSAT_LOCUS4982 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGVKGCFFLLILCLWFSKKVMGSTIGNSSVSSRPRVVKIGALFTVDSVIGKSAKPGIMAAIEDVNANNTILSGVNLQVIYKDTNCSGFLGTVEALQLMENEVFAAIGPQSSGIAHIISHVVNELHVPLLSFGATDPTLSSLQYPYFVRTTHSDYFQMYAVADLVDFYRWRQVIAIFVDDDNGRNGVSVLGDALAKKRGKISYKAALSPGATESNISDLLNGVNLMESRVFVLHVNPDYGLNVFNVAKNLGMMKSGYVWIATDWLPSKLDSMETVDTGTLKLLQGVVALRHHTPDTNLKKSFFARLKNTKGVETSRFNSYSLYAYDSVWLAAYALDTFLKEGGNVSFSSDPKLVDTKGSMLHLSSLRVFDGGPSFLPTLLRTNFSGLSGRIQFDPDNNLIQPSYDILNIGESGSRRVGYWSNYSGLSVLAPEILYTRPPNTSSSNQKLFNVLWPGETINTPKGWVFPNNGEPLKIAVPRRVSYLGFVSTDKNPPGVKGYCIDVFEAAINLLPYPVPRKYILYGDGNRNPDYSQIVNEVALNTYDAAVGDVTIVPNRTRILDFTQPFMESGLVVVVPVKEIKSSPWAFLKPFTAQMWCVTGAFFLFVGAVVWILEHRHNPEFRGPPKKQIMTIFWFTFSTMFFSHRENTVSGLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIESLISGTQPIGIQDGSFAKRYLIDELNIQPSRIISLKDPNAYVDALTRGPKAGGVMAIVDELPYIELFMSGTNCKFTTVGQEFTKSGWGFGFQRDSPLAVDMSTAILQLSENGDLQKIHDKWISRHDCAAKVNDDVDSNKLSLSSFWGLFLICGIACFLSLIAFFVRVFCQYMKFIPEDEEDDQENPSDRPRRTFRSSRSFKDLIGFVDKREKEIKEILRQKSKKRRRGESLDDQSSSPI >CAK8567522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520978566:520981628:-1 gene:gene-LATHSAT_LOCUS20568 transcript:rna-LATHSAT_LOCUS20568 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEYNRSRSSPQVPMYRAPPSSIYPKIGPHPQTAAPPPPRPFPYQHNPTPSPSLGLGIKVAVKPEFRITPPPHLLPHVGDIPRSNFQFDFGLERKILAEAEKDNPNWSKFGVDNLPTKASDTSPSKVTSSDPIVSKYIAMGLNREAVQIAVTNYGDNPTKVQEFVNGYTLLREMGFSSSSVAEALVMHDNNTDKALAHFLNGSS >CAK8541404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:154644864:154645985:1 gene:gene-LATHSAT_LOCUS10325 transcript:rna-LATHSAT_LOCUS10325 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPSSHSRRTPTASLSPIIIPDDLIEEVLSCLTVKTLMRMRCVSKSWKSLISDSTFVKLHLHRSSPKADFTVVYKSGSNSVSFTVIRLFENPPIFFTLPNYELIDEGEQLVGSCNGLLCLVGYSRVNGGIDIESWFRFWNPATGTMSQRLGYLLNDETIPPRFTFGSDKSNNAYKVLALMHTNVRVFSLQDNAWRNIENPPVARNCSMNPVYLSGSVNWLASHGVSIIYPQFVIISFDLGSESHTQYPTPPGFDLLPSVHAPNLTVLKECLCFCHDFKQTHFVIWLMKEFGVEDSWTQFLKISYHNLLLDPFEDLVSFLVPLCLSEKNDTLLLAHEYKQVTILYSWSDNTLALGPWWCTCLNYVESLVSHC >CAK8538997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501318619:501321855:-1 gene:gene-LATHSAT_LOCUS8137 transcript:rna-LATHSAT_LOCUS8137 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNISSDGGNIELGASLLISGSKYNKIWRKSLYVGFMISLKKPTTFSSNYEESTSSLLSGSLHRSPPARTSSAKSFVSIDVISRVQKEREEDDMVEERVRTDIDRIVKEKDLKSLVELGGVGRVCHVLHGQSQHSSEEIVENLGATFSGFLWKSCKQNLYTISMLSISALLSFAIEFKQEGPRYGWHDGVAMVFAVFLLLAFSSITSFWRERKTIKLAKKKGEAMKFNVKRHEVDLTLSMYDIVVGDMVCLSPHYEVPADGLLLSGDNLMLAEGMKNERIDCEANPFLVAGSKVIEGHGWMLVTSIRNKSNSADIKRCLLENRIEKPISYLDKVSLFIFTLVALVLFIRLICKKDIDDGGLPDIKGSNVSVSMLTKLLEDIFSRPQGRIEILARLFSVIVLCVQHGVPLMIPLSLHYQNDEVLINQEVVLNDLSACTTMGLVNVICIDVSGGGLIFKPMEVGKIWIGEGETDVNNIQGSGTCQVVLEKLKQGVCLSVLAPELSPHVSNSLVSWATRKLEMDIISFRENFHILKHGKLDSNQEGSGVLVRKVSANEQDMHLHWSGDASIMLEMCSQYYDSEGRCHSIENQKIKFGQVIQEMESSDLKPFAFAYRQTQVQELKQDEMTLLALIGLKYKCQESTKMALKTLQNNGIHIKLVSEDDDIMTLSDMACKLGMEVSIDGGHLEGEQIRDLDDKTKLVKVDKAIAMGSFSPKDKILMVKCLQERGDVVAFIEQRLMTNHISDVLKLVDVGIIHNSLSRIIDTKESCDICITCFSALETIVKVGRSKYHNIQNFIQLQLTIGISGLLITLITTILTGNSSLTAAQLIWVNTLMCPLGGLMMVMELSSEEELAKQPSNRNQSIITKKMWKNIIFQIFYQASACMILEFGGHVTDSEKQIRKVMIFNTFFLCQIFHLLNIMDLLKIQVFKVDVQKYCFLMALGCCFVLHIVIIEYAKSLADCIHLNATGWAICVLLSSVSWVPEWTLKKIISVFFNTNFTSPLDSSESTPQPLFYLYLGLPAMMLLLFPLGLVGMKFH >CAK8575931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365087139:365089212:-1 gene:gene-LATHSAT_LOCUS28156 transcript:rna-LATHSAT_LOCUS28156 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQTTDSVAESQQTLTPYVTGSSVVAIKYKDGILMAADMGGSYGSTLRYKSVERLKTVGKHSLIGAGGEISDFQEIQRYLDELILNDNIWDDGNSLGPKEVHNYLTRVMYNRRNKFDPLLNSLVLGGVKNGQKYLGVVNNIGINFEDNHIATGLGNLLAVPHLRDEWQENLSFQEGVKLLEKCMRTLLYRDRSAVNKIQMARMTEEGVTMFPPYSLKTYWEFSAFKNPTAGAEGSW >CAK8533470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620853099:620857108:-1 gene:gene-LATHSAT_LOCUS3093 transcript:rna-LATHSAT_LOCUS3093 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLGLCLLFFTLYSILRRQPGNITVYAPRLVAEGKVKEGGQFNLERLLPTAGWVRKAWELSEEEFLSNSGLDAFVFMRMFVFSLKISTFGGIIGMCILLPINYLGSQLSDDSDFQHKSLDSFSISNVNNGSNRLWIHFSAAYVFTGVVCYLLYYEYLYISSKRIAFFYSSEPQPHHFTLLVRGIPIPPGSTCTDAVERFFSEYHPSTYLSHSVVRRSNRLQNLITDADKLYKKLTNLKQKNGAPKRQTREGCLGLFGPKVDVLDHYERRLGNVEDNVRTEQSSLASMEVPVAFVSFKTRFGAAIALHIQEGVNPTEWITEEAPEPHDVHWPFFTVSFLKRWISKLVVFVAYSTLTVLFFIPVAIVQGLTHLDQLETLFPFLKGILRLSVVSQVITGYLPSLILQQFLSFVPPAMIMLSSWQGYISWSRIQKSACTKVLLFTIWNIFFANVLSGSALYRVNIFLEPKNIPRVLAEAVPSQASFFIAYVVTSGWTAIASELFQLTTLLYNFLSRTFCSNSDDNFEPPSIPYHSEIPRIRLFGLLGVTYFLLAPLILPFLLIYFCLGYIIFRNQFLKVYVPKFETGGEFWPTVHTSTIFSLVLMHVIAIGIFGLKKLPLASGLTLPLPILTLLFNEYCRKRFLPIFKQFPAECLIKKDRADENEYNMSEFYEKMANAYNDPALMPIKYSERYDSQRTPLLHSSQV >CAK8540255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547115612:547118616:-1 gene:gene-LATHSAT_LOCUS9278 transcript:rna-LATHSAT_LOCUS9278 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEHERLSVREFHANGSSMSTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGKLEYQRGNVEGALRVFDGIDLQAAIQRLQPSLSEKPPVKKGRPRTESPSSVSQHAASLVLEAIYLKSKSQQKLRKFAEAANDCKQILDAVEKIFYQGILDFQVDNKLQEIVSHAVELLPELWKQGGFYDEAISAYRRALLSQWNLDNDCCSRIQKSFVVFLLYSGVEASPPSLAVQIDGSYVPKNNLEEAILLLMILIRKFCYGKIKWDPSIMEHLTFAFSICSQTSLLAKQFEDLMPGVYHRIDRWNSIALCHFAAGQNVSALNLLRKSLHKHERPDDLTSLLLAAKICSEDPYIAGEGVGHAQRAFSNARGPNEHLKGVALRMLGLCLGKQAKVASSDFERSRLQSKALESLEEATRFEKNNSDLIFELAVQYAKHRNLTMALRSARHFFNETGGSVIKAWILLALILSAQQRFPEAEVVTDAGLDQTARWEQGSLLKLKAKLKISQLKPMDAIETYRYLLALVQAQRKSSGSFQISSKVEDDKVNEFDIWHGLANLYASLSHWKDAEICLQKARELKEYSAAILHTEGILFEGRGQNIKALNASINAVLIEPNHIPSKILMSALIQKMGTKALPAARSMLSDALRIEPTNRMAWYYLGVVHKHDGRIGDAADCFQAASMLEELDPIESFSTLF >CAK8572057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505905124:505905456:1 gene:gene-LATHSAT_LOCUS24663 transcript:rna-LATHSAT_LOCUS24663 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWIYNRTYSDRHGLKEEFVRGVKKFVKRALKQPICKSEGGIRCSCINCKCCKISTPTNVRLHLYRDGFQPDYWIWTQHGEVELNVDTGGGSNSSKHLPQADQFEEMD >CAK8561664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:345072765:345093581:1 gene:gene-LATHSAT_LOCUS15233 transcript:rna-LATHSAT_LOCUS15233-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQPQKRPLSLDWDTLHDTPAAELVVKAPDQKPPPYVDLDSLKDYELEDDIRRKKKLLETSGSKLPDGGSKLRAAIELYEEEFRKRKMNPRPQKVDEEQKQGKATASSSSVGVSNIPREENLPSRPQSTSSFASCFIKKMEDNTNCTSDDAFSNEMPHFKHCNSQVVRHNREPKKRKRRRSSSRPLPFQFSSKLSKCDTFSDNDKRCRANSTLSVQTIKRNLSRQFSKDKGAFQEVQSDGSRSRKGQPIVLDDDDSDDSDNPHIVEKTENKAPEYLKDAKIYFPSRDDPECVEVCYNDMECLAPEGYLTSTIMNFYIRYLKQVSPTISDYHFFNTYFYKKLKEAVSCKESNRDTIFSKFRRWWKGVNIFQKAYVLIPIHQDLHWSLSIICFPDKEDESGPIILHLDSLGLHSSRSVFENIKSYLIKERNYLSKECASSDVPIADRIWKSLSSTIETVIMKVPQQKNEYDCGLFVLYFIKRFMEEAPDRLKKKNLSMFSKKWFKPEEASSLRAKIQKLLVAELHSSIKPDGITESSPSSAGDAIECDETAKDSSSSSAGDAIECDETAKDSSSSSAGAATECDETAKVSSIKDGITSCDSLMIE >CAK8561665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:345072765:345093581:1 gene:gene-LATHSAT_LOCUS15233 transcript:rna-LATHSAT_LOCUS15233 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQPQKRPLSLDWDTLHDTPAAELVVKAPDQKPPPYVDLDSLKDYELEDDIRRKKKLLETSGSKLPDGGSKLRAAIELYEEEFRKRKMNPRPQKVDEEQKQGKATASSSSVGVSNIPREENLPSRPQSTSSFASCFIKKMEDNQTNCTSDDAFSNEMPHFKHCNSQVVRHNREPKKRKRRRSSSRPLPFQFSSKLSKCDTFSDNDKRCRANSTLSVQTIKRNLSRQFSKDKGAFQEVQSDGSRSRKGQPIVLDDDDSDDSDNPHIVEKTENKAPEYLKDAKIYFPSRDDPECVEVCYNDMECLAPEGYLTSTIMNFYIRYLKQVSPTISDYHFFNTYFYKKLKEAVSCKESNRDTIFSKFRRWWKGVNIFQKAYVLIPIHQDLHWSLSIICFPDKEDESGPIILHLDSLGLHSSRSVFENIKSYLIKERNYLSKECASSDVPIADRIWKSLSSTIETVIMKVPQQKNEYDCGLFVLYFIKRFMEEAPDRLKKKNLSMFSKKWFKPEEASSLRAKIQKLLVAELHSSIKPDGITESSPSSAGDAIECDETAKDSSSSSAGDAIECDETAKDSSSSSAGAATECDETAKVSSIKDGITSCDSLMIE >CAK8579560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707867295:707912929:1 gene:gene-LATHSAT_LOCUS31497 transcript:rna-LATHSAT_LOCUS31497 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFVKGTINSNGVAVLTLDRPKALNAMNLDMDVKYKSFLDEWESDPKVKCVLIDSSSSRAFCAGMDIKGVVAEIQKDKSTPLVQKVFTAEYSLICKISEYTKPYISFMDGITMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSHIAAQSPGEGAVGAYLGLTGKRISTPSDAIYVGLGTHYVPSGKLGSFKEALLATHFSQDPHQDIKVLLARHESNPDSEAHLKLLLPQIVSSFGGNKSVSETIKDLKQHQSSADSNVSEWANEALQGLEKGAPFSLFLTNKYFSAVASAVGKGNGELSTLTGVMKTEYRIALRSSLRHDFAEGVRAVLIDKDQNPQWKPSRLEEVDPSEVEAVFKPLGAEARELSV >CAK8579561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707908395:707912929:1 gene:gene-LATHSAT_LOCUS31497 transcript:rna-LATHSAT_LOCUS31497-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTVGANRFLCSFSYSRSKSSFSFRPISSMAEEFVKGTVNSNGVAVLTLDRPKALNAMNLDMDVKYKSFLDEWESDPKVKCVLIDSSSSRAFCAGMDIKGVVAEIQKDKSTPLVQKVFTAEYSLICKISEYTKPYISFMDGITMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSHIAAQSPGEGAVGAYLGLTGKRISTPSDAIYVGLGTHYVPSGKLGSFKEALLATHFSQDPHQDIKVLLARHESNPDSEAHLKLLLPQIVSSFGGNKSVSETIKDLKQHQSSADSNVSEWANEALQGLEKGAPFSLFLTNKYFSAVASAVGKGNGELSTLTGVMKTEYRIALRSSLRHDFAEGVRAVLIDKDQNPQWKPSRLEEVDPSEVEAVFKPLGAEARELSV >CAK8579562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707908395:707912929:1 gene:gene-LATHSAT_LOCUS31497 transcript:rna-LATHSAT_LOCUS31497-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTVGANRFLCSFSYSRSKSSFSFRPISSMAEEFVKGTVNSNGVAVLTLDRPKALNAMNLDMDVKYKSFLDEWESDPKVKCVLIDSSSSRAFCAGGDVKQISIKNHLSDMIEVFTAEYSLICKISEYTKPYISFMDGITMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSHIAAQSPGEGAVGAYLGLTGKRISTPSDAIYVGLGTHYVPSGKLGSFKEALLATHFSQDPHQDIKVLLARHESNPDSEAHLKLLLPQIVSSFGGNKSVSETIKDLKQHQSSADSNVSEWANEALQGLEKGAPFSLFLTNKYFSAVASAVGKGNGELSTLTGVMKTEYRIALRSSLRHDFAEGVRAVLIDKDQNPQWKPSRLEEVDPSEVEAVFKPLGAEARELSV >CAK8563462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607673987:607685043:-1 gene:gene-LATHSAT_LOCUS16869 transcript:rna-LATHSAT_LOCUS16869 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDRANEPENPVLLRPRIPSGDNSVWADVSPLLHAACQDLQEGDLIHGDNFNLYAAMSALEIMDPKMDSGMASAYYSLDEAIEKGAAPVPISSDKTTDVRCIIDIMDHLLACEATWHKGHSLAQTVYSCLYLLRPERTSSHALLHSYCQVIRATCKAVLSVVAEARTHEEEDLFVMVYGLPLSGDGKERCLPLLNAVEETICRQLRASKTSSKRRVSEDIEPLQNNPDLEEGYCKALLCRLRFRKHFYHLLMSMKRPQGGGLELAREHITSCISEIGHIRKSSDFLRANAPEMSERNVENTTASGCQPIGFDASLNSRLSAPTPPRAIKIFCLEKALEYFVKLLHDLDVICSYSLDPSLEAALLFVVKFQKSQPDLISRAHLQLLLVQNGKLFGRYPMFSMIIRAAGLPEVAENHEFQKSQLMVQLEQTVINLLKIICTNAAWQRRKLGKMLQDLRVTHVQLELAFKKEFGNTSNNENIGFKIFQHILVWLEEQTYWIAFRFLTVGFELELYADHDYCMVYWYIYIVLINLAEKKHLRIAMSSGAGKKKTKKKRDSLKDGGKNYQISDAVKFLQSQIYLAEGLGMMLAALRNECKIVPPQSPFTTEHEIFIQQFDLLLKACLPESISYQTYKESTAQANFSTLVMCDYFKVAQKMAKELKTNFANDLDMMAELGRIEQVAERNNIALTVISRLGAADPSMKISFTLCHHPFFATAIVKRS >CAK8573336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604284704:604285766:-1 gene:gene-LATHSAT_LOCUS25797 transcript:rna-LATHSAT_LOCUS25797 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGNRSRGLFHHHKKDEDERPTGDDYDSGNYRKTSHNDEFSSGDNESSYNKSTNYSDDNQPSGGGYNKTSYGNENPGGDYETGYNKTSSDGYGGTGSGYTDTNTTTGGGYGGGYGDSDTRTTTGGGYGGSDTRTTTGGGYGDSDTRTGGNYGGGYGDSDTRKTTAGGYGGGGGYGDSDIKTTTGGGYGGGYGDSDTKTTTGGGYGGGYGDDTNRREDDVDYRKEEKHHKKLEHVGEFGAVAAGGFALYEKHKSEKDPERAHRHKIEEEVAAAAAVGSGGFAFHEHHEKKESKEEDEESHGKKKHHFFG >CAK8579275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689491793:689492347:-1 gene:gene-LATHSAT_LOCUS31232 transcript:rna-LATHSAT_LOCUS31232 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSFLFVFVMLFALTSAIPNKRKPHKPCKNLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAAVTTDAFEGEAYFRLGVDIKFFECW >CAK8568131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575593213:575594556:1 gene:gene-LATHSAT_LOCUS21124 transcript:rna-LATHSAT_LOCUS21124 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPINRNSFTFPYGKKWSVPGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPRPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8568132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575593534:575594556:1 gene:gene-LATHSAT_LOCUS21124 transcript:rna-LATHSAT_LOCUS21124-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPRPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8533543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634504534:634507941:1 gene:gene-LATHSAT_LOCUS3164 transcript:rna-LATHSAT_LOCUS3164 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPGLYTDIGRKARDLLFKDYNTDQKFTLTTYSPNGVAITTSSTKKGEVFLADLNTQLKHKNITTDVKVDTASNLFTTITINEAAPGLKGILSFRVPEQRSGKVEVQYLHDYAGVSASVGLTANPIVNLSGVIGTDVIALGHDLSYDTKTGELTKFNVGLNFTKDDLVASLVLNEKANVLNASYYHVVNPFTKSAVGAEVAHRFSTKENTLTLGTQHAVDPLTTVKARFNNFGKASALIQHEWRPRSLLTISAEVDTKAIEKSAKVGLSLALKP >CAK8537672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:419386272:419387877:1 gene:gene-LATHSAT_LOCUS6938 transcript:rna-LATHSAT_LOCUS6938 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAITVLAFLFITFTYFLFTFFSNPKHKKPPGPPALPIIGNLHLLGKLPHRALQSLSKKYGPIMSMQLGQVPAVVISSSKSAELFLKTHDLVFASRPKTQASDILSYGTKGFVFSEYGPYWRNVRKLVTLKLLSASKVEKFAPIRKQELGVLVKSLEKAALVGEVVNVSEAVENLIEDVVYKMMLGRSKYEQFDIKKLVKESVALLGAFNLADFVPWLAAFDLQGLKRACKKVGGAIDDALEVIITDHEQVANVDKNRHEDFIDILLSIVNQTIDQESEPKNAIDRSNIKAILLDLLMGTLDTSSIVIEWTLSELIRHPRVMKILQDEIQNEVGNKPMVEEKDVKKFNYLDMVIDESLRLHSAGPLLIPHESRENVTIEGYFIKEKTRVIVNAWAIGRDPNVWSNNVEEFYPERFIDKKMNYQGKEFESIPFGSGRRSCPGIQMGLITVKSIIAQMVHCFNWELPDDISPSDLNMEEKFGISAPRAQHLHAIPRYRLVDFELE >CAK8537839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440442297:440445278:1 gene:gene-LATHSAT_LOCUS7093 transcript:rna-LATHSAT_LOCUS7093 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLVLPSFSLHLFLLLLTHFPSKSFSLCNHHESSALLQFKNSFFIDTSSQLEEFQIPSCSSFSFKTDSWKNNTDCCKWDGVTCNNVSNYVIGLDLSCNNLEGELHPNNTIFKLRHLQQLNLAFNDFSMSSLHAGVGDLVSLTHLNLSYCNLTGNIPSQISHLSKLVSLDISGFDSFIRLNPFTWKKFIRNATKLKELYLDYVDMSSINVSSLSMLNNLSSSLVSLSLYNTGLQGYLSSDILLLPNLQKLDLSFNEDLSGQLPMSNWSTPLRYLDLSYTAFSDKIPYSIGQLKSLTHLSLLQCKLDGLIPPSLWNLTQLTYLNLAANNFEGFIGDFSTHSLEYLDLSNNNLLGHFPNSIFELQNLTDLDLSSTNLSGVVHFHQFLTFKNLHFLNLSHNSALSINIHSKSKTLPPQFQYLSSTNSNHFPKFLAPLANLNVLDLSNNNIHGKISKWFQSHLLSSWKNIDHIDLSFNKLQGDLPIPPYGIQYLLLSNNNFTGGIALSLCKASSLKVLNLAHNNLTGTVPQCLGTFPFLSILDMQMNNFYGSIPRTFSKENNFETIKLNGNQLEGPLPRSLVHCTFLEILDLGDNNIDDAFPNWLETLQELRVLSLRSNKLHGKITCSSTEHSFSKLRIFDISHNNLSGTLPTSCIKNFQGMMNVNDSQIGPQYIGKENYYIDSLVVVMKGFSMELKRILTTFTTIDLSNNNFEGEIPHVTGELISLIGLNLSNNEITGNIPQSLCNLRNLEWLDLSKNQLIGEIPTTLTNLTFLSFLNLSQNHLEGIIPKGKQFDTFENNSYDGNAMLCGFPLSKSCIHGEDQPPHSTSKDEEESEFEWKAVVIGYVCGAIFGLLLGYNVFFLGKLQWLVKFFERMFNVRLKRAHNRPSEKSQKNEFI >CAK8541908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431076285:431076637:1 gene:gene-LATHSAT_LOCUS10797 transcript:rna-LATHSAT_LOCUS10797 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYNNKAASSVEDDEEESDKSKSEVNNEDEASGEDDHQDDDDEDEEEDDDEEDDD >CAK8566715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455050362:455050868:1 gene:gene-LATHSAT_LOCUS19827 transcript:rna-LATHSAT_LOCUS19827 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSRSTPTMSTDSLEQKGQNITESNASIIQCPLSQQHRSSLDGPVSILWNIENCPVSSDVRPEDVAGNIRMALQVHPVIKGAVMMFFAYGDFNAFPRRLREGCQRTGVKLINVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPAFIRMSLHAFIF >CAK8534319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716094447:716095355:1 gene:gene-LATHSAT_LOCUS3871 transcript:rna-LATHSAT_LOCUS3871 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDRLYPRRRRLKPNFKEGVKGFITWAFSQKCCLSEGGVRCPGLKYGCKPIISDAEEVEQHLKRRGFIKKYWVWTYNGEELPSSIPETSNTHASSSQSLMEHRENFNLISQLVGDAFGVNVSYDEPEDFDGEELSNEEAQIFYRLLNEMNTTLFEGSSDSKLSMCVRLLAAKSNWNVPDQCLEFFAKMMLDSNPTKDNFPTSFYDAKRLVSKLDLEVRKIECCISGCMLFYENEFDTNDGALEECKFCKSPRYKVRSKAIDRKQKHVAVKSMFYLWIIPRLKRMFASMHNAS >CAK8563990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644232888:644239857:1 gene:gene-LATHSAT_LOCUS17341 transcript:rna-LATHSAT_LOCUS17341 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEKEQDVRRADVNSQLHSSKDDNNGSQFQRLQYQVTKLFKGFSSPPDVENQNKTYNPEILTSLKRQWAANFQLKYMGHRSFKKPSQLFESIVVVGLHPNCDVQTLHTQFVDKKFEESAKLRSALGCQNQSLVEPNIEPQVLFVYPPEKPMPLKCKDLLSFCFPGGLEVRAVERTPSMSALNEIFYGQEHLKQMDLSFVFRLQGSDNSTLYGCCVLVEELVQKPSGLLSLISDKQPSYSSLRRYVLTTQRCYCILSRLPFFELHFGVLNSIFTQERLEKLTKSVEDSNLEFVEGSYDKENSEGNSENVLVSNDIIEPRFHENSLISQSRVRAPTPENIVCDGHPKNLIVDGEVQPSKERVHYDSVVPAAHESDRIASKEETGPKISEDGDGHPENLMVDGELQSHKERINSDSVVLTEHETDRTEAKEEYGPTISEESDDHPENLIVDGGLQGKIDNSAMPTEHETDMTAVKEQSDPIICEDSDDYPENLMVDGELQSYKDRIGYDSVVPTEHEIDRTEVKEESGPKISEDSDGHLEILVDGELQSYKERIDYESVVPTEHETDRKTAKEESGPTTEDSDQYGDAFATNKELEDSNLPNAILPLLHSCQYESSESSCSFQGSPCEDRNFRRDIDDNETEDASFSGQEKLNDLNDILEWAMENDHGPLQIICEYYRLKCPAKGSALTFHPLEHLHPLEYHRPAGTVPCRTSSIFDLKSCSTGPELADAHNTPVVEEATSLSVWTIACLCGTLRLENVLTFLAGVLLEKQIVVACSNLGVLSASVLSVIPLIQPYRWQSLLMTVLPNDMLDFLDAPVPYIVGIKNKISEVQSKFTNVIVVDANRNQVKSPTLPQLPRQKELISSLRPYHDTLVGESYLGKRRPVYDCTELQIGAAKGFLSVLRSYLDSLCYNIRSHTITNVQSNDDKVSLLLKESFIGSFSYRDQPFMKLFIDTQLFSVHTDIMLSSLQNE >CAK8563989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644232888:644239857:1 gene:gene-LATHSAT_LOCUS17341 transcript:rna-LATHSAT_LOCUS17341-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEKEQDVRRADVNSQLHSSKDDNNGSQFQRLQYQVTKLFKGFSSPPDVENQNKTYNPEILTSLKRQWAANFQLKYMGHRSFKKPSQLFESIVVVGLHPNCDVQTLHTQFVDKKFEESAKLRSALGCQNQSLVEPNIEPQVLFVYPPEKPMPLKCKDLLSFCFPGGLEVRAVERTPSMSALNEIFYGQEHLKQMDLSFVFRLQGSDNSTLYGCCVLVEELVQKPSGLLSLISDKQPSYSSLRRYVLTTQRCYCILSRLPFFELHFGVLNSIFTQERLEKLTKSVEDSNLEFVEGSYDKENSEGNSENVLVSNDIIEPRFHENSLISQSRVRAPTPENIVCDGHPKNLIVDGELQSYKERIDYESVVPTEHETDRKTAKEESGPTTEDSDQYGDAFATNKELEDSNLPNAILPLLHSCQYESSESSCSFQGSPCEDRNFRRDIDDNETEDASFSGQEKLNDLNDILEWAMENDHGPLQIICEYYRLKCPAKGSALTFHPLEHLHPLEYHRPAGTVPCRTSSIFDLKSCSTGPELADAHNTPVVEEATSLSVWTIACLCGTLRLENVLTFLAGVLLEKQIVVACSNLGVLSASVLSVIPLIQPYRWQSLLMTVLPNDMLDFLDAPVPYIVGIKNKISEVQSKFTNVIVVDANRNQVKSPTLPQLPRQKELISSLRPYHDTLVGESYLGKRRPVYDCTELQIGAAKGFLSVLRSYLDSLCYNIRSHTITNVQSNDDKVSLLLKESFIGSFSYRDQPFMKLFIDTQLFSVHTDIMLSSLQNE >CAK8532349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248874274:248876897:-1 gene:gene-LATHSAT_LOCUS2066 transcript:rna-LATHSAT_LOCUS2066 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRYTFGRADEATHPDSLRATLAEFASTFIFVFAGEGSGLALVKIYQDSAFSAGELLAAALAHAFALFAAVSSSMHVSGGHVNPAVTFGALLGGRISVIRAVYYWVAQLLGSVVAALLLRLVTNNMRPAGFHVGVGLGDGHGLLLEIIMTFGLMYTVYATAIDPKRGSIAAIAPLAIGLIVGANILVGGPFDGACMNPALAFGPSLVGWRWHSHWIFWVGPFIGAALASLIYEYVVIPTEPPHAHQPLAAEDY >CAK8540079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538526093:538527004:-1 gene:gene-LATHSAT_LOCUS9117 transcript:rna-LATHSAT_LOCUS9117 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISKLPYTHQPIRSISFPTRGNPSSQTTESLLNNLKHHHQHFLSNNIHLEANKIQSDLVELARIYNSIEELFNSQQTKQCLLRYQDGKLISDSLCYSVTLLDACECSRDLLFVFREHMQTLQSAIRRRRKGDSSIENSVSCYESFRKKAKKKVSNQLLELKKMQNKVNSFSLSDQDQQLAFLVRVLREANSITISILCSVLLFVSMAAFGTKGSSLISKLKPLFSYEKEGKNKNEVEDLNNALCSLVGREKNSDYSNSESQRVLRLLERLNDNVDSLEGGLDCLFRCLVKNRVLFLNMLAL >CAK8564287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666079161:666080850:-1 gene:gene-LATHSAT_LOCUS17608 transcript:rna-LATHSAT_LOCUS17608 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKGVAVSMNPSSDVFMDQRSMMRHRSLLQDYQDLHTETEAMRMKLEAVKQKKLILSADVRFLRQRYAYLLKHPLPKPQPKQEVMKPQAHKIKEPKITKGRNYNRKESTLRPHTASKSKSKSKGRTFNGVEDPRHNTGPVFDLNHNGRSLSTKDGSFHSSSSPVLDLNHKDRVQSGKEATKKSVTPFFDLNQISREEEELEGNNQSMWIEEQKKSSTQRGVNEEQHNDIKLSVCRNLGEGSSRAGKRKITWQDQVALRV >CAK8543162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584384159:584385022:1 gene:gene-LATHSAT_LOCUS11939 transcript:rna-LATHSAT_LOCUS11939 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWTYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLSTSYYDAKRLVSKLGLEVRKIDCCINRCMLFYDNEFGINDEALEECKFCKSLRYQVRSKAINRKRTWGGSRELMSYL >CAK8573728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633216960:633219447:-1 gene:gene-LATHSAT_LOCUS26136 transcript:rna-LATHSAT_LOCUS26136 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLFFLLAFFWISAECIHPHPLDPLTRSEINLVRTIIHKSYQTSTKLNNITFQYVGLDEPDKSVIQSWLSSNTKTKTLPLPPRRAFVIARFQKQSLQITLDLSSRSIISTKIYKGHGYPMLTFGEQTIASQLPLTYEPFKHSLNKRGLNISHVLCAAFTVGWFGEEKTKRTVKVKCYYKKGSANLYVRPLEGVAAVVDLDEMKIIGYSDRHVIPVPKGEGTEYRASKMKPPFGPKLKGIALSQHDGPGFTIQGHSVSWANWVFHLGYDIQAGPIISLASIYDLEKLKYRQVLYKGFISEVFVPYQDPTEEWYYTTYFDCGEYGFGQSMSSLQPFTDCPANAVFVDAYYSGSDGTPVKISNAFCIFEKYAGDIMWRHTEIAIPNEVINEVRSDVSLIVRAVSTVGNYDYVIDWEFKPSGSIKLGVGLTGILGIKAGTYTNTDQIKEDMYGTLLAENTIGVYHDHFLTYHLDLDIDGEANSFVKANLETVRVKDQKTPRKSYWTVAKETAKRESDARVNIGLKASELVVVNPNKKTKLGNTIGYRLIPGSVVHPLLASDDYPQIRGAFTNYNVWVTPYNKSEKWVGGLYVDHSRGDDTLAVWSLRDREIENKDIVLWYTMGFHHVPSQEDFPVMPTLTGGFELRPTNFFESNPVLKTKSPKSMHCPNCTSQHYFG >CAK8542245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492676838:492680182:1 gene:gene-LATHSAT_LOCUS11098 transcript:rna-LATHSAT_LOCUS11098 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSDDEHDEENGKLLEKSKERGSRNARVVKVHNEALLSGLAYCLSSCGMILVNKIILSTYDFNAGISLMVYQNFISVIIVSVLSLLGLISTEPLTWRLIIVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKQHEGRVWAALFLMIISAITGGITDLSFNATGYMWQTLNCFLTASYSLTLRRVMDTAKQYTKSGNLNEFSMVLLNNTLSLPLGIFLILVFSEVDYLLSTPLLRLPSFWLVVTFSGILGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIFLFKVPTSLENSASILFGLLAGVLFARAKIRERSQS >CAK8540798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21827080:21827759:-1 gene:gene-LATHSAT_LOCUS9767 transcript:rna-LATHSAT_LOCUS9767 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSVTFLENHDTGSTQGHWPFPRDKLMQGYAYILTHPGTPVIFYDHFYDFGIHDVITELIEARRRAGIHCRSSIKIYHANNEGYVAQVGDVLVMKLGQFDWNPSKENRLEGSWQKFVDKGSDYQVWLRQ >CAK8538146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465715640:465715846:1 gene:gene-LATHSAT_LOCUS7378 transcript:rna-LATHSAT_LOCUS7378 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRIKRFDFVSRDSPQVGFESRVMGDYPARFGEHFLSALVNGSPSIKKEAVIPTAESPLTLFIIMVN >CAK8569598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6440492:6443941:1 gene:gene-LATHSAT_LOCUS22432 transcript:rna-LATHSAT_LOCUS22432 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQKLALFHESGIYHFNDSNTVFIDPVRVLNRSYHRYTVSPSTYYPRFFQTLTPKTPISSTVSSSPTKRKRKKRKRSPKELNEREQIAFQRHQELRPLLVKAHECLLKSNGLLDDLRTLRNGGDSCCLKEKCEGDEQCFVELALQSPELVLTLNLRVPDTEKDLEDSPNVQYCEQRSILPAFNNLVVNDTEDDAVAEILNNHYIMPRESCFYISDLGQIRNLIPAHTDCGFNLIMVDPPWENASAHQKSRYPTLPNRYFLSLPIKQLTHTEGALVALWVTNREKLRSFVEKELFAAWGVSYAATFHWLKVKANGSLISDLDLFHHRPYESLILGYSPGKVKHSDNRSKFKPLKDDHVIMSIPGDYSRKPPIADLLQEYVPGIKPPQCVELFAREIMAGWVAWGNEPLHFQNSRYFIKKMDS >CAK8578906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661534484:661534822:-1 gene:gene-LATHSAT_LOCUS30885 transcript:rna-LATHSAT_LOCUS30885 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAPCSFSWRKMKIMEYSYDDSNINECDVDAAQKLMELSDEEKSKRKNKRFRRWSEVEEKHDDIVMAKIQEIFGKDVEVFPMVKKQRRYRSLVNIYMSTTPVTDTRVSA >CAK8536378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936178875:936181977:-1 gene:gene-LATHSAT_LOCUS5753 transcript:rna-LATHSAT_LOCUS5753 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPLLLLVVLFSLSLFSPTFSLNQEGLFLLQAKLHLSDPSNSLSNWNHRDTTPCNWTGILCNNLTAAVTSINLPDSDLSGPFPTSLCRLTSLSYLSLPNNNLNSTLPSAISTCTTLRHLNLSLNLFSGQLPDTLSTLPFLQILDLSFNNFSGNIPHTFSRFQQLQTLSLINNLFTGTIPSSLGNISSLKELHLAYNSFLAQGPIPSEFGSLINLETLWLSGCNFVGPIPVSLRKLVNLKNLDLSRNMLNGNIPETVISGLTSIVQIELYQNSFSGELPRVGVSNLTQLERFDASDNNLTGTIPDELCRLKNLGSLNLYNNRLEGSLPESLASSESLYVLLLFNNTLSGNLPSGLGSKSRLQMIDVSFNRFTGEIPASLCRQGTLQELLMIHNSFSGEIPESLGNCLSLTRVRLGNNNLSGVVPSGLWGLLHVNLLELVENSLSGSISNAISRANNLSILLISGNRFNGSIPDSIGSLNNLGEFVASRNSLTGRIPISMVKLSQLGRLVLRDNQFSGEIPHGVGDWKKLNELDLANNRFVGNIPSELGTLPGLNFLDLSGNFLNGEIPMELQNLKLNFLNLSNNQLSGKIPPLYANENFKDSFVGNTGLCSDISGMCPNSGEKSKNKNFVCIFWFIFVLAGVVLIVGVAWFYFKFRNFKKTKKGFYLSKWRSFHKIGFSKFEIVKLMSEDNVIGSGSSGKVYKVALSNGETVAVKKLWRAKKMKNGNVDDPEKDGFEVEVETLGKIRHKNIVRLWCCYNNGDSKLLVYEYMPNGSIADFLHSSKKKFLDWSTRLKIAIDAAEGLSYLHHDCAIPIVHRDVKSSNILLDEEFGAKIADFGVAKFVRGVSNGTEEPMSMIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKQPIDPEYGEKDLVKWVSSKLNEEELDQVIDPTLDYSKYKEEINKVLKLGILCTSSLPINRPSMRSVVKMLQEVASVAKSRSGRFSPYYQEVVSDNDS >CAK8534965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:797931111:797933080:-1 gene:gene-LATHSAT_LOCUS4455 transcript:rna-LATHSAT_LOCUS4455 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKNLLSRRRRFHSHINPKTLTSLHCNFTLRPIATLPQTQPPKSQTQQTEKPLSTFFSGVMSGKITTIEENGEGDIDMKKKLKQLTEEIRILKEKKTKRVPILTKDVPKKIEKMSLFSAFTNQPLPDGVINTKKEAEKKQKPREPFVVKELSIDMVVFLKYLYENGYFKDAKFVNVHERFDLGWFESPYALGYAKFAAQKFACDNRDIAKWLSGSAVKQVAVFGCPSTGKSCVFPVKRLRKFFEVPENTVCGKCMLRESCKFANQNVWKCDANKLDMELVMKVVISYALHLVHPQLVVSDEVNKSVNQLLNEFVKLSKIT >CAK8536306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929850524:929860394:-1 gene:gene-LATHSAT_LOCUS5688 transcript:rna-LATHSAT_LOCUS5688-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLINQMGLPKSIANIFTARNIITAKDALSLTEFELMELLDVGMAEVASAMAHISEVVCPPCQTALLLMEQRVRNESLAGHLPTRLKGLDDALCGGIPFGVLTELVGPPGIGKTQFCLKLSLLASLPTNFGGLDGRVIYIDVENKFSSKRLIEIGTKSFPEIFHKKGMAQEMAGRILILRPTSLSEFAESLHRIKVSLLQQQVKLLIIDSMAALVLGEPDCGASKQQALGWHVSFIKSLAEFSRIPIVLTNQVRSQMGDESLMYSFQAQSRPTKEDVPVTFDSHLVAALGINWAHAVTIRLVLESKSGQRFIKLAKSPISPPLAFPFNITSSGIVLLDDNGIEMKGPDINNIHYQVKGDKLGLLVHFSNLFFFQIFPG >CAK8536305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929850249:929860394:-1 gene:gene-LATHSAT_LOCUS5688 transcript:rna-LATHSAT_LOCUS5688 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLINQMGLPKSIANIFTARNIITAKDALSLTEFELMELLDVGMAEVASAMAHISEVVCPPCQTALLLMEQRVRNESLAGHLPTRLKGLDDALCGGIPFGVLTELVGPPGIGKTQFCLKLSLLASLPTNFGGLDGRVIYIDVENKFSSKRLIEIGTKSFPEIFHKKGMAQEMAGRILILRPTSLSEFAESLHRIKVSLLQQQVKLLIIDSMAALVLGEPDCGASKQQALGWHVSFIKSLAEFSRIPIVLTNQVRSQMGDESLMYSFQAQSRPTKEDVPVTFDSHLVAALGINWAHAVTIRLVLESKSGQRFIKLAKSPISPPLAFPFNITSSGIVLLDDNGIEMKGPDINNIHYQVKGDKLGLLVHFSNLFFFQIFPGNLFCICHH >CAK8536307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929850696:929860394:-1 gene:gene-LATHSAT_LOCUS5688 transcript:rna-LATHSAT_LOCUS5688-3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLINQMGLPKSIANIFTARNIITAKDALSLTEFELMELLDVGMAEVASAMAHISEVVCPPCQTALLLMEQRVRNESLAGHLPTRLKGLDDALCGGIPFGVLTELVGPPGIGKTQFCLKLSLLASLPTNFGGLDGRVIYIDVENKFSSKRLIEIGTKSFPEIFHKKGMAQEMAGRILILRPTSLSEFAESLHRIKVSLLQQQVKLLIIDSMAALVLGEPDCGASKQQALGWHVSFIKSLAEFSRIPIVLTNQVRSQMGDESLMYSFQAQSRPTKEDVPVTFDSHLVAALGINWAHAVTIRLVLESKSGQRFIKLAKSPISPPLAFPFNITSSGIVLLDDNGIEMKGPDINNIHYQGQNALFNF >CAK8560914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57482645:57483001:-1 gene:gene-LATHSAT_LOCUS14553 transcript:rna-LATHSAT_LOCUS14553 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNSRKGVISLTSKDIVDTASWYCSILLVALILLCIFRDTSTIHQNHDHNIIERNLVLYKRPYCDEIYVVGEGETLHTISDKCDDPFIVENNPHIHDPDDVFPGLVIKITPSHHYT >CAK8540797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21825883:21826500:1 gene:gene-LATHSAT_LOCUS9766 transcript:rna-LATHSAT_LOCUS9766 gene_biotype:protein_coding transcript_biotype:protein_coding MKATKVHSGKKTTCLAWLDQHPPRSVIYVSFGSLAVMDQNQFNEFALGLDLVNKPFLWIVRPSNDNKVNYAYPDEFVGTKGKIVGWGPQKKILNHPSVACFISHCGWNSTIEGVHCGVPFLCWSFYGDQFVNKSYICDAWKVGLELDKDENGLTSREEIKKKVEELLGDQGIQERSLKLKELTLDNIVEEGHSLRNLQNFINWAK >CAK8534597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746572482:746573441:-1 gene:gene-LATHSAT_LOCUS4130 transcript:rna-LATHSAT_LOCUS4130 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDGDSGTQLSDGSYRNDHKLNSRGGLVERIAARTGFNAPRLNTEGIRSTELSLNSEIQSPYLTIPLGLSPATLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKFKLNGFNDMYASSFAFKPTPDTGPSFYHGAGRNINQTTLPQQTLHSFEASVQSQRVDATENKSSLHLKAEFSDSPPQKDNSAPMEDQAEENGTEKGISQKNQQMLPQILANTSELTFHLRHALSTNGSDSVRRTHKCCVYIAGSSKYCVLHPKI >CAK8573239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596478468:596483318:1 gene:gene-LATHSAT_LOCUS25711 transcript:rna-LATHSAT_LOCUS25711 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADSDENPNQQEESIIPVLTVFKNKSVIKNICIVLNNSDGDHIILIGRHPTCNIVLTHPSISRFHLQIRFTPSSRSISLLDVSSVHGTWVSGRELERGVSVELKGGDSFRLGVSSRVYLLRFVSQFDANALKNIGSLYCDDDEIRSQFNEETFDDENDSFGTETSCCENELGTSQVCYLSPPYVQSVDEAEREPIVEACPEVEVMGETNLLCTLKECFKNTLCKPVVEADELHQQSSAAKKWIDPEASLNEKGGAAMDEIPKQSDFDGAAMDEIPKQSDFDGAFKDSDKIEDILTTVANVFNSEVMPDSESHQTNTDEEASVESLSDGEGYSLNEVVKNMDPSSSNENGVVAVNLKPREHKLEFFFEENARLDNILTSVASLFMSENKSSLVEEANPVANFQQDKIVEEVNVDSLSDGEKENKCDKELQAYKNFIPNDEEGNSLDETVEDTVKSSQTESFNPSVAQDADLDITDKENQTPQSLVAVAGCFDLDYESCVEDSAERSSTFACDKAVSELQSQTRKSRLLNTPNVDTKFVMSNLKDISVIRKAMQKDLFSVSDEEEMFTPSKENLEDSKNSNSQMSKSLLKASFSHNFYSAERSTSSFSNKENLTPIEAQQWQSERKPLECYDSAGLRKKRVERKPLQSPMQKDLFSVSDEEEMFTPSKENLEDSKNSNSQMSKSLLKASFSHNFYSAEQSTSSFSNKENLTPIEAQQWQSERKPLECHDSAGLRKKRVERTPLQSPMQKDLFSVSDEEEMFTPSKENLEDSKNSNSQMSKSLLKASFSHNFYSAERSTSSFSIKENLTPIEAQQWQSERKPLECHDSAGLRKKRVERTPLQSLMNSGDNHNSANSSPFYSPFAAKENLTPRGAQQWPERKPLECRDTAGLRKRRVERTPLQTLMSSRGNHNSANSSPFSATKETLMSSGGNHISANGSPFSAAKETLMNSGGNHISANPSPFSAAKETLMSSGGNHNLANSSPFYSPFSAAKEIPLQSLGSSGGNRNLANSSPFNNPFSAAKSILCVTARTSNSRHISDKHTEPSPISEERKRSWDMVVDAASLMNEESRKALQLLQGLKGTRLIIPESVIRELGSMKQRIGVFRRISETTLALEWIEECMGKTKWWIHILDYAFPIVEDQILDCALQYRRKDNAGQLVLLSDDVNLKIKSISEGLLCETVEQFRRSLVNPFSERFMWPNSSPRGLTWSCQDDVILREKYCCFPSKAGLKLLVT >CAK8571711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464438637:464439174:-1 gene:gene-LATHSAT_LOCUS24348 transcript:rna-LATHSAT_LOCUS24348 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMEKGSEPLTETEKADLEAIAAIKESSAFELKEKGNQYVKMGKKHYSDAIDCYTRAINQKALGDSETSILFSNKALVNLLLGRSMDMRPFESAIVPLLKDPGSPLYPKRPGLPESLENSTKANMNTLIDTAGTEDAVICSAGRPK >CAK8573588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623245529:623247699:-1 gene:gene-LATHSAT_LOCUS26021 transcript:rna-LATHSAT_LOCUS26021 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTPSGKSDDCATEGCDETSGLVVCFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGADEFGYMLADILKQNNVDTSGMRYDSIARTALAFVTLRADGEREFLFFRNPSADMLLRESELDHDLIEKAKIFHYGSISLIDEPIKSAHLAALRIAKDSDCILSYDPNLRLALWPSAEAARDGIMSIWDLADVIKVSEDEITFLTGGDDPYDDNVVLNKLFHPNLKLLIVTEGSEGCRYYTKDFKGKVGGVKVKPVDTTGAGDAFVSGILYKIASDPSIFKDEERLQKALYFANVCGAITVTDRGAIPALPTKDAVMQFNAK >CAK8568293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591387438:591387719:-1 gene:gene-LATHSAT_LOCUS21265 transcript:rna-LATHSAT_LOCUS21265 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMKVVCAMMILVVVMVEMFSVAEGGCNAIQLSPCLPAIRSNSAPSTTCCSRLNDQKSCLCGYLKNPILKPYVNSSSSRRVAEACGVGVPNC >CAK8543845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643556962:643558099:-1 gene:gene-LATHSAT_LOCUS12571 transcript:rna-LATHSAT_LOCUS12571 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTETEPQGGLPNKDYHDPPPAPLFDTAELGQWSFYRALIAEFIATLLFLYVTVLTVIGYKSQTDPAHNGTDCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAILYMVVQCLGAICGVGLVKAFQKRYYNRYKGGVNMLNDGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPFIGAAIAAIYHQFVLRAQAAKALGSFKSSSNL >CAK8574657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5796837:5797780:-1 gene:gene-LATHSAT_LOCUS26982 transcript:rna-LATHSAT_LOCUS26982 gene_biotype:protein_coding transcript_biotype:protein_coding MTETWNHAALLVIGMQKDFIDEESPVRIEGGKDIVPNVIKAVEVARQRGILIVWVAREHDPLGKDVELFRRHRYTAGKVGPASVGSKGAELVDGLVFREGDYKLVRTRFSAFFATHLHSVLQGAGINTLVVTGVQTPNCIRQTVFDAVALDYQHVTVLVDATAAATPDIHRTNVYDIKNIGVATPTLQEWSEIKA >CAK8562674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524483967:524484960:-1 gene:gene-LATHSAT_LOCUS16152 transcript:rna-LATHSAT_LOCUS16152 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFKYQISVVCVILLFPVLCNCNKEYYAKSRASYYGTSDGYGNPRGACGFGDYGKIVNDGSVAAVSAKLWKNGGGCGACYQVRCKIAQYCDDNGAYVVVTDYGEGDRTDFILSSRAFSRLGRNAVTSQKLKKYGVLDVEYKRVPCTFKGNNIVYQINENSRNPGYFAINILYVGGTYDVNAVEIWQKQQHQWEAMRRSYGAVFDFANPPEGEIGLRFQVSSNAGSSWVRFTIPASWKAGATYSTKIQP >CAK8538461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483434265:483434861:-1 gene:gene-LATHSAT_LOCUS7653 transcript:rna-LATHSAT_LOCUS7653 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKGAPCVDRSPVSGPNPPAAPEIRYRGVRKRQCGQYAAEIRDPLKKARVWLGTFDSAIQAALAYDGAAISLRGAKAKTNFPHEALAALLPPPPPPLKDDTVFVNYPTSSGMSSTVKSFSGPRVGSSTSRVHMFLPVNDEGCHSDCDSSSSVVDDDEDCVVVCSSVRKPQPRNIDLNLPAPMNYNDEEICAIDLNL >CAK8541068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:56480795:56482096:-1 gene:gene-LATHSAT_LOCUS10019 transcript:rna-LATHSAT_LOCUS10019 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNSDTYDQEFWKLVEEEFMDDSDEEQQLQNERRSGSSSRPKRRITVDRGREEGHNRLFNDYFSKNPIYTDVQFRRRFRMHSHVFTRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSAIRMLAYEYPADLVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDVEHLLQMGESRGFPGMLGSIDCMHWVWKNCPIAWKGQFCRGDHGKPTIMLEVVASQDLWIWHAFFGIAGSNNDINVLNQSNVFNDILEGRAPNVQYTINGTPYNMGYYLADGIYPEWATFVKTISMPQGEKKKLFAQHQESARKDVERAFGVLQSRFAIIRGPVRAWHMDTLKHTIYACIILHNMIVEDERHTYEGNFDYFYDNVDINNSTTETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEHIWERFGHEDDEI >CAK8577501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569499307:569501718:1 gene:gene-LATHSAT_LOCUS29604 transcript:rna-LATHSAT_LOCUS29604 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGAVAYENMSPSVFILWLVTVPLLLAHPALSNPLGYFVNCGGTNEATVNGLKYIPDGSYIKVGSVATINKPDLLPTLSTLRYFTDTLSKKYCYSFPVIKGNKYLVKTVYYYGGFDGGKQPPVFDQIVEGTRWSIVNTTEDYAKGLSSYYEVVISVSHGKRLSVCLARNQHTGSSSPFISALEIKSLDNSLYKPTDFSKYALVTISRNAFGSENIISFPDDQFNRMWQPFKDQNLVVAGKANATSSDFWNLPPAKVFSSGITTSAGKTLEIQWPPVFLPSSYYYISLYFQDDRVPSPYSWRIFDVSINGNTFYSHLNATDKGVTVYAVQWPLSGLTKISMTPFGGMPVGPILNAGEVFQVLPLGGRTQTRDIIAMESLAKSIQNPPADWNGDPCRPRGNSWTGVTCSDQFVARVIALNLTNAGLAGTLPPTIENLTALSQLLLAGNKFSGSIPNMSELHELETLHLENNNFNGPLHPSIEKLPKLHEFSSDFQNNKLMGKLPNTKAK >CAK8577502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569499340:569501718:1 gene:gene-LATHSAT_LOCUS29604 transcript:rna-LATHSAT_LOCUS29604-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVFILWLVTVPLLLAHPALSNPLGYFVNCGGTNEATVNGLKYIPDGSYIKVGSVATINKPDLLPTLSTLRYFTDTLSKKYCYSFPVIKGNKYLVKTVYYYGGFDGGKQPPVFDQIVEGTRWSIVNTTEDYAKGLSSYYEVVISVSHGKRLSVCLARNQHTGSSSPFISALEIKSLDNSLYKPTDFSKYALVTISRNAFGSENIISFPDDQFNRMWQPFKDQNLVVAGKANATSSDFWNLPPAKVFSSGITTSAGKTLEIQWPPVFLPSSYYYISLYFQDDRVPSPYSWRIFDVSINGNTFYSHLNATDKGVTVYAVQWPLSGLTKISMTPFGGMPVGPILNAGEVFQVLPLGGRTQTRDIIAMESLAKSIQNPPADWNGDPCRPRGNSWTGVTCSDQFVARVIALNLTNAGLAGTLPPTIENLTALSQLLLAGNKFSGSIPNMSELHELETLHLENNNFNGPLHPSIEKLPKLHEFSSDFQNNKLMGKLPNTKAK >CAK8544525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690485863:690489254:-1 gene:gene-LATHSAT_LOCUS13196 transcript:rna-LATHSAT_LOCUS13196 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVILEKQLTGHRVDRSICNWFWDHAISQPDSFKQQPVIASPTHYLFQVFREGITFLACTQVEMPPLMAIEFLCRVADVINDYLGGLNEDSIKDNFVIVYELLDEMIDNGFPLTTEPNILQEMIAPPNIVSKVLSVVTGNSSNVSDTLPGSTASCVPWRTADPKYANNEVYVDLVEQMDATINRDGVLVKCEINGAVQVNSHITGLPDLTISFVNPSILDDVRFHPCVRFRPWESNQILSFVPPDGQFKLMNYRVRKLKSIPIYVKPQLTSDGGTCRLSVMVGMRNDPGKTVDSVTIHFQLPPCILSADLTSTHGTVNILSNKTCTWSIGRIPKDKSPSMSGTLVLETGMERLHVFPTFQVDFRVMGVALSGLQIDKLDLKTVPYRFYKGFRALTRAGEFEVRS >CAK8539578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516814006:516816442:1 gene:gene-LATHSAT_LOCUS8661 transcript:rna-LATHSAT_LOCUS8661 gene_biotype:protein_coding transcript_biotype:protein_coding MEESIKVCNTCGDVGKEDLLAFCKKCTKGAQHTYCMRVKLEKVPDSWTCEECTLREPTSSLMQEKVEKAARRMKGCLSETPLNLESIKGSKKIRLPYDGKSRTNDVRSTSHLKVKRHGDPLKDQQVKKSRAIETSFVEREKQKVRRSSIFHDNRKGKGPKLVSDSMSLNSLISGKSNTTCSKVLLADAYGLHDPTTCLLISDSGVNARKNAKETISSGDSLFSGSSFRNLDVVESSEKLDHKLQSDHNTHKDSDCPSINKKKKSQQAEDPIEATRAIIKGPAFDEWSRLSDTTLIEEARLLYGLLKPDLHSSWTGKFQIHNIEGIARTCDGFQANLSTFCSEKILDFVNSLPEIIILEELPRLRIWFSLFMGNQVTKEHIDLYFFAKDVNRFVW >CAK8536118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908984500:908985525:1 gene:gene-LATHSAT_LOCUS5517 transcript:rna-LATHSAT_LOCUS5517 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQVFFNYMQNSQNPNPQNSQIPLVPTNPAIFLLSPNNQNMYLIPQMNSNTMEFSTQVPPFSTQVGTEKEERVVVKKRSQEQFTRKEDILLIQSWLNVSKDPIVEVDQNAESFWLRIAASYNQYHGQLREKSGGQLKCRWHRINGMVQKFVGCYKIALKVKKSGTSETDFMEDAHAIFAQDQDTTFNLEYAWRLLKDEVKWRIVEELIGSSAKITKTYASGASSENPDTTSSYEFNSSSPMERPMGQKATKRKGKASEISNATQDAKNKIAITMDRLAQAKEDELELRVVQMIMKDTSTMNDSQRDIHEKYCNKMKKIWNVVSITYVKWLLVPF >CAK8570222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30074312:30107059:1 gene:gene-LATHSAT_LOCUS22994 transcript:rna-LATHSAT_LOCUS22994 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSLDDETTKKVIRQVEFYFSDSNLPRDDFLRKTVSESEDGMVSLALICSFNRMRKHLNLGDVKPNEVKEETVKAVAEVLKNAASLKLSEDGSKVGRVTELSKPEEVVEQVEIRTISASPFEYNVKLEEVESFFGQYAKVNSVRLPRHVGDKRFFCGTALVEFSSDEELQNVLKQKLVYAGAELELKPKKDFDAEREIELEKHEKCRKSVDSNHEEEEPETNYPKGLLIAFKLKGISNEVPSEKNSTDEQANGNNGVTKKDEQNPSEVAAAVEDSDQKMSENDGNDKENNGVNEEKDAEGKEKEQENNEVKEEKAEVEEKGQEKNGVNEGKAGGEKKGQETEKRSAASFKEDSDVVLREDLKVIFEKFGDVKYIDFKMGAESGFIRFDVPEAAQKARAAAVLSEKGGLVVKNFIAILDPVSGEAEKEYWSLLRGNQDKRRESFNNRGGFNNRGRGGRHGRGGGRFLRQRENDSAGGRPNKFRKY >CAK8570223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30103519:30107059:1 gene:gene-LATHSAT_LOCUS22994 transcript:rna-LATHSAT_LOCUS22994-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSLDDETTKKVIRQVEFYFSDSNLPRDDFLRKTVSESEDGMVSLALICSFNRMRKHLNLGDVKPNEVKEETVKAVAEVLKNAASLKLSEDGSKVGRVTELSKPEEVVEQVEIRTISASPFEYNVKLEEVESFFGQYAKVNSVRLPRHVGDKRFFCGTALVEFSSDEELQNVLKQKLVYAGAELELKPKKDFDAEREIELEKHEKCRKSVDSNHEEEEPETNYPKGLLIAFKLKGISNEVPSEKNSTDEQANGNNGVTKKDEQNPSEVAAAVEDSDQKMSENDGNDKENNGVNEEKDAEGKEKEQENNEVKEEKAEVEEKGQEKNGVNEGKAGGEKKGQETEKRSAASFKEDSDVVLREDLKVIFEKFGDVKYIDFKMGAESGFIRFDVPEAAQKARAAAVLSEKGGLVVKNFIAILDPVSGEAEKEYWSLLRGNQDKRRESFNNRGGFNNRGRGGRHGRGGGRFLRQRENDSAGGRPNKFRKY >CAK8541776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392926293:392927083:1 gene:gene-LATHSAT_LOCUS10671 transcript:rna-LATHSAT_LOCUS10671 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQEEVKLFGIRGSPFVTRVDIALNLKEIEYKYVEEKLGNFSETLINYNPVYKKVPVLVHNEKPISESTLILEYIDETWKQNPILPSDPYKRALARFWSKFIDDKCLGAVLKASSSHDEKEREESFKEIEVAFQFLENQLKEKYFGGEEIGIVDITAVFIAFWFPIIQEATGLKLFTSDKFPKLYKWSEDFTNHPIVKEQLPHRETLLAFFKARFENFVASK >CAK8577661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582055160:582055627:-1 gene:gene-LATHSAT_LOCUS29748 transcript:rna-LATHSAT_LOCUS29748 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTKSNIRILSKENLPRIASDDDEMVQISGNLDVAKDALVHVLTRLRANLFDREGAVSGFLPVLPYIPAPADSSDGLGYDSRDGRRYGRGHSYSSGYGGSSDLGAGDTYGSYGVSQLAGSSAYGDYESYSLGQDSTVWLPSQNNVSRRRNPAY >CAK8571787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473107579:473109726:-1 gene:gene-LATHSAT_LOCUS24417 transcript:rna-LATHSAT_LOCUS24417-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFMYQRRDREAPKLTESGFQFLLLVFACSDSRVCPSRILDFQPGEAFVIKNIANMVPPCHKTKHSGTGAAIEYAVMHLKTHPEFFPIHPTSYSSSSLSPSRQNPKNHYAVVSQLYMRTNVDSPSSRYNRPHHHREEHLHSSSCHSQSFPTPNSTPSRRRLGS >CAK8571786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473107539:473107871:-1 gene:gene-LATHSAT_LOCUS24417 transcript:rna-LATHSAT_LOCUS24417 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILKTPLFAIPRLTPSFFPYTPPVILPLHSHRPAKTLRTITPSFHNSTCAPMSTHQVRDTIDLTTIEKNIFIRLLATLNHFQLQTQLRVAGGWVRNKVSTHSNPLYHS >CAK8575712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:267223625:267232781:1 gene:gene-LATHSAT_LOCUS27951 transcript:rna-LATHSAT_LOCUS27951 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFVTSSSPKFFATNSLRTISPFASRFSVRSMADSAPFNKIQIQRDDNTTFDAYVIGKHDAPGIVVLQEWWGVDFEIKNHAALISQLSLGFKALIPDLYRGKVGLDVAEAQHLFEGLDWPGAVKDIHASVDWLKANGSKKVGVTGFCMGGALAIASSVLVPHVDAVVAFYGVPSSELADPAKAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKASGVPNEVHIYPGNTHAFMNRSPEGITRRKNMGLPDEDEASVQLAWSRFQSWMTQYLSS >CAK8544498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688967737:688969987:1 gene:gene-LATHSAT_LOCUS13171 transcript:rna-LATHSAT_LOCUS13171 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFWFLITIELVFTNCVYAIYPFNQTQNLSLMDLDLEEEAHERENLLLVGLTLIHNAAAKGAVCLDGTLPGYHLHRGYGSGANSWLVNLEGGGWCNNVRTCVYRKKTRRGSSLFMEKEIPFTGILSNKPEENPDFFNWNRVKLRYCDGGSFAGDGEDQAAQLQFRGQRIWAAAMEDLMTKGMRLAEQALLSGCSAGGLATIIHCDEFRGLFPRTTKVKCLSDAGLFLDSIDISGERTLRNMYNGVVGMQGARKNLPQICTNHLDPTSCFFPQNLIASVRTPLFLLNTAYDSWQIQSSLAPPSADPHGYWHDCRLNHAKCTRPQLQFLQGFRNHMLNSIKDFSRSNKNGLFINSCFAHCQTERQDTWFSDNSPVIENKVIALAVGDWFFDRAGVKVIDCPYPCDNSCHNLVFS >CAK8541979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:446478513:446481136:1 gene:gene-LATHSAT_LOCUS10856 transcript:rna-LATHSAT_LOCUS10856 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSITLFIVVVTLCFLLELEGKSIDPYKVLGVDKSASQREIQKAFHKLSLQYHPDKNKAKGAQEKFSQINNAYEILSDEEKRKNYDLYGDEKGNPGFQTGYPGGQGGPGQSSFHFRPGEQWGSGDQGGAKSFSFSFGGGDSNSFGFGLDDLFGNFFGGNSFGSKSGSSFGNSFRSQSGSQSSPKSLKAINSNVYKKEIVDEGMTWLLLSYLPSLRGIQHIESIIGEVSSTLQGALKVGSINCEKEVSLCKEIGVYSRKAPRLFVYSYKENEKGSLVEYGGDLSVKDLKAFCQQHLPRFSKRIDLDQLDQFSTTGKLPRVLLLSTKKDTPVIWRVLSGLYRKRITFSDVEVPDVYDSRVKRLGVDALPAIVGWLPNGEKHILKTGVSVKNIKSGVQDLSNILDSFEKASKKETSSQSKKEQADSEDGHIQLISRSNFEDLCGEKTPVCIIGAFRSSKAREKLESLLSLVSQMSLSRKPNPGGSSRDSISYALLDSAKQQTFLNAFDKTGYKSSDKLLIAYKPRKGKFTVFTGEMTTEEVENFISSILSGDIPFRETHKKPVLK >CAK8539748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523882805:523884650:-1 gene:gene-LATHSAT_LOCUS8817 transcript:rna-LATHSAT_LOCUS8817 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPVNFITVLSIDGGGVRGIIPGVILAYLESQLQEIDGADARLADYFDVIAGTSTGGLITAMLTAPNPNNRPLFAAREIVPFYLKNLPLIFPQKSGIFAPLFNIATALTGPKYNGEYLQKTIKEMTGNTLLSQTVTNIVIPSFDVEKLQPTIFSSYQIDAEPALDVKLSDICIATSAAPTYLPAHYFEKKDEQGRVIQEYNLIDGGVCANNPTMVAIREVTKDMIRQPQGRNVNSAGVGLDRFLVISIGTGSNKSERKYNAKMVAKWGALTWLFNSGATPVLDCFNEASTDMVDYHNSVLFTALQSQDNYLRIQDDTLEGVLASVDISTKDNLNNLVKAGENLLKKKFTRVNLDSGVYETVPDKGTIQEELNRFAGLLSEIRKAKKCKHQNGK >CAK8572561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546749553:546749852:1 gene:gene-LATHSAT_LOCUS25114 transcript:rna-LATHSAT_LOCUS25114 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKALMMLSLLLVVLGNVEGGRRMLKESVKHPETFNLGGGNGRLPSTPPGNFAAGVGFGPDGLRFCTFPGGCTNGILPNIPGTSVGNGAGGLIPHP >CAK8560657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34630254:34631067:1 gene:gene-LATHSAT_LOCUS14316 transcript:rna-LATHSAT_LOCUS14316 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMMASTEDASKFTSRKSSCVQPWWKTTDIDELAYLVSQKLLNHVENCDLPPPPRKAKENLDSGLMHREMERSSNQGHFYISFDKFSSYSSVHESETEENFEGDHSKARLMEALRCSQTRAREAEELAKQAYAEKEHIVALFYIQASHLFAYKQWCRLLHLEGLSTGIQNKHTSVSSLFHDASFVGKKPLVRKGEIVDEKHEKIDKLKSDVSTTYDVAFALGLSLVGAGLLLGWTVGWMLPRM >CAK8560658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34630266:34631067:1 gene:gene-LATHSAT_LOCUS14316 transcript:rna-LATHSAT_LOCUS14316-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEDASKFTSRKSSCVQPWWKTTDIDELAYLVSQKLLNHVENCDLPPPPRKAKENLDSGLMHREMERSSNQGHFYISFDKFSSYSSVHESETEENFEGDHSKARLMEALRCSQTRAREAEELAKQAYAEKEHIVALFYIQASHLFAYKQWCRLLHLEGLSTGIQNKHTSVSSLFHDASFVGKKPLVRKGEIVDEKHEKIDKLKSDVSTTYDVAFALGLSLVGAGLLLGWTVGWMLPRM >CAK8531460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112024953:112028258:-1 gene:gene-LATHSAT_LOCUS1251 transcript:rna-LATHSAT_LOCUS1251 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSISITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDIAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVRWPKKDESAFFKDANEVLKYLGADALKRIVENAEPYNSTH >CAK8564175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655056618:655058419:-1 gene:gene-LATHSAT_LOCUS17503 transcript:rna-LATHSAT_LOCUS17503 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEGSSRSGPRRTVVGDLLKPLNSEYGKVAPGWGTTPWMGVAMALFAVFLSIILEIYNSGVPWFTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTSVILGVLTASFGVTGYSLPWDQIGYWAVKIVTDVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >CAK8537243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:175030589:175033454:1 gene:gene-LATHSAT_LOCUS6546 transcript:rna-LATHSAT_LOCUS6546 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLLLCFILHSGFEAEALSYDYSASVECLAHPEKPLYNGGIIQNPKLNDGLQDWTAFGEAKIQHRESLGNKFVVAHSRKQPYDSVSQKIYLRKGLHYTLSAWIRSSEKNVAVTALVKTSKAYKFGGAIYAHSNCWSMLKGGFIADTTEEAHLYFESNNTSVEIWIDSVSLQPFTEKQWMSHRRQSIEKARKRKVAIQAVDKQGKPLSNASISVTLNRGGFPFGCSMNKNILNNKGYQDWFASRFTVTTFENEMKWYTDEYEQGKENYYEADGMLQFAKSHNIDVRGHNIIWDDPKYQPKFVYSLPQNQLYPAVYKRVNSVVQRYKGQVIGWDVVNENLHFSYFESKLGNDFTPKIFTQVHVIDPQTTLFLNEYNTIEDSRDGASSPPKYIQKIKEIQTYNKNIPLGIGLEAHFPNGSPNLPYMRASIDALAATGYPVWITEIDVERQNNQVWYYEQVLREAHSHPKVKGIVTWTGWNPEGCYKMCLVDNDFKNLPAGDVVDKLLREWGNRKLTFTTDQNGFFETSIFHGDYELEITHPDKKNYTFTHKVQVHSKDDESKKTRQFIQLSI >CAK8576334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:460953701:460954555:-1 gene:gene-LATHSAT_LOCUS28530 transcript:rna-LATHSAT_LOCUS28530 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDSLSLQSTFTFLFFSFTFLFSIFSLLIYISRIKPWCNCNTCKTYLSMTWSQYFINLCDYYTHLLQTSPTGTIQVHVLGNTITANPENVEYILKTNFHNYPKGKQFSTILGDLLGRGIFNVDGDSWMFQRKMASLELGSVAIRSYAMELVTEEIKTRLIPFIASKTDQNDDAFIDMQDILRRFSFGNICKFSFDFDPCCLVPSIPVSKLADAFDLSSKLSAERAVNASPLIWKMKRFFNVGSEKKLKEAIKVVNDMVKEMIKQKREIKIGVDLRKVLLSRF >CAK8573574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621401921:621403891:-1 gene:gene-LATHSAT_LOCUS26007 transcript:rna-LATHSAT_LOCUS26007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAESGCSSSKGSTSFNCYKVANLTETILNAKQTSNLKDRYILGEQLGWGQFGVIRTCSDKKTGEVLACKSIAKDRLVTSDDMQSVKLEIEIMAKLSGHPNVVDLKAVYEEEDFVHLVMELCAGGELFHLLEKHGRFSESEGMVLFRHLMQMVLYCHENGVVHRDLKPENILLATKSFSSPIKLADFGLATYIKPGESLHGLVGSPFYIAPEVLAGAYNQAADIWSAGVILYILLSGMPPFWGKTKSRIFEAVKAADLRFPSEPWNRISVSAKDLIRRMLCIEPSQRLTAQEVLEHCWMESDPTNLEQPSENRVESCEEWHVGGGSFSASFMSRNNDISFGASSPTCDAQSPTFTCKSSFSSIFVEPVTPFSASGGFSFRSSGGPIGLEFSTPVSSMPSFAFLSPSSVVEQKNLIFEFDSNTSEVNAIAKDSPLRLGNDVKERDRKPVETKRACGTNCNRTLGFHSKRNRTIGLGECEQLDLVVTESVIRWLSCTQLPTSPKSSLVC >CAK8532771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511921765:511922511:1 gene:gene-LATHSAT_LOCUS2444 transcript:rna-LATHSAT_LOCUS2444 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLLQPSFLMSKTRSCALILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRNLYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTSTEMGAFFTLFTLVTGGFRGRPMWSTFWVWDARLTSVFISFLIYLGALRFQKLPVEPTPISIRARPIDIPIIKSSVNWWNTLHQPGCISRSGTSIHVPMPIPILSNFANFPLSTRVLFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8532772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511921864:511922511:1 gene:gene-LATHSAT_LOCUS2444 transcript:rna-LATHSAT_LOCUS2444-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRNLYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTSTEMGAFFTLFTLVTGGFRGRPMWSTFWVWDARLTSVFISFLIYLGALRFQKLPVEPTPISIRARPIDIPIIKSSVNWWNTLHQPGCISRSGTSIHVPMPIPILSNFANFPLSTRVLFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8562696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528354615:528355490:1 gene:gene-LATHSAT_LOCUS16171 transcript:rna-LATHSAT_LOCUS16171 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEEVSALKSIKLHLLGEFSPLPSPISQPWSFDFDFQFQTNQTNSSSFDSSISYLTNLIESETQIPVFEFASKTQPIEPASPEALISHPQRTVEKKPQLSRKPSLKIALPNKTEWIQFGNPDPNPNPEVVVQKPEVVEKQHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVNAMAAEAAEKSDENKKRCREEEEDDVVEVKPVVKKEKTEDFDVNCIKEMPLTPSTWTGFWDVDVKGTFSVPPLSPLSSFCFSPLVVV >CAK8539246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507502047:507502441:-1 gene:gene-LATHSAT_LOCUS8358 transcript:rna-LATHSAT_LOCUS8358 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILEYGDKIIMPASALDRLASMNIEYPMLFELRNSSADRTTHCGVLEFTADEGTVSLPNWVYNYISFPFIVHIQ >CAK8537667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:418621356:418622264:-1 gene:gene-LATHSAT_LOCUS6933 transcript:rna-LATHSAT_LOCUS6933 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSLINSVIANLPIHHLAFFKAPKKVVNEIIAIQHRFLWAGNSSKKFISWISWNSVCKPKDHGGLGIKHVGRFNCALIAKWLWRFQSGGNEIWRKTLTLRYGNLSIKLKNFIDVGSLKSDSIWLKDIMSSCIYDPHMDFCKFTTCSVGEGHGAAFWKSNWIGYLPLKSIFQQLFQGCSKKASTVSEMGAWEDGQWVWKIRENVIDAGSPIEPEWTDYRNMLEHVSVNNNESDNWKWLLDNSMSYKVISFYSALTSSSSVHDIGNDTATLLEILWKTVLLAKVQTLVGGWRLIGCLLDLI >CAK8560892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:53454352:53457717:-1 gene:gene-LATHSAT_LOCUS14531 transcript:rna-LATHSAT_LOCUS14531 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMVAGAFLTPVIQVIVERVASGEYKDLFSKRLVEKLEITLNSINQVLDDAERKQYQNPNVKIWLDRIKHEVYEVDQLLDEISTSAQRKSKVKHFFSSLTNQFESRIKDLLDKLEYLLKQNDVLGLKEGTHARNELEVDPESSIRVPTTSLVDESRICGRKDDKEKIINFLLLDNGSDSNQAPIISIVGLGGMGKTTLAQLVYKDHRVQKNFELKAWVYVSESFDVIGLTKAILESFGSSTNTENLDLLQCQLQEKITEKKCLLVLDDIWKINWENCEKLLIFFNEGSSGSKIIVTTRNKENALAMESELFELDQLGESDSWSLFERHAFPNKKGSEYPDLEPIGKRIVGKCGGLPLAVITMGKLLRAKFSKSKWIEILEDDMWGLSEKNTGINPVLRLGYHNLPSNLKPCFAYCSIFPKGYEFDKNKLIQMWMANGLLNSYKSDKSKEELGSEIFNVLESILFFQSSLDFYGRFIMHDLVNDLAKSVSREFCLQVEDDKKVNHISKWTRHIWLSFDSEDGDRILKHIYRSKGLHSLLVDPRSGNCTWINNNVQCDIFSKLKYLRMLSFPGCWYLLRELELADEIGNLKFLRYLNVSWTSIKRLPESICKLCNLETLILEGCSNLTEFPLDFCKLDCLRHINLKGTAIKKMPKNIRKLNHLQILTNFVVGEPNGSDIEELESLNLQGKLRLSGLNNVSNPAHAVEARLQDKKSLEKIRMTFDFEKEEIDGSIVENNEFVLKALRPNNNLKRLTIKNYNGNMFPTWLCSCDLPNLVSLKLHNCKGIKIFGNNSTNVPFKFLEVLKFDCMSEWEEWLCIEGFPRLKELFIRHCPKLKAALPYHLPSLQKLEIIGCEMLDVSIPNCVNIIELDLQNCNKILINELPSSLKTFILCNIQYVEFSMDRLIKCPFLEELVLDFKDFVECPSLDFCCYNSLCELSITGWKFPSLPFSLHLFSNLHSLTLSNCPKLESFPMGGFPSNLSFLNIDSCPKLVALRETWGLFQVNSLKYFAISDDFENVESFPEENLLPPDLVSFYLLNCSKLRIINCKGFLHLKSLIYLSIWSCRSLERLPEKSLPNSLVKLAISDCPIVKEKYKVDGGERWHTISHIPNVRIDTIQQQ >CAK8567619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529336074:529336946:-1 gene:gene-LATHSAT_LOCUS20656 transcript:rna-LATHSAT_LOCUS20656 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAGYSLNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRAFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRSTYYRLGSLFASRGKNWSAVLQSGQLFSESSMKYMKDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSSVRHDPFLLLSDVYKVVNLFGIYNNTFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRNNCPNVGTSSR >CAK8569508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3606979:3609855:-1 gene:gene-LATHSAT_LOCUS22353 transcript:rna-LATHSAT_LOCUS22353 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENKPTSSSESSTRNAEQNPQAETSQDEYLLTDNSTSTSQETEQIHQGAIAADSKPEALEDINNRQRDGGSTATASSDVDNLSTELSTSSITKELPIEPIDSSRDGVIDASQENLVSTSNFEVHVTEESHQEPIVADSESGSLEDIVNMEMEGDSTVIVADNPVEYGSGSLEDLVNMEKDGDSTVSVDNQIEPLTSSSEKIELQNDSKELNVNLDEIKVSDDAIGGVGSPTNEKKIEEKRGIVDTAAPFESVKEAVSKFGGIVDWKAHRMVTVERRKQVEQELEKAYDEIPEYRKISETAEQEKVEALQELDSTKRLIEELKLNLERAQTEERQARQDSELAKLRVEEMEQGIAEDSSVAAKAQLEVAKARYTAAISEFTMVKHELDALRVEYASLVDEKGEAINRAEDAVAASKQVEKTLEDLTIELIATKESLETAHSAHMEAEEHIIGTVMARDQDLLNWEKELKQEEHELEKLNQKILSAEDLKSKLSKASTLLLDLKAELNAYMEKSSQESDEGVLKAELDKKSHNEIQEAIASAKKELEEVKLNIEKATSEVNYLKVAVTSLRSELEQEKLSLALIRQREGMASVTVASIEAELNKTKTEIGFIHMKEKEGRETVLEMPKKLKEAAEEANKANLLANEAREGLRRVMEEAEQAKASASTMFSRLLAAQKEIEAARASERLAMKAIKTLQESELARSNKRNEVDSSKGVELSVEEYYNLTKQAHEAEEEANVRVASANSEIDIAKEAESKTLEKLNEVNKEMAARKESLKIAMDKAEKAREGKLGAEQELRKWRAEHGQQRKAGETGQKVVNQNTSHSGKLEQNNKGTIPPGHHFSTQKSYVHANNENGSSPDAKNGKKKKKSFFPRVFMFFARRKSHSTH >CAK8566387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418156280:418157813:1 gene:gene-LATHSAT_LOCUS19520 transcript:rna-LATHSAT_LOCUS19520 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFNPSSSVTQIALVSLLCFISLFNLPQTKALWLTLPSSGTKCVSQEIQTHVVVLADYYVVADNIKGHPLPTISVKLTSPYGNNLHHNENVTQGQFAFTTAESGSYVACFWMDSKNVDGTSISLDWKTGISAKDWDSVAKKEKIEGVELELRKLDGAVEAIHQNLIYLKNRESDMREVSEATNGRVAWLSIMSLGVCVSVSVLQLWYLRRYFQKKKLI >CAK8565912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:351829621:351833292:-1 gene:gene-LATHSAT_LOCUS19087 transcript:rna-LATHSAT_LOCUS19087 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSSKRRFRSPAPPTPAAASPPSRKSSRHCIAASPNSAPSVARRIITDENLIESCETVSVKSKWNPKDGEQLRSVKEVLHLSTAPSTIVCREEEQDVVLGFCKGSVEHEKAGSLYICGCPGTGKSLSMEKVKVNLLNWAEEAGLPLPDVLSVNCTSLSNTSDVFAKILGLHKTPGKKGSSTALQQLQNMYSHESPSKNMILIVADELDYLITKDRAVLHDLFMLTTFPFSRCILIGVANAIDLADRFLPRLTALNCKPTVVTFRAYTKDQILKILKERLNELPYTVFQHQALELCARKVAAASGDMRNALSICRSAIEMLEAEIRDSASNLNALEEKSSSEQNLPTALDVVKKQELDMVRIDHMALALSKTYRSPVVDTIQSLPHHQQIILCSTMKHFRGAKKDSILGELYKSYAAICKSSLIPPAGIMEFSNMCRVLNDQGLIKLGQSRDDKLRRVTPKVDEGDITFALQGIRFFRNCLQ >CAK8539521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514856326:514859036:1 gene:gene-LATHSAT_LOCUS8607 transcript:rna-LATHSAT_LOCUS8607 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMSKGDDGKTNGVHIENGFSKRFLNGFDEHEDSESDEDYDSPEVLKQSILEGPRVAIGFDDGCVRIYTISDSNEFIYLKSLTRVSGRVLSVALSVDAKFIFSGSSDGIICIWNAKSGLEAHRIQARLGGDSGHELCIWSLLYLRSGTLVSGDSSGSVQFWDCQKGASSQEPITRHKGYVHALAAALNHNMVFSAGSDGKVVLYKLSSSTMEKENWVYVDYKKGHSHDVRALTIAVPISQEDTLPDERIKRTRLELKPDDSS >CAK8565897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347989253:347989636:-1 gene:gene-LATHSAT_LOCUS19073 transcript:rna-LATHSAT_LOCUS19073 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEYRTILKYWLMIFLFRVDEKVVPVNFLTDPLDGKSTLKPTDVLMYEWVGEIHACLDLTGVSPQVGLRTGGFNVE >CAK8577413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562451157:562452161:-1 gene:gene-LATHSAT_LOCUS29526 transcript:rna-LATHSAT_LOCUS29526 gene_biotype:protein_coding transcript_biotype:protein_coding METSSGNNSSNMMWFFKDRGFDDNIIQGMFKKCKRLEMVHQERASENWEYLKTIGIQERKLPSIVSKCPKILVLGLNEKILPMVECLNTLATKPHEVASAIAKFPHILSYSVEEKLCPVLAFFQALGVPEKQIGKILLLNPRLISYSIETKMGEIVKFLASIGLDKDGMIGKILVKDPFIMGYSVDKRLRPTSEFLKSIGLTEKDLQVLALNFPSILSRDVNKVLVHNYDYLKSRGFQGRQIVDLVVGFPPILFKSVRNSLEPRIKFLVDVMGRQVNEIIDYPCFFRHGLKKKIVLRHKLLQQRNLNCSLSEMLDCNETKFHLKFGLLGHALSN >CAK8575205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52263718:52270996:-1 gene:gene-LATHSAT_LOCUS27489 transcript:rna-LATHSAT_LOCUS27489 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLILDFLLSQRSQRSLVLPHQGVKTRLFLNSADRRGYSVFNEFSKKVKDETVRNPEFQQSVKELKEKAEELKGVKEGLKEKTKQTFEQLYKQADGVWTEAEAAAKKVSYNVKEKISAATEKVKETPGIGKQDSSGSTDSSTKQDTDAEQGSQTSHEEEKTQQFTPDNASESLFGKFKSTFSSPNVSTSFQKLKDAKIVDITKKGYDILKEELSSNPTKRRHVRSTFSGETSTKTDLVVTPSTQSGWSKKFGEIKDKVKSFPAFKRFIKYTDPVKTKSQEIVEDLRERYDTSDNPIIHKIQDINDTMFQETDAALSYKEIRQRDPSFSLTEFVGEVQEAIKPVLNAYIKGDVETLKKYCSPQLIERCKAEHSAYKSHGIFFDNKILHISDVEVRETKMLESSPVIIIVFQTQQIYCVRDKNGAITEGGKDTIHMVYYLWALQQMDREDHGEDAIYLMWRLREMQQQGIQALI >CAK8570435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46276905:46277230:-1 gene:gene-LATHSAT_LOCUS23182 transcript:rna-LATHSAT_LOCUS23182 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDDSNIVVLRHNVWRVKGLVQISRSIGVVYLKKAEFNREPLYAKFRLREPFKTPILSSEPSISIHQLQPEADI >CAK8573692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630991660:630992125:-1 gene:gene-LATHSAT_LOCUS26103 transcript:rna-LATHSAT_LOCUS26103 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKFVALLLVVCLVTVEGHNISIKYGEKVVSPEDCFIYCTTASVLPKFIKNPLCKWRCDSFVMWESYDGSFARRHGPEVDAPSSVAPAPIGQIGFE >CAK8544888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710501063:710502073:-1 gene:gene-LATHSAT_LOCUS13533 transcript:rna-LATHSAT_LOCUS13533 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASEPVGYPEGPYDTSLLVRYEQHVAYRIWFGEERGSKKELKVAGHGVKLTQRVPLQLPREMENWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGIFWNPPDISEALTVEWAVHYLGVSHRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWGYATRAYLLMLVDSTIFADKTFTLVEARYLSLFIAWMAYQDTVGQQLRWLLFTDISEMLPCSAVSSLVVIRLSYSADS >CAK8576192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:421751821:421752126:-1 gene:gene-LATHSAT_LOCUS28398 transcript:rna-LATHSAT_LOCUS28398 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKHLIALLALSFLLSLNSLHTIMAARIIPSSAPSTVTRPLFSSEAENYLKPRLDHTQRFFQGRQVKNCLPKGYRHNSAPSRFVNYDTLGGCSGIRSEKP >CAK8543204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587872300:587874897:-1 gene:gene-LATHSAT_LOCUS11977 transcript:rna-LATHSAT_LOCUS11977 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFSIADSLLGKLVSHAYEEVSRAYGVYDDLQQFKETLSIVRGVLLDAEYKKDQKHGLREWLRQIQNICYDAEDVLDGFEFQHKRKQVGQTSNKTGTKVRHLFSSSNPIVLRPMMAHQIKEIRERLDKVAADGIKFGLTNIDVGPEPVLQRSELTHSHVNASDVIGRKNDKENIIKLLMQPHSQSDGDQSLCVIPIVGIGGLGKTTLAKLVYNDKRMDQLFQLKIWVSMSNNFDTWHIIIKIINSPSAFGPPSVAYQENLNNLDTELLLSRLRHTLSGQKFFLVLDDIWNDDRAKWMELMDLINIGAAGSRIIVTTRSKSIANMTGTLPPYVLSGLSQDDCLSLFVKWAFKEGEEIKHPGLLEIGKEIVKKCAGVPLAVRTLGSSLFAKYDSKKWISLRDCEIWNFEQKKGDILPALKLSYDQMPSYLRQCFAYFSLYPKDHAISIGDITRLWIAHGLVKSQNRSEQLMDIAREYIEELYSRSFLQDFENFGFVVTFKVHDLIHDLAIYVAKEECVAVDSHTGNIPEHVRHFSIIGNNSTDKVSLSNSRGLRTILFPVKWVSTVSETLLDTWISKYEYLRYFDLNRFSFETLPSSIAKLEHLRVLDLSYNAYIKRLPNSVCKLHNLQVLRLIGCTKLETLPQGLELLISLRELYITTNQSVMSLTELENLKHLQVLVFYKCDNMKFLFNKVQQLTSIEILNVESCGSLESLPLFIFPKLLDLAISDCQMLNLTLYNESSIPKLMMKRLYLGILTGFLTIPTWIEGVVETLETLEIVGLPDFTTLPECLTTMTRLKRLWILYCPQLLSLPSDFHHLTSLEDLVICGCPKLFQKYQPEFGEYWPMIVSMKITSIAILQGVVEE >CAK8574918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15206833:15207306:1 gene:gene-LATHSAT_LOCUS27217 transcript:rna-LATHSAT_LOCUS27217 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKINLKSRDGDVFEIDEAVALESQTIKHMIEDNCADETGIPLPNVTSQILAKVIEYCKKHVAAANSEEESVDEKALKTWDAEFVKVDQVTLFELILAANYLDIKSLLDLTSQTIADSMVGKTVEEVRKMFNVENDFTKEEEEELRRENHWAFE >CAK8533065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574086990:574087855:1 gene:gene-LATHSAT_LOCUS2717 transcript:rna-LATHSAT_LOCUS2717 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVESPPPPPPPQYLEVNCRTSGKILRFAPGTDAGFAVALINRKRKGTVPLVTHIEAVKDGEEEEAIAFGPSAILSNFGEGWKLQTVLSSEQIKGPIQGMAKQTLKHASNVPGGESREPNPIGSVYIVKIILAFILIFVLGAIFTLFLDNLPALILFVQSFI >CAK8567330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505621990:505626057:1 gene:gene-LATHSAT_LOCUS20394 transcript:rna-LATHSAT_LOCUS20394 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVDTCKFDDLQVDIEKNVPQDVRSQWILNAPEPPSPWHVAADSVRKTVSSFRGKVSSLNDRSCGTVLLSALQVVFPILVWGRSYTAAKFRKDFLAGLTIASLCIPQSIGYATLANLAPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVQKLVDPFADPVGYTKLIFLATLFAGIFQTSFGLFRLGFLVDFLSHAAIVGFVAGAAIVIGLQQLKGLFGITHFTTKTDIISVLKAVWEAFHNPWNPHNFILGGSFLVFILTTRFLGKRKKNLFWLASIAPLVSVILSTLVVFLTRADKNGVKIVKHVKGGLNPISINQLDFNSPHVVDVAKIGLVVAVVALTESVAVGRSFASIKGYQLDGNKEMMSIGFTNIIGSLTSCYVATGSFSRTAVNYAAGCESLISNIVMAITVMISLQFLTNLLYYTPIAIIASVILSALPGLIDISEAYKIWKVDKLDFLACVGAFFGVLFASVEIGLLVAVVISFTKIILISIRPSTETLGKLPGTDLFCDVDQYPMAIQIPGVIIIRMKSALLCFANANFVKERIIKWVTQEESEDDKGNSKSIIQLVILDTSNLVNIDTSGIASLEELYKSLSSQGKQLAIVNPRWQVIHKLKVSKFVDKIGGRVYLTVEEAVVAGCKSNQF >CAK8534017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681767465:681768456:1 gene:gene-LATHSAT_LOCUS3593 transcript:rna-LATHSAT_LOCUS3593 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGVKVTITQVLAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPILNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVINCDGNLTKVQETIGYYDPLQYPILFSFGIYGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSGRLLQQYVVDNYVKIETERLRWIRRNQNNIRSEVYQGLQDALHDG >CAK8532218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221584837:221586241:1 gene:gene-LATHSAT_LOCUS1949 transcript:rna-LATHSAT_LOCUS1949 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVTPILKDELDIVIPTIRNLDFLEQWRPFFQPYHLIIIQDGDPSKVIKVPQGFDYELYNRNDINRILGPKASCISFKDSACRCFGFAISKKKYIFTIDDDCFVAKDPSGKEIDALQQHIKNLLTPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNVPDYDAPTQLVKPRERNTRYVDAVMTIPKGTLFPMCGMNLAFNRDLIGPALYFGLMGDGQPIGRYDDMWAGWCAKVISDHLGLGVKTGLPYLWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVSLPKECTTPQKCYIELSKKVKAKLGLVDDYFNKLADAMVTWIEVWDELNSSEEKTVALPNGLEK >CAK8532059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197332270:197337182:1 gene:gene-LATHSAT_LOCUS1796 transcript:rna-LATHSAT_LOCUS1796 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAPDELLLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDVPLGLYVIRGENVVLIGELDLGKEELPPHMTYVSEADIRKAQKAERDASDLKGTMRKRMEFLDFD >CAK8566005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366659059:366659928:1 gene:gene-LATHSAT_LOCUS19174 transcript:rna-LATHSAT_LOCUS19174 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTLVKENKETLTEPIQPQHEERKPWVDVINDNRNPTRGMAIEYVAPKLINGVFEINIEQEDIETKIQFWDNALILYVMGDDLSMNTVKNFMQRMWNFVKMPDLYYHDDGYFLIRFSSQEDKEAVMMKGPYTIRNMPVILKEWQTGFNSKKDLLRTLPIWVKLPLHLWGSKNLSKIGSAIGKPLVTDECAANKLRVSYARLLIEVDITQPLIDEIAIRNVEGDIIMQPVNYEWRPKFCETCQKIGHQCEDRGKKQLWKPKLKPPEMSINITPVKPPETEDTTEIGRDS >CAK8573035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578488470:578490537:-1 gene:gene-LATHSAT_LOCUS25529 transcript:rna-LATHSAT_LOCUS25529-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAQSSSEIYTSDSEKGFAIDAHSSGSSELDAGAKFVLVSHGSWLHCGYHLTTSIVGPVILTLPFTFTLLGWFGGMIWLILAGVITFYSYNLLSLVLEHHAQLGRRQLRFRDMARDILGPRWARYYIGPLQFIICFGTVIGGPLVGGKSLKFIYQLYHPEGAMKLYQFIIICGVVTMVLAQLPSFHSLRHINLISLILCVTYATCLTVGSIYVGHSKDAPPRHYSIKGSHTDKLFGVFNGISIIATTYASGIIPEIQATLAPPVKGKMFKGLCVCYSVILATYFSVAISGYWAFGNAAGATVLANYIGETKLLLPKWFFLMTNILILLQVFGLTAVYLQPTNELFETIFGDPKKGQFSMRNVVPRVLSRSISVAAATVFAAMLPFFPDIMALFGAFAFIPLDFILPMVFFNITFKPSKQSLIFWVNTLIGGGSSILVVIGGIASIRQIALDAKTYSLFSDS >CAK8573034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578488470:578490591:-1 gene:gene-LATHSAT_LOCUS25529 transcript:rna-LATHSAT_LOCUS25529 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNNISITEKLNQQEIDMGTAAQSSSEIYTSDSEKGFAIDAHSSGSSELDAGAKFVLVSHGSWLHCGYHLTTSIVGPVILTLPFTFTLLGWFGGMIWLILAGVITFYSYNLLSLVLEHHAQLGRRQLRFRDMARDILGPRWARYYIGPLQFIICFGTVIGGPLVGGKSLKFIYQLYHPEGAMKLYQFIIICGVVTMVLAQLPSFHSLRHINLISLILCVTYATCLTVGSIYVGHSKDAPPRHYSIKGSHTDKLFGVFNGISIIATTYASGIIPEIQATLAPPVKGKMFKGLCVCYSVILATYFSVAISGYWAFGNAAGATVLANYIGETKLLLPKWFFLMTNILILLQVFGLTAVYLQPTNELFETIFGDPKKGQFSMRNVVPRVLSRSISVAAATVFAAMLPFFPDIMALFGAFAFIPLDFILPMVFFNITFKPSKQSLIFWVNTLIGGGSSILVVIGGIASIRQIALDAKTYSLFSDS >CAK8571258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:355978210:355981606:1 gene:gene-LATHSAT_LOCUS23936 transcript:rna-LATHSAT_LOCUS23936 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAVPSSRDLLKCCDCACSCCSMVDQYSGTWMRSVKRKLDEVKMDGQLSVARVDIGNECIALREMVSVQQKTIQDMNEELEEERNSSSTAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMMHDQEELMSLEDLLYKREQIIQSLTCEVQAYKHRMMSFGYSEDEVEGDQYDIPPYEYPPLKCNVMHNGIDGDNDDTDVEKYVFGDTPNDRLRSLENRISKMEKSPTYSQVDGDFTGKNVLEKVVVGPSPSLTRRHSRKVSSETGLEFPPESPKNNSNSKKDYFSQPEDHSNLKKVDNASEGDDTSDRIYTIDSVHSGAENNGFTGSKHGSFEDYTTIPKESGNHQADFEDPYIKKLYMRLQALEADRESMRQAIISMSTDKAQVVLLKEIAQHLCKEMTPQRKMTTNKPYITARSPLFSIFKWITSIVFWRKKAHESKYMFGLPADSMGLLLLLDKRTHARPWRCISSTQVGD >CAK8531077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78629921:78630590:-1 gene:gene-LATHSAT_LOCUS892 transcript:rna-LATHSAT_LOCUS892 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAPPHYLPWHLVPYNLLFPYNFTPENYVHWTETPQSHIFSADIPGVRKEELRVEVEDSSYLIIRTEAAVMDGESTEPVRRFKRKFRLPGRVDIDGISADYENGVITVTVPRLIRRGFYIDPATVPERLEVLARAA >CAK8543702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632559010:632559590:-1 gene:gene-LATHSAT_LOCUS12443 transcript:rna-LATHSAT_LOCUS12443 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQEKGPMDPQNSATELRALIVDDDKLVRMIHQGLLKRAGVKNEAVKNGKEAIDIHCSGQSFDIILMDRDMPIMNGIEATKKLRSMGIESMIVGASSCYREAEKQEFIEAGLNDFQVKPLTLDVLKSILDVVKARK >CAK8579496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704072366:704074578:1 gene:gene-LATHSAT_LOCUS31440 transcript:rna-LATHSAT_LOCUS31440 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFLEWKREGGHRENMVIQRYHITQRDDYKKYATLTRMVQKLVHLVKQMDAKDSDRVKMTDNLLEKLYNMGVIPTRQSITLCERLTVSSFCRRRLSTVLVRLKFAEHLKEAVTYIEQGHVRVGPDTITDPAFLVTRNQEDFITWVDSSKIKRKVLQYNDKLDDYDLMN >CAK8561520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:269203957:269204596:-1 gene:gene-LATHSAT_LOCUS15104 transcript:rna-LATHSAT_LOCUS15104 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMKGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLKTSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWVVQYLGVSQRVVQQQIRECRGSYYTNQACMKSTITV >CAK8565434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:196809104:196809985:-1 gene:gene-LATHSAT_LOCUS18651 transcript:rna-LATHSAT_LOCUS18651 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPVLLEENETKSEAHLTSAAAFVEGGIQEACDDSCSICLESFSDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHLPVGANDADLEERIIQHLAAAAAMGRARHIAGREGQRNRSSAQGRPQYLVFSTHPNSPPMAPASSSPSQRGDGEPTHATGEDTPQLTLMPPVQTDQVSASRSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRYKESISKSTRGWK >CAK8543729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635102343:635102654:1 gene:gene-LATHSAT_LOCUS12469 transcript:rna-LATHSAT_LOCUS12469 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8578455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630290930:630309119:1 gene:gene-LATHSAT_LOCUS30467 transcript:rna-LATHSAT_LOCUS30467 gene_biotype:protein_coding transcript_biotype:protein_coding MATMHFTKITLPRTSNYVFSRRFRVFSQFNTETLQIRRKCSPFLEGSLLSGGNNNSNASDEWKAVPDIWKSSADKYGDKVALVDPYHDPPSTITYKQLEQAILDFAEGLRVIGVRPDEKLALFADNSCRWLVADQGMMACGAINVVRGSRSSVEELLQIYNHSESVALAVDGPEMFNRIAKTFYSKTSMRFIILLWGEKSGLSLISEENKDFQIFSFMEVIDLGRESRRTWSDSHEASQQYVYEAINSDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKNLWEIVPAEVGDRFLSMLPPWHAYERACEYFIFTCGVEQVYTTVRNLKDDLKRYQPHYLISVPLVFETLYSGILKQISTSPLVRKLVALTFIKVSLAYMEYRRIYEGKCLTRDTKQPSIIHSTLDWLWASIIASILFPIHLLAKKIVYSKIHSAIGISKAGISGGGSLPLQVDKFFEAIGVKVQNGYGLTETSPVIAARRPRCNVIGSVGHPVQHTEFTVVDSETDEVLPPGSKGILKVRGPPVMKGYFKNPLATDQALDRDGWLNTGDLGWIVPHHSTGRSRNSSGVVVVDGRAKDTIVLSTGENVEPGELEEAAMRSSIIQQIVVVGQDKRRLGAIIVPNREEVLKVARELSIIGSISSDVSEEKVMNIIYKELKTWMSKSLFQIGPILLVNEPFTIDNGLMTPTMKIRRDRVVAKYKEQIENLYK >CAK8540851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25655565:25655723:-1 gene:gene-LATHSAT_LOCUS9816 transcript:rna-LATHSAT_LOCUS9816 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERKRVDVELHAAKINDLKILSKDTSNMTPRKLQDHKFLCGVIRGRYGVN >CAK8574816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9918403:9918972:-1 gene:gene-LATHSAT_LOCUS27119 transcript:rna-LATHSAT_LOCUS27119-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQYLITIFFFFLISCHTITSTSSLENEEAKKVLDLIKKEKLSHLKFYWHSIASGNNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELSSKLVGKSQGFYVYASQEEFSLFMGMNFALIEGKYNGSTFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHYLNLKTAYAIDEYNVYVFHY >CAK8574815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9918403:9919002:-1 gene:gene-LATHSAT_LOCUS27119 transcript:rna-LATHSAT_LOCUS27119 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLTKHTTMSTQYLITIFFFFLISCHTITSTSSLENEEAKKVLDLIKKEKLSHLKFYWHSIASGNNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELSSKLVGKSQGFYVYASQEEFSLFMGMNFALIEGKYNGSTFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHYLNLKTAYAIDEYNVYVFHY >CAK8578719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649779055:649780554:1 gene:gene-LATHSAT_LOCUS30707 transcript:rna-LATHSAT_LOCUS30707 gene_biotype:protein_coding transcript_biotype:protein_coding MLETDARESELSLETVNSTQRSSMSSESICSTSFSRLSFDLIPASPESLSIKPHRSSDFAYSAIRSATFRRKSALTFRDFHLLRRIGAGDIGTVYLCRLRNGNDKFENEEEASCLYAMKVVDKDAVALKKKVQRAEMERKVLKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRHKHPNNRLPLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESSDSLQDSAFPSALPCNRTRSFSTPFTCFSNRLFRSRKVQTIQPNRLFVAEPVSARSCSFVGTHEYVSPEVAAGNSHGNAVDWWSFGIFIYELIYGRTPFAAPSNKATLRSILKKPLTFPTATPSTTLELHARDLISGLLNKDPAQRLGSKRGAADVKKHPFFKGINLALIRMLTPPEVPGSRRSKTTSLYGGKDNHNNNGGNSSRREPTASFDIFF >CAK8538016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458646209:458649306:-1 gene:gene-LATHSAT_LOCUS7257 transcript:rna-LATHSAT_LOCUS7257-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKFATMLHRNTNKIVVILVYTFLEWILILLLLLNSLFSYVIAKFAKCFGLKPPCLFCSRLDNVLQQGNSSTNFHSDVCETHATEISNLGYCSNHERLAERYSMCENCLASRPNHCRIGFVSLRSHEKHDENGESVDRCSCCNESLNGHLYPPYLLLKPSWEDGNYLSKGSLIVESIDDDDDKEGDSKYIEFEINNGENHDEEVLDEHQMFSDIESFILREVAEDRSSSVSNLNSDEKDAERYEKEDDQFTDTPIMQVSHLEDRSIEIINMHFENSVTRDDDRLIPIDLIDSITCLNFESCKLNDDPKEGIEMIQTFVSESLVEPHSNVFEEEVLVAMNENAEKTNMRELEESLENSITLEVEGLNQNSEVQTSVNDDNSIEEPENAKEPICSYECTQEDESESSDDDDDDDSEAQNAFEKFISQNNLRMSLSLSNDEKSLEADMEEEQENTPPDDLPPSEEPTSSNQCIAEDQSSTSEDDTEVPSAFDDFIAQNNIYTDKTGVNDDEMAEKTTSVEKVEEERSHQSSKFSESCEVEESMDGSVASEVEYGDPAITIDRLKKAVKAEQRALSAIYQELEEERSASAIATNQTMAMITRLQEEKAAMQMEALQYQRMMEEQAEYDQEALQILNDLITKREKEKQELEKEVEEYREKVMDYEAKEKLRLLRRMKDGSVKSRDSSCSCCNTGYTDELSVDLNNEEKDENVDTVSNLEEIALDCVKHVSELDSTLEEFEEEKASILDQLKALEEKIISLEDGEEYLDEKEDYSNGISHDKNSPRKTIGCLAKKLLPYLDEVDNENDEEAFAFDRELESESNDMQILQMQKSVPIMNKMDSMKVCVEEEVDRVYERLQALETDREFLQHCMGSIQNGGDEGKDLLQEILQHLRDLKNVELRLKNLDNDPSTIVELHSPCKDLY >CAK8538015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458646209:458649348:-1 gene:gene-LATHSAT_LOCUS7257 transcript:rna-LATHSAT_LOCUS7257 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFNKHSLFEKKSMAATNKFATMLHRNTNKIVVILVYTFLEWILILLLLLNSLFSYVIAKFAKCFGLKPPCLFCSRLDNVLQQGNSSTNFHSDVCETHATEISNLGYCSNHERLAERYSMCENCLASRPNHCRIGFVSLRSHEKHDENGESVDRCSCCNESLNGHLYPPYLLLKPSWEDGNYLSKGSLIVESIDDDDDKEGDSKYIEFEINNGENHDEEVLDEHQMFSDIESFILREVAEDRSSSVSNLNSDEKDAERYEKEDDQFTDTPIMQVSHLEDRSIEIINMHFENSVTRDDDRLIPIDLIDSITCLNFESCKLNDDPKEGIEMIQTFVSESLVEPHSNVFEEEVLVAMNENAEKTNMRELEESLENSITLEVEGLNQNSEVQTSVNDDNSIEEPENAKEPICSYECTQEDESESSDDDDDDDSEAQNAFEKFISQNNLRMSLSLSNDEKSLEADMEEEQENTPPDDLPPSEEPTSSNQCIAEDQSSTSEDDTEVPSAFDDFIAQNNIYTDKTGVNDDEMAEKTTSVEKVEEERSHQSSKFSESCEVEESMDGSVASEVEYGDPAITIDRLKKAVKAEQRALSAIYQELEEERSASAIATNQTMAMITRLQEEKAAMQMEALQYQRMMEEQAEYDQEALQILNDLITKREKEKQELEKEVEEYREKVMDYEAKEKLRLLRRMKDGSVKSRDSSCSCCNTGYTDELSVDLNNEEKDENVDTVSNLEEIALDCVKHVSELDSTLEEFEEEKASILDQLKALEEKIISLEDGEEYLDEKEDYSNGISHDKNSPRKTIGCLAKKLLPYLDEVDNENDEEAFAFDRELESESNDMQILQMQKSVPIMNKMDSMKVCVEEEVDRVYERLQALETDREFLQHCMGSIQNGGDEGKDLLQEILQHLRDLKNVELRLKNLDNDPSTIVELHSPCKDLY >CAK8533235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594765864:594766211:1 gene:gene-LATHSAT_LOCUS2880 transcript:rna-LATHSAT_LOCUS2880 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSSMSDQIRKEFCEYKRDNSASTQKDLQRWLEGKFQLKISQRTISNTLKRSDDYLSAEIEKGRAEIKRHEPAKYPDMEKVIYEWFLQHQERVNITGELILQKERDT >CAK8565029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:28571208:28575017:1 gene:gene-LATHSAT_LOCUS18270 transcript:rna-LATHSAT_LOCUS18270 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGGAFLSATLQTLLEKLASTEFLDYIRNTKLDVSLLRKLQTTLLTLQAVLDDAEERQINNLAVKKWLEDLKDVVLDAEDLVSEISYDSLRCKMEKNRQAGSRTNKVWNFLSSPFTNFYRDINSQLKIMCETLEPFAQHKDTLGLQTKSARVPRRQPSSSGVNESVMVGRNDDKDTIIRMLVSDSGTSKNNNLGVVAILGMGGVGKTTLAQLVYNDEKVEQHFDFKVWVCVSEDFDVVRVTSSSSKVWESDNLDILRVELNKNTRNKRFLFVLDDLWNDNYNDWDELVSPLTDGKPGSSVIITTRQQKVAEMAKTFPIYKLDPLSHEDCWCILSKHALGSDEYLSGQNIAFEEIGRKIARKCDGLPIAAKTLGGLLRSRVDTTEWTAILNSQVWNLPNDNIMPALHLSYQYLPSHLKRCFAYCSIFPKDYPLDRKKLVLLWMAEGFLDCSQTGKLAEEVGDDCFTELLSRSLIQQSNDGARGNLFFMHDLINDLATFVSGKFCGRSDCGDISEKVRHLSYIQERYDIFMKFMPFYNFKYLRSFLPISHRRVEACVSINVVDDFLPTLKRLRVLSLSKYINIINLPDSIGNLVQLRYLDLSFTKIKSLPDTICKLYNLQTLILLDCACLIELPLHMENLINLRHLDLSGTDIKELPMEIGGLENLQTLTLFLVGERHVGLSIKELRKFPNLQGKLTIKELHNVADAKEAQDANLKNKEKIEELQLMWGIQSEDSLKVKDVLEMLQPPINLKSLLIDLYGGTSFPSWFGNSSFSNMVSLSIHNCKYCMTLPPLGKLPYLKELSISNLLLLERIGPEFYCAQEGECSNSTFLPFPSLEHMKFHNMPNWRDWLPFEGIDFAFPRLRTLKLSYCPELKGHLPCKLSCMEELNIRDCYNLLETPHTLNWISSIKKVNITEDLDSEVYAQSTQWLMLETDSSLQHAAFESYSMCIPKMIMSRSICLTHLELYDIPSLTAFPTNGLPTSLQSLRIRRCRKSSFMSSEMWRNYTSLVSLDLFDSCDALTSFALDGFPALQSLCIDGCRSLNCIFISESSSPWQSSLRSLTIICSDSVESLQVNLRMETLTDLEYLMLLCGELSFCEGVCLPPKLQSVVIYFARTMPHVKEWGLQELTALSRLRIGAMHEMDEINNTLTMDSFLPTSLVSLTIVGKLSFEGIGLRHHSSLESLTFNISLYQLESLSESFLPSSLKSLQIYYCERLESLPEDSLPTSLKRLTIRLCPLLEERYKRKENWSKIAHIPVIQINDQVTI >CAK8530602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:34532568:34532957:-1 gene:gene-LATHSAT_LOCUS455 transcript:rna-LATHSAT_LOCUS455 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYRACVSTVTRDLPITGGVPETNVITMVSENAVIIIGTRDCCLCHVVKRLLQGLGVNPPVYEVDQDRETAVVAQLSTHASATETVQFPAVFVGGKLLGGLERVMASHISGELVPILKDAGALWL >CAK8534404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723347272:723349509:1 gene:gene-LATHSAT_LOCUS3953 transcript:rna-LATHSAT_LOCUS3953 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKNGSNCKHLALATFFIILFTVISSSSAWVGVNWGTMTTHQLPPTKVVKMLMQNGFKKVKLFDADDAIMAALMGSNIEVMVAIPNVMLERISNNPKAADAWVYENVTVYLFPGGVNIKYVAVGNEPFLKAYKGVYLNKTLPALENIQTSLNNAGLGSKIKVTVPFNADIYYSPDTNPVPSAGNFRPEIRDITIEIIQFLYANNSTFTVNIYPFLSLYGNANFPFDFAFFDGANKPLRDGKAVYNNVFDANLDTLMWALEKSGYPDLHVTIGEVGWPTDGDKNANATNAKRFTQGFIQHALSGNGTPKRKGMIDFYLFSLIDENAKSIAPGNFERHWGIFEFDGKPKYELDIEGKHKKKGLVAVEGVKYLQKRWCVLDPEATDLDDLAKSIDYACSESDCTALGYGSSCNGLSLQGNASYAFNMYYQVNNQMDWDCDFTGLAMVTSEDPSENGCQFPLMVASSSRAGFSQMLMRAMEICLFAMVFL >CAK8567736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540329637:540330008:-1 gene:gene-LATHSAT_LOCUS20759 transcript:rna-LATHSAT_LOCUS20759 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFMSPMMAVIGTRGKNNEIKNAFPASDSESELKKRNEELETELKESKEREEQMRRQLQITWERLRVAEEAEERLCSQLGELEAESVYHARDYHDRIVSLMDQLSNAQSLLHKALPSSSTSS >CAK8536353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:933202940:933205159:-1 gene:gene-LATHSAT_LOCUS5730 transcript:rna-LATHSAT_LOCUS5730 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPIVRDEGVREIQRYSENNVYRRKAFKGSKKKVNDTLSDVPRASSSSAATTTVTADGTKDNGNNNNVSVQQPDRVMVPEDGNSLRPVVNSGMDVVSEDSSSLNRRQDEHFTLDIQLEDASGSREPSLRNCVSKQGVENGVNNGLTSRSKQETRELRRKLESELETVRSLVNRIEEKQGTVGMYGGNLNMSVDHHMVNNGGGAKRTHSEVVSAGVPREPTRPLRPLSVMVLENSQGVSEIIEREKRTPKANQFYCNSEFLLAKDKFPPAESNKKSRLNGKKHGRGEMGHGLGIVSKYLKSCSSLLEKLMKHKHGWVFNAPVNVEGLGLHDYFIIISHPMDLGTVKSRLNKNWYKSPKEFAEDVRLTFRNAMTYNPKGQDVHIMAEELLTLFDERWAIIEANYNNEMRYVMDYGQAVSAPSPLSRKTPAFRPPPLDMRRILDRSESMTKPPKPMNFTRLARTPAPKKPKANDLHKRDMTYEEKQKLSTHLQNLPSDKLDAIVQIMKKQNSALSQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKYKRKAELAIQARAEAEQMAQQRTQAQHDVVEVPRERQAEERNAPPSLPEQGEIQEDNGSKTSSSSSSSSDSGSSSSDSDSDSSSASGSDARSP >CAK8542638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534214432:534217026:-1 gene:gene-LATHSAT_LOCUS11461 transcript:rna-LATHSAT_LOCUS11461 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSSPVTTIIIIFFFFFSLHNTTSLPSHVSLSSCNNTTFNCGTITNLSYPFTGGDRPSFCGPPQFHLNCNNNVPELNISSLSYRVLQINSVTHSLTLARLDLWNETCTKNYISSTFDGTSFSYGSGNRNLTLFYGCKPTSEFTKVPENLFYCESNGYKNNSYSLIGPFPLDPVLRFVECDEGVGVPILVEQANRFIGNRSLLREVLMKGFNVSYSNPFDDDCFECIDSGGQQCGFDSDDNESICICGNELCPSGKGSNIGPVIGGIVGGIAALVCILGFAWLVVRRRKKSIEKSRSRVPFMPTSSSDTGTGTLTSTTNSSQSIPSYPSPKSDAMPKSFYFGVKVFTYDELEEATNNFDASKELGDGGFGTVYKGDLKDGRVVAVKRHYESNFKRVAQFMNEVEILAKLRHKNLVTLYGCSSKHSRELLLVYEYIPNGTVADHIHGKQSSSCLLPWSVRLNIALETAEALAYLHASDVIHRDVKSNNILLDEKFHVKVADFGLSRWLPSEVTHVSTAPQGTPGYVDPEYYQSYQLTDKSDVYSFGVVLAELISSLQAVDITRHRSDVNLATMVVNKIQSQELHELVDPFLGYEKDSAVRRMTTAVGELAFRCLQQQRDMRPSMDEVVEVLRAIQSDELETQESKVLDVVVRTDELVLLKKGPYPASPDSVADKWVSGSSTSTSS >CAK8530859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56589761:56592651:1 gene:gene-LATHSAT_LOCUS694 transcript:rna-LATHSAT_LOCUS694 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFVTSLGTSFLVFFVLMILFTFLRSKPGNNVVYYPNRIIRGLDPFESGYKSRNPFSWIIEAYSSSEQDVIDISGVDAAVYFVFLSTVFIILILAGIILLPVLLPVAITDGGGKKQSVSKGTFNELDELSMGNITASSARLWAYFIACYWISLVSLFFLWKAYRHVSFLRSEAFKSPDVKPEQFAIVVRDIPPVPEGQTRKEQVDSYFKSIYPEAFYRSMIITDNKEVNKIWEELEGYKKKLARAEAVYADSKTTAKPEGTRPTNKTGCLGILGKKVDSIEYCNEKINELVAKLETEQKVTLKEKQQNAAIIFFSNRVVAASASQSLHAQTIDRWSVFDAPEPCQLLWPNLKIKYFQREMRQYLVYFIVALAIFFYMIPITFVSAFTTLKNLVKLLPFLKPVVKIPALRTVLEAYLPQIALIVFLAMLPKLLMFLSRLEGIPTESHVVRAASGKYFYFTVLNVFIGVTLGGTLFETFKQVQDKPKDIVRLLAASLPGNATFFLTYVALKFFIGYGLELSRLIPLIIYHLKKKFLCKTEAELKQAWAPGDLSYATRIPSDMLIVTIVFCYSVIAPLIIPFGALYFGLGWLVLRNQALKVYVPAYESYGRMWPHINNRIIAALILYQITMLGYFSVQKFYYAPLLLPLPIMSILFGFVCAKRFYPSFQNQSLEVAAASALKGVPNMELMFRAFIPPSLSSEKIEDDQFEDFEDARSQVSRSTSFV >CAK8577267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551030518:551033666:1 gene:gene-LATHSAT_LOCUS29388 transcript:rna-LATHSAT_LOCUS29388 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINTALCVLFFTLYSILRKQPSNYKVYIPRLLAEGASRTTPFNLKLLIPSPDWVAKAWSLPEEQLFLSSGLDALVFMRLITFSLKIFAFAGVIGIFVLLPVNCWGNQLQDIDILDFAGNSLDVFTISNVNSGSNWLWVHFFAVYAVSVFTCFQLHHEYKYIASKRISYFYSSKPQPHQFTILVHSIPTSVSCSISDSVESFFKELYPSTYLSHVVIRRTNKIQSLMNKSKSLYKRVAQLRANRTQEKYKHGGSVLGLFESKDSLIDHYGKKLEDIEETVRLKQAEASLIAEEARAAFVFFKSRYAAATAFHLQPSINPTQWITEPAPQPHDVYWPFFSESFMKQWISKLVVIVVCILFTILFLIPVVIVQGLTNLKQLEVLFPFLKSILAVKFVTQVITGYLPSLILQMSLKLVPPVMGFLSSIQGYISHSDIEMSASKKVQWFTVWNVFFATVFSGSVLHQVYIILDLREITGKLAVAVPAQASFFITYVATTGWTSVSSELFQIIPLIVSLMKRPFTKQESELEAPSMAYHRDLPRILFFGLLGISYFFLAPLIIPFLLVYLCLAYIIYRNQFINVYTAKYETGGRFWPIVHDSMIFSLVLMQLIAVGSFALKKLSPASTWTLPLPVLTLIFNYYCRRRFLPIFTAYSAESLIKKDREDENESAMNEFYNELLSVYKDPALFPIHHSSSSDSLVSPLLS >CAK8572878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569307610:569309987:-1 gene:gene-LATHSAT_LOCUS25387 transcript:rna-LATHSAT_LOCUS25387 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKLDSPVTVLEDYFKSSDSETSSSKEPTLDSDSHHDHISKPISRWYSFLQLLKTGSKKQLPPTFRQPLHGQLSRRMSRSMRDSILPSCLTLSNATSTPCRSPWKIFSHHDIQLATNYFSPENLIGKGGYAEVYKGCLPNHRLVAIKRLTRGTADEIIGDFLSELGVMAHVNHPNTAKLVGYGVDGGMYLVLELSEKGSLASVLYGSKEKVPWCIRHKIALGTANGILYLHEGCQKRFIHRDIKAANILLTEDFEPQICDFGLAKWLPENWTHHNVSKFEGTFGYLAPEYLLHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKNDIMELIDSSLAGEFDYRQMNLMLLTASLCIQQSSIRRPSMRQVVQLLNGNLICFKTMKKTRLPFFRRVFREELLDSD >CAK8568879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650229332:650231308:-1 gene:gene-LATHSAT_LOCUS21788 transcript:rna-LATHSAT_LOCUS21788 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMHQAMQLNRFWNERVFAKVAEISSIKVDSKLAIAMLERWRPETHTFHLPTGECTITLEDVSMLLGLHVNGKAVNGPTQVGGDVYMEHLGIEPTTSDKHKGFVKIVWLQSTLARLRQKATPSGEENILHAKIYILLLIAKVLMPDKSHNYLHSSWIPLVGDLDKCNRYSWGSACLATLYRHMCKACMVGVKSIGGCVLLLSVWTYWRIPLIAPESPVNAHHPYATRFVRRGMAYQNNPRHYVQGFRFALDRLRANDFIWRPYPSYPECVLEDIQIWSATTSIISFHIVEMHQADRVKLQFGFQQDIPPQPRCLREQHETDMPNTWGDHWRNINKEENNEWRNRKKLTLRGNMVNGNYVHSAAYMQWFLSIPFMHASQGQFLEDPRQYATSSSQQRSSSPMPQEMPQVNPSQFQTQTSSFNQPTFFATSSQQPTQPQPQPTYQRTHTTFFATSSQQPTPYTPTPQTNYYYQQQYQEQATFQPSFQFTPIPQPNFDFSYPQPQHQTFNPSMSQPSSSGRTDNVYYPPIQQSPPTTFTQPFQSAPNFTLTDDQLMEWPGFSVTDVDMLDTSHQPENEELTSDSTPSPPTSPPIRQTQELGRGNV >CAK8576160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416249542:416249790:1 gene:gene-LATHSAT_LOCUS28370 transcript:rna-LATHSAT_LOCUS28370 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSAGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFLQWS >CAK8572864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568565186:568565605:-1 gene:gene-LATHSAT_LOCUS25379 transcript:rna-LATHSAT_LOCUS25379 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWSFSAVFIALMISCSCSLASAKDMHVSVISAAPSVLPEAPTFSPTLSPDMEPLFPSPGRAAFSPSDSSLPTIPSSPSPPNPDISTHQGPGSVFPPSESESTSPALAPSSQGAPLPILSFSHLAVILICIIQLHGM >CAK8574692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6753271:6754551:1 gene:gene-LATHSAT_LOCUS27014 transcript:rna-LATHSAT_LOCUS27014 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETTPKLPLINFNNLNLETKSSNWELVKSQVYKALVEYGCFEAIFDKVSLELREAIFDSLEELFELPLQTKLLNVSKKPYHGYVGQYPMVPLYESMGIDDANVFEKVKSMTNILWPHGNQSFSKTIHSFSDELTTLDQIVRKMILESLNAEKYLEEHMNSTNYLLRVMKYKGPQTSDTKLGLSTHSDKNVVTILYQNQVEGLEIMTKDGKWLSYKPSSSSSFVVMIGDSLHAWSNGRLHSPLHRVMMNGNEARYSTGLFSIPKGGCVIKAPEEMVDEEHPLLFKPYDHVEFLKYYYSENGQRDQNAMRTFCGV >CAK8544736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703249663:703249992:-1 gene:gene-LATHSAT_LOCUS13391 transcript:rna-LATHSAT_LOCUS13391 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKDKNLRKKVVNAGYALNQPSFMYYREEIRLPSAEALRWVDSIPIEKWTRAFDGGCQWGHMITNLVESLNGVFKGTRNLPITALVRATYYRLGSLLWQEAKNGALF >CAK8534081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691397556:691399766:-1 gene:gene-LATHSAT_LOCUS3651 transcript:rna-LATHSAT_LOCUS3651 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTSLQFNGVRGEDRFYIPVKARKNQNQNQNQRKKTQRDKCGENKREDSVEPASNIDRFLESTTPLVPAQFFSKTTMRGWKTCDVEYQSYFALNDLWESFKEWSAYGAGVPLLLDQRESVVQYYVPYLSAIQLYGQSAKKSIVKPRCISEDSDSDYYRDSSSDGSSDSEFGKRTKHSIAQRSNQNQTSDASLLMSRLSVQEGFSSDESETGNPQDLLFEYFDQEPPYGREPLADKILDLACHYPSLKSLRSCDLLPASWLSVAWYPIYRIPGGQTLKDLDACFLTYHTLHTPLTGNGGTHAPILVYPNDIDGVANISLPTFAMASYKLKGSIWMKNGVRDNQLANSLLQAADNWLRLVQVNHPDYQFFVSHGTYHK >CAK8541635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:322121545:322122063:-1 gene:gene-LATHSAT_LOCUS10540 transcript:rna-LATHSAT_LOCUS10540 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGPMLSSSPAVAYPPSTPFPYPGFPFETNFPLSSNAFSGCSTPFMDSTTVGGLCFPMMPSQPIGPGVVVSSTDPHPYGLPGGTSNVIPDNRKWGSQSLDLNSGPGVTDTERRDGRLPSGLRQMPVPSQQQALMEDNLKMFQMAGALKRKEPDGGWDGTDRFSYKHPVCLA >CAK8573860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642842090:642846529:-1 gene:gene-LATHSAT_LOCUS26260 transcript:rna-LATHSAT_LOCUS26260 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVLKNRSKVSHFIMLQKQSVFVNHSCNQIRVRSFLCNKIHSKPYFRLPDFVKKHKQYENFPSFFSSSFCSSSSSSSTASVSKVGFVGWYLGMIKSHPILTKSVTSALIYTAADLSSQTIEWQPSKSYDFIRTLRMAGYGMIILGPTLHFWFNFVSKLYPKRDLFSTLKKMIMGQTIYGPAMTVIFFSSNAGVQGENSKEIVARLKRDLLPTLLNGVMYWPICDFITFRFIPVHLQPLVSNSFAYLWTIYMTYMAGLEKAETTS >CAK8568947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:658589334:658589768:-1 gene:gene-LATHSAT_LOCUS21854 transcript:rna-LATHSAT_LOCUS21854 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEDTPYIGFPLGLALLVSFLFFFCCFFCCCLYWDKLQFLFPSSVVNVINPQPRIQTHFASSNSKPGFPVLMMKQNHGESFPVLMPGDKVPKFIAMASACKPPKDENITIHVQNE >CAK8536794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20992527:20993408:-1 gene:gene-LATHSAT_LOCUS6127 transcript:rna-LATHSAT_LOCUS6127 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCHHRHAIVCDGVIMKSGFVLEPDVVLSSLETVLTILHAGGKFGGVNSGYSVSGGLHGVGLSVVNALSEVLEVTVWREGLEYAQTYSRRKPVTTLNYASTYQW >CAK8566971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476918273:476923464:1 gene:gene-LATHSAT_LOCUS20065 transcript:rna-LATHSAT_LOCUS20065 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKQVLKNLDAFPRAEDHLLQKTQSGALVSVIGLFIMAILFLHEMGYYLTTYTVHEMSVDLNRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSNGMIIGTEYISDLVEKEHAAHNHGDGKDHHEHSEEKAHLQTFDEATENTIKKVKEALKNGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFDGAKNVNVSHVIHDLSFGPKYPGLHNPLDETPRIVHDASGTFKYYIKIVPTEYRYLSKEVLPTNQFSVTEYFSPITSQFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFAVTGMLDRWMFRLIEAATKPKTKK >CAK8573427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611610042:611613770:-1 gene:gene-LATHSAT_LOCUS25874 transcript:rna-LATHSAT_LOCUS25874 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVAVTRSIQTSLFRPTSGSTQDRSQTLLKPATFSSKVFSPQGNKSLKLSIRNCHINAIKSASAEVVPVSPDDDLKIVEELQKLPASQQLGDASGEMWSKPIVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARMNMSHGDHASHKKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPILLKSGQEFTFTIQSGVGTADCVSVNYDDFVNDVEAGDMLLVDGGMMSFLVKSKTADSVKCEVIDGGELGSRRHLNVRGKSATLPSITEKDWDDIKFGVDNEVDFYAVSFVKDAQVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPIKAAKVMHTVALRTEASLSSGQIPPNIGQVFKNHMSEMFAYHATMISNTLGTSTVVFTRSGFMAILLSHYRPSGTIFAFTDEKRVQQRLALYQGVCPIYMQFSEDSEETFTKALDLLQKNGMVKEGEEVALVQSGRQPIWRLHSTHNIQVRTVANTN >CAK8532552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:292507752:292513764:-1 gene:gene-LATHSAT_LOCUS2245 transcript:rna-LATHSAT_LOCUS2245 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVKPLSHYHLPLFSSPLSSSSSSYRIRKPIHSLSLSPRLLNRPIQFQSKTLSPHRTHFLPQPLHAYVTGPASDPNTADTDPKLDGLRQEDPPTPRVVTWELLSMLLMKHKVRLAVCVASLFACTTCTLSMPIFSGRFFEVLIGVRPEPLWSLLSKMGVLYALEPIFTVIFVINMNIVWEKVMSTLRAQIFGRLLIQKVEFFDKYKVGEITGLLTSDLGSLKNIVGENVSRDRGFRALSEVTGTIFILFTLSPQLAPILGVLMIAVSISIAVYKRSTLPVFKAHGLAQASISDCITETFSAIRTVRSFSGEKRQMFMFANQVLSFESSGIKLGTFKSINESLTRVAVYISLTALYCLGGSKVKAGQLSVGTMASFIGYTFTLTFAVQGLVNTFGDLRASFAAVERINSVLSGVQVDDALAYGLERELKQKAVNDGNYKLFFSNSSDEKNQNSHLHYMSALKTSSNVFSLAWSGDICLKDVYFSYPLRPDVEILRGLNLRLKCGTVTALVGASGAGKSTIVQLLSRFYEPTRGCITVGGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDDDVSKDDVIKAAKAANAHDFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDTVSERLVQDALNHLMKGRTTLVIAHRLSTVQNAYQIALCSDGRVAELGTHFELLAKKGQYASLVGTQRLAFE >CAK8568571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618755664:618756713:-1 gene:gene-LATHSAT_LOCUS21516 transcript:rna-LATHSAT_LOCUS21516 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPSCFRPSPTTDDIRNSPPPPPPPPPHSTNPNLATYLYQTDIGLVSLTWSRSILGRSLHVQLHHHPFDSPPNPSSSSFHLHIKPFVFWKKHGTKKLSANTHLFWNLSKAKFGSGPEPNSGFYVAVVVDNEMTLLVGDSNKDAYAKSKSKSKEPKNNHSQYLVMKREHVYANKIYNTRARFGGKMRDIQIDCGGRDHSKLCFSVDGEKVLQIKRLKWKFRGNERVEISGVPVQISWDVYNWLFVKDNSEGHAIFMFKFEEDEEEQHQQHQSKEKGLMNLWTQQNMNLGGYEIGKMGKSWSSSSVSMASSGGSFGASSSVLEWSSVEENELVVPVGFSLLVYAWKR >CAK8536951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44820488:44824450:1 gene:gene-LATHSAT_LOCUS6274 transcript:rna-LATHSAT_LOCUS6274 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRSKSEKKDDDEKQLRRDPYEVLGVNRNSTDQEIKSAYRKMALKFHPDKNANDPKAADMFKEATFSYNILADPDKRRQYDSSGFEAVESDNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGLVTIRPLPLGEFISKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEDNGGFSLALQEDSAKNGKFTSAGMYFLGFPVYRLDQTMNSIAAAKDPDTSFFKKLDGFQPCELTELKAGTHTFAVYGDNFFKSANYTIEVLCAAPFNEEKENLRNVEAQILSKRAEISKFESEYREVLAQFTEMTSRYAHEMQTIDELLKQRNEIHASYTVVPLKRSSSSSKGRSKTSSKESKEDGEAREKRSTRERPRKKKWYNLHLRVDKRKAC >CAK8562050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:431093145:431098761:1 gene:gene-LATHSAT_LOCUS15583 transcript:rna-LATHSAT_LOCUS15583 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGNSSGNSKESVNKWMAFANKNDENSAAKKAPSGGDDDDQILTEAAIAERTAEWGLVVNTSNFKAGIETSSSSIDGRSMSDRFVESTRTSGESNSASEAKLSGLIPRVSQDLKEALATLQQTFVVSDATKPDCPILYASSGFFTMTGYSSKEVIGRNCRFLQGPETDMNEVAKIRDATKNGRSYCGRLLNYKKNGTPFWNLLTVTPIKDDHGNTIKFIGMQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKAMGSITEVIQTVKDPKSIIRGKNDDTSTTLHEEQENLNHEFALPKSVESVNAGTPLGRHTPSNFLGDSNNISRFSSYEERNKSSRKSAVSLKGNKGKSMSSLGRDKEKAIIEPEVLMTKEVEWSNWELGERDIRQGIDLATTLERIEKNFVISDPRLPDCPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSRIRDAIRDQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHLEPLRNRLSEGSELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQEVLPRPHKRDNPSWVAIQKITARGEKIGLHHFSPIRPLGCGDTGSVHLVELRGTNELYAMKAMEKSVMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTETHVCLITDFCPGGELFALLDRQPMKILKEDSARFYAAEVVIGLEYLHCLGIVYRDLKPENLLLQKDGHVVLTDFDLSFITSCKPQVMKQSIPGNRSSRRSRSQPPPIFFAEPVTQSNSFVGTEEYISPEIITGARHTSAIDWWTLGILLYEMLYGRTPFRGKNRQKTFSNILHKDLTFPSSIPASLAARQIINALLQRDPGSRLGSTTGANEIKQHPFFRGINWPLIRNMSPPPLDVPPQFIGKDPALKGKKWEDDGVLTSSIDMDIF >CAK8560404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17870295:17871221:1 gene:gene-LATHSAT_LOCUS14078 transcript:rna-LATHSAT_LOCUS14078 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDNISKELVPSNNVSLNIQGVVVKSVDVGVDFNNKQEFDDCESMLTWICKTATRLGFGAVIGRSNNDLKRRNAFVTLLCERSGKYQIPLQKFKREDTDSRKCECPFKLRGYMLTRKKKWKFSVICGLHNHDLCSKLQGHPSVCLLKPEEKTCISDMTLNLVQPKNIFATLKRKELDNISNIRQVYNIRYHTNKEIRGDRSEMQQLLKLLGDNNYVSRYRTCDDGVTIQDIFWTHPDSIKLFSAFLIVLILDSTYKTNKYMLHLFKMVGVTSTEKTYVVGFAFLDCEKRIIYTGIRGVSVTFEGPS >CAK8572180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517691509:517693427:1 gene:gene-LATHSAT_LOCUS24773 transcript:rna-LATHSAT_LOCUS24773 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKHEGRAVGIDLGTTYSCVAVWQEQHGRVEIIHNDQGNNTTPSFVAFTDDERLIGNAAKNQAASNSQNTVFDAKRLIGRKFSDPVVQSDTMLWPFKVIAGDNDKPMISLKYKGQEKLLCAEEISSMILSKMRDIAEEYLSSPVKNAVITVPAYFNDSQRKATVDAGSIAGLNVLRIINEPTAAAIAYGLHKGNDFDGERNIFVFDLGGGTFDVSLLTIEGDVFNVKAVAGNTHLGGEDFDNRMVNHFADDFKRKHKKDITGNPRALRRLRTACEKAKRALSFCVATTVEVDSLYEGIDFYSSITRAKFEEINMDFFEDCMRIVENCLTDAKMQKSLVHEVVLVGGSSRIPKVQQLLQNFFNGKDLCKGINLDEAVAYGAAVQAALLSQGFKTVPNLVLRDVTPLSLGWMLENNVMAVVIPRNTPIPVMMTGEFYTVFDYQTSILIDVYEGERTRASDNHFLGSFCLCDITPTTKGLPFDVCFNIDQNGILTVSANELQSGSTSKIRITKDKGSLSTKEIEKMIQEAETYRVEDMKLLRKAELMNELDDCVYRMKNNLTPYSEEYQQINSLIATTTHLLDEDDPQVGIDVLEDNLKKIKSMF >CAK8541932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435528174:435528383:1 gene:gene-LATHSAT_LOCUS10820 transcript:rna-LATHSAT_LOCUS10820 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGVPAAEALLASGRNSEKLNLPTLQSKMKCDPEGYESEPHPQPIQLLTRTLPATSRNELHFHIRNQ >CAK8572062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506298994:506301680:1 gene:gene-LATHSAT_LOCUS24668 transcript:rna-LATHSAT_LOCUS24668 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALSYVAPNGGKIQQNGTSQQQQKSQLPNPFELDDYHILNKVYLTHVNDDEKYDKDLIFNLVSTIISASSAQISATNHTQISFKPDFPALKRISCQMIMTRGTAQCAHQTTMWILQHLRGFSWDAKALITLAAFTLEYGEFMHLYRIQSSDTLENSLKQLNQVQFRKVPSDITDIVAFLLQVFQHIIQWATWSAMGYDLEEVHSLSDAMQEIPLVVYWIVATVVACSGNLVGISEHILSDYRKRLSGVVMRLKNHLENSKVQIERIDDYYRRLKESENIKGVVGFLRLLIQGNGSDQIPLIYKGNLQVRTGLEVFKKNYVLLFISGLDSIGDEILLLNSVYNRLQDNPQEVIKGFKKEDFKIFWIPIVDMWDEAAKNQFRILKESMKWYVLEYFSELPGLGIIKSRLNYVDNKPIVSVVNPQGEIMNENAMEIIFQWGFDAFPFRKIDGDDLFKKWAWFWNLMKKVDINIEDMKRDSYVFIYGGNDPKWIQDFTRAIGNIQKNQSIKNVDINIDYYQLGKQNPAKIPYFWIGIDGRKQNKICHDRVDCEIQEAVKSLLCLKQDPLGWVLLSKGYHVTLLGHGEPMYQTVADFEKWKDNVVEKESFDIAFKEYYNTKLKEISSSASCAVNSSDVLATITCPNPTCGRVMEVTSVNYKCCHRDDPNSCCI >CAK8532676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:475218320:475219132:-1 gene:gene-LATHSAT_LOCUS2358 transcript:rna-LATHSAT_LOCUS2358 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRYELDLTLKSAKSLKNVNWRHGANQPYAIVWVDQSNKFTTNVDPNGDTEADWNQTLILPLPPKPLEDLTLYVDVVHAGFEEDTKKLIGSARLQLVDVLGFGIGERESHTLALKRPSGRPQGKVEVKVGIKENAYYAQGAYYPPPYGVPYGAPAPVPASPQQQPQGSYYSAAPPAGYPQTASYGAPAPPQQQQQPGSYYSAAPPAGYPQTASYGSQSNVYVQVEEKKKNKFGGMGTGLAVGAVAGVLGGVALAEGAEYIEEKFSDDD >CAK8539044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502325527:502326111:1 gene:gene-LATHSAT_LOCUS8180 transcript:rna-LATHSAT_LOCUS8180 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRIVSNQIMVCYDLCLFIKISLSYSWMALFAILLLFSGRFGFSSFLFTSLLVIFSTFLYVVSKNKSINIEEKLVVEEEEESFSDQCEEQEQEQEQEESREDNLSNCEGSEEDWRLRLKYENDDYYSKDGSISDEESLIEIALPSGNYVDVKKERWIELLTEFNEVMIEEENLIEIDISMGSIKYSRFEIKA >CAK8542594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528310113:528314170:-1 gene:gene-LATHSAT_LOCUS11420 transcript:rna-LATHSAT_LOCUS11420 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLDRGNTCHSTSAELVTGSTAWLGRGLSCVCAQRRESDARPSFELTLSQEECLQRLQSRIDVPYDSSIPEHQESLRALWNAAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGYISLENLLFFAKNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFIKFLAENESAFDLLYCITFKLMDNQWLSMRASYMDFNTVMKSTRRQLEKELLEDLERLEDVPSYKLLTQ >CAK8537312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227095582:227096619:-1 gene:gene-LATHSAT_LOCUS6610 transcript:rna-LATHSAT_LOCUS6610-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKELTAFCQKHGLNLETSSSGHIHRSLEQIKEKLKDDPVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADIADHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKINCCNQNEGMGVDSVASDMNTEINPAFFPLTVHPLSIIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8537311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227095582:227097165:-1 gene:gene-LATHSAT_LOCUS6610 transcript:rna-LATHSAT_LOCUS6610 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKIPMLPTLITENIGSLNPGVDRLAFSMLLDLNVDGDVVDRWIGRTVIHSCCKLSYEHAQDIIDSAFNFESSSFHEDAYPKVHGRFDWPDVLTSLKSLYEISKVLKHKRFTRGALRLDNPKIVILIDDNGIPYDITFSEQRESNFLIEEFMLLANTTAAEVICRAYPEVALLRRHPEPNMRKLKELTAFCQKHGLNLETSSSGHIHRSLEQIKEKLKDDPVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADIADHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKINCCNQNEGMGVDSVASDMNTEINPAFFPLTVHPLSIIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8568247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585977380:585978135:-1 gene:gene-LATHSAT_LOCUS21225 transcript:rna-LATHSAT_LOCUS21225 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNMNFEAMNRSSSTTTSSSDSCSSESNPLNRSKVDRIKGPWSAEEDRILTRLVEQHGARNWSLISRYIKGRSGKSCRLRWCNQLSPTVEHRPFSSQEDETIIAAHGQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRASVGRGGGGSDSGGGDSVTVAGGLGLGNSSGTFARSCFPVEDDPLTALTLAPPGNFYGGGVEEQEEAVADHQPSTSPENVPSAFWDVMRGVIAKEVREYVSSNFSHNNGFH >CAK8538364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478958699:478960854:-1 gene:gene-LATHSAT_LOCUS7576 transcript:rna-LATHSAT_LOCUS7576 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCKSIDVFSWIQNLPPISEWKTGSISLNLCSLTNSSQPSLNLTLSKNHQSQKLSFAIVADFNIPIFLWTSKPFKPSTKIAHEETISNLLVNFIQDILHYGSKNKNNSTTLFIKFPKLETISNFGDIFNLAFLTLFFLVCIYEAPSDLRYGCLNSLKEQLSRCGSRNSSKMLMKLLGSNLEEKWMRSINLAITNWIVELQEVATINHRMLRTPCPLFSYAFSAFGLWKVQLYCPLITMDVVSANNHRADERLQFSLKYQQLEGVLQFNHKVFIKEKWVEIMVNIDNIRCDVFKLVDETLMRERGAGASEKHFPSRISLQLTPTLQHQVLSVSVGKSSENPRKEIGIEKTLEASFQPTNPYIGLNVSAGESSTMSLKPWKFEESVYGYSANLNWFLHDSMDGKEVFSSKPSKFDMINPKSWFRDRYSSAYRPFTREGGVIFAGDEYGESVCWKVDKNARGKIMEWEIRGWIWVTYLPNKYRTFYHETRRLEFREIVHLNIP >CAK8542762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543916402:543919264:-1 gene:gene-LATHSAT_LOCUS11571 transcript:rna-LATHSAT_LOCUS11571 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVPARTGRQRQRYEDNLRLVSGCIPYRWRKENGDQMEKTEEMIEVLMISSPKRDDLVFPKGGWEDDETITEAACREALEEAGVTGILRETPLGMWEFRSKSSQDLCSMEGGCRGYMFALEVTEELEAWPEQKNRARQWLDIKEAFRLCRYDWMCNALEEFTKVMAEDTTPRKQDKNVVDPPSVTSTDASECQSVSPNCYKRSSNMQHHGVPPKANNLLQRASHEIAIHFGY >CAK8577106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537306293:537308373:1 gene:gene-LATHSAT_LOCUS29239 transcript:rna-LATHSAT_LOCUS29239 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVTDVLNCCGFQADIGLRVLIDKSLICIQEDICYVDMHDLLVELGREIIQEKSRKWSRVWLHEQFYNVKLENMEKKVEAICHNGEIDSMIMAETLSKMSHLRLLIMKGVNIIGNLSCLSNELRYMQWDRYPFKYLPPSFQPNQLVQLILRYSSIKQLWKDKKYFPHLRLLDLSHSKHLKKMPDFRGIPNLEGLSFEGCVKLVQMDPSIGILKKLVFLNLKDCENLASILQNIFGLSSLEYVNLSGCPNMFKNQRLFNISGNASHSQSTMSSILKWPTFCYHSLYLRAHKDLGSCLLPFFPGISSLVELDISFSGLSHLPEAIGCLSWLEDLNLGGNNFVTLPNLKELSRLVYLNLEHCKVLESLPELPFPTAINCGLRKNKHKIRKGLVIFNCPKLSEREVGGIINFSWMTQFIQVNQVFTSIYDQIWFVVPGSEIPSRCNNQREGYSIRIDLSPIMPGNDNNISGIVCCAVFSAAPVDPRAFHNNKRSQRISGIRLLICMNKNRTWPCGAIPVILQTDLIEIKSDHMCLIYFPLKSIFYFLDYEYETVNHLDHCKLYFEILCKQDIGSIHMVWDVQKCGYHWVCKQDHESLPKLLSSEVQVFGN >CAK8539102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503917733:503918142:-1 gene:gene-LATHSAT_LOCUS8231 transcript:rna-LATHSAT_LOCUS8231 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFPKIVGFVCLKFQYQNQSTRRDSDSNSNRRDSRFHPEPSPKRYRKYVKQDRMRTKSSSNVENQGHRRHNPADPTQPGPSSW >CAK8569383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697797842:697802845:-1 gene:gene-LATHSAT_LOCUS22239 transcript:rna-LATHSAT_LOCUS22239 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGEEDSRNGCSPVTLQTTDQLIARCIAPVKNEFLRPPPDRTSSQNDDVSVPKDKPPVLAKEKKSKRQLKRERRQDQKSTKNLCPEISKSGDVNSCRYQDKCRFSHDIEAFKEQKPADLEGECPFLKSEGSCPYGLSCRFLSTHEEGKPLSSNGLERRSEVNGFSKDVQKLLWKNKMTFPKADAILKSLGLLSKSKGNALANKDGGDKCVQSDEIGSCVVTSELESKDGVDKCHQSDDDDSFGVIVDSDSKLECSVEVLKDDDVINKELDSDVLCPLKRRKTAQDCGGVSVNGQNVDGSCIRSEPEAGTEALTPGTDISLKSHSREKKLIDFREKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDSVARTVELIEKECSVDFIDINMGCPIDIVVNKGAGSALLTKPMRMKSVVEVASGTVDIPITIKVRTAYFEGKNRIDSVIADFSSWGASAVTIHGRSRQQRYSKLPDWDYVYQCTKKAPKNLQVVGNGDVFSFEDWNNHKTDCPELATCMIARGALIKPWIFTEIKEQRHWDISSGERLNIFKDFVHFGLQHWGSDTKGVETTRRFLLEWLSYTCRYIPVGLMEVVPQRINWRPPSYYGRDDLETLMASDSAADWVRLSEMLLGKVPDGFAFAPKHKSNAYDRAENG >CAK8569382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697797842:697802845:-1 gene:gene-LATHSAT_LOCUS22239 transcript:rna-LATHSAT_LOCUS22239-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGEEDSRNGCSPVTLQTTDQLIARCIAPVKNEFLRPPPDRTSSQNDDVSVPKDKPPVLAKEKKSKRQLKRERRQDQKSTKNLCPEISKSGDVNSCRYQDKCRFSHDIEAFKEQKPADLEGECPFLKSEGSCPYGLSCRFLSTHEEGKPLSSNGLERRSEVNGFSKDVQKLLWKNKMTFPKADAILKSLGLLSKSKGNALANKDGGDKCVQSDEIGSCVVTSELESKDGVDKCHQSDDDDSFGVIVDSDSKLECSVEVLKDDDVINKELDSDVLCPLKRRKTAQDCGGEETKTEGVSVNGQNVDGSCIRSEPEAGTEALTPGTDISLKSHSREKKLIDFREKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDSVARTVELIEKECSVDFIDINMGCPIDIVVNKGAGSALLTKPMRMKSVVEVASGTVDIPITIKVRTAYFEGKNRIDSVIADFSSWGASAVTIHGRSRQQRYSKLPDWDYVYQCTKKAPKNLQVVGNGDVFSFEDWNNHKTDCPELATCMIARGALIKPWIFTEIKEQRHWDISSGERLNIFKDFVHFGLQHWGSDTKGVETTRRFLLEWLSYTCRYIPVGLMEVVPQRINWRPPSYYGRDDLETLMASDSAADWVRLSEMLLGKVPDGFAFAPKHKSNAYDRAENG >CAK8537545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:391792452:391793789:-1 gene:gene-LATHSAT_LOCUS6834 transcript:rna-LATHSAT_LOCUS6834 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAMRYSLGKEARGDGRKRRHSLESGILLRGKDDDLALFNEMQTRERDSFLLQSSDDLEDSFATRLRHLSDVNVGISIPSQRGTSDLLNIDGDNDDYNWLLTPLDTPLFPSMDEDPPFTNVTSRGRRSKSISISKSSTMEKSCRSSRGSASPNRLSPSPRSGTNTSQAGGRPSSLPNYSPTSSSLRYATPTRRSSPPPNKTYKNLTGGGC >CAK8531754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147358750:147360597:1 gene:gene-LATHSAT_LOCUS1520 transcript:rna-LATHSAT_LOCUS1520 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIETLTEDERRALRGSKFAPLPSLPHSNKPKPRLAHPGGPVATNKAAALAKFLERKLKDPNGLASINPDLLELAVNNAKQTVYTSGTSNSGRNVRHVESFGDTDASEEEQNDLLVVKESKKKRKKKKQKKKNKRRKEVEDTGYAVMKKP >CAK8564848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12450407:12452383:1 gene:gene-LATHSAT_LOCUS18106 transcript:rna-LATHSAT_LOCUS18106 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKSYGKVNELDQMMLEAKRKTRKRITIISLSSIILVTILVASIFGVVNNKNNSKNDDNNNNNVSQNSVTNSIKAVCDVTLYKESCYDSLGSVVNSSGNEIQPEELFKLSINVALTYVSKAVEYFDEHGVFEKLIGDTDDDSRTKEALKNCKDLFELAVDHLNNSLITSGENSSVLRVFDDLQTWLSATGTYQETCIEGFEDGKEELKKSVISYLKNSTEYTSNSLAIITWINKAASTLNLRRLMSLRNENESPKWFHSKDRKLLVTKDLRSKADIVVAKDGSGKYRTISEALKHVADKSKKRVVIYVKKGIYYENVRVEKTKWNVMIIGDGMTSSVVSGRLNFVDGTPTFSSATFAVFGKNFIAREMGFRNTAGPQKHQAVALMTSADQAVYYKCHIDAYQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVIQNCNIMPKLPMHGQQITITAQGKTDPNMNTGISIQYCNISPFGNLTDVRTYLGRPWKNYSTTVYMRSRMEGFVNPNGWLPWVGNSAPNTIFYAEFQNVGAGSSTKNRVKWKGLKNITIKQASTFSVKSFIQGDRWIHTSNAPFKSTI >CAK8573352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605118152:605118493:1 gene:gene-LATHSAT_LOCUS25811 transcript:rna-LATHSAT_LOCUS25811 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNIDLNEPFNNYDGMLSLKKNDVLTKNKNRAPTPINLNVRFFLEDVFDLNTRPPHKEESQNEVDDVFTFNNTDNNVNDLFEDDVGNMYTNNGSNGENEDIDSLSEGNCMLI >CAK8537675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420154648:420156349:-1 gene:gene-LATHSAT_LOCUS6941 transcript:rna-LATHSAT_LOCUS6941 gene_biotype:protein_coding transcript_biotype:protein_coding MSYATIVSFLLFTFTFTYLSFNFFLHPKQKHKKPPGPSTLPIIGNLHLLGKLPHRTLQSLSKKYGPIMSMQLGQVPTVVISSSKAAELFLKTHDIVFANRPNIQASKLMSYGSKGVGFTEYGPYWRSMKKLCTLKLLSASKVDMFAPIRKHELDVLVKSLKKAALVGEVVNVSEAVETSIEDIMYKMILGRSKYEQFDLKRLAKQAMHLMGAFNVADYVTWLGLLDLQGITRACKKTSRELDEVLEVIITDHENATNVDQPHREDFVDILLSTMHQNVDLENEQNHVTNRTNIKAVLVDMILAGIDTSSTVIEWLLSELLRNPRVMKNLQDEIQNEVGFMRMVEEKDLKKFSYLDMVVDEILRLYPVGPLLIPRECIENITVDGYFIREKTRVIVNAWAIGRDHDVWSQNAEEFYPERFIDKKMNFYGQEFECIPFGSGRRRCPGIHLGLVTVKLAIAQLVHCFDWKLPHNITPSDLNMEEKFGLSIPRAQHLFAIPSYRLASDEEFE >CAK8573466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614236501:614239029:-1 gene:gene-LATHSAT_LOCUS25912 transcript:rna-LATHSAT_LOCUS25912 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDMSFLHTLQGTKKPNPSFMETHNLIFLILLFFLPCSLSFVPIDNYLINCGSHNNASILNRVFMSDSTKPGLNFLSSDDSISLINKNPSPNLQTLYQTARVFITTGRYRFNMKKNGTHLIRFHFFPFKDQGFDLKSAKFSVFVNGISVLSEFKPPDEVLIKEFILKIESNLLEILFRPFNSGFGFVNAVEVFTAPEDFVIDYGTRLVGPSGMEEYKNISSQVLETIHRINVGGVKITPFNDTLWRTWIPDEDYLVFKEAAKHAVSTHTPDYEKGGATPEIAPENVYMTAQQMNRENTSLASRFNITWKFPVASDGISHLIRLHFCDIVSSSLNLLYFDVYINGYIAYKDLDLSSLTFHTLASPMYVDFVANSDDSGVIEISVGPSELSSSIRINAILNGAEIMKIVNDVDNTKIVRGRKHLWVLIGSVIGGIVVLFLVVTVFILATKCRKKKQKETIVGSVGWTPLRMFGGSSLSRTSEHGSYGYLGMKIPFADIQSATNNFDRNLIIGSGGFGMVYKGVLRDNVKVAVKRGMPGSRQGLPEFHSEISILSKIRHRHLVSLVGFCEENSEMILVYEYVERGPLKKHLYGSSRQPPLNWKQRLEVCIGAARGLHYLHTGFAQGIIHRDIKSTNILVDEDYVAKVADFGLSRSGPCINETHVSTGVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRPAVDPQLTREQVNLAEWAIEWLQKGMLDHIVDPHIIDDIKPRSLKKFGETAEKCLAEYGVDRPTMGDVLWNLEYALQLQESEQQRTIHDDSGDSEADYETTRTIHENSTSRRMERDYDNDCSDVSTSQVFSQLMNNNGR >CAK8577308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554586448:554589985:-1 gene:gene-LATHSAT_LOCUS29428 transcript:rna-LATHSAT_LOCUS29428-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFSVATALPKFKVVAEAEVGAFPSFLPKDVNRIKDPFARTLATRIQRLPVSVKFSENPIMSSCVKPLIQNKENPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAIDILGWGFSDLEKLPSCNVVSKREHFYQFWKSYIKKAMILVGPSLGSAVAIDFAINHPEAVEKLVLIDASVYAEGTGNLATLPRSVAYAGVYLLKSIPLRLYANYLSFTNISFSTGLDWTNVGRLHCLLPWWEDAAVDFMTSGGYNVASQIKMVEQKTLIIWGENDRIISNKLAVQLHCELPDAIIRQIPDCGHIPHVERPDSVAKLIVEFVQTGTKTVNQCAPSL >CAK8577309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554586448:554589985:-1 gene:gene-LATHSAT_LOCUS29428 transcript:rna-LATHSAT_LOCUS29428 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFSVATALPKFKVVAEAEVGAFPSFLPKDVNRIKDPFARTLATRIQRLPVKFSENPIMSSCVKPLIQNKENPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAIDILGWGFSDLEKLPSCNVVSKREHFYQFWKSYIKKAMILVGPSLGSAVAIDFAINHPEAVEKLVLIDASVYAEGTGNLATLPRSVAYAGVYLLKSIPLRLYANYLSFTNISFSTGLDWTNVGRLHCLLPWWEDAAVDFMTSGGYNVASQIKMVEQKTLIIWGENDRIISNKLAVQLHCELPDAIIRQIPDCGHIPHVERPDSVAKLIVEFVQTGTKTVNQCAPSL >CAK8570170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27463034:27465450:-1 gene:gene-LATHSAT_LOCUS22946 transcript:rna-LATHSAT_LOCUS22946 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSIECVSSSDGMEEDEIQHRILHPHPHQHPHHHHHSEFSLLKPRNGGNTNNINHVIGSTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHEAVCNFRPYTCPYAGSECSAAGDINFLVAHLRDDHKVDMHTGCTFNHRYVKSNPRDVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYTYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDGGVCIPNLCS >CAK8567417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513149100:513149555:1 gene:gene-LATHSAT_LOCUS20473 transcript:rna-LATHSAT_LOCUS20473 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSNTDLHRIFEKLDTNSDGFVSVEEINFVLQRICNSNNSQFSLDELESLIEKKSLDFNEFLFFYNSISKPNNDDELLERDLVKTFKVFDLDGDGFITSQELECVLKKLGFLDEKSGKDCRSMIQFYDTNLDGRLDFQEFKNMMLLRTA >CAK8536259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922737043:922737894:1 gene:gene-LATHSAT_LOCUS5645 transcript:rna-LATHSAT_LOCUS5645 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFSFSLFLLMFSSLYFPNTAATEPVASPKHAPAPKAASPTSSKPLVPTLPDSPDTSDSTPDDITKILKKAKTFTILTRLLKTTEIMSSINSQLITGKSGGLTILAPDDSAFSQLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGTKAPASAPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGIQGTTLKAIGAAFVAVAMFGNCI >CAK8568098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573540549:573544233:-1 gene:gene-LATHSAT_LOCUS21093 transcript:rna-LATHSAT_LOCUS21093 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLIDNQPSLHDKLHGLPANENKAAADEEVDALTEVDESKEKKEIHEHDDESEKEEEKDEGDEGTDEDDEEEEAKESQLRKEAKSEKSPVTPTSDRPTRERKLVERYSEPSPKLGKSASSKVLVIEKGRGTQLKDIPNVAFKLSKRKPDDTLHMLHNLLFAKRTKAYNLKRNIGQFSGYVWAENQEKQRIKVKEKIDKFVKEKLADFCDVLNIPKGSAKKEELSAKLLDFLESPHATTDVLLAEQEQKAKKNKKKKRTRKTTPGKSPREASTETLAKKKKQTPQSGKKRKPSSDVEDDDKAEISDAKDEPQEDEEDVAVANNGTGGDVGKFEEDEEEDISKTHKSKSKRSGKKGSVAKAESKTPSVKKTSAKAAKSSEESPKPKKPITDNDSASLPKSHQPVTKKLKTGKEKQDTKEKDAIKTPKAVKGKRSKKKVEEPSREDMHSVVANILKEVDFNTATLSDILRQLGTHFGLDLMHRKSEVKNIITDVINSMSDDEVDDEEVDNESDGDVEEGGDASEEDGNE >CAK8539628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518707325:518710831:1 gene:gene-LATHSAT_LOCUS8706 transcript:rna-LATHSAT_LOCUS8706 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHNHCSFMAKIETGIFCRNPYSITGICNRSSCPLANSRYATIREDNGVFYLYMKTIERAHMPKDLWERVKLPRNYEQALEVIDKNLMYWPKILIHKIKQRLTKMTQMRIRMRKLALKTREKITTLPRKEVKREARREEKAEKAAVLEKSIEKELLTRLKEGLYKQDDIINYPFEEYDKIIEMERHQPAEEEEEMEEEIEYVEGPYDEFEEEDDIEDFGAYAIDESQGNGSDDELDGSAEDEETKSRDQRKAKRKSTLASKKLEKNSLDPKSKKTRVLVEVERDDADERQRAIL >CAK8563045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569127537:569129856:-1 gene:gene-LATHSAT_LOCUS16493 transcript:rna-LATHSAT_LOCUS16493 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVKNEAKAMKEFENHSLSKSILNLTNSPQSVCDMHFSRRSPSTSRSFGSESDWSITDSEFSHFGGEQVRKLEIVKEEMEKGIKRDSRAKGRSPNAKPPIERKGENCLLRKQNTTVTNRVIKLNSSSAGRSRSQSQVENSKESMLDNPELGPFLLKQARDLISLGENPRSALELSIQAMSMFEKSANGKPSLDLVMCLHVIAAIYCSLGHYNEAIPILERSVEIPVVGEGQQHAIAKFASFMQLGDTYAMLGQLEKSIMCYTKGLEVQRMVLGVTDPRVGETCRYVAEANFQALRFDEAERLCQMALDIHGANGSHSSLEEAADRRLMGLICETNGNHEAALENLVLASIAMVSNGQEVEVASVDCSIGDTFLSLARYDEAILAYKKALAVFKTHKGENHPAVGSVFVRLAELYSRTWKTRESKSYCENALKIYENPMSGVPSDQIASGFVNIAAIYESMNELEQALKLLHKALEIHNDAPGQQNTIAGIEAQMGVIYYVLGNQTESYNAFKNAVSKLRATGEKKSAFFGIVLNQMGLCCVQLCELTEAAKLFEEAKVVLEKEYGPYHPETLGVYSNLAGTYDAMGRVDDAIDLMEYIVDAREEKLGTAHFDVVDEKRRLNELLKETGRVRKRKTMSLENLFDVNTYL >CAK8567025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480347851:480349555:-1 gene:gene-LATHSAT_LOCUS20115 transcript:rna-LATHSAT_LOCUS20115 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLGVLSALDSAKTQWYHFTAIIIAGMGFFTDAYDLFCIPNVTKLLGRIYYTHPNSLKPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGLTLALMVFSSLASGLSFGHSAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIASVFAMQGFGILAGGIVSLVVSTAFDHAYKAPAYKVNAAASLVPEADYVWRIILMFGAVPAGLTYYWRMKMPETARYTALVAKNAKQAAQDMSMVLQVELEAEQEKVEKIGVREQNSFGLFSKEFLRRHGLHLLGTTSTWFLLDIAYYSSNLFQKDIYSSIGWLPPAEDMNAIHEVFKVARATTLIALCGTVPGYWFTVAFIDIVGRFAIQIMGFFFMTVFMFALALPYHHWTKKENRVGFLVMYAMTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKAGAIIGAFGFLYASQSKDPKKRDAGYPAGIGMKNTLILLAVINCLGIFFTFLVPEANGKSLEEMSGENEEEEGGDSVDPQASSTRTVPV >CAK8572571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547538877:547540235:-1 gene:gene-LATHSAT_LOCUS25124 transcript:rna-LATHSAT_LOCUS25124 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDQTHKNGTVSKKPKLLPSPITDAEIQSEFSHHDPNVARLNNGAFGCCPSSVLAAQREWQFKFLRQPDHFYFNYLKRGILHSRTIIKELVNANHVDEISIVDNASTATAIVLQQATWAFHEGRFTKGDAVVMLHYAYGSVKKAIEAYVTRAGGRVIEVYLPFPITSEDEIVREFRHALEKAKTEGNNNKVRLAVIDHVTSMPSVVIPVKKLVEICREESVDQVFVDAAHAIGCIPRVDMQEIGADFYTSNLHKWFFCPSSVAFIYARKSVNSVDLHHPVVSHEYGNGLAIESAWIGNRDYSAQLVVPNVMEFVNRFEGGIEGIKKRNHECVIEMGEMLVEAWGTHLGTPHHMSASMVMVGLPPCLGIMSDCDAMNLRTYLREFFKVEVPIYFRDGVGEVGGVTAYARISYQVYNKVEDYYKFRDAINKLVSGGFTCADLSTSTPGTLLL >CAK8561973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419749321:419756884:1 gene:gene-LATHSAT_LOCUS15514 transcript:rna-LATHSAT_LOCUS15514 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASALFLLDIKGRVLIWRDYRGDVTAVEAERFFTKLIEKEGDPQSQDPVVYDNGVSYMFVQHSNVYLMTATRQNCNAASLIFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLFWVEAQVEKHSKSRIEITVKARSQFKERSTATNVEIELPVPADATTPNVRTSMGSAAYAPEKDALIWKIRSFPGGKEYMLRAEFRLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITTGGEYELRLI >CAK8578034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603320528:603321200:-1 gene:gene-LATHSAT_LOCUS30080 transcript:rna-LATHSAT_LOCUS30080 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVELKVEMVCIHEKRLRKCLSKLKGIEKVEVDTNCQKVVVTGYTHKNKILKAVRRGGLKADFWSPQNDLLNAYVSANYAKFRFNPFSFF >CAK8574253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672385139:672385972:1 gene:gene-LATHSAT_LOCUS26613 transcript:rna-LATHSAT_LOCUS26613 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHELVIGTPIIYDQFQKSDNRYLLDSASHQCQYPSKHQSICRASSNQKKRSRKADSNSETSARLGTNISETIKRKLSLGARILQVGGVEKMFTKYFSVMEGERLLKVCHCYLSTTSGPLAGLLFISTEKVSFCSDRYIKVFNQKGQMCRIRYKVVIPVKKIKCVNQSENVEKPRQKYINIVTVDNFDFWFMGVFKYQKTIKYLEQAISQV >CAK8578082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605621844:605626721:-1 gene:gene-LATHSAT_LOCUS30124 transcript:rna-LATHSAT_LOCUS30124 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSKNQIFAVHGIAGAGSIALATAFTYPLDTIKVLIQVGSIAGKELNANQVVTRVISVSGNAGMFGGFGWLAFGRVFGLGARFGVYEILTAVCKDGREDNYVTASEALLAGMVAGATETFISSPFELIKLRVQVASASYVPSSNFALEEGARKPLIARLLNGCYPDKRSLNQYVGLMSTLTTKNTNITGALLEHPWAMTGSGRPPSVCNVKRPSDIISLEGWSTLWRGLRSGIVRDSVFGGIFFSSWQFLHQAMLDWKAVGMNPPPRLNEEVGPLSPWAVSLAAGFSGSVAAAASHGFDTARSRSQCTVLPKYVSMERKLLKWKRPGNKFERFTGIHPSDRSVLFRGLGLRMARSGIASFMIVGSYLFAVDHLASSLT >CAK8538174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466765698:466767988:1 gene:gene-LATHSAT_LOCUS7403 transcript:rna-LATHSAT_LOCUS7403 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNNNESEHDPNPVVAETPEPEAENIDTLDDEVPIEKIVEKITLAGDPSSVEVNGNEDKDNSDDEDESESESDSESESSEYEASSSSSSSSSSGSDEEGEIRDSDAEKMVSWSEAGGDFDDDDEVVVEPIRSKNEIRNLPPVPTVHVTLEPHHEMLPVGVVMSTLGAKVIVEGVEKHEPLNEGSILWLTESRNPLGLVDEIFGPVKNPYYVVRYNSENDIPAGIQGGTTLVSCVPEFADRALNNKDLYRKGYDASGPNDEEVSDEVEFSDDEKEAEYRKMQRMTKRGNSDQNPGKRRNNKNKFSLKGKVPPTLPNASAAAQHVLHTAPNAPAVAPLVNHGNRSSFFGTGQGGTTTVSPFQPLNAGPNFAANATWANQTTFPQQSQLSLLPNAFPTNAMSYYPQNTQFSHQFPVPGGPFQQQLNPSHINHFPTMMPGLQPNIYPQQPMHAPGFVGQNQMPFDLSSPFQQNQPRPIFQAQQVSFQQNQPQPIFQAQQGFPPTELQSSSISGNPSQFHPGSSASRGRPTFHAAGRKGWRPAR >CAK8540296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549951323:549953096:1 gene:gene-LATHSAT_LOCUS9312 transcript:rna-LATHSAT_LOCUS9312 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTVYSTQSLRTNISIPTSSKSNVGLHQKQVLFFTTNQKSNNRRTNSNKRYLITCGAGDSQTIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKDGKSVQKPIYNHVTGLLDAPELIKPPKILVIEGLHPMYDSRVRELLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFEAYIDPQKQYADAVIEVLPTQLIPDDNEGKILRVRLIQKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYSHALTPESNFSTDQKHTKETRCQLWKWMDNLTD >CAK8536093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906355890:906357113:-1 gene:gene-LATHSAT_LOCUS5493 transcript:rna-LATHSAT_LOCUS5493 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENNNKSSSRITWEGCSVLLDINDGDRLVFARLSPAAKLKIGKKNCSLQSLIGCPFGTVFQLDTSSDGPFLSPFQPEGDVNNADEIKDGHFQDESKDDQLNSELKDNRSLIDNNTAQSLTGEDIEDMRRQGAKGNEIVDALIANSASFDKKTSFSQEKYRRKKQKKYAPRVLIKRPVARSICEAYFKKNPLKIGFLRVDTLSLLLSMANVSSNSDILVVDMVGGILTGAVAERLGGTGFVCNSYLGQTPHSMDIVRIFNLSDEICNRKKVINQNYLSLLFSSFMTKERSFMAYDITHHHRIKEWDNTNDHHRNFTSHSLLYNHFKTPRQITMDIFFSSTARGQLVSNEPSCSQLLWTKMSISFFYQAASKTTCTTEKTAITNIPTTFQNGTCKITREEIHYAGS >CAK8575363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:94727934:94728983:1 gene:gene-LATHSAT_LOCUS27633 transcript:rna-LATHSAT_LOCUS27633 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFPIQSPPFTVVLSVTLLLLSFFLTVVPVSSYRPWPQNPEKNITDYAFGTSKKYEGSSEFVKLRYHMGPVLTNIITVHTIWYGNWKRDQKKIIREFINSISAKNSPHPSVSGWWKTVMLYTDQTGSNISNTVQLGQEKNDRFYSHGKSLTRLSIQSVIKSAITAKTKPLPINSRSGLYLLLTADDVYVQDFCTSACGFHYFTFPSLVGYTLPYAWVGNSEKFCAGQCAYPFAVPQFIPNVKPFKSPNGDVGVDGMISVIGHEIAELASNPLANAWYAGGDPSFPVEIADLCEGIYGTGGGGSYTGQVLDGHDGATYNMNGIRRKFLVQWLWSHVLNYCTGPNALDH >CAK8538805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496771253:496774442:-1 gene:gene-LATHSAT_LOCUS7961 transcript:rna-LATHSAT_LOCUS7961 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVVRRVIPSDNSCLFNAVGYVMDRDQTKAAELRQVIAATVASDPEKYSEAFLGKPNSEYCNWILDPEKWGGAIELSILADYYGREIAAYDIQTTRCDLYGQEGNYSERVMLIYDGLHYDALAMSPVEDAPEDFDQTIFAIQNSRSIGPVEQLALNFIKDQHRKRKFTDTANFTLRCGVCQIGVIGQKEAAEHAQATGHVNFQEYR >CAK8544118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664254628:664257087:-1 gene:gene-LATHSAT_LOCUS12821 transcript:rna-LATHSAT_LOCUS12821 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFHYKTILLSLFSLLCFAFTSSYIIPKFPSSLVSPEVQQLSASSQNGFYRTKFFTQILDHFNYNPQSYQTFQQRYLINDTYWGGAKKKAPIFVYMGNEGDIEWFTQNTGFMFEIAPYFNALLVFIEHRYYGKSIPFGGNEEVANRNSSTLGYMSSTQALADYATLIIDLKNNLSATDSPVIVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFLDLVSPYTFTNIVTQDFKSGSENCYKVIKGSWKQIEHKANTSGGIEQLRKSFKICENNTISASALKNWLRSAFYYTAMTDYPTPTDFITPLPAYPVKKMCEAIDSISSGNDTFTKLYGAANVFYNYTGTVPCFDIASDSEDSTLYRGGWDWQACTEMILPLGGNNEESIFPAYKWDLEDTISYCKNEYDIVPRPHWIITEFGGKDIGRVLKRFGSNIIFFNGLRDPWSGGGVLKNISKTLIAIVAKEGAHHVDLRFSTKEDPEWLKNVRKQEVKIIATWITQYFQDLLY >CAK8538818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496957762:496958049:-1 gene:gene-LATHSAT_LOCUS7974 transcript:rna-LATHSAT_LOCUS7974 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKATGIVVTATRSPMQLMMGPAVTATGDQELEGNTTTFLRTAETFLRLLPIGLCVTALVLMLKNSEENDNDFVSYADLGAFRYINILLKTFYM >CAK8577562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574706285:574706801:-1 gene:gene-LATHSAT_LOCUS29660 transcript:rna-LATHSAT_LOCUS29660 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLHVHYVYCIRHIAQNFMREFRNKELRKSVVNMGYALTEATFNYYRGELRRKDIAALEWIDNIPREKWSRAFDRGQRWGHMATNLAKAMNSVLKATRNLPIIALVKSTYYRLGSLFGKRGHDWTKLLASGQTFT >CAK8567813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546873506:546874561:1 gene:gene-LATHSAT_LOCUS20835 transcript:rna-LATHSAT_LOCUS20835 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLIPFLPDDVAVNCLVRVPRSQHTTLSLVSKSFRSLLSSPLFFTARSLLHSTQHILYLSIRTRASTLQWFTLHNNKHLIPLPPLPSPVIGSAYAVIGHAIYVIGGSINDIPSRHVWILDCRFHRWLPGPSMRVSREFAAAGVVDGKIYVIGGCVPDNWSRSANWAEVFDPVTNSWEGVPSPPEIREKWMHASAVVDGKIYAMADRGGVSLDPCSASGVWESVGGELDLGWRGRACVVNGILYCYDYLGKIKGFDVKKGLWKELKGLDKALPRFLCGATMADVGGKLVVVWECQGNANGKGKEMEIWCAEIDVNKNEDGELWGEVCWLNNVLSVPKGSSIVHCSSVAL >CAK8531542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122995469:122996338:-1 gene:gene-LATHSAT_LOCUS1326 transcript:rna-LATHSAT_LOCUS1326 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSKKKNQKKEREPRFAFMTKSEVDNLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAACGVKKRVERSSDDSSIVVTTYEGQHTHPSPATSRPSLSFVNEPTSFGAGAFGGCSSGSHSHSHFVLPHASSLLYDNTNPTINSTTTPPSLGSSGGYVNTSSFGGFVHDQAIIQRGFGTSHEALLRDNGLLQDIIQMKNEEKDLIKEQLGNNNIL >CAK8560885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52049736:52052039:1 gene:gene-LATHSAT_LOCUS14525 transcript:rna-LATHSAT_LOCUS14525 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSLVNQSCLKPFGFSPRVGYTFPVTTRTCRLNWKSGSYTLNNIDCMRFDRGSATGARGARVSALDSDDKGEESDMDWETELLEELDLFGYRAPNKREKEQRLELLEDTDEMDWCVRARKMALKTIKAKSTAYAIEDLFTEKKKKKKKAKKKLVSKEKIDNKIDEIDLKRKAGLIEDDMFAENKAKTTETFYNRLSKFSGISDHRKEMHLNKAIIEAQTAEDVLEVTYETIVAVSKGLSPSPLSPLNIATALHRIAKNMEKVSMMGTQRLAFARQKEMSKLVGIAMTSLPDCSAQGISNIAWALSKVGGKLLYFSEMDRIAEVALTKVEEFNFQNIANIAGAFASMQHSATDLFAELSKRASELIHTLKGQELAQLLWAFASLYEPADVVFDSLDKLLKDHSQSIGLIGENLSNNHEQIGAESVDRNEASNLLTLSRDELANIAWSYAVFGQMDRIFFSHVWKTLNNFEEQRVSDLYREDIMFASQVHLVNQCLKLEFPHLQLSLCGKLEDKISHAGKSRRFNAKVTSLFQKEVGHLLVSTGLQWVKEYVVDCYSLDAAVVDKKLALEIDGPTHFSRNTDVPLGHTMLKRRYITAAGWKLVTLSYQEWEELQGGSEQMEYLRGILEDDIDKRNVSTTLTEVK >CAK8566434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422986560:422988178:-1 gene:gene-LATHSAT_LOCUS19565 transcript:rna-LATHSAT_LOCUS19565 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSLKIGIIGFGTFGQFLANTMIKQGHTLTATSRTDYSQLCLQMGIHFFRDVTALLETDMDVILLCTSISSLSEVVGSMPLICLKRPTLFVDVLSVKEHPRNLLLRVVPEELDILCTHPMFGPVSGKNGWQNLTFMYDRVRIKDEATCSKFLQIFSSEGCKMVEMSCEEHDRAAAKSQFITHTIGRTLAEMDIKPTPIDTKGFQALVQLKEPVMGCSFDLYSGLFVYNRFARQELENLEHALHKVKDMLVQRMDEGQNSERTES >CAK8531898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172982787:172983149:-1 gene:gene-LATHSAT_LOCUS1657 transcript:rna-LATHSAT_LOCUS1657 gene_biotype:protein_coding transcript_biotype:protein_coding METPELNTQPITTTSSLSLPKLCKKTNSHKIENLIEYSHVPEDAQIFETIPPLLSPYNIFKRQRSVTRSIRNIISTNRPHMKEYIQSSRLDQCSLRATNQEQYVDLEIPQYLINHWKIEG >CAK8573723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633013601:633018753:1 gene:gene-LATHSAT_LOCUS26132 transcript:rna-LATHSAT_LOCUS26132 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTAYAVSGTPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNLHDFTLAAQACIPIISALLADIRNQRYPHKCFLNIDIPSNVANHKGYKLTKQGKSIIKMGWKQIASETEGRKMSSDMTTAEVAARSDFNTSSISPGSLLFAREVRGAQHDHDDTDHRCLQEGYITVTPLAAISQAEEDCQNYFKSWLQNVLESPSSLAL >CAK8571495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:430793321:430797017:1 gene:gene-LATHSAT_LOCUS24155 transcript:rna-LATHSAT_LOCUS24155 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLSTAQPHLPLLRRHRHQRHGMQRTTLQPNPCFNPFVVPALSKPVEIVPSPLLSESPLSPPLPPPFKASTASLQYPPGYVGAVPERSRSDDGDALVSPMSYLTNILTSKVYDVAKETPLEFAPKLSERIGANIWLKREDLQPVYSFKLRGAYNMMAKLPEDVLEKGVICSSAGNHAQGVAMSAKRLNCNAVIAMPVTTPDIKWKSVERLGATVVLIGDSYDEAQAYAKKRAIEEGRTFIPPFDHPDVIIGQGTVGMEILRQMKGPIHAIFVPVGGGGLIAGIAAYVKRINPEVKIIGVEPTDANAMALSLHHGHRVILDQVGGFADGVAVKEVGIETFRLCKELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVIAITSGANMNFDKLRTVTELANVGRKQEALLLTVLPEEPGSFKHFCQLVGPMNITEFKYRYNSEEKAIVLYSVGIHTSSELKQMQGRMESSQLVTHNLSDVDLVKDHLRHMMGARPEIQNEVLCRFTFPERPGALMKFLDSFSPRWNISLFHYRAQGETGANVLVGIQVPSNEMDEFHDIANKLGYDYKVVIDDLFFQLLMH >CAK8570497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:51800703:51802873:1 gene:gene-LATHSAT_LOCUS23240 transcript:rna-LATHSAT_LOCUS23240 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLFLFILQIKTLTATTSTVNNPTTFSFISFTPESCNNGELLCMGSATSGNGYISLTPEPETQQNNSSGSMLGTSDIANKVGRILYPHPVHVWPAIITTTFTLRITPFLKNSTSSGDGIALVFAQDNRPSPNDSYGSYLGMFDRSTQGESFRQIGVELDTFMNEFDPDGNHIGIVTTSITNPIAFSSINRTNVDLKSGRNVMVKVDYNGWTKTISVSIGYSNSQLKSVLNHSVNIPDIIPSSVYVGFTASTGKTFPETHQILNWFFTSVPLPVLSVKHSAIGKIKFVLIIVLPVLVLVSLLSVIWEAWMKRYEKGDRNEDIESLSRTAADAPKMFGYKELSKATCKFSKENLVGRGGFGSVYKGFMVENGKTIAVKKISATSKQGEREFLAEICTIGRLRHKNLVQLQGWCNEGKNLLLVYDYMQNGSLDHFIGKDILDWQTRHKILTGLASALLYLHEECGNPVVHRDVKPNNVMLDSNYNAHLGDFGLARLLKNEDSVTTNLAGTPGYLAPEIGFTGKATPESDVYSFGMVILEVICGKRSKRVMEDNSLVDYVWNLHAQNQILECVDQQLKDCFDVEEAKRGLMVGLACLHPDSLFRPKMRKVVHIFLNQNEPLMELPEVRPTGVYVSVSCTSITDFVSRTDQLELQT >CAK8569170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678495515:678503616:-1 gene:gene-LATHSAT_LOCUS22054 transcript:rna-LATHSAT_LOCUS22054 gene_biotype:protein_coding transcript_biotype:protein_coding MADILSLSSFLFSITIYFLSSSQDLFSVNGQFINNFTQYSVTPINYDLYHSSRKLMDEIKDLVHRHPDKLSMETVKAGNKGYGAEIAVVTYCKGKKDTAERPKIRILLSFGQHGRELITSELALKILSLLTEEQFLPGLNKASLNSTLDQLVIKVIPMENFNGRKLVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPESQIMRKLAISFEPHIWVNVHSGMKALFMPYDHKNTTPDGLPLQRMKALLEEVNQLHCEKRCVIGSGGGSVGYFAHGTATDFMYDVVRVPLAFTFEIYGDATAASNDCFKMFNPTDRDSYNTVLEDWSAAFFTIFKLGPHQLGEIQSKASVVKLDKLVSIDEYLDGYLMERRNRYGKKMEVLELGMQEIRTYYRLFLLSSVLLLCMFCSRISKTKTKGSRPIVSAIPL >CAK8544068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661066181:661071406:1 gene:gene-LATHSAT_LOCUS12776 transcript:rna-LATHSAT_LOCUS12776 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGMESELKDLNSKAAKSYGNDTVRDDRPLLKSDSVSSTTTATVTTAAELQELEKKFAAYVRRDVYGTMGRGELGAKEKLFLGFALVTLLPIRVILAVIVLVVYYVICRVCTLFLSPNLEDEQEDYAHMGGWRRIVIVRCGKALSRVMLFVFGFYWIPDSTTSINQEDKPQTEKTKRPGVIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPFVGLISKCLGCIYVQRESKSSDFKGVSAVVSERIREAHQNESAPLMMLFPEGTTTNGDFLLPFKTGGFLAKAPVLPVILKYHYQRFSPAWDSISGVRHVIFLLCQFVNNMEAIQLPIYYPSQQEMDDPKLYADNVRRLMASEGNLTLSDIGLAEKRIYHAALNGNNSLPSVLHQKDD >CAK8569561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5031173:5032075:1 gene:gene-LATHSAT_LOCUS22400 transcript:rna-LATHSAT_LOCUS22400 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVKTILILLLFISSTHLGTTTTTTNLFREYIGADSNNVKFSDVPINPGVQFHFILSFGIDYDTSSSPSPTNGNFNIFWDTDNLSPSQVSSIKNQYSNVKVALSLGGDSVDGGYAYFDPSSVDSWLSNAVSSLTKIIKEYNLDGIDIDYEHFKADPDTFAECIGRLIQSLKTNGVISFASIAPFDDDQVQSHYLALWKSYGHVIDYVNFQFYAYDKGTTVSQFMDYFNKQSSNYNGGKVLVSFISDGSGGLSPSDGFFKACERLKSEQKLHGIFVWSADDSMGNGFRFEKQSQRLLAIH >CAK8541744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:380348811:380351456:1 gene:gene-LATHSAT_LOCUS10640 transcript:rna-LATHSAT_LOCUS10640 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDEKSPITFNFHQLLLKLEDNILIETVGISVEDDKGSVHSTTCVSSQVGFPLRCSFCATGKWGFSRNLWSHEIVEQEWVGWPQQIYKGNAKYTCCSWPGGVSYELGRSCNRRRCKSYIPIIWVNWKNMES >CAK8576339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:463423840:463424097:-1 gene:gene-LATHSAT_LOCUS28534 transcript:rna-LATHSAT_LOCUS28534 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAAPVYDHTLEIKKGLQKNDYLGGGFDQNDFNMQHFENFNNEFNNNFQSVPFGNEQTMVNHVDNSVFQYQEVLNAFALRMQNA >CAK8536623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2764314:2765099:-1 gene:gene-LATHSAT_LOCUS5971 transcript:rna-LATHSAT_LOCUS5971 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASHALTSIRMKRSSTEPILSCAECSDDEVCSNASKDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVMKFPTQQIKIPFFITCPWCHLLSFRFIYKGNLKFPRKNFFLLWMVESLNGDRHKAGSASVDSQPIWSPKFNLLGSQGTSCNLRRPSSSHCSGQLGSNNAVHVSDGERHYFSFHKSLDFFLHFTSKFPLVITLLLIAFFVIPCSVVILIIYFLLTIVFAIPSFLLLYFAYPTIQRLIREITS >CAK8573501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616736748:616760498:1 gene:gene-LATHSAT_LOCUS25943 transcript:rna-LATHSAT_LOCUS25943-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSFNSHSGGADDSADGNNNANGTASFRNFKFNQSTFLASLMPKTEIGVDRFLHSYPHYDGRGVLIAIFDSGVDPAAAGLQVTSDGKPKIVDILDCTGSGDIDTSKVVKADDDGCISGASGASLAINTSWENPSGEWHVGYKLVYELFTEKLTSRLKKERKKKWDEKNQEEIAKTVQQLNDFDQQHRKVEDAKLKKAREDLQNRLDLLRKQSESYDDKGPAIDAVVWYDGEVWRAALDTQSLEDDSDCGKLANFVPLTNYRTERKYGVFSKLDACTFVVNVYSDGNVLSIVTDCSPHGTHVAGIATAFHPEEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTFLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVSVSAPGGAIAPVPKWTLQRRMLMNGTSMSSPSACGGIALLISAMKAEGISVSPYGVRKALENTSVPIGNLPEDKLSTGQGLMQVDKCYEYIQQSQNIPYVWYQINISQPGKTNPSSRGIYLREANACRQPTEWTVKVDPKFHEDADKIEDLAVFEECIELHSSDSTVVKAPEYLLLTHNGRTFNILVDPTNLCDGLHYYEVYGIDCKAPWRGPLFRIPITITKPVAVIDRPPQVSFSKMLFQSGRIERKYIEVPHGASWVEATMNISSFDTPRRFFVDTVQICPLQRPLKWRSVVTFSSPASKSFTFRVVGGQTLELVIAQFWSSGIGSHESTNVDLKIVFHGIKPSQEEIVLDGSEAPVRVDAEALLASEKLTLVANLNKIRVPYRPIDAKISALSNDRDKLPSGKQTLALTLTYKFKLDDGAEIKPQIPFLNGRIYDTKFESQFYMISDSNKRVYSSGDAYPNSTKLPKGEYNLQLYVRHEDLQILEKMKHLVLFIQRKLDDKDIIRLSFFSQPDGPLMGNGSFKSSTLIPGIKEGFYLGPPPKDKLPKNSLQGSVLVGSISYGKLSFAGQGEHKNPEKHPASYRISYIVPPKKIDEDKGKTSLSSKKTVTERLEEKVRDAKVKVLEGIEQESDEDRLEWSKLSALLRSEYPKYTPLLAKILEGLVSRKNNKDKFNHNKEIVNAANEVIGSIDREELAKSFALKNDSDDEEAEKTKKNLESTRDQLAEALYQKGLALAEIESLKEVENSLALAATESAKPDKQPKDNGVYTDSFDENFKELKKWVDVKCRKYGILLVTHERRSQRLGTALKVLTDIIQDDTEPAKKKLYELKLSLLEEIGWTHLTTYERQWMLVRFPPTLPLF >CAK8573500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616736508:616760498:1 gene:gene-LATHSAT_LOCUS25943 transcript:rna-LATHSAT_LOCUS25943 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHKTLPLKSNPPIQFHPASSHSHRYHTPSQNYQNTLNHRRHNQLTFIRTLPSPFFNNLHRSNPNFIGRGNRNFSIPIPMLCSSFNSHSGGADDSADGNNNANGTASFRNFKFNQSTFLASLMPKTEIGVDRFLHSYPHYDGRGVLIAIFDSGVDPAAAGLQVTSDGKPKIVDILDCTGSGDIDTSKVVKADDDGCISGASGASLAINTSWENPSGEWHVGYKLVYELFTEKLTSRLKKERKKKWDEKNQEEIAKTVQQLNDFDQQHRKVEDAKLKKAREDLQNRLDLLRKQSESYDDKGPAIDAVVWYDGEVWRAALDTQSLEDDSDCGKLANFVPLTNYRTERKYGVFSKLDACTFVVNVYSDGNVLSIVTDCSPHGTHVAGIATAFHPEEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTFLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVSVSAPGGAIAPVPKWTLQRRMLMNGTSMSSPSACGGIALLISAMKAEGISVSPYGVRKALENTSVPIGNLPEDKLSTGQGLMQVDKCYEYIQQSQNIPYVWYQINISQPGKTNPSSRGIYLREANACRQPTEWTVKVDPKFHEDADKIEDLAVFEECIELHSSDSTVVKAPEYLLLTHNGRTFNILVDPTNLCDGLHYYEVYGIDCKAPWRGPLFRIPITITKPVAVIDRPPQVSFSKMLFQSGRIERKYIEVPHGASWVEATMNISSFDTPRRFFVDTVQICPLQRPLKWRSVVTFSSPASKSFTFRVVGGQTLELVIAQFWSSGIGSHESTNVDLKIVFHGIKPSQEEIVLDGSEAPVRVDAEALLASEKLTLVANLNKIRVPYRPIDAKISALSNDRDKLPSGKQTLALTLTYKFKLDDGAEIKPQIPFLNGRIYDTKFESQFYMISDSNKRVYSSGDAYPNSTKLPKGEYNLQLYVRHEDLQILEKMKHLVLFIQRKLDDKDIIRLSFFSQPDGPLMGNGSFKSSTLIPGIKEGFYLGPPPKDKLPKNSLQGSVLVGSISYGKLSFAGQGEHKNPEKHPASYRISYIVPPKKIDEDKGKTSLSSKKTVTERLEEKVRDAKVKVLEGIEQESDEDRLEWSKLSALLRSEYPKYTPLLAKILEGLVSRKNNKDKFNHNKEIVNAANEVIGSIDREELAKSFALKNDSDDEEAEKTKKNLESTRDQLAEALYQKGLALAEIESLKEVENSLALAATESAKPDKQPKDNGVYTDSFDENFKELKKWVDVKCRKYGILLVTHERRSQRLGTALKVLTDIIQDDTEPAKKKLYELKLSLLEEIGWTHLTTYERQWMLVRFPPTLPLF >CAK8570283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34000798:34001190:1 gene:gene-LATHSAT_LOCUS23045 transcript:rna-LATHSAT_LOCUS23045 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHVISHFRTSKIKVISSTFDKNQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTTYVVSLAALDGTKAKALTVCHHDTRGMNSELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8565060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32168400:32169284:-1 gene:gene-LATHSAT_LOCUS18301 transcript:rna-LATHSAT_LOCUS18301 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRSIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHADATNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGVNDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKR >CAK8577851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594232446:594232850:1 gene:gene-LATHSAT_LOCUS29920 transcript:rna-LATHSAT_LOCUS29920 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNLSFSSTNQSGSGIRRRCNRCWCELESPLMTSWTYDNPGRRFHGCGNFKVMRKKGYNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMNIWFMYYFVGLSLMFVFLIVFSLVATHVLK >CAK8531535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122056841:122057783:1 gene:gene-LATHSAT_LOCUS1319 transcript:rna-LATHSAT_LOCUS1319 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKFEEKLTTMFYQMASFQGGQPSNTLDITLKLDQKVNNMTTDKLSNKRVSTTSQRKLRSNDVKEN >CAK8576058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:395456109:395457949:1 gene:gene-LATHSAT_LOCUS28275 transcript:rna-LATHSAT_LOCUS28275 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIVSAMGVCLGSQIKAEGQTNSGLSSKSVNVVDTEDIALVSPCCKASVDLSSSTSEIEISAGLVPRTDPCVGEILQSSNLKSFALTELQAATRNFRVDSVLRDGGFGSVFKGWIDEHSPSAAKPGKGIVVVVKKLHQDGFKGREEMLADVRYLGQLSHPHLVKLIGYCLEDENNLLVYEFMPRGSLENHLFLRGSYFQPLSWCLRLKVALGVAKGLAFLQSAEKKVIYRNFKTSNVLLDSNYNAKLSNFGLAKVGSAVDKGRVSTRLTYGYAAPEYVATGIHSAKSGVYSFGVVLLEILSGRRVIDKNRPQRQQNLVEWAKPYLSNKRKILRVLDNRLEGQYELDDAYKVATLSLRCLATEAKLRPNMDEVVTNLEQLQVQNVNGCNQNHLRRRSADDVTRVRTTTAYPQRSTSMLST >CAK8532722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:499458100:499467922:1 gene:gene-LATHSAT_LOCUS2400 transcript:rna-LATHSAT_LOCUS2400 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLEFNPSLAKYSTFGGLNSPLHFAASKGHNEIVALLLEKGADVNSRNYCGQTALMQACRHGHWEVVQTLVLYRCNVMKADYLSGRTALHFAAVSGHVRCIRLVVADFVPSAPYETLHASVDADVGDGSNTKRKNEQSTLSKFVNKTADAGITALHMAALNGYFDCVQLLLDLNANVSAATYHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLAKGATRMALNCNGWLPLDVARMWGRHWLEPLLAPSSDTVVSSFPTSNYLSLPLMSVLNIARECGLQSPSTTSSNEIDFCAVCLEKPCSVAAEGCMHELCVRCALYLCSTTNVSSEMQGPPGSIPCPLCRHGIISFVKLPSFQPKENKLHVSLSMCTPCMQHPRDIDQTSLSHTTPEIRRNRVASVSSEMLCPVTCTPFPSVAIPLCTCNDGPCPPFEPREDETQDESPRHSQASATDQDKMEGPKLVKTTCSNMFWGRRSCSRENQCNSEINA >CAK8540300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549982557:549983139:1 gene:gene-LATHSAT_LOCUS9315 transcript:rna-LATHSAT_LOCUS9315 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCYENIIKNPKLVPGGGATEMIVSADLKQKSSSIEGIKKWPYEATVIVFEAIPHTLVQNCGVNVIRTMTALQGKHANGENAWIGINGNNGVIADMKEHKVLYFYLTYLVLTDANVNCSNC >CAK8574377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678853114:678858240:-1 gene:gene-LATHSAT_LOCUS26731 transcript:rna-LATHSAT_LOCUS26731 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGAGPVGGGGSNSTPAIKQVKLEKECELRIEVGNDAPLRLRLLNGTAEVFGTELPPEIWLNFPPKLKFAVFTWYGATIEMDGATETDYTADETPMVSYVNVHAILEGRRGRAKNSPSDDPVLSQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCIAATPIEMPIDPVEGIPLEMPLVYFYGHTSPSNNVELYKVLVKELAAILERQFTGNSESRAAGMVINTMGWIEGVGYDLLLHAVRTFQANVVLVLGQEKLCSMLRDVLKGEPKVDVVKLQRSGGVVSRNAKFRQKTRSSRTREYFYGLANDLSPHSNVSNFSDLFVYRVGGGPQAPRSALPIGAEPATDPTRAVAVNVNRDLLHTILAVSFAKEPDEIISSNVAGFIYVTDVDVQRKKITYLAPSAGELPSKYLILGSLTWLES >CAK8532234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:227488300:227489708:1 gene:gene-LATHSAT_LOCUS1964 transcript:rna-LATHSAT_LOCUS1964 gene_biotype:protein_coding transcript_biotype:protein_coding MEESIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRHLYDKFISPNELINKFSFISPHVSREDNLGNAIAKKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKIRCPRQINSIDCGYFVMRFMKEVIMENEIMIPINYFLDHKCRTYCKDKLTEVKDDWATYMVDDIFGKQEAIILPS >CAK8533131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581390050:581390951:1 gene:gene-LATHSAT_LOCUS2779 transcript:rna-LATHSAT_LOCUS2779 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSIKCGLRLAGKVAIVTGGASGIGKETTHLFANQGARIVVIADIQDELGIQVAESIGNDKCIFIHCDIRIEDDVKNLIQLTVDTYGQIDIIHCNAGIVSPSNQTLLELDVSQANGVFATNAIGTALCVKHAARAMVDGKVRGSIVCTASISASYGVTTGTDYSMSKHAVLGLMRSASVQLAKHGIRVNSVSPNGLATPLTEKMLNADAETVEKIFSKYSMLKGVVLRTNNVADAVLFLASNDSDFVTGLDLRVDGNYITNDDVI >CAK8530495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24550430:24551218:1 gene:gene-LATHSAT_LOCUS353 transcript:rna-LATHSAT_LOCUS353 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASSNRSSLSTTKSNDFQVSRSSISKVKGSENRAPPPLPLEEETVKEVLSETSKWMKYEGEKPKCFEKFDRENKVEKPFYKIDEISEFSEVCSLGESVSTITDRREEEEEFRQRVNGSPAKTRKNRSFSGERREWTAGKSPARRSEQSPVKRNAGSARRDQIGNGGMKNHLHRRDAGENSGRRSRSPATRTDNGSTRSVAGRSLSARRTNQSPAKARTASPVKSSRKMENSTMENKNWPSTENESLENPLVSLECFIFL >CAK8566349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:411557609:411558277:-1 gene:gene-LATHSAT_LOCUS19484 transcript:rna-LATHSAT_LOCUS19484 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPDKGSKKKTAALERERDELATENAQKNEEINKMKEDIEKLRKNLEDSTAELEAETARLHKEVVESKVEAEKLRKILEEKENKIEMIEKEGKDLRQENGEMEMKVRELERKIGVSEMKEAEENSKRVRVEEEMKEKVDEKNRKVEELENVVRERKIELEKWLKEKRNLEEKVRVLEFSLMNMNMKENGMEMSWPAVAAGSIGGTAMVAVLIRFLFGNKR >CAK8531459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111935721:111939428:-1 gene:gene-LATHSAT_LOCUS1250 transcript:rna-LATHSAT_LOCUS1250 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNKTEPSNADLLERRNWGNIFNLLVQMVKNQQNQLQTFANQQKFLEDRLQMQNQRWASDVKQYRDQISHIKSLLIFEEKKRVLEAAKADLMMGYKERDASVLKWMLDSAEDDLDDFKTGFDILALKGTSSSGKNSSHEETKDKHDKLAAEQNSELLALLEEKKFVWNQFNKMEADYSNKLRNKQDEVIKANEKINMLVSRMEELQSENSKKDSRISELESVVADMDTETNTKKDSRISELESIVADMDAETNRLNKEISGLSAEMESLRKLKNSEVKPLSKRCTAGSSGSGTIESSRSRRKITFDKELCTPVGPASTSTNFSKKEKKGLKRKETPVIPTSETPKLFSSSFKVPKLKPSLKTI >CAK8532449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264460337:264462535:-1 gene:gene-LATHSAT_LOCUS2153 transcript:rna-LATHSAT_LOCUS2153 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMTWMVASPNGSSNSKPLSWVVVSIGVVVVIITFASWFFLSYPISSSVHGHFYGVESSQIQMNASLGLGGNKTLFDLQPSIVSNVVSSDNSKIERIGNNSNSQVLFRESTSPKIVPVTKEVNGLETSGLASKARIPVVGSNSSIVKGCDLYHGNWIYDPSGPLYTNKSCPVLTQTQNCQGNGRPDKDYENWRWKPFECDIPRFDPRKFLELMRGKTLAFIGDSVARNQMESMMCILWQVEEPKNQGTRNMRRYYFESTSVTIIRIWSSWLVKHNSEPFDFAPAGVEKLYLESPDEMLMEFLPTFDVVVLSSGHWFTKQSVYILNNEIVGGQLWWPDKSQHMKINSVEAFGISVETILTTLVTHPNYTGLTILRSYSPDHYEGGGWNTGGSCTGKVKPLVPGELVENKYNAAMYKQQVTGFNRVVKKATNGSRMILMDITEAFQYRHDGHPGPYRSTDPNKITTRGRDGRPPPQDCLHWCMPGPVDTWNEIVFEIIKKEYESDSAS >CAK8578740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650758046:650759170:-1 gene:gene-LATHSAT_LOCUS30726 transcript:rna-LATHSAT_LOCUS30726 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRETNPHFSRPVRPQNADQKQPNIPTVPHQHYRGQPPIPVAAPIHDVSQHPQPHFPLPHHSEDHVPLRGDHDSRFPLSHHPEDHVPLRTDHDSRFPHPLEQEGHHQPPSLQNPRRGGNRTSQPPRGGSRKKQRDQDLRPSKSRVNFQEPSVIPPPPLDHPPEPRRQLAPRQDRRHGIRLPKEQKSPPLTWLGACLCVIFWLIIIIGGLIVLIVYLVFRPQIPHFDVSSVTLNAAYLDVGYLLNADLTMLTNFTNPNKKVHVDFSSVNIYLYYGSTLIATQYVEPFSAARVQSRFAYIHMVTSQVQLPLNEGQRLVKQMESNGVLLEVRGVFRARSKLGTILRYSYNLYSRCSIMVARPPEGILIRKKCRTKR >CAK8577621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578867188:578867598:1 gene:gene-LATHSAT_LOCUS29711 transcript:rna-LATHSAT_LOCUS29711 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8538111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464154292:464154522:-1 gene:gene-LATHSAT_LOCUS7346 transcript:rna-LATHSAT_LOCUS7346 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEALYGRKLPTILPYARGSPSIQVLDEALTSLDELLCTLKDNLNVAQHRMHQKANAHHHDVTLDVGDFVLVRL >CAK8564867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14382708:14384258:1 gene:gene-LATHSAT_LOCUS18124 transcript:rna-LATHSAT_LOCUS18124 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKIIKKKRTMRRRKPKSLFNNLPIVLQTKIFGKLYMKEKSNVMCVSHSWRNLILTTTLPKENLLDPLMEASISSNPYIDLEQLFNWCSLVMSCRIRPKNLIDTCNGLFLFCRKDGQANNIIHGVYHYFVMNPITKQCVAIPKPVGQISGGYSYAALAYDHIESWFFKIIRFQGHRHINIFSSMTGIWTTLTIYFPEYINESYWIKKSIYLKGSIYRLSSSGHLLRIKVDPQENASKQVEVIKLHPDCLFDNSQLQICLKDDKILLFLSRSAKFMCFELVECVTKGVSTYTWNMNLSKENENLLPLNTNGKLLSIYPSNDMAIFKIKRLVYFYLYNLDDNNIEEIGVIRDDQIMFEYESTCGKQLFECFIPFACCLEKEGQKHFQRLLVPK >CAK8561211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117356738:117357145:-1 gene:gene-LATHSAT_LOCUS14820 transcript:rna-LATHSAT_LOCUS14820 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDSLKEKVKDLRVEQLKTQVQKKVTCGNRKLDLEITKLPSPYLYRDAKIVAEFLSELLQNRISFRIAMQKGIELAEQADTKGVQIKIAGRMEGKEIARVEWTREGRVPLETTNQLVTLFGVFGVKIWIFQNF >CAK8576632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499280577:499281023:-1 gene:gene-LATHSAT_LOCUS28806 transcript:rna-LATHSAT_LOCUS28806 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKLWSLIPEDVKEKAAKSKGTAPVIDVTQHGFFKVLGKGVLPSNQPIVVKAKLISKIAEKKIKEAGGAVVLTA >CAK8563312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591907980:591914654:1 gene:gene-LATHSAT_LOCUS16736 transcript:rna-LATHSAT_LOCUS16736 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPENTIQASPIDTHKKKKRDKKRLREIVEEQNGAEEEDVNDTHSNGESSNKTKKKKEEVEIENEVEEKKVKDNSGSGIMSAESFASLGLSVPTSKAITDIGFQHMTQIQAGSIPPLLSGKDVLGAARTGSGKTLAFLIPAVELLYKLKFNPRSGAGVVVICPTRELAIQTHDVAKKLLKYHSQTLGLIMGGSARRTEAEQLAKGINILVATPGRLLDHLQNTKRFVYNNLKCLVIDEADRLLEANFEDELKQIIKLLPKSRQTALFSATQTKKVEDLARLSFQTTPVYIDVDDGRKKVTNEGLLQGYVVVPCAKRFSVLYSFLKRHQSKKVMVFFSSCNSVKFHADIFNHINLHCSDIHGKQKQQTRTAAFRDFCKAENGVLLCTDVAARGLDIPSVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEELQFLRYLKIAKVPVKEYAYDEKKVANIQSHLENLLAENHFLNKMAKEAYRSYLLAYDSHSSKDIFNVQRLDLQAVAASFCFPNPPNVSVNLSSSKQRKKTRRVDGGRHGFNPSNPYGKQNTDDTRQFVRH >CAK8574522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1517091:1517919:1 gene:gene-LATHSAT_LOCUS26862 transcript:rna-LATHSAT_LOCUS26862 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEGAYNRVLSARQTVPHETYAYFMDLLAKTIRDEIAGCSEKAYDYLSINDAKQMLLFSKDQELLEYLKEEHSKWEIKNGSVFFQKAKDTAPCKEIPSLQLINQTLSYARELERIV >CAK8560116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8071177:8079231:-1 gene:gene-LATHSAT_LOCUS13827 transcript:rna-LATHSAT_LOCUS13827 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAKLDEDQVLVERKSYARVLTLNRPKQLNALSYYMVSKLLEVLHDDEENSDVKLVIVKGNGRAFCAGGDVAAVARDAKEGDWRFGAKFFDTEYNLNYLMATYSKPQVSILNGIVMGGGAGASMHGRFRVVTENTVFAMPETALGLFPDVGAPYFLSRLAGFFGEYVGLTGARLDGAELLACGLATHFVPSSRLSLLEESLCKVETSDSAVVSAIIDKYSEQVSLKEDSVYRRMYVINKCFSRKTMEEILSSLETEATSKADSWISTTLETLKKSSPTSLKIFLRLIREVRLLGVGDCLVREYRIVCHILQGHHSKDFFEGCRAILIDKDRKPKWEPSKLELLSDSDVDRYFSKLDAEGWKDLELPKRFKNLPAHAISKL >CAK8572584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549440042:549441925:-1 gene:gene-LATHSAT_LOCUS25137 transcript:rna-LATHSAT_LOCUS25137 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSITFSLGLATTLALLGVGASFAGKAYGQIGQGLPLGASGLAVVMGLNLLEIIELQLPSFFDSFDPRSVAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKNPVIGGSLLLTYTTGYISPLLLAASFAGALQSLLCKYSAWINPVSGAMLLEGGVYTFLDRLFPATMAM >CAK8540356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554204574:554205686:-1 gene:gene-LATHSAT_LOCUS9366 transcript:rna-LATHSAT_LOCUS9366 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGNPKAWVPYMNNKDCSQGFCSFYCPQWCYAIYPPPPPSPFEFPDDDSSSNFSPLVIAIIGILASAFLLVTYYTIISKYCGRRGSSSQSESRETNDELEDNHHHNHSIHEPWHLTTNGLDEALIKSITVCKYKKNDGLVDVTDCSVCLNEFQDDESIRLLPKCSHAFHLPCIDTWLKSHSNCPLCRATIFAFNASSSSAATLHLAAPVIEQSSRNEVSLENQQLNEIVDVERDSELHEVEEVPIPKSEFRALSDLGNSRGRHSVIEIRDNEDYHESIRRSVSMDHSFQGVLSVVDDVNAMHMNQEQDCSQVEGSSKRVRDESESSKCSYRRKVLHCVLSPIAMKRSFSSGRFFLSRTGRGRLGILHV >CAK8560216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10735935:10741969:1 gene:gene-LATHSAT_LOCUS13910 transcript:rna-LATHSAT_LOCUS13910 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTDHPWIYDVFINFRGQDTREKLVSHLYAALSNAGINTFLDDKNLQKGMELGPELKRAIEGSQICIVVLSVNYTKSSWCLNELLHIMDCRKNYGQLVLPVFYEIDPSFVRRQTGDFGEALEVSATRVESFSLKKKKGDLLKKWRTALTEVANLSGWNSSSFGTEGNLVKKIVENILTKIDVSLLSITEFPIGLDFHVQNMTNFIDDQSSKVCMIGIWGMGGSGKTTTAKALYNQIHRKFEGRTSFIENIRETCENDTRGIIHLQQQLLSDLLKIKQEIHSIALGINKIETKLRGQKVFIVLDDVTKSEQLNALCGNPKLFGSGSVLIVTTRDIRLLNSLNADHVFTMTEMDDNQSLELFSWHAFRQPSPRKDFSELSKNVVAYCGGLPLALEILGSYLSKRTKQEWRSALSKLEKIPNNQVLQKLRISYDGLEDNEEKDIFLDICCFFLGKNRADVTEILNGCGLHADIGIAVLIERSLVKVGKNNKLQMHDLLRDMGRSIVGECSAKEPVKHRRLWFREDVLDVLSKNTGTETVEGLILKLHRTGRIRFSTNIFQEMKKLKLLKLDGVDVIGDYGLISKQLRWIDWQRSTFKFIPNDFDQENLVVFELKHSNVEQVWQETRLLEKLKVLNLSHSKYMKRTPDFSKMPNLEKLIMKGCQSLSEVHKSIGDLKKIVFINLKNCTSLVNLPREIYQLITVKTLILSGCSKIDKLEEDIMQMESLTTLIAANTGIKQVPYSILKSKSIGYISLCGYEGLSSDIFPSLIWSWISPTRNPLPRVSPFGGNTLSLVSLDVESNSTDCQSSVLTTLSKLRCVWVQCHSENQLSQELQKFIDDLYNVNFTELETKSLEPKVSNISLRSLVIGMGSSQIVMDTLGKSLSQGLTANSSDSFLPGDNDPFWLAYTCEGPSVLFQVPQNGDCETKGITLCVLYSSTPENLATEYFTSVLIINYTKFTLQIYKRDTIMSFNDEDWQGVVSNFGVGDKVEIFVAIGHGLTIKEMAVYLIYDQSTTMEVEPILEVEVQPPPGVKMEPILEVEVQPSPGVKMEPSLEVGAQPLLGVKMEPSPEVEAQLSLDTKMEPSFEVEAQPSLDTKVEPSLEVEAQPSLDTKMEPSLEVEARPSPGVKMEPSLEVEAQPSPGVKMEPSHEVEAQPSLDGKIEPLPKPNQKIFRKLTKRIGKCLCLNQN >CAK8536271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924126954:924130715:-1 gene:gene-LATHSAT_LOCUS5655 transcript:rna-LATHSAT_LOCUS5655 gene_biotype:protein_coding transcript_biotype:protein_coding MADYRSFSFTKMIGCWSCFGLINKQPRRRRIRRSIKNYLSQGLLTDGETECDEVSHSGDYTSNNSSGDDSDDSEVQNLPNRSEDILNFRAENGMICRPFPAKETYKLVRSEDEDGNKMLNEYIREYKIGSGSYAKVALYQSSIDGRHYAIKSFHKSHLRKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLIEVIDDSESDDFYMVLEYVEGKWVCEGSGRQCALGEETARKYMRDIVSGLTYLHAHNIVHGDIKPDNLLITRHGTVKIGDFSVSQAFEDGNDELRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDTLQDTYDRIVNNPIEIPDDINPQLKNLIEGLLCKDPEQRMTLTEVAEHDWVIGNAGPIGKYSCWCKRKSLVIEDFEESYVVA >CAK8533576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:638199535:638199843:-1 gene:gene-LATHSAT_LOCUS3195 transcript:rna-LATHSAT_LOCUS3195 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLSTQPENYLHRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSNVADLLR >CAK8538440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482669387:482671736:1 gene:gene-LATHSAT_LOCUS7634 transcript:rna-LATHSAT_LOCUS7634 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPLRHSTMQISLTNPPFKTPQIFQFHQPIFSPKQPNQIPLQTHVVNAIKGSGYLSEISKAIDYEEQYRVAKSQVNRKGLDLEGYSIEGVSIGGHETCIIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYIGSRGLYNLKPPTVFVPPCIKDDVEKLLEVHEALGHVELNCELVALDVGETYEIRNDLVVRPFKTHHVIPSQGYVVYSIRKKLRKQYSHMNGKQIEKVKKSGVQITDTILSPEVAFAGDTTSDFMLDPLNADALRAKVLITEATFLDDSTSVEQARQHGHTHISELIENAQWIRNKTVLLTHFSSRYNIEDIRQAVAKLQSKVSAKVVPLTEGFKSLYS >CAK8538441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482669417:482671736:1 gene:gene-LATHSAT_LOCUS7634 transcript:rna-LATHSAT_LOCUS7634-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLTNPPFKTPQIFQFHQPIFSPKQPNQIPLQTHVVNAIKGSGYLSEISKAIDYEEQYRVAKSQVNRKGLDLEGYSIEGVSIGGHETCIIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYIGSRGLYNLKPPTVFVPPCIKDDVEKLLEVHEALGHVELNCELVALDVGETYEIRNDLVVRPFKTHHVIPSQGYVVYSIRKKLRKQYSHMNGKQIEKVKKSGVQITDTILSPEVAFAGDTTSDFMLDPLNADALRAKVLITEATFLDDSTSVEQARQHGHTHISELIENAQWIRNKTVLLTHFSSRYNIEDIRQAVAKLQSKVSAKVVPLTEGFKSLYS >CAK8564018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646570667:646586454:1 gene:gene-LATHSAT_LOCUS17366 transcript:rna-LATHSAT_LOCUS17366 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRSVAVAVSGGSGAAKGSRRALLWAMKNVVPQADRLILVHVIPRITSILSPAGEYIPISEADTHAFAAYVQDVKQKSEEIFVSFKKLCESNTIETFLLEDDNPANALLSFISESGVQILVLGSDSSNFITRKLKGPGIPTTILRCAPDNCDVYVVDRDRIVSKLADSSSSRSHEEGQRYIQLNKPDNGAGISGQVSGISASSAELKILKNFRFLSISERSYIGLQTSSRRNSFENSIISEEANPENYGDYVDTISLHSFDSIASAHREPLVMEEVERLQLELQNTIAMYKQVCEELAHAQSKALLLSSESLEEAKIINASLKREETLRKIAAEEKAKYLKVMKELEESKSMFAKESYERQMAELNVLKESIEKQRIVDTLLSNDRRYRKYTMDEIKIATNNLSEDLVIGEGGYGKVYKCNLDHTPVAVKVLHQETINKKAEFLKEVEVLSQLHHPNMVLLLGACPENGCLVYEYLENGSLEDYLLNRNGKPPLPWFFRFRIVFEMACGLSFLHNSKPEPIVHRDIKPGNILLDRNYVSKISDVGLAKLISDAVPDNITEYRESVLAGTLHYMDPEYQRTGTVRPKSDIYAFGVIILQLITARHARGLIMTIENAITNGSFRDILDKSAGDWPLNETIELTEIGLKCTALRCRDRPELDTEVLPLLKRLSDMANASVKIGTKSVSTPSQYYCPILQEIMDEPYIAADGFTYEYRAIKAWLSKHNVSPVTKHKLQHSELTPNHTLRSAIQEWKSEVALLDHQ >CAK8537456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362645409:362645639:-1 gene:gene-LATHSAT_LOCUS6746 transcript:rna-LATHSAT_LOCUS6746 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFAQDQGTTFNLEYAWRLLKDEVKWRIVEQLIGSSAKITKTYASGASSENPDTTSSYEFNSSPPMERPMG >CAK8530680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39743285:39744383:1 gene:gene-LATHSAT_LOCUS526 transcript:rna-LATHSAT_LOCUS526 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFIVVCIIILCSYAYLSTSRTLHESSVVDAHQQWMIKHGRTYTNSYEMEKRLQIFKENLEYIEKFNNAGNKSYTLGLNQFSDLTSEEFMASYTSVIIPNQLSSSEMRSKTILFDVNDDMPTNFDWRQKGAVTDVKVQGICGACWAFTAVAAVEGIVKIKTGNLISLSEQQLVDCDEKSDGCIAGRFDTAVATIVQSNGIVKESDYPYRGVQQTCQINGNVEPAAQVSGFRFVTSNDEQQLLQAVAQQPVSADIAIDEEFRLYNGGVYSGSCGSSINHAVTIVGYGVSEEGEKYWLIKNSWGEGWGENGYMRLVREGGESSGHCSIATYAGYPIM >CAK8566713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454907307:454913622:-1 gene:gene-LATHSAT_LOCUS19825 transcript:rna-LATHSAT_LOCUS19825 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMETEQNPPTDQNGAASQHSPPPPLPPDDKFLVPIEVCLKPSSTASIHDVRSAIEGMLEKRSLSYNGGPIPVPLDEPFLADNVQRICICDAGEGMQNDNVLLFWQVKPVVHVFQLSEEGPCEDISSDGQSSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKAVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFNSRYTQAQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELMRTGILTSFEDCKNIVLPNYASAKQRMNAPNFHEATTSMQLCKQLVETAEACEGMSGRSLRKLPFLAHAALANPFDCNPIKFLSSMVDTAKRERSELPD >CAK8533872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666326714:666327979:-1 gene:gene-LATHSAT_LOCUS3461 transcript:rna-LATHSAT_LOCUS3461 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFGSLNGDKRGETMALLHEACQKWGCFLIENHEIEGKLLEKVKKEINNYYEENLKESFYKSEIAKSLEKKQNTGDIDWESSFFIWHRPTSNIRKIPNLSEELCKTMDEYIEKLVELAEKLSALMSENLGLEKEYIKKAFSGSNGAAMGTKVAKYPECPFPELVRGLREHTDAGGIILLLQDDKVPGLEFFKDGKWIEIPPSKNNAIFVNTGDQIEVMSNGLYKSVVHRVMPDKNGSRLSIASFYNPVGEAIISPAPKLLYPSNYCYGDYLELYGKTKFGEKGPRFESIKNKANGHY >CAK8531746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:145595462:145597235:1 gene:gene-LATHSAT_LOCUS1512 transcript:rna-LATHSAT_LOCUS1512 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPISTINVGHIDDVQELRRTKPKTVPQRFVRDMTERPTLQTSLEPQNSDMPVIDFSKLSKGSKEDLLNELCKLSVACQEWGFFQVINHEVDINLMKNIEDMSKEFFMLPLEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGIAPLYVRDPNLWPKKPAKLSETIELYSRKTKKLCQNLLKYIALGLSLEEDVFEEMFGEAVQAIRMNYYPTCSRPDLVLGLSPHSDGSALTVLQQAKGSPVGLQILKDNRWVPVQPIPNALVINIGDTIEVLTNGKYKSVEHRAVAHEEKDRLSIVTFYAPSYEVELGPMQEFVDENHPCKYRRYNHGEYSKHYVTNKLQGKRTLDFAKVGKDE >CAK8544410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682841620:682843287:-1 gene:gene-LATHSAT_LOCUS13094 transcript:rna-LATHSAT_LOCUS13094 gene_biotype:protein_coding transcript_biotype:protein_coding MEINHFSSTHDSLEQLLSQNKQHSFSEMEPLEEINNPPTKGNEKVPNMFNNQEFEVGNFMLEDTSLDPFQETTSTWPKNHNHKVSTPKPLQNNTQMPLSLSSLEILRNHGRRFMKLSEKNIVNTKTCLDSELHQKMSTEGIIRVAGARYTQHSSSHWSESSCIQTHPYGFDLHGLSEEDSRDIELAQFLFSAAERVSFQQYERAKKLLFYCQWNSSFTGNSVQRIVFHFSQALQERIEKETGGMIKGSEKNEESELLGKMDTKKALVCHQKIPFNQVMQFIGIQAIVEHVVFETKIHLIDFDLRSGVQCITLMQALADRKGCMVEIFKVTTIGFNSCKNKIEETGKNLASFAESLNFPFLFKAVLVEDMLEIKEDDFEIEEDEAVAVYSPYFLRNLISRQDCMENLMRVLRCIKPSIMIVLEIEASHNSPSFVNRFVEALFFYSAFFDIVETCMSEEDECRMIIEGILSAGIRNIVATEGSERNVRNVKIDVWRRFFARYRMVETRFSEACLYQGELVVKEFDYGKFCNVEKNGKCLILGWKGTPMHSISAWRFL >CAK8544411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682841620:682843206:-1 gene:gene-LATHSAT_LOCUS13094 transcript:rna-LATHSAT_LOCUS13094-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEEINNPPTKGNEKVPNMFNNQEFEVGNFMLEDTSLDPFQETTSTWPKNHNHKVSTPKPLQNNTQMPLSLSSLEILRNHGRRFMKLSEKNIVNTKTCLDSELHQKMSTEGIIRVAGARYTQHSSSHWSESSCIQTHPYGFDLHGLSEEDSRDIELAQFLFSAAERVSFQQYERAKKLLFYCQWNSSFTGNSVQRIVFHFSQALQERIEKETGGMIKGSEKNEESELLGKMDTKKALVCHQKIPFNQVMQFIGIQAIVEHVVFETKIHLIDFDLRSGVQCITLMQALADRKGCMVEIFKVTTIGFNSCKNKIEETGKNLASFAESLNFPFLFKAVLVEDMLEIKEDDFEIEEDEAVAVYSPYFLRNLISRQDCMENLMRVLRCIKPSIMIVLEIEASHNSPSFVNRFVEALFFYSAFFDIVETCMSEEDECRMIIEGILSAGIRNIVATEGSERNVRNVKIDVWRRFFARYRMVETRFSEACLYQGELVVKEFDYGKFCNVEKNGKCLILGWKGTPMHSISAWRFL >CAK8569953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17312972:17313202:-1 gene:gene-LATHSAT_LOCUS22749 transcript:rna-LATHSAT_LOCUS22749 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTDRDNFDYSYDNVDINNSTTETFSGSHPNLATRLQRRASIQEKQVHRKLQGDLVEYIWERLEHEDDEF >CAK8541560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:247524654:247525072:1 gene:gene-LATHSAT_LOCUS10473 transcript:rna-LATHSAT_LOCUS10473 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKHSQVKDALEGKVRIIFSGAAPLSKHVEGFLRVVTCAHILQGYGLTETCAGSFLAIPNEIDMLGTVDPTLPYLEVCLKSVPEIVRIKCWKTYLIE >CAK8577426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563117905:563118639:-1 gene:gene-LATHSAT_LOCUS29538 transcript:rna-LATHSAT_LOCUS29538 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSSRKATFKKRKKGLIKKVSELSTLCGIDACAIIYSPYDPQPEVWPSQPGVHRVLNKFRRMPELEQSKKMVNQESFLKQRIEKAKDQLTKQRKDNKEKEMTQLMFQYLSADNVMDNISMADLNDMAWLIDQYLKEINRRVEMLTKNSQGQGQGQMMAPPLPMVSNGVAKVEEVGQGSHNGQGSVNMSMDANVMQKQPWFMNFMDGGGGNDGTPPLGNVPQQNGFWPNPFFQ >CAK8569498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3144360:3148335:1 gene:gene-LATHSAT_LOCUS22343 transcript:rna-LATHSAT_LOCUS22343 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGFHEQRRSWRRAGSTRRNATATTTVAGDTSPDSVIFTLESNVSLFSSASASVDRCSFASDAHDHDSLNSEISLHLAGNGDFAPSESWSGPDPDPNPNLNPNRQHHAVADTVQKKKLHGEKTKVQKEDSDVDTEDGNQLSEFDSARNSFSLALKECQERKSRCEALFKKQDRRRPASLDLNNGNAIGTISSPRLGVGAMKKSALPSRKSGTGTGTGAGTGTFPSPGTPNYRHCQGGVAMQKGWSSERVPSHTSGVRKQVGNGAAALCLSNGRTLPSKWEDAERWILSPVSGDTAGRASVPQPLRRPKSKSGPLGPPGVAYYSLYSPAGHFFDGGSFMSASPFSAAVNASADGFTNNSGGNGGVLPARTDPCMARSVSVHGCSQMQGQLSVPAREEKFDSFKDAGTNVSPAVSRRDMATQMSPEGSSCSSPNRRTSFSAATPPALPVTELQNASLSKMDIRDVQVDERVTMTRWSKKHRALFIGRGSESVDSWKKKETSTRSASWEISESSKTISKAKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRASSMDKIMNKLRFAQKKAQEMRGSVLDNQAHQVARTSHKVMSFRRASQMGSLSGCFTCHAF >CAK8572441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537175961:537177612:1 gene:gene-LATHSAT_LOCUS25011 transcript:rna-LATHSAT_LOCUS25011 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPPKLRKTLAALNPVLIRETLNKVDQCMARLQELQYTVTGGNKVVSGANLSPRSTRGYLRTSLRCKQESLRIKNSSTRKSPVGKFPSTPNTGEWRRMSLPAMLVGETIGEILHASQFAKELVSAVNCQTPSKEDPKTPIPQRSSKKTNLENTQLRTRRKKEKQTKLQNDGSPSLQKARSRITFKVSPPKVREFDRENNKYLSLANRVSPRNRPWAKKAVLFPNPLFMSTTHASSSSSSQPQPQPQQQQQFCKTRSPIISRNRGTTTTTHKFLVKSPPSNSKVKIKSTTRTSNVSISPHKFLIKSPGSNSKVKPKSTNVSISPTRLASLSKSSPKRSTASKFRRSFSPSRLATRLVSPLRSKKTAQKSDGIVSGLKQRPAPTVQFPAKRI >CAK8569937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16675325:16676239:1 gene:gene-LATHSAT_LOCUS22735 transcript:rna-LATHSAT_LOCUS22735 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTTTRRSSGDKAAEIKHDWISEAVNGGSLRHVDLNTGTNGWTSPPGNLFFLRSNNYFTKRQKSPAGEYLLSPAGMDWLKSSTKLDNVLARADNRILHALRKSQAQNQNQSLKSFIFAVNLQIPGGREHHSAVFYFATNEPVQTGSLLYRFVNGDDSFRNQRFKLVNRIVKGPWIVKKAVGNHSACLLGKALTCNYHRGSNYLEIDVDIGSSAIANAILHLALGYVTSVTIDMGFVVEAQTEDELPEHLIGAVRVCQMEMSSATVVLDSFHAPISMARGIGLAKVNHHKPEDEDEDDSESNF >CAK8563963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642460478:642461131:-1 gene:gene-LATHSAT_LOCUS17316 transcript:rna-LATHSAT_LOCUS17316 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEKSTFSQTCNLLSRYIKDKANSGNLTNVVASSKNLTTKDFLTPMSMVKEPRAKASQLTMFYNGQVIVLDDFPAEKVEELKTFAQTQSLVTPIIPQLPCGTIVVDMPIARKASLLRFMEKRKNRVAAKSPYYKTVKTVSDPVKSSESIPWLVLGAKST >CAK8571405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:400443233:400446805:-1 gene:gene-LATHSAT_LOCUS24072 transcript:rna-LATHSAT_LOCUS24072 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGLVAYKIYRALSYGVSPLIRLHLRWRRFRGLEHLQRWPERLGHPSQPRRPGPLVWFHAVSLGEGMIAIPVIKHCIQKTPHLNVLMTTTTLSAFEVLSNWLPTEVILQFSPVDTPASISSFLHYWKPNAIVLMESELWPNLIMDASKNGITLALLNARVSEKSFNLWSGSLFLPLISLMLSKFSLIVPLSTEQGLRFQLLQAPPYIINFSGDLKYVIEDIAVNESGKRNTNNLRLQLSHKQVWMASSIHRGEEEIILGVHTVLMQLQTDIVTIIVPRHPQHGREIAKKLEKEGHNVALRSQHGRLKPETNIYVVDTLGELRQLYTLTPIAVIGGSFLPGLCGHNISEAAAAGCAILTGRHVGHFSHMVLEMKQTNPLSVLQVSGKQELEKSLIELFTDTTLLEARRRAAKEAICMLSNDIVTNIWSLLNFHIFSRLFTEMKPPNIIAKTMKSETS >CAK8530387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18413237:18414540:1 gene:gene-LATHSAT_LOCUS255 transcript:rna-LATHSAT_LOCUS255 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLAAMLMREAAELRRQSEKDGVLAYLQKPNVRSRPNSRFLTATVRGVQQANRAVEVNEMWRAREKELELDKRASGTSSRDKNSYDKGYRNYNSSRSAERHDGADKSTSASASCSSKKECEHTPEGLKDEELEEFLHSRKKRGRGAIGPRMDETGPYLPANPDGEPSTSPDLREYRVIYGPQRPTSLRSYESSDDDVSEERRKKSKKSHKSHSDKGHSKKHRSKEKSKHKKKKTEEKRSKHRR >CAK8539840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528537055:528538155:-1 gene:gene-LATHSAT_LOCUS8900 transcript:rna-LATHSAT_LOCUS8900 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIVNRWVCPNSSLKKTITTKHQTLIPFLAVPNSLLTNQHTRNLVSSFSSNKISAVHTSADRGTEETVSNFDFNVYMLEKASIVNKALDESIALQEPVKIHEAMRYSLLAGGKRVRPILCIAACELVGGKAEASIASACALEMIHTMSLIHDDLPCMDNDDLRRGKPTSHKVYGEDVAVLAGDALLSLAFEHVAVASEGVPPEKVVRAIAELAKSVGKEGLVAGQIVDLESEGLSNVDLETLEFIHLRKTAALLEASVVMGAIVGGGSQEEIEILRKFAKCIGLLFQVVDDILDVTKSSEELGKTAGKDLVADKVTYPKLLGLEKSKQFAEKLVKDAHDCLVGFDFEKSAPLLALTNYIAYRQN >CAK8532170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214666389:214666757:1 gene:gene-LATHSAT_LOCUS1901 transcript:rna-LATHSAT_LOCUS1901 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHQNSQPALPLHLCFFLFTLLIFLGFSWYSNYEPIIESIMDQVKLFLMISPLLLLLVVHFLSNYGDGGVLSSLIPLPERESLHRAGGTPWGVGLFLVVLLFMISYQSSFQERWFPLLSR >CAK8531640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133797330:133797680:-1 gene:gene-LATHSAT_LOCUS1416 transcript:rna-LATHSAT_LOCUS1416 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVKQVYYVPYPSNQPVKHGWCAVIKTKPLGHIKIDDLVEDVAYQDDESSQINDVVEVEQITNLCDTMVEGHQIDAFVLLVENSVDEEYEEFRFEDTIGPDDENNMDEEHEEFE >CAK8543349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602344316:602344933:1 gene:gene-LATHSAT_LOCUS12111 transcript:rna-LATHSAT_LOCUS12111 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEILLPRDCLHERIRVPPTSFFRRRTYGNCHNQYNNYGNNFYGVKNSGRINVNRRPVNRPEQRKRVAVPERRPSYDDLKVTTERRPSYDDLKMAKGSELVMEKVMILRRGESLDSKMNKEGLKKKGDNLVVIGTQRLGPDPNMVPTQIRIVDFKTGCEVYAGSAFSMSPSPSALPIPSFQSKFSPVAVDDSATRDLRRLLRIA >CAK8543329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600386974:600388244:-1 gene:gene-LATHSAT_LOCUS12093 transcript:rna-LATHSAT_LOCUS12093 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIDSFASGFGEAVGKLFNSPLEFLSGKSCSSVCGPTWDLFCYIENFCVANLLKLGVVFVLLYIVLLFCYVLHKLGVFKCLCYSACKISWACCSTCFHIWEYSCTFLCVKLHNVKRKRRRRRVRMRMNQKVYSTSEEDCVDESLSCDFPTLEVSRSFSHRRRDYKGSHLRKSLKPKRGHAQVEISRDLSYNKNRRSHSIRDHSYTGHADIKHTGHEIKVTQTSKFARKGMSNRKKVVRRQRK >CAK8575908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:354663922:354664128:-1 gene:gene-LATHSAT_LOCUS28133 transcript:rna-LATHSAT_LOCUS28133 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPQTTELQAEIKHNTTEEMRLFQTKVLERMIRLKAGNASKFNDIHAALDIPIQQTPSKHRHGSDII >CAK8575746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:301670710:301671195:1 gene:gene-LATHSAT_LOCUS27982 transcript:rna-LATHSAT_LOCUS27982 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLKGVAKSTMSDQIHKELCEYKRDNPASTQKDLQRWLEGNFQLKVSQGTISNTLKWSDDYLSAEIEKGRAEIKRHKPTKNHDMEKVVYEWFLQHQERWLGKFKNRHGIKSFHRFGESGSVDVQDME >CAK8531280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:96005774:96007760:1 gene:gene-LATHSAT_LOCUS1083 transcript:rna-LATHSAT_LOCUS1083 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSRKPSSRYSTYDSRSSTSSHFSDPSSSHEFNNVNPKSYSSSRAMVKAKPSHVTPTTKVDPTVTTMVKKFMANKPKSVNPASTKLFIPSDVIAKDLKDAKRVTGFSALQKKLFGKSGSCEKKEKVKALTEVKGNTRTLAMVLRSERELLSINKEQEDEISRLKLVIENKNKEVEKLKDLCLNQREEIKSLKNSILFPEVMNSQLQELVEKQGSELKEAKQVIPSLQQQVSSLTGQLQSLAEDLAEVKADKYSAKTGFQGYGSSPRTPPRHGREDASNSWTPPPHGREDASNSWDFSSEDMADDLLLKDLNPCLTPYNANKSRSRESLQDDSLSEDDVKVYPELLDDFNSYDRKFSKSSDCYHHNTAKISKLGVTAKPSRRSDDSKTAWR >CAK8540499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9187344:9188311:-1 gene:gene-LATHSAT_LOCUS9500 transcript:rna-LATHSAT_LOCUS9500 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITASLERSLQNCSLNHNNHHHHQQNEGSATTDAAAAGEGGGIGISSRSSEDNHDSSNNNSDTTLELNSHISLPYHWEQCLDLKTGEIYYLNWRNGMKAKEDPRRVVERERDCEEQESESEVEEEESLYEDSEECSSECSTNERGEVIEKENVLVVAGCKSCLMYFMVPKQVEDCPKCNGQLLHFDRSENCSP >CAK8536890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:34237490:34238008:-1 gene:gene-LATHSAT_LOCUS6216 transcript:rna-LATHSAT_LOCUS6216 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHTGDVGDHIANLKIYNSWKEASYSKQWCYENYIQIRSMKRARDIRDQLAGLLEKVEIELTSNSNDLDAIKKSIASGFFPHTARLQKHGSYRLVKGQQTVHIHPSSGLVEILPKLVLYHELALTTKEYMRQITEIKPDWLLEIAPHFYNPMDLQDLSSKKMPRGCGRVCL >CAK8573396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609492782:609494533:1 gene:gene-LATHSAT_LOCUS25847 transcript:rna-LATHSAT_LOCUS25847 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNFIGANPATTENHHHTSNSAITADFDEMLDNLLRLDLSEFDFSLFDIPLPPSSQQPVTGEMPVDPVLAPAQPPQLPNISEASDNANVIEMNSALPACGGNRGGESVLRPRRDSSRVCLGSGSSGTSSYKKRTVIPPEKLAELEIADPKKAQRIIANRISAKISKEKKKNYEKELEKRVQLLQIKADSVTAERMMAMNEAMKLAAEYKRIKDLIQSKLQHQEQQRAVIELMKEEANMLEMQIHEMNTAMADLSFGEPGSQSQLQVPHQPELYIPPQPLLVPPPPSFGEPLLPLPPSSFGEPLLPPPPSSFGEPLLPPPPSSFGEPLLPPPPSPFGERLVPPPQSPFGEPFSDHFIGGDFLKNTWN >CAK8561105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:95351449:95352426:1 gene:gene-LATHSAT_LOCUS14726 transcript:rna-LATHSAT_LOCUS14726 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKHRTVRSLVSKLSSVSERTRIEALCELRQMSKQEPETRSVIAESGAIPYLAETLYSSSHPSQENATATLLNLSITEKEPIMSTRGVLDALAHVISHHSSTSAAAAVQSSAATIHSLLSSVDDYRTVVGSKREIVYALVDILRCHRSSPPRTVKDALKALFAIALHPLNRGTMVQFGVVPALFSLIVNDGRVGIVEDATAVIAQVAGCEESIEAFRKVSGVGVLADLLDLATGSSMRTRENTVSALLNLVRCGGDAVAGDVRDAVAFGALDGIGDVRDKGSAKGKSKATELMKVMLGNGHSNAVFNSGSSFGSLMNEDSGSSF >CAK8538752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494989471:494990841:1 gene:gene-LATHSAT_LOCUS7917 transcript:rna-LATHSAT_LOCUS7917-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERILFYYLLFLLLSQILCSGSSRTENEETRHIDIRQQITSKISTVQKDITTPITTIPTISTPPFFNPNSNPDTVSPTSTFPFTTPNTVNSFPIFSGLSWCVASPSASLIALQVALDYACGYGGTDCSAIQPGGSCYNPSSIHDHASYAFNKYYHRNPLPNSCNFGGTAVITSTNPSKAFPIIIFFPTFSVLLVHVWIRREIG >CAK8538751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494989471:494997378:1 gene:gene-LATHSAT_LOCUS7917 transcript:rna-LATHSAT_LOCUS7917 gene_biotype:protein_coding transcript_biotype:protein_coding MERILFYYLLFLLLSQILCSGSSRTENEETRHIDIRQQITSKISTVQKDITTPITTIPTISTPPFFNPNSNPDTVSPTSTFPFTTPNTVNSFPIFSGLSWCVASPSASLIALQVALDYACGYGGTDCSAIQPGGSCYNPSSIHDHASYAFNKYYHRNPLPNSCNFGGTAVITSTNPSTGTCQYSSTR >CAK8533620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642418412:642423857:-1 gene:gene-LATHSAT_LOCUS3233 transcript:rna-LATHSAT_LOCUS3233 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTAAEAPSPTVSQRDQWIVESQVFHIYQLFANIPPNAQSVMLELQRDKHIEYLSKGLRHLGSTFSVLDANRPWLCYWIIHSIALLGESIDDGLEDNTVDFLNRCQDPNGGYAGGPGQMPHLATTYAAVNTLITLGGEKSLASVNRDKLYGFMRRMKQPNGGFRMHDEGEIDVRACYTAISVASVLNIMDDELIKNVGDFILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMVLIGEVNRLDLPRLLDWVVFRQGKECGFQGRTNKLVDGCYSFWQGGAVALLQRLHSIIDEQMAETSQFVTASDAPEEKECLDGTSNHATSRIRHEGMNESCSSDIKNIGYNFISEWRESEPLFHSMALQQYILLCSQEQDGGLRDKPGKRRDHYHSCYCLSGLSLCQYSWSKRPDSPPLPKVVMGPYSNLLEPIHPLFNVVLDRYREAHEFFAQL >CAK8538288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475505580:475510809:1 gene:gene-LATHSAT_LOCUS7503 transcript:rna-LATHSAT_LOCUS7503 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKQGEEIMMSSLNETNEAMNEERGEEKSGNSASGLKTFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIIFQIFYGLLGSWTAYLISILYIEYRSRKEKENVNFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGTVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAALVHGQVENVVHSGPKKLVWYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYFFATLYVFTLTLPSAIAVYWAFGDQLLDHSNAFSLLPRNGWRDAGVILMLIHQFITFGFACTPLYFVWEKVIGMHETKSIFLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAAAHMLTYRSASARQNAAEKLPSFMPSWTLMYVINAFVVIWVTIVGFGFGGWASMTNFIKQVDTFGLFAKCYQCPPKLSPPSSNHTMHH >CAK8540129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540963438:540969475:-1 gene:gene-LATHSAT_LOCUS9162 transcript:rna-LATHSAT_LOCUS9162 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLSFFFSLFFFFIISTSIAHDSISLSQQLSPSPLVLPPSPKPATALVAALDGTVYLVESASGRVIWSFSTGSPIYHSSANTPSSGLIECGDDWELIFHDTHFGKTRLKESVADYVAVTPIVSKDGAVILGSKRSTVFEVDAQAGKLLRSYGAADFHNASTTAVWSGDRDREKVSTVVGANNNELADPGKLNLPEFLLQIVRTDYFLQSVGPGSGIVMWTMSVAEFEAVLFCKHNETSTFKASLDAEGEYASDVESGLDFAMPYACPESKLREVYRQRKNFLFEPAKFERLSDVSSYQETGMLPMPVSHLMLPSQPKSEKFLPGHNGNMMLPGPVPKSLQPEISFYDSNDNNAVVLPQSLMEIAAPREIDLNRVIELSMTLLAVLSVVFLLVFYQNRSTNKATLKVQISDSDLKSPPSKKKRARKSGKNNVIVEKQDNHLHSVEENIRIYKEAENEARLLYSKVNGRRIGKLLVSNREIAKGSNGTIVLEGIYEGRSVAIKRLVQAHHDVAHKEIQNLIASDFHPNIVRWYGVEYDHDFVYLALERCACNLDDLISIYSDMSENPVLNKDRAFEFFKKAQIETQWDDTQCLWKTNGYPSPLLLKLMRDVVSGLVHLHELGIIHRDLKPQNVLIIKERLLCAKLSDMGISKRLLEDMSSLGHSSTGCGSSGWQAPEQLLQGRQTRAVDLFSLGCVLFFCMTAGRHPFGERLERDVNIVKNKKDLFLVEFFPEAEDLVSCLLNPDPNLRPKAIEVLHHPLFWNSDMRLSFLRDVSDRVELEDRETNSDLLNVLESTAPVALGTKWDEKMEPAFLANIGRYRRYKFNSVRDLLRVLRNKLNHFRELPLEIQELIGPIPEGFNEYFTSRFPRLLIEVYKVICNYCKEDECFQRYFRDID >CAK8563736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628057444:628060314:1 gene:gene-LATHSAT_LOCUS17115 transcript:rna-LATHSAT_LOCUS17115 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLVLALSNGVRVNGERGGRQCGANPSLKPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGAQLYVPPGTWLTQSFNLTSHLTLFLEKGAVIVGSQDSHHWEVVDPLPSYGRGLEVPGGRYQSLINGYKLQDVVITGNNGTIDGMGLTWWESFKSHSLNYSRPHLVELVASNDVVVSNLTFLNAPAYNIHPVYCSNVHIHNISIFAPPESPYTVGIVPDSSDHVCIEDCTIATGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASYGSALAFGSDMSGGISNVVVEHIHLHNSKGGIEFRTVKGRGGYMKEIIISDVEMKNIDTAISASGYCGSHPDDKFDPNALPLLDHFIFQDMIGTNITIAGSFAGLQESPFTNICLSNITLSVNYASSTTWNCSNVSGFSDSVVPKPCSDLDNPSNYSSSYLSMLSMKGKSMVSGSVSMKK >CAK8568601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:622098415:622098810:1 gene:gene-LATHSAT_LOCUS21543 transcript:rna-LATHSAT_LOCUS21543 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKFSSIVLVLSLLSYSTLINANGCPPSPNPTPSPAASPPSPQSSCPKDTLKLGVCADLLGLVNIVIGDPPSGSSCCALIKGLADLEAALCLCTALKANVLGINLNVPVALSLILSACQKTVPSDFQCV >CAK8576516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486649910:486653634:1 gene:gene-LATHSAT_LOCUS28698 transcript:rna-LATHSAT_LOCUS28698 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTPGVLRKRQRTTTTRHSSTAAIANSSFFDITKNHHRCSPTTTTCNTASLLFNDASTADVVLRLFTDPSTADVVLRLFTDTVSPPESSPSVDSASISDLHVYLHSDILRRSKYFSALLSDRWIGNIHPQSPSQEQTNHERFRLNLGVPPSPGSIQNHLTVLELLYTNDFPNAVESVSAALDILPVALELLFEDCVRWCVSYLEAVPWTEEEEHGVVNLIPYLSEEESKELVARVSPVGENACEEMLQGLISSAINNYGNTAFVKAFVGKILRDVSSRETAKRVLEEAFRKSLKTVKQSLEDYSSPVFRGDHNETEAIQRLNLHKASTIGKQLLWLVERMIELRVADAAVREWSEQEAFTADLKRAFGDDAWRNIVPGLPAVILRCTSKLAHAVCAGTILASTQVRRKLVEDWLPVLVVCKDNVSPASNKSLYLELEETFLQIISTLPMSDAQELLQQCLSFSTRNVEDCPHLVTAFNTWFRRAGHPFRLDSPFDPSDT >CAK8542909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557944396:557945638:-1 gene:gene-LATHSAT_LOCUS11707 transcript:rna-LATHSAT_LOCUS11707 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISIILFFFVLPLAFADLQLGFYASSCRKAESIVQQVVQKRFNRDKTITAALLRMHFHDCFVRGCDASLLIDSTKNTTSEKKSGANTSVRGYDLIDDIKEEIEATCPSTVSCADIIALATRDAVALSGGPKYNVPTGRRDGLVSDINDVDLPGPNIAIPALTQFFAAKGITVEETVTLLGAHTVGVAHCNFFSGRLSSNGGKPDPTMDPALDAKLVKLCKSSNAAAFLDQNTSFVVDNEFYKQILLKRGVMQIDQQLALDKSTSTFVSNFASNGDKFVKSFASAMIKMGKVGVLVENQGEIRKNCRVFNKRN >CAK8577880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595446167:595447708:1 gene:gene-LATHSAT_LOCUS29947 transcript:rna-LATHSAT_LOCUS29947 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSQENGDRLSDLPDCVLLHILSHLNSIDAVQTCILSTRWKHLWKHIPSVKLHYSKYSTVKRFDIFLSKMLALRDNSTALQALDIDHGLIESQLLENILNSVYSHNKHIKQLQIRAIAQGYPILSCVSSCKALTTLKLSLYNLGSRDNTEILFPKSLNLPLLTSLHLENFTFRGGENGCAEPFSPFTKLNSLVIRHSKVKNTQILNISNETLVDLAMHYNSFNFAEIELSTPSLRRFTFPDNLGQKICGRGLSCVKHVNIYAPQYSYSMKHPFVLLGCLRDFASVESLKVTSITLQILSLVPNLLEVKLHSLRNLKSLEVELIPLHDEILILFMKDGMLKNAISKSLIEVAKLRKAFKAGLKLLPIPDGIVDFLRQNSPSAQVNITTKQGSCFNDKQEVAEYVNSPKNISYPQQFVGPSCLLLCLTYSLCCVRINLCSPNHSISNM >CAK8569118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:673096422:673100242:-1 gene:gene-LATHSAT_LOCUS22002 transcript:rna-LATHSAT_LOCUS22002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPREDQFHHFSQFKFDLLHSPNPPHLPPFTPMLPLSQRNGINNVNLHASNVEAFPSPSNSVNLPPLLQIEPSQRGKHNGKSKVSRNSKSAAAQMTNGESLNAVAVNNCRYDSSLGLLTKKFVSLIQNAKDGTLDLNKTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKGCDGIGPRELEGQVNSLKAEVESLYAEEFKLEECISERKELLRNLEEGENTGKYLFFTKEDILTLPCFQNKQLIAIKAPKASFIEVPDPDEELGFHQRQYRMIIRSATGPINLYLLNKHDHKLEDVSVDQAELMDPSWSSNHSRTEGVGLLESRGYQKNPSGSLSLQGSEAFGIQQITPTDLDVDGDYWFQSDPEVGLTQLWG >CAK8578032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603266125:603266981:-1 gene:gene-LATHSAT_LOCUS30078 transcript:rna-LATHSAT_LOCUS30078 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEPIRFLSSFTVRLFFFPHSLLLQTHDATLRRESSATVFAQPPYDLYDDRGDIVFLDGLVCISPCKLYYVHVEKPLAFQMNVSHYCKLYYAGVRLCCGGTKHWC >CAK8567245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497740722:497743776:1 gene:gene-LATHSAT_LOCUS20314 transcript:rna-LATHSAT_LOCUS20314 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENIRQPLLKKSYYENCPGCKVDQAKELGTDVSIRNLSYIWMTMLCGTLPIASLFPFVYFMVKDFNIAESEEDISAYAGYVGSSFMLGRSLTSILWGILSDRYGRKPVIIIGVMTVVVFNTLFGLSTNLWMAISMRFFLGGLNGLLGPVKAYATEIFREEKQALGLSSFVAAWGVGLVIGPALGGYLAQPTLKYPHLFPKDSFWDKFPYFLPCFVISVFAFVVAIACIWLPETLHYHNDNVEAAEVGSSEIKKDKSIFLNWPLMSSIIVYCVFSLHDIAYQEVFSLWAVSPRRLGGLNFTTNDVGNVMAISGFSMMIYQLALYPSLQKACGHVNLARVTGALSIPLLQSYPFITLLSGSTLYLVLNIASILRYLLFETISTGLFLLQNRAVEQHQRGAANGFAMTAMSAFKIIGPAGGGALLAWSQKRLNASFLPGSHVVFFVLNIVEGVGVILMFKPFLGEKKEKPMEQLQ >CAK8579356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693790065:693791807:-1 gene:gene-LATHSAT_LOCUS31308 transcript:rna-LATHSAT_LOCUS31308 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGTEDEEKFLAAGIAGLQQNSFYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSKDKLPDIGSEYEGDADTVSDAVEFVLQNFTEMNKLWVRMQHQGPSREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDEIAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQPTVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMATAGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKNLSGKGKIEDKKATKQIVALLSAPLEKYNDIMTALKLSNYPCVMEFLDIPTNKVMATVIIQSIMKNGTRISTSDKVEALFELIKGLIKDSDVSPNEELDEDDFAEEQNSVARLIQMLYNEDPEEMFKIIDTVRKHILTGGPKRLPFTVPPLMFSSLKLVRQLQGHGQEENPFGDDSSASPKKIFQLLNKTIETLSGVLTPELALQLCLQCAEAANDCELEPVAYEFFTQAYILYEEEISDSRA >CAK8566704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453834540:453836352:1 gene:gene-LATHSAT_LOCUS19816 transcript:rna-LATHSAT_LOCUS19816 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMFQYLPSFLKHDLNTTLFMFLSFIISILLVLKFTRINKSNLPPSPPGLPIIGNYLQLGTLPHRSFHSLSQMYGPLMLLHLGQLRVLVVSSVDMAKEVMYTHDTIFASRPNLTSTKILLYGCKDIAFASYGDTWRQKKKLCVIELLSQKRVQSLEFIREEEAMALVDKIRNALSLSEGCCTVNLSEMLIATANNIICRCMFGKKYDADGCRFGELGRQIMVQISDFSMGDMFPSLGWIDVLTGQIKKFKATFEEMDEFFDHIIVGHRMARRDPNKKDFLDILLQLQADGLSEFDLTESDLKAILMDMFLGGSDTTSTTVEWTMTELVRNPAIMKKAQEEVRRIVGTKTKIEDSDVNQMDYMKCVIKETLRMHPAAPLLAPRETTSSVKLGGYDIPDKTLVYINAWTIQRDPEFWEMPEEFLPERFENNKVNFNGQDFQFIPFGSGRRKCPGIAFGLASTEHMVANLLYWFDWKPPTNDDASLQEIDMTEKFGLTVNKKVPLHLQPILNNNFIQ >CAK8565934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:355325570:355328008:-1 gene:gene-LATHSAT_LOCUS19107 transcript:rna-LATHSAT_LOCUS19107 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTLIFIFAVCFTITTVNTLGTASTTAITSNTSTICGIVAGKPHQYIQCYQNGKLIPIFLPNVSFKSISGGRSLLCGLRSNGLSLHCWDTTTPTSFLRPKRLYHSEKVQLSDVAVGVDHVCARELHSGVVKCWRGYGGVEFPSPDESFRFRSVTCGYGFCCGILKMSNRVFCWGVNGKNGVENFIQKQFENLTMSTLVSGVSHVCGLTTLDGVLVCKGKKKKNKNNDSGKLNVPLNSGYVFSGLALGENFTCAIRIKNGFVQCWGEDFDSDNDVMKGVSFETIVAGLDYVCGLTTRNLSLICWGNPNWYSKPHLISDVYVPLGMILPSPCVVSDSCNSCGVYPNSDFLCHGFGTICYQCQTEFPFAVQLPPPMILPKNQTSVDDEEKGLKGWKLMTFLIIGSVGAFAGLCTILYFLMIGARKLVKVKIDNSVQPTSSESDDAYVDIAPSHNNGTTTTTALRSFSSKQHSSSRLRSGSSSKQLDRTESFAFWELVSASDNFSVENKIGAGSFGCVYKGRLLDGREVAIKRGDTASAKKKKFQEKETSFDSEITLLSRLHHKHLVRLIGFCEENDERLLVYEYMSNGSLHDHLHDKNNVEKNSSIMNSWKMRIKVALDAARGIEYIHNYAVPPIIHRDIKSSNILLDSKWNARVSDFGLSLILQETELELMSNTKAVGTVGYIDPEYYVSNVLTTKSDVYGLGVVMLELLTGKRAVFKTDDGSSPIGVVEYAVAKIGSGEVWNLLDDRVGIPEVNEVESVEIMAYTAMHCVNLVGKERPNMVDIVANLERALSFLEGSPGSFSMSSFSATLT >CAK8530817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52480089:52480989:1 gene:gene-LATHSAT_LOCUS656 transcript:rna-LATHSAT_LOCUS656 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDKSPEPTPAKGSDPTPGEAMTIGQHVVDKSAMMVQSFKPINQMSQHVCTFAMYSHDMSRQIETHHYCSRLTNQFLQCAVYDSDDSNKGRLIGVEYIISDDIFETLPPEEQKLWHSHAYEIKSALWVNPRVPEMIGMSELENLAKTYGKFWCTWQVDRGDRLPLGAPALMMSPQAVRPGLVRPELLRERDDKYNISTESIKKSRVEIPEPEMINPLADYWKQHGKGFVIDFEETEMKKRAPFP >CAK8578491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632363661:632364113:-1 gene:gene-LATHSAT_LOCUS30497 transcript:rna-LATHSAT_LOCUS30497 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNMISFKLILIFMTTMILINVMVVAADKAWCVAKNEASDQQLLEALNYACGIGVDCGPIQPNGSCYYPNTIRDHASYAFNTFYQLEKHSLGTCDFSGTAHVVYTDPSNGNCVYPAN >CAK8566945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474707141:474709185:1 gene:gene-LATHSAT_LOCUS20040 transcript:rna-LATHSAT_LOCUS20040 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFVNVNANNNNKRSHENCVAEGKDAKKLYKFANGNDHHNVDPAAALADVRHEFGEHGGVNMSIEPSATYTVMEPDHLRQMFVGERGPHTDSYVYSRHFNPTVLNLGRKLAALEGTEAAYGTASGMSAIACVLLQLLNCGGHLVASSTLYGGTHSLIEHFLARTCNITATFLDISDLEAVENAIVEGKTKVLYFESIANPSLKVANIPELVRIGRKKGVTVVVDNTFAPMVISPARLGADVVVHSLTKFISGGGDIIAGAVCGSKSFVNSLMDLQQGGIMLLGPTMNAKVAFEISERIPHLAIRMKEHSRRALEYATRLKKLGIKVHYPGLEDHPQHELLKSIGNKEYGFGGILCIDVGSAAKANQVMNYLQNYSQFGFMAVSLGYYETLISCSGSSTSSEMNEEEQKRAGITPGLVRMSVGYVGTLEQKWSQLEKAIVKFNLENEKKEK >CAK8566954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:475306761:475308166:1 gene:gene-LATHSAT_LOCUS20049 transcript:rna-LATHSAT_LOCUS20049 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRKNDVMMSSVSQTTNSSPPPPNMYPEELQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSFSPHLLPRIHPPTTYRYYSSNSSPRRLDFAVQFLDKLPRILFDEDLQARDSICCVCLGEFELKEELQQIPYCKHVFHIDCIHHWLQTNSTCPLCRCSIIPTTTKFLNPPPPINIIISDPPHQGPIDSDSPLQISSLEDEPAGASTNVVSRE >CAK8578819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656930966:656935026:1 gene:gene-LATHSAT_LOCUS30803 transcript:rna-LATHSAT_LOCUS30803 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKSKSNDESNSEAERRIERLSLHLNPIPRFANFAEETWNCVEMENCSRGKKLSVDANSLTDYMRGKHRDVQEKVFDYFNGNPHLQTPIEISKDDHRALCMNQLLGLVREAGIRPLNYVVNDPAKYFAILEAVGGVDMSLGIKMGVQYSLWGGSVINLGTQKHRDKYYDGIDSLEYAGCFAMTELHHGSNVQGLQTLATFDPITDEFVIDTPNDGAIKWWIGNAAVHGKFATVFARLMLPNYDVKGISDMGVHAFIVPIRDMKTHQTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVTRDGQYTSTLPSVNKRFGATLGELVGGRVGLAYSSVSVLKVAATIAIRYSLIRQQFGPRNQPEVAILDYQSHQHKLMPMLASTYAFHFATTNLVAKYAEMKKSNDEELVADVHALSAGLKAYVTSYTAKSLSTCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQKKFKGGTLAVTWNYLRDSMSSYLSQPNPVTARWESEEHLRNPKFQLDAFRYRTSRLLHSVALRLQKHSKSLGDFGAWNRCLNHLLTLAESHIESEILAKFIEAVQSCPDPSAQAALKLACDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLSAYLSFQVRNIAKELVDAFDLPDHVLRAPIAKQSGAYSQYTQDVGFE >CAK8543580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623056518:623058146:1 gene:gene-LATHSAT_LOCUS12328 transcript:rna-LATHSAT_LOCUS12328 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQIQVLKALDAAKTQWYHFTAIIIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVEGAEKPGTLPPNVSAAVNGVAFVGTLLGQLFFGWLGDKLGRKKVYGVTLLLMVVCSVGSGLSFGHTPKSVITTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGLFAIIVSAAFKANYDSPSYEVDPLRSTVPQADYIWRIIVMVGAIPAGLTFYSRTKMPETARYTALVAKNTAQAAADMSKVLQVDIEADPVKEEDASKVKPFGLFSKEFLRRHGIHLFATASTWFLLDIAFYSQNLFQKDIFTSVGWIPPAKTMNAIEEVYKIARAQMLIALCSTVPGYWFTVALIDRIGRYTIQLMGFFFMTVFMFILAIPYEHWTHKENRLGFVVMYSLTFFFANFGPNATTFVVSAEIFPARFRSTCHGISSAAGKLGAIVGAFGFLYLAQNKDKNKTDAGYPAGIGVKNALIVLGFVNMLGFLCTLLIPEAKGKSLEEMSGENEEEEVESQDVEKSHSNNNSTVPHNLV >CAK8572218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521644280:521646068:-1 gene:gene-LATHSAT_LOCUS24807 transcript:rna-LATHSAT_LOCUS24807 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSRFQQQCCTFIIFITIFLTGLATKSESIGINYGQIANNLPSPENVVSLIKCVGATKVKLYDADPKVLKAFANTGVEFMVGLGNEYLSKMKDPNKAQTWIKTNLLPYLPSTKITSIFVGNEVLTFNDSSLTSCLLPAMQSVHTALVNLGLQKQITVTTTHSLAVLQTSYPPSSGTFRPDLAPCLAPILSFQDKTGSPFLINAYPYFAYKDNPKQISLDYVLFQPNQGMVDPSTNLHYDNMLFAQIDAVYSALGKLGYGKLPVHISETGWPSKGDEDEVGATAENARKYNGNVMKLSSKKGTPLKPESDLNIYLFALFNENMKPGPTSERNYGLFKPDGSPAYNLGFSLSSSSSSTSSPPSNDAVKNGTSGSGAGAPPQPPTSSSGYLAISSATSLERYHLMCLSLSFLLPLLMNLKF >CAK8544722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702209341:702218360:-1 gene:gene-LATHSAT_LOCUS13377 transcript:rna-LATHSAT_LOCUS13377 gene_biotype:protein_coding transcript_biotype:protein_coding MADSINNVAQAISTALNWSSTPHARQAALSFLDSMKASGDIRTLANTLFLLVKKNWSSEIRLHAFKMLQHLVRLRWEELSPEEHKNFAKLSVELMYEIADPCEDWALKSQTAALVAEIVRREGIDLWQEMLPSLVTLSNKGPIQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLSEILPLLYTLLERHFVAALNEASRKQTDIAKLHAAAVTATLNAVIAYAEWAPLTDLAKSGIINGCGFLLSAPDFRLHASDFFKLVCSRKRSVDASASEIDQVMRDIFQLLMNISREFLYKSGTDLGSIDEGEYEFAESVCESMVSLGSFNLQSIAGDSAILSLYLEQMLGFFKNYKFAIHFQSMQFWLALMRDLMSKPKSSHSAADSSAVSGSGSENAKKKTLSLVNDDFCGAILDTSFPRMLKKEKILPGTALSLGALELWSDDFEDKAKFGQYRSRMLELIKFVASYKPLIAAAKVSERVDTIIKSFLVSPAPNQDLAVVESMQLALENVVNAVFDRSSDIAEANAEVQFALCRTFEGLLQQFISLKWTEPALVEVLVHYLDAMGLFLKYFPDAAGSVINKLFELLTSLPFEIKDTSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADTIACLQREGRLLQGEHNLIGEAFLVMASSAGIQQQQEVLKWLLEPLSQKWTQLEWQDRYLSSPHGLVQLCSEAPVMWSIFHTVTFFERALKRSGMKKAQGNLENSSTSDSTPLNPMAFHVSWMLNPLLKLLRGLHSLWSPSISQALPGEIKSAMVMSDVERFSLLGEENPKLQKNPKDVYGEPNESDIRNWFKGIRDSGYNVLGLSTTIGDSFFKNLDVHSVVVALMENIQSMEFRHLRQLVHSILIPLVKHCPVDMREIWLEKLLHPLFVHAQQALSHSWSSLLQDGRAKVPDIHGILSGSDLKVEVMEETLLRDLTREMCSLLSLIASPPLNTGIPSLEQSGHIIRFDMSSVKSLDAVASCSLVGFLLKHEALALPILRMCLEVFTWTDGEAVTKISSFCSATVVLSIVTNHTELIEFVSRDLFTSVIQSLSLESNAIVSSDLIAICREIFVYLCDRHPAPRQVLQSLPFITPRDLHAFEESLTKTSSPKEQKQHMKSLLLMATGNKLKALAAQKSVNIITNVSMRQRSPANAPESNNVNDGDVVGLAAII >CAK8542573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526510255:526511816:-1 gene:gene-LATHSAT_LOCUS11401 transcript:rna-LATHSAT_LOCUS11401 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVHCLVLSFPAQGHINPMLQFSKLLQQEGVKITLSTTLFFGNKLHKLPPSITLETISDGFDAGGFGEAKSFRAYMDHFWQVGPQNLEKLIERLGRTNNPIDCVIYDAFYPWVLGVAKRLGIVGVPFLTQNVGVNSIYYHVLVGKLRVPVDVEEVSLPELPRLYRRDLPSFLLNYEKDPTFLELAVDQFSNLDQADWILCNSFYELDQEVIDWTKKIWPNFRTIGPSIPSMFLDKRIKHDEDYGATQYKTEECMEWLNNKPKGSVVYVSFGSLASLNEEQLEEVASGLKDCESYFLWVVRPSEETKLPKDFEKKSQKGLVVAWCSQLKVLAHESIGCFVTHCGWNSTLEALSLGVPIVAMPQWSDQATNAKFIVDVWKIGIRVPSDEKQVVRRNGMKDCILEIMDGEKGKIVKSNVMHLKALATGAVGVGGSSHQNIAEFVNSLFHFTCITQSNRSPAFILQ >CAK8535086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813261853:813264229:-1 gene:gene-LATHSAT_LOCUS4566 transcript:rna-LATHSAT_LOCUS4566 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPLSLATHDDNGIHNTERWWNKILDIEEAKHQLMFSVPMIFTNLFYYSITLVSVMLVGHLGDLQLAGSTLANSWFSVTGAAVMVGLSGALETLCGQGFGAKEYHMLGIYLQGSCIISFIFSIIISIIWIYTQHILVFLHQSQDIATTAALYMKFLIPGLFAYSILQNILRFLQTQSVVMPLVILSALPALLHVGIAYGLVQWMGLNFIGGPIATSISMWISMILLGLYVMNAKKFENTWTGFSMQSFHYLFTNMKLALPSAAMVCLEYSVFEVMVFLAGLLPNSQITTSLIAICANTEFFAYMITYSLSAAASTRVSNELGAGQPERAKHAMRVTLKLSLLLGFGFVLLLVFGHDIWIQLFSNSPIIKEEFASITPLLAISILLDSVQGVLSGVARGCGWQHLAVYVNFTSFYLIGLPISCLLGFKTNLQFKGLWIGLICGLVCQTGTLLLLTWRVKWTKLNLSGDKDKELPIVV >CAK8534593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746316802:746317371:1 gene:gene-LATHSAT_LOCUS4126 transcript:rna-LATHSAT_LOCUS4126 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLIRTHDSDASKWAAGGAFCVQPACIEPTSVCIQPVCFMPKLFSQKNKKPRKPKADPGLTTSALPELLAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLI >CAK8532256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:232028141:232028468:-1 gene:gene-LATHSAT_LOCUS1985 transcript:rna-LATHSAT_LOCUS1985 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNQELHENRRTHFCFPVGSERIPEWFEHQSIISMRIFFWFRNKIPSIVLFFSTNFVHRWRPQIYLFSQGIYRGFLFVYV >CAK8541987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448071784:448072650:1 gene:gene-LATHSAT_LOCUS10864 transcript:rna-LATHSAT_LOCUS10864 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFSTVPEKKNVLFIMGATGTGKTKLSINIGIQFPSEIINSDKIQVYKGLDIVTNKIPETERCLIPHHMLGIIDDPDYDFTVHDFCKKMLETLDVIVENGRLPIIVGGSNSYLQVLVDDPIYSFGLKYNCCFIWVDVSLSILFPYLDKRVNEMVEAGLIDEIREFFVPGENCTRGIRRAIGVPELQRYFEIEKDEGIDEGHKEKILKESIAKMKENTCILAENQLIKIDNMVNILGWNMHKIDSTKVFEAILKGEDYQHLYHKIVVKPSLEIVKSFLEEATHENTK >CAK8537060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:72942842:72943168:1 gene:gene-LATHSAT_LOCUS6376 transcript:rna-LATHSAT_LOCUS6376 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLERKEKNRHAIVAKFHICCKSGIIILSLMKQPSPLLQQLLLDRTSHHITKFQANIRTYNATFSFTSTEMKFGITYSRRNGPPTLRLHGQTYHRIGTMLPETSEPP >CAK8537660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417545020:417547500:-1 gene:gene-LATHSAT_LOCUS6926 transcript:rna-LATHSAT_LOCUS6926 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVSQMTYKNAVRFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVINPSIILYEDVEDGDGEEENEAQVDDLYTTLFEEGIEVNIDDQCVPVENVFIPPAHMTTLPLSVEGTSFDWPRNPRFPAEGDIEVGYQFKNKVDCVTAIKQYHMKHCVDYKVIDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTALEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLITVAQDGNINIFPVAFALVEGETAEGWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSDISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVSIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYNASFPVMSCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8539574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516750882:516754095:1 gene:gene-LATHSAT_LOCUS8657 transcript:rna-LATHSAT_LOCUS8657 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLVESLGNLERDSFVSLLSNLIAESRHVQNNPPELIPEEDRVVKHLLNSLLPFSTTTGGGPLILNHVTYFPGRGNLIVEYPGTVPGKILSFVGCHMDVVTANPNNWDFDPFTLSIDGDKLRGRGTTDCLGHVALVAELMRKLGETKPNLKSTVVAVFIANEESSAITGVGIDALVQHGLLNKLKEGPLFWIDVADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINPLELAMDAVKEIQLKFYKDFPPHPQEQVYGFATPSTMKPTQWSYPGGGINQIPGECNISGDVRLTPFYNVKDVMKKLKEYVDDINENIQKLESRGPVSKYVLPDDNLRGSLTLTFDEANSGVACDLNSRGFHVLRKATEEIVGNVKPFSITGSLPLIRELQDEGFDVQCVGYGLMATYHAQNEYCLFSDMSQGYQVFASIIAQLEN >CAK8563316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592049204:592051808:-1 gene:gene-LATHSAT_LOCUS16740 transcript:rna-LATHSAT_LOCUS16740 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANRSEDVEQTLETQVKAFFDSAPPLYNSHDITQKLNQFILRNSSSSENGEARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLGRRGSFQPFCRSLPDDPLLECFEPTNDLDIQVRSAYSETVKRAIVDHHTAVAGGHLLKLPFGTIFEYLQMLQIIAVSMRCIGPRAMFYLAAAVSDYYVPWKDMVEHKIQSGSHLLDVKLVQVPKMLSVLRENWAPLAFCTSFKLETDSSILLNKGNAALEKYKMHAVVANELSTRKEQVTVITRTDKITVLRENSESDVENPLIKLLSERHATYIKDFNR >CAK8573315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602857871:602858250:-1 gene:gene-LATHSAT_LOCUS25778 transcript:rna-LATHSAT_LOCUS25778 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSIASNATSISHHLSMNDFVILKRFKVIVHALNILEVLWQLPPKNWIKLTYDGASKFLSNLLACGGIARYMIASNLGVADSLIAELSSARIVIEFAHEKNWNNV >CAK8561382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:180294699:180295211:1 gene:gene-LATHSAT_LOCUS14982 transcript:rna-LATHSAT_LOCUS14982 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTHMQARKMLFIAISSICALSSNKISIYNEEMIVARYFIGFIIFIRKSLGNTFKVTLDRRIQAIQEEPQQFPNPNEVVSPESNEQQQLLRICLRICGTVVESLPMACCVPKCKNTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGKKFHLLVRRIFIPQCISKA >CAK8565305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:111995067:111995501:1 gene:gene-LATHSAT_LOCUS18529 transcript:rna-LATHSAT_LOCUS18529 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFLLCLLGGFLAASFLGRFKTIGIFAAIQALGTAELTILTKLPRLSPPPCHAGEVCKPANGIQMGILYLALYLIALGTGRHKSSISGFGSDLFDEKDEKEKS >CAK8574600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3476656:3480214:-1 gene:gene-LATHSAT_LOCUS26930 transcript:rna-LATHSAT_LOCUS26930 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGENWPSNSDLEICDDVIFEDGEFESYDDEFGDSTDRYERDLREKMLALSATIPGLEKMDDILILEKTRQYVKQLQERVKELEDVGSNNISSDNCGTSNNIIPDVKARVLQKQILITVHCEKQKSVMLKILTHLENLHLLILSSSVLQFGKFNFDITIVAQMGDGYNITMDELVKTLRIVISTK >CAK8535135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816524101:816527991:1 gene:gene-LATHSAT_LOCUS4612 transcript:rna-LATHSAT_LOCUS4612 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVNGDGVPPKIATSSEKTEAEAPSKRYSRKTREDSDVDTEANRKHRSSRRKSRDSSDSDGDKRSRKKRKSRKRYSSDSESDSDSEGRRKKRKSRKRYSDSESNSDSRSGSDSESDNSEVSGSETDYSDSKSETGSEGERKERRRREKRRREREEEKRRRREKEKKRRKKEKEEERRKKEKRKLKKEKKERGKKGAVTNLWGKYGIIRETDMWNKRPEFTAWLAEVKLVNMEHLSNWEEKQMFKEFMEDHNTATFPSKKYYSLDAYYRRQMEKEMKKGIKKVKAKERTVFNDEEQRRQELLQAREKHKEEQVIALKREMESGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDAAV >CAK8573369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606154519:606155049:1 gene:gene-LATHSAT_LOCUS25825 transcript:rna-LATHSAT_LOCUS25825-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTICSVFLGLILISQCPFAAYARSSGLQGSLISTVCSTSSNMEECNNILRSNPHATQVKSYRDLAKIILQMAYDKASAGQTFLKGLAAKNNCPALTQCANFDYDGVVMSFKSALGELKEDAQTANYDAKVASDGTAQCERGMVAGHVVNPQVTKLNRQITFYSELAFLVTNYL >CAK8573368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606154516:606155049:1 gene:gene-LATHSAT_LOCUS25825 transcript:rna-LATHSAT_LOCUS25825 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSTICSVFLGLILISQCPFAAYARSSGLQGSLISTVCSTSSNMEECNNILRSNPHATQVKSYRDLAKIILQMAYDKASAGQTFLKGLAAKNNCPALTQCANFDYDGVVMSFKSALGELKEDAQTANYDAKVASDGTAQCERGMVAGHVVNPQVTKLNRQITFYSELAFLVTNYL >CAK8559935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1980207:1980638:-1 gene:gene-LATHSAT_LOCUS13667 transcript:rna-LATHSAT_LOCUS13667 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQIVCCMCGDVGFTDKLFRCSKCRHRFQHSYCSNFYGELSEIEECDWCQSKGKSGVGSKKPAVRVAINGGGSSECSGEKIMKQHRDEKKKSSLASPRQATRRYKLLKDVMC >CAK8542564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526099678:526101598:-1 gene:gene-LATHSAT_LOCUS11392 transcript:rna-LATHSAT_LOCUS11392-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSASVIVNFFLLISFFMMTYGTESDILCLKSVRGALKDPNNYLQSWKFDNKTEGFICKFTGVECWHPDESRVLNLKLSNMGLKGEFPRGIQNCSSLTGLDLSLNSLSKTIPDDISTLVAYVVTLDLSSNDFTGEIPVSLTNCTYLNTLKLDQNQFTGQIPPQFASLTRIKVFSVANNLLSGPVPNFKEGIVSKDAYANNSALCGGPLDPCGGSSKTNTAVIAGAAVGGVTLAALAVGVGMLFYVRSLSRRKKEEDPEENKWARILKGTKKIKVSMFEKSISKMSLSDLMKATNNFSKSNVIGTGRSGTVYKAVLDDGTSLMVKRLLESQHSEQEFTAEMATLGTVRHRNLVPLLGFCLAKKERLLVYKNMPNGTLHNQLHPETGECTMEWSTRLKIAIGAAKGFAWLHHNCNPRIIHRNISSKCILLDSNFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTTTLVATPKGDVYSFGTVLLELVTGERPTHIAKAPETFKGNLVEWIMQLSVNSSLKDAIDESLTGKGVDHELFQFLKVACNCVTSSPKERPTMFEVYQFLREIGSKYNFTTEDEIMVLTENGDAGKLEELIVAREA >CAK8542563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526099678:526101604:-1 gene:gene-LATHSAT_LOCUS11392 transcript:rna-LATHSAT_LOCUS11392 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVLSSASVIVNFFLLISFFMMTYGTESDILCLKSVRGALKDPNNYLQSWKFDNKTEGFICKFTGVECWHPDESRVLNLKLSNMGLKGEFPRGIQNCSSLTGLDLSLNSLSKTIPDDISTLVAYVVTLDLSSNDFTGEIPVSLTNCTYLNTLKLDQNQFTGQIPPQFASLTRIKVFSVANNLLSGPVPNFKEGIVSKDAYANNSALCGGPLDPCGGSSKTNTAVIAGAAVGGVTLAALAVGVGMLFYVRSLSRRKKEEDPEENKWARILKGTKKIKVSMFEKSISKMSLSDLMKATNNFSKSNVIGTGRSGTVYKAVLDDGTSLMVKRLLESQHSEQEFTAEMATLGTVRHRNLVPLLGFCLAKKERLLVYKNMPNGTLHNQLHPETGECTMEWSTRLKIAIGAAKGFAWLHHNCNPRIIHRNISSKCILLDSNFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTTTLVATPKGDVYSFGTVLLELVTGERPTHIAKAPETFKGNLVEWIMQLSVNSSLKDAIDESLTGKGVDHELFQFLKVACNCVTSSPKERPTMFEVYQFLREIGSKYNFTTEDEIMVLTENGDAGKLEELIVAREA >CAK8536543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953721888:953722193:1 gene:gene-LATHSAT_LOCUS5902 transcript:rna-LATHSAT_LOCUS5902 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAKKVLKRRLIDFLSQSMKPDASCECCARGEYGGTDALERNSEDNGEICVCVSKNRLGDSGESAGEPKLVSSGAADGMIEILGQSAVKGDAAAGETGEI >CAK8564745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8001539:8003718:-1 gene:gene-LATHSAT_LOCUS18017 transcript:rna-LATHSAT_LOCUS18017 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSRAIVMYPSPGIGHIISMVELAKLLIHHHHQQFSITILLTSGFADDPSIDSYINRISTSHPSITFHRFPSLTVTHSNKQTLAATAFHFIKSNSGNVQSKLRQISQTSVIKSFIIDLFCTSAMEVASEIGIPVYYFFTSGAAVLSLYSYFPKIHAETTVSFRDMVGLEIVVPGNAALDAVMMPEPVLDRDDPAYWDMLYFCEHLSMAKGIVVNTFRELEVTAVKAVEDGACFPDRKRSPPPVYCIGPLIAEAQQPDEATGREDCLSWLDKQPSRSVVYLCFGSRGSFSVAQLKEIAEGLERSGHRFLWVLKRPVQEYLGKNQVHGTAEEFELSSILPSGFIERTKERGLVVRSWAPQVEVLSRESVGGFVSHCGWNSVLEAVVAGVPMIAWPLYAEQHVNRNAMVEDMKVAVAVEQRGGDGFVSGEEVEKKVRELMQSERGTEIRETILKFKEMASDALGEFGSSTKALSNLVQTWNNN >CAK8538723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493880887:493881336:1 gene:gene-LATHSAT_LOCUS7894 transcript:rna-LATHSAT_LOCUS7894 gene_biotype:protein_coding transcript_biotype:protein_coding MASCENNDTNLVDIDLEAFEIDVNLLRELLEEEEGKGAKDNGIKECIAESLEETRNVNPSVMNEEKEGQQQICLEKNECHYVHDFEWLNTMDLMEPTNPLDEVMTMNWFSDDNGKFDFDFGYANGECYPQICDGVVSNDSNYGRLWGDC >CAK8562080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439075644:439078058:1 gene:gene-LATHSAT_LOCUS15607 transcript:rna-LATHSAT_LOCUS15607 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPPSLPPSSGDDGDPYGSWYGNIDYLLNISAIGALFCLLIFLLVKLRSDHRRMPGPAAVGSKLLAVWHATGREIARHCGADAAQFLLIEGGSCAVLLSVAFLALVVLLPVNLHVGTSVLEDQFSKTTINHIPKGSPLLWIHFIFAVVVVLLVHFGISATEERLRITRFRDGYGNLSDPTVNSSAVFTIMVQGLPKIIGADRAALQEYFQYRYPGKVYKVIVPMDLCALDGLATELLCVRDEISWLVARIDSRLLPDDCEEDGGVDGSAPLGLWSWVVSCRKLLKGFFADIMARFGYTDEQRLRKLQELRAELETELVAYKEGRAPGAGVAFVMFKDVYTANKAVQDFQNEKKRRVGKFFSLMELRLRRNQWKVERAPLASDIYWKNLGTPKLSLKLRRVFVNTCLLLMLLFFSSPLALISAVQSAGRIFNAEAMDSAQMWLAWVQSSSWLGSLIFQFLPNVIIFISMYIIIPSALSYLSKFECHLTVSGEQRAALMKLVCFFLVNLILLRGLVESSLESAILKMGRCYLDGEDCKRIEQYMSASFLSKSCLSSLAFLITSTFLGISYDLLAPIPWIKRNIQKFRKNDMLQLVPEQSEEYPLEHQDGDSLQRPLIHGSAYAYETSNGDSEEGQDLSVYPITGSSPNPKQTFDFAQYYAFNLTIFALTLVYCSFSPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDSVICIMRFCVDLFLLAMLLFFSVQGDSMKLQAIFTLGLLVLYKLLPSHSDSLQSTLLEGIQAVDNVVNSPIDYEVFSQPRFDWDTSQR >CAK8536396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937685433:937685645:1 gene:gene-LATHSAT_LOCUS5769 transcript:rna-LATHSAT_LOCUS5769-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMLVVFFSALLVCSLFPQNVDAATIGYGAMRRDTISCNRKNPNSCKPVAVNPYTRGCEQANRCRGAK >CAK8536395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937685427:937685645:1 gene:gene-LATHSAT_LOCUS5769 transcript:rna-LATHSAT_LOCUS5769 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKTMLVVFFSALLVCSLFPQNVDAATIGYGAMRRDTISCNRKNPNSCKPVAVNPYTRGCEQANRCRGAK >CAK8537220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:156201010:156203604:-1 gene:gene-LATHSAT_LOCUS6525 transcript:rna-LATHSAT_LOCUS6525 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKEKFEVDRNGDPMSYSSGMPPDWRFGGGNLVNSSVGLVSIGDSMKINRGDLVGSSSCSSASMVDSYSPNFWDHSANSQNLGFCEMNIQHNGSSSNAVGIRKDGFGFGRGGHGEIGWNQANSMLKGDGFLPNGQGFFPQSLSQFPTDSGFIERAARLSCFGGGNFGDAMNSYGIPQSMAMYVGGAVHGGRDALAAGVGLKIATGGQSQESSDPNVVEAATKGVSPSIEQLVARGSPLMNDKSESRTMSQDEGKQTLVRNANDSDRGESGDDDGGGGRQGGSPMLEGTTSGEPSIKGLNSKKRKRSGQDADNNKVNEAQELQSEGAKDNPENQPKGDQQPTSTTKVSGKNAKQGSQTSDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQELVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDILHQRPGPSSALGFPLEMSMNFPPLHQSQPGLIQSVIPNMTNPSDILRRAIHPQLSGGFKEPNQMPDMWEDELHNVIQMSFATTAPTSNEDVDGTNASNQMKVEL >CAK8571240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:350131413:350137793:-1 gene:gene-LATHSAT_LOCUS23918 transcript:rna-LATHSAT_LOCUS23918 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLLDFFSMKNWRCSWSLAATIASVLALVSVVHLFLFPLTPSFDYFKLASNSCVLTNASVELISKRGWDEPAIDLKLRFPGDLHGSVAYKGAPWKAEIGRWLAGCDSITKEINVSEIIGGNDCKNDCSGLGVCNRELGQCRCFHGYAGDGCTDKLELECNFPGSPVEPFGRWVVSICPANCDKTRAMCFCGEGTKYPSRPLAETCGFQFNPPSEPGGPKLVNWTIVDQDVFTTNSSILGWCNVDPVGAYAGKVKFKEECDCKYDGLWGRFCEVSVQSVCINQCSRHGQCRGGFCQCDNGWYGADCSTPSVISSIREWPSWLRPARVDVPDNIPVSEKIINLNAVVAKKRPLIYIYDLPPEYNSLLLEGRHFKLECVNRIYDGSNATVWTEHLYGAQMAIYESLLASPHRTLNGEKADFFFVPVLDSCIITRADDAPHLSMERHKGLRSSLTLEYYKSAYHHIVEQYPYWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHNHSTTAYWADNWDEISTHMRGIHPCFDPDKDLVLPAWKVPDANVLASKFWARPREKRKTLFFFNGNLGPAYPQGRPQDSYSMGIRQKLAKEFGSSPNKDGKLGKQHAEDVIVTPVRSDNYHADIASSVFCGVLPGDGWSGRMEDSVLQGCIPVVIQDGIFLPYENVLNYDSFAVRVPEEEIPSMIKILRGFNQTEINLKLANVQKIWQRFLYRDSILLEAERQKAVFGHIDDWAVEFLKLTEDDVTTTLIQVLHYKLHNDPWRKQVRHNKDFGLPNQCAVNN >CAK8539730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523171215:523176384:1 gene:gene-LATHSAT_LOCUS8801 transcript:rna-LATHSAT_LOCUS8801 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSCNSLNPSVAAFSRTHFSDPMYKDLSAYISPSLSYGNRTTKQRKIPMQVKATELLEAPVSVSKSTQSGAEIGEDQAPQKKLKVLVAGGGIGGLVFALAAKRKGFEVLVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMNVAEEVMRVGCITGNRINGLVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMALQEILARAVGEDVIMNASNVVDFVDHGTKVTVVLENGQKYDGDLLVGADGIWSKVRRKLFGATEATYSGYTCYTGIADFVPPDIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHQEPAGGIDTPNGRKERLLKLFEGWCDKIIDLLVATEEEAILRRDIYDRMPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDGYQLALELDNGWKQSAKSDSPIDIDSSLNSYERERRLRVAIIHGMARMAALMASTYKAYLGVGLGPLEFLTKFKIPHPGRVGGGFFIHKLMPLMLNWVLGGISSKLEGRPLCCRLSDKANDQLRTWFEDDDALERTINGEWNLLPCGNEASILEPICLSQDETNPCIIGSMQQEDYAGSLITIPLPKVSQLHARINYKDEAFFLTDLQSQHGTWITNNEGKRYRVLSNYPARVRPSDVIEFGSDQVSYHVKVTRSAPRMSQKEGMHIFQEV >CAK8574088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661512930:661513235:1 gene:gene-LATHSAT_LOCUS26465 transcript:rna-LATHSAT_LOCUS26465 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVENKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNPINVNERSKFTLSVFGLPGRPFGKKGVHWLTQKEMQSAHVHVLINCVEVKPYLE >CAK8531978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186321744:186322361:-1 gene:gene-LATHSAT_LOCUS1724 transcript:rna-LATHSAT_LOCUS1724 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVLSSPALVSGLMVARAKNPVHSVLFPIPVFRDTSGLLILLGLDFSAMIFPVVHIGAIAVSFLFVVMMFHIQIAEIHEEVLRYLPVSGIIGLILWWEMFFILDNETIPLLPTQRNTTSLRYTVYAGKVRSWTNLETLGNLLYTYYFVWFLVSSLILLVAMIGAIVLTMHRTTQVKRQDVFRRNAIDFRRTIMRRTTDPLTID >CAK8564237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660768719:660770203:-1 gene:gene-LATHSAT_LOCUS17560 transcript:rna-LATHSAT_LOCUS17560 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTFLPYKDETFMPPLPQPPYSFHFHPIPSPFSPITVSSLRSDDFSPNDKSLKVSPSILLIIIILAIVFFVSGLLHLLVRFLWRPQDRDSEDLDDVTALQGQLQQLFHLHDAGVDQSFIDTTLPVFLYKAIIGLKNPFDCAVCLCEFELEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRGNLLHDFNTNNSCSPIVLVLESGSDSSREIVPERDTASVSITATATATAMTTTAIGTGDSVFGRTSSVMTTNSVFGYRGDSEFGGDSASVGNIPKPNVGVEKVVTVKLGKYRNVDGGGGGGGEGSSSNNVDDRRCFSMGSFAYIMDETSSLQVPIRTPMKMKSSKKKPVLPLIPGHRPAMSECDCESRRDFKFASFDSSRGVVEDFAGIGKSRKESYSISKIWLREKKDKTNGDVADSSRRAVSSRFPLQSNVSEMDFGGSEFGGYDEENQSCYSMDSSQARAPSFARRTLIWLTGKQNKVVHSASTSTL >CAK8539636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518957579:518961088:-1 gene:gene-LATHSAT_LOCUS8714 transcript:rna-LATHSAT_LOCUS8714 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYSDIGKLAKDLLTKDYNSDQKLTVKSFSTTGVALTSTALKKGRLSTGDVAALYKYKNTLIDVKLDTASTINTTLTFTDLFPSTKTKVSFKLPDYNSGKLEIQYFHDHATITSVATLNQSPILDVSATFGTPTAAVGAEAGYDTKSGQFTKYNTGISYTKADSSAAVIIGDKGDSIKVSYLHHLDKLKKSAAVVDITRRFSANQNTITVGGSFAIDPLTQAKVRFNNYGKVGGLLQHEIFPKSVLTISGEVDTKALDKNPKFGLAIDLKP >CAK8543699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632049634:632050149:1 gene:gene-LATHSAT_LOCUS12440 transcript:rna-LATHSAT_LOCUS12440 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEVIGVHSVEDWKMHMEKGKSSNKLIVVDFTASWCGPCRIIAPIFADLAKNMPHIIFFKVDVDELKTVSDDLEIEAMPTFLFFKEGKKVGKVVGTNKDELLSTIVQHGGQSS >CAK8567573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526334562:526340265:1 gene:gene-LATHSAT_LOCUS20614 transcript:rna-LATHSAT_LOCUS20614 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSNISSIKAFAESNGASPIPSNYHSFTDIDHVAVADELAASIPVIDFSLLTSDDSQIHTKTVHELAKACAEWGFFMLINHGVPESLMEELMKKSQEFHDLPVEEKMEFHDNGDPFSPIRHGTSFHPPAENVHYWRDFLKALTSPQFNFPNKPPGYREAGFEYSRKINDVARKLIQGISESLGLESNSIIDSSGFDSGLQIFAVNLYPPCPQPHLALGLPSHSDVGFLTFLIQNGIGGLQVKHEGKWVNVNPISNSLVVNIGDQLEAVSNGKYSSALHRAILNNKDTRVSVVVVNGPALDNEIGPAPKLLEKERPLFKSIKYRDYFLVQQKSRLADGRALDQIRYSA >CAK8572669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554495045:554496043:1 gene:gene-LATHSAT_LOCUS25203 transcript:rna-LATHSAT_LOCUS25203 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIHAILITTFVLLILSVSTLASSSSLKFGFYKKTCPSAEAIVRRAVNKAVSSNPGIAAGLIRMHFHDCFVRGCDGSVLLESTPGNPSERDHPANNPSLRGFEVINEAKAQIEAACPKTVSCADILAFAARDSTRKVSSGMDYSVPSGRRDGRISIMDEVTQNLPPPTFKAEELIKRFSIKGLSADEMVTLSGAHSIGVSHCSSFSNRLYSFNATFSQDPSMDPEFAMMLKSKCPPPQSQTRDPMVVLDGSTPNDLDNMYYMKLKNKRGLLTSDQTLADSDLTKRMVLKNARNGAIWRVKFAKAMVHMGSIQVLTGSQGEIRERCSVVNFH >CAK8535699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875291558:875292283:-1 gene:gene-LATHSAT_LOCUS5131 transcript:rna-LATHSAT_LOCUS5131 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFTLIITLSIMFAFSHATTTLVTLLQSQLNQSSTFNLIQQINESKLMGMEMCTYKITIKTSCSSPIYSKDVIGLLFGDANGEEITVVRIDRPETEILERCMTLTYEVLGNCIGKICKLYVARVGSDGWVPETITAYHSNYPPAIFNYDYFIPEGKRYGFDYCHR >CAK8562446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493774477:493775412:1 gene:gene-LATHSAT_LOCUS15941 transcript:rna-LATHSAT_LOCUS15941 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTNTNTKLILLHPYIQKQGNSNRLWLLAFISILTLAFLATLIYTRDRESSLNNTNTSIISSVISTNAVSAPLPATVINTLLHYASKSNDTYHMTYSDIKPISDVLRKCSSPCNLLVFGLTPETLLWKALNHNGKTVFIDENRYYAAYIEEKHPEIDAYDVQYTTKRSEMKELIASAKEHVANECKPVQNLLFSDCKLGINDLPNHVYEVDWDVILVDGPRGDWPEAPGRMSAIFTAGVLARSKKGGNPKTHIFLHDFSGEVEQVCGNEFLCKENLLEASGSMGHYVLERMNESSVQYCKGSSSSTSLST >CAK8577366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557400776:557401684:-1 gene:gene-LATHSAT_LOCUS29480 transcript:rna-LATHSAT_LOCUS29480 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMAMPTSSTMYESFSPFLQSVPFYQQISTTKADTTVPVRISDSRKRLRDSTDESNAPHHPQKIKLSNQSKFLDRDIILLHNSQQSEINCLIEQHAENLRTAIANQRMKQTRMLQCVIYESMVKKLKQKDEEIEDLRKQHLMLQERVKTLIMENQIWREMAMTNETTVNTLRIELEKVMQVSEIHNHRNGCDDAEDAESSCRSNCHVEVEEKVAGKWMCKQCGVNRSELLLLPCRHMCLCAICGSTILNCPLCFSSVTAGVPVNFF >CAK8531980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186358911:186359708:1 gene:gene-LATHSAT_LOCUS1726 transcript:rna-LATHSAT_LOCUS1726 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSISFIVSEVMFLFAFFRASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >CAK8542298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499360489:499361433:1 gene:gene-LATHSAT_LOCUS11145 transcript:rna-LATHSAT_LOCUS11145 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGDNNNTTRLLHSGDSGDIERKSERPKEPWRGEYVKSIVYGGLDAIITCFSLISSISATTKSSGNVLVLGFANLVADAISMGFGDIVSASSERDVINEERRVTEWDVLNGRENEQRDLVRYYQSLGMDYNDATTVVNIFTKYNDILVDQRMAADKGILPADQEKKPWKNGLITFASFMVFGSIPLLSFIILIPFTDSDSVKFVSACLVSSLGLAVLGVARARIAGQNMMLTTAVTLLSGVIAGAAAYLVGWLLKHVAGLEG >CAK8544590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694143094:694143708:-1 gene:gene-LATHSAT_LOCUS13251 transcript:rna-LATHSAT_LOCUS13251 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSNKCPSFESIKLAFLILFAISFCFSLIFLLVITFVPSNVKFYISDASLTKFKVISNNTLDFKFEANITSRNPNKNVEIYYLTITAIALYKDYNFATVNLSSFNQGHKNTTFINLVFEGKSVLKDKQLFEYNEESRLGIYNDFAIDLDLLVMYKFGIYKTWPYNPPFVKCRRLSLSLISNSNSSPPSFHVTKCITGYFFGNR >CAK8568689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632397850:632398619:-1 gene:gene-LATHSAT_LOCUS21624 transcript:rna-LATHSAT_LOCUS21624 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSSASFPFIVFMMDSTLFPETVIADAPDKGTNISTEKPLKSFVEALGNVCHILASQLLVPCVKKDHISIAILENEYLFGVEACKHNLHGRILWPKGFSPLTVLQPKAKLNTLWIYVRRVQSNSSWNLNPGSLKLFPWSKDFIPNNVNHSSAQVWVRILGLPQEYWRPKIIFVIASSLGTPICIDSTSNHSQFKWAFGHFVRVLVDVDLLSELRDNILVERTYFAFFVGIE >CAK8543083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575161679:575162152:-1 gene:gene-LATHSAT_LOCUS11869 transcript:rna-LATHSAT_LOCUS11869 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTASTTNSVISSLQQKTPTKITKSKNKNNKPIKVVYISNPMKVNTSASEFMALVQELTGQYAEWPPDPSKFQEFVGDNSVADSENIRMGCDENDHTVLAVPPLVDCNEQVVNSGGGCSYEGFDEDVFFTPQMVENIWDMLPTAAFYEPFQFDSY >CAK8565848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343336459:343339117:1 gene:gene-LATHSAT_LOCUS19027 transcript:rna-LATHSAT_LOCUS19027 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGGAAEKKRVRRPSAPDLTSDAPPRKQAVKKDVYQVFAEKVRDHKELVSRWAVLQETRVEYFRGKDFVNFLKNHPEVKGILESDRNLETEDIANILLEKNLLVRCDRVVKTLRPGKKKLSTWPAHLEIFPEQVFSENDTFYAWAFVKRHPLWQTLLSFFWPVLTLAICLFPVYPPSCKLLVIYSCGWILIVFFSLLLIRGAIFAVLYILIGKRVWFFPNISVEEAPLREFFRFWPKKDEEERPKWTTRVFYSVVAVLFILLLRHHAPDEAARARYQKRVSNIIDDVLEWSPSLALSGMMDKQQNVSNATGSTDSAPQGSTTGPDHEAPADGNDEKTFSEQNDIEEVIDNREDAGEDDKQYE >CAK8544523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690364303:690366780:-1 gene:gene-LATHSAT_LOCUS13194 transcript:rna-LATHSAT_LOCUS13194 gene_biotype:protein_coding transcript_biotype:protein_coding MTAINLISNCTMKPSSRFLLIGRKKSSFLSTCHHHSLTMSNKPLVLNLNNKTTHYPCIFEFGRLMNGFQQVLRLPSWNLCHSRTCIRIRATKTERVSCIDGVSFKAREFSNSVETKARGDEDDLKEKNCDGLKNFDEEETDVEKEAWKLLQKALVTYCDAPVGTVAANDEADKDSPLNYDQVFIRDFVPSALAFLLKGEKEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVKTMEFDDKKTGEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYTLQEKLEVQTGLQMILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSAREMVIVNDEHSNLIGEINNRLSALSFHIREYYWLDMRKVNEIYRYKTEEYSLDATNKFNIYPEQIPMWLMDWVPEEGGYLIGNLQPAHMDFRFFMLGNLWAIVSSLGTPRQNKSILNLIDSKWDDLVGEMPLKICYPALEHEEWRLITGSDPKNTPWSYHNGGSWPTLMWQFTLACIKMGKTDLAEKAVGLAEKRLPFDSWPEYYDTKTGRFVGKQARLFQTWTLAGFLASKMLLKHPEIASLLCWDEDLDILETCVCVLNKSGRTKCSRDVAKSHILV >CAK8569901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14941215:14944330:-1 gene:gene-LATHSAT_LOCUS22703 transcript:rna-LATHSAT_LOCUS22703 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSISRPNTNLVMVAVGKDKNSGYAFRWTTNHIENPIIIAVHVKHKDIPHQGSNVFPPDEEDIANVFEPLREMCNDKVVKMKEAIINDSDIARGISEYAKRNHVQNIVVGAPSSYTKNVLSRSLNMRTISKKFKGHDNVATAVIKSAPDYSSVYVIAKEKMVETRPATAPLENAVSPSNGVTSCKSENDLRSNTLKRGGSTNSSRSGRSPLHDKLKSPSSTHKSLERIDLSGRGQRPSFNHTSSLNNNESSHLHKYGLDAPKLERGSSNASDSQALGDMEAEMKELRLKLRQTMEMYNSACKEAILAKNKAKEINKWKLEERTVEEVMMSREAALATAEQEKAKAVAALKAVDEAMKMAEKETQKRLHAERKARREMEERDQALNVIARTDIRYRQYTLEEIENATNNFSLSMKIGEGGYGPVFKGQLDHTHVAIKILRPDAHQGRKQFLQEVEVLCNIRHPNMVLLLGACQDYGCLVYEYMDNGSLEDRLLRKHNSPPIPWQKRFEMSYEIATALLFLHQTKPESIVHRDLKPANILVDKNFVSKISDVGLSRLVPPSVADSVTQYHMTSAAGTLCYIDPEYQQTGKLTTKSDIYSLGIMFLQIITARPPMGLSHHVKRAIENGNFVDMLDPVVTDWPVEDALVFAKLALSCAELSKKDRPDLASVVIPELSRLKDLGCKNHQQNRSHAPRPPTRPPPPRSPPH >CAK8562742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532753844:532754140:1 gene:gene-LATHSAT_LOCUS16213 transcript:rna-LATHSAT_LOCUS16213 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACIWYNKKESEDYVSSFYRKSTILATYSHIIMPTNGLLMLLTQSALQSREDLSVVLRRIVTRRMMNRG >CAK8531339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:102206258:102207514:1 gene:gene-LATHSAT_LOCUS1139 transcript:rna-LATHSAT_LOCUS1139 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSQIVSEDVAHPLEQEQEVQQIQGEGLSSLPPSALIEVSKRKPSRKPSTVWKDFKRVNDKAICKYCGKQYAANSGSHGTTNMHKHLKVCLKNPNRVVDKKQKTIAIGKESEDDPNSVSLKLVDFNQERTRLTLAKMIIIDELPFKYVENEGFNMFMKEAQPRFKIPSRVTVARDCLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNYMCVTAHYIDDEWNLKKSILSFGIIADHKGETIGITLENCMKEWGIKSICCVTVDNASANNLAIDYLNRGMNFWNGRTLFNGEYLHMRCSAHILNLIVKEGIKDIDESVKRIRAACKFVKASPSRLATFKKCAKAVGVCSKALVTLDVETRWNSTYLMLNIAEKYEHAFYRLGHADVAFLTNLRIEHAFYRFYSYCCWIFCCC >CAK8575018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22182793:22186458:-1 gene:gene-LATHSAT_LOCUS27311 transcript:rna-LATHSAT_LOCUS27311 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPLTTVLVTGAGGRTGQIVYKKLKEKRDKYIARGLVRTEESKQKIGGADDIFIGDIRDAESIVPAIQGIDALIILTSAVPQMKPGFDPTKGGRPEFYFDDGAFPEQVDWIGQKNQIDAAKAAGVKHVVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGLPYTIIRPGGLLDKDGGIRELIVGKDDELLQTETKTIPRADVAEVCVQSLNYEETKFKAFDLASKPEDAGEPTKNFKALFSQITSRF >CAK8542561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526042927:526043310:1 gene:gene-LATHSAT_LOCUS11390 transcript:rna-LATHSAT_LOCUS11390 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSNSRSHNVSKNLSTTEIVGIKDLGETSDAREDGSIEEKRKEVDLEKPNQTATPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVDGKIEVLIEEDDVSSEV >CAK8535943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893161787:893167472:1 gene:gene-LATHSAT_LOCUS5357 transcript:rna-LATHSAT_LOCUS5357 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKVLQGGTGIPNVRWFGVEGEYNVLVMDLLGPSLEDLFSFCSRKLSLKTVLMLADQMINRVEYIHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATPPSRAVGSGAGPSSGIPPAVVSATDRPTGGEEGRHAGWSSSDPARRRNSGPIANDLFRQKAPVPSDSTASKDAMLSSSNFFRPSGSTRRVGAVSNSRDAVVGSEIEPSLPLARDGSPGSRLKTSGAQRNSPITSSELKGTSSRNTSNIKNFESTLRGIESMNFNDEKVQY >CAK8563560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616253252:616272895:-1 gene:gene-LATHSAT_LOCUS16956 transcript:rna-LATHSAT_LOCUS16956 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPISSSISSPSSSSSPATSWLSGIVRVRRTPPILNMSGNSAVAVSASADVTGPVVHKNQLRGALFKYGPNPIQVAFKSGEFKRQVIFIGGLTDGFLATAYLEPLAIALDRENWSLVQFLMSSSYSGYGTSSLQQDAKDLDQLINYLINKEDSEGVALLGHSTGCQDIVNYMRTNFACSRAVRAAILQAPVSDREYQSTLPQTAAMIDLAAKMISEGRGSEIMPREADPTAPITAYRYHSLCAYNGDDDLFSSDLSDDQLKIRLGHMSSTHCQVIFSMADEYVPDYVDKKALVERLCRAMGGAEKVEIEYGNHSLSNRVEEAVDAIIDFLKREGPKGWDDPWN >CAK8577626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579135920:579136330:1 gene:gene-LATHSAT_LOCUS29716 transcript:rna-LATHSAT_LOCUS29716 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKPLATKAARKSAPATGGVKRPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQALRTDLRFQSSAVSALQEAAESYLVALFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8565718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:312642548:312644231:-1 gene:gene-LATHSAT_LOCUS18906 transcript:rna-LATHSAT_LOCUS18906 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPKIISSPIFLVFIFLFIIFILIWSSTKPKSSNSKLPPGPPKLPLIGNMHQLGSMPHHSLKKLSQKYGPLMHIKLGEIPIIVVSSPEIAKQIMKTHDTKFSNRPHLLAADIITYGSKGITFSPYGTYWRQMRKICTFELLTPKRVESFRSIREHEVSNIVKEIRLSEGSSINLSKMIGLFSYGLTSRIALGGKSEDQEAFMVAMKDVTKLIGGFSLADLFPSFKVLHVLSGIRSKSEKVHRELDRILEKILRYHKRDTSLETKINDEKDGEDLVDVLLRLQKENNLEHPLSDSIIKANMLDIFSAGSGTSFKASEWAMSELIRHPKVMKKAQTEVRRVFDAKGFVDEANIHELEYLKLVIKETLRLHSPVPLLLPRECSERCEINGYEIPAKTKVIVNAYAIGMDPNYWNEPNKFCPERFIDSEVDYKGVDFEFIPFGAGRRMCPGITFGVVNVEILLANLLFHFDWKMVDGNKGEELDMAESFGLSVKRKHDLYLIPIVYHSSQ >CAK8562121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:442923335:442924070:1 gene:gene-LATHSAT_LOCUS15647 transcript:rna-LATHSAT_LOCUS15647 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKRLGALSSSLGISIFFFCTDTASRGFNIPAVDMVINYDIPSNSKDYIHRVGRTARAGRSGVAISLVNQYEMEWFLQIDKLIDKKLPEYPTQPEKVLLLEERVGEAKRLATTRRLQN >CAK8562427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:488279098:488280456:-1 gene:gene-LATHSAT_LOCUS15923 transcript:rna-LATHSAT_LOCUS15923 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRVISKTTIKATNDEDKSIPKMIDLTPWDLKYLKIHQIQLGLLFHKPKTDQIQHLKQTLSSTLNLFPPLAGRLVITQDEHDPNKASCSIICNNVGALFVHVTAENTTIADIIQPKYVPPIVQSLFPLNGVKNYQGTSQPLLAVQVTELVDGIFIGIDINHLAVDAKSFWFFVNSWAEISNGFNKPTEILSFHRWFPNNIDPPIWFPFTKQVKNQQCEDSPHQIFHFTKEQILQLKSKANAEIGNSNNNIVISSLQALISHIWILIISKHHFEPEEDVICVFPIDCRTRVFPKLADTYFGNAIGAVGVVRMKVGKLMEGGIGRVAVEMNKVLSTESHHEKVLSNYESWLKTPFILEPSETSTSRVLVVVNSPRLNFYGNDFGWGKPVAVRNGNGLEDNTGEVIVLGGEEEGSIDLELCLPCHILEAMENEMHAITASPTLSPPAVLYMRS >CAK8576115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408806073:408806645:1 gene:gene-LATHSAT_LOCUS28329 transcript:rna-LATHSAT_LOCUS28329 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEIGEHKGWDAFVTEGFSNWKKKDKLNVHVGGPSSAHNQAWRKCNALMNQKQHIEVAINKQSDLIKREYHIHLTAIVDCIRLLLKLGLTFRDDDESVDSKNKGNFLEILQFLCNHNEEIDKVLKKSRGNLKLVSPSIQKDIVKTAASETTKVIIDDLNNDLFSILIDESQDVSVKEQMVVVLRYVDKK >CAK8539644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519481458:519484287:1 gene:gene-LATHSAT_LOCUS8722 transcript:rna-LATHSAT_LOCUS8722 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQTDGDNVRYVATELASDIVVNVGDVKFYLHKFPLLSKSLRLQKLVSNTDEENDEVYIHDIPGGPIAFEICAKFCYGMVVTLNAYNVVAARCAAEYLEMYETVDKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEELKIVSHCMESIATKASMDPSKVEWSYTYNRKKLPSENGNDSHWNGVRKQLMVPKDWWVEDLCELQLDLYNRVMSTITTNGNVSGVVVGEALSAYASKRLPGFNKGVIQNGDIAKNRLVLETIVGLLPVEDMGSGSCSFSLKLLKLAIQLECEVSVRSELMRRTGQRLEEATVADLLISSSTGETTYDVETVQKLVEVFVAHEHQSLLEDELQEIRSPKMVANSSSKIKVAKLVDSYLAEIARDPNLPLPNFVNIADLVSSFPRQSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCKKLSAEACMHAVQNERLPLRVVVQVLFFEQMRASTTSSSGGTNTPDLPGSIRAMHPGGSHGSSRSTTTNTEEEWDAVATAEDIKVLKGELAALKLSGGSSQSSDRNSNSNVDKVAANKMKGFLMSKKIFSKIWSNKEKNGEITSSDTSESPASTVVEETKSTPSRSRRHSVS >CAK8531619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131049412:131049930:-1 gene:gene-LATHSAT_LOCUS1397 transcript:rna-LATHSAT_LOCUS1397 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSKNPMDNNEEKPPSSSSPTFKLFGFSLTPSNTLSSNNKRFRCYFCLREFTNSQALGGHQNAHKRERQRAHFLSILPHHQRFVTSSSYRPMIAQHGAPRAVFFRPRGSPVAIWERQPYEEGPIEVHFDAGTSRNNRQAHNNITHGDVDLNLSLACVPISSKDKEFERE >CAK8573298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601207024:601207474:-1 gene:gene-LATHSAT_LOCUS25765 transcript:rna-LATHSAT_LOCUS25765 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFFGVIFKSIEIGLLISVAICFAKILWQVTRPRTAVLGKLPGTTVYRNVLQYHKAAQIPRMLILRVASAIYFSNSNCIKDREY >CAK8543161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584285084:584286292:-1 gene:gene-LATHSAT_LOCUS11938 transcript:rna-LATHSAT_LOCUS11938 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNKKANQNDRISDLPSNVIDGILGNMKVRDQVRTSILSTKWRYMWTSAPHFCFDDDFYQRFMDLNDPYPVMYKTITNVLMLHNGPIHKFSIYVSRHYEFNISMENLNKWIPFMSRDIKHLELVTHGPEKDQMPGILFSCKELTYFKVSSFNLSIPPNFCSFKKLLELHLESCDEIESSALESFMSGCPILEKLTIGLCCGCDHLVISSPSLKVLVLRWIDTKSICLKKANNLIDFTLTTYAGRGFTKSLPKIKRFSFAKWRKIPFPDIIPPTLLTSSFSSLEYLKVDYLNSNDKREMLYFVSVLKSAPKLIELDIKVNMNTYVS >CAK8574962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18381108:18384268:1 gene:gene-LATHSAT_LOCUS27259 transcript:rna-LATHSAT_LOCUS27259 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSFCWFHSHLNPSVLDSSDQENGGKSEKNLWPSFSEYSLEELKAATDGFSSDNIVSEHGEKAPNVVYKGKLENGQFIAIKRFNKFAWPDSRQFIEESKQVGSLRSERLANLIGCCYEGDERLLVAEFMPHETLAKHLFHWEAQPMKWAMRLRVAYYLAQALEYCTSKGRGLYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNYLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVETLMSLQKEIEVPSHVLMGLQHETATSTKPLSLTSFGESCLRLDLTAIHAILEKTGYKDDEGIANELSFQLWTSQMQETLNLKKHGDAAFRAKDFVTAIDCYTQFIDGGTMVSPTVYARRCLSYLMNDMPQEALGDAMQAQVVSPEWPTALYLQATCLFSLGMENDAQETLKDGTNMEAKKHKN >CAK8564749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8131337:8136752:1 gene:gene-LATHSAT_LOCUS18021 transcript:rna-LATHSAT_LOCUS18021 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGPHGVTALHRHKYSGVDHSYVAKYVLQPFWCWFVNLFPLWMPPNLITLTGFMFLLISVLLGYVYSPQLDSAPPRWVHVAHGILLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACALEALAFGSTAMCGRSTFWWWLISAITFFGATWEYYFINTLILPVVNGPTEGLMLIYLAHFFTAIVGAEWWAQQFGKSLPFLNWLPFIADVPTYSAALGLMVVFGVIPTVIFNVRNVHKVVKAKNRSMLLALAMLYPFVVLVGGVLVWDYLSPSDIIASYPHLVVMGTGLAFGYLVGRMILAHFCEEPKGLKTGMCLSLVYLPFAIANALASRLNDGVPLVNERLVVLGYCVFTGSLYMHFATSVIREITDALGIYCFRITRKEA >CAK8566444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423904855:423912202:-1 gene:gene-LATHSAT_LOCUS19575 transcript:rna-LATHSAT_LOCUS19575 gene_biotype:protein_coding transcript_biotype:protein_coding MALMTAQRTFLTLFTVIVLSPSLSFATPTSACQFTFRDENKLYNYTLSSPIRNFPHGILSEDGFYKVSVNQTTLWFQLCDGMIFNHDPPICADCWDCGGPTRCGMECSALVSNNIGGYHVCAAIGSGPNIDIDVIDKKSPHTGIIVKMSSGSLKYNCSLAVSVLCNLNGVQGPQTLERSGDCNYATELKHPSGCAMIVNVHGSGWGWFGTLLITLLCLFAAYLLVGIVYRYFILKIRGIEVIPNLDVWITLPRRIQSLYSSLVRKLKGPSEGHRSSYSAVNF >CAK8544676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698696773:698697549:-1 gene:gene-LATHSAT_LOCUS13333 transcript:rna-LATHSAT_LOCUS13333 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHNQNPPMGVVGSGGQMPYGSNPYQPNQMAGAPGSVVTGGMQSTGQPAGAQLGQHQLAYQHIHQQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALNYCYMPPQHAPQVGAGGVIMGKPVMDPNMYAQQPHPYMAPQMWPQPPDQRPPSPDH >CAK8541584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:268810552:268811031:-1 gene:gene-LATHSAT_LOCUS10494 transcript:rna-LATHSAT_LOCUS10494 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLWSSSSDIVSYDGDYSEILKCHCDYFGCGGGCDVIEEGALNAESCVQVLRILITKADTEIDELEKDLLCLQNELAWTENQN >CAK8563000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565629450:565630959:1 gene:gene-LATHSAT_LOCUS16450 transcript:rna-LATHSAT_LOCUS16450 gene_biotype:protein_coding transcript_biotype:protein_coding MKETIRYLAGIAGPSGFGSNSTAEQVTQQYSPSNNLTALITGATSGIGAETARVLAKRGVRVVVGARDMKKAIKIREKIQEESPYAQIILLEIDLSSLASIQRFCSEFLSLELPLNILINNAGVYSHNLEFSEEKIELTFATNYLGHFLLTKMLLEKMIDTSNKTGIQGRIINVSSVIHSWVKRTCFSFKDMLGGKNYNGTRAYAQSKLAMILHVKEMARQLKARNARVTINAIHPGIVRTGIIRAHKGLITDSLFFIASKLLKTVSQGASTTCYVALSRETEGVSGKYFTDCNESNCSSLANDELEAKKLWNNTNSLLHKRLRQATIGSSSSLFSRPCI >CAK8562878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552477819:552479135:-1 gene:gene-LATHSAT_LOCUS16340 transcript:rna-LATHSAT_LOCUS16340 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDLPDCIISHIFSKLSLKNLVKTSALSKQWYHELGLRKDLNFDLHNMFDCNPELPKTPLFPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGVNRIELLFAYPKPLPDFEKAMPFPYDESDFEIEPYNFFLSDSHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLLSVKQSMLQDMCLKCIHLDNLTLNECTFRSDLKITSTTLLHLNINCGDIFRKRINIDIIASNLSSIRYSSDGRSETLLHTLNIKSHKLSNFSYTCDQISNLVHFSGLKNVTTIVLDGLMEGDGITHGLMEGDVITHLFSKCLQLQHVTISNCWLTCECKIISANLRHLSILHCFNTEVLDIASNGSLIEYRGPRSILSIHALNLSSFEFRGRSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8562603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514770345:514771876:-1 gene:gene-LATHSAT_LOCUS16088 transcript:rna-LATHSAT_LOCUS16088 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISLPPGFRFHPTDEELVGYYLKRKVEGLEIELDVIPVIDFYKFDPWELPEKSFLPKRDLEWFFYCPRDQKYPNGSRTNRATKAGYWKATGKERKIVCQGTSSTITGNCKALVFYLGKAPLWNRTSWIMHEYRLTDDLGQDPPYFKGGFALCRVIKKNEKRKRIGTSSGDVSSQASHLNNEIGYSSLVTSMQNVAPIAELNHVLIETNPSNLCISPDMILDSSKEYTIIQEAVSQYFPRHDSQNQSLEHETISPTLSFSNLESEIEFSDNVSQIGCISPYPIHGNIMDYDENWEVPYEAYHQINSVSHQDPI >CAK8539485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513898222:513898992:-1 gene:gene-LATHSAT_LOCUS8575 transcript:rna-LATHSAT_LOCUS8575 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKCKLCTRSFTSGRALGGHMKAHFATLPHHHRTPPSSSTLLSFSSSHENSDEKSVTNLSEYIVHDRESETESKNPAQKRSNSNRNRNSEQKKLKQRLIDSHFHSHSPVSSISETSPEKELAKTLMMLSRDKWKMNVFVKEDSQSQSHEVEEIEFRKNSRKHKCDECGQNFRSSTALKSHRNICLQNEVATTRNDHKIFKCLVCSKVFGSGQALGGHKKSHLHPSSKKKLCFIDLNLPPLLQENDARDQCVFYE >CAK8570989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:233224315:233226216:1 gene:gene-LATHSAT_LOCUS23692 transcript:rna-LATHSAT_LOCUS23692 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCRKWILNHGGLVVIPSWGKFWVTVLGVYEWQGCNPLPPEFWFLPKFTPIHPGKMMCLCRLVYMPMSYLYGKKFVGPITDLVRSLREEMYNKPYHQVNWNKARNTIVKEDLYYPHPMIQDILWGFLYHMGEPILNCWPFSKLRHKALEIAINYVRYEDENSRYLCIGSVEKVLCLIARWVEDPNSEAYKLHLAQILDYFWLAEDGLKIQSCGSQTWDATFAIQAILACNVSEEYGPTLRKAHDFLKASQVVENPSGEFKEMYRHICKGSWAFSMHDQGWQVSDCTAEGLKAALLLSKMPSDLVGEKLETERFNDAVNVILSLQSSNGGFPAWEPQNAYSWLEKFNPTEFFEDTLIEREYVKCTGSAMQALALFTKLHPNHRTKEIQHCLAKAIRYIEKTQNPDGSWYSIFYSH >CAK8536037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901635613:901635999:-1 gene:gene-LATHSAT_LOCUS5439 transcript:rna-LATHSAT_LOCUS5439 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLIAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAMEKLLI >CAK8577671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582931039:582931578:1 gene:gene-LATHSAT_LOCUS29757 transcript:rna-LATHSAT_LOCUS29757 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQRKKHISDAAGDSISADSFSFAGLVSIQDQQHQNNHAASKPNPDFEFSTIKPELYSTAANPFMITPADMLISNGQIKPHAIAFQPNKSFFTNPPLSLRSLLEIDIQQHISAETGSKLRKYQEQLTKARNLKNKEKAVAKTWFGQKMFMSFISPCRKCEAVQPGSVKGQTVPQERY >CAK8561988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422223161:422223547:1 gene:gene-LATHSAT_LOCUS15527 transcript:rna-LATHSAT_LOCUS15527 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTPNFDLFDVYFRRANLDRDGRISGAKAVSFFQSSGLPKNVLAQIWAFANQNQSGFLGRAEFYNALKLLTVAQSKQELTPEMYFEAALYGPAVSKTPAPQINFSATATPTPAQKSLYQYRKMNSM >CAK8574276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673339324:673340172:-1 gene:gene-LATHSAT_LOCUS26636 transcript:rna-LATHSAT_LOCUS26636 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKLLGFWSSPFVHRVIWALKFKGITYEYIEQDRHTKSQLLLQSNPIYKKVPVLIHQGKVIVESLVILEYIEETWPENPLLPKDCHERALARFWIKFGEDSIASLTRLFMRPPKDEKERVEAIEKAQGTITIMEEQGLGDKKFFGGNNIGMVDIVYGCLSHWLEGLEEIVGIKLIEEKKFPYLHSWIQNFKQVPLIKENLPDYEKLLVHLQWRRQEYII >CAK8579155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680482664:680484538:1 gene:gene-LATHSAT_LOCUS31123 transcript:rna-LATHSAT_LOCUS31123 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMQCSSYAYSCCVPHRFTPTALSFPACKWNGFKTQPKNQIAVFCGAEDRSSNFDSHRKRRKVVEHICLLKAKEALSEEEEKDMLDYLYTTQYQMGGIIAISLGRVSDQNPDRYTHALYMRFQKKENLEKFYENSFYSKVLKDHVMTYCHGLINVDYESEVDDDMLSIFRKGEEFSHGVEFVLLLSFNEDALDNKVEHALASLATVMLESPSLIVQFTQGLNFNESSKEYTHGVVIRFRSVEAFKIFLRSQEYKDVWISKLQPIVLKSLSLHFSVDPVGTELM >CAK8561671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:349761553:349764648:-1 gene:gene-LATHSAT_LOCUS15239 transcript:rna-LATHSAT_LOCUS15239 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRTYNNVVLLYSNLMIFFIPLVISLRNLTPDKSIHYNDYLVSETGKFEAGFSNIENSQNIYFCTWYKNLNPRTIVWVANRDTPLQNSTGKFKLKLTDTGNPVIVDGSENTIWISNASTVVENPFLLLLDTGNIVVRNGSVNEVWWQSFDYPGDTLLPGMILRTDRINGAHNSLTSWKNSGDPARGEFSYYIDSNGFPQLFISKGTVLVYRLGSWNGFFFSGIPWETLYSYFNFSFELTEKQVRFKYELLNDTIVSRYLITPTGFVQRYIWLYQTETWQLFLAGPADQCDNYNICGVNSNCNAGNTEICKCLIGFAPKSSNDTEGCIRKVKLDCDDRDEFDKYTNMKLPDTSSSWFDEKMNLVECEKQCRKNCTCVAYASLDIKNGESGCIIWFNNIIDMRTGSSSGQEIYIRVAASELGRDPTINHEDNMNHEKESIDISTFDFSTIANATDNFSPINKLGEGGYGPVYKGVLANGREIAVKRLSIKSVQGPQEFQNEVILIANLQHRNLVKLIDQTRSKVLDWNTRFNIISGIARGLLYLHQDSRIRIIHRDLKTSNILLDNDMNPKISDFGLARAFGGDHDEANTVRVVGTHGYMPPEYAVYGSFSVKSDVFSFGVIVLEIVSGRKSREFFNPEHNLNLIGYAWRLWSEDKQLELIDESLKGSIIMDEALKYIHIGLLCVQDRADDRPEMSSVVLMMNGERSLPNPRQPGFYPHEVVSYSSKQELSSTNEISISLLHPR >CAK8538120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464530757:464532571:-1 gene:gene-LATHSAT_LOCUS7354 transcript:rna-LATHSAT_LOCUS7354 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIVFSMWRNFDLDWSAQNSVGNSGGILTMWNTVRISACFSFSGKGFLVLNFVWNNHRLMVINVYVPCGSADKRKLWRDLIKIKNNYPDIGWIVGGDFNAVKNREERKGISGFNSRDVKELCDFIEEINLVDLPMFGSRFTWFNSKGKSKSRLDRVLVDHRVIYLFTLKNLVVGDRGISDHRPVWLKSNFINWGPKPFRTFNCWFYHKDFIPFVTKPWRSYQFTGSFCSILTKKFQALKSDLRIWNLKVFGWLDLNIEDNIYKFKKLELELDVESNQQSTDLDKEKLRWLQNGDLNTKCFHDSLKSRHRLNHLSAIVVEDRNVEEPENIKDEAIKFFKDKFTQSNSKKFRSDLALAACLDEEDKQFLETNFSTFDVTKAIFSCDGNKFPGVDGFNFKFIKSCWEIVGQDFSNCILDFFKTGFLPRMFASSFISLVPKISNPQQFEDFRPISLISCISKVISKMLACSLSKVIHKIISPSQTAFIPGRQIYDGVLLANEIEDYAKRFKMECLFFKVDFAKAYNCVGWIFLDEMLVKMGFGLNWLKWIRGSVFKSYVSILINGSPSKNFKVGRGLKPLRQN >CAK8536830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25492505:25492708:-1 gene:gene-LATHSAT_LOCUS6160 transcript:rna-LATHSAT_LOCUS6160 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVINVRIIVLWAYAYPCLSRMLDESSVVKAHQEWMIKYGITYTNSSEMEKRLQIFQREFRKNTEV >CAK8575687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:252464567:252465535:1 gene:gene-LATHSAT_LOCUS27929 transcript:rna-LATHSAT_LOCUS27929 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNFTQNYQNPNSQNSQIPSVPPNPAIFFPSPNNSNMYQNPNPQNSQVPPFSTQVGVKKEERVLVKKKSREQFTRDEDICLIQSWLNVSKDPIVGVDQKAESFWHRVAANYNQYHGQLREKLSGQLKCRWHRINASVQKFVGCYRQAVNGKKSGTSENDIMAAAHAFYAQDQGITFNLEYAWRLLKDEAKWGIIGESIGNSSKMTQTSASGASSEIPDTPSSYEFNSSSPMGQKVAKRKGKAKEISNATQDARNKRAETMERLAQSKEDEIELKAMQIMMKDTSTMNKSQRDIHEKYCNKMKKKIWTVVPL >CAK8540894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29703438:29703785:1 gene:gene-LATHSAT_LOCUS9852 transcript:rna-LATHSAT_LOCUS9852 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAVNCTLVSLVPKGNGGNCVKDFRPISCCTTLYKIISKILTSRLSLVLTSIVNPSQTAFIPGQNIHDHILLAYELTHGYIRNGGTPKCILQLDIQKTYYTLDLYALECILKDF >CAK8575752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:306198778:306199404:-1 gene:gene-LATHSAT_LOCUS27988 transcript:rna-LATHSAT_LOCUS27988 gene_biotype:protein_coding transcript_biotype:protein_coding MNELPGSVGTCVSFSLRLGQTLFSSASLLFMSLGVEFYSYTAFCYLVTIMGLVIPWSFTLALVDGYSVIVKCPIRQPGILLIIVVGDWVLSTLTLAAASSTASVVDLLLNSQGSFCPIKLCCRYKISAALAFVSWFLSLASSLFNLYLLPSL >CAK8575922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:362643039:362643404:-1 gene:gene-LATHSAT_LOCUS28147 transcript:rna-LATHSAT_LOCUS28147 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRRWMKWMDGGVFFSYTSVLVNGRPTRDFKVLKGLRQVDPLSLLFFQIMVEGHAALFIRDVSLDILRGFKINDEVSYSLLQLLDDTVLVCDGSYVGVEKWMDWMDMDWIINVWIKAVH >CAK8577981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599942137:599943754:1 gene:gene-LATHSAT_LOCUS30035 transcript:rna-LATHSAT_LOCUS30035 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNHPLPFLFLIITLSCSFNFSTVKSSTFNVIKFGAKPDGITDSTKAFLNAWTMACSSPYPAAIYVPQGKFLLGTVTFSGNCANKAISITIDGTLIASSNYRAASKGGSTWLSFQHVDGVSIRGGVLDGQGTALWNCKNSNKRNCPIGATTLQFFGSKNIMITGLTSINSQMFHIGFYGCQYVKTQDVNIVAPGNSPNTDGIHVQMSSYVTIIHSKIRTGDDCISIGPGTNNLWIENIECGPGHGISIGSLGWKSNEAGVQNVTVKTVTFTGTQNGVRIKSWGRASNGFARNIFFQDATMDNVQNPIVIDQNYCPNNKNCPGQASGIKISDVTYQDIHGTSATQVAVKFDCSSKYPCNGIKLKDVKLTYKNQIAEASCNHAGGTALGFVQPESCL >CAK8534643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751627368:751637240:-1 gene:gene-LATHSAT_LOCUS4171 transcript:rna-LATHSAT_LOCUS4171 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTDSSDSDDSDDFVAYIEAELEESSSSSDDEAESRNELEGFRTKKRKFESTDEIEGSTSDGTMEQKLVPSLKVDVCIHPGSFAGMCICCGQTLDGESGLLFGYIHKELRLYDEEISRLRNIDMKNLLCRKKLYLVLDLDHTLLNSSSLDRLSPEEKPIITQKSYLEGGLFMMEHIQKVIKLRPFVHTFLKEASEIFDMCIYTMGDRLYALEMARLLDPQKEYFNANVICRDDGTQRRKKDLRMVLEKESAILILDDTEQVWRKHKDNLILMHRYIFFNSSCENFGYKYKSLAALRIDESEADGALAKLLKVLRRIHCAFFEEDLVDRDVRQVLSSLRSEILSGCVIVLSRALRRELPKLKKIAKKLGATCLNKLEPNVTHVVSNNVGTRESRWALKEKKFLVHSGWIEDANFFWKKQPEENFSVD >CAK8534642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751627368:751637240:-1 gene:gene-LATHSAT_LOCUS4171 transcript:rna-LATHSAT_LOCUS4171-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTDSSDSDDSDDFVAYIEAELEESSSSSDDEAESRNELEGFSRTKKRKFESTDEIEGSTSDGTMEQKLVPSLKVDVCIHPGSFAGMCICCGQTLDGESGLLFGYIHKELRLYDEEISRLRNIDMKNLLCRKKLYLVLDLDHTLLNSSSLDRLSPEEKPIITQKSYLEGGLFMMEHIQKVIKLRPFVHTFLKEASEIFDMCIYTMGDRLYALEMARLLDPQKEYFNANVICRDDGTQRRKKDLRMVLEKESAILILDDTEQVWRKHKDNLILMHRYIFFNSSCENFGYKYKSLAALRIDESEADGALAKLLKVLRRIHCAFFEEDLVDRDVRQVLSSLRSEILSGCVIVLSRALRRELPKLKKIAKKLGATCLNKLEPNVTHVVSNNVGTRESRWALKEKKFLVHSGWIEDANFFWKKQPEENFSVD >CAK8578871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659870845:659874511:-1 gene:gene-LATHSAT_LOCUS30853 transcript:rna-LATHSAT_LOCUS30853 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNKKSAGSLSSVPQFGDWEQKGQVPDYSLDFSKIRETRKHNKSNISRASFGNEQEFNIDSTSTSTTAQSHSHSSDQQQHVPRYHQTISPTTRKSFLSYFNCCGKA >CAK8532040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:195295776:195297844:-1 gene:gene-LATHSAT_LOCUS1779 transcript:rna-LATHSAT_LOCUS1779 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMKTMLKSILPSSCFQGEYPSPKPKKVVSTKPRNSSTSTSTHRISIEDLNSPNMTLSEDLSMSLSLAGSNLYKFTVLELKAITQHFSSSNFLGEGGFGPVHKGFIDDRVRPGLKAQPVAVKLLDLESKQGHKEWLTEVVVLGQLRHRHLVKLIGYCIEDEHRLLVYEYLPRGSLENQLFRRFTASLPWSTRMKIAVGAAKGLAFLHEAEQPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYVMTGHLTAKSDVYSFGVVLLELLTGRKSVDKTRPTREQNLVDWARPMLIDSRKLSKIMDPKLEGQYSEMGTKKAASLAYQCLSHRPKSRPTMSNVVKILEPLQDFDDIPIGPFVYTVPVDNNEVVKEKDQAKEDESTTKERKRENGQYHRNGHRHHPLKSPRSPMPQSQSQRPQSQQRPRVRIVGHQNGRGSASNSPSPRVQFDMQHNGRGSALNSPSPSPHKKSYET >CAK8578265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615764397:615766983:1 gene:gene-LATHSAT_LOCUS30292 transcript:rna-LATHSAT_LOCUS30292 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKDNVPELEHGFLQGERGEERKFLEDERGEQHTGDGSVDFKGRPSIKDKTGNWKACPFILGNECCERLAFFGIATNLVTYLTTKLHEGNVSAARNVGIWQGTCYLTPVIGAVLADGYWGRYWTIAVFSMIYFVGMCILTISASVPSLKPAECSGSVCPPASPLQYYLFYFGLYVIALGTGGVKSCVSPFGADQFDETDPEGSAKKASFFNWYYFSIMLGALVSCTFVVWVQDNLGWGLGFGIPALFMGLSVGSFFFGTFLYRFQKPKGGPLTSMCQVLFASVRKRNLVVPEDKSLLYETPDRQPGVKGSCKLMHHDDMRYLDKAAVVTDSDNRSSNPWRLCTVTQVEELKILIRMLPIWATGIIFSAVYAQMSTLFVEQGTMMNTYLGSFKLPPASLSTFDVLSVVFWVPVYDRIIVRVARRFTGRKMGFSVFQRIGIGHFISVLCMLSASIVEIKRLQLARKLDLVDKHVAVPLSVLWQIPQNFLLGASEVFTLIGQIEFFYDQSPDAMRTLCSALPLVSFSLGNYLSSLMLTIVTYFTTRGGKVGWIPDNLNEGHLDYFFQLISGLCLLNALLYIIAAKMYKQKKRS >CAK8579684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716355111:716359008:-1 gene:gene-LATHSAT_LOCUS31611 transcript:rna-LATHSAT_LOCUS31611 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLKMQNHHHHHRHPLALSKLHEQTGNKRYTFIQAHRGWLLRFLLLWILLMAIISYCIYSNMDVDSKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRNPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVNSERDRFEKQHGVVIKTMEREASQVRDEYAPVIFAQETVSYLESIDMMSGEEDRENIMRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPNPTTEELIKATAGYVGGSFDVESLVENLLGQLAGHQAILVNVYDITNSTDPLIMYGNQYEEGDVSLVHESKLDFGDPYRKHQMICRYHQKAPTNWVALTTAFLFFVILLLVGYILYGAGNHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLGLLLRTELNSTQRDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIVTNLVGNSVKFTERGHIFVKVHLAENRKSAMNGKFETFRNGGSEEVMHISGGYNLKTLSGCDAADERNNWDNFKHLIADEEFFCDASTKKVATNESYEQVTLMVCVEDTGVGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGEINFISRPQVGSTFSFTADFKIFKKNLIPDVKKVNYEDLPSSFGGLKAIVVDGKPVRAAVTRYHLKRLGIQAKVANAINKAVSLCGKNGSLTTGLFQPDIIFVEKDSWVCGEDVRQLDWKQNGHIFKMPQMILLATNISNDEFDRVKSAGFSDTVIMKPLRASMVGACLQQVLGTGKKRQLGKEMPNGSTSVRSLLFGKKILVVDDNGVNRRVAAGALKNFGADVKCADSGKAALEMLQFPHDFDACFMDIQMPEMDGFEATRRIRGMEKAAANDEATKSEFHLPILAMTADVIHATYEECLKCGMDGYVSKPFEEENLYQAVAKFFKTKTTSTTIDSS >CAK8540052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537245011:537252466:1 gene:gene-LATHSAT_LOCUS9091 transcript:rna-LATHSAT_LOCUS9091 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRFPFSPAEVSKIRMVQFGILSPDEIRQMAVVQIEHGETTERGKPKVAGLSDPRLGTIDRKMKCETCTASMAECPGHFGYLELAKPMFHIGFLKTVLSIMRCVCFNCSKILADEQDHKFKQALRIKNPKNRLRKILDACKNKTKCEGGDDLDLPGQDTEEPVKKSRGGCGAQQPKITIEGMKINAEYKAQRKKSDDQDQLPEPVERKQTLSAERVLSVLKRISDEDCQLLGLNPKYARPDWLILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLKRQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWTIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDSLLGCRKITKRDTFISKDVFMNILMWWEDFDGKVPAPTILKPEPLWTGKQVFNLIIPKQINLIRYSSWHNESERGPITPGDTMVRIEKGELLTGTLCKKTLGTGTGSLIHVVWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMETINQTISQAKDKVKQLIREAQEKKLEAEPGRTMMDSFENRVNQTLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKTDFDRAFRYEFDDENWKPTYMLEEPVEDLKTIREFRNVFEAEVQKLDADRFQLATEIATTGDSSLPLPVNLKRLIWNAQKTFKVDFRRPSDMHPMEIVEAIDKLQERLRVVPGDDLLSQEAQKNATLLFNILLRSTFASKRVLEEYRLSREAFEWVVGEIESRFLQSLVASGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLKPEVCKTKERAKNVQCALEYTTLRSVTQATEVWYDPDPMGTIIEEDVDFVRSYYEMPDEEVALDKISPWLLRIELNREMMVDKKLSMADIAEKINREFEDDLTCIFNDDNAEKLILRIRIMNDEAPKGDIQDESAEDDVFLKKIESNMLTEMTLRGIADINKVFIKNTKIQKFDENEGFKPHEEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNETGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGECALLLNDEMLKNAIELQLPSYMEGLDFGMTPGRSPISATPYHEGLMSPSYLLSPNLRLSPTNDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPSYSPTSPSYSPTSPSYSPSSPTYSPSSPYHSGTSPDYSPSSPQFSPSTGYSPSQPGYSPSSTSQYTPQTNDKDDRGAQ >CAK8575873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:345046072:345046590:1 gene:gene-LATHSAT_LOCUS28100 transcript:rna-LATHSAT_LOCUS28100 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEKTECQAPPEGPILCINNCGFFGSAATMNMCSKCHKDMMLKQEQAQLAASSLGSIMNGSTSKTEKEPVVAANMDIPAISVEPKTISKPFLFDSSSEESGDAKPKDGPKRCSNCNKRVGLTGFNCRCGNLFCAVHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >CAK8570257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31694483:31696571:1 gene:gene-LATHSAT_LOCUS23022 transcript:rna-LATHSAT_LOCUS23022 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNYSTLFLPFFCFVLSANYNANLASANTECIKTRCNHKDAPIIQYPFRLQNIQPQNCGKTGFDLSCNKNHQTILKFPTSINFFVNKIDYKSQNIHVTDPNRCLPKLLSKFNVSSTPFHFIHNSKSISNYTLFNCSSMNTALQSFYPSIKCLSSHGHQVYALDSSLQIKDVTLTYCTKLYDMSFVPDDVVFSHGDDFVLGWDTSCRNQSETKHECHNTVKEPKGTGRKMEILVPILSCLGIVLLVTVLYRLRYTTQKDKENEARIEKFLEDYRALKPTRYSYSDIKRITNNFKNELGQGAYGTVYKGKLSSDIYVAVKLLKASTGNGPEFINEVGTMSQIHHVNVVHLVGFCADGHKRALVYEYLPNGSLQKYISTTDFKNHFLSWEKLQEIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDNNFTPKISDFGLAKLCSKDQSAVSMTAARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRQNVDVVDKGSGLGYFPEWIYNLLEQGDDLSEHIEVEKYAKIAKKLAIAGLWCIQWHPVDRPAMQVVVHMLEGDGGKLSMPPNPFASADPAIMNVSLIGRHFHRD >CAK8570258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31694897:31696571:1 gene:gene-LATHSAT_LOCUS23022 transcript:rna-LATHSAT_LOCUS23022-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALQSFYPSIKCLSSHGHQVYALDSSLQIKDVTLTYCTKLYDMSFVPDDVVFSHGDDFVLGWDTSCRNQSETKHECHNTVKEPKGTGRKMEILVPILSCLGIVLLVTVLYRLRYTTQKDKENEARIEKFLEDYRALKPTRYSYSDIKRITNNFKNELGQGAYGTVYKGKLSSDIYVAVKLLKASTGNGPEFINEVGTMSQIHHVNVVHLVGFCADGHKRALVYEYLPNGSLQKYISTTDFKNHFLSWEKLQEIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDNNFTPKISDFGLAKLCSKDQSAVSMTAARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRQNVDVVDKGSGLGYFPEWIYNLLEQGDDLSEHIEVEKYAKIAKKLAIAGLWCIQWHPVDRPAMQVVVHMLEGDGGKLSMPPNPFASADPAIMNVSLIGRHFHRD >CAK8542901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557538347:557539953:-1 gene:gene-LATHSAT_LOCUS11699 transcript:rna-LATHSAT_LOCUS11699 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSTRPQVQPQTLHIQAQDSSFTNTTTVTGQAVKWIFQILFYLQLFLISALVIFITRYYRTSDSSTTHHFHPHKWYPPLLASTLCAGILGFTWHCIIACYPKRAFIAAFWLSPLLTLAMGILFMLIQSAWSWIPGLFSLLSAAIQSLYGLWVNNNNRFKYATEMFQDAIYFPLPKAKCLTFLSIIVATLYCCFLVYGIGGARAMENKTKLTDICIIVIIVSLVWTMQVMKNAMQVTISRVKYIFFTNNREMKIGNAFCDTIKHLIGSVSLGSILVPFIVLFRGFARSQHLLGGKNGECMFSCDPCAMGLAALLVSYGNRWGFVHVGVYNKGFVQASCDTWEMFMSRVGLQELIDLDLTGAFCFLSGVAVGAICCLVSGIWSLIVYKDYAMELSIYAFIIGYFICRLAIAWPQASVLAYYVAYAHNPEDMQFEETIKARLEQLRVSHLQRQNTADTEEIRRAYLQSP >CAK8573460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614011093:614013405:-1 gene:gene-LATHSAT_LOCUS25906 transcript:rna-LATHSAT_LOCUS25906 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENQYAPLLAPFASDVYIEDPNKSSRISYTRNFLLSLANSGSYKTLRLSKEIQFAMSCVFEGSLDLLPSPYSELDSVSQKVSASQKVSASKVLGNVNILHKSSKPYLPPCRNKALSSSSGDSNGSLKSHISGSSDCTDQEVQAEQEKCQNVSPAKFAKSDGLWESCLYLEPPLIHDVQIQQESEFKSSCTSFEDCSSFISGFTFPDEDSLITYDGPFSTLEVKIPPSIDSFMSSPESNLIEDDLDSPTFNMIKNLVESVLDGDNADYDDDYYNFDNDNSCMDDIMVELVQHKARVAKLNISYQPFHSNQLNLGRDPFSLSSHSCPCGYNSDHGLRRFGFGADESLFQQGIMASLEVPSWKEKTNLMQNCFEYQDPGYDCSVKGAPDSLVDGKGGTNQAVIDSFEDRKLGASQFAGGFY >CAK8567576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526720232:526720498:1 gene:gene-LATHSAT_LOCUS20617 transcript:rna-LATHSAT_LOCUS20617 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTELTFAAAFAYIESEQTEKFCWVLEKLKELFVKLYLCPRVIVTDRDLTLMKSIKIVFPRLINLLRRFHINKNVGAKCKQHVVNDM >CAK8567224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496025731:496031190:1 gene:gene-LATHSAT_LOCUS20294 transcript:rna-LATHSAT_LOCUS20294 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSLFLFTLLFLVSYTTSQLQEFISIDCGGTRSNYTDIATGISWISDSEIMKNGETVKVKNPNGNKVQYERRRDFPTDSRKYCYTLETEERRRYLVRASFQYGSLENGDTYPQFQLYLDATKWATVSIYDDSRVYVKEMIFRAPLNSVDVCVCCATTGSPFISTLELRPLNLSMYATDFEDDFFLKVAARINFGAPTEDAVRYPEDPYDRIWESDLVKRQNYLVGVATGTERISTTRNIGIETREYPPVKVMQTAVVGTKGLLSYRLNLEDFPANARAYAYLAEIEDLGQNETRKFKLEQPYIPDYSNAVVNIAENANGSYTLYEPSYMNVSLEFVLSFSFKRTRDSTRGPLLNAMEISKYQEIASKTFKQDSNSVSTFASLSDEIIPKNEGDPCVPTPWDWVNCSSGTPPRITKINLSRRNVTGEIPQELNNMDALEELWLDGNSLTGNLPDLSNLINLKIVHLENNKLAGSLPPYLGSLPSLQALYIQNNSFIGEIPAGLLSSKITFIYDDNPELHRKNKKHFHLIIGVSIGVLVILLVLFIGSLLLLHYLRRKASQKKSDEKGIPGRSKTKHLTGYSFGRDGNLMDEGTAYYINLSDLREATDNFSKKIGKGSFGSVYYGKMKDGKEIAVKTMTDPSSHGNHQFVTEVALLSRIHHRNLVPLIGYCEEEYQHILVYEYMHNGTLRDHIHECSSQKQLDWLIRLRIAEDSAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRLAEEDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGKKPVSPEDYGPEMNIVHWARSLIRKGDIISIMDPLLIGNVKTESIWRVAEIAMQCVEPHGASRPRMQEVILAIQDASKIEKGTESQMKVSSSGDSKPQSSRKTLLTSFLEIESPDLSNGCLPSAR >CAK8567698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537192920:537193865:-1 gene:gene-LATHSAT_LOCUS20725 transcript:rna-LATHSAT_LOCUS20725 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPSATAKSNEEEMFCLRPSQTQKIRFRVYHRGYFMDNPVKLYVAGLISERNWKWDVEYLSYFELLELIKKEGYRGIMCIWYWNPRFIFTHGLRKINDDGDMLQLIRDADGCEIIDLYVEHSISELDIVDVAEVGHDITSDDDEVQCTGEKIIDDEVEVDNNVEVGDNVEVGDGAEVGDGVEVDNDAEVGDSVKVDNDAEVDDSVEVDNDAEVGDNVDVDNDAEVGDDIDDNELELDSETELESEPELD >CAK8579158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680513534:680520789:-1 gene:gene-LATHSAT_LOCUS31126 transcript:rna-LATHSAT_LOCUS31126 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTSTFVTRWINFLTMVLAMIVIIFGVWMSTRHDACRKSLTIPVLSIGGVIFLISIVGFFGAMKRSSILLWVYLIMLFFILVGILVFTVLVFIVTNNGSGHSVSGLRYKEYHLQDYSSWFLKELNNSHNWKRLRVCLVKTENCNNLSKKYKTPKQYKLAKLSPIESGCCRPPSECGYPAVNASYYDLSFHPVSPNHDCKRYKNSRAVKCYDCDSCKAGVAQYMKTEWRVVAIFNVVLFAVLSIIYFVGCCARRSAARSQSKH >CAK8570141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25578239:25578727:1 gene:gene-LATHSAT_LOCUS22919 transcript:rna-LATHSAT_LOCUS22919 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQPIVLVVVVVCAGGLVILSLLTFALFCFLKKRNGKTQETDIIDIDEHKKGKETITPGPFGENAVAISVEDDVHVDETRKKEKLGHGLQAKSSSDIIRIDEHKKGNETVVPGPFGQQAVVISVEDDLHVDEARKSEKHGHGLHAIPSSAEANNHNSNSQ >CAK8561959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418263989:418271913:-1 gene:gene-LATHSAT_LOCUS15502 transcript:rna-LATHSAT_LOCUS15502-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQQQQPHGGYMRPAQPPPPPPPHTADPHQQQHHQYHQMPPPPPQGPWFTPQFQYQTPSPPQQWPPPQTPPPPPPSNPYSYHPNQFPPPPPPHHARPPPPPPQFQPHSHIPQPYPQEWNNNPGWPQNQAYPVHTNEEDWAAKARAWADAKSAMETQHPQSHYSHAGRVPEQTHYHDPYQQSVDPRYTDAQNQSHPSSGYQQFSFVDPAMQRNSGHSQEAVSVSLEAAYTSDGHSYSARDGTSIGDPTVSFEQANFPTNPSVHPQEVPTSYSSVAGKEAADQVQQSYAMFPLPSSSSQEQYHAQPSMHAPSFAPHSHSVDSSTNLADQPLDFAPRFGRDNDLQMQSTYSHHDSASSMNNWGAPVGPGVGYPPIPPNLSSGPQHDPSFTTPGHVAAPYGRFPGAGHPSTIPPNGAPYSLSTVATVHPTAAFSADAYGISGVPERPKKASVPNWLREEIKKTVIATPSADHPKVEETLVSDDIDKSYTKAEDETDSKSVDSSRSAEDEDDEEVEVARTAAINQEIKKILTEVLLKVTDELFDEIATKVLSEDDLTAEVDHNVATSNHKASTSPPSAPVPKATAKVLVPVKAKGIENDGANEKSNSSSPGDVLGLGNYGSDADDEIESSTVPDPAKDAAYMVNNVVKTNSSLSRNGNGAAIDELHGAKITKESDNSDSSKVVSKDTRDNGLEAIERSHDRFNGFSSKDMSGVPRSELPGKNGMEKATDDHLGKESRRKSEKNDRHDRSSSEKDFKEVKSSHRTRTDEKSDESKRRKEERNHKKEQTDHISESKERVKEHNVKHGEKEKEPESRKRSSRDDVRDDRKGAEKPHRGSTMEDTSRRKEHAKDKGEHKSRRREASDHDRHRRRRSSSVSSRGRTSKDHLVNHADDSSGEGSDGSKRKLHSRKRDLSPSPVRSKRRQVSRSPHSKRSQRRHSPYSSLDNSRGRRSRSRSPVRRHR >CAK8561958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418259742:418271913:-1 gene:gene-LATHSAT_LOCUS15502 transcript:rna-LATHSAT_LOCUS15502 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQQQQPHGGYMRPAQPPPPPPPHTADPHQQQHHQYHQMPPPPPQGPWFTPQFQYQTPSPPQQWPPPQTPPPPPPSNPYSYHPNQFPPPPPPHHARPPPPPPQFQPHSHIPQPYPQEWNNNPGWPQNQAYPVHTNEEDWAAKARAWADAKSAMETQHPQSHYSHAGRVPEQTHYHDPYQQSVDPRYTDAQNQSHPSSGYQQFSFVDPAMQRNSGHSQEAVSVSLEAAYTSDGHSYSARDGTSIGDPTVSFEQANFPTNPSVHPQEVPTSYSSVAGKEAADQVQQSYAMFPLPSSSSQEQYHAQPSMHAPSFAPHSHSVDSSTNLADQPLDFAPRFGRDNDLQMQSTYSHHDSASSMNNWGAPVGPGVGYPPIPPNLSSGPQHDPSFTTPGHVAAPYGRFPGAGHPSTIPPNGAPYSLSTVATVHPTAAFSADAYGISGVPERPKKASVPNWLREEIKKTVIATPSADHPKVEETLVSDDIDKSYTKAEDETDSKSVDSSRSAEDEDDEEVEVARTAAINQEIKKILTEVLLKVTDELFDEIATKVLSEDDLTAEVDHNVATSNHKASTSPPSAPVPKATAKVLVPVKAKGIENDGANEKSNSSSPGDVLGLGNYGSDADDEIESSTVPDPAKDAAYMVNNVVKTNSSLSRNGNGAAIDELHGAKITKESDNSDSSKVVSKDTRDNGLEAIERSHDRFNGFSSKDMSGVPRSELPGKNGMEKATDDHLGKESRRKSEKNDRHDRSSSEKDFKEVKSSHRTRTDEKSDESKRRKEERNHKKEQTDHISESKERVKEHNVKHGEKEKEPESRKRSSRDDVRDDRKGAEKPHRGSTMEDTSRRKEHAKDKGEHKSRRREASDHDRHRRRRSSSVSSRGRTSKDHLVNHADDSSGEGSDGSKRKLHSRKRDLSPSPVRSKRRQVSRSPHSKRSQRRHSPYSSLDNSRGRRSRSRSPAFHVELWSIWLSGFLLILLSLYATQRLPSLNKDHGRVYNKNDVLDSGNLSITIFTAPKPFMDSTGTRQTLAVRSWLALSPYVTVVLYSQHPSVASFANAFDSGRVLVDTNIDFTFLGSPFFHSMIAKSCSFPSDIFVIIDPETIILSGFISSLNHVCELNRDWLLVASPQYASNLNNTKQVRVQEKILQQNRPWNHCCNAERMLIAWNNKDMPLHNGVLPPFIYGKGTHNNWIIHEAISSEFRFVFDASSTIESFNLNNATFENSTSLDIENRKWEYIGNSHLGEHYGSFFYSEAYYSNLPKLLTCENQYIMVDIKKNIVYPIGHRGEVKRMKENAMHCIAHQKSVTRISDFSLKDRKKISSSLELPFSLESLLSITADRNKTIVLTVSGYSYKDMLMSWVCRLRKLSIENFIVSALDQETYQFSILQGIPVFKDPIAPSDISFDDCHFGTKCFQRVTKVKSRIVLKMLKLGYNVLLSDVDTYWFRNPIPLLHSFGPAVLVAQSDEYQEHGPINLPRRLNSGFYYAHSDNQTIAAVQKVVKHAETSGLSEQPSFYDILCGNGGSNRVGDDKCKEPETNVTVHFLDRNLFPNGAYQNLWQEKNVKATCLKKGCYIIHNNWISGRLKKLARQVSSGLWEYDPSTRMCLQSLRSIKPRDH >CAK8578111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607513093:607515027:1 gene:gene-LATHSAT_LOCUS30150 transcript:rna-LATHSAT_LOCUS30150 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYNTLRNTRNIIAPRIRAFASQTKTNDTPTQLLYQLNKKISHLIRTGRLTDARALFDSIDHRNTVTWNSMITGYVQRREIAKARHLFDEMPHKDIVSWNLIISGYFSCRGSRFVEEGRKLFDQMPQRDFVSWNTVISGYAKNGRMDQALKLFNSMPHRNVVSCNAMVNGFLLNGDVYSAVEFFRTMKQRDSASLSGLISGLVRNGELNMAARILIEYGNEGDEKDDLVYAYNTLIAGYGQRGMVDEARRLFDGVMSGQGRFRRNVVSWNSMMMCYVKAGDVVSARELFDRMMERDACSWNTMISGYVQVCNMEEASKLFLLMPSPDELSWNSIISGFAQIGDLIRVKEFFEKMPHKNLISWNSVIAGYEKNEEYKGAIELFSQMQLEGERPDRHTLSSVLSASTGLVDLYLGRQIHQFITKTVIPDLPINNSLITMYSRCGTIGDARAVFNEMKLYKDVITWNAMIGGYAFHGFAAQALELFELMKRLKIQPTYITFISVLNACAHAGLVEEGKRQFNSMIGDYGIEPRVEHFASLVDILGRQGQLQEAMDLIDSMPMKPDKAVWGALLGACRVHNNVELAQIAAKTLISLEPESSAPYALLFNIYADLGQWDDAERVRVLMEENNVKKQAGYSWVDSNNH >CAK8577146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540439546:540440109:-1 gene:gene-LATHSAT_LOCUS29277 transcript:rna-LATHSAT_LOCUS29277 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEVFSPFETFESEPLKKSKKMPDFRSISNLERLSFEGCVKLVQMDPSCGSEMPSWCNNQSEGDSIRIDLSPIMPDNDNNIYGIACCAVFSAEPLQPNAFHDNIHNKRSRQKSGIRLICKYKNKRWPCGVIPSN >CAK8544799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706081546:706082028:1 gene:gene-LATHSAT_LOCUS13449 transcript:rna-LATHSAT_LOCUS13449 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLPFRYLGVPLTCKRLSMHHYMSLVDSIGSRSRHWSFKLLSYAGRLQLINSTITAIAAYWMSCLPFPKNVIKTINSIYRTFLWTGSEEKSRKFPIAWKMVCKPRRKRGLDVLDLSEWNTTCLTKLLWNLCNKKDTLWVKWIHTFYFKTTDIMQVQEK >CAK8543100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578586377:578587870:1 gene:gene-LATHSAT_LOCUS11884 transcript:rna-LATHSAT_LOCUS11884 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDTLLKTPNKLQFLHPLHGYSEKLASFKLQNHEVRFGFKKKPILRASSSALLELVPEFKKENLDFELPLFDSTKGTVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDKTWSGAVVYIDNKTKKDLDRPYGRVNRKLLKSKMLQKCISNGVKFHQAKVVKVVHEESKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPFDVDKMLFMDWRDSHLDGNMELKERNSKIPTFLYAMPFSSTKIFLEETSLVARPGLRMEDIQERMVARLKHLGINVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVAKTLAAAPIVANAIVQYLGSDRGLLGNEVSAQVWKDLWPIQKRRQREFFCFGMDILLKLDLPGTRRFFDAFFNLEPHYWHGFLSSRLYLPELFLFGLSLFSYASNTSRVEIMAKGTLPLVNMVNNLIKDKE >CAK8537428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353039299:353040220:-1 gene:gene-LATHSAT_LOCUS6720 transcript:rna-LATHSAT_LOCUS6720 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGKEYDLDGNRVNQGLSVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVPSWKEKTNLMQNCFEYQDPNYDCSVKGVPDFNGDGDGISNQAVADSFEDRKLGVSQFVRRFY >CAK8533426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616365067:616366660:1 gene:gene-LATHSAT_LOCUS3050 transcript:rna-LATHSAT_LOCUS3050 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHVMVVPYPVQGHVIPLMELSLYLVQNGVKVTFVNTKENHDRIKNSFPSGNDLLLLSEICTVWISDGLESIESVLNVMPKKVEELIECIHGSESEKITCVLADQSIGWALEMAEKKGIACAAFCPASAAQLVLGLSIQKLIDDGVIDKDGTPLEKQVIHLSPTMPCVSTENLVWLNVGNKTTQKHIFQLMMKNINCMHLTKWLLSNSSHELEAAAFSLAPEIIPIGPIFSSNQYSIGNFLPQDQTCLKWLDQQSPNSVIYIAFGSFTTFSTIQFQELCFGLERSNRPFLWVMRTDITEPTENIYPKGFEERVSKRGRMVGWSPQKKILSHSSVACFISHCGWNSTLESVGNGIPMLCWPYFADQFLNRSYVCDVWKVGMELEKDESGVIRQAEIVSKIEQVLNDEELKGRAKELMEKVKTCIGEDGVSNNNLLSFITWIKNI >CAK8562959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561903960:561904882:-1 gene:gene-LATHSAT_LOCUS16419 transcript:rna-LATHSAT_LOCUS16419 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLVVASLFLCCLAEVCYGKVLFSSLKRTLVVTASPKQGEVLSSGVDKISGTWSLNKTFPAGTDSSYKTIKLKLCYARISQQDRAWRKTVDDLSRDKTCQQKMVAMPYDASNKTVRTYDWLIERVVPQATYFVRAYAFDSNDVEVGYGQTTSADKSTNLFEINAISGRHATLDICSICFSAFSVVSLGVFFYIEKRKGKSQK >CAK8561027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:78799616:78800377:-1 gene:gene-LATHSAT_LOCUS14655 transcript:rna-LATHSAT_LOCUS14655 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSTEHCDPVLVSQKVCASVYRGVTTSQLDELAAETAAGMTANHPDYASLAARIAVSNLHKNTKKSFSETMKIMYNHFNTRSGKKAPLIADDVYEIIMENAARLDSEIIYDRDFDYDYFGSKTLERSYLLKVDGTVVERPQHMIMRVSVGIHKHDIESAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAAGIFKTDD >CAK8568878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650219388:650219681:-1 gene:gene-LATHSAT_LOCUS21787 transcript:rna-LATHSAT_LOCUS21787 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKKKAKN >CAK8575524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:150125896:150126483:-1 gene:gene-LATHSAT_LOCUS27781 transcript:rna-LATHSAT_LOCUS27781 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDVPPTHTSQHSYQNQTPNNPLHQQTYTYPYNQQYHPQPYLRPPRQFTPVQPPLFDHTDHPFQNQPSNTTYSQPASTINTTYTQPSQNFTPDNVYYPTFKHPPSETYPPPPQPPHSFQQFLLTDEQLMQMPDFNIDDLLDEQPGPSSRPTNPPTTLHHEDMSSDSSESTRNECLGRGHRQRRIPRCGTEGHIR >CAK8538903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499267313:499269489:-1 gene:gene-LATHSAT_LOCUS8051 transcript:rna-LATHSAT_LOCUS8051 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFDCARIILDRVLKFDPVNARKIVGYLLMQNHCEPEMARLASCPDHIIAEVILGAKIQMMALNSAMTSIPQPHVAPPQGLAYFPGFPPTSPPPPPPPPPRNFQVQPTYCDPQFAAGNVNQEFMGVSYLDSVTELQKQAQMLSLENPLDHVNTGTRGVLVNDYNNGFDSSVVNYGGRSAKRSSNSNPSEFPVKICHYFSKGNCRNGSNCRYFHGHVPHESFSSQVHMHGNGDNEDQVISPRSLAQVESEIIELLKQKRGDPMSIASLPMAYFERYKKVLQAQGYLTESQRHGKSGYSLTKLLARLNSIRLIDRPHGQHSVVLAEDAPKYTQTGEVQSISAARQLYLTFPADSTFTEEDVASYFNTIGHVDDVRIPCQNRRMFGFVTFAHPKTVRNILERGGPHFVRGARVLVKPYIEREKRYQDRVEEKDRVEHLPCCPRYYTNVDSELDLIARELGKRRQIARRQWMEEVQAFEYHQRSLEQLQFSQRGFPVTGFSADGLRVPDDRFNFQPAEVLNYSMNNIYMTAETNSSEGSSNGVHHLPTVDCLPEEFPIENNN >CAK8540778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20581321:20583666:-1 gene:gene-LATHSAT_LOCUS9748 transcript:rna-LATHSAT_LOCUS9748 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSILKQVAELLTVASITKTLSKNPQTLTQFTTLTQTLILKILSNPSLHISHKLNFFNSHNTIHSPTTYSLILHNLCKPTTPLPLLHQHLPQLLHSMKQTGIAFDSGSFNNLLNFLIKFSHNNNKNFNFVIDILDYIQTQNLQPIGTTPFIYNSLLIASLKNNQIHLALSIFNSLLSLDQSSDSNFNSVIVGSSNYLLSALRKAGMKKEFRNVFDTLRGRESFDFDSWGYNICIHAFGSWGDLVTSMMLFNEMKEDKNLFGPDMCTYNTVLSVLCKIGKIDDAIVVWNELKGCGYNPDEFTYRTLVRGCCRSCRMDEGVRIFNEMKDNGFRPGVVVYNCVLDGFFKVGKVNEACEMFERMTQEGVKASCWSYNILIHGLMKNARSEAGYTLFCDLKKKGQFVDGISYSIVVLQLCKEGHLEEALELVEEMEARGFSVDLVTITSLLVGIHKHGRWDWTDRLIKHVREGDLLPGVLRWKAGMEASINNLHSKEKDYSPMFPSKGGFSEIMSFITHPEEDDEVETSSEEIDEWTSSPYMDKLAKRIVKSTGNAPRLFTPDRRQRVQQKGPDSFDVDMVNTFLSIFLAKGKLSLACKLFEIFTDAGVDPVSYTYNSIMSSFVKKGYFNEAWAVLSEMGEKLCPTDIATYNMIIQGLGKMGRADLASAVLDRLLKQGGYLDIVMYNTLINALGKAGRVDEVNKFFEQMRSSGINPDVVTYNTLIEIHSKAGRVKDAYKFLKMMLDAGCSPNHVTDTTLDYLVKEIDKLRYQKASILRGKDDPS >CAK8579368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694387094:694387403:-1 gene:gene-LATHSAT_LOCUS31319 transcript:rna-LATHSAT_LOCUS31319 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFSPNIYFYCIGFVNTNHWVQVDMKEWFPLPPVTVDWKKFRSPTTTSWMLGFAGRLQHWQQLTPILPTYYEL >CAK8540084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538725267:538728963:1 gene:gene-LATHSAT_LOCUS9122 transcript:rna-LATHSAT_LOCUS9122 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTRHNYHSRLPKMVSEKPFPLDKVFPVYAMGLPDPLPHSILDGSDPIWEAVKLEAKLEAEKEPVLSSFLYASVLSHECLEQVLAFVIANRLQSPTLLATQLMDIMSNVIMHDKGIQRSIRLDAQAFKERDPACLSYCTAILYMKGFHALQVHRVAHVLWHQGRTILAQALQSRVNEVFAIDIHPAAKIGEGILLDHGTGVVIGETAVIGNRVSLMQGVTLGGTGKDTSDRHPKIGEGALIGAGSTILGNIKVGEGVMIAAGSLVLKDAPPRSIVAGIPAKVIGGLREHDPALTMKHDATKPFFIDVAVNIIAEKSSGGKNQDKKESNT >CAK8563109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574240154:574240486:-1 gene:gene-LATHSAT_LOCUS16552 transcript:rna-LATHSAT_LOCUS16552 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPTIFALFKFLNEGKLYEVLNLSLDFPTAGVATKVVKLKVFSKFENIGEVLEVDSCLIDGKASKGLHANFDHEILVVADSKLGNIIKEKLKIDCVHNNVIMELM >CAK8533474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:621793053:621794579:-1 gene:gene-LATHSAT_LOCUS3097 transcript:rna-LATHSAT_LOCUS3097 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFILTVSQDGTGNYRTVQEAIDAVPLGNTRRTVIRISPGTYRQPLYVPKTKNLITFAGLFPGDTVLTWNNTANKIDHHQGCKVIGNGTFGCGSTIVEGEDFIAENITFENSSPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKLYLRDCYIEGSVDFIFGNSTALLEHCHIHCKSDGFITAQSRKSPHEKTGFVFLRCVITGNGGSSYAYLGRPWGPYGRVVFAFTYMDQCIKHDGWNNWGNIENERSACFYEYRCFGLGFVPSKRVKWTKVLKDKEVEQFLMHSFIDPEPQKPWLAQRMALRIPYSA >CAK8576052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:392911831:392912088:-1 gene:gene-LATHSAT_LOCUS28269 transcript:rna-LATHSAT_LOCUS28269-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLVSGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTVASSVSLSVQPMFKRSRAQDQKMRLPSVNRVSIDVLNSPR >CAK8576051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:392911831:392912937:-1 gene:gene-LATHSAT_LOCUS28269 transcript:rna-LATHSAT_LOCUS28269 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPQLQQQSPSFLDALLCEEHNFEDDDSDATVTDSEDPSGAKLHYLPFVLLRNDVFWESDELVSLISKEGETRLCCGNLVGDGSLEEIRVEVVNWISKVCAHYGFSTLTTVLAVNYFDRFITSLKFQKDKPWMTQLTAVACLSLAAKMEETHVPLLLDFQVEESRFVFEAKTIQRMELLVLSTLKWRMHLVTPISFFDYIVRRLGLKSRLHWEFMWHCERVLLHVIADSKVMMSYLPSTLAAATMVHVIKEIEPFNASEYINQLLGLLKISEEQVNQCYKLMLKLLVSGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTVASSVSLSVQPMFKRSRAQDQKMRLPSVNRVSIDVLNSPR >CAK8540571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11140806:11141605:1 gene:gene-LATHSAT_LOCUS9564 transcript:rna-LATHSAT_LOCUS9564 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISSSAVTTVSRASRGQSAAAAPFTGLKSMTGFPVKKVNTDITSITSNGGRVKCMQVWPPTGKKKFETLSYLPPLTRDQLLKEVEYLLRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPMFGTTDASQVLKELDEVIVAYPQAFVRIIGFDNVRQVQCISFIAHTPETY >CAK8570944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:184419209:184420943:-1 gene:gene-LATHSAT_LOCUS23651 transcript:rna-LATHSAT_LOCUS23651 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNFSYLLSMILFFVSLCVANGAPPETICNSIVNPTYCKIVIGNHNGNIYNYGRISIQKSLSQSRKFMNLLDSYLQGSLSLSQSTIRALEDCRFLASISFEYLSNTYATTNTYSNVLPTSQAEDFETFLSAVLTNQQTCLDGLNNIASSDQRVKNDLSLSLSDEIKLHSVTLALFLKGWMPEKKIRTSWPQKGKHLNFKNGRLPLKMSNKARAIYDSARRHGRKLQTSEDSVVVSDIVVVSQDGSGNFNTINEAVAAAPNNTVASDGYFLIFITEGVYPEYVSIDKKKKYLMMIGEGINQTIITGNHNVDDGFTTFNSATFAVVGQGFVAVNITFRNTAGPGKHQAVAMRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNIYPRLPLNGQFNTITAQGRTDPNQNTGTSIQNATIKAADDLAPNVGTVETYLGRPWKNYSRTVYMQSFMDSFINPSGWHLWDGDFALGTLYYAEYDNRGVGSSTANRVTWSGYHVINATDAANFTVSNFVSGDDWIPQTGVPYLSGLI >CAK8535107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814495238:814495786:1 gene:gene-LATHSAT_LOCUS4584 transcript:rna-LATHSAT_LOCUS4584 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPSLYTDIDKKARDLLYKDYHSVKKLTINTYSPTGVAITSSGTKKSKFFLANVNTQLKNKNITTDIKVDTNSNLFTTITVNEPAPGVKAILSFKVPEQTSGKVELQYLHEYAGISRSVGLKANPIVNFLVLLELMLLLLVLIFLLITKLGELTKFNAAMNFVKDDLVGSLTLNEKVTVD >CAK8561032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79377611:79379321:1 gene:gene-LATHSAT_LOCUS14659 transcript:rna-LATHSAT_LOCUS14659-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPETDECGVKHSEHVQFSSSIYSHQPWWLENASKSSLLDQLNGSIMNGVTNSEALVSPSGEDIAKELRNIKHVQSSNPFTMDKHLDPNSQTELVHHSTVLTSPYSNLQFGQFLTTYGQPAMMNPQLYNMHHARVLLPLEMEEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHLHALRRARGNGGRFLNTKKLEHNDSNANSALEKGNNPDATLVTNKENQGLENASQSVHKMQGFDTGYRDGNGFTELCHSQANGKQKRDSSGAIK >CAK8561031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79377611:79379491:1 gene:gene-LATHSAT_LOCUS14659 transcript:rna-LATHSAT_LOCUS14659 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPETDECGVKHSEHVQFSSSIYSHQPWWLENASKSSLLDQLNGSIMNGVTNSEALVSPSGEDIAKELRNIKHVQSSNPFTMDKHLDPNSQTELVHHSTVLTSPYSNLQFGQFLTTYGQPAMMNPQLYNMHHARVLLPLEMEEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHLHALRRARGNGGRFLNTKKLEHNDSNANSALEKGNNPDATLVTNKENQGLENASQSVHKMQGFDTGYRDGNGFTELCHSQANGEDEGFCFIQLMML >CAK8561620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:323863386:323865117:-1 gene:gene-LATHSAT_LOCUS15195 transcript:rna-LATHSAT_LOCUS15195 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSRQSGVSTEISDAQINDLITKLQQLIPELARRSNKVSAAKVLQETCNYIKNLHREVHDLSDRLSQLLDTIDPNTPQAAIIRSLIM >CAK8562578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511239877:511242964:-1 gene:gene-LATHSAT_LOCUS16065 transcript:rna-LATHSAT_LOCUS16065 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLSLLLFLTLHFLPISTTHFSESQTLLSFKSSSITTDPTDSLSSWNTKTTHCSWHGVQCGQHRHVISIDLSSLSLTGILSDDISNLPFLTNLSLADNKFSGPIPPSLSSLSSLRYLNLSNNVFNGTFPSELSTLVNLQVLDLYNNNMTGSLPLSVTHMSFLRHLHLGGNFFTGKIPPEYGVWSHLEYLAVSGNELSGPIPPEIGNLTSLRELYIGYYNTYDGGIPPEIGNLSAMVRLDAAYCGLTGEVPAELGKLQNLDTLFLQVNALSGSLTPELGNLKSLKSMDLSNNAFTGEVPVSFSELKNLTLLNLFRNKLHGAIPEFIGEMPALEVLQIWENNFTGSIPQSLGKNGKLTLVDVSSNKLTGSLPPYMCFGNKLQTLITLGNFLFGPIPDSLGKCKSLSRIRMGENFLNGSIPKGLFGLPELTQVELQDNLLSGNFPQPVSMSPNLGQVTLSNNKLSGPLPPSIGNFTSVQKLLLDGNQFSGEIPSEIGKLQQLSKIDFSHNKFSGSIAPEISHCKLLTFVDLSRNELSGEIPNEITSMRILNYLNLSKNHLVGTIPGSISSMQSLTSVDFSYNNLTGLVPGTGQFSYFNYTSFLGNPGLCGPYLGPCKDGVVNGSHQAHVKGPLSSTVKLLLVIGLLVCSTVFAVATFFKARSLKKASEARAWKLTAFQRLDFTVDDVLDSLKEDNIIGKGGAGIVFKGSMPNGDLVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVESAKGLCYLHHDCSPLIVHRDVKSNNILLDTSFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVAGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPIHEVMHVFYVAMLCVEEQAVERPTMREVVQMLTEIPKPSQSSKHVEEDLTTLTITESSLSSSNSLEAPDKEHKDLLSI >CAK8564006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645690630:645692168:1 gene:gene-LATHSAT_LOCUS17355 transcript:rna-LATHSAT_LOCUS17355 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLFQPSFSTIVFTAAKPFNLTTNRNNCSLTISAAANDNSHSLDSLTDNIKDKARRHPTAANNFTAKYVPFGTGFDSDESYSLDEIVYRSKSGGLLDVHHDMEALAKFDGAYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEINADDIVSTFEGNSNLFWAERFGKQFVGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRMNRPLVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISTAQLIQPVSNGALVLSIDTDFDGCMKLIREITSELPIYLANSLNSLRIEGQKTAAIEILQQFDWQVPNWVIVPGGNLGNIYAFYKGFKMCKDLGLVDKIPRLVCAQAANANPLYLYYKNGWKEFKAIKAETTFASAIQIGDPVSIDRAVYALKNSDGIVEEATEKELMDAMALADSTGMFICPHTGVALTALNKLRKSGVIGSSDRVVVVSTAHGLKFADSKIDYHSGNIPGMGSLANPPVSVKADFGSVMDVLKDFLLSKAPK >CAK8533900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669368011:669370615:1 gene:gene-LATHSAT_LOCUS3487 transcript:rna-LATHSAT_LOCUS3487 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDSPLLGPHHVVPNGFSKKFLLCFLVLFVAVTIIGLAVRGDVNLKILKGVEKNNEGQVIESQIGVVAADDARCSAVGVSMLRLGGHAVDAAVAAALCVGVVFQASSGIGGGSFMVVKSSSSSNAQAFDMRETAPIAASQNMFQSNPEAKFLGALSVGVPGELAGLHAAWLKHGRLPWKTLFQPTIELAKNGFVVSPTLGDYLASSENKIMSDPGLRNIYAPNGILLKGGEICRNVELGQTLEIVAEEGIQTFYNGTIGEKLVKDVRQVGGILTMEDLRNYKVEITDAMVVNAMGYTLHGMPPPSSGTLGLSLVLNIMDSYGSIDAAKGNLGIHRVIEALKHMFAIQMNLGDPNFENVSETVSEMLSPSFAQTIQRRILDNTTFPPEYYMERWSQLRDHGTSHLCVVDADRNAVSLTTSVNRYFGAGIRSTSTGIVINDEMDDFSIPTDITPDKLPPAPTNFIEPNKRPLSSMTPIIITKDDQLVGVIGGSGGMSIIPAVTQVFLNHFILGMNPLDAVLRPRVYTKLLPVTVSYEKMTAYDGDHIELSEESRLFLKERGHELEACEIKSIIQLIVHAPKTPINIHRKLGEGTNSHGTLTAVSDPRKGGRPAAV >CAK8564488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678078032:678080857:1 gene:gene-LATHSAT_LOCUS17789 transcript:rna-LATHSAT_LOCUS17789 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSRDVCIVGVARTPIGGFLGSLSSLSATHLASLAIKAALNRANVDPSLVQEVFFGNVLSANLGQAPARQAALAAGIPTSVVCTTINKVCSSGMKAAMLAAQTIQLGSNDVVVVGGMESMSNAPKYIVEARKGSRLGHDTIIDGMLKDGLWDVYNDFGMGVCAELCADQHSITRDEQDAYAIQSFERGISAQKSGHFAWEIIPVEISNGRGKPSTLVDKDEGLGKFDAAKLRKLGPNFKKVGGTVTAGNASSISDGAAALVLVSEEKARELGLHVIAKIKGFADAAQAPELFTTAPSLAIPKALSNAGLKASQIDYYEINEAFSVVALANQKLLSLDPKKVNAHGGAVSLGHPLGCSGARILVTLLGVLRHKGGKYGVGAICNGGGGASALVVELMQGGTWRRSSL >CAK8534115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696320203:696320991:-1 gene:gene-LATHSAT_LOCUS3684 transcript:rna-LATHSAT_LOCUS3684-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFKIDGQPTMSILQFANDTLLIGDGSTSNIWAFKDILRAFELTSGLKTNYSKSCLYEILEPDFLVDAEDFLHYKSRKLSFSFLGITVSGNHCHYPFWKPILICLRNKLSNWNGRNLSIGGRFLWARNSSKTFIPWVSWNSVCKSKEDGGLGIKHVDRFNRALLAKWLWRFQTGGNEIWRKTLTNRYDNLSIKMQTYLDVDNSKSDSPWMKDIMTNASLNSHANF >CAK8534116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696320203:696320991:-1 gene:gene-LATHSAT_LOCUS3684 transcript:rna-LATHSAT_LOCUS3684 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFKIDGQPTMSILQFANDTLLIGDGSTSNIWAFKDILRAFELTSGLKTNYSKSCLYEILEPDFLVDAEDFLHYKSRKLSFSFLGITEDGGLGIKHVDRFNRALLAKWLWRFQTGGNEIWRKTLTNRYDNLSIKMQTYLDVDNSKSDSPWMKDIMTNASLNSHANF >CAK8534619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749111821:749112117:-1 gene:gene-LATHSAT_LOCUS4150 transcript:rna-LATHSAT_LOCUS4150 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSSDNNYQYPQPFMFQPPPTNITSQYTHSMGTNDVVESPNIESESTIGSTTDYRLSSASVLHSRGSRKYYFCKRRRTFNPKKTVSQVLRRRR >CAK8565753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:322160276:322162213:1 gene:gene-LATHSAT_LOCUS18940 transcript:rna-LATHSAT_LOCUS18940 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSSSMSQTTTLITLLFFFLFPTTLSQNTTFTFPSFTLRNITLLGDSFLHDGVVGLTRSTTVPASTSGTLLYNNPISHIPNSSFSFSTNFTFSITNSNPNPPSSSPNHFSFFLNSTTPFVSLDFHTNNNQISLYFNTSVQETIDFNLTNGNLITSWIDYNCFNTNFTVFLSYANSTFSKPEKPLLNVEFDLSEYFKDTEFLYVGFLGSALGSTELQQIMNWSFQSSQFLDKNSSFHHVGYENEDIPASNSTKKGHGKRFVIGLCVAIVGPSFVFLLLFVLGFFSYRKWTSLRKVNKSKNSMGCPTEFGYKELKFATKGFHGSRIIGNGSFGTVYKALFVSSGTVAAVKRSRHSHEGKTEFLSELSIIAGLRHKNLVQLLGWCVEKNELLLVYEFMVNGSLDKLLYREGSECGVLLNWVQRFNIVVGLASVLAYLHQECEQRVIHRDIKTANILLDGNLNPRLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSFGVVVLEVACGRRPIDTERQEMVNLVDFVWGLYAKGKIIEAVDKRLNGEFDEVEMRKMLLLGLSCANPNSDERPSMRRVLQILNNEGVPLVVPKVKPCLSFSSGLLLTLDEIVSDFDERFSSNQNSCKIQIETSS >CAK8565754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:322160300:322162213:1 gene:gene-LATHSAT_LOCUS18940 transcript:rna-LATHSAT_LOCUS18940-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTTLITLLFFFLFPTTLSQNTTFTFPSFTLRNITLLGDSFLHDGVVGLTRSTTVPASTSGTLLYNNPISHIPNSSFSFSTNFTFSITNSNPNPPSSSPNHFSFFLNSTTPFVSLDFHTNNNQISLYFNTSVQETIDFNLTNGNLITSWIDYNCFNTNFTVFLSYANSTFSKPEKPLLNVEFDLSEYFKDTEFLYVGFLGSALGSTELQQIMNWSFQSSQFLDKNSSFHHVGYENEDIPASNSTKKGHGKRFVIGLCVAIVGPSFVFLLLFVLGFFSYRKWTSLRKVNKSKNSMGCPTEFGYKELKFATKGFHGSRIIGNGSFGTVYKALFVSSGTVAAVKRSRHSHEGKTEFLSELSIIAGLRHKNLVQLLGWCVEKNELLLVYEFMVNGSLDKLLYREGSECGVLLNWVQRFNIVVGLASVLAYLHQECEQRVIHRDIKTANILLDGNLNPRLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSFGVVVLEVACGRRPIDTERQEMVNLVDFVWGLYAKGKIIEAVDKRLNGEFDEVEMRKMLLLGLSCANPNSDERPSMRRVLQILNNEGVPLVVPKVKPCLSFSSGLLLTLDEIVSDFDERFSSNQNSCKIQIETSS >CAK8540344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553850040:553853955:-1 gene:gene-LATHSAT_LOCUS9356 transcript:rna-LATHSAT_LOCUS9356-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQKEPQQTPKNDTVSAPSSVFDVIFGNAPEQITGAAASLFSDDNPFKRKPPQPTLIADVVDYTPNPENGVVDEKKRKRNKEKSPVLDSKSAIEVSEKKTKKRSGLNEKEGEEKELVLDVEATGKKKKRKRDEVEKEWEEKKYGIVEGGDEDEREGFKDEKVGSKRKVRDNPADMMVSKEGFDDEDKLLRTIFVGNLPLKVKKKTLLKEFKKFGEVESVRIRSVPLQDTKKPRKGAILAKKINESAESVNAYIVFKTEESAQASLSHNMTMVEGNHIRVDRACPPRKKLKGDSSQLYDSKRTVFVGNLPFDVKDEELYQLFGGIPNLESSVEAIRVVRDPHLNVGKGIAYVLFKTREAANSVVNKRSLNLRDRALRLSRANANASTTPSKRPYSPAARPSHGKPDATPSKRPYTPAAQAHSTPAKKFSAASKSPSSNKNGSNRKATASYQGLRATKSDVHKKSHGGEKPKERTKKRPTVAARKAKAKVQQEGGTPKQAGSKGTPKQAGSKGTPKQAGSKGTPKQAGSKRKFDSRTPDSSGRVMKAQKKR >CAK8540343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553850040:553853955:-1 gene:gene-LATHSAT_LOCUS9356 transcript:rna-LATHSAT_LOCUS9356 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQKEPQQTPKNDTVSAPSSVFDVIFGNAPEQITGAAASLFSDDNPFKRKPPQPTLIADVVDYTPNPENGVVDEKKRKRNKEKSPVLDSKSAIEVSEKKTKKRSGLNEKEGEEKELVLDVEATGKKKKRKRDEVEKEWEEKKYGIVEGGDEDEREGFKDEKVGSKRKVRDNPADMMVSKEGFDDEDKLLRTIFVGNLPLKVKKKTLLKEFKKFGEVESVRIRSVPLQDTKKPRKGAILAKKINESAESVNAYIVFKTEESAQASLSHNMTMVEGNHIRVDRACPPRKKLKGDSSQLYDSKRTVFVGNLPFDVKDEELYQLFGGIPNLESSVEAIRVVRDPHLNVGKGIAYVLFKTREAANSVVNKRSLNLRDRALRLSRANANANATPSKRPYTPAAQAHSTPAKKFSAASKSPSSNKNGSNRKATASYQGLRATKSDVHKKSHGGEKPKERTKKRPTVAARKAKAKVQQEGGTPKQAGSKRKFDSRTPDSSGRVMKAQKKR >CAK8575638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:226730466:226740567:-1 gene:gene-LATHSAT_LOCUS27882 transcript:rna-LATHSAT_LOCUS27882 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLVSNNKSNSNNGSGNSDYEKIISWFEDVSNNTGSVQTEILSHILKENYGVQYLKKWLGVYNILEMEACAMESLFTSLVPIASHADFEPFIQRISDGDTAPLLTQQPITTLSLSSGTTDGRQKFVPFTRHSAQTTLQIFTLSAAYRSRVYPIREGGRVLEFIYGSNCFKTKGNLTVGTATTHCYASEEFKTKNHKAKSFSCSPEQVIFNGDYKQSTYCHLLLGLFYSDQVEFITSAFVYTLVQAFTTFEEHWRELCNDIKNGTLSSRIKLPKLRDAILEIINSKNGSNANLASKLEGYCEELEKVDWFGLVPKIWPNAKYMYSIMTGSMIPYLKKLRHYGNGLPMISADYGSTESWIGVNVDPSLDPEQVTFAVVPTFSYFEFIPLHRNKQDSSDDFIEDKAIPLSKVIVGQHYEIVLTTFTGLYRCRLGDVVEVAGFHNGTPKLNFVCRRKLILTVNIDKNSEKDLQLVVERGSQILNKSKAEIVDFTSNADVKNQPGHYVIYWEIKGEVEDNVLDDCCREMDLSFADHGYVVSRKTNSIGPLELCILERGTFKKILDSFIANGVALNQFKTPRCTNNHVLLKILDTCTIKRFHSTAYSSIN >CAK8543723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:634628215:634642928:-1 gene:gene-LATHSAT_LOCUS12464 transcript:rna-LATHSAT_LOCUS12464 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANDSFSPPSLTTLCIDHIKRKLVLGDDAVVAILPDIYELPSHLIEDLVSRLPPVGLYNFQLHMPFQDLTKEDYLHDDSTNKRKRSRDWNLNTAWRKKFELRWPNLINQIQPTDWQKVYWETHVQKCLDQATEKALVTSFKGRIGDIQVSDSTLSYIGFVGLTIQSYCKYSKLSYHCLQFGSHVSCLRLQNILCDTETTRLLKECKLQCLVLRCIRSKEQVDGLCKLITQHSRTLTSLEFIHCTVYIEFINKILDSVVSKGVEKHGIRHLSIVSSSFGQCTVALPSGLGSFVSSARSLCSLKLFDSHLGRNFAKALFTTLLNVSSSISVLDLSENEIAGCFSHLNRRLSSGSHLSVGIGKSLQLLRVLNLRGNSLRKDDAESLGYALAYMPNLEDLDISDNPIEDEGIRHLIPYFAGTSGTCCRVTSLKLEACDLSHDGVNRLLDSFSPLNAPLKSLCIAENYLGSKVAGALGRFLSTSIEVLDVAGIDLRSAGFQELQSTLIITEKLKLVKINISKNRGGIETANFLSKLLLQAPQLVDVNAANNSMPIESLPIISSALKLAKGKVQQLDLTGHKWDYTPEHASLLTEFVHNGKPVLILPLLLATAVPYDHDP >CAK8578745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651048054:651051540:1 gene:gene-LATHSAT_LOCUS30731 transcript:rna-LATHSAT_LOCUS30731 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTPCLLSFWVLFGFWFQSVSSQNFSVSDIQQAALPPRGWNSYDAFSWIISEQEFLQNAEIVSQHLRAYGYEYVVVDYLWYRKFVKGANSNSLGFDVIDQWGRLVPDPGRWPSSRDGNGFSQIANKVHSLGLKFGIHIMRGISTQAVNANTPILDPNTGRAYEESGRVWHAKDIAIPERACAWMSNGFLSVNTKLGAGRAFLKSLHQQYAAWGVDLVKHDCVFGTDLDINEITYVSEVLSQLNRPITYSLSPGPGATPAMAKDISGLVNMYRVTGDDWDKWLDVKPHFDVARDFSNAELIGANGLKGNSWPDLDMLPFGWITDPGVSEGPHRYCNMTQNEQKSQMTLWAIAKSPLMYGGDLRKIDPFTFRMITNPTLLEINSFSSDNKEYPYARGFVQSKNKHHKVKKITHSLGLTSCTDSKASGWTAENLEHGLQRICWKGGLENENQKPFCVNKRELQKLDGNNTYQEKYRGKNHLVATNEMRLCMDGSSKQKVTASEFKIGTFSPCTLDSHQMWELNNGTLVNSYSGLCATVNSNEVNANSGGIRSWVATGRKGELYLAFFNLSEQEMTIETTTSDLDRFFPEGGIHTCQGTEVWTGQKIVPRKKRGMIAADVGVHGCAIFAFTC >CAK8570247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31580666:31598632:-1 gene:gene-LATHSAT_LOCUS23015 transcript:rna-LATHSAT_LOCUS23015 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWKSFPYYAETMSLVVVLLLHHHLPTCDAITNNQTHCKPSSCGKISYIKYPFRLTDDPTTCGDPSYELSCENNRTALTLFSGKYYVQEINYITYTIRLVDPGIEESDCSSIPQNFLSNGNFTTRSSEEWGPYEMHYPIKYENIIYLNCSKAVKDDAGYVDTAPCINRDSKTYLYVFAATDNWDVENDPSNTFSVGRLKDYCRVKVVALSSAGFPNNMVREDVPKRPLSSYKQIHGMLLHGFEVSWKPSPCKDTCGYQVTCNFDPFTGVFDCQNPSNGCHYPFGTDVNCTNISKQLVLVEDILLGIAKGVLQIFGITKNSSSNPENIDSKISIELGRVTGRYILPFLAPRFVLGVIIFSILLVYTYRKSHISIYENIEVFLRRSTLMPIRYSYKEIKNMTRNFKDKLGEGGFGAVYKGKLRSGPFAAIKMLGKSKGNGQDFINEVATIGRIHHTNVVRLIGFCVERSKRALVYEFMPNGSLDKYISSTENVITLTYKQMFDISLGVARGIAYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNDISIVTLTAARGTIGYMAPELFYQNIGGVSYKADVYSFGMLLMEMASKRRNLNPHAEHSSQLFFPFWVYNQLVEEKDIEMDEITNEEMINVKKMFITALWCIQLKPSDRPPMDKVIEMLEGNIDNIEIPPKPSLYPNEMIQKDLEVTSDEFESNAVTD >CAK8570248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31580666:31581604:-1 gene:gene-LATHSAT_LOCUS23015 transcript:rna-LATHSAT_LOCUS23015-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNFKDKLGEGGFGAVYKGKLRSGPFAAIKMLGKSKGNGQDFINEVATIGRIHHTNVVRLIGFCVERSKRALVYEFMPNGSLDKYISSTENVITLTYKQMFDISLGVARGIAYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNDISIVTLTAARGTIGYMAPELFYQNIGGVSYKADVYSFGMLLMEMASKRRNLNPHAEHSSQLFFPFWVYNQLVEEKDIEMDEITNEEMINVKKMFITALWCIQLKPSDRPPMDKVIEMLEGNIDNIEIPPKPSLYPNEMIQKDLEVTSDEFESNAVTD >CAK8577505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569542810:569543028:-1 gene:gene-LATHSAT_LOCUS29607 transcript:rna-LATHSAT_LOCUS29607 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEHVIEDDYMTDELDSGTDDDSCDDRPSVIRFNEEDSISKDFTFKVGMEFSSLKQFQNAILEYNILNGRM >CAK8537432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353821231:353823341:1 gene:gene-LATHSAT_LOCUS6724 transcript:rna-LATHSAT_LOCUS6724 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSATELVAAKRMDIYESFHQVNLWEDSFKVDNSTLNSISSPMLMKNSSSIENKSECVLHESREPSGDDQETIEEPIPKVLRRQAQNREAARKCRLRKKAYVQQLETSRLKLMQLELEIEKTREHGLNRSSSFDVGYIRSSRTINSGMSLFEIEYRRWIEEQDRQNEELRNALQNNASDVQLHLLVESSLNQYSNLFRMKAEGAKVDVFYLVSGTWKSSVECLFLWIGGSRPSQILNIVVPKLDALTDQQTGSINNLRLSSQQAEDALSMGLEKLQQSMINNIQADPLDFGNYSLQMAYAMDKGEALEGFVNQADHLREQTLLYMSRILTIGQAAQGLLAMGDYFHRLRTLSSLWTARSCHHFYPTQHSN >CAK8572706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557387919:557391430:1 gene:gene-LATHSAT_LOCUS25239 transcript:rna-LATHSAT_LOCUS25239 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPLSLYLSNYCNKDMVLKRGSEGFHCVYPVKLDLLLLNVSQNPDWNLFLDELAAQLGMRATQIELINFYVHSLSTLNISMYITPQKDISFSAYEASRINYSLIFHKVRLDSRFVGDYRVLNLTWFKPPPPSKAPTFAASTVKTPGRQELTTTSSSTSDRGRRSNLVVVLGIVTGILIISIICVLILCLCTLGSKTKTPPTETEKPRIEHIISSVGSLPYPTSTRFISHEDLREATNNFEPASILGEGGFGRVFKGVLNDGTAVAIKRLTNGGQQGGKEFLVEVEMLSRLHHRNLVKLVGYYSNPDSSQNLLCYELVPNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKLAPEGGANYMSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKKPVEMSQPTGQENLVTWARPILRDKERLEELADPKLGGRYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQTLKMVQHITENHDHALPSSNTRPNLRQSSTAYDSDGTSSMFSSGAHSGLSAFDYENISRTAVFSEDLQEGR >CAK8572707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557388326:557391430:1 gene:gene-LATHSAT_LOCUS25239 transcript:rna-LATHSAT_LOCUS25239-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITPQKDISFSAYEASRINYSLIFHKVRLDSRFVGDYRVLNLTWFKPPPPSKAPTFAASTVKTPGRQELTTTSSSTSDRGRRSNLVVVLGIVTGILIISIICVLILCLCTLGSKTKTPPTETEKPRIEHIISSVGSLPYPTSTRFISHEDLREATNNFEPASILGEGGFGRVFKGVLNDGTAVAIKRLTNGGQQGGKEFLVEVEMLSRLHHRNLVKLVGYYSNPDSSQNLLCYELVPNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKLAPEGGANYMSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKKPVEMSQPTGQENLVTWARPILRDKERLEELADPKLGGRYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQTLKMVQHITENHDHALPSSNTRPNLRQSSTAYDSDGTSSMFSSGAHSGLSAFDYENISRTAVFSEDLQEGR >CAK8533976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:677989265:677990197:1 gene:gene-LATHSAT_LOCUS3557 transcript:rna-LATHSAT_LOCUS3557 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLNGASLISNDTDYPCRVQLGRYAFIDVTEVDYKEWYNDEKLSNERKMIYALGASSICELLFERNYPIKNNKKAILSVHDADKGAGSSCEIRITIRKTDTGNLEPPAISLHVIKDIRGEMSIFHWDPASNRIIDVWGGVTELNTFLYGKGRRASLVVIESKKKSITDPNPYMVTVNHYLAVDDEDFFNPKMDIGLSVVVKIQVSNDFGLKYTVEGPERHPSSSLFYMFDQVRKHSGAWKPSWCPHCANLQKQRKSFMTSESEDNDSFPPRHGNGYKQKSESMVANESRVKGNYNGSLFTKYFHFYKR >CAK8537556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:395897089:395897940:1 gene:gene-LATHSAT_LOCUS6845 transcript:rna-LATHSAT_LOCUS6845 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTENRKWMNNRVDYHKNITAEFNIEVHEFIMFALAQDKNNIGGGNIRCPCKNCKCLKFGNLEEVKEHLCRRGFMSHYYHWTNHGEAIPPIPLVVASHSYYGSRCQREMFDNYEQLVMDAAGPEIGNYVEQEGQEDEDVMEEYPNEEAQKLFEMLKASRSPLWDGCDRYPVLLASLTTLSLKADYGLSEGYFNGWMQFMGNALPENNCMPKVFYQARKSIAELGLASLNIECCVKGCMLYYKEDDTLQKCKICGEERYKHIRRRGHDKLVPRKHMWYFPLVP >CAK8577965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599351173:599351520:1 gene:gene-LATHSAT_LOCUS30021 transcript:rna-LATHSAT_LOCUS30021 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVIKSLMKGSLPPFSSFPSIDVSFSIPPVSSPAPAPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8571700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462408426:462409946:-1 gene:gene-LATHSAT_LOCUS24338 transcript:rna-LATHSAT_LOCUS24338 gene_biotype:protein_coding transcript_biotype:protein_coding METLFRLVDSRQDSISPRCVWVNGPIIVGAGPSGLATAACLNQQGVPFMILERSNCIASLWQNRTYDRLKLHLPKQFCQLPNFPFPQDFPEYPSKKQFINYLESYAKHFEINPQFNECVLSAKYDENTGLWRVKTKTETENETVSNQNEVEYICRWLVVATGENAECVVPDIEGLSDFNGQVIHACDYKSGEGFRGKKVLVIGCGNSGMELALDLCNHNASPSVVLRSSVHVLPREMLGRSTFELAVMMMKWLPVWLVDKILLMLAWLVFGNIEKYGIKRPLEGPLELKNRKGKTPVLDIGTLEKIRSGDIKVVPGIKRFFDGFVELVNGEKNEVDAVVLATGYRSNVPFWLKEGEFFSKNGFPKSPFPNGWKGNVGLYAVGFTKRGLSGLSYDAMKIAQDIGQVWKQETKQKKQRNMLVIGVAFHNSNYKCC >CAK8530663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38964665:38965042:1 gene:gene-LATHSAT_LOCUS511 transcript:rna-LATHSAT_LOCUS511 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQLFVLLFVVLLVSADACTLGIVGGWSPIKDIHDPQIIGMANFAVTEYDKRTGLKLKLKNIVKGEVQIISGTNYHLTLSAGDSSGYNVYETVVYESLQHSKNLTSFVPSNCFVPSNIDSMLYN >CAK8569013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662861897:662864881:1 gene:gene-LATHSAT_LOCUS21911 transcript:rna-LATHSAT_LOCUS21911 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESFCQKRSDHPFILGLQPSALVDTVARVDCSLLDRIPGERGGSIPVAIEELEYILKEVETSSRDEANDDSRSCVMKTMAGGSVANTIRGLSSGFGISSGIIGACGDDEQGQLFVNNMSSHGVDLSRLRKKNGHTAQCVCLVDELANRTMRPCLSNAVKVQAQELTKEDFKGSKWLVLRYAILNLEAIQAAIALAKQEGLLVSLDLASFEMVRNFKLPLLKLLESGNIDLCFANEDEATELLRGEQNADPIAAVEFLAKYCQWAVVTLGSDGCIARHGKEMIRVPAIGESKATDATGAGDLFASGFLYGVVKGLSLEECCKVGTCSGGSVIRSLGGEVTLENWQWMYKQMQVKGLPTPEGL >CAK8537687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421083669:421086984:1 gene:gene-LATHSAT_LOCUS6953 transcript:rna-LATHSAT_LOCUS6953-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSEATSRPIFPSMNVNEDLENKVDDSVENISSGSIVRKKSDIVLVTNNGRFQIVRNVMRNVKEVMLGTKLVVLFPAVPLAVAADFYSLGRPWIFALSLLGLAPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATEMIIAILALHQNKIHVVKFSLLGSILSNLLLVLGSSLLCGGLANLKREQRYDRKQADVNSLLLLLGFLCHLLPLMFKYALAGGNHSIANCTLQLSRASSIVMLIAYVAYIFFQLKTHRKIFDAQEVEDEEDEEKAVIGFWSAFSWLVGMTLVISVLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSVIFAFKNKLDISLGVAMGSATQISMFVVPFSVIVAWIMGIRMDLDFNLLETGCLGFAIIVTAFTLQDGTSHYMKGVILTFCYVIISACFFVLKAPQINQYGIIDFGANHPVKPSPIE >CAK8537688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421083669:421086984:1 gene:gene-LATHSAT_LOCUS6953 transcript:rna-LATHSAT_LOCUS6953 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSEATSRPIFPSMNVNEDLENKVDDSVENISSGSIVRKKSDIVLVTNNGRFQIVRNVMRNVKEVMLGTKLVVLFPAVPLAVAADFYSLGRPWIFALSLLGLAPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATEMIIAILALHQNKIHVVKFSLLGSILSNLLLVLGSSLLCGGLANLKREQRYDRKQADVNSLLLLLGFLCHLLPLMFKYALAGGNHSIANCTLQLSRASSIVMLIAYVAYIFFQLKTHRKIFDAQEVINTNYEVEDEEDEEKAVIGFWSAFSWLVGMTLVISVLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSVIFAFKNKLDISLGVAMGSATQISMFVVPFSVIVAWIMGIRMDLDFNLLETGCLGFAIIVTAFTLQDGTSHYMKGVILTFCYVIISACFFVLKAPQINQYGIIDFGANHPVKPSPIE >CAK8535438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848376587:848377140:-1 gene:gene-LATHSAT_LOCUS4902 transcript:rna-LATHSAT_LOCUS4902 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRITENKKKLEALNLPKLSQSLQKTSSSSSKPSPAVKGRPRFVQPGELEVNKKRLRSTTTRKSSIIPPPIQTKITPLPIETTITHLPIQTAKDVVVVDEDENVVVGDDTKDDVVGYEAEDVVVGDEAEDVVKVAKFVYWDVNVISK >CAK8578952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663566123:663567043:-1 gene:gene-LATHSAT_LOCUS30930 transcript:rna-LATHSAT_LOCUS30930 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDEDAKPKFLFQSGAPAPTVSQPPEKPTKPFIAVTVALSSIFFALAIFVFPSEPYRSILFWFALSLLLGPFAPSSITGGDFRVGRGHVVNFPDQEASTDDDDVRKRSQQRRSKLRRSEDVASVVLPAPVVSDGGALKNRNGNGNGNGVALTAVVEEKEWTEDDIEILKKQIVKHPVGKPGRWEVIAEAFGGRHKAESVVKKVKELGEKKVDDSDSYDQFLKKRKALDKRLVEEGGELATVEKVESVWSSNEDIALLNALKAFPKDVAMRWEKVAAAVPGKSKAACMKRMAELKKGFRNAKSGT >CAK8570666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83958564:83958815:-1 gene:gene-LATHSAT_LOCUS23402 transcript:rna-LATHSAT_LOCUS23402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTETRQGIPLITGRFDSLEQLDEFSRSF >CAK8563188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581394780:581397565:-1 gene:gene-LATHSAT_LOCUS16622 transcript:rna-LATHSAT_LOCUS16622 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTNQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDLDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGSGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8579248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687485212:687496211:-1 gene:gene-LATHSAT_LOCUS31209 transcript:rna-LATHSAT_LOCUS31209 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSGTPFPSAHKLTAVAAFTQPPSPAFYTAGSDGSIIWWSLSTSTSTSAPEVKSVGVLCGHASPVTDLALCSPISAEENDVVSGRANFTALISACCDGFLCVWSKSSGHCRCRRKLPPWVGTPLVIRTLPSTPRYVCIACSFVDCYSVNDELGDRESHHRKNYKSTILIVDTYLLSITQTVFHGYLSIGRIKFMSLVLSDDDEKRNLVFVADSYGKKQMVTVLDDSRDSVESLGTPRSDKSLMETSFPAEGFCDVDQVISVLTFENIVAFILKDRCIFRSLSSDTVIGEVSFVDNLFFLDAHSTQAHAIGGVVLESDDVGSTPDAYEYSGLIPVHFVVWNNRGYAVIYEMAYQNDVFQCKPYSEIPAGHYQPEIRLSIFFLQVNQNLVCTKSICFRHEEPLLWRPHITIWSLHQFDDKPGKLCRQCKMISDGVSSTNWFETSTQPHGYGDLDIKSTFGGSSPGSEDIGNIHEDSLSNYYPYKGKIVSSSMIIAENLYTPYAVVYGFFSGEIEVVRFDQFQGIFLDDASSNPVEKPTSCKQNFIGHTGAVLCLAAHHMTCSSKCCTFNRVLISGSMDCTIRIWDLDTGSLIKVMHHHVAAVRQIILPPSLTGHPWSDCFLSVGEDACVALVSLDTLQVERMLPGHMNYPSKVLWDGARGYIACLCQTHYGTSDGDVLYIWDVKTGSRERLIRGTAAHSMFDHFCKSICMNSVSGTVLNGNTSVSSLLLPIVDDAKLSNSPLSHTGNLLTSSKSSPSISSTAGLNSSKPKAGKGNSAKPNSSSLFGLLSNNLPIKCSCPFPGIVSMSFDLASLMFSFQKSDTMENGDGKPVNINLKHKGVQEQNPAFHNPETSEGHDWVGFEEYLLRYSLSFLHLWNVNSQLDNLLISDMKLTRPGNFIVASGLQGDKGSLTLSFPGQSATLELWKSSSEFSAMRSLTMVSLAQRLISLSHSSSAASSALAAFYTRNFMENFRDIKPPSLQLLVAFWQDESEHVRMAARSIFHCAASHAIPLPLCNSKPDESNNTISQSGGRDEHLGDVVVGSISPKAKNQEISQDEESKILTWLESYEVLDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPKLSLLVVHPLLKLAMAMNEKYSSTAAELLAEGMESTWKECMVSEIPRLIGDIFFQVELSGLSSKSVTEIPATSFSIKQTLVEVLLPSLAMADIPGFLTVIESQIWSTASDSPVHMVSLLTLIRIMRGSPRYLAQYLDKVVNFILQTIDPSNSVMRKACFQSSMTTFKEVVRVYPMVAVNESWTRLAVGEVIGEVNNASIRVYDMQSVTMVKVLDASGPPGLPSLLTATASGTLLTTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSFWWEKLSRNFVPVQCTKLIFVPPWEGFSPNSSRSSIMASILDTEEQLNLQDNTKDSNHGDSLKQLLHNLDLSYRLEWVAERKVLLTRHGNELGTFQL >CAK8565556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:276541325:276541837:1 gene:gene-LATHSAT_LOCUS18757 transcript:rna-LATHSAT_LOCUS18757 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCKKFQTFCMPCSHVIAACSTACQDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYWSTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8578325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620427829:620428972:1 gene:gene-LATHSAT_LOCUS30346 transcript:rna-LATHSAT_LOCUS30346 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEHGSGILTVLTLLALQLQVLLVGGDYIPPAKSDGFVYKNRHFNFDAIQIEAFYDPLCPYSAESWPPLQTALDHYAARVSLVVHLLPLPYHDNAYVASRALHIVNGLDRSATFPVLKSFFKHQEKFYGAPTRNLSRATVVDEIVKFVTSVIGNASHTSIKNGFNDTNTDLLTRVSFKYAASRGVSGTPSFYVNGFALPQAENTLNFSDWRNVIDPLIGVKKSM >CAK8544637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696723023:696726749:-1 gene:gene-LATHSAT_LOCUS13295 transcript:rna-LATHSAT_LOCUS13295 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLSDNSLKTFARCITCLARIGNELSIQASSSQLLFHTINSSRSAYQSINFKPSFFDVYTVSSVPVQCSVLLKAVCAVLRTPIANIDHLTVKLPEPDAPKVEWILDCYSGMRKTYWITCNVEPDIQHLSLDRQKFPSNFVVRPRDLNRLLANFQSSLQEITIIATEPASVPPDSANEIGGKAVELRSYIDPTKDNDSLLHTQLWIDPKEEFLQYVHTGDPVDVTFSVKELKAFLSFCEGCEIDIHLHFEKTGEPILMAPKFGLEDGSHSNFDATLVLATMLTSQLHETAASEPPVVPNNTHARTEERNESPLQQENCRSNASGLPSDHTRIWSDLSATAVKNTSAMEERQAQEGTTLNDNEQRDIQKISTVRISRGKLAARNNPNDSNFCQPTENDHVQEPQDMLPNDGQAVTQHHPSNWVDAEEDDEDDADEDEQYIQATPPYYDEQ >CAK8574622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4243098:4244732:-1 gene:gene-LATHSAT_LOCUS26950 transcript:rna-LATHSAT_LOCUS26950 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLCLFAPFNFNWPAFWVAVALYVVTGLFGITLSFHRNLSHKSFKLPKWLEYFCAYCGVLALQGNPIDWVSTHRYHHQFCDSDKDPHSPIEGFWFSHMSWLFDTKSIVERCGDPTNVGDLEKQSFYRFIRSTYIVHPFALGAFLYAIGGFPFLVWGMGVRSVWVYHITWFVNSACHVWGSQSWNTRDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWEIDMTWYLVKFLQAIGLATEVKVPSESQKQRMALNNNDVVAT >CAK8568897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652240485:652241108:-1 gene:gene-LATHSAT_LOCUS21806 transcript:rna-LATHSAT_LOCUS21806 gene_biotype:protein_coding transcript_biotype:protein_coding MKELKVFNPKAWEWLIKIPTKSLCIPCRHVVVALGFRNQHPEDYVYDCHSKETYVACYDFNISTINGQDMCLEVNSKEMVASIIQKRHW >CAK8543160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584268339:584269870:-1 gene:gene-LATHSAT_LOCUS11937 transcript:rna-LATHSAT_LOCUS11937-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLKKKANQNDRISDLPSNVIDAILGNLRIRDQVRTSILSKKWRYKWISVPQIYFEEDFFESFIHLDDPVISQIIAEVVMIRNGPIHKFSVCVSGDCEFNISKEKLNMWIPFMSTDLTHLKLLTYCTPPDEHQMPEILFSCKELTYFKFSSFNLSIPPNFCGFKKLLELHLVCVEFETSALESLILGCPFLGKLSIEQCTGCDYLVISSPSLKVLVLTLIYTKSICLEKANNLIDFSLTTYEGRCFIKSLPKIKRFSLTIWEKYEDIIPPMFRTSSFSSLEYLKLDGLNFKESGQLLHIVSILKSAPKLIELDIEEIFDDVNTTQMTDYSKEELECCSSCPKLQTVKINGGSSQHAMSLIKFILANSPSLKSLTFSCIFINLDAPMLLKISQDLLLMERASPRARVSFRY >CAK8543159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584268339:584275849:-1 gene:gene-LATHSAT_LOCUS11937 transcript:rna-LATHSAT_LOCUS11937 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTKKANQNDRISELPSNVIDGILGNLKVRDQVRTSILSTKWRYMWTSAPHLCFDDDFYQRFLHLNDPYPVMYKTISDVLMFHNGPIHNFSVCIYDDFDFEINADNINLWIPFMSRDIKHLELVTHCTFKDQMPDILFSCKELTYFKFSSFNLSIPPNFCGFKKLLELHLVMVTLESGALESLMAGCPFLEKLSIEQCTGCDYLDISPPSLKVLVLRWIGTKSICLEKAKNLIDFTLTTYRGRGFIKSLPKIKRFSLTNWGKYEDIIPPMLRTSSFSSLEYLKLDGLNLKERGQLLHIVSILKSAPKLIELDMEIYDDVNTTQMTDHLKELECCSFCPKLQTVKINGGSSQHAMSLIKFILANSPSLKSLTFSCIFINLDAPMLLKISQDLLLMERASPRARVSFRY >CAK8539365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510210663:510212252:-1 gene:gene-LATHSAT_LOCUS8468 transcript:rna-LATHSAT_LOCUS8468 gene_biotype:protein_coding transcript_biotype:protein_coding MATILEEREKIEMSGSIFHSSYGSDDEDSVKTSSQDSELSPQSSSVSGSMTHSLSNSFIELGIDSDVCDKKSSPVEEVGSVNSKMTSDKVTNSPLRKVKVEIPHSHQPYPSESDCSSRVSSKVSFNPIRKRLNPFTKSKKIPRNMTCQKSLLNDFSNTTKATGSVVSECSPVHLHGNLKLKNKQGFPYFEFKVDSSKDVFVAKTWRVGTSAFNWVYTFHSVDNRNKNNYAGVLKSQHCVKDSSMIAQMLVSYSLCSELEGGVFGNYMVAEFVLYDFTHSIKSASPKKSSCSKQDASKTLNAASLESAAIVLRIPVCKRESLKYSRGDGINGKAYSKPSDICSVVERVKVVLPIGNHGVPSDESEGPSSLLDRWKDGGGCDCGGWDMACPLILLGNPGIQFHEDIHLVEKYQPFELFSQPQGPKESSPTFGMKLVEEGQYAVDFHAKLSPLQAFSISVTVLHGTSAFRATGQVKNQQLSQRNFLKRK >CAK8542220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490452769:490460842:-1 gene:gene-LATHSAT_LOCUS11076 transcript:rna-LATHSAT_LOCUS11076 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYHTLEESKHVLGRNLTSAETLWFNYSAKKSDFVLHCHNTLFLCFFYSIAPIPFALIELSGYKKLNQYKIQPYVKRTFMEMFKCYKYVMKTFIIAVGPVQIFSYPTIKWLGIRTSLPLPSRWELFWQILVYFLIEDYANYWIHRMLHCKWGYENIHKVHHEYKAPIGFAAPYAHWAEIWMLGIPAFLGPVLVPGHIVTYWLWFILRQLEAIDTHSGYEFPWSFTKYIPFYGGPAYHDYHHFVGGTSQGNFASVFTYCDYIYGTQKGYQYKKRMNEKMSQNDKVD >CAK8543931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650289292:650294843:1 gene:gene-LATHSAT_LOCUS12653 transcript:rna-LATHSAT_LOCUS12653 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKMKTPIDRVPKHSQQPRSSPPKRRTDFSFFNRAPPSFSNFAIDSSPGSSSNEMRLSNVTASSSLERRMMVKQFYKEALVRCSKYQEGRPVCSSESFDISVAELDSVDLCGNRDACIKMPESTPRESSVSDNSSPAVTPGNVVWARTTCKMWWPAEVMEERCALSDCVSDGHVLVQFYGNHPSAWIDPATDISIFEDSFEERSNNPSNDFQVALKQALQRKTQLSSCQNLSPDRSAHSTQNDRSAGKYTSPSSSRTINEFQERRRGKRERKPKLHFDEVTYPIKSEKKDRRLKIMRYLGLAPPVGSPF >CAK8566672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447334890:447335300:1 gene:gene-LATHSAT_LOCUS19786 transcript:rna-LATHSAT_LOCUS19786-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRLYPGRRGLKPNFEEGVKGFITWAFSQKCCRSEGGVRCPCLKCGCRPIISDPGEVERHLKRRGFIENYWVWTYNGEELPSSVPDISNTHASSSQSPMEHRENFNLISEMVGDAFGVNVTYDEPEDFDEGRVAE >CAK8566671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447334860:447335300:1 gene:gene-LATHSAT_LOCUS19786 transcript:rna-LATHSAT_LOCUS19786 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDRLYPGRRGLKPNFEEGVKGFITWAFSQKCCRSEGGVRCPCLKCGCRPIISDPGEVERHLKRRGFIENYWVWTYNGEELPSSVPDISNTHASSSQSPMEHRENFNLISEMVGDAFGVNVTYDEPEDFDEGRVAE >CAK8531031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:71498451:71499401:1 gene:gene-LATHSAT_LOCUS848 transcript:rna-LATHSAT_LOCUS848 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFLIIGLWHLFNHIKLHLQNPKTYISSPWFPSSKFKYLELFLIMVATTSSISMELFIGPDRHQPFDPDGTIPSNHLHNFEHASISLTFFVYAVFAIILDKLKNKSKSSLTQLLASIAFFQQFLLFHLHSSDHMGPEGQYHLLLQILIFVSFSTTLFGISMQKSFLISFVRSLSIFFQGLWLMVMGFMLWTPSLISKGCFMNLEEGHKVVRCSDHESLHRAVALVNIMFSWFLILVTIFGVSFYLFLTKFFDENKVQYFTLGNIEEEEFEKVNDDVENQKGSLVGNQKSFIHVGKKTFSPVDIER >CAK8543043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:570738449:570745588:-1 gene:gene-LATHSAT_LOCUS11830 transcript:rna-LATHSAT_LOCUS11830 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKHSSSKKKQSKSQSRLSSSSISEPATPPCNIAEPQNLASLRQEASRIFPFFISESALVVEITDVESTDSLSKGSKIWLSESSMMTSSLAPGSLVSVSIPSSSKEITQLHNFPLTSLTTECVRYYRLETCNRLNHVAGNYFAIATVFPSKKVLKSGVRLSSNLSIVMGCPPLGISVFVHPFQKQYTSCIANGSNGLRSGEKVYNCKELYLQLAPCKSGLPLKVNNFLPLDVSKSKSRAQSENDIVASPKTPSYVSKFSNGTVYSSPVFEDSASSVTNYNDQLVTSFDVSKALGDESSKKLLETCATSWLYSRCLLLGNLVSVPMFSEFCIFQVMGIKKAPAITHDYCSLNGSSNLNIEDLDIVENGNLAFTVNWETKVFLSLPSNEAFEESVLRDLSCLKLDHKVVKPSIHNNISKLGGLSKEETLLKETISFLGDDILTRFGMHNTKGVLLHGPPGTGKTSLAQLCAHDAGVRFFSINGPEIVTSNYGESEKALQDVFDSAIQAAPAVVFIDEIDAIAPPRKDGGDELSNRLVGTLLLLMDGIRRNEGLLVIAATNRLDHIDPALRRPGRFGMEIEIGVPSQTQRNDILRTILCEIDHSLSETQIEELASITHGFVGADLVGLLDWATFICLRRYFELKNKETCSDSSDDMIEQPNPLDSATNSRDHSSIGTSEIIDKTPEEEPILNVTFEDFQKARSEIRPSAMREVTLEVPKVNWEDVGGQKEVKNQVLEAVLWPQKHHDAFTRIGTDPPTAILLFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVKSIFEKARANAPSIVFFDEIDSLAITRGKDGESVSVSDRVMAQLLVQLDGVRKRGDVTVIAATNRPDKIDPALLRQGRFDRLLYVGPPNEIDREEIFSIHLRKTPHDSDVNMKELAQLTDGFTGADISLICREAALAALEESFDASVVKMKHFKIAIEQVQPTEVQSYQKLSEKFQRAVFSPVI >CAK8564340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668806433:668808608:-1 gene:gene-LATHSAT_LOCUS17655 transcript:rna-LATHSAT_LOCUS17655 gene_biotype:protein_coding transcript_biotype:protein_coding MVMENPLVDELFPIGMHVLAVDDNRVCLNMLESMLLKCNYKVTTTAESGKALEILRENRDMFDLVICDVNMPEMDGFKLLKQVELEMDLPFVMLSGNGDTESVMKGVMNGASDYILKPIRMEEVKTIWQHVVRKKIDGKVQIKKKVSGANNVAKKVSEEEKIDNVARVCSRDTASDDNVADKSPNVGEKRKERSDSEEDNDTDGSTEKKPRFVWDTELHNKFVVAVNQLGLDKAYPKKILELMNIEGLTRENVASHLQKFRLNLKRSDKHPISTSPYLQSGSVGGGRFINGTLPSYASPSYGSSHLVCRLNTPSGLNVRRMSYAPGPSFQSHNNINCFQMPVASASERPCFLPNFRTSIGTNQFQQNNYLTGYPSSSSFQGCKVAVNNANSSSFISCIPRNHPLTYNANSSSVSCIPSNHPLINANRSSLSCITGNQPVTHNSEAFRNHSSVGIADVKTQSLNNTLDSVGGAELKTESQSTNNPLDTLTSLAKSDSQLITQMPETEKFYAETLDSNDDCFFNQMLYLKEGFYDNSRLSLDDIY >CAK8574204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669487855:669493278:1 gene:gene-LATHSAT_LOCUS26568 transcript:rna-LATHSAT_LOCUS26568 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKDANDVDDGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDAGN >CAK8575941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369040942:369041767:-1 gene:gene-LATHSAT_LOCUS28166 transcript:rna-LATHSAT_LOCUS28166 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEVACVDHSEFEKQNQLCCMARRMKKKFNKYWNSYSVVLSFGVILDPRYKLQFVEWCYVRLLGGEGVQVAKVIFDKLKAFFQEYLKSSNEESTSSSQRSIRGSPNIPSNDLQDFGSYESKLCGPSKDESDLETYLNEKKIDHEQYADLDVLQYWKANEGKYPKLSILARDLLSIPITTVASESAFSISGRILDKYRNALLPENVEALLCTHDWLCGTPAAFDFDGPDFVEDLSNFFSTT >CAK8574351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676845702:676847644:-1 gene:gene-LATHSAT_LOCUS26706 transcript:rna-LATHSAT_LOCUS26706 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSVTALQIEDYQFPPTVKPPGSDNSFFLAGAGERGLQIQDKFVKFTAIAVYLQGIAVPFLAEKWKGKDVHELMETVPFFRDIVTGPFEKFMQVTMILPLTGQQYSEKVSENCVAIWKSLGIYTEEEGKAIEKFVSIFKDETFPPGSSILFTVSPKGSGSLSISFSKDGSIPEVESALIENKLLSQAVLESMIGEHGVSPAAKQSLASRLSELFKEAGNANN >CAK8564110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652364462:652372406:1 gene:gene-LATHSAT_LOCUS17447 transcript:rna-LATHSAT_LOCUS17447 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSMRDLDPALKGAGQKDGLEIWRIENFNPVPIPQSSYGKFFNGDSYIVLKTTTSKSGALRHDIHYWLGKDTSQDEAGAAAIKTVELDAVLGGRAVQYREVQGHETQKFLSYFKPCIIPQEGGAASGFKHVEAEEHQTRLFVCKGKHVVNVKEVPFARSSLNHDDIFILDTESKIFQFNGANSCIQERAKALEVVQFIKDTYHDGKCEVASIEDGRLMADSESGEFWGLFGGFAPLPRKTFSDDDKTIDSHDPKLLCVEKGKAEPIETDSLTKELLDTNKCYIIDCGLEVYVWMGRSTSLDDRKSASGATDELVRSTDRPKSQITRVIEGFETVMFKSKFESWTETSNVTMSEDGRGKVAALLKRQGLDVKGLLKADPVKEEPQAFIDCTGHLQVWRVNGQEKKLLAAADQSKFYSGDCFIFQYSYPGEDREEYLIGTWIGKDSVEEERASAHTLASKMVESMKFLPSMARIYEGSETIQFNSILQSFIVFKGGLGDAYKNYIAEKEIPDETYREDGVALFRIQGSGPDNMQAIQVDPVASSLNSSYFYILHSESVVFTWSGNLTTSDDHELVERMLDLIKPDVQGKPQKEGAESEQFWELLGGKTEYPSQKIVREAENDPHLFSCNFSQGKDQFLVSSVMEIHNFSQDDLMTEDIFILDCHSEIFVWVGQQVEPKSRMQAIPIGEKFLERDFLLEKISRYTPIYFVMEGSEPSFFTRFFKWDSAKSSMLGNSFQRKLAIMKNGGTPPLVKPKRRASVSYGGRSGGLPEKSQRSRSMSVSPDRARVRGRSPAFSALAATFETSNVRNLSTPPPMIRKLYPKSKTQDSASPAIKSSSISHITSAFERPSARESLFPRSLKGTRKSDPETNSDNENSTSSREEPPTIQEDVNEGEGEGEDNECLPVYPYESVNTASTDPIPDIDVTKREAYLSNDEFKEKLGMARSEFYKMPKWKQNKVKMAVQLF >CAK8564111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652364462:652372406:1 gene:gene-LATHSAT_LOCUS17447 transcript:rna-LATHSAT_LOCUS17447-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSMRDLDPALKGAGQKDGLEIWRIENFNPVPIPQSSYGKFFNGDSYIVLKTTTSKSGALRHDIHYWLGKDTSQDEAGAAAIKTVELDAVLGGRAVQYREVQGHETQKFLSYFKPCIIPQEGGAASGFKHVEAEEHQTRLFVCKGKHVVNVKEVPFARSSLNHDDIFILDTESKIFQFNGANSCIQERAKALEVVQFIKDTYHDGKCEVASIEDGRLMADSESGEFWGLFGGFAPLPRKTFSDDDKTIDSHDPKLLCVEKGKAEPIETDSLTKELLDTNKCYIIDCGLEVYVWMGRSTSLDDRKSASGATDELVRSTDRPKSQITRVIEGFETVMFKSKFESWTETSNVTMSEDGRGKVAALLKRQGLDVKGLLKADPVKEEPQAFIDCTGHLQVWRVNGQEKKLLAAADQSKFYSGDCFIFQYSYPGEDREEYLIGTWIGKDSVEEERASAHTLASKMVESMKFLPSMARIYEGSETIQFNSILQSFIVFKGGLGDAYKNYIAEKEIPDETYREDGVALFRIQGSGPDNMQAIQVDPVASSLNSSYFYILHSESVVFTWSGNLTTSDDHELVERMLDLIKPDVQGKPQKEGAESEQFWELLGGKTEYPSQKIVREAENDPHLFSCNFSQGKDQFLVMEIHNFSQDDLMTEDIFILDCHSEIFVWVGQQVEPKSRMQAIPIGEKFLERDFLLEKISRYTPIYFVMEGSEPSFFTRFFKWDSAKSSMLGNSFQRKLAIMKNGGTPPLVKPKRRASVSYGGRSGGLPEKSQRSRSMSVSPDRARVRGRSPAFSALAATFETSNVRNLSTPPPMIRKLYPKSKTQDSASPAIKSSSISHITSAFERPSARESLFPRSLKGTRKSDPETNSDNENSTSSREEPPTIQEDVNEGEGEGEDNECLPVYPYESVNTASTDPIPDIDVTKREAYLSNDEFKEKLGMARSEFYKMPKWKQNKVKMAVQLF >CAK8538533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486282840:486283705:1 gene:gene-LATHSAT_LOCUS7721 transcript:rna-LATHSAT_LOCUS7721 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQKSQSAPERVYKDLQPYFEWIEDEASSTLILMLPGFTKEQLRVQVTSKGVLRINCERQGIENIWHRFGKEFPVPPHCDTNDVNAKFERGVLSVRFPKLITPENKPQEQETITNQPQEEASMARQNSPEPKAQTQAQVVDEQRETPKEEEKEDNKIEERVENDQKNVKTNDESSETKEVVKTHEGQGQGKRRGKMAQRLKTRILDFNISLRSKDDKDVDELGFGFGDTKPKKGKMLMNMFVATLLVLVLGVYVKNAFWA >CAK8541811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:406169808:406173515:1 gene:gene-LATHSAT_LOCUS10705 transcript:rna-LATHSAT_LOCUS10705 gene_biotype:protein_coding transcript_biotype:protein_coding MKILMFWLTWAFALSFSSVVSAAIVERTFTVQNKTIKRLCHEQVIVTVNGLYPGPNLEVREGDSVIVHVINNSPYNVTIHWHGVFQLLSAWADGPEYITQCSIRPEKNYTYKFKVTQQEGTLWWHAHASVVRATVHGAFIIRPHSGRFPFPKPYKEVPIILGDWYDGNVEEIVEKELETGDKIASDAFTINGFPGDLFNCSKNDVYNLRVKQGKTYLFRMVNAALNNNLFFKIANHNFTVVALDAAYTEPYITDIIVLAAGQTADVLFTADQRRGPYYMAASPYVVGEPVPLFDNTTTRGIVVYDGYRKSKTSQIKALMPALPLHNNTPIAHKFLSNITGLVKAPHWVPVPLKVDEHMYITINMALEHCPKNTKCTGPLGQKFSASMNNESFLLPVGKGFSIMEAYFYNVSGLYTTDFPDNPPKFFDFTDPKIFFDANVTFAPKSTKVKKFKFNSTVEIVFQNTAILNAQSHPMHLHGMNFHVLAQGFGIFDPNRDKLKYNFVNPLIRNTVAVPVAGWAAIRFRANNPGVWFLHCHVDDHNLWGLVTAFIVENGPTPSTSLPPPPADLPKC >CAK8573360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605623712:605625008:-1 gene:gene-LATHSAT_LOCUS25819 transcript:rna-LATHSAT_LOCUS25819 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSAFFTFLLILTFLSFSHIKVSEAQATPPIVKGLSWTFYNSKCPKLESIIRAELKKIFDQDIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEKDAPPNLTLRAEAFKIIEKLRTIVEKQCGRIVSCSDITAVAARDAVFLSGGPEYRIPLGRRDGLTFATREVTLQNLPSPASNTTTILNSLATKNLNPTDVVALSGGHTIGISHCSSFTNRLYPTQDTVMDKTYGKNLKLTCPTSTTDNTTVLDIRSPNKFDNKYYVDLMNRQGLFTSDQDLYTDKRTKGIVTDFAVNQSLFFEKFIAAMLKMGQLNVLTGTQGEIRANCSVRNKDRKSFIASVAEDVVEGFLEM >CAK8542712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540092562:540092936:1 gene:gene-LATHSAT_LOCUS11526 transcript:rna-LATHSAT_LOCUS11526 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTKRYVLRLFISIKYITANVVDRNSGRIVATSSTAEHDIKQSLECARSCNAKAAAVVGEVLARRLKVEGLNEGEGRGIHVNVTKEIEKKGFKSQTKIWAVVNALKYNGVTLVLDDEDDGNNS >CAK8530113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1552821:1555452:1 gene:gene-LATHSAT_LOCUS7 transcript:rna-LATHSAT_LOCUS7-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFDSDPKNRFFLWTLLLLVSLICAAYFLGNALSENEYKQRLARWGLIYSTPITKSNSCKTQSHRPSGTHSLPQGIVATTSDLETRPLWASAAPNNKNSNRPLNLLAIPVGIKQKQVVDKIVKKFPSTDFVVMLFHYDGFVDGWKDLAWSSQAIHVSVINQTKWWFAKRFLHPDIVADYNYIFLWDEDLLVDNFDPNRYIDIVKEEGLEISQPALDPGKSEIYHPLTARIQGSKVHRRYYKTKGSGMCDNNSSAPPCVGWVEMMAPVFSKKSWPCVWHMIQNDLIHAWGLDRQFGYCAQGDRMKNIGVVDAEYIVHLGLPTLGGSNGNETSANSHRDESDRPKVRMQSYIEMQIFAKRWKDAANKDQCWIDPYQE >CAK8530114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1552821:1555452:1 gene:gene-LATHSAT_LOCUS7 transcript:rna-LATHSAT_LOCUS7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFDSVTDPKNRFFLWTLLLLVSLICAAYFLGNALSENEYKQRLARWGLIYSTPITKSNSCKTQSHRPSGTHSLPQGIVATTSDLETRPLWASAAPNNKNSNRPLNLLAIPVGIKQKQVVDKIVKKFPSTDFVVMLFHYDGFVDGWKDLAWSSQAIHVSVINQTKWWFAKRFLHPDIVADYNYIFLWDEDLLVDNFDPNRYIDIVKEEGLEISQPALDPGKSEIYHPLTARIQGSKVHRRYYKTKGSGMCDNNSSAPPCVGWVEMMAPVFSKKSWPCVWHMIQNDLIHAWGLDRQFGYCAQGDRMKNIGVVDAEYIVHLGLPTLGGSNGNETSANSHRDESDRPKVRMQSYIEMQIFAKRWKDAANKDQCWIDPYQE >CAK8572415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535211631:535213145:1 gene:gene-LATHSAT_LOCUS24986 transcript:rna-LATHSAT_LOCUS24986 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYAVALQILLFCICLVLADSVEFSYPAVFNFGDSNSDTGEITAAKGFKLAPPYGQNYFKTLSGRFCDGRLIVDFLMDAMHLPFLNAYLDSVGLPNFHGGCNFAAAGSTILPANAASVSPFGFGTQVSQFQLFKARVLEFLAGKKFHKYVPAEDYFQKGLYMFDIGQNDIGGAFYSKDLDQILSLIPTILLEFENGIKRLYDSGARNFWIHNTGPVGCLAQYIATFGNDASELDEQGCLRAHNQAAKAFNLHLQDFCAKLQGLYLDVNVKYVDIFTIKYDLIANSSKHGFEQPIMACCGYGGPPLNCDSRVSCGLTKILNGTTVTAKGCNDSSVYVNWDGTHYTESANQYVASQILTGNYSNTHLS >CAK8572762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561415171:561416208:-1 gene:gene-LATHSAT_LOCUS25290 transcript:rna-LATHSAT_LOCUS25290 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFIPRRGGRRLTASELWPNSFGQQTDSSDFGFSGIDQQPPSTLKRSDPPKVNERVEKPVKKQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDKEARKIRGKKAKVNFPNEDDEYSIQTRRHGNNPNPNPPPIRPPNPNHSLYHHQQQYPKNLNLEFGYDLNRTEPFIISGSGGSAGDENSVYGSGSCSEVGYSVLEFNGSNQNENGYFGGVVVNEHEKEKEKEKEKVKESKEQEPLVVSVDQAEAEAAKNEVQELSDELMAYEDYMKFYQIYYDGQSMPPNIVQEHVVGDLWSFD >CAK8530139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2741644:2744416:1 gene:gene-LATHSAT_LOCUS30 transcript:rna-LATHSAT_LOCUS30-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGHKKGKSKSSTNPIALRNEEDQNNSEASGFDVGNDNKEDNSGMEADTPSSTGTDQRSNVASVNPDGFGGVKDAAKSIGRVKVKLRTPKMLDSQPNSSDAPSQSDTDRSSQQHGLERHGVNADRIEDSVSSLPHIKFGASSKKAGSIKIKSSKVLGLNSDQTNKPLPASSEIAVYVKERKAPPLNPRYHKQELDTSLMIIRKVMKMDAAEPFNVPVNPVALGIPDYFDIIDTPMDFGTICSNLEKNGKYMNSEDVYNDVKYIWENCYKYNNKGDYIVDLMRRVKKNFMKYWTAAGLYTEQAKGTKEDVALSGDGKVGKSGQLKHKKKKRHGRHHKHDCLCAICVLKRRRKEREENDRIAKGNFGPGGDKHAREFKQEESMLGDSPGGEDSSSNTDESAGTDGDADEDKGDVTKMETSEKQRSPFEGRHEVNEVKDEDDDDDGMEEDDRGPENAEEEEDEEGEDEEEIEMDNEKRQIDGTLAEKSELGDTTGFHDEYKAQRGQTSEFQQLKRHKEPQNSHQKAKLLESFYPENPMLSSLCGTLFPENSQSVWSGPHSLIRQRNSARSSSIHAAIRSFMK >CAK8530138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2741644:2744416:1 gene:gene-LATHSAT_LOCUS30 transcript:rna-LATHSAT_LOCUS30 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGHKKGKSKSSTNPIALRNEEDQNNSEASGFDVGNDNKEDNSGMEADTPSSTGTDQRSNVASVNPDGFGGVKDAAKSIGRVKVKLRTPKMLDSQPNSSDAPSQSDTDRSSQQHGLERHGVNADRIEDSVSSLPHIKFGASSKKAGSIKIKSSKVLGLNSDQTNKPLPASSEIAVYVKERKAPPLNPRYHKQELDTSLMIIRKVMKMDAAEPFNVPVNPVALGIPDYFDIIDTPMDFGTICSNLEKNGKYMNSEDVYNDVKYIWENCYKYNNKGDYIVDLMRRVKKNFMKYWTAAGLYTEQAKGTKAEDVALSGDGKVGKSGQLKHKKKKRHGRHHKHDCLCAICVLKRRRKEREENDRIAKGNFGPGGDKHAREFKQEESMLGDSPGGEDSSSNTDESAGTDGDADEDKGDVTKMETSEKQRSPFEGRHEVNEVKDEDDDDDGMEEDDRGPENAEEEEDEEGEDEEEIEMDNEKRQIDGTLAEKSELGDTTGFHDEYKAQRGQTSEFQQLKRHKEPQNSHQKAKLLESFYPENPMLSSLCGTLFPENSQSVWSGPHSLIRQRNSARSSSIHAAIRSFMK >CAK8573167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:590638672:590641055:1 gene:gene-LATHSAT_LOCUS25645 transcript:rna-LATHSAT_LOCUS25645 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPNFMDEIDCGSFFDHIDDLLDFSVNDVDANAASLSTVTSAANCNSLASIWPNESDSFPASDSVFSGNSPDLSVELSVPYEDILPLEWLSTFVEDSFSEGSLTMKKVEQPPSSCVTTKEDSVHNQFQTSSPVSVLESSSSSSGGKTMTAAEIYIPVPCGRARTKRPRPAAFNPRSAMQLISPTSSFVGENVQANVMSTKAGSSDFDNFAESQIVAKKPKLPSGESKKKKKIKVPLPAALADSGDQNGSLPVRKCMHCEITKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFCPSLHSNSHKKVLEMRTKDFDGSGFESHSAASPELIPNTNNSIIALEYI >CAK8532005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:189666088:189671964:1 gene:gene-LATHSAT_LOCUS1750 transcript:rna-LATHSAT_LOCUS1750 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARPKKLGDDSLSHGDDSDSNNNAKNVKSLTCQIKDMALKASGVYKHCNPCAPASRVRNGGNGNSESETDSEKFRRTRTWGKEMEARLKGISSGEGTPSSSSSSFGGGSGRRVVVLEEEEGKEWVAQVEPGVLITFVSLTRGGNDLKRIRFSRDTFNKWQAQRWWAENYDKVMELYNVQRLNRQAFPLPTPARSEDESSKRESIADSPVTPPLTKEQLSRNLYRPTGMGMGYSSSDSFDQHSMQSKHYQYDLSGMNSTPKVSTISAAKTDISSMAASIRSSSSREADRSGDLSMSNASDPDSEWVEEDEPGVYITIRSLPGGKREIRRVRFSREKFGEVHARLWWEENRARVHEQYL >CAK8574378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679023032:679026134:1 gene:gene-LATHSAT_LOCUS26732 transcript:rna-LATHSAT_LOCUS26732 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSASSGGSNNSAPPKSKNEQLSWEVFSDSGVNNQAHGKTEDKKSRKQVADSQSSSNGPNLRRSRSMSSASFQFKDPAKSPSRSIVGDPYHQFEHSSRCQNQNSEKRDKPTQSVQNSQGCEQHASSSSRSHHESSGNSTCSSNISSMVVDRYIDGEQQLEENRPRNSSQRKNSRQGNNCSKLPPKVQHTTPNSPTNGVKDQPRAHSFREDRVTRLRSTSQDWAENGYGHESPRTLAKNVIERLSQTCDISKRSSNNISVDNPITIEDIYARSVNGRYESDFHDAPPKIYSFEEPYKMSNGYHGMNGNCKGLTYDEPEEDLDAELMRRSKEAEERVILLSKKLEHENFFPDYGYDATTLIQTIRNLVEEKINLALEVSTHLRSQIADRASAKEELKCVKTELELRIQRLEKEKNEMQLALEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNERETESQSKMAHTDQQVKMLTGMAEKMKGENLGLQQNLFELQDRCNIAEENRDCLRKNFEEKERECKELHKCVTRLRRTCTEQEKSIIGLRDGFSEDFHKNQSVESIDKHIKKMQMELIRLTGIELALRKELESHKFEEDSLRQENITLLNRLKGDGKECIAATYRLDKELCARICCLQNQGLEMLNTSTDLSSKLMEFVKAKGVIGNGLDGQFIVESETKIHGLKSGIEGLTRNLQMMPSLLKEKSNLLTFNLKSECMDDDMLAKLNDQSSEDILRVELKAERLVTSLLKEKLFSKELQVEQMQAALATEERGNDMLRSEVQNTYDNLSMVSHELKNLELQMLKKDESMNCLQSNFDESSGKLISTRAELHKAVQEKERLLKEVAQFKEQNMLLNSELNEQKLKVLALDEDILVKDGQITILKDSINRPLDDLIWGS >CAK8569515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3720741:3721043:1 gene:gene-LATHSAT_LOCUS22359 transcript:rna-LATHSAT_LOCUS22359 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNPNLMKHKSNMLGIQTKIKVLPPSQVEAGNKIFEMLQEANMKKDGCYTKDEIKKVLKSLGFYFPGLKADRCMKKFDVNNDGTISDTEIDEFVSYLLN >CAK8539252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507607513:507609054:1 gene:gene-LATHSAT_LOCUS8364 transcript:rna-LATHSAT_LOCUS8364 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVAGTSSSCSATFPTIKNSHLTPSKTLTPPSQKTSLLGLSLYEAKRGVSGSSSIARRRLEITAKTAGASKTIEAEVDKPLGLTLGPKSGGGVVITAVEGGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGANVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKSFSDQPDTYNCPQCQAPKNRFAPYDVNTGRAIGGGGLPPIGVIIGLVAGLGAVGALLVFGLQ >CAK8574417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680900845:680905329:1 gene:gene-LATHSAT_LOCUS26767 transcript:rna-LATHSAT_LOCUS26767 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSPTSSTLPSHSPISSPCYSRLITASFIRRSRRRTRRHNKLKPSVPTTTTTTSFEPKLEAVIDLTILPSFHSNIRQFVSSGEAAYRDLQTLFTLDENRRVIVSCRPSTIHFVGTSAALTLVAFSVLRVVVELVSRLAPWRRNASGYDKAMVRRDRSLGGKEVVVGLGPRRGVTAPIKRSLKNNKVAVQRKLPKWWPIVNNPNHNALDFDLNEHEEYIRDANRLVRAIIDNRMAAKDISESDIIQLRQLCRNSGAQVSVEPANIRDSLYRTSVNFVLDACSSAPTYSTSVSINGEDSQQFLAGFAENIGLENVRAATIVSAAVASRTRACLLQAWALEMQDKHVDALGEMSKMCLILQVFPPEESSPEMEMVSRGLAKHLKLEQRKHLMFLFGKVCSEDSHGIAREALGLIHSQNSAGQLEDNIAP >CAK8539766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524542130:524543077:1 gene:gene-LATHSAT_LOCUS8833 transcript:rna-LATHSAT_LOCUS8833 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSPCAACKFLRRKCTTECVFAPYFPPDNPQRFAYVHKVFGASNVAKLLNELTQSQREDAVKSLAYEAEARLRDPVYGCVGLISLLQHKLRAIQGELNNAKKELATYIGPQALQGIPTSILQPHSIQSNPFSNSLYPYNGNIPAVTAANQMVIRDPQPMTPQHQILEAQQLAAAVAAREQQEIFRTFENQQQQQEFLRFSGGFDVDSVSNSPGGFNQVSPVSAAAVGVVADQLSPSLALGSFDNTYHHMQQTQQESHPHHHHNHHIPLQAQLLLPPQQKQALPQSQHSPLAHHQQQTESEECRSVGLGPSC >CAK8562653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:521795388:521798149:-1 gene:gene-LATHSAT_LOCUS16132 transcript:rna-LATHSAT_LOCUS16132 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPTTQFPVKEDPVILASQTAFTVSEVEALFELFKSISSSVVDDGLISKEEFQLAIFKNKKKENIFANRIFDLFDVKKKGVIDFDDFVRSLNVFHPNAAIEDKIEFSFRLYDLHNTGFIERQEVKQMLIALLFESEMKLADDVIETILDKTFLDADLNQDGKIDMVEWRKFVSENPSLLKIMTLPYLRDITTSFPSFVFNSNVDEIVA >CAK8536946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:42918813:42920117:1 gene:gene-LATHSAT_LOCUS6269 transcript:rna-LATHSAT_LOCUS6269 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHCPFCCYTQNHHQQTDSHSHSHDHLHSEPSSTSSSLSSQPSLPSVPSLTSQLTQHSHHKLLTTINGHSSPIFSLTLSSKFLYSGSSNSEIRRCSNDPFALQTSSNSTNNLVSISNRSKSTIKSMVVLNDMLFSAHQDHKIRVWRIETAKGDDHDTKQDQKLFKCIATLPTFNDRFSKLFLSKNYVEARRHKKFTWVNHIDAVSSLALSKDGLFLYSASWDRTFKIWRVSDFKCLESVNNAHEDAINAIVVSSDGSVYTGSADKKIKIWKKNETKKHFLIGTLEKHRSSVNALALNKDGSILYSGACDRSILVWEKRDCSGMSQDHGNETMVLVGALRGHSKAILCLVVVDELVCSGSADNSVRIWRRGIGEKDYACLGVLQGHRKPVKCLAMEVDSKSDKNGDDCCSYLVYSGSLDCDIKVWQIQIPLVV >CAK8579377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694828487:694828810:-1 gene:gene-LATHSAT_LOCUS31328 transcript:rna-LATHSAT_LOCUS31328 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSKLGFPDLFVTFTCNLAWPEISRVSSNTTLMAHDRPYIITRLFKMKFDELVTDVTKSHVPGKVLAFIYTIEF >CAK8537049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:67332331:67335245:-1 gene:gene-LATHSAT_LOCUS6366 transcript:rna-LATHSAT_LOCUS6366 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTLKTFTYMFLITFLIWSSNFECCIARRGNHWRQTKTFSSSLFKKRGKSYNGNTHNKNHHNGGSNSKPKSPPPHKNTPPLSPKSPPSHKNVPSSPPPISKPKISPSTPPPKGYNGAHSTFFNVLDYGAKGDGNTDDTKAFEATWAATCKVEASTMMIPANYTFFVRPISFSGPYCKPNIVFQLEGTIIAPTNPNAWSGVTLQWLEFTKLEGIVIQGNGVIDGRGSVWWQDFPYDNPIDDEEKLIVPLNHTQKPPMPVQNEIGRTMPSNKPTALRFYGSYGPTVTGITIQNSPQCHLKFDSCNRVLVHDVSISSPGDSPNTDGIHLQNSKDVLIYSSKLACGDDCISIQTGCSNVYVHNVDCGPGHGISIGSLGKDNTRACVSNITVRDVNIHNSMNGVRIKTWQGGSGSVQGVLFSNIQVTEVQLPIVIDQFYCDKRTCTNHTSAVSLEGINYERIKGTYTVKPVHFACSDSFPCVDVSLATVQLKPIQEQYHLYDPFCWQTFGELKTPTVPPIGCLQIGKPTNNRIQTDHDIC >CAK8568756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639238975:639241811:-1 gene:gene-LATHSAT_LOCUS21686 transcript:rna-LATHSAT_LOCUS21686 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRADAETSSFSSSLFAAVSYGFASMAMVFINKAVLMQYQYSMTLLTLQQLVTTLLIHFGRKMGYTRARGVDMETAKQLFPVSFFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGCFMGKGRPSIQVTLSVILTAAGVLIAALGDFSFDLFGYSMAFISVFFQTMYLVLVEKSGAENGLSSVEIMFYNSFLSLPFLMFLIIATGEFPYSLSVLFAKSYSFSFLMILILSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTFGFFLLGGVQVHALNVSGLVINTAGGVWYSYAKYQQKKSKTVKLVTDVETHRK >CAK8578631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642487270:642489223:-1 gene:gene-LATHSAT_LOCUS30624 transcript:rna-LATHSAT_LOCUS30624 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDLITMVALFLVVILSFCSGVRADPQVPCYFIFGDSLVDNGNNNVLSSLARADYLPYGIDFPDGPSGRFSNGKTTVDEIAELLGFDDYIPPYASASNDAILKGVNFASAAAGIREETGRQLGGRTTFSGQVNNYQETVSRVVNLLGTEDQAASYLGKCIYSIGLGSNDYLNNYFMPQFYSTGSQYTPEAFADVLIEDYTKQLRTLYNYGARKMILFGIGQVGCSPNELALHSEDGSTCVENINTANQMFNSKLKSLVDQLSNELPDSKVIYINSYGIFQDIISNPSAYGFSVINSGCCGVGRNNAQITCLPLQTPCENRREYLFWDAFHPTEAGNIIVAQRAYNAQSPEDAYPIDISHLAQL >CAK8532394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:256109285:256110295:-1 gene:gene-LATHSAT_LOCUS2104 transcript:rna-LATHSAT_LOCUS2104 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNKPKPVLPWKTRLSISLLTALTDASCRSDGTINRRFLSVLDRKASAKATPINGVSTKDVTVNYEHNVWFRLYTPAVTVTGDESDPKTASLPVIIFFHGGGFSYLSPASVAYDAVCRRFCRKISAVVVSINYRLTPEHPYPSQYDDGESVLKFLDENKSVLPENADVSKCFLAGDSAGANLAHHVVVRVCKAGLQRIRILGLISIQPFFGGEERTEAEIHLEGSPLVSMRRTDWLWKVFLPEGSDRDHGAVNVCGPNAEDLSGLDYPDTVVFVGGFDPLNDWQRRYCDWLKKSGKNAELIEYPNMIHAFYIFPDLPEATQLIMQVKDFIHKRI >CAK8579045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669811900:669815195:-1 gene:gene-LATHSAT_LOCUS31020 transcript:rna-LATHSAT_LOCUS31020 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSSKKKVLSVEDVINSVGLGYDLTNDLKLKFCKYHSKLIAIDHHDLRTVQLPGRVSIPNVPKSINCDKGDRMRFSSDVLSFQQMSEKFNQEVSLSGKIPTGHFNSAFQFSGVWQRDAANTKSLAFDGVSITLYNIALDKAHVVLSDHVKQDVPSSWDPAALARFIEKYGTHAVVGVKIGGTDIIYAKQQYSSPLQLSDVQKKLKDLADEFFLRQAGQINTTDGAFNKKEKFMKDNRLGFLDIQAQSYHESEVQDIKFMCKRKGGNGRNDLKHDEWCQTVLSQPDVISMSFVPITSLLGGINGSGYLTHAINLYLRYKPIIEELHQFLEFQLPRQWAPVFGELVLGPDRKSHSSSSLQFSFMGPKLYVNTTPVNVGMKPVTGLRLHLEGKKSNCLAIHLQHLSSLPKTFQLEGETNGNVSDSSSERRYFEKVQWKSFSHICTAPVESYDDYSVVTGAHFEVGEAGLKKVLFLRLRFCKVANATRVRGPEWDGSPGLTQKSGMISTFISTRFSGPQKLPPPQPSDVNVNSALYPGGPPVPAQSPKLLKFVDTTEMTRGPQDLPGYWVVSGARLFVEKGKISLKVKYSLLTTILPDEETGSY >CAK8579046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669811900:669815189:-1 gene:gene-LATHSAT_LOCUS31020 transcript:rna-LATHSAT_LOCUS31020-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKVLSVEDVINSVGLGYDLTNDLKLKFCKYHSKLIAIDHHDLRTVQLPGRVSIPNVPKSINCDKGDRMRFSSDVLSFQQMSEKFNQEVSLSGKIPTGHFNSAFQFSGVWQRDAANTKSLAFDGVSITLYNIALDKAHVVLSDHVKQDVPSSWDPAALARFIEKYGTHAVVGVKIGGTDIIYAKQQYSSPLQLSDVQKKLKDLADEFFLRQAGQINTTDGAFNKKEKFMKDNRLGFLDIQAQSYHESEVQDIKFMCKRKGGNGRNDLKHDEWCQTVLSQPDVISMSFVPITSLLGGINGSGYLTHAINLYLRYKPIIEELHQFLEFQLPRQWAPVFGELVLGPDRKSHSSSSLQFSFMGPKLYVNTTPVNVGMKPVTGLRLHLEGKKSNCLAIHLQHLSSLPKTFQLEGETNGNVSDSSSERRYFEKVQWKSFSHICTAPVESYDDYSVVTGAHFEVGEAGLKKVLFLRLRFCKVANATRVRGPEWDGSPGLTQKSGMISTFISTRFSGPQKLPPPQPSDVNVNSALYPGGPPVPAQSPKLLKFVDTTEMTRGPQDLPGYWVVSGARLFVEKGKISLKVKYSLLTTILPDEETGSY >CAK8532338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247994599:247994937:-1 gene:gene-LATHSAT_LOCUS2056 transcript:rna-LATHSAT_LOCUS2056 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVEKCLSNQLACLHEKKRELEEKMNAIKAEIADFTAQRDTVAKRKIELFQKGSVMKAERDDLRNQMPRLKAEKELANITQENIEEEWSKLGEQFIGSTDFEEWSLEPSHK >CAK8568101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573617219:573618016:-1 gene:gene-LATHSAT_LOCUS21096 transcript:rna-LATHSAT_LOCUS21096 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLWTLITHLHSLAGPVLTLLYPLYASVVAIESPSKVDDEQWLAYWIIYSFLTLGEMLLQPALEWIPIWYDVKLLVAAWLVLPQFKGAAYLYERFVRDHIRKYVTEKEHHRVHHRPENPKLLQQVNKKSPTGAKTKKFVDFIIPKKGDQEAY >CAK8569678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7884630:7889217:-1 gene:gene-LATHSAT_LOCUS22503 transcript:rna-LATHSAT_LOCUS22503 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVVTGVGIGVGLSTPCRLTCFSKKPNRLHSSVFSATSKWAERLISDFQFLGDTSSSSSSSATAVSLTSFTPQLESPPIERHVSLPLDLYKILGAETHFLGDGIRRAYEAKFSKPPQYAFSNEALVSRRQILQAACETLADPASRREYNQSLVDDDEDSSILTEIPFDKVPGALCVLQEAGEMELVLRIGGGLLRERLPKTFKQDVVLAMALAYVDISRDAMALSPSDFIVACEMLERALKLLQEEGASSLAPDLQTQIDETLEEITPRCVLELLALPLDDEHRARREEGLQGVRNILWAVGGGGAAAIAGSFTREDFMNEAFLHMKAAEQVELFVATPSNIPAESFEAYGVALALVAQAFVGKKPHLIQDADNLFNQLQQTKVTNIRNAPSLYTPMEMEKREVDFALERGLCALLVGELDQCRSWLGLDSDSSPYRNPSIIDFIMENAKGDDEDSDLPGLCKLLETWLMEVVFPRFRDTKDTSFKLGDYYDDPTVLSYLEKLEGADRSPLAAAAAIAKIGAEATAVIGHVQASAIKALKRVFPVSPNDKILTHLENGEKDHSSLSENDDPLIFSDQDTPVDVEVSGIKNTAEINDGKFITDEIKNASVKIMCAGVVIGLVTLAGLKILPARPILQKVSGSVIASDTINLAPVGDEELVEQLPKMNARVAEALVRKWQHIKSQAFGPDHCLVSLQDVLDGEMLKIWTDRAAEIAELGWSYDYNLEDLNIDSVTISQNGRRAVVETTLKESIHLTAAGHPQHDASNSRIYTTRYEMSYSGSGWKIVEGAVLES >CAK8534569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743278733:743283791:1 gene:gene-LATHSAT_LOCUS4106 transcript:rna-LATHSAT_LOCUS4106 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNIFKKKTSPKEALRQSKREMSVATRGIEREIASLQMEEKKLVAEIKREAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIQEFQKQSAQMDMTIEMMSESIDETLDKDEAEEESEELTNQVLDEIGVDIASQLSSAPKGRIASRNTENVAARSESQDVEELEKRLASLRRI >CAK8533524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:631855751:631855963:-1 gene:gene-LATHSAT_LOCUS3146 transcript:rna-LATHSAT_LOCUS3146 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLPATTDLIALALEAKDPSEGISILYRVLGDPSSSPEAPRMKEQAIINLTDLFRQENRAEDLRSLLT >CAK8577243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546822727:546825127:1 gene:gene-LATHSAT_LOCUS29367 transcript:rna-LATHSAT_LOCUS29367 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKDPAIKLFGRTIPLPLIISNDSSSSSPSSSPPEFISAIQQETEEPPRKELTTAQDDDALLQTGDDLKSPADSSVRLENSPKTPSAETENSLPKVSTNGEQSETSVSEDKTLKKPDKIIPCPRCNSMDTKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKTSPTAHYRHLMIPEGVKLNSSNGLHGSVLAFDSDSLLCNTMSSVLKIGERAPNCVTPNGFHHGTGPNTFVSYSGEDDHSIGVSVTTSTSSERKGHTETNGSMDRRVEGFPPQLQYIPSPLLPYPWNSGIPPPTFCPPNYPLAFYPPPPYWGCVPPPWNIPCISPNSPSVNQTDSPQYSPTLGKHSRDGNIITSVNSHTEKPDKEHNCTESSVLIPKTLRFDDPNEAAKSSLWSKLGISNDKTNSLNGGSMFNAFQSKGKDNNHSAEASPLMYANPAALSRSRTFHEGT >CAK8570287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34354552:34355061:1 gene:gene-LATHSAT_LOCUS23049 transcript:rna-LATHSAT_LOCUS23049 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEMVTLQTMDSIFYELQMQGRISFYLTTSGKEAVNIASAAALSSDDVILPQVCFFPKALKFPRDSFQIQFRFLFRYITFSASTETLYNNSLINASETQMILVKEDKCRYTTALTNTITSQSRRLLRHNFLKL >CAK8536970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46144127:46145621:-1 gene:gene-LATHSAT_LOCUS6291 transcript:rna-LATHSAT_LOCUS6291 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQSHNNNNNNVHVLVIPYPAQGHISPLIQFSKRLLSKNIKTTFATTHYTVKSITVPNITVEPISDGFDQSGFSQANNVELFLTSFKSNGSKTLSNLIQKHQKTSTPITCIVYDSFLPWALDVAKKHGIYGAAFFTNSAAVCNIFCRIHRGLIEVPVDDLPLIVPGLPPLNCRDLPSFIRFPESYPAYMAMKLNQFSNLNLADWMFVNTFQALEGEVVKGLTELFPAKLIGPMVPSYYLDGRIKGDKGYGASLWKPLSEDCINWLETKPSKSVAYVSFGSMVSLTLEQMEEIAFGLKQSEVNFLWVLRESEQGKLPKGFKDMIKEKGIIVTWCNQLELLAHDGVGCFVTHCGWNSTLESLSLGVPVVCLPQWADQLPDAKFLEEIWEVGVRPKEDENGVVKRGEFVESLKVVMEGEKSEVIRRNVREWKKLARDAVSEGGSSDKNINDFVDQLMKKDRNGNLNGY >CAK8560354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15345438:15350360:1 gene:gene-LATHSAT_LOCUS14033 transcript:rna-LATHSAT_LOCUS14033 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAVKLENAKTKHPQLLYESKLYKLLQGGTGIPNAKWSGVEGEYNVLVMDLLGPSLEDLFNFCNRKLSLKTVLMLADQMINRVEFIHTKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKFRDSNNQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYERISEKKVSTSIENLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLLRDLFIREGFQFDYVFDWTILKYQQSQISTPPSRGIGLAAGPSSGLPLASANTDGQSGGKDGRHIGWSSTDPTRRRNTGPIAIDGIVSREKAPVTNDLTGSKDAMLPSSNFFRTSGSARQNANLSSSRDAVIDSETEPSSRPLTQDPSQGATRKSSGAQRSSHIMSSEHNRVSSGRNTSNIKNMDSTVRGIESLHLND >CAK8575119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:33252372:33252953:1 gene:gene-LATHSAT_LOCUS27408 transcript:rna-LATHSAT_LOCUS27408 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDDSHIVVLRHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLLEPFKTPILSSEPSISVHQLQPQDQFIIFASDGLWEHLKNQEAVDIVQNNPRSGIARRLVRAALQDAAKKREMRYSRCGKEERN >CAK8533142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:583662992:583667652:1 gene:gene-LATHSAT_LOCUS2789 transcript:rna-LATHSAT_LOCUS2789 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRLSLSDNHFFLSSPIHRSSWTRPLPALPAFLTPPRRRRGLCSASNSDTLVAAAAKKHGEDDTDLKTWMHKNGLPPCKVVLKDKPSLDDSLKPIHYVAASEDLQKGDVAFSIPSSLVVTLERVLGNETIAELLTTNKFSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSESELAYLEGSPLMDEIVKRIEGIRKEYNELDLVWFMSGSLFQQYPYDIPTEAFPFEIFKQAFAAVQSCVVHLQNVSLARRFALVPLGPPLLAYCSNCRAMLSAVDGAVELVVDRPYKAGDPIVVWCGPQPNTKLLTNYGFVDDDNSNDRLIVEAALSTEDPQYQEKRMVAQRNGKLSIQTFNVYTGKEREAVADMIPYLRLGYVSDPAEMQSVISSEGPVCPMSPCMERAVLDQLADYFNSRLAAYPTTLAEDESMLADGSLNPKRRVATQLVRLEKKMLHACLQAITDLINQLPDHSVSPCPAPYAPSLK >CAK8562428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:488881194:488882668:1 gene:gene-LATHSAT_LOCUS15924 transcript:rna-LATHSAT_LOCUS15924 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNDEKEQTKPIGHNQLAHKSLLQSDALYQYILETSVYPREHPCLKELREITAKHPRNHMATPADEGQFLSMLIKLINAKNTLEIGVFTGYYLLATALALPSDGKVLALDVSREYYDLGLPIIEKSGVANKIDFREGHALPLLDELLQNENNKGIFDFVFVDADKDNYLNYHKRVLDLVKIGGLIGYDNTLWSGSVVAPPDAPMMDYIKRLRGHVIELNKYLAQDSRIEICQLPVGDGITLCRRTS >CAK8533348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605869780:605874660:1 gene:gene-LATHSAT_LOCUS2984 transcript:rna-LATHSAT_LOCUS2984 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTREPNQPKKKLPLFPLLSLLSFTSIFFLLSQFRNTSSSSSSSIKAFSSIHNFQIDNSRHRGSCDYSDGIWIYDETRVSRYHSTCKEIFKGWNCVKGNKANGVEVAKWRWKPNGCGDLDQFDPLRFLQTHTHTSIGFVGDSLNRNMFVSLFCTLRSSISDGQVKKWRPAGADRGFTFLTYNLTIAYHRTNLLARYGRWSASENGGTLETLGFKEGYRVDVDVPDSTWAQAANFHDILIFNTGHWWWAPSKFDPVNSPMLFFKNGQPVIPPLRPEQGLDMVLEHMISYVEEKARLGAVKFFRTQSPRHFEGGDWDQGGSCQRDRPLSAEQVEELFSVKNNGTNVEVRLVNEHLNKALQRSSFVILNITYLSELRADAHPASAGGKKHDDCMHWCLPGITDTWNDLFVEHLNVIELRS >CAK8541719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:365387507:365390646:-1 gene:gene-LATHSAT_LOCUS10615 transcript:rna-LATHSAT_LOCUS10615 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVESARDLFNKWRTFLFPTIKSDYFFPFFKLAIVIFIIFLINFVYLSISYQKPQIHFNQLTLKRMFQSEIPKTQNPNATEVPIPTNISHIVFGIGGTYSTWENRRHYTELWWKPNVTRGFVWLDTAPPNNETWPKTSPPYKVSADTSSFKYTCDYGSRSAIRIARILKETFNLGLENVRWFVMGDDDTVFFQENLITVLSKYDHNQLYYIGGNSESVEQNVVHFYTMGYGGGGFAISYPLAAELVMILDGCIDRYADYYGSDQKIQSCISEIGVQITKEPGFHQVDVHGNPYGLLSPHPVAPLVSLHHLDYVDPMFPDMDRIEALQKLVTAYKLDPGRTVQPSFCYDHTRNWTVSISWGYSAELYPYFPTAKELETPFLTFKTWKSWSDGPFTLNTRPVSWDICKRPLVYFLDQIEGKDGNTQSNYKRYASPIHYECDHADYAQPLKIQYMNVSAPIFSASLWNKAPRRQCCEIINGSDSAIQVNVRTCQPFESVTPH >CAK8542265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:495562752:495564365:1 gene:gene-LATHSAT_LOCUS11114 transcript:rna-LATHSAT_LOCUS11114 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNGYQNNKQTKTNLPNVLVIGPPKTIGPLKPNQPQNYNLSKPWDPNSQPLEHFMVENKYDPSMFQALICYPLGPPINDTFLQNFPCLKLVVTTSSGTDHIDLGVCKCRGIRVANVGSVYSEDVADVAVALLIGVVSKICAADRFVRTTMRFNFPYCSNSKLRGKRVGIVGLGSIGMEVAKRLEAFGCIILYHSRKKKPFVTYQFYSNMFELATASDALVLCCSLNEQTRHIVNKEVMLALGNKGVIVNVGRGSLIDEQELVKCLMEGHIGGAGLDVFENEPNVPQQLLALDNVVLSPHNAAFTIETFMAATQIVEENLEAFFTNKSLVTQVHLN >CAK8566702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453819067:453820685:-1 gene:gene-LATHSAT_LOCUS19814 transcript:rna-LATHSAT_LOCUS19814 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQWLWESYTPFLLCVSIFIPLLMILLFVNNSRKRKSNLPPGPLTIPFIGNLHQLGTMPHISLQSLADKYGPIIFLQLGQVPTVVVSSARLAKEVLKTHDLALASRPELFSAKYLFYNCTDIAFAPYSAYWRHVRKICILELLSVKRVNSYSAVREEEVSSLVQRVAGCYPGTTNLSKMLGQYANNAICRVAFGKDFSEGGESEKHGFQKMLDEYQELLGGFSVGDFFPSLEFIHSLTGMKARLQHTSRKFDQLFDQIVNEHEACDKVKEHKDLVDILLEVQKNGSGENETPLTTDNIKAIILDMFAAGTDTTFITLDWAMTELLMNLHVMEKAQREVRSILRERRVVAETDLHQLQYMRAVIKETFRLHPAVPVLVPRESMEDITLEGYTIPAKTRIFVNAWAIGRNSESWEDPAAFKPERFLESNIDYKGKDFELIPFGAGRRGCPAITFAIAVVELALAQLLYSFDWELPPGITAKDLDLTEVFGISMHRRENLLVVAKPYYHVNTLCN >CAK8572162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516085448:516085925:1 gene:gene-LATHSAT_LOCUS24755 transcript:rna-LATHSAT_LOCUS24755 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLGTLSFPNPSLLSPKTKHMTSTRRTVVKASVTEKLGIKIEKNPPESKLTQLGVRQWPKWGCPPSNFPWTYDATETCYLLEGKVKVTPSGANEAVEIAAGDLVVFPKGMSCTWDVSVGVDKHYKFE >CAK8576393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469258220:469263674:-1 gene:gene-LATHSAT_LOCUS28582 transcript:rna-LATHSAT_LOCUS28582 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGKPSSSAVIGKHSEPEKPVESDEKVNLEEENDPEEEMEEEIEYEEVEEEEEVEEIEEEVEEEEEDPEEVEEEEEEEEEEEEEEEQVEEDTVPNQNIDDDEKKKHAELLSLPPHKSEVYIGGIPLDAATEDLKDFCERIGEVVQVRIMKGKDSSENKGFAFVLFKNEELASKAIEELNNTEFKGKKIRCSTSQAKNRLFIGNIPRSWGDNDLKKVVSEIGPGVTAVELVKDMKNIINNRGFAFIDYYNNACAEYSRQKMMNPTFKLGDNSPTVSWADPKNADSSASSQVKAVYVKNLPKNVTQEQLKQLFEHHGKITKVVLPPPKSGQEKNRIGFVHFAERSNAMKALKNTEIYELDGRMLECSLAKPQADQKAVVTNTLNQGLLPSYPPHIGYGLVGNPYGALGAGYGAPGLAQPLMYGPGQTPAGMAMMPMLLADGRIGYVLQQPGLPPQTPPSHQRGGRSSGGGNSGGSGSRNTGSSSKGRHNNDNGHGRRYHPY >CAK8561933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413702946:413706586:1 gene:gene-LATHSAT_LOCUS15478 transcript:rna-LATHSAT_LOCUS15478 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEDSMSEIEDQEQNGGIPDYIMNLEDVPSKLPSHLELLKTRVICNMDAPQHTDTLQYSGVYAALGVDNSVRLDNFCNNFKVEVKRFTEDDIEFDMIGIDPAIANAFRRILIAEVPTMAIERVYIANNTSLIQDEVLSHRLGLIPIDADPKLFEYPDNAGGENNEKNTIVFKLHVSCKKGHPRITVKSDALKWLPNGSELIADNTNPNADSKAKTFTSFSCSQSSLPGFSKKPIAPNNLDIILAKLGPGQEIELEAHAVKGVGKTHAKWSPVATAWYRMLPEVVLMKDVKDDLAEELVSKCPAKVFDIEDIGKGRRRATVVNARACTLCRECIRGGQEWEDRVALRRVKDHFIFTVESTGALPPDVLFTEAVKILEDKCERVITELS >CAK8532872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:540671622:540676266:1 gene:gene-LATHSAT_LOCUS2534 transcript:rna-LATHSAT_LOCUS2534 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNFQNNDRGRRNHIPFVGFDPGQFNYNYPIPHYWRPFNYNYQIPHQNPANFPDIRHLPRQMPVHYVDNRHLPHHMLAHYVDNRLLPHHMLAHYVDNRHLPHQVPTYYYNPRHLTHQRPQHYADNRPLPRQRPKNLADKKQIPQQMPECSADKKQIPQQMPECSADKKQIPQQTAERCADTRCLPHQAVEEYADKTCLPHQTPEDIAVKSPLPHQKAENDADNTCLPHRKSEHCADMMNLPQQTPEDHTDKKCLPHQPSEHCADMMNLPQQTPEDHTDKRCLPHQPSEHCADLMNLPQKTLEDHTAKKCLPHQMPEPTVPEVATVERVHCKICGVVFPLKKLEAHNNGKKHRRMLFELGEQSTKRETSNEEEGIHIQNSQKSPVVHKKVPKSKKDGYSVENTSYEAPRLEYKEVRAEGSKRKLRDHTGAKDLDFKIENVKNETTSFQKKKVLADESKRSAKDHRSKRETEEAPGVKYMKMSSGIRRSVRNDQPQSTPVKLKASAGSNSSAETECISCDFSATVTPEVLVSSQESTPPPSVESSFEPLCQINLQTEVEEGKEHLEVENCGVETNDQPHSISMEFHAPADSDINTLIEDTCSDFGAIVLVPPQSPIAVQVPTPVDIIQTEMSESKVHNEVQNDIVDSNDQPCLISTELHDPAGSMTNNQTEIVNCDSAAIEIVIEPLATVLPDAVGSSFEPMTECGLHTETEPQVSEAVAYIESEPPTEELDIEIHAASEVSVETETEDGGSQAEVEMDVLAGALGRIHLPQVSVCLTCGDEGFEEAIVYCSKCGDYAMHRYCLKGPVVFTDDVIWFCEDCDEEVLGADYPDSKAADSEKCEVDSIEKCATFVDPQPIGDPIWRGSLHVSNKNFDKVTRLMGHLSTLACPKVLEETRHLPNVLYGDSVQRSAVWPESFKKFGTDNLSIGLYFFPQNERVERYYDQLVDEMISNDLAIRVCVEKAELLIFSSTKLPSQYKRFQSKYYLWGMFKRKQEPLV >CAK8540420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559521219:559523197:-1 gene:gene-LATHSAT_LOCUS9425 transcript:rna-LATHSAT_LOCUS9425 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLVIFPLFLCIITAAIGFPYEEHKLLGRCLSTRECSTGQICSSCHVELKGSRCVRSTTTNPFKLLNASLPFNKYAYLTTHNSFAINKRPILDAVPQVTFTNQEDTISQQLSNGVRALMLDTYDFKGDIWLCHSFKGKCQDFTAFEPAINALKEVEVFLSANPSEIVTLILEDYVEAPNGLTNVFNASGLMKYRFPVSNMPKGGKDWPLVKDMVAKNHRLIVFGSQKQKEQSEGIAYQWNYMVENQYGRDGMRGQCSKRANSSELNDRNKSLVLVNHFRTIPIRQASCKDNSQNLINMLSSCYLASGNRWANFVAVDYYKRSDGGGSFQAVDMLNGKSLCGCDDVQACKNGSSCTR >CAK8566463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425771689:425772984:-1 gene:gene-LATHSAT_LOCUS19592 transcript:rna-LATHSAT_LOCUS19592 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVRVKEAVVVTPSEPTPACVMLLSSLDSQLFLRFTIEYLLVYRPSPGLDKVTTTARLKAALAKALVAYYPLAGRVRPSPNSTGLGLEVLCRAQGAIFIEAVSDRYTVNEFEKVPKTVLQWRKLLSLSVPDVLNGSPPLILQLTWLSDGGAALGVGINHCICDGIGGAEFLNYVADLASGKRGCPKPKPVWDRHMFNVQPTRDDFASHPEFNRVPDLCGFMNRVTNNLRPTCVIFDKRRLTELKGVARRTCQIADSLFTSFEVLAAHVWRSWARAVGFPPNQILKLLFSVNVRHRVKPGIPDGYYGNAIVMVCAQASARELGEKGIGYGSGLVKKAKERVDSEYVKRVAELVSESRASPDLVGVLILTQWSRMGLDRVELGMEKPVFVGPICNERYCLFVPVKGERDSVKVTVAVPATAFDNYHRFLRE >CAK8534023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681791164:681791475:1 gene:gene-LATHSAT_LOCUS3599 transcript:rna-LATHSAT_LOCUS3599 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8531311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100268024:100268590:-1 gene:gene-LATHSAT_LOCUS1113 transcript:rna-LATHSAT_LOCUS1113 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSNSNPNFFYHNDHTTPPQPTNSIQLPPQSQSNAALTIQSTYRSHRIRTLYRKISTVDSEADHLQRLIQLQDTVDLIRNNHLEKLKMNEALMNLLLKLDSVPGIDPAVREARRKVTRRIVGLQEILDSVSEAKVDECDWWSLNNWDQVIEQMEESICREKGGDDMENFCAQNLGFRCLQRFLREP >CAK8533283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600265853:600268072:-1 gene:gene-LATHSAT_LOCUS2923 transcript:rna-LATHSAT_LOCUS2923 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLKHKLNTTLSIRGLKKPKPSKSKPYKTYETETSSTQQPPQLTLPPSPSPSPTMHHPIIKNKPFQFPQTHSSVLPDPSNFFSPNLLSTPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSVSYPSRFFNSSFIYQVFNPDLTISSDLYSNTNHRISSYNDLSVTLDIPSSNLRFFLVRGSPFLTFSVTQPTPLSITTIHAILSFSSNDSLTKHIFSFNNGQTWILYASSPIRLSHGVSDITSEAFSGIIRIALLPDSDSRLEDVLDRFSFCYPLCGDAVFAKPFCVEYKWEKRGWGDLLLLAHPLHLQLLSENDYNNVTVLNDFKYKSIDGDLVGVVGDSWILKTDPVSVTWHSTKGVKEESHDEIVSVLVRDVEDLNASAIATNSSYFYGKLIARAARLALIAEEVCFLDVIPKIRKFLKETIEPWLNGTFKGNGFLYDKKWGGIVTKQGSTDTGADFGFGIYNDHHYHLGYFLYGIAVLVKIDPIWGRKYKPQAYSLMADFMNLSRNPNSNYTRLRCFDLFKLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLAYGDTHLVAIGSTLTSLEIHAAQTWWHVKGGDNIYDEDFEKENKVVGVLWANKRDSGLWFAPAAWKECRLGIQLLPLLPISEILFSNVDFVRELVEWTLPALNREGVGEGWKGFVYALQGIYDNESGLQKIRSLNGFDDGNSLTNLLWWIHSRGNEDEEFGHHGKQCWFGHYCH >CAK8566273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399093509:399093916:1 gene:gene-LATHSAT_LOCUS19416 transcript:rna-LATHSAT_LOCUS19416 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKQFPASSWSWSVEKCLKEYGVKFDKGLSSNEVQKWHEKYGSNELAKEKGKSLWKLVSEQFDNMLVKIVLATTFVSFLLAYVQRSESGKFGFEAYIDPLVIILILVLNAIVRVWQENNTEKAREALKEL >CAK8560347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15228470:15231165:1 gene:gene-LATHSAT_LOCUS14027 transcript:rna-LATHSAT_LOCUS14027 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGLCPSIKNILLLDSEGKRVAVKYYSDDWPTNSSKLAFEKFVFTKTVKTNARTEAEITLLENNIIVYKFVQDLHFFVTGGDDENELILASVLQGFFDAVTLLLRSNVDKGEALENLDLILLCLDEIVDGGIILETNGPLIAEKVTSHNMDADAPLSEQTLTQAWATARDTFTRTLLT >CAK8542963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562613483:562613742:1 gene:gene-LATHSAT_LOCUS11758 transcript:rna-LATHSAT_LOCUS11758 gene_biotype:protein_coding transcript_biotype:protein_coding EINHLGYLDILKQICVVTGYILSFIVIWLPHHV >CAK8535624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870619467:870620870:-1 gene:gene-LATHSAT_LOCUS5067 transcript:rna-LATHSAT_LOCUS5067 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIPEHIVWEILSRIKKTSDRNNVSLVCKRLYYLDNAQRHSLKVGSGMDPANQALTCLCTRFRSLSKVEITYSGWMSKLGKQLDDNGLFILANRCPLLHDVSLSYCTFITDVGLRYLASSSELSSLRLNFTPRITGCGVLSLVVGCKNLVRLHLVRCLNVSSVEWLEYLGKLGTLEDLSIRNCRAIGEGDLIKLGSGWGKLKRLQFEVDANYRYMKVYDRLSVDRWQKQYVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDMCVGVRDVDIICLSQKSSDLRSVSFRVPSDFSLPSLVNNPLRLTDESLKALAQNCSKLESVSISFSDGEFPSSSSFTLSGILCLIQKCPIRNLALDHVYSFNDIGMEALCTAENLESLELVRCQEITDEGLQLLSQFSRLCSLRLCKCLGISNDGLKPLLGSFKLDFLVIEDCPQVSERGIHGVAKFVSFRQDLSWMY >CAK8535190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:822555532:822556459:1 gene:gene-LATHSAT_LOCUS4664 transcript:rna-LATHSAT_LOCUS4664 gene_biotype:protein_coding transcript_biotype:protein_coding MESMQMNKGKYRKWLFRCFKTVVDEDDDFKPSRRRYRNATIVTDPVLAYLAAADADGMVLISTTAMEECGNRRRKGGSDTWHSLKMALHETSLMKRIQSRRKTKKSSITRSKSDTTFDAPETPQEVNISNTSSDIANLSEIFSSLQSQGSTTSSNTDYASNTPSHETNIIPKPPPLNGTNGVPKKNNIDNIDEEKRKRNIALCIVWIFSLFVLILWGKLFAILCTSIWLYIVPSKQRRECKEVSLYRESDFDSLRYKKKIIMEGILERTHTRAVLLTAKSS >CAK8577407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561806088:561812126:-1 gene:gene-LATHSAT_LOCUS29520 transcript:rna-LATHSAT_LOCUS29520 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPSSGHSTPSSPQSPVRSPRLRQGRSKAGKFSSGGNSLAQRLSLMLLSVLLRRQGIFLFAPLIYISGMLLYMGTASFDVVPIIKHRSAPGSVYRSPQLYANLRRDMDSDNSSTDAIFTIWKSPYRGGEWKPCVNRSSEELPESIGYIYVDANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWKDHSKFRDIYDEEYFIDALKNDVRVVDKIPEFLMERFDSNMTNVHNFRIKAWSSIQYYRDVVLPKLLEEKVIRFSPYANRLSFDAPPVVQRLRCLANYEALRFSRPILTIGESLVERMRKHSAINGGKYVSVHLRFEEDMVAFSCCVFDGGKPEAQNMSAARERGWRGKFTKAGRVIRPGAIRINGKCPLSPTEVGLMLRGMGFTKNTSIFLASGKIYNAEKTMAPLLEMFPNLHTKETLASEEELSPFKGYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHAKTIKPDKRKLALLFDNPNIGWKSLKRQLLNMRSHSDSKGVELKRPNDSIYSFPCPDCMCRANKTANSKSKSAA >CAK8543289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596054918:596057655:-1 gene:gene-LATHSAT_LOCUS12056 transcript:rna-LATHSAT_LOCUS12056 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCIFLFLLSLTFTLTSSSSEAETLLSFKSTIQDTKNTLSTWSSTSSNHFCNWTGISCSSTSSPFSVTSVNLQNLNLSGDISSSICSLPNLYDLNLANNAFNQPIPLHLSQCSSLKSLNLSNNLIWGTVPSQISQFGSLLVLDLSRNHIEGNIPDSFGSLKNLQVLDMSTNLLSGDVPKVFGNLSKLEILDLSLNPDLVSEIPEDIGELGNLKQLLLQSSAFQGEIPESLKGLVSLTHLDLSENNLTGEVPKSVVSSLKNIVSFDVSQNKLLGLFPDGLCKGGKGLISLSLHTNGFTGLIPNSTSECESLERFQVQNNGFSGDFPVVLFSLPKIKLIRGENNRFTGQIPELISEASQLEQVQLDNNLFDGEIPSGIGLVKSLYRFSASLNHFYGEIPPNFCDSPVMSIMNLSHNSLSGHIPPLKKCRKLVSLSLADNSLTGEIPNSLAELPVLTYLDLSDNNLTGSIPQGLQNLKLALFNVSYNQLSGKVPFSLISGLPASFLEGNSGLCGPGLPNSCSDDDKPRHHVASGFTTLVCALISLAFVAGISLVAGGFILYRRSNKGNEGSVWRSVFFYPLRITEHDLLVGMNEKSSIGNGIFGNVYVVSLPSGDLVSVKKLVKFGNQSSKSLKVEVKTLAKIRHKNVVKILGFCHSDESVFLIYEYLHGGSLGDLICSQNFQLHWGIRLKIAIGVAQGLAYLHKDYVPHLVHRNVKSKNILLDVNFEPKLTHFALDRIVGEAAFQSILDSEATSSCYIAPEYGYNKKASEQFDVYSFGVVLLELICGRQAEKTDSSDDSSLDIVKWVRRKVNITNGVNQVLDTRISHSCHQEMVGALDIALSCTSVVPEKRPSMLEVVRGLQSLESRTCIANLQGPSDEPSVPV >CAK8540608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12434652:12436544:-1 gene:gene-LATHSAT_LOCUS9597 transcript:rna-LATHSAT_LOCUS9597 gene_biotype:protein_coding transcript_biotype:protein_coding MISLANVYHVVTKTVPLYVTMFLAYVSIKWFKIFTQEQCSGINKFVAKFSIPLLSFQIISSNNIYKMSLKLMYADFIQKLLAFLLLSAIIKICGKGGLKWIITGLSLSTLPNTLILGIPLVKAMYKDEAVVLLAQIVFLQSMVWYNLLLFLYEFDAAKNMLYTPPSHSTGESETASEIQSKGEEEEDEEPAGTKRKTKIYHILVTVGKKLIRNPNTYASLLGIIWSSIHFRWGIHMPEVINQSIELLSNGGLGMAMFSIGLFMASQSSIIACGARNTLVAIGLKVLVGPALMALASIVIGLRNTLFKVAIVQAALPQGIVPFVFAKEYNVHPSILSTAILLGMLIALPVELAFYFLLAL >CAK8540609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12434652:12436346:-1 gene:gene-LATHSAT_LOCUS9597 transcript:rna-LATHSAT_LOCUS9597-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLMYADFIQKLLAFLLLSAIIKICGKGGLKWIITGLSLSTLPNTLILGIPLVKAMYKDEAVVLLAQIVFLQSMVWYNLLLFLYEFDAAKNMLYTPPSHSTGESETASEIQSKGEEEEDEEPAGTKRKTKIYHILVTVGKKLIRNPNTYASLLGIIWSSIHFRWGIHMPEVINQSIELLSNGGLGMAMFSIGLFMASQSSIIACGARNTLVAIGLKVLVGPALMALASIVIGLRNTLFKVAIVQAALPQGIVPFVFAKEYNVHPSILSTAILLGMLIALPVELAFYFLLAL >CAK8571173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:322504000:322507628:-1 gene:gene-LATHSAT_LOCUS23863 transcript:rna-LATHSAT_LOCUS23863 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQLLFHPCSFSFSSIPSSKSSYFSSPFTLRCKTKDQSLSLSDMPKKTGPSYPGGLGPFTGRDPDVKKPEWLRQKAPQGERFSQIKESISQLKLNTVCEEAQCPNIGECWNGGDDGIATATIMVLGDTCTRGCRFCAVKTSSNPSPPDPMEPENTAKAIASWGVDYIVITSVDRDDIPDGGSEHFAQTVKAMKNIKPEIMVECLTSDFRGDLKAVETLVHSGLDVFAHNIETVKRLQRLVRDPRAGYEQSLAVLNHAKQSKEGMITKSSIMLGLGESDDEVKKTMMDLRAINVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKEYGESIGFRYVASGPLVRSSYRAGELFVQTMVREKTKHVEGSLL >CAK8534161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701144976:701145536:-1 gene:gene-LATHSAT_LOCUS3728 transcript:rna-LATHSAT_LOCUS3728 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYQNQHGAQTGTTDEYGNPVNQLDQYGNPISGGGSTGEAGRQHLGTTGGATGHGHGHDQQHGGVYQTTGYGTNTGGVGAYGTKPEYEGTNTGSGYGTGTGYGGTGSTEYAREEHHGDKKGVMDKIKEKIPGTEQSRTNPDAAGYGTTGQEYVREERRVDHGDEQRGEKKGIIEKIKEKLPGSGH >CAK8576059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:395458769:395461309:-1 gene:gene-LATHSAT_LOCUS28276 transcript:rna-LATHSAT_LOCUS28276 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFSNGGSEKRAVVGEDEEGSASSSRGSRVSWARSLSLMDSRRSEYDSDSRDFSDTVGFHEFLSQRRANHLVLFSFSDLKTATRGFNRALLIGEGGFGSVYRGTLNHHSHNSQIDVAIKQLNRNGHQGHKEWINEVNLLGVIKHPNLVRLVGYCAEDDERGIQRLLVYEFMSNKSLEDHLLARVPSSVLSWITRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNAKLSDFGLARQGPSEGSGYVSTAVVGTIGYAAPEYVHTGKLTAKSDVWSFGVVLYELITGRRAVERNLPRNEQKLLEWVRSYVSDSKKFHHIIDPRLEGQDCTKSAHKLAILANKCLTKQPRSRPKMSEVVEILDNIINEIVPVDDCISQTVAETGEGKEVNLSVEDAERELAKQGSNSRKKVFEFRDMVSLRNKSIGRFDWKSWAPGLVRTW >CAK8560385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16869542:16870360:-1 gene:gene-LATHSAT_LOCUS14062 transcript:rna-LATHSAT_LOCUS14062 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSAIATPIIQRDYVLKHFPTTVSSTYNSVDFIIGFATEDYNQNGEGKGDFHSTWDLATFSPEKVKELKKNYPDVRVVISIGGYIGTYSPFNPIEKKDVWISTAVYSLKKIIHIYDDKYHRNMIDGIDIHYGNVKSDDFSYCIGEVIKSLKTDPQLTIKVVSITAGEYTQSDYLKLYVENQEYIDIVQYLFTNWRYCKEDLLDFYKKLIASYTPAQVLPGYLNPSFSGDKAKETVMYLVKQYLAPGFFTYPSYDSPSPFSSEEDASKNI >CAK8536872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:31318266:31319347:1 gene:gene-LATHSAT_LOCUS6199 transcript:rna-LATHSAT_LOCUS6199 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLPLLTIFLFLLPSLIQSQMCQRNCGKQPLRYPFGGGPGCGDPRFQPHITCNQQKLTFTTHTGSYPITSIDYTNQIIHISDPTMSTCSCTLPSKGFGLDWNAPFTFDDSTVFALVDCSMNSSSICKSRSYDDGSNAKLQCDQNTQICDVMYSCRPISTNINLPISTCCVYAPVNLGPSFEMDLQKLQCSSYTGFYNYNDQQVDPEKWNYGIALKYKFSVTNDYPSSCEACERSYGFCGYSEAYNSFLCNCPNGINTTTDCFFISYNHGFRNGFAWLIYGVAWCLVGLIL >CAK8536423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940325223:940326233:-1 gene:gene-LATHSAT_LOCUS5792 transcript:rna-LATHSAT_LOCUS5792 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRQSSKDTYTIRGTNKIVRAGDCVSLRPTVVSKPIMARVEKIEHDDMNGKRVRVRWYYRPEEAIGGRRSFHGDKELFLSDHYDVHRADTIEGKYYVYSLKKYTKLATVGAEDYFCRFRYKVATGAFKPRLVHVYCKCEMPYNPDRFMAKCETCGERFHPECVGIAFEKAMRMQDLGFVCAECYPSDLD >CAK8578573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639021562:639021906:-1 gene:gene-LATHSAT_LOCUS30571 transcript:rna-LATHSAT_LOCUS30571 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRVTESLDSLWFYTNVFTASTHEHAAPSLTSPFSPALEEEVVRKSVRESEKKERRKRRKKTVAIVKQQNEMPPLEDDVAMKQHLKSWAYAVASHALSNKPISKVKLMNSLV >CAK8565597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:287966068:287971091:-1 gene:gene-LATHSAT_LOCUS18794 transcript:rna-LATHSAT_LOCUS18794 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVAKRKKGRPSKADLARRAAEAQASATESDSRRSLRRKNVRYNIIDYDGDYIDDEEDERRREKKKLKLMTKLHQGEQEQESEDHAPMEEEEECNEIEGEVENEEEEEEEKHEEDGVIKGTKVDSKGLHSISVSGTPVNHPHGIPLPDRKILELILDKLQKKDIYGVFAEPVDPEELPDYHDVIEHPMDFATARKKLANGSYPTLEQFESDVFLICSNAMQYNAPETVYHRQARTIQELGRKKFEKLRIKFERTQVELKSEQKTQSNSLAKKSLKKPPGWASQESVGFDLSFGDVQRTSYPMQGVNSCERPATIDGIVEANAFFIDANQDKAEDVMSGKNILSKMGRKSFVLDENRRGSYNMSNQPITRPDSTCMTFESGMRQLVTVGVHAEYSYTRSLARFSASLGPIVWKIASHRIQQALPADCKFGRGWVGEYEQIPTPIFKLANHLQKETSLITKSHEDKNCKDVEPKTENSVNGRMVEGKHFSDCLASGPAFEGNPSIGSAGVKPNASPPNIPNQHNLGIPENKTLKKVEPKSLPLSNQNIPTTESKIKEMAPRNLNTLPSTNIKQPDTNEVVSGELPNGKVMNTSSNNRSTHPSSDSTSNQTIRAAPFLFRGQEQGSSDPVKSMRMFTEEARKPQTSHHSPVDTLPQTPSIPSAQREASGNASAAAAQTWMSSGAGGFKLEPENSGSSKNQISADSLHNSTREFHQHISRIQGEFPSGGMSLQSNKNNFPFHSSRPQPSHVSAVSQLPNRPRIFPQSTSADQSRFQMQTSWQGLSPQSQPRQKQETLPPDLNIDCQSPGSPAKQSSGAVDSQQPDLALQL >CAK8538068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461414319:461416695:1 gene:gene-LATHSAT_LOCUS7308 transcript:rna-LATHSAT_LOCUS7308 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTNGVAEEENFNQEKKTTTENLYDVVHSLISEPSYTVNRIKTSLSPFIPEASRNYTRRVLLWSRQGSPLRPLLLISVGTIALVPLTGLITFILLLLVATINAIVVSLLISLAVAGGFLALFFALVTATYIGALSVAIFAISTITFWTTVAIVITTGWVGFFYTVWLVTTKSLGFAKHSISASGSAISTYSAAWGSRNLLHKHSD >CAK8537413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:345785232:345785870:1 gene:gene-LATHSAT_LOCUS6706 transcript:rna-LATHSAT_LOCUS6706 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRRSLLLLAVVSIGCLFASSVAKEEGTTKSGIVIGIDLGTTYSCVGVYKNGHVEIIANDQGNHITPSWVPFTDSERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGETKVFSPEEVSVMILTKMKETAEAFLGKTICDVVVTVPAYFNDAQRQDTKDAGVIVGLNVSRIINKQQQPPWNLHNARTNH >CAK8575213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:54068450:54069454:1 gene:gene-LATHSAT_LOCUS27497 transcript:rna-LATHSAT_LOCUS27497 gene_biotype:protein_coding transcript_biotype:protein_coding MTETEMRNFIMVWSIATTLFWYSYIIGKVIPKGKGRLIALFPPILIFLLLPLRLTSMHLGLISSFFLAWLSTFKLILYAFAKGPLSSNPPPSLPHFLLLASLPIKFQQKDHINQNQNNINIAPENWRELVVMAIISYICIPLYGQKENLHPFILLSLHGLHFYTGLELFLSLITTIVRKLIQIDLEQPFDKPYLSTSVQDFWGRRWNIMVSRILHPTIYEPMLKTFTHVIGRKWAPLPAVVVTFTVSGLMHELIFYYMKQKTATWEAWEPCWDSMFFFLIHGVGVALQIGHAKIFKPKQLLPRVVSWMLTMIFIVSTSVCLFLPALARCGVTST >CAK8563701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625902202:625904541:1 gene:gene-LATHSAT_LOCUS17082 transcript:rna-LATHSAT_LOCUS17082 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELIHRNQTKDQSENQITDSPSSPRRENSHPKTRNMLNRVPFLFIGIAIATLFFHYLPVRSTLPQHSDADSSLIETDLALPTRRVLLEERRTLQERRQRVPLSVGLKNKKTKRVLITGGAGFVGSHLVDRLIERGDNVIVIDNYFTGRKENVIHHMGNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGNVNPIGVRSCYDEGKRVAETLAMDYHRGAGIEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKVPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRPNTEDDPHKRKPDISKAKELLGWQPSVSLRQGLPLMVNDFRLRLFGDSKENEAKGASAE >CAK8532477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269178938:269180536:-1 gene:gene-LATHSAT_LOCUS2179 transcript:rna-LATHSAT_LOCUS2179 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNLNDLVSTILNKINHLNHLKQLQSHLITLGHSQTHFYAFKLLRFCSLKLSNLPYAHRIFNHVQSPNIYLFTAIITAYSSQQNNNSLTFSLFKNMLNSPIRPNNFIYPHVLKSLKERFLTGSVHAHVIKTGFLRYQVVETSLVDSYSKVLGGLGDAKKVFDEMPERNVVCFTALVSGYLRVGDVENGLEVFGEMVERDIPAWNAVISGCTQNGFFSEGIRLFREMVFVAGCGEGGFCKGNKPNQVTVVCALSACGHASMLQLGKWIHGYVYRHGFVVDSFVSNALVDMYGKCGSLEQARKVFEMDICKGLTSWNSMINCYALHGKCDDAITVFEQMVEYGGGGVKPDEVTFIGILNACTHGGLVEQGCGYFEMMIKKYGIEPHIEHYGCLIDLLGRAGRFDEAMDVVKGMSMEPDEVVWGSLFNGCKVHGRTDLAEFAAKKLVEIDPHNGGYGIMLANIYGELGKWDEVRNVWYKLKQQKSYKIPGCSWIEVDDQVHQFYSLDQSNLKTEELYSVLESLIGYRKEVMLEM >CAK8540266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547757160:547758239:1 gene:gene-LATHSAT_LOCUS9288 transcript:rna-LATHSAT_LOCUS9288 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSTTLLKMDNIPNKGRGLIAAQDLKAGQIVLTESPLLLYSAEPLFSPSPSPYCHHCFRTLQPSQTFPCPSCSNYLFCSQNCLSIALNSSHSSWTCQTLSHLQNPTSPLSEKPSELQVQTRFVVAAYNLAIHTPSDLQALLSFHSIPNDDETDAIVYASKFIHSLISPFCPPRMNFSPQLAAKLISIERVNSFCLMEPYSPNGPQRSIKAYGIYQKTTMCNHDCIPNACRFDYVENGEPGDEHNTDIVIRLIKDVSAGSEICISYFRINKDYATRKRILMEDFGFVCDCDRCRIEANWNEGENKDSDLPHVIFLSKFVCDKVNCSGTLAPLPPKDGEKSNVLECNFCGNLRLDSTT >CAK8578253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615072618:615076349:1 gene:gene-LATHSAT_LOCUS30280 transcript:rna-LATHSAT_LOCUS30280 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVPVQQHYNLNSPTSFIDSPLHVLNAVDARTAASTIDDISASNDHGASVDCMNESHGNCLPLHSVEVDEDRSSLETSESSRGLYDVNVITFDDVSPIESARARFIQIVMDHFIEDRLIEVLDSDADYGDQDKMNKRRTREIRYEGDPNFALPLMYVANMYETLVNEVNIRLASLSGIRDKSIGVALEAAGGLYRRLAKKFPKKGPCIYKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLKIVENPNSVPIDDAEWFKRLTGRNEVAVSSNDYKFYSPRHKYRRGTSISLPNIQDIPSYSGAESSTTMTTQGFRSPQNQQQTPNKHHLQSLPHQPQFHPVLQSNQIMHQTQHAGPYSHNHQNGSSSHLSEISHSHQPSISQHMSCLQSLTGGHVGGRMHMLPPSPAKFCDECGAPYLRETSKFCSECGSKRLGT >CAK8563204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582564720:582565435:-1 gene:gene-LATHSAT_LOCUS16638 transcript:rna-LATHSAT_LOCUS16638 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRESPMVSISYNKDDYFVFPPINHENLNPLTNHQIPCSKSKSQLQLLSQLPPSSSSSDCHMSGEYSSLSPPPLDSSLRKGGDFIGWMSIGFQILRSKFFSAVSSFQNPGGAIRSYGLPAAIVVIIVVMLTKREESKRNLTPNESRLLQIIMEKDGKIAQLLHQIAQMNEILIDSHKALAGKVVK >CAK8574609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3825783:3829019:-1 gene:gene-LATHSAT_LOCUS26938 transcript:rna-LATHSAT_LOCUS26938 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTVTKRLGNKLFPPLSSTSRLLHSHATSFGFKHVNEEEKARMVGDVFTSVAANYDTMNDLMSAGLHRLWKERLVSMLNPFPGMKHLDVAGGTGDVAFRILDNINRVKQRGLPNALKDSLESETQIYVCDINPNMLNVGKQRATEKGYGEDGSLVWVEGNAESLSFQNDSMDGYTIAFGIRNVTHIEKVLGEAHRVLKPGGRFLCLELSHVSLPIFKELYDLYSFSVIPRIGEMVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >CAK8544871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709729557:709730462:-1 gene:gene-LATHSAT_LOCUS13516 transcript:rna-LATHSAT_LOCUS13516 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETCTLSNPILCLLIFLTLFSSTNAGDIVVYWGQNEREGSLTETCNTGLYKIANIAFLSIFGNGRKPQLNLAGHCNPLSNNGCKSLSIDIKNCQKKGIKILLSIGGGVEGYSLSSNEDARNVGDYIWNNFLGGTSKSRPLGDAVLDGVDFDIEVGGGEVFYIELARRLVQHRGNKKVYLTAAPQCPFPDQNLKSALSTGLFDYIWVQFYNNGPCQYDSSNPNKFQKSWNQWVSTIKVSKIYVGVPASLSSASSGFVPARVLVSQVLPFVKRSGKYGGVMLWDRFADKQNGYGRIIKPSV >CAK8544587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693883338:693885604:-1 gene:gene-LATHSAT_LOCUS13248 transcript:rna-LATHSAT_LOCUS13248 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLFYLVPLVLFFCCSQGRLLSIATKPDPKDAVATARWLVSFNFWGVLSTISTDLDGAPFGNVVSFSDGLPNQGVGIPYFYLTTLDPTARHALKDGRASFTVSEYPLGTCGKIDPENPTCSKITLTGKLKLVDEKSKEAEFSRNALFSKHSEMMDWPEDHDFQFFKLEIEKIFLIDWFGGPKPITVEQYLHPKMNNHAFIV >CAK8567482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517642162:517642879:-1 gene:gene-LATHSAT_LOCUS20529 transcript:rna-LATHSAT_LOCUS20529 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVEKKNNVEAEKPIAPPSNLERTTSIDNEPKTLLQEELNLVREEALKVINTHPKMEALKIFLKGLKPVTISTQPSENDVKYDDEDEDEYNYEYDDEDEYELDDEVNDYDE >CAK8572458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538436284:538439180:1 gene:gene-LATHSAT_LOCUS25024 transcript:rna-LATHSAT_LOCUS25024 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITSCDSGSFSTENIRDQDGVKHHNHHHQQNEILGQFHSPHSHTSTTTTTNNSNGSNTNLQPPLKRKRNLPGNPDPSAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTTNEIIKKVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKANEGSVQLSNMQHQQIPNLVSSILPLNQNNSHIVGASEFNLSDHKHPLSSPHDLMSVPVKPFNSNIFTRSLSSSTSSPSLQLSSNNSLNNILEENGSLHLSAAATSPHMSATALLQKAAQMGATVSNSNAGMVMTDKTTVATNMMAPPPLFGVVQQQGQSFMNHYMQQQQQPQYINNNFNGNVMISGGGVNGSMNGVDMFNAILDQSKALSKIIEQNNQTQSMNNVGGGGASSNIMNIGGSKGSGGDVMTLDLLGIGGGGAHGNFYGGGGTQQQQQQHQAESAAAAVAADEVWRNWSTKNGGFETFSATSNI >CAK8534296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714073786:714074130:1 gene:gene-LATHSAT_LOCUS3851 transcript:rna-LATHSAT_LOCUS3851 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGRCESSKEISLSKATKILSKFVSADNGASQVINVYLRRASDAFNELNQLHRELKPSQSRRKKIRSHVTDDSGRVGVSSVTSADVKSEIGIIREKVCGENVDEKLIENDV >CAK8539510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514622171:514622954:-1 gene:gene-LATHSAT_LOCUS8596 transcript:rna-LATHSAT_LOCUS8596 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFFLTIFLVFIFQNSVTCNDTAGANIHYPFTTLSGFEIFHKDNITTIHFPSYGNLTVKSVSYDSRKIDLLDPKNCVPRVFLNLNLTLTPFQYYYVLQNYTYLNCSTTLLHTNFIEVPCLSHSNSHVYTVDPQVSIPSSCKRVKTVAIPFKYSPYISDNSLGLRLTWKLRESEEIKQGNKTRDSYTARNTVFGLSICFVVMAIVIGIIKVHLSIRSLHKKEEQLLADF >CAK8530519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27389828:27399410:1 gene:gene-LATHSAT_LOCUS377 transcript:rna-LATHSAT_LOCUS377 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTENHAYHGASSPDKPISRGSGHESTRMRRRDGDRKTFHDNRDRHRDDKYEGFRGRGRYDSYNRQRGRDYDRHNDYDRDRDTRHRYGGHSKRSRRESRSRSRSKSPSQSEGKRTSGFDMAPPATGVTPAVSGQMPGIAHTIQGATQNFSPYGMSQIGALSLMQVQPMTQQATRHARRVYVGGLPPFANEQAIATFFSHVMIAIGGNSAGSGDSVVNVYINHEKKFAFVEMRTVEEASNAMALDGIVFEGVSVRVRRPTDYNPSLAAALGPCQPSANLNLSAVGLSAGTIGGAEGLDRIFVGGLPYYFTEAQMRELLQAFGPLRNFDIVRDKETGNSKGYGFCIYQDPAVTDIACASLNGLKMGDKTLTVRRATVSGHSKPEEDNIFARAQQHVAMQKIALEVVGLNIPGVERGPTNEESPTKVVCLTQAVTTEQLNDNGEYEEILEDMRDECCKFGTLMNVVIPRPNPNGELSAGIGKVFLEYSECSGSLAAKNALNGRKFGGNIVTAVYYPEEKYHSMEYDL >CAK8537975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452633382:452639228:-1 gene:gene-LATHSAT_LOCUS7221 transcript:rna-LATHSAT_LOCUS7221 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSKSCKCEIVLWFLFCCCFVLAVTQRTDPIEVDTLRIIKQNLIDINGNLSNWNDGDPCTSKWAGVMCSNTTVDDDFLHVQRLHLMNMSLAGTLVPEIGKLSHLKILDFMWNNITGNIPKEIGNIKTLRLLLLNGNQLTGHLPEELGYLPVLNRIQVDQNNLTGPIPLSFANLTNAQHFHMNNNSLSGPIPPELSGLRNLLHLLLDTNNLSGNLPDEFAEMPSLKILQLDNNNFGGNTIPNSYGNMPRLLKLSLRNCNLTGPIPDLSKIPHLGYIDLSFNHLNESIPTNKLSENITTIDLSNNNLDGTLPSYFSDLPHLQKLAIENNALSGNVSSSIWQNKTSNGSEKLLLNMQNNQLTGISGSTTNLPPNVTLMLEGNPICSNNNNSTIVKFCGSETENDMNRNSNITCPSQPCPPPYEYSPECVCAVPLLVYYRLKSPGFSDFNAYVEEFENFLASGLNIENNQLFINSFMWEEGRLRMHLKLFPVYVDNANSYKFNDSEVIRIRDLFREWNIHENDLFGPYELLEFILLDPYKDGLVESSSSGISTGAVVGIVLGAIAISVTLSAIVAIFILRIRLKDYRTLSKGRKGSKISIKIDGVRSFNFEEMALATSNFSESAQIGQGGYGKVYKGNLHDGTVVAIKRAQEGSLQGEREFLTEIQLLSRLHHRNLVSLIGYCDEDGEQMLVYEYMPNGTLRDHLAAYSKEPLTFAMRLKIALGSAKGLVYLHTEADPPIFHRDVKASNILLDSKYIAKVADFGLSRLAPVPDIEGNLPAHVSTVVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLEIVTGKPPIFHGENIIRQVKLAFESGGIFSIVDNRMGFYTSECVEKLLILGLKCCKDEPDERPKMEEVARELENILSMMPEYHAKKGGEYDTSDSGSTFSSQPSSSVVKNPFVSEDSLGIELVSGDIPTIRPR >CAK8540939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33509370:33510083:1 gene:gene-LATHSAT_LOCUS9893 transcript:rna-LATHSAT_LOCUS9893 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKNIDISSTTNQIQAPTNYFSMQTLDSSIQSLIKSWKRRQRWLFLVTTSTQQEYLFNRATWRTQLVNFLESTIIRAISISLLVTDLIITILELSSSLISCKQKVNIIEELCFHWIGIGILSIISMKIIGLLVGLGFSFFKHPGYVVDGVVAIGALIMEVFMERRGGGLLVVVSLWRVIRVVESVFELSDEAIEAQIEGIVCQFELLKNENIRLLEIIHEKDEIIEKLKEELLKY >CAK8564680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6999410:7010978:-1 gene:gene-LATHSAT_LOCUS17959 transcript:rna-LATHSAT_LOCUS17959-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLNAALEEICSQVEHGLTLQSLWSKLHSSLSSPLTPSFQLSIFTTLLRIPTLRFEPPNPNFDTNHANIKIFPQQTLAHNFLGLYDPQSLQQAQLRVLHLLANAKQDGITQAQLSKLLKIDPNNFHYVLRSLECKGLIVKRSALEKKKQIGGISTSSNYVPTNITTNLVYLRRYAKSIAEHQRFELQITQFNNPNEKSELQTNVILADYEPQIKAISDKLANANGKVLPVIVIKKDLGYTGSRPKQKAWRQIAHRLKTHHIVEQFDAKVNGKIEPCMRLLDPITTGSEKEDKNSDSRNVSQVNDQFVELPVEHQIFDMVDTAGSDGISIKEICDRLQIDLKKNHLRLVNLCYRFGMKAQEEQCDKAKTIRVWTSRNFNPELEVSLIHKLDENKILDQHVPDSSSKIRTESEASTFKGELVGPDQLEDIGAGSKLLCASTNNVETPTNLQDSALNQRGTLSHSKPVSLPRGGNSALSEASYDVSTPFAPRSYPRNPSLTITSDSTKRAIRILEKLKDERFVLRPELNRWLNTFEKNKSKKVDRKTIDRILAILQEQGQCKCITVHSPVIAEYSRTTDCVVIMHPSLSLSPELFDEMRDRVRSFNTFIRSKSIRPRKNDESIPVMEDIQKVQSDRVPGRQAEVAEAMRANGFILSKMIRAKLLHCFLWDYLHKSESHSDTLSSNGLDDNPHSSSKLFSLDAAIKAIPLELFLQVAGSTKKYEEMVDKCKMGLCLADLPPNEYKCLIDTLATGRLSFVIDTLRRLKLIRMITSQSTEGVKTPHSLTHMMELRPYIEEPLSNDEAYLNFMSHDLRPRIRHDFILSNRYAVDEYWRTLEYCYAAANKKAALYAFPGSVVHEVFRFRSWASNRVMTAEQRAELLKHVSKHDLSEKISYKDCEKIAKDLNLTLEQVLSMYHSKRRQGLNQLNDEESENNSLQRKGNSSCRRKKDSPEFRPSKYARIDADVMDKHIDEQHNVDIHSEELVTHVQEFEEGNYEIEGSQDCSPSISQSILTSMTAIRPLRQSRFTWSDKTDRQLVIQYVRHRAVLGANYHRTDWTSLTDLPAPPHACRRRMAILNGNLRLRKAVNRLCSMLSERYAKQLEKAQNLSSNTDDCRLFVQSQSSKGVHNSFSPDVEIQKGSLNGEAWDDFENKSIKTALEEILRCKMMAKLDASSQNVQLQYENCNRYESQENKKTTSAVHSEIIQSHHGKPQTFSSQRSCLDMKISKFLNNRPSVYGQVYESLAVSNAVELFKLVFLSTATSPLAPNLLADILRRYSEHDLLAAFNYLREKKIMVGGNNSRFELSQRFLHSVSQSPFPFETGKQAVKFSAWLKERDKDLSVMGTDLAENLQCGDTFHLFALISSGELSISPSLPTNGVGEADDLRSGKRKADASGSSFSDKAKKLKSSFGTEGEIISRREKGFPGIIVSVHRTTVSRADILDLFKENDNNNNDQHCEGNFQVNSAQSSNCSLTDHMLETVNSCDQVPENKNHIESPWEAMTEYVRHLMTVPSNQEQKCAVCAEVFMVVYDTIKKAGDQGLTMGEISQAINLPGADVDGLIVDALQVFGKALKVNAYDSVRIVDALYRHKYFLTAVPGFHPVQPSSNKTVKESDNTCKLYKSEESGFAAAADVLRKRNTGLDNVHKVTILNHPHEDVDHENQAFDKNEGCMQIRFGQSRHDHEKEIIKFSSGELCMPILPWVNGDGTINSIVFKGLERRVLGIVMQNPGILEEDILRQMHVLNPQSCRTLLELMALDKHLIVRKMYQRKFGGGPSMLQNLIGSKSSQEKWICAEHFFANHMSTSLL >CAK8564681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6999410:7010978:-1 gene:gene-LATHSAT_LOCUS17959 transcript:rna-LATHSAT_LOCUS17959 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLNAALEEICSQVEHGLTLQSLWSKLHSSLSSPLTPSFQLSIFTTLLRIPTLRFEPPNPNFDTNHANIKIFPQQTLAHNFLGLYDPQSLQQAQLRVLHLLANAKQDGITQAQLSKLLKIDPNNFHYVLRSLECKGLIVKRSALEKKKQIGGISTSSNYVPTNITTNLVYLRRYAKSIAEHQRFELQITQFNNPNEKSELQTNVILADYEPQIKAISDKLANANGKVLPVIVIKKDLGYTGSRPKQKAWRQIAHRLKTHHIVEQFDAKVNGKIEPCMRLLDPITTGSEKEDKNSDSRNVSQVNDQFVELPVEHQIFDMVDTAGSDGISIKEICDRLQIDLKKNHLRLVNLCYRFGMKAQEEQCDKAKTIRVWTSRNFNPELEVSLIHKLDENKILDQHVPDSSSKIRTESEASTFKGELVGPDQLEDIGAGSKLLCASTNNVETPTNLQDSALNQRGTLSHSKPVSLPRGGNSALSEASYDVSTPFAPRSYPRNPSLTITSDSTKRAIRILEKLKDERFVLRPELNRWLNTFEKNKSKKVDRKTIDRILAILQEQGQCKCITVHSPVIAEYSRTTDCVVIMHPSLSLSPELFDEMRDRVRSFNTFIRSKSIRPRKNDESIPVMEDIQKVQSDRVPGRQAEVAEAMRANGFILSKMIRAKLLHCFLWDYLHKSESHSDTLSSNGLDDNPHSSSKLFSLDAAIKAIPLELFLQVAGSTKKYEEMVDKCKMGLCLADLPPNEYKCLIDTLATGRLSFVIDTLRRLKLIRMITSQSTEGVKTPHSLTHMMELRPYIEEPLSNDEAYLNFMSHDLRPRIRHDFILSNRYAVDEYWRTLEYCYAAANKKAALYAFPGSVVHEVFRFRSWASNRVMTAEQRAELLKHVSKHDLSEKISYKDCEKIAKDLNLTLEQVLSMYHSKRRQGLNQLNDEESENNSLQRKGNSSCRRKKDSPEFRPSKYARIDADVMDKHIDEQHNVDIHSEELVTHVQEFEEGNYEIEGSQDCSPSISQSILTSMTAIRPLRQSRFTWSDKTDRQLVIQYVRHRAVLGANYHRTDWTSLTDLPAPPHACRRRMAILNGNLRLRKAVNRLCSMLSERYAKQLEKAQNLSSNTDDCRLFVQSQSSKGVHNSFSPDVEIQKGSLNGEAWDDFENKSIKTALEEILRCKMMAKLDASSQNVQLQYENCNRYESQENKKTTSAVHSEIIQSHHGKPQTFSSQRSCLDMKISKFLNNRPSVYGQVYESLAVSNAVELFKLVFLSTATSPLAPNLLADILRRYSEHDLLAAFNYLREKKIMVGGNNSRFELSQRFLHSVSQSPFPFETGKQAVKFSAWLKERDKDLSVMGTDLAENLQCGDTFHLFALISSGELSISPSLPTNGVGEADDLRSGKRKADAKGEIISRREKGFPGIIVSVHRTTVSRADILDLFKENDNNNNDQHCEGNFQVNSAQSSNCSLTDHMLETVNSCDQVPENKNHIESPWEAMTEYVRHLMTVPSNQEQKCAVCAEVFMVVYDTIKKAGDQGLTMGEISQAINLPGADVDGLIVDALQVFGKALKVNAYDSVRIVDALYRHKYFLTAVPGFHPVQPSSNKTVKESDNTCKLYKSEESGFAAAADVLRKRNTGLDNVHKVTILNHPHEDVDHENQAFDKNEGCMQIRFGQSRHDHEKEIIKFSSGELCMPILPWVNGDGTINSIVFKGLERRVLGIVMQNPGILEEDILRQMHVLNPQSCRTLLELMALDKHLIVRKMYQRKFGGGPSMLQNLIGSKSSQEKWICAEHFFANHMSTSLL >CAK8540202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544855184:544861294:-1 gene:gene-LATHSAT_LOCUS9230 transcript:rna-LATHSAT_LOCUS9230 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKERILNPEPFVPEGTEYAKSKKSSKAARKHQQEGDLIDSHISSKIMKQALIQLKEVEDEDETNEQHVTRTSFNRLEEEPRKVEEDNNGDDIDDIDEFAGFDENQSHFVGFDEINEDDEKLMDAFLSKDPGSQTTLADVLVKRLKASDATAVSENRPMPKLDTSVMEIYKGVATLLSRYTVGKIPKAFKFIPSMKNWEEILYITEPENWSPNALYQATILFASNLSAKRAERYYRLVLLPRVREDIKKNKRLHFALYQTLKRSLYKPAAVFKGILFPLCESRTCTLREAVIVGSIIEKCSIPPLHSSVALMKLAGMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFENETRKMPVIWHQSLLAFVQRYKNELQKEDKDNLKLLLEKQNHALVTPEISRELNNSRNRGEKEDDLMSISAPVSVINKTIEENRFDIPDVPMELD >CAK8578980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665913877:665916196:1 gene:gene-LATHSAT_LOCUS30957 transcript:rna-LATHSAT_LOCUS30957 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHINNIIHHHYIQFLFMELQQPLLPKNISMLAQNGDSVPLSKTTNISETSNDFCYHPESFKKLVEMDLPCNESVEEKLLWLRSQIIGDDDAEFDSSFGRRKLVYADHTASGGSLRCNENFIIKHLLPFYGNTHTCDSYVGSRTTKMLHEATEYIKKCLGGGEGDAIIFCGSGTTSAIKRLQEVMGIAVPSILRERMMMCLGEEERWVVFVGPHEHHSNLLSWRQSLAEVVEIGLDDKGLLDMEALKLQLEAYKDSNRPLLGSFSACSNVTGIYSDTRAIARLLHQYNGFACFDFAASGPYVEIEMRSGKVDGYDAVFISPHKFLGGPDSPGVLLMNMALYQLRSAPPSTCGGGTVTYVNGFNEKDTLYMENIEERENGGTPPIIQTVRAALAFWVKEYIGYKEIEKREHIYITKALKRLVSNPNIKILGNLHAKRQAILSFLIYSTTNSRLSTDSSCQEQESELNLWQEMGNQRGKPLHGPFVAALLNDLFGIQARGGCACAGPYGHELLNINKSQSLAIRSAVQQGYIGVKPGWTRVSFPYYMSEEDFEYILSAIEFLALYGQRFFPLYTFNLRNGSWRMKTESFEALHKEENCNFSNHLLGNNMEEVKQQDVVVRRSQSYFDMAKYIANSLPKFPPQGVLQEDIDSDTLYFKV >CAK8543661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:629900523:629902364:1 gene:gene-LATHSAT_LOCUS12406 transcript:rna-LATHSAT_LOCUS12406 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFSVVKNLDRVWNVGLAAAEGAWISGASRIIGVDLVSSRFELAKKFGVNEFMNPKDHDKPVQQVIAEMTNGGVDRAVECTGSIQAMISAFECVHDAKTKFRKAEKDLIKIQGDYDAADLPTDLETLTNEERFLFRKIGLSMKPYLL >CAK8562230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459384639:459386117:1 gene:gene-LATHSAT_LOCUS15745 transcript:rna-LATHSAT_LOCUS15745-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAATLSCNPSKKFLRINHPKQRYSSLSASRSFTCGFVPFKLWVASAMDGFKRTLDRNGKSDEQEAFADRSSEYQPRFLFHEIESTLNQLSKWIVTFFFGVFIIWRHDAEVLWFTAGSILNVMLSILLKQMLNQKRPSTLKSDPGMPSSHAQSIFFTFMYIILSSVRVSRIDELTSISCGLAFAISSYFSYLRVSQKFHTVDQVVVGAVIGSVCSVLWYWLWNDFMLDAFVSSLWVRILVVLGTAGIFLCFLLRVILRWL >CAK8562229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459384463:459386117:1 gene:gene-LATHSAT_LOCUS15745 transcript:rna-LATHSAT_LOCUS15745 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIILSSSNNILKLSFQMTTAATLSCNPSKKFLRINHPKQRYSSLSASRSFTCGFVPFKLWVASAMDGFKRTLDRNGKSDEQEAFADRSSEYQPRFLFHEIESTLNQLSKWIVTFFFGVFIIWRHDAEVLWFTAGSILNVMLSILLKQMLNQKRPSTLKSDPGMPSSHAQSIFFTFMYIILSSVRVSRIDELTSISCGLAFAISSYFSYLRVSQKFHTVDQVVVGAVIGSVCSVLWYWLWNDFMLDAFVSSLWVRILVVLGTAGIFLCFLLRVILRWL >CAK8540326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552829046:552831618:1 gene:gene-LATHSAT_LOCUS9340 transcript:rna-LATHSAT_LOCUS9340 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSTLRAPLVFSKNPKPVSLSSLHSRIYLSPRSPRFPSLRFISATGDTGDADKPSSNISDEWGEGSEPETKPFTYFKLPDSDPPKDEDEWGKGAGAGAGSYNDAGNGTPTFTAEAPSEEEAEDGVDENLEGLKRSLVDTVFGTELGFRARSEVRAEVSEFVAQLEAANPTPAPVEEPDLLNGNWVLLYTASSELLPLLAAGSLPLLKLDKISQTIDADSFTVVNSTTLSSPFASFSFSVSASFEVRSPSRIQVTFKEGSLQPPEIKSKIDLPENINIFGQQLSLGPLLQSLGPLENVVENISRVISGQSPLKIPIPGERTSSWLITTYLDKDLRISRGDGGLFVLAREGSSLLDQ >CAK8567896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555349787:555350437:1 gene:gene-LATHSAT_LOCUS20910 transcript:rna-LATHSAT_LOCUS20910 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQNSFNRGRPLSRRNSLKPNKKSHKITKNSPVQTPHSDVTAPQNFKDVKQRRTGNAQPTAASDVSPAARLASTKRTTPKKKEKPSWSNENDDMMRMLDNGVKMSQVPGILTPEPAKLPPLTPENFSPITWPATPTDAFSQIFPSRTRTPETLQQRRMGGFSPELRPQSQTALSYNDVRPENNAFANPTESLSPEAGPPQSPESPPNQFNPFNYD >CAK8574839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11122385:11152800:-1 gene:gene-LATHSAT_LOCUS27140 transcript:rna-LATHSAT_LOCUS27140-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYDEIVKEVASYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNVNEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLQTEVKSVEMHHEALAEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGAANKIKKK >CAK8574838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11095580:11123837:-1 gene:gene-LATHSAT_LOCUS27140 transcript:rna-LATHSAT_LOCUS27140 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKSRYDEIVKEVSSYMKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFAPTGLQTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKGVEKKDPSASKITKSALKKK >CAK8564853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13101776:13102327:1 gene:gene-LATHSAT_LOCUS18111 transcript:rna-LATHSAT_LOCUS18111 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVSRFSIPSWITSHFLSCSYTTKIFHAQDKINVLHTEFQPNGFDSTTFDVACNMFDEMSELTVKSATSIICGFSRRHFHEDAIYLFSRMFASTIRPNEFTFGTVLHSSTRLGNVVVGKQLHGRAIKTGLGGNVFVGSALVDLYVKLSTIEEAQMAFEDTRYPNVVSYTTVDWWLFEDGKV >CAK8577506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569547670:569549343:-1 gene:gene-LATHSAT_LOCUS29608 transcript:rna-LATHSAT_LOCUS29608 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPLIQRARTTMLSSSFSFKLRTNYVYGNYFFKTLSTSALPNEYGRFPHQPQQQPSDRNTPFHPPQHFNHHNQFPHDNHNAQQGRFPPQQAWNPQNRPPPPPHHQNPNFRPQPPPQNPNFRQPTPQNPNFQSPSSPNQWNNQNQWNSQNGHPNQFQNPNNQFQNPNQLNERGSVQEQALPPSIVDLTRFCSEGKVKEALELMEKGVKADANCFELLFDLCGKSKSVEDAKKVHDYFLQSTFRSDFKLHNKVIEMFGNCKSMTDARRVFDHMPNRNMDSWHMMIRGYANSTMGDDGLQLFEQMNDLGLEITSETLLAVLSACASAEAVEDAYLHFETMKSKYGIEPGVEHYMGLLDVLGQSGYLEEAGEFIKNLPFEPTVTVLETLKSFARLHGDIDLEDHVEELIVSLDPSKVVANKIPTPPPKKYTAISMLDGKNRIIEYKNPTLYKDDEKLKALSSMKDAGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPPRTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >CAK8532864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539511355:539512556:-1 gene:gene-LATHSAT_LOCUS2527 transcript:rna-LATHSAT_LOCUS2527 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALATEESTKRKAAKEVMKSLTSQIKDLAEKLPPEVYDAMNIRQAYLPNGLESNGNHYPDSNGEQHADAESIISGSSIASIRLESSLFRTAGDFPGANGTNLHQQIRGSVTSDGTGNYPDVKLPNGSSVIQSSSSSLSDIVEGRDSGNFRNDESGLKLTDAALATNSNNQVDAEWIEQYEPGVYITLVAMHDGTRDLKRVRFSRRRFGENQAEIWWSEKREMVYEKYNVRNSDKSSSQAPHKSQVAGSPISQT >CAK8542321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501512227:501516701:-1 gene:gene-LATHSAT_LOCUS11168 transcript:rna-LATHSAT_LOCUS11168 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVELLHVTQPKQLEVEQQTKDQVELEEEDDDDISYSSDSEIDDALDWLDSKEDEYESIDGSSLSSWRPNAHGGHHSHSSTLQPLSNRNQRFSHHIRASPLEEWEGRMNIGMSNTVTTAIRASVRDMAIGKTRTTEKADRATVEQAIDPRTRMVLFKMMNRGVFQDMNGCISTGKEANVYHATKSNGDELAIKIYKTSILAFKDRDRYVKGDRRFIKGYCRSNPRKMVQTWAEKEMRNLFRLKAEGIRCPTPHLLRLHILIMEFIGKDGWAAPRLKDADLSLDKLREGYVEMIVAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQSVDLDHPLANDFLREDCTHVSDFFKKHGVGVMTVEELLNFIKDASIADDAVDSYLEELQQKILARDVSIEDETADLVLAKSDILKKLDDVKIAEEDVRHMTEPSLQNDQQNQKSNTIEDLHVISDVKSNLLVGDAESQSGEEEDNISNSEEISSSESDSDTPLEKKAARKEARKENKKKVKEEKREARKTKVPKAVKKRKKKLSKAPKTR >CAK8579029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667921597:667923879:-1 gene:gene-LATHSAT_LOCUS31004 transcript:rna-LATHSAT_LOCUS31004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSVSSLSERFSDDQVYLSQATLSPEVTSKAASIEEVSTPKKYKEGVIDVKTLTNELAAALLDISAKEDLVKQHSKVAEEAVEGWEKAENEVSSLKQQLDAARHKNSGLEDRVSHLDGALKECMRQLRQAREVQEEKILEAVANNSHDLDSRRFELERKVAELETQLQTSKEDAAASIRSDFHRRLEAMEKENLSLQLELQSRLEELEFRIAERDLSSQAAETASKQHLESIKKVAKLEAECRRLRAMTRKTFNANDTRSWTASSVYIESFIDSMSDGGEMNEYEPSCSDSCSSALINELDQFKNKKTAEKNHIATSTGINLMDDFLEMERLAALPDTESGSHSAKEGLGSDQSIVGQGAIEAEVEAMTQKNAELEKKLEKMEADKLEVEMNLAECQMQLETSESRIRAAELKVEELQTQLALANKSNQEAYEELKETKTKKEIVESKLKLAQTEVEELISKVHSFEEEIQKERALSAENSIKSRKLEDELSRMKHEAQVHQDAKNLHKENVNRNLKSKQDKELALATSKFLECQKTIASLGKQLNSLATLEDFLLDSDNKPMELTSEVEQLKLNHTDLSLSKRDSLNSSITTNEKSRNGFAKFTPRSKSVSRIR >CAK8573797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637949310:637949588:1 gene:gene-LATHSAT_LOCUS26202 transcript:rna-LATHSAT_LOCUS26202 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDNNINWIEDDEEIKTMFHIHFKNIFTMKLNTFDWIHIVQRFLNLNNSTSRFLSSELHNTEIKQALFYMAPWKSLGPDDFLAGFDKKNLE >CAK8562755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533488951:533489337:1 gene:gene-LATHSAT_LOCUS16225 transcript:rna-LATHSAT_LOCUS16225 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAIILALNMLFFTAVTSNYVPCPPPPPKGHNNHHSHPKNPTCPRDTIKLGVCADILGLINVELGKPPKTPCCSLINGLANLEAAVCLCTALKANVLGINLNLPINLSLILGYCGKGVPKGFVCA >CAK8563507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611131077:611133709:-1 gene:gene-LATHSAT_LOCUS16907 transcript:rna-LATHSAT_LOCUS16907 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKGGTRPPWVGLGAAVWVQIAVGSAYTFPLYSTSFKSVLGFNQTQVSLLGVANDIGENVGLLPGIVCNKFPPWLMLFLGGLFSFLGFGLLWLSISQTLPSLPFPLLWFALVIATNSCAWLSTAILVTNMRNFSASRGTVAGILKGYGGISAAVFTEIFRALLHNSSSNFLLFLAIGIPVLCFTVMFLVRPCTPVSGESSSEKWHFLFIQGSSVALGVYLLVTTTLDYIVHINSTVFYVLVAVMILLLMAPLAIPVKMTLFPQKLTQPEENEIGDRREEEENAKPLLQTSSATALGSFNDADGSSEIGMLLAEGEGAVVPSKKRRPRRGEDFTFLEALVKADFWLLFFVYFAGVGTGVTVINNLAQVGAAQGVEDITILLSVFSFFNFVGRLGGGVVSEHFVRTRTIPRTVWMTCTQIIMIILYLLFAYAIKGTLYPAVGFLGICYGVQFSILIPTVSELFGLKHFGLFFNFMLLGNPLGALLFSALLAGGIYDSELAKQQALGLVASSVSCIGPDCFKVTFLVLAAVCVAGAISSIILTLRIKPVYQMLYAGGSFRLPQITCQ >CAK8542735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541713402:541715849:-1 gene:gene-LATHSAT_LOCUS11546 transcript:rna-LATHSAT_LOCUS11546 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIKPCTRKPNAFNNLVTLTTPKPHIHVDASIIKTGFDPNTCRSNFLLKTFLQRGDLIAARKLFDEMPHKNIFSTNTMIMGYIKSGNLSKARTLFDSMFERTAVTWTMLIGGYAQDNRFREAFSLFVEMGRHGIDLDHVSLATLLSGFTEFDSVNEVRQVHTHVIKLGYDSTLVVTNSLLDSYCKTGSLGLACCLFSDIPERDSVTFNALLTGYSKEGFNHEAINLFFKMQELGYRPSEFTFAAILTAGIHLDDIEFGQQVHGFVVKCNFVWNVFVANALLDFYSKHDRVVEARKLFYEMPEVDGISYNVLVTCYAWSGRVEESLELFKELQFTGFDRRQFPYSTLLSIAAISLNLDMGRQIHSQTIVTDAISEILVGNSLVDMYAKCGKFKEANRMFADLAHKSSVPWTAMISAYGQKGLHEDGLKLFIDMQRAKISADAATYASIVRACASLASLTLGKQLHSHIIGSGYISNVFSGSALLDMYAKCGSIKDALQMFQEMPVRNSVSWNALISAYAQNGDGDRTLGLFEEMVHSGLQPDSVSLLSILCACSHCGLVEEGLQYFNSMTEIYKLVPKKEHYASVIDMLCRCGRFDEAVELMAQMPFEPDEIIWSSVLNSCRIHKNQELAKNAAEQLFKMNVLRDAAPYVTMSNIYAEAGEWDNVGKVKKAMRERGVKKVPAYSWVEIKHKTHVFTANDKSHPQMKEIMRKLDELEEKMGKQGYKPDSSCALHNVDEDVKIESLKYHSERIAIAFALISTPKGSPILVMKNLRACTDCHAAIKVISKIVGREITVRDSSRFHHFRDGLCSCRDYW >CAK8543214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588973384:588973713:1 gene:gene-LATHSAT_LOCUS11987 transcript:rna-LATHSAT_LOCUS11987 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNIRKLLHTSHKDEQLTNLPSRKDGIDEATETALRIYGCDLIQENGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVVASSVWLASKLEKNAQAKYESVGVPSH >CAK8562903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555237892:555239131:-1 gene:gene-LATHSAT_LOCUS16365 transcript:rna-LATHSAT_LOCUS16365 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVEYAHWKLKQMLGNSIGDMVKYWEAMNDNLKLQPGKIRASFQKSFYEVEHTHVSSFYNNLRGSVSRDALRRIAEELKQVDYIGTNKEICLCTLRTTYRLPCACELTGYRIDGIPIPIDDVHVYWRKLSMEVKLDEDVDDGSEVDMSNVIDELWKRFKSLDFVGKRALKSRVFELAFPTMTSMYPPPEKIKTKGGVMKKGKKPVGYDVYRDPSYHEYVNQASQSSQRQSQPSQTSKKYQPSQDSQKQSQPSQASKKLKLSQSSQSSKEFILQFPNHIRSYIDDVVNVVSDGNYGFRVIASLHGYGEDGWPMVHRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEAFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGFEC >CAK8578336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621824204:621826849:-1 gene:gene-LATHSAT_LOCUS30355 transcript:rna-LATHSAT_LOCUS30355 gene_biotype:protein_coding transcript_biotype:protein_coding MMNITNVSDYEAIAKEKLPKDIYDYFASGAEDQWTLKENQNAFSRIMFRPRILIDVSKIDLTTSVLGFKISMPIMISPTAAQKMAHPEGEYATARAASAAGTIMTLSSWATSSMEEIVSTGPGIRFLQLYLLKDRSMVTQLVRRAENAGFKAIVLTADSPVIGRREADIKNSFKPPSYVRMKKFEGMDLEKLYKTKDNGGLISVVNELYDQSLTWKDVKWLQTITPLPILVKGVLTAQDARLAIQAGASGIIVSNHGARQLDYVPATIMALEEVVQAAEGRVPIFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLAAEGEAGIRKVLEMLHDELEITMALCGCPSLKDITRNHVVTERDHPRIAPKL >CAK8578337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621824204:621826846:-1 gene:gene-LATHSAT_LOCUS30355 transcript:rna-LATHSAT_LOCUS30355-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNITNVSDYEAIAKEKLPKDIYDYFASGAEDQWTLKENQNAFSRIMFRPRILIDVSKIDLTTSVLGFKISMPIMISPTAAQKMAHPEGEYATARAASAAGTIMTLSSWATSSMEEIVSTGPGIRFLQLYLLKDRSMVTQLVRRAENAGFKAIVLTADSPVIGRREADIKNSFKPPSYVRMKKFEGMDLEKLYKTKDNGGLISVVNELYDQSLTWKDVKWLQTITPLPILVKGVLTAQDARLAIQAGASGIIVSNHGARQLDYVPATIMALEEVVQAAEGRVPIFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLAAEGEAGIRKVLEMLHDELEITMALCGCPSLKDITRNHVVTERDHPRIAPKL >CAK8574523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1518527:1562319:1 gene:gene-LATHSAT_LOCUS26863 transcript:rna-LATHSAT_LOCUS26863 gene_biotype:protein_coding transcript_biotype:protein_coding MQESFALARYYNRLPQSPQEYPILGLNLLKLLVQNRIVEFHTELELLSSAALENPCIKHVVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTIRDEIAGCNEKAYDYLSINDAKQILLFSKDQELLEYIKESSMSSNGRITPKYGHGLSMKVLVLKSAANPSRKY >CAK8536352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:933123478:933126967:-1 gene:gene-LATHSAT_LOCUS5729 transcript:rna-LATHSAT_LOCUS5729 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLKSTTLLLSPSPLTFSHGSLKHTKCFSSLSSSTVSPWSGLHSWRHSPLNENRNWGPLGPHPAQEPDLNDSPFGEASSLAEFGSIVLSTTDPLTKSHLSHVAYSLWRRHNVPIGLSDPPSRPARPEKPILVSPREIPAPKNSGLPLNAYLLHNLAHVELNAIDLAWDTVVRFSPYSDLLGEGFFADFAHVADDESRHFSWCSQRLAELGFKYGDMPAHNLLWRECEKSSDNVAARLAVIPLVQEARGLDAGPRLVQKLVGFGDNRTSKIVARIAEEEVAHVAVGLCWFLSICQKMNRTPDSTFKDLLKEYNVELKGPFNYAAREEAGIPRDWYDAPSTRNQEKKDKDDNNKHLSEVYERVTSIIAMERENLSLTRPPE >CAK8563171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580024175:580026496:1 gene:gene-LATHSAT_LOCUS16605 transcript:rna-LATHSAT_LOCUS16605 gene_biotype:protein_coding transcript_biotype:protein_coding MIRALLPKHVDAVVKVQKDPLKALEMFNSAKNEQGFNHTLFTYKCMLQKLGFHGKFNEMENLLSEMRANLNNSFLEGAYVEAMRLYGRKGKVQEAVDTFERMDLYNCDPSVHSYNAIMNILVEFGYFNQAHKVYMRMIDKRVESDVYTYTIRIKSFCRTRRPHAALRLLRNMPLLGCFSNAVAYCTVVAGFYEFDDKVKARELFDEMLECSLCPDVTTFNKLVHILCKKGLVLESEKLLNKVFKRGVSPNLFTFNIFIQGLCKEGSLDRAVRLLGCVSGDGLRPDVVSYNTVICGLCRNSRVVEAEEYLHKMVNGGFEPNCFTYNSIIDGYCKKGMVVDANRILKDAVFKGFKPDEFTYCSLINGFCQDSDPDQAVAIFKDGLGKGLMPSVIVYNTLIKGLCQQGLILPALQFMNEMAENGCHPDIWTYNLIINGLCKMGCLSDANHFINDAIAKGCIPDIFTYNTLVDGYCKQMKLDSAIELVNRMWSQGMTPDVITYNTLLNGLCKAAKSEEVMEIFKAMPEKGCTPNIITYNIIIESLCKSKKVNEAVDLLGEMKSKGLTPDVVSFGTLITGFCNIGDLDGAYRLFRGMEKQSEVCHTTATYNIMLCAFSEQLNMKMAVRLFSEMKKNSCDPDNYTYRVIIDGFCKTGNVIRGYSFLLENIEKGFIPSLTTFGRVLNCLCVEHKVQEAVGIIHLMVQKDIVPDTVNTIFEADKKVVAAPKIVVENLLKKGHITYHAYELLYDGIRDKTILKKRNPTWNSLRRGARSSAVD >CAK8562826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:544120905:544121204:-1 gene:gene-LATHSAT_LOCUS16291 transcript:rna-LATHSAT_LOCUS16291 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGNLKNFFQKKYPNESEEEIMVRTLDHMNNQFFSTFPTKTSKDESSSINISSSMGSIDSNNFDCLAGEAQADDPTSEDFWDAMIQSMAQKVKDKAKK >CAK8575304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:74210787:74211293:-1 gene:gene-LATHSAT_LOCUS27578 transcript:rna-LATHSAT_LOCUS27578 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHILLTEIFCLLGTPTEETWLDMSSICNFIQAFNPLIQPKDLAEEFTSLEPAGLDLLSKMLCLCPNCRISAYEALDHLYLRH >CAK8576655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:501898097:501907153:1 gene:gene-LATHSAT_LOCUS28828 transcript:rna-LATHSAT_LOCUS28828 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREETIAPKSLEDFEPQKKPKKNRFACAYAILASMTPILLGYDIGVMSGAAIYIKRDLKVSDVKIEILLGIINLYAPIGSYIAGRFSDWFGRRYTIVLAGAIAFTGAILMGLSPNYAFLMFGRFFAGVAVGFFFIASVYISEVSPSYSRGFLTSLPEVFVNAGILIGYISNLGFSKLPLRYGWRVMLGIGVIPSIFLAVAVLAMPESPRWLVSKGRLGEAKKVLQKISDSKEEAQQRLTDIKEIIGIPSDCDDDIVSVTKVQGKGVWKEIFIYPTPAVRHIFIASIGIQFFQQATGIDAVVLYSPEIFEKAGITSDTNKLLATIAVGFVKTVFILVASFLLDRIGRRRLLLTSVGGLIISLLTLAISLTIIENSRTTLTWAIWLSIVTVLSYAATFSIGMGPITWVYCSEIFPLRLRSQGVSIGAVVNRVTSGVIAMTFLSLMKAITIAGVFFLFAGIAIIAWVFYFTMLPETQGKTLEEIEGSFGNFWRKSNTSLTTEEVRN >CAK8575442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:120379474:120381207:-1 gene:gene-LATHSAT_LOCUS27708 transcript:rna-LATHSAT_LOCUS27708 gene_biotype:protein_coding transcript_biotype:protein_coding MATHINLYSLLQKCNSLIHMKQLQAHLITTGKFQFHPSRTKLIELFAISPAGNLSFAAQIFQQIQNPSTNDYNAILRGLAQSSEPAQSISWYRNMLRCLQKIDALTCSFALKGCARALAFSEATQLHSQLLRFGFDADALLLTTLLDVYAKTGFLDAAQKVFDEMQKRDIASWNAMVSGLAQGSRPNEAIALFNRMKEEGWKPNDVTVLGALSACSQLGALKQGEIVHGYVVDEKLDKNVIVCNAVIDMYAKCGFVDKAYLVFNSMSCRKSLITWNTMIMAFAMNGDGYKALDLLDRMTSDGTCPDAVSYLAALCACNHAGLVDEGVRLFDLMKVSGVKLNVKHYGSVVDLLGRAGRLKEAYDIINSMPVLPDVVLWQSLLGASKTYGNVEMAEMASKKLVEMGSNSCGDFVLLSNVYAARQRWKDVGRVREAMINNDVRKVPGFSYTEIDGRIHKFINCDQSHPNSKEIYAKLDEIKFRIKGYGYIAETNLVLHDIGDEDKENALNYHSEKLAVAYGLISTVDGTPIQVIKNLRICVDCHAFIKIISNIFNREIIVRDRARFHRFKEGVCSCKDYW >CAK8571429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:408529329:408529666:1 gene:gene-LATHSAT_LOCUS24095 transcript:rna-LATHSAT_LOCUS24095 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAVNIYVKDKVVVEDEGGVNDDDGANVEDKGGVNDDYSVVNFDDVGVVNDKDDGVSNVQVYEVEHGGVNVKVDEG >CAK8577147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540440312:540442302:-1 gene:gene-LATHSAT_LOCUS29278 transcript:rna-LATHSAT_LOCUS29278 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSGNNSINQSSTNTTSSLVKSPKRNYYDVFVSFRGKDTRFSFTDHLFAALQRKGICAFRDDTKLNKGESIAPELLRAIQDSQIFIVVFSKNYASSTWCLRELEHILLHCGQPPEKRVLPVFYNVDPSEVRHQKGTYGEALAKHEQRFQQDSEKVIRWREALVQVADNSGWDVRHKPQHAEIEKIVEEIIKILGCKFSSLPKDLVGIHSPIQELEKHLLLDSLDDVRVVGICGMGGIGKTTLANALYNKISPQFDVCCLIDDLSKSYRQDPISVQKQILLQTLGDQQLQTFNSCNASNQIGSRLHRVKALITLDNVDQVEQLEKLDREWFGPGSRIIIISRDEHILKEYGVDVAYKVPLLNDKNSLQLLSRKAFKLDHIVSRYYELAFQILHYTNGLPLAIKVLGSFLFGRNISEWESALARLRESPNKDIMDVLQLSFDGLEETEKEIFLHIACFFNWEIVEYVTNVLNCCGFQGDIGLRVLIDKSLIMSTGYCIQIHGLLIELGKNIVQEKSRKWSRVWLLEQFYNVKLENMENKVEAIYHDSGKDS >CAK8562156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448891168:448898888:1 gene:gene-LATHSAT_LOCUS15678 transcript:rna-LATHSAT_LOCUS15678 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRTLVLLFIYFYGTLVSAYTKYNTGATVVEGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNTIQGACVENVLDSLVCSLQKDPNRKFVFAEIAFFHRWWVEQSPENQEQVKKLVAAGRLEFVNGGWCMHDEATVHYIDMIDQTTLGHRFIKDIFNATPKAGWQIDPFGHSAVQGYLLGAELGFDSVHFARIDYGDRAKRRNDKSLEVIWRASKTFGSSSQIFANTFPVHYSAPKGFNFEIGSSDNIVPLQDDPLLFDSNIAQRVQDFIDAAIIQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKNAANQLWPLKTDDYFPYADGPYAYWTGFFTSRPALKRYVRFLSGYYLATRQLEFFAGKQSTIYNTFDLADALGIAQHHDAVSGTAKQHTTDDYVKRLAIGASKAEAVVSSSLAYLVSKQSGDQSSAVASTFSQCQLLNISYCPPTEDNIPETKDLVVVLYNPLGWNRTDIVRIPVNEANLAVKDSSGNNLEVQYVDVDSVTADLRRFYVKAYLGLSPKKAPKYWLLFQVSVPPLGWSTYFISKATGKTTRRKGDLSHLNNKKGENIEIGPGRLKMSFSSTSGLLERMYNSKTGVNIPIQQNYLWYASSEGDFSDTQASGAYIFRPNGSSPHIVSRSVSLKVVRGPLVDEVHQTFSSWIYQVTRLYKGKDHAEIEYTIGPIPDDDRIGKEVITRMTTNLATNKEFYTDSNGRDFLKRVRDHREDWPLQVTQPVSGNYYPLNLGIYTKDKKSEFSVLVDRATGGSSIKDGEVELMLHRRLFNDDGRGVGEPLDEDVCTQYVNVTCEGLKVRGNYYISIDNIGAGSYWRRTTGQEIYSPLLLAFTHENAENWKSSHLTKGTVMDPNYSLPPNVALITLEELDGGIVLLRLAHLYEQSEDAQYSTLAKVELKKLFATKTIKELKEVSLSANQEKSEMKKMTWKVEGDKGQEPQTVRGGFISTSDFVVELGPMEIRTFLLKF >CAK8576366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466936193:466940111:1 gene:gene-LATHSAT_LOCUS28559 transcript:rna-LATHSAT_LOCUS28559 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFSGWRRFAFGVLLILFLTHLFSGRELNNSKMAEPRKQLNKKFDHLVLGPAAGQGLSNRLQCQGSKALNRTHSSNDRLGVDGSITFVTVFTIYNFSLDRIDDESSKTVVGNTSYNKMDRSMAVLNVFINFIQVVMPQSDVIILTDPVSDLSVHRNRVSLYPIQGEYSRDKLMLQRIRSYITFLETRLHKLSQNPRDITHYIFTDSDIAVVDDLGQIFHDHPNFHMALTFRNNKGQPLNSGFIAVRGTSDGILRAKLFLQEVLKIYVSKYINASRMLGDQLALAWVVKSKPHFDASRFGKTVAFSDDIGGTSILFLPCAIYNWTPPEGAGQFHGMPLNVKVVHFKGSRKRLMLESWNFYSATPDIADMLCLILGSGRTKYDF >CAK8560343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15205818:15207671:1 gene:gene-LATHSAT_LOCUS14025 transcript:rna-LATHSAT_LOCUS14025 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVKTELQKIHPFLVTSNSSVVCINSMAETKPKPKGSLSENGVKAPNILERAKEEFEAVFKSPRHHKETHGRNDDIDERTSVEEVKAPSVFGRMKEEIEAVVEAIHPKKESDTNNSPSK >CAK8560345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15205902:15207671:1 gene:gene-LATHSAT_LOCUS14025 transcript:rna-LATHSAT_LOCUS14025-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPKPKENGVKAPNILERAKEEFEAVFKSPRHHKETHGRNDDIDERTSVEEVKAPSVFGRMKEEIEAVVEAIHPKKESDTNNSPSK >CAK8560344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15205902:15207671:1 gene:gene-LATHSAT_LOCUS14025 transcript:rna-LATHSAT_LOCUS14025-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPKPKGSLSENGVKAPNILERAKEEFEAVFKSPRHHKETHGRNDDIDERTSVEEVKAPSVFGRMKEEIEAVVEAIHPKKESDTNNSPSK >CAK8539580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516863512:516864563:1 gene:gene-LATHSAT_LOCUS8663 transcript:rna-LATHSAT_LOCUS8663 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNTSSSTSWLSDLMLDEMEMEGCDLFQQNLFNDDDLVSDDIASVLQQQDKPLSCESSVSYSPVSSHSSTTNSQVMSFENTTTTHFHAFDCTLNTKHNNNNKVHVIAERKRREKLNKSLIALAALIPGLKKMDKASVLGDAIKYMKELQERLKVLEEQDRNSRVESVVTENKPWVIHESWSDDGSESLSHVDARVLDKDVLIRFLCQKQKGVLIKLLKEIQKLDLCVVNSTVLPFGDSINITIVAKMETGYSMTNDLVKNLRVAAFKSLS >CAK8575729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:289625106:289626269:-1 gene:gene-LATHSAT_LOCUS27967 transcript:rna-LATHSAT_LOCUS27967 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMVGDGDEFYTISDEVCESFDAMGLQENLLRGIYAYGSEKPSAIQQRGIVPFCKGHDVIQPAQSGTGRTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNIEKEEWKLDTLCDLYETLAITQSVIFVDTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDFPTQPENYLRRIGRSGPFGRKEELPSNVADLL >CAK8534936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792648376:792648823:-1 gene:gene-LATHSAT_LOCUS4433 transcript:rna-LATHSAT_LOCUS4433 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFLLLFHLLLLVSCCISSFASGATLLEEEVQVMKDIAKTLGKKDWDFSIDPCSGQSNWTSSVQVNGFENAVTCNCSFANATICHVVSMYVSNLLMSIYCVFDEDIFTL >CAK8562509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502915909:502918228:1 gene:gene-LATHSAT_LOCUS16001 transcript:rna-LATHSAT_LOCUS16001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFNVILVLSLALTLCLVPYTCLAQLSPNHYANICPNVQSIVRSAVQRKFQQTFVTVPATLRLFFHDCFVQGCDASVLVASSGGNQAEKDNADNLSLAGDGFDTVIKAKAALDAVPQCRNKVSCADILALAARDVVNLAGGPSYTVELGRFDGLVSRSSDVNGRLPQPGFNLNQLNSLFASNGLTQTDMIALSGAHTLGFSHCNRFSNRIFNFNNQSPVDPTLNKQYATQLQQMCPRNVDPRIAINMDPVTPRTFDNVYYQNLQQGKGLFTSDQILFTDTRSRATVNSFASSGNVFNSNFISAMTKLGRIGVKTARNGKIRTDCSVL >CAK8574857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12014986:12016392:-1 gene:gene-LATHSAT_LOCUS27157 transcript:rna-LATHSAT_LOCUS27157 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDFEWMVPIEVMLGSLKHGEVQACSISNVPDKLREANEDAYKPKHISIGPLHRGATRHLQIMEEPKWHYMREFLERQGTPEQNRKSEIRLRECGFDILQLDQVVCASYGGSNNKIFEEIDSHEITKIMIIDGCFLLELLIRLGDYMENQSTTSYKSDPILKTEEKVLSVLNDIAMLENQIPFVVLKKLYRKVFPNGREIKDDHRVADIVRKAFGYALTSSSGGAHILHLMHLSTVEQNQQHEGKKAKLELLRCATKLRASGVTIRAKLNSASQNKHKLVDIFDFGISFSDTGKLEIPPLHVKKTTEVKWRNLIAWEQSKIWIRCNYTSYALFFKGLICCAHDIELLVEKGVIVNELNKSNEDLLAMFRTISNGAEHMDLSYSEICARLNVYDYKGMKVNKMLQKLPIRTWHQCRCVFEMVEYYGRNWYNILIREHIPTVWKFIGIVAAAALLVLTIMQTYYSSHNG >CAK8576451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480047875:480048615:-1 gene:gene-LATHSAT_LOCUS28638 transcript:rna-LATHSAT_LOCUS28638 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKEASLYTIDDSSLNQAYETATAFFAILGEWMIFFLACSISLFFIPLTPSFTLHSATSTVLNVTNEKTFAANLGFEFLAEDSNTIGSTIHYDSLDVSLFHHQEKLSVFSLPRSFYNEPALGSTQEAKFSNVSMKVDEWNIANDDRSHGKSCWFVYLDLFFSANARYEQPMWPVMKDKLEGHCGELKVEMCSSGSSTVVNSLRAPCDVYSDLVTKVRIALFGMLIFLLVTAVAVPLVVEYLVES >CAK8577368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557751995:557753242:1 gene:gene-LATHSAT_LOCUS29482 transcript:rna-LATHSAT_LOCUS29482 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWVVDYLGVSQIVAQQQVCDCMGSYYKLEWLYDRFVEHRVVSRWDYASRTYLLMLVGSNIFANKTFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLKDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRATRWSYRQGALKVDDLLPILDELTPADVIWCPFENHRVWRQFDELCLYRGCLRWGDIIVPYFPDRCMRQFGYRQYVSHPPLDSRVASDIDVDWISYLQSVQDVIRPTALATIPYETDDGYLEWYYRVSHPRLVLPSVDATTEMPVPVYEAGPSDPILARMSSLIHCYLQQAGAEEDGPQFVDLFEALHLG >CAK8539714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522689473:522701358:-1 gene:gene-LATHSAT_LOCUS8787 transcript:rna-LATHSAT_LOCUS8787 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEGSSRKRRATREPKSTSHSQALELLKSRRSGDRRSDAAKPQIRLENPIYDTIPEEEYTALVASRRDQSLSFIVDDDGLGYVDEGEEEDWSKAGVTLSEDESGGESEKPKRKKENSQSKRPSASAAALSAAAAMMGPQRVSSMFTSAVFKKNRDDKVADSIVDDVLKEFAPDETDRLSRRKLQAISSSIANGGSRIKPSTEPVRSYSLHQNIAIAKVDTESVMVNKDFKDKSNESSLVLEANCEAEVNGSLGNDESQMKVEPSDCPSPSNGNLVEEKVAEVKEAEMEVKPAVEKEGFVLNAKVTEEVVDPKLCATAGWQAARTAGGGEIKIADLNNQQQSELKLEPEGSLPFYILDAYEEYYGANMGSLYLFGKVKTGNSYQSCCVVVKNMQRCVYAIPTTPLRSTEMIQLEKYVQESKITPADFRKKLQDAVSDTKNEIAKHLVDLGVSTFSMAPVKRNYAFERSDIPAGENYVVKINYPFKDRALPVDLKGESFRAILGARSSALELFLIKRKIKGPTWLQLSNFSTCPASQRVSWCKFEVTVDSPKDIRVSSSSSSKITLVIPPVVVTAINLKTIVNEKQNINEIISASIVSCNMVKIDTPMLASEWKKPGMLTHFTVIRKPDRCIWPMGFNKEVTDRNLKAGSNVLCFETSERAVLNRLMIELNKMDSDVLVGHNISGFDLDVLLHRSQACKVPSSLWSKLGRLNRSTMPKLDRRGKTFGFGADPAIMSCVAGRLLCDTYLCSRDLLKEVSYSLTHLAKTQLNQSRKEVAPHDLPKMFQSTKSLMELIEYGETDAWLSMELMFYLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHEFHKKKYIVPDKFSNNAKETKLTKRRVTSGVDGGNFDEADNSDANYHNDASESDHKKNKKASSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERLSDGSFPRLPSSKTTGVLPELLRKLVKWRRDVKTWMKTASGLKRQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIAKATSMSKKVIQEVNKKYTCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGVPYEVIERKGLDIVRRDWSLLAKDLGDFCLTQILSGGSCEDVVESIHNSLMKVQEEMRNGQIELEKYVITKTLTKPPEAYPDAKNQPHVLVAQRLKQQGHTSGCSVGDTIPYIICCEQGGSSGSGTGIAQRARHPDELKQEQGTWLIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDTSKFQQKSSETLKDDPTSSLLFAGDDERYRGCESLVLSCPSCSTTFDCPPVFKTMCMLGNEKPDSLGADESDYNFWHKLGCPKCFENGVGRISPAMIANQVKRQAEKFVLMYYRGLLMCDDETCKHTTRSVSFRLVGDSERGTVCPNYPRCNGHLNRKYTEADLYKQLSYFCHVFDTFSSIEKMEAKARIPIEKELIKIRPTVELAASTIQKIRDRCSFGWVKLQDLVVTI >CAK8562889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553964241:553964664:1 gene:gene-LATHSAT_LOCUS16351 transcript:rna-LATHSAT_LOCUS16351 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDVLTGRKTGDYIQGDVRISGFPKNQQTFARISGYCEQSDIHSPQVTVRESVIYSAFLRLPREVNNDEKMKFVDEVMNLVELDNLRDAITSSSKETAETLYLQN >CAK8533202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590166814:590172518:-1 gene:gene-LATHSAT_LOCUS2847 transcript:rna-LATHSAT_LOCUS2847 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYILQPFWTRFVHFFPLWMPPNMITLMGFMFLLLSATLGYIYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTSMCGRSTFWWWLISAITFYLATWEHYFTNTLILPVVNGPTEGLMIIYSAHFFTAVVGAEWWAQQFGKSLPFLNWLPVLADVPTFTAILCLMITFGVIPTVVLNVINVSKVVKSRNGSIALALAMLYPFVVLVGGVLLWDYLSPSNIIANYPHLVVMGTGLTFGYLVGRMILSHLCDEPKGLKTGMCMSLMYLPLAIANALASKLNDGVPIVNERIVLLGYFAFTASLYLHFATSVVREITNALGIYCFRITRKEA >CAK8536950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44519106:44519810:1 gene:gene-LATHSAT_LOCUS6273 transcript:rna-LATHSAT_LOCUS6273 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMCDISVEKRLRLFGFELNPIKNDIEGLVKESNEGDESVNSSNSFSSSGEKIVQEKVSSRDQQDERKFECQYCLKEFANSQALGGHQNAHKKERMKKKRLQLQARKASINYYLQPFQKNHHGFSSYHGSSDTHWFYDPSSYNNSEISLFEESQISFKSKNVHDANSWYSLPSHHHVNVPTSQHDACMFTFSNNDVRPFILDPSSNQSHSKALDLQLGLNLESDSRSSLKRI >CAK8570588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63922274:63923230:1 gene:gene-LATHSAT_LOCUS23325 transcript:rna-LATHSAT_LOCUS23325 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAIKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVYTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8539126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504306327:504308952:-1 gene:gene-LATHSAT_LOCUS8252 transcript:rna-LATHSAT_LOCUS8252 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKISSAMIMLIVLMLKSIHFVSGTDNPIDTYCPDNFPLYTSNTSFDKNLKLLMETLSSNIVASNTSFFNNTSTGEGLEKVYGQALCRGDITNSTVCKECVEKASEELMNRCKSEDAMIWYELCQVRYSFQMFFTNTVYTGKYPKQNDLEKRVSDPTSFQQVLNYLMNNISNEAAFSPSKNMFATAEIKFSVKKRIYGLVECTKDISETECRSCLSSAITELNQCCSYREGGIIVSRNCNVRFDLFEFFNASSSSASLLIFPTSKEGKWKPWMFILTISSSVLTLAAIVGLCTTCLRKKKDREIDEERSERTLLQEFSSPKNVAVTQEGQLVSSDVMMFMTLATIKAATGDFSDMNKLGHGGFGVVYKGVLPDGSEIAVKRLSRKSWQGIEELKNEVILIAKLQHKNLVRLLGCVLEGDEKMLIYELMSNRSLDQFIFDPNKRPKLDWNTCYGIIGSIARGLLYLHEESRLKIIHRDLKPNNVLLDHELVAKISDFGMARMFSENQNTANTKRVVGTHGYMAPEYAMEGLFSVKSDVFSFGVIMLEIISGKRNSGFYITGLAPTLLAYAWRMWNDRKGLEVVDPILLESCIDSETEVLRCIHIGLLCVQEDPQHRPTMSNVMVLLGSESMVLPQPRQPAFSLGKMIRVDPSTSTNRSANESIWSSISPR >CAK8576278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:442897155:442897472:-1 gene:gene-LATHSAT_LOCUS28480 transcript:rna-LATHSAT_LOCUS28480 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSEHENGENYYKGATDRSDGRCKPDNYDGYNGQPKEARVAYIACVIRNVETFNYFLSLADQVNLDIMDLTDSLKPMSLLPYMQLYQQADIKLLHITLSICDS >CAK8567529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:522468279:522469484:1 gene:gene-LATHSAT_LOCUS20575 transcript:rna-LATHSAT_LOCUS20575 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCVEPQWPADDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTIHTRTVAIVMATAKILTAAVSCATALMHVHIIPDLLSVKTRKLFLKKKAADLDREMGMIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPINLPVINQVFSSNRAVKISSNVPVARLRPHTAKYMPRAVVAIRVPLLNLSNFQIYDWPEVSTRSYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALNMARREAETSIHARNDFLAVMNHEMRTPMHAIIALSSLLQETDMTAEQRLMVETILKSNNLLAFSFKPTDI >CAK8542877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555153487:555156834:-1 gene:gene-LATHSAT_LOCUS11678 transcript:rna-LATHSAT_LOCUS11678 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKYLSSIAKDVVTRCAQKLDITVEKLVEDFERGRSPDAGNYCKNLVEFCGGKALTEMCCKLEEEINNGSFCRLSFDIMLAWERPSYYDDNECLEAEAKEKEEKKKHVKTTQEQDDISLFYSDIMPLLVTNEPSVGEDAFVWLGSLVPLAADVTNGRFTFESLTAPTGFQLHFPAYDMFLKEMSKCIRHLQKQAIPTGVELAENEYILHVEGTASSQRVIRHIGSTSWPGRLTLTNYSLYFETSGVIKYEDALKIDLSKDIEQSVKPSATGPWGAQLFDKGIVIESSDLSEGVVLEFPELTSSTRRDHWLALIREIMFLHQFLSKYQIKCPIQTWEMHARTILGIIRLHAAREMLRISPPVPTKFLIFSLYNEIPKGDYVLEELPDVLKKVKSGQPCSASSILRSMNIAGPVVSGSIEEEVIPADKSVDVSDDSFSLESGIKKSREDEKKVPAKATTEELKEKGVTDNVLVLTPSLECAIKQSREEEKKALVAKATTEELKEEGVIDSVLVLTELLKPLQNVVPWLQEIFTWERPINTLAVLAASLIITYMEWVGKGAAAFLVWVIVKMLEAREKKLNEQCNEIVISRSNMASDKSTMDSIVSAQHGLYTVHELMQIANIAMLKIWSILISKADKHARTVMVAMGGLAFLLAVIPFKFFLMGLIVQSFTMAFKTSKSSGTGNRRLKEWWDSIPIVPIRVVDDVHNSRHVK >CAK8579421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697305486:697310234:-1 gene:gene-LATHSAT_LOCUS31369 transcript:rna-LATHSAT_LOCUS31369 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQEEADSSTLAASPEVVGGRENNGINTELWQACAGPLVNLPLPGTHVVYFPQGHTEQVAASLKKDVDVQVPNYSNLPSKLPCILQSLTLHADSDTDEVYARMTLQPVSSFDMDALLRSDISLKSSKTQPEFFCKQLTASDTSTHGGFSVPRRAAEKIFPPLDFSAQPPAQELVAKDLHGNVWKFRHIYRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDEKQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHASANNSSFTVFYNPRASPSEFVIPLAKYYRAVYSHQISPGMRFRMIFETEDSATRRYMGTVIGVSDLDSVRWKNSQWRNLQVGWDESGPGERRSRVSVWEIEPVTAPFFLCPPPFFRSKRPRQPGMPDDELVDFSNLFKSTMPWLGDDMSMKDPQAFPGMSLAQWMTIQKNPALASSLQSNYAPSLSGSVPHNLPGTDIAHQLGLNAQQISQSNNVALTAPVHTPPSISQPEHQLSNITQHSRQNLANQTLPQSQVPTQLLNSQSMVQMNNVLQSQRPSIQNHELHRSFSQNQLQQLQQMCQNQQPNMIHSTIPDHNNQQLHMSDNQVRIQLLQKLQQQQQTFLAQQSTLQQPAQLVQNQDQQRRLLDDLTQTHSSKVLTPGQLFEIPPLLQNSLPEANSMIHQMTDHSSQNNVQFSHPSKQPKLQHHQQQTQPGLLSKTSSHLGLPSATTNNQLSASGCSTRTVATGTAPSIITDDVPSCSTSPSTNNCASTLPPATASHTLMGTIGNDMAQSAVTILSQSSNANVVKYVQPNYQVKPGLNISESHNQGNFYPETCLNGGAVQTDCLDTSSSTTSVCLSQSNTRFHQNDNPLPYNPPSMFCRDNIQNVKIQADARGNVSYGNSMNGQMRMPPNLDCTVTKGTTRSDKGFSTNFSSADLLGDYENNSDAQPELSSSLVSQTFGVPDMTFNSIDSTINDSSFLNTGPWAPPPPPHQFQRIRTYTKVYKRGAVGRSIDITRYSGYEELKHDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVSCVRCIKILSPQEVQLMSMDGGFGNGGGLPKQAGSSSEGGNA >CAK8568129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575245281:575247113:1 gene:gene-LATHSAT_LOCUS21122 transcript:rna-LATHSAT_LOCUS21122 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHTPREENVYMAKLAEQAERYEEMVEFMEKVSANADSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAVIRDYRSKIESELSNICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLAAYKSAQDIANAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKADEQQ >CAK8537612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407369067:407369210:1 gene:gene-LATHSAT_LOCUS6884 transcript:rna-LATHSAT_LOCUS6884-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8537611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407369067:407369556:1 gene:gene-LATHSAT_LOCUS6884 transcript:rna-LATHSAT_LOCUS6884 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8531950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:183790496:183791005:-1 gene:gene-LATHSAT_LOCUS1703 transcript:rna-LATHSAT_LOCUS1703 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRENGRHKADQYKSAQGQWLMQQHQHQHPSIKQIMAIMAERDAAIQERNLALSEKKAALAELDIAFLQGDNAIAERNNALMERDNAIATLQFRENTLANGGMSSCPPGCQISRGVKHIHHLPQQVNQLPTMGDSSYGTRELPITNALPESPIPSEVGKPPRRAKRPK >CAK8567718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538738643:538740134:1 gene:gene-LATHSAT_LOCUS20742 transcript:rna-LATHSAT_LOCUS20742 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPIVATTADGTTDQQLPSVDETTLKKAHLPQEENDAPIVEDDDDDEKDESDDEEDDAAQGGTEGSKQSRSEKKSRKAMLKLGLKPIDGVSRVTIKRTKNIMFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSIMAKQEQGAAADGAQPEEEEEVDETGVEAHDIDLVMTQAGVSRSKAVKALKTHNGDIVGAIMELTN >CAK8567764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542391961:542392407:1 gene:gene-LATHSAT_LOCUS20787 transcript:rna-LATHSAT_LOCUS20787 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8535215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826647254:826652147:-1 gene:gene-LATHSAT_LOCUS4688 transcript:rna-LATHSAT_LOCUS4688 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSLSDDLRSPILPPPSNNKTEKICIDEMLQKYCGEFGKWQFKHFVLTSLAWALEAFHTMVMIFADREPDWKCVSGTDCSAGGSFCSMSPESWEWIGGTAASTVSEWSLICGDKFKVGLVQAVFFAGCMIGAGVFGHLSDSSLGRKGSLTVVCALNAIFGCLTAFSPNYWAYVLLRLLTGFSTGGVGLCAFVLATEPIGPSKRGTAGMSTFYFFSGGIAILSGIAYTFQTWRTLYIIASIPSLLYIILVLPFITESPRWYLVRGRINEATNLMSTIASFNGKHLPDGVVLALDEEVSESKKSSDDLEYSSDYNLISYKEMKNKDAQVGSIVDVIRNPTTRIRLILAIALNFLASVVYYGLSLNVSNLKTNLYMNVLLNSIAEMPAFTITAVLLDRFGRKPLTIGTMWFSGFFCLLGSLISNIGVWKVIKMVCGVLGIFGMAGTYNLLFIYTAELFPTVVRNAALGTATQAAQMGAILAPLVVVLGSWLPFGVFAACGILGGMFAFYLPETLNMPLYDTFNGLEAGLT >CAK8570618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:74924062:74926437:-1 gene:gene-LATHSAT_LOCUS23354 transcript:rna-LATHSAT_LOCUS23354 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTIVWFRRDLRIEDNPALAAAARDGAVFPVFIWCPKEEGQFYPGRVSRWWMKQSLVHLDQSLKSLGAGLVIIKTDSTLKALLECVNAVQATKVVFNHLYDPVSLVRDHNIKEKLVDHGVSVQSYNGDLLYEPWEIFDESGHAFTTFDPFWNRCLHMQMKTYSPIPPCQLVLAQGKVEKHSIEQLGLEDELEKSSNASLGRAWSPGWSKSDKALTEFVENNLLHYSGNRLNLGGDSTSLLSPYIHFGELSVRKVFQLARTKQILWTHQGNIVGEESATLFLRAIGFREYSRYLCFSFPFTLERPLLGNLKFFPWNNDPSNFKAWRQGRTGYPLVDAGMRELWATGWIHNKMRVIVSSFAVKMLLIPWKWGMKYFWDTLLDADLESDILGWQYISGSLPDGHKLERLDNPEILGTKHDPEGEYIRQWLPELARMPAEWIHHPWDAPLTVLTASGVELGQNYPKPIIDIDLAREQLTQAIFKMWETEAATKASSSQDRQEVVDENENLLIPKVFLKDKAPRGATSSNDQTVPVLQNLNSDDPTIKKRLKYMDEEDQKPDKARNRSDHTEVSCIDQEDCSTAESSSKRQCSSTSSFSVP >CAK8538734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494515160:494516964:1 gene:gene-LATHSAT_LOCUS7903 transcript:rna-LATHSAT_LOCUS7903 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVEKGSNLHSLITTLDWTDFINPKWNDPSYKRRAIASLIQAVYSLELDRQENRARENSLAQDFWIPFKYKPTQLLIDQRDGSIFGATFEWDRSASLSELKPFKPVGAPRAVLALRGTLIRVPTMRRDFEDDFRFVALESLKDSARFKVTMDAIKSVSEMHGSRHVCIAGHSLGAGFGLQVGKELAKERINVETHLFNPPSVSLAMSFGKIGEKAECVWNRIKPMLHLPLSSEPRVSNDVDETYTIQSKRMIPWLLRLIDVGFGKGKWVPHLYVNNNDWISHFYIHTDRTREEIVDVENTDPANEQNGAKLFVVSNEDQKFLEAHGMRQWWSSDSNLELKHDIRNNKLVSTQLKSLNTITLSQIMLFYYPQYISLATSRINIREVTDYVWNILKHMPHKSGKGLMGWMPQLSGSETRVKNADDNKISNVSIKSWMPSLSSVKDGCFVVLKRASPMLNLPFVSPTMSHGNNGEKENFVSSNETQVRNDSNKNSSVGLKKWKLHLSGMKHAVFGVGNFVP >CAK8538743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494852110:494858013:1 gene:gene-LATHSAT_LOCUS7910 transcript:rna-LATHSAT_LOCUS7910-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTNGPGGESSDGQHPAERSVPPQPQAAASGGGGPQGGRGWGPQGGRGGYGGGRGGRGMPQQQYGAPPEYQGRGRGGQYGAPSDYQGRGRGGPPQPQQQYGAPSDYQGRGRGGPPQPQQQYGGPPEYQGRGRGGPSQQGGRGYGGGRGGYGGGHDTVPSYGGPARPPAPELHQATSVPSVPYPVAVSPPPAPSEASSSSHPLQVSEVEQDLGQMTIHSEETPAPPPASKSSLRFPLRPGIGKNGKKCVVRANHFFAELPKKDLHQYDVTITPEVTSRGVNRAVMAQLVRLYRDSHLGKRLPAYDGRKSLYTAGPLPFISKDFRITLVDEDDGSAGKRRDREFKVVIKLASRADLHHLGLFLEGRQTDAPQEALQVLDIVLRELPTSRYCPVGRSFYSPHLGRRHPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVSHLLSREVSSRPLSDAERVKIKKALRGIKVEVTHRGNMRRKYRISGLTTQATRELTFPVDEMGTMKSVVEYFFETYGFVIQHPHLPCLQVGNPQRPNYLPIEVCKIVEGQRYSKRLNERQITALLKVTCQRPLDRERDIAQTVQHNAYHEDPYAKEFGIKISDRLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWFCINFSRNVPDKLARDFCHELAKMCQISGMAFNPDPVVPPLSTRPDQVEKVLKTRYHDVKTKLRGKEPDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVSLKINVKVGGRNTVLVDALSHSIPIVGEKPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTVTGGMIKELLISFRRETGRRPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYLPTVTFVVVQKRHHTRLFANDSRDKSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENEFTADELQSLSNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPEISDGGSMPSGAVSRGGMAAAAGRSSRAPGAAFRPLPELKENVKRVMFYC >CAK8538742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494852110:494858013:1 gene:gene-LATHSAT_LOCUS7910 transcript:rna-LATHSAT_LOCUS7910 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTNGPGGESSDGQHPAERSVPPQPQAAASGGGGPQGGRGWGPQGGRGGYGGGRGGRGMPQQQYGAPPEYQGRGRGGQYGAPSDYQGRGRGGPPQPQQQYGAPSDYQGRGRGGPPQPQQQYGAPSDYQGRGRGGPPQPQQQYGGPPEYQGRGRGGPSQQGGRGYGGGRGGYGGGHDTVPSYGGPARPPAPELHQATSVPSVPYPVAVSPPPAPSEASSSSHPLQVSEVEQDLGQMTIHSEETPAPPPASKSSLRFPLRPGIGKNGKKCVVRANHFFAELPKKDLHQYDVTITPEVTSRGVNRAVMAQLVRLYRDSHLGKRLPAYDGRKSLYTAGPLPFISKDFRITLVDEDDGSAGKRRDREFKVVIKLASRADLHHLGLFLEGRQTDAPQEALQVLDIVLRELPTSRYCPVGRSFYSPHLGRRHPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVSHLLSREVSSRPLSDAERVKIKKALRGIKVEVTHRGNMRRKYRISGLTTQATRELTFPVDEMGTMKSVVEYFFETYGFVIQHPHLPCLQVGNPQRPNYLPIEVCKIVEGQRYSKRLNERQITALLKVTCQRPLDRERDIAQTVQHNAYHEDPYAKEFGIKISDRLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWFCINFSRNVPDKLARDFCHELAKMCQISGMAFNPDPVVPPLSTRPDQVEKVLKTRYHDVKTKLRGKEPDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVSLKINVKVGGRNTVLVDALSHSIPIVGEKPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTVTGGMIKELLISFRRETGRRPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYLPTVTFVVVQKRHHTRLFANDSRDKSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENEFTADELQSLSNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPEISDGGSMPSGAVSRGGMAAAAGRSSRAPGAAFRPLPELKENVKRVMFYC >CAK8564532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679970379:679971227:-1 gene:gene-LATHSAT_LOCUS17831 transcript:rna-LATHSAT_LOCUS17831 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGSGRGAAKGIGMGIGIGRSAGNRTVLITGVSKGIGRSLAIELANRGHTIIGCSRAQEKLDSLQSLLSSNNNQHLFLNADVSCNNSVAEMATVVMEKKGGPPDIIVNNAGTINKNNKMWEVPAEEFDLVMDTNLKGSVNVLRHFIPLMLKKNEGEGIIVNMSSGWGRSGAALVAPYCASKWAIEGLTKSVAKELPQGMGVVALNPGVINTDMLASCFGASASMYQSPEIWALKAATMILNLTPADNGASLSV >CAK8533422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616279465:616282839:1 gene:gene-LATHSAT_LOCUS3047 transcript:rna-LATHSAT_LOCUS3047 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSYFTSIVIKWTSFTQFNFFFLFLLFLTSQYDAVSPYSDKSTLLRFKNSLSDPAGVLSTWNPSSGHCLWYGIRCDSDFRVVAINITGNGGGGDGHRSSHPCSDFGMFPLYGFGIRRSCVGIKSSLLGKFPSLISELTELRVLSLPFNGLEGSIPQEIWSMEKLEVLDLEGNLISGYLPFHIQGLKKLRVLNLGFNKIVGEVPSVLSSLDSLEVLNLAANGLNGSVPGFVGKLRGVYMSFNQFSGVIPEEIGDNCGKLEHLDLSGNSLVQEIPKSLGNCGALKTLLLYSNLLEEEIPGEFGNLKSLVVLDVSRNTLSGSIPRELGNCKELTVVVLSNLFDPIGDVEFVTLNDELNYFEGSVPEEVVSLPKLTILWAPMVNLEGSFPRNWGACGNLEMVSLAQNFFTGEFPNRLGLCKKLHFLDLSSNNLTGELSKELHVPCMTVFDVSGNMLSGSVPDFSNNVCSPFPSWNGNLFESDNPASPYASYFISKARERTLFASLGGVGLSVFHNLGQNNFSGIQSLPIAGDRMEEKSGYTLLVDQNEFTGPFPTYLLEKCEGLDALLLNLSFNKLSGEIPSNISRMCKSLKVLDASGNRISGEIPFTVGDSVSLVSLNLSKNQLDGQIPASLGQMKDLKFLSLAGNNLSGSIPANLGKLYSLQVLDLSTNSLSGEIPKFIENMRNLTDVLLNNNNLSGHIPAGLANVTTLSILNVSFNNLSGYLPSNSSLIKCSSAVGNPFLSSCRGVSLTVPSANQQGQFEDNSSITAQSTGKNSDNGFSAIEIASITSASAIVSVLIALIVLFFFTRRWKPNSRVGGSAKREVTVFTDIGVPLTFENVVQATGNFNASNCIGSGGFGATYKAEISQGILVAVKRLSVGRFQGVQQFHAEIKTLGRLHHPNLVTLIGYHACETEMFLIYNYLPGGNLEKFIQERSTRAVDWKILHKIALDIARALSYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWGCMLLREGRAKEFFTPGLWDAGPEKDLVEVLHLAVVCTVDSLSTRPTMKQVVKRLKQLQPPPC >CAK8574246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672146909:672147718:1 gene:gene-LATHSAT_LOCUS26606 transcript:rna-LATHSAT_LOCUS26606 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFLHDLLNGISISSTYPTGKSSKRYLPDSSGKYCKSITKSNKGKLNSVLTKMSDTVKGKLRLGARILRVGGVEKVFMQLFSVTDGEKLLKASQCYLSTTSGPIAGLLFISTHKVAFCSEKSIKITSPKGEFIRVHYKVCIPHEKIEHVNQSQNMKKPSEKYIEIVTVDGFDFWFMGFFNYRKAIRYLQQAMSESQREKL >CAK8577732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585636990:585640050:-1 gene:gene-LATHSAT_LOCUS29812 transcript:rna-LATHSAT_LOCUS29812 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTVDEHHFAVGDDTYSEYGGFSSFSGEEVPVDHTPAAATESPEIFGFSDQDSSYAQSPFEPVHVMENGNGYGGHDDDIFASDGPVLPPPGEMEPEEGNVLREWRRQNAIQLDEKEKREKDMRLKIIEEAEEYKVGFYEKRKLNVETNKVQNREREKLYLTNQENFHKEADKNYWKAIGEIIPREVANIEKKRGKKDQDKNPSVTILQGPKPGKPTDLSRLRQILLKLKHTPPLHMIPPPPASAKVSKDGKDGKETATKPNGSAPEGAPETQPNGSAPEGAPETQPKDAANIEVSDLPLKEAPATEEQAAA >CAK8544276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675236863:675237171:1 gene:gene-LATHSAT_LOCUS12969 transcript:rna-LATHSAT_LOCUS12969 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTSLVGEKPVVIFSKSTCCLSHSITSLIRSFGANPIVYELDKITNGSQIESELLQMGCKPSVPAVFIGQQFRGGSKKIMSLHVRNELVPMLKEAGAIWI >CAK8543769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638161797:638163436:-1 gene:gene-LATHSAT_LOCUS12507 transcript:rna-LATHSAT_LOCUS12507 gene_biotype:protein_coding transcript_biotype:protein_coding MTISCWKPSVEGDDGDVKGKVDGLLWYKDLGNHLYGEFSMAVIQANSSLEDHSQVESGPLSSDYLGPQGTFVGVYDGHGGPAASKFVNENLFSNFKSFAAEEQGVSEKVIKRAFSATEDDFLSLVKKQWLTKPQIASSGTCCLAGIICNGMLYVANAGDSRAVLGRVKKGTKETLAVQLSAEHNVNIETERDDVRSKHPYDPQIVVMKHSVWRVKGIIQVSRSIGDAYLKKAEFNKEPLPQKFRLPETFFKPILSSEPSVSIHKIQPEDQFLIFASDGLWEQLSNQAAVNIVSNNPRNGIARRLVKAALKEAAKKREIRLSDLQKIEHGVRRHFHDDITVIVVYLNRKLIDNSSLCSSPLSIKGGRHVNF >CAK8573925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648007375:648008208:-1 gene:gene-LATHSAT_LOCUS26319 transcript:rna-LATHSAT_LOCUS26319 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQTFSQSTGLVVNPAKCMVYFGGVNAETKSDILASTSFMEGELPFRYLGIPLTCKRLPMHHYMSLVDRVVSRICHWSSKTFLWTGSEEKSRKSPIAWKIICKPRKNGGQDVMDLSDWNVACLTKLLWNLCNKKDSLWVKWIHAFYFKTTTIMQIQEKQGMSWILKAILRHRIIVYGIDDWNGMTAKYSVGKVYQYLKKDDPDVGWKHMLSNNIARPRARFTMWMACHRRLATR >CAK8574999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20558532:20564112:-1 gene:gene-LATHSAT_LOCUS27292 transcript:rna-LATHSAT_LOCUS27292 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNSIGEISWICLSSFDFSSLCFQRSAIDTINILFLSVYFTSLLITFITKSSTNESHRKCWIFLIASICCAIISIAFFTIGLWSIVAKSEQEWLACFIRGIIWISLSVSLIVQRVKWIRILNSIWWLCSCLMVSSLNIEILFRNRVIETFDIGLWLVHFILLYCAVQNVGYLDTRSVEEGLSEPLLDENLETKQTGLGHASFLSKLVFCWMNSLLSLGYSKPVDLEEIPSLVSEDEANMAYQNFVRAWESLVRERGKNNTKSLVLWSIVRIYFGENILIGFYGLIRTVCVAVSPLILYAFVSYSNRSEQDLRRGLSIVGFLIVVKVFESLSQRHWFFNSRRSGLKMRSALMVAVYQKQLKLSSSARTRHSAGEIVNYIAVDAYRMGEFPWWFHMTWTSALQLVLSVGVLYGVVGIGALPGLVPLIICGFLNVPFARILQNCQSRFMIAQDERLRSTSEILNSMKIIKLQSWEEKFKNLLQSLREKEFVWLSKTQILKATSSFLYWLSPTVISAVVFIGCAVTKSAPLNAETIFTILATLRNMSEPVRMVPEALSIMIQVKVSFDRLNSFLLDEELNNDDSERKFKECSVNAVEIQDGNFIWDHESVSTTLSDVNLEIKWRHKIAVCGPVGAGKSSLLYAILGEIPKISGSVNVGGTFAYVSQSSWIQSGTVQDNILFGKPMDRARYEKAIKACALDKDINDFSHGDLTEIGQRGINLSGGQKQRIQLARAVYNDADIYLLDDPFSSVDAHTAAILFNDCVMTALREKTVVLVTHQVEFLSEVDTILVMEGGKVIQSGSYESLLTAGTAFELLVSAHKDTITELNQDNEHKRGSENEVLSKNQSEGEISSIKDPIGTQLTQEEEKVIGNVGWKPFWDYIHYSKGTFMLCFIVLAHSAFMTFQTASTFWLAIAIEIPKVNNATLIGVYTLVCFASAAFVYVRSYLTALLGLKASTAFFSRFTTAIFNAPMLFFDSTPVGRILTRASSDLSILDFDIPYSITFVAGVALEILVIICIMVSVTWQVLIVAVPAMVASVYIQEYYQATARELIRINGTTKAPVMNFASETSLGVVTVRAFKMVDTFFKNYLTLVDTDASLFFHSNAAMEWVVLRIEALQNLTVVTASLLLILLPHGYISPGLVGLSLSYAFTLTSAQIFWSRWYSNLSNYTISVERIKQFIHIPVEPPAIVENNRPPSSWPSKGRIDLQDVEIRYRPNAPLVLKGITCTFKEGSRVGVVGRTGSGKSTLISALFRLVEPSRGDILIDGMNICSMGLKDLRMKLSIIPQDPTLFKGSIRTNLDPLGLYSDDDIWKAIEKCQLKETISKLPSLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNKILVLDEATASIDSATDAILQRVIRQEFEECTVITVAHRVPTVIDSDMVMVLSYGKLMEYDEPSKLMDTNSSFSKLVAEYWSSCKKNSFPDIRGQQQ >CAK8533481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:622918456:622918944:1 gene:gene-LATHSAT_LOCUS3104 transcript:rna-LATHSAT_LOCUS3104 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLYFLEHCIFFRLFEASNCKSVAVADCGSWVDGHWHWELEKLNIEMNGVVEVQLCDLVILLAEVNLVQGMEDCVGWPREALNMFCTKSCYRLLSNESCDSGLDSNSRMALDLLWKTRISLKIKVFGWRMILNRLASRVNLAPRGLITNPHEKVCVFCFQS >CAK8543459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609121436:609122877:-1 gene:gene-LATHSAT_LOCUS12215 transcript:rna-LATHSAT_LOCUS12215 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIDTPLPLPHMKQDDDTNVVNSSSPQFSPSSDKRFWSTLRSRMDSLLDNPHHINLNERGNNRLKEDSLLLIRGFDSVSHTLSLLSSNLDNALQSTRELGKPPTLTDMFQSKIVESEKKEEDSEEKEKEELKKGVKRKIDEFYEENVTESQTENGQKMHDKHIKKAKNLAVSMASKASSVARELKCLKSDLCFMQERCGLLEEENRRLRDGFAKGVRPEEDDLVRLQLEALLGEKARLANENANLMRENQCLHQLVEYHQHTSEDLSESYEQVIQGMCLDFSSPPRTIDEEEEDDDVEDSV >CAK8544800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706084319:706087279:1 gene:gene-LATHSAT_LOCUS13450 transcript:rna-LATHSAT_LOCUS13450 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDSSSSSRWHNFSTRISTCLASALLEWLLILFLFITAVFSYVITKFASYCKLKTPCLFCSRIDHVLGKEKRGYYLDLICSFHKSEISSLVYCCKHDKLVDIQGVCETCILSTATIDNSNAESSKLLVGESVEEESGSMFDRDPLLGTSDRRIDGHCSCCSERFVLNCYDKNFVFTESTTRSRAVDFDVLDDVENSLCEKRSAKPVVSVRDARVRNDHVDRLSRVGYTELKINSDTESEYEVPLSDDDDVSVPVHRTDDAEEDIRVPLTNDTEEDTRVPCEYVELPSVDDSNEDLTPGKLGTSASVFEPLLSEPRMQVENIDIGGIKTVAAAVESGDGLTELKWQKIERSAVCPSPSEATPFNDVPTLSNKTRMPVEVSKEIYDFRTDEEGLTSEQGPIMDREENINSGNKLKASEEVSEPSLVSSDIGQQNPNLLDLGDAYKLAVGNRGRQLSGMLAEHWLGKDSSRVNEDLKILLSQFSATRGTDMSFNDISPRLSINSEEAKSSDVSNSTGMQILQKMISLERNESGLSLDGSIVGEIEGESPVDKLKRQVDHDRKLMNALYKELEEERNASAIAASQALAMITRLQEEKATLHMEALQHLRMMDEQSEYEMEALQNANDLLAEKEKEIEELEAKVEFYRKSFPNEMLLENTVEKNSEMKVKDIGLDHSQCTFTENSESVPEKSVAENPNKTDILLASLEEKNIQSVNSSLIEFQDEKLYISQRLKKLEKQVYFFLNTHHSQDNLQNSENIGNKYPGNSEKFDNNLPTEDSLSSFKLSSDTMIDDPSSKKPPVCKQNGEIEYSEGSSAVLCGNSDLSSTRNVVSDFIERLQALEADHSFLEHSINLLSEGGEGLKLLQEIANRLQQLRIIGIRERDHPVASRCI >CAK8561590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310769539:310771203:-1 gene:gene-LATHSAT_LOCUS15167 transcript:rna-LATHSAT_LOCUS15167 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWIVKFLLQRGYTVRATVRDPSNPKKVDHLIKLDGAKERLQLFKADLLDEGSFDSIIQGCDGVFHTASPVRFVVDDPQVELIDPALKGTLNVLKSCAKSPSVKRFVFTSSVSAVAFNTRPKNPGVIVDETWFSDPDFCRESQFWYTLSKTLAEAAAWEFANENKINMVVINPTMVAGPLLQPEVNESVQPILNLINGIPFPNSAYGWVNVKDVADAHIRAYEIPSTSGRYCLSERGVHLSELATILRDLYPTLKISDKCEDEEPYITTYQISKEKAKSLGIVFTPLEVSLKETVESFRKKMIIDF >CAK8573899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645181964:645186450:1 gene:gene-LATHSAT_LOCUS26294 transcript:rna-LATHSAT_LOCUS26294 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMVWLTTTLAITISLLSTFANTALTFDGGGDTKHQPTLCEELILPAGYPCSEHVIQTKDGFLLGLQRVSSSSASIGYYAPESRPPVLLLHGLFMAGDAWFLNTPEQSLGFILADHGFDVWVGNVRGTRWSHGHISLLEKNRHFWDWSWQELALYDLAEMINFINSVTNSKLFVVGHSQGTIISLAAFTQPEIVGKVEAAALLSPISYLNHVSAPMVQRMVKMHIDQMIVTMGVHELNFKSDWGADLLVSLCDTHLSCSDLLSSITGKNCCFNESRVAYYLEQEPHPSSSKNLNHLFQMIRKGTFSKYDYGKLRNLKEYGNIKPPKFDLSRIPKSLPLWMAYGGNDALADITDFQHTLKELPSTPEVVYLENYGHVDFILSLQAKQDLYEPIINFFKSMGKVSSI >CAK8575482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:133390658:133390960:-1 gene:gene-LATHSAT_LOCUS27741 transcript:rna-LATHSAT_LOCUS27741 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWRLLKDEPKWKGQEMNNSSKRSKISSTRTYSSSSNLENPIDCSEYNSATQTDHPAVQKAAKRKGEGKTYPSITPIVDLIVMERVSEKKLAIYGKNR >CAK8538349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478435895:478437325:1 gene:gene-LATHSAT_LOCUS7561 transcript:rna-LATHSAT_LOCUS7561 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKPLPWGTQQTEAVKALKKIARTPPALKIPGNGKRILQTDVSDHYWGAVLIEEIEGKRYYCGHASGQFKEAEKHYHTTYKEALAVKMGIQKFDFHLRGYQFEVQMDNSSFPKILEFKNKMPPDPQTLRLKDWFSRYDFSVKHIKGAQNVIPDLLSRPMKPIQIITAKHTFPLILMVKPLPPHASKTKNLPPGITTSSSMSQLKQYARNNLFYYTTKIIRNKLPDHSPYFPDTPFLLPILINPYAKFTKNHLWYIWCATCLYYMPVLIPTEAMYQHITDPEKHKSLIWTTLKWYSPLQWWRDQLKLVIDEVNERKLSSEDITKLKSVFFLHMPYVIDPATKLLNCKSYVHLWETLDEYPPSLKITRELLEYVNYINLYDPKKIDDSSTCIHHEKFKRPEVGESSAQATQQKPDSPMEAEFSEAQVEEANMRLADHTYMMEDIWQHESSRYGDCFSDENLSDQNMSPSHEPICKD >CAK8531552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124634473:124636066:1 gene:gene-LATHSAT_LOCUS1336 transcript:rna-LATHSAT_LOCUS1336 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIFILFCIPCYALEFEDKTVIDSPMLTKRIGTNHTIKVDINGNGDFKSVQAAIDSVPEGNSRWVIIHIRKGVYREKVHIPETKRYIFLRGNGRGRTSIVWSQSSDDNVESATFKAEAPDFIAFGISFKNEAPTGVAYTSQNQSVAAFVAADKIAFYHCAFYSTHNTLFDYKGRHYYESCYIQGSIDFIFGRGRTIFQSCEIFVVDDKRITIHGSITAANKETEIEMSGFIFIRGKVYGVGGVYLGRAKGPYSRVIFSHTYLSNTIVPEGWTNWSYDGSTKNLYHAEHKCHGPGATAEGRAPWSRQLTDEEAAKFISINYIDGKNWLPAYR >CAK8563603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619878750:619883774:1 gene:gene-LATHSAT_LOCUS16997 transcript:rna-LATHSAT_LOCUS16997 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDMVQTINLKKSFVQANMRVFTFGKGKSEGNKGMKSLLGGKGANLAEMATIGLSVPSGLTISTEACHQYQQNVKNLPDGLWEEILKGLKFVENEMGAYVGNPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVVGLASKNGERFAYDSYRRFLDMFGDVVMDIPHSLFEEKIENLKLSKGVKHDTDLTASDLKDLVEQYKNVYIQAKGEKFPSDPMKQLELAIKAVFNSWDSPRANKYRSINQITGLMGTAVNIQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVGGIRTPQDIETMKTCMPDAYKELVENCEILENHYKDMMDIEFTVQENKLWMLQCRIGKRTGKGAIKIAVDMVSEGLVDTRRAIKMVEPQHLNQLLHPQFKDPSQYKDKVVATGLPASPGAAVGQVVFNTEDAEEWHAQGKSVILVRTETSPEDVGGMHSAVGILTARGGMTSHAAVVARGWGKCCVSGCTSIKVNDEEKVVVIGDNKISEGEWISLNGNTGEVILGKQPLAPPALSDDLETFMSWADEIRNLKVFANADSPEDAIAARRNGAQGIGLCRTEHMFFASDERIKAVRKMIMAITQEQRKAALDLLLPYQRSDFEGIFRAMDGFPVTIRLLDPPLHEFLPKGDMGEIVSELSSQTGVKKEEILAKMERLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVTVKNNGIAVFPEIMVPLIGTPQELKHQANLIRDVAEKVFSEMGSSVSYKVGTMIEVPRAALVADEIANEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAAGILEHDPFEVIDQKGVGQLMKICIEKGRAARPNLKVGICGEHGGEPSSVAFFAKIGLDYVSCSPFRIPIARLAAAQVAA >CAK8569042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665945456:665948877:-1 gene:gene-LATHSAT_LOCUS21939 transcript:rna-LATHSAT_LOCUS21939 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKNKFVIILLTFAISRLFLTVGLTVDPTLTEILHVGLEGEVKLEASELEAVSLDFGRLSSRGEALAVVRPASENDVARVVKAAYNWPPFAVSARGHGHSINGQADTRMKGVVIEMGRGSRVNFLPRVYEREMYVDVWGGELWIHVLKATLEYGLAPMSWTDYLYLSVGGTLSNAGISGQTFNQGPQISNVFELDVVTGKGEVLTCSEDHNSDLFHAVLGGLGQFGIITRARIALQPAPQRVRWIRVLYSNFSTFSKDQEFLISLHGKAARQRFDYVEGFVIVDEGLVNNWRSSFFSSSNPVKISSINTDGGVLYCLEITKNYHQGNADSVDEEIQALLKKLSFIETSVFTTDVGYVDFLDRVHKAEVKLRYKGLWDVAHPWLNLFVPKSRIEDFDKGVFKGILGNKTSGPILIYPMNKNKWDNRSSVVTPEEEVFYLVAFLRSALDAETLEYLSNQNRQILRFCHDSKIKVKQYLPHYTTVEEWKDHFGDKWTQFNAMKMQFDPQRILATGQRIFDFEPSTVISKDDM >CAK8567170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492623618:492627525:-1 gene:gene-LATHSAT_LOCUS20245 transcript:rna-LATHSAT_LOCUS20245 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKKASRRNGSRECVSPRASRAQKKVSENGQVVEKKVADLITSSARKIKPACAFEKKDGEPVPLTLLDNEYGTVDKGISCTNLGNEANDGASVDFEGCNKQALPLETGTIFSPGFHLSKGSGGKVADRVDFVQIFRCEDQKRISLDQEVTQLPQEDAGDGNVSQDFDSAMEVDINNSSNVLAISTKTVDGCSSDFDGNGLSVEVPSIYLAMKNSKLECIDEHGQDSVSSDMCPEDDEFEDFDDFDPYLFIKTLPDLSRVVPTFRRMLLPKQTRSCPPITLVLDLDETLVHSTLEPCEDIDFTFTVNFNCEEHNVYVRCRPHLKDFLERVSGLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRESCVYVEGNYLKDLTVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDPSDRELLLLLPFLESLVGVDDVRPQIAKKFKLREKIAAAVHPLNTSSRVFLSE >CAK8570763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:109968839:109970522:1 gene:gene-LATHSAT_LOCUS23490 transcript:rna-LATHSAT_LOCUS23490 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSFTLILLLTLTTSISSPIPSPPPHSHFQHLNVEKAIIETKLKPPKQPNHHSQHRPQQQEQLPQWKTKLFHRDHINLNPTTNNSNHKTRFNSRIKRDIKRVTFLLNRLNSLNKNTEQTTTATEASFGSDVLSGTESGSGEYFVRIGIGSPPIYQYMVIDSGSDIVWIQCQPCDQCYNQSDPIFNPATSASFIGVSCSSSVCNQLEDDAACRKGRCGYQVAYGDGSYTKGTLALETITVGRTVIQETAIGCGHWNEGMFVGAAGLLGLGGGPMSFVGQLGAQTDRVFGYCLTSRGTGTSGSLEFGRQAKPVGAMWVPLIHNPFYPSFYYVSLTGLAVGGIKVPISEEIFQLTDVGTGGVVMDTGTAVTRLPTVAYNAFRDAFIGQTRNLPRASGVSIFDTCYDLNGFVTVRVPTVSFYFSGGQMLTFPARNFLIPADDVGTFCFAFAPSGSGVSIIGNIQQEGIQVSVDGTNGFVGFGPNVC >CAK8537573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:399540260:399541564:1 gene:gene-LATHSAT_LOCUS6858 transcript:rna-LATHSAT_LOCUS6858 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRRNNDSDGRARIRALSDYLLLQRRGNYDGDGKDRISALPDCLLLQILSHLNLKQAIQISILSTRWKNLWKHITFLSFNYRNSEAIESFTKFVSQFLSLRNDKTSLQALNFECRDFIKPHLLKRIMKYVFSHNVQQLHMTVACNIERFPLCNFSCHTLTSLRLTSCNQPFSPGTIFPNSLKLPALADLSLQFFVFAYRPNSEGDYAEPFSVFKSLHTLRIQYCEVFNELNLCEDNLFISSVSLVNLTISLPDLPYKFKLSTPNLCTFEFRGRPLQNLSGRNSNINSNFSYIKHVKIVLPFSDSVQNFPSILFNWLVELTLMESLTVSPTTLEILNEIPDSWKIDFPYLHNLKLLQIDTREYVSDGIGDFLLQNAPSAKKVIKRAR >CAK8536344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932591848:932594148:-1 gene:gene-LATHSAT_LOCUS5721 transcript:rna-LATHSAT_LOCUS5721 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRLLLLLKPSVVSAQPSHTDPLVLEFLNNRHKVHSESVNFCQEILRKKAVEWKAVLGNNLTQPINDVDLVVAIGGDGTLLMASHLMDDKIPVLGVNSDPTPIDEVEKFSGEFDASRSTGHFCAATVENFEQVLDGILENRIAPSKLTRIKISVNALDLPTYALNDILVANPCPAAISRFSFRITKENQPFSPLVNCRSSGLRVSTAAGSTAAMHSAGGFSMPILSRGLQYMVREPISPGAVSDSMHGFIKQDETMNSTWACREGVIYIDGSHINYAIKDGDLIQISPKAPSLKVFLPDHLIRLEIERE >CAK8530919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63130574:63136531:1 gene:gene-LATHSAT_LOCUS746 transcript:rna-LATHSAT_LOCUS746 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSGLLNHRNLPLRLSRMNHLSPSSHFDCATLKLRPLTIHQYRLCVRRNAISAKALDEDSFDFPILDDWGPEGSPSYVLSSSDDEDFDDEVFLIPVNDVDLPSASASNNDALTVAAHRLSTIGRGHKKHRVKRGLFITMGLIIVLTVLLLYVDWCVWKIVKLPLAPFYLTRPFLISAVLVSFAGYVCVPIFHHLKAIHVIKLQGPLRQHLKKRTPTLGGLLFVPIGVIVAHFIADSSSIEVSGVCGVTIAFAAVGLLSDILNLTKNHWRGLPALAEVCLEVAVGTCFSFWLNITSISSPYGMKTLLPLPVGLVYLGRYYHLLTSYCFVSMGHGVKLADALDGLAGGTAALAFIGMSIAVLPICSELAIFGASMAGSCVGFLLYNRYKASVYMGNTGSLALGGALTAMAACTGMFFPLLISSGIFIVESSSVILQVLHLKITKGLLGASWAFLRMPPLHRRLHLLRFREPNIVLGAYLISFVLALLGGYVGLISA >CAK8568628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625421765:625423945:-1 gene:gene-LATHSAT_LOCUS21569 transcript:rna-LATHSAT_LOCUS21569 gene_biotype:protein_coding transcript_biotype:protein_coding MLICRYVPPWLCQILACMGGCLGCFSKPLEIILMGEADASKGLKTQAQTMNQDNRSEDFWSSSAIELDHSATQSQRSISSIVVSNHLSDPQSSDGIQTDPPEFVNHGLLLWNQVRQQWIGNRKPERKTQVGEPKISWNASYESFLGTSKPFPHRIPLGEMVDFLVDIWELEGMYD >CAK8568629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625421765:625422577:-1 gene:gene-LATHSAT_LOCUS21569 transcript:rna-LATHSAT_LOCUS21569-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADASKGLKTQAQTMNQDNRSEDFWSSSAIELDHSATQSQRSISSIVVSNHLSDPQSSDGIQTDPPEFVNHGLLLWNQVRQQWIGNRKPERKTQVGEPKISWNASYESFLGTSKPFPHRIPLGEMVDFLVDIWELEGMYD >CAK8574826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10643449:10646595:1 gene:gene-LATHSAT_LOCUS27129 transcript:rna-LATHSAT_LOCUS27129 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPSFLDSLPQRSFFFCFLLLPLLLLLLLLVPSSSSSTSSTSSTSSSSNKVTMSIYYESLCPYCADFIVNHLVKLFQTNLISIVNLRLVPWGNAWIAPDGTFDCQHGNDECFLNTIEACTIKIYPDAGQHFRFVHCLERLTLENRHKEWFNCFQMTGLTTMPLDCYKNGNGKSIEQKFAKETADLNPPHNFVPWVVINNQALKEDYLNFVAYICKAYKGNLKPDACRSVSTRSDYDSDEKINSFHPVCYVDEANKNLTLLATSQQIKV >CAK8543367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603197690:603213603:1 gene:gene-LATHSAT_LOCUS12129 transcript:rna-LATHSAT_LOCUS12129 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGIETLGSLNGDFGFTGSSDRRFAFSRQPSFQQSRTPISTDSTDLSFRKPFLSRTDSSIDIPSSGPYHHYWSGHDDKPSGSPRKQSFLSFVFSFFRNVKAGHRYMKRLFFMISLNVAYSTVELLIGLFTGRVGLVSDAVHLTFGCGLLTFSLFVMGASRKKPDGVYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFVQDESEHKHYLNVSAVTNLFVNLIGVLFFRNYARINLAYRNAEDMNCHSVFLHVLTDSIRSAGLILASWLLSIGVENAEVLCLGLVSVAGFTLVLPLFRATGGILLQMVPPSIPTTAFSKCLRQISAREDVLEVSQARFWELVPGRVVGSLSMQVKKGVDDRPILEFVHRLYHDFGVLDLTVQIDHA >CAK8532458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266840697:266841452:-1 gene:gene-LATHSAT_LOCUS2162 transcript:rna-LATHSAT_LOCUS2162 gene_biotype:protein_coding transcript_biotype:protein_coding MHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPHPRFSPEEIWNRVSELPKFTDYGEAYRIEGYGVKHN >CAK8532459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266840697:266840999:-1 gene:gene-LATHSAT_LOCUS2162 transcript:rna-LATHSAT_LOCUS2162-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPHPRFSPEEIWNRVSELPKFTDYGEAYRIEGYGVKHN >CAK8573078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581478194:581487741:-1 gene:gene-LATHSAT_LOCUS25568 transcript:rna-LATHSAT_LOCUS25568 gene_biotype:protein_coding transcript_biotype:protein_coding MILILPNFLFFFSIIITTTISTSTPSYPYGLSSYHINCGTSTNLTDSFNTTWLSDRFFTGGSTSDVSEPLRFKLPSEKTLRFFPSSSNGKKNCYSFSSLPSARYLLRTFTVYDNYDDKSRPPSFDVAFSGTIIFNWRSPWPESTAQYGAYSDIFVFLNTSLPADLCFYGFATDAPIVSSIELFPVDSTSYDSHSTGENLILVNYGRISCGSDDPWGPGFTNDTDKFGRSWQPDKQFLSGPDETVEDVSTGNTIGGADKEPNYFPMKLYQTAVTSEVGSLEYELSVDAKMDYMVWLHFSEIDTSVKRAGERVFDVFINGKNATRVDIYKEVGGFTAFTWHHVVKNLSSNTLGIKLISVSGAPLISGIENYALVPNEPSTHPLQVSAMKSLKESLRIPGRMGWNGDPCAPTTWDAWEGVTCRISDDKTALLITEINLGSQGLKGSISDQISRLSDLVSLNLSSNSLGGEIPSGLGQNSMVKVDLSNNQLTGSIPDSLESSNLLLVLLNDNLFEGQVPAQLFSVGVRGGAIDLSGNKGLCGVPSLPPCWKYGRLSTGAKISIVLSCIFVFCMILLLVYIYCIRKRNDYDFNLPYDIMALASKRCKYQRQKSLALLELENRYAKGFPSPFTPQ >CAK8534277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712235418:712239662:-1 gene:gene-LATHSAT_LOCUS3834 transcript:rna-LATHSAT_LOCUS3834 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDHVMVHNDIAQNLKKLVTEHDCPHLLFYGPSGAGKKTLIMALLRQMFGPGAEKVKVENRAWKVDAGSRSIDLELTTLSSANHIEMTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNDVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSRVTEAIRSRCLNVRINAPSEEQIVEVLQFIGKKEGLQLPPSFAARIAEKSNRNLRRAILSFETCRVQQYPFTDKQTISPMDWEEYISEIASDIMKEQSPKRLFQVRGKLYELLINCIPPEIILKRLLYELLRKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSVYKSFLIATFG >CAK8566425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422360777:422361391:1 gene:gene-LATHSAT_LOCUS19557 transcript:rna-LATHSAT_LOCUS19557 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSIESVFIKGLSRVVSSAKPYRHKTTRPVSPNQVTTPRTTKQDLTVKVESPKKMDAIESRTPLASVVADCTKRWFRDTLKEAKGGDSSMQVLVGQMYYSGYGVPRDPQKGYVWINRASKSKNSVWKVSEKQPGYRASDSDSCDLENKSTP >CAK8566552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434758924:434761404:1 gene:gene-LATHSAT_LOCUS19675 transcript:rna-LATHSAT_LOCUS19675 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLNSEDSHKKKEGSRCCATDSSAESRKTSFSGSSSTSTSTSSLSTSTSTSDEAKAKGFSSPPTPLGWPILKATLSNSKRLSSDEKHKPNLELDHSKFTSIDLKIPDVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLPSEKKEMWQREMEWLVSISDHIVELIPSWQTFPDGKKLEVMTCRPRTDICINLPALRKLDNMLLEILESFTATEFWYVDQGIVAADADGSASFRKSIQRQEEKWWLPVPRVPPAGLSENSRKKLNHSRESASQILKAAMSINSIALAEMEVPESYLETLPKNGRACLGDFIYRYITSDQFSSECLLDCLDISSEHIALEIANRVEAAFYVWRRRAHHKSTPIPNRSTTKSSWGMVKDFMLDGDKRELLANRAENILNSLKHRFPGLTQTTLDTTKIQCNKDVGKSILESYSRVLESMAFNIVSRIDDLLYVDDLTKHSDTFALVPHQKISHPFSVSVSGTPHKAAFGTPKFSPMPLISPSKGERTPFLHNNNNNNNNVNSIKPQHRGFGVRRVLSNYLGVETKTKTCTNSTEVNCSNSCSKKTEQPHKETCTLKNQTK >CAK8574152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666434902:666435528:1 gene:gene-LATHSAT_LOCUS26525 transcript:rna-LATHSAT_LOCUS26525 gene_biotype:protein_coding transcript_biotype:protein_coding MINKKISILLVVIFFTISAFCSDPDPVLDFCIAKPQENCKNSSTATVEDFTFSGIKLPGNFKETGFASTGVNSNVFPGLNTLGVSFVRADFDVGGVNVPHFHPRATEVAIVLEGKIYSGFVDTQNKIFARVLEKGEVMVFPRGLVHFQMNVGDVPATIFGSFDSQNPGLMKIPNLLFGSGIQNQLLEKAFGLNSKELSKLNKRFSPPN >CAK8572031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503264668:503266369:1 gene:gene-LATHSAT_LOCUS24640 transcript:rna-LATHSAT_LOCUS24640 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTFPSLHLFTEFKPHILMILAQISYTFLYFITEVSFNHGMSPYVYVTYRHAIAGVVMFPFAYFLERNIRPKLTFALFMEFFLLAVLGMSLSINLYFASLKYTSPTFLSSMYNTISSLTFIIAVVLRFEVLDLHNPRGIAKVLGTIVSLAGVMTMTLYKGPIIRNLWHPLIHIPPKSASINESSLKGSLLTVSCCILFSMSCIMQASTLKRYPAQLSLTTWLCFIGGAQSAVFTVIAEHNNPSAWIIGFKFDFWSTVYGGIIVGGLFIYVNLWCTEKKGPVFVTVFNPLCTIFVTILAYFVVGEKLYLGSIIGAIIVITGLYMLLWGKEGDNEVDFKAKDKLKYNIEDLEECKI >CAK8560932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:59495834:59497983:1 gene:gene-LATHSAT_LOCUS14568 transcript:rna-LATHSAT_LOCUS14568 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVKRFNRTERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTASYHNARKRCHISSESAKTVSRRKTGNDMLPPKHTTKKVFNRNMGDEVPQKHTTKKVLNTNMGDERERTQVPQKHTTKKVLNRNMGDERERTQVPQKPSISICTYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALPNGSDKLYSGSTDGTLRSWDCHTGQCANVMNLGAEATSLISEGPWIFVGLPNTVKAWNIQTASQFTLDGPKGRVLAMTVGNDTLLAGAEDGVISAWRGSSKSNSPFELVASLRGHTKSVVCLTVGVFKMLYSGSKDQSIKVWDLDTFECKMTLNAHTDEITSLLCWDNFLLSGSSDCTIKVWYKTEAETLEVAYSHKLENGVVALSGMTDPGNKPILFCSTKDNSVCLYELPSFAERGRLFAKQEVGLIDIAPGGLFFTGDRTGLLTVWKWLEEPKVAVAASS >CAK8537129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104438288:104440622:1 gene:gene-LATHSAT_LOCUS6443 transcript:rna-LATHSAT_LOCUS6443 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEMLAIDTTRKISFNRLISNGDLVIVYERHDNMKAITVSEGAVLQNNFGAFKHSDWIGKSFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCIVLESGTGSGSLSTSLARAVAPKGHVYTFDFHEQRARSARDDFERIGLSSLVTVGVRDIQGEGFPEEFVGLADAVFLDLPQPWLAIPSAAKMLRHDGTLCSFSPCIEQVQRSCETLQSNFTDIRTFEVLLRTYKVREVKTESSEINGNGGSNVTLPCKRRQGLDGANVVSYPNSSVMARPCGEARGHTGYLTFARIQCLS >CAK8537130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104438300:104440622:1 gene:gene-LATHSAT_LOCUS6443 transcript:rna-LATHSAT_LOCUS6443-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDTTRKISFNRLISNGDLVIVYERHDNMKAITVSEGAVLQNNFGAFKHSDWIGKSFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCIVLESGTGSGSLSTSLARAVAPKGHVYTFDFHEQRARSARDDFERIGLSSLVTVGVRDIQGEGFPEEFVGLADAVFLDLPQPWLAIPSAAKMLRHDGTLCSFSPCIEQVQRSCETLQSNFTDIRTFEVLLRTYKVREVKTESSEINGNGGSNVTLPCKRRQGLDGANVVSYPNSSVMARPCGEARGHTGYLTFARIQCLS >CAK8544920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712195517:712196342:1 gene:gene-LATHSAT_LOCUS13562 transcript:rna-LATHSAT_LOCUS13562 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEVACVDHSEFEKQNQLCCMARRMKKKFNKYWNSYSVVLSFAVILDPRYKLQFVEWCYVRLLGGEGVQVAKVIFDKLKVFFQEYLKSSNEESTSSSQRSIRGSPNIPSNDLQDFGSYESKLCGPSKDESDLEIYLNEKKIDHEQYADLDVLQYWKANEGKYPKLSILARDLLSIPITTVASESAFSIGGRILDKYRNALLPENVEALLCTHDWLCGTPAAFDFDGPDFVEDLSTFFSTT >CAK8544893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710634255:710634657:1 gene:gene-LATHSAT_LOCUS13538 transcript:rna-LATHSAT_LOCUS13538 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEKEIDNEEVEENITSCPSQIPDTSLVELNMLLVILSSANSLSDDNSHEENDHIIRDHAIANVNKTYTSRLEAKKKEKHEPKLIGKKAFSSRFQTTIKDSRHGRKM >CAK8535887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888837427:888841419:1 gene:gene-LATHSAT_LOCUS5306 transcript:rna-LATHSAT_LOCUS5306 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFLLLMFRLSLILPFIVHAQNNQSGFVSIDCGLVDEPSYTDETTSIYYTWDVNFTDTGVSRSISSKHKASLERQFWNVRSFPEGTRNCYTLFVSQGSSKKYLVRASFVYGNYDGKDSLPEFDVYLGAKRWESVVFEDSSSLITKEIIYAASSDYVRVCLFNTGKGTPFISVLEVRVLNSDAYLVNSLELLARFDVGSQGGPKIRYPDDIYDRIWTPYNSIDWKKIDTSLTVVQEAPSFNFLPLPPSAVMRTTSIPENDTDNIKFYFLPKSNASRYYVYLYFAEIQKLEPNQIREFNIFVNGKLLNNDPVNPLYLQSRYYISYISENKLELWFNKTSRSTLPPLFNAIEIYMTKDLLQSETYQTDVDAIINVKSIYGIKRNWQGDPCTPMLYLWEGLNCSYVGSDSPKIIYLNLTSSGLFGTIAPSISNLKSIEYLDLSNNSLTGAVPDFLSQLRFLRVLNLEGNKLSGAIPIELLVHPKNSVLKFNFGGNPNLCSSGSCNKRNGNKVVVPLVVSLVGAFMILAAAMISFRIYYKRHQASHQVSKVGAYSRIRQELELKKQEFTYEEVLSITRNFEKVVGKGASGTVYQGWIDDDTEVAVKMLTSSSAQGYLQFQAEAKLFAIVHHKYLTGLIGYCDDGTNMALIYEYMANGDLSNYLSDKNENILSWNQRLQIAVDAAEGLEYLHHGCNPPIVHRDVKSKNILLNEKLQGKLADFGLSKIFPNEGDTHVFTVVAGTPGYLDPEYNRLSQLREKSDVFSFGVVLLEIITGQPAITKTEEKIHIIQWVGSMLVEREVKDIVDPRLQGEFDISSATKALDTAMACVAPASINRPTMKHVVMELKQCLEDKTIHPSDTSYTHESIPSALNSVSFDRISGESSLAR >CAK8572282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526268185:526276640:-1 gene:gene-LATHSAT_LOCUS24864 transcript:rna-LATHSAT_LOCUS24864 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVVTDVASLDVELLQLPEISTLALKSNLNFVEKLFEQWLSLPESNRLVTSLLNDAKSGAPLNVPGSCSSPNAASNSLPSMFPAGTAPPLSPRSSSGSPRIVKQRAGPSNLGSPLKVVSEPIKEVIPQFFFQHGRPPPNDLKEQCIFRTDQLFHNHLDGLQVHEFKSITKEVCKLPSFFSTVLFKKIDNGAGVVTREAFIDYWINGNMLTMDLATQIFIILKQPQLNYLTQDDFKPVLRELLASHPGLEFLQSTPEFQERYAETVVYRIYYYINKTGNGRMTLRELRRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPEDESYVNLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >CAK8537431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353550414:353551291:-1 gene:gene-LATHSAT_LOCUS6723 transcript:rna-LATHSAT_LOCUS6723 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEVQSQLGNTMKSHHSMMLDKLLKTVISDKNDQLIQNDPSYHHNNNSSFLSESTGDSLNNNEVWSEINQQKHVKDNNVHIIGDESNLAMASRSRHWLPIELQMPVPIPMHMPSIQVPIPMHMPSIQVEQQQDYHHQNQQIIGLCPNFNAAQSVYDENKPMEIDYSENSLAMSMPISSTCSNSKGDAAAAVAVGVGIGRTHENLEKSVERKQKRMAKNRESAAKSRAKKQEHINKLAMDKFQLAIINKELNMRKVHNAYLFLLY >CAK8563326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592851267:592851617:1 gene:gene-LATHSAT_LOCUS16750 transcript:rna-LATHSAT_LOCUS16750 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKLVQHIENPKLINKVKDATDDVFTWNSIEDKKFKQRAKINWLGLGDGNNIYFHAQVKAKQSQNEMTAICKDDGTLLTNPTDIEAEVLHFYGNLMGRANDNQTGIDIVVMREGP >CAK8544191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671233089:671233460:-1 gene:gene-LATHSAT_LOCUS12891 transcript:rna-LATHSAT_LOCUS12891 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKEIRGPRSEIQHLLKLIEGVSYVYWSRKRDDSEVIRDIFWAHPNLVKLLNIFPIVLVMDNTYKTNKYRQPLFEIVGMTSTELTFAIAFAYIEYEQTETFCWVLDKLKELFVKKDLCPQVL >CAK8537692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421157258:421157476:-1 gene:gene-LATHSAT_LOCUS6957 transcript:rna-LATHSAT_LOCUS6957 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDFEIISHNIRELVNSDASLKFKVIPAHIAEKYSYRISYLKAWIAKIKVVESLYGNWETSYNDLP >CAK8534909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789301563:789302159:-1 gene:gene-LATHSAT_LOCUS4409 transcript:rna-LATHSAT_LOCUS4409 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLIKGTSLSLVLWLFMATIAFAQSENESVLDTKGNPVESGKEYYIKTPLTELGGRFTLINRNGYCPLYVGQENTDLVKGLPVIFTPFAKEDKVVKVERDFKVKFSGSSTCVESTEWKVGDRDGKTGKRLIITGTDRYNYFRIVKTESKGIYNIEFCPSVCDNCRFECGYLGSFREFLNILLALGNNKLPVQFVKA >CAK8538217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471392277:471393181:-1 gene:gene-LATHSAT_LOCUS7440 transcript:rna-LATHSAT_LOCUS7440 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFCRKHWVLLVINLDAEVIYYMDPLNGEPTKHQNFKTKFENALQFYRANSNSKVPKVSKSKKIAWSKIWCPRQFNSIDCGYFVMRFMKEVIMENEIMIPINYFPDHKCRTYSKDKLTEVKDDWATYMVDDIFRKQEAMILPS >CAK8544406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682588711:682597409:1 gene:gene-LATHSAT_LOCUS13091 transcript:rna-LATHSAT_LOCUS13091 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSTSYHGKDLLFILPLLVLLSSHISFQVATCASIVKFLPGFSGPLPFVLETGYVGVGEKEDVQVFYYFIESENNPKKDPLMLWLTGGPGCSALSGLVFEIGPLEFRKEEYNGSLPNLILKPHSWTKASSIIFVDLPVDTGFTYATTNSGSDRSDSLLVDQTYQFLKKWLVDHPKFQSNEIYIGGDSYSGIPVPVIVHKIVEGNEQGVQPWINLQGYLLGNAVTNRKEQNYAIPFAHGMGLISDELYNSLQINCNGEYINVETTNILCSRDIKSYDKCTSGLNTAHILDPLCEWRDDTDFFLRRSLIKKYSSNFVTTNLRLPPLTCRSYSYFLCGFWANDDNVRKALHIRKGSIRKWHRCSYSIYHDRDIFDSYDYHVILSRKGIRSLIYSGDHDMSVPFLATQAWIRSLNYSIIDDWRQWHTDDQVAGYTRTYSNQMTFATVKGGGHTAPEFRPKECFDMFSRWISKRAL >CAK8536928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40082005:40083092:1 gene:gene-LATHSAT_LOCUS6252 transcript:rna-LATHSAT_LOCUS6252 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDIDDGPKVDMSSVIDELWKRFKSLDVIGKRTLKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHEYVDQASQSSEMQSQPSQTSKKLKLSQFSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIGDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRECLMIEEFDPQPPHKWLTLPDMGYVIVNRYNIVLVCLGIECWTFFPMITSFSPNVAIYCIGFVNKNNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHWQQLTPILPTHYKL >CAK8561361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168569819:168584655:-1 gene:gene-LATHSAT_LOCUS14962 transcript:rna-LATHSAT_LOCUS14962 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHKKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHVSKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAEIPPNYDRVGIENFIRAKYEDKRWVARDTKPKSPSRLLEEKSPSQWQKPVERVGHNYVAENTFEERRKIQPSNANPATRISILAPPKGHVQVAPVAKHQHIEKVEPPVPQVQAETSQTTGTVHNPPPKVDFATDLFDMFSMDDPNEKGSDAADATADDDNNWAGFQSAAETSTTEKADTGKSVESTPQPVSGIEDIFRDSFSVTPSSALEKPQKDIKNDIMSLFETNNIVSPFAKHQQQLAMLAQQQSLIMAAAAAAAAKSANGDAKYPANVQQPGLNIPIQSWPAASYPIPGVIPMGGQGELQKLMQTMNMTSAHPAESSVQYPPSSFLTMAQVAPVNGAAAAVASKPQSATPVSSTTTQTANDYDFSSLTQGMFAKP >CAK8530300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12740324:12742055:1 gene:gene-LATHSAT_LOCUS175 transcript:rna-LATHSAT_LOCUS175 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCCFNSARKAIGSCSSGKGKSNQYPLKYGFCLVEGKPDHPMEDFHVAKIVQFHGRELGLFAIFDGHSGDTVPAYLQKHLFPNILKEEDFWTDPNSSIIEAYESTDQAILSHSPDLGRGGSTAVTAILINNQKLWIANVGDSRAVLSRKGAAIQMSIDHEPNTERRIIENKGGFVSNLPGDVARVNGQLAVSRAFGDRNLKSHLRSDPDVQPDDIDQETELLILASDGLWKVMANQEAVDIAIKIKDPEKAAKQLTAEALKRESRDDISCIVVRFK >CAK8564209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657633822:657639746:-1 gene:gene-LATHSAT_LOCUS17532 transcript:rna-LATHSAT_LOCUS17532 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPTKDGVNMGKRTLEGGEDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLNGTGRSSPKRIEGPDGRNLRLQFRSRLALPLFTGGKVEGEQGAPIHVVLVDANSGNVITSGPESCIKLDVVVLEGDFNNEDDENWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGMKVAAGFGDSIRIREAKTVAFTVKDHRGELYKKHYPPSFNDDVWRLEKIGKDGSFHKKLNNAGIFTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLYVYYPEDTRNVGVIFNHVYELRGLITGEQFYSADSLSDNQKVYVDSLVKKAYDNWEQVVEYDGKSLIDAEHNNNSVEPENELHVESIDFDGGLDHQLQMPSLPMSVTSEHQINSGMPVGGYINNMVTRYPSQALIGNSSSRSQFEGSLYLSNDQLITNDHQPLSSRNDHSTVGLALGPPQSSTSGFHAGSSSIQPSAPNPFDDWSHNRDKGVDDFFSEDEIRVRSNEILENEDMQHLLRLFSMGGHASMNPEDGYSFPSFMPSPMPSFDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >CAK8565327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:128475752:128477709:-1 gene:gene-LATHSAT_LOCUS18549 transcript:rna-LATHSAT_LOCUS18549 gene_biotype:protein_coding transcript_biotype:protein_coding MMILTLYQTFLLKQLSISIFIFFITHFILTFLTKKPHKKLPPGPKGYPIVGALPLMGAMPHLTLFKMSQKYGPIMYLKMGSNNMVVASSPSSAKAFLKTLDLNFSNRPPNAGATHLAYDSQDMVFADYGSRWKLLRKLSNLHMLGGKALEDWSKIREDEMGHMIHTMYDSSKRNESIVVPEMLTYAMANMIGQIILSRRVFETKGDESNDFKDMVVELMTTAGYFNIGDFIPILAWLDLQGIERGMKRLHRKFDALLTKMIEEHVDSSHKNPRVKPDFLDTLIAQSKQDDGGEKLTITNIKAVLLNLFTAGTDTSSSIIEWALSEIIKNPNIMKRAHEEMDRVIGKQRRLQESDIQNLPYLQAICKETYRKHPSTPLNLPRVSSEACEVNGYYIPKNTRLSVNIWAIGRDPNVWENPLDFNPDRFLRGEKAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVQYILGTLVHSFDWKLPKGVVDLDMDESFGLALQKKVPLSVVVSPRLSPSAYVA >CAK8534839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:775457369:775458649:-1 gene:gene-LATHSAT_LOCUS4348 transcript:rna-LATHSAT_LOCUS4348 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSKPTSEQYTYVRNNMPITFSSPIPLVDLSKPDAKSLIVKACEDFGFFKVINHGIPLEPMSQLESEAFKFFSLPQTEKEKAGPAKPFGYGSKRIGLNGDVGWIEYLLLTPNQEHNFSLYGKDIDKFRCLLNDYKCAMRNMACEILDLMAEGLKIQPKNVFSKLVMDKQSDSLFRVNHYPACPELVIDGENLIGFGEHTDPQIISILRSNNTSGFQISLRDGSWISVPPDHSSFFINVGDSLQVMTNGRFKSVRHRVLANGIDPRLSMIYFCGPPLSEKIAPLPSLMKGKESLYKEFTWFEYKNSTYGSRLADNRLGNYERIAAS >CAK8540120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540454626:540455903:-1 gene:gene-LATHSAT_LOCUS9153 transcript:rna-LATHSAT_LOCUS9153 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEQGSSSYSLPPYPQEDTPLLTNSPPLSSQFKTCANIFIAIVGAGVLGLPYSFKRTGWATGLIMLFVVAYITYHCMLLLVKTRRKLESVTGFSKIKSFGDLGFTICGRLGRFSVDAMIVLSQAGFCVSYLIFISSTLAFLAAGDSTGETVPVLLGLTPKVLFLWGCFPFQLGLNSIKTLTHLAPLSIFADAVDITAKSVVMVEDVFVFVKNRPSLEAFKGFSVFFYGIGVAVYAFEGIGMVLPLESETKNKEKFGRVLGLGMGMISLLFGAFGLLGYFAFGEETKDIITTNLGQGLVSVVVQLGLCINLFITFPLMMNPVYEVFERRFWDSRYCLWLRWLLVLVVSLVAVSVPNFADFLSLVGSSVCVVLGFVLPALFHCIVFKEELGWRCMVSDGAVMVFGIVVAVTGTFSSVSEILSPKA >CAK8577049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533988066:533990364:-1 gene:gene-LATHSAT_LOCUS29191 transcript:rna-LATHSAT_LOCUS29191 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQTFTPIEVMQENLAVSNANESNVVASNGPNVEINSTPNEIESPISCEVDTEGLKRKLTSEVWNHFKRQKIDGKLKAICNYYGAKLLGVPKQGTSHLKSHIKSCPRRTNRDIKQALIKTEQVDGQTVMVGSYAFNQDIARYGVAKMIILHEYPLAIVEHIGFREFFASMQPLFKVVSRNTIKNDIMKIYNDEKENTMKLLSKNQSRIAITSDMWTSSNQNKGYMTVTAHFIDDSWTLQSRLVRFIYVPAPHTSKTLADTLIQSLMDWNLDTKLSTMTVDNCSTNDAMVERILNKITPRTRWNSTFLMLNVAIQYKDVFGRLAKRDTQYKTLPSENKWMKEKEICERLEVFYDVTQLFSGTKYPTANVYFPKVCEMKLALVEWLSSTDCVIKDMASSMLSKFDKYWKVVNGVMAIGIMLDPRYKVDLLDYFFPLIYGDEADNEIEKVKSLFQNMVIEYNLKIKEKENVSSSSNQTQIVSDAIVVGKKEAWRSNFAKHVSAKKSVMSSRSEFDGYIEEKVLPDDENFDILGWWKVSGLKYPILKMIARDFLAIPISTVASESSFSTSGRVLTPHHSRLRSDTLEALMCVQDWLWTDVKGYTKSKVEVIEINSVLEDMDADIISE >CAK8573515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617706094:617706386:1 gene:gene-LATHSAT_LOCUS25957 transcript:rna-LATHSAT_LOCUS25957 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSELVGYSGGSYDTSLLVKYEQHVAYRIWFGQERGSKKELKVAGHGLKLAQRVPL >CAK8539377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510573223:510575401:-1 gene:gene-LATHSAT_LOCUS8480 transcript:rna-LATHSAT_LOCUS8480 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFWLWRLTLVSLAAILLSPPKHCASQKGRKYPFMQNAASAPSVSYYDYIIVGGGTAGCPLAATLSQNHTVLLLERGGSPYGNPNITNLSAFGVPLADTSPSSPAQRFVSEDGVINSRARVLGGGSCLNAGFYTRASPQYVREVGWDGKLVNESYRWVERVVAFQPRMRQWQSAVRDGLLEIGVLPYNGFTYDHLYGTKVGGSIFDQNGHRHTAADLLEYANTNTFTLVLHAVVHRILFTTKKGGSNSRPVAHGVVFKDDHGREHRAYLKHGSRNEIIVSAGALGSPQLLMLSGIGAAHHLREHNISLVLDQPLVGQGMSDNPMNAIFVPSPAPVEVSLIEVVGITNFGSYIEAASGENFNRRSRRDFGMFSPKIGQFSKLPPKQRTPEAIAKAIERMESLDQDAFKGGFFLEKIMGPISRGHLELQNTDPNENPIVTFNYFQDPRDLEMCIQGITTIERIIDSKAFSPFKYNNMPVSMLLNMTANSPVNLLPKHTNTSMSLAQFCRDTVMTIWHYHGGCQVGRVVDSDFKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILRERLVAHETK >CAK8539378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510573223:510575293:-1 gene:gene-LATHSAT_LOCUS8480 transcript:rna-LATHSAT_LOCUS8480-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAASAPSVSYYDYIIVGGGTAGCPLAATLSQNHTVLLLERGGSPYGNPNITNLSAFGVPLADTSPSSPAQRFVSEDGVINSRARVLGGGSCLNAGFYTRASPQYVREVGWDGKLVNESYRWVERVVAFQPRMRQWQSAVRDGLLEIGVLPYNGFTYDHLYGTKVGGSIFDQNGHRHTAADLLEYANTNTFTLVLHAVVHRILFTTKKGGSNSRPVAHGVVFKDDHGREHRAYLKHGSRNEIIVSAGALGSPQLLMLSGIGAAHHLREHNISLVLDQPLVGQGMSDNPMNAIFVPSPAPVEVSLIEVVGITNFGSYIEAASGENFNRRSRRDFGMFSPKIGQFSKLPPKQRTPEAIAKAIERMESLDQDAFKGGFFLEKIMGPISRGHLELQNTDPNENPIVTFNYFQDPRDLEMCIQGITTIERIIDSKAFSPFKYNNMPVSMLLNMTANSPVNLLPKHTNTSMSLAQFCRDTVMTIWHYHGGCQVGRVVDSDFKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILRERLVAHETK >CAK8576075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399716170:399717737:-1 gene:gene-LATHSAT_LOCUS28292 transcript:rna-LATHSAT_LOCUS28292 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNKFQEVSNPKYDCLLFDIDDTLYPLSSGISAHTAKNIEEYMLQKLGMEAAKVPELCNSLYKTYGTTMAGLRAIGYDFDYDDFHSSVHGRLPYNLLKPDLVLKGILQSLPCRKVGFTNADAGHAIRVLKRLGLEDCFERVISFDTLNSFDDISPPDGKDGNEIFDFCEYTRRPDSGMVLPKTPVICKPFDNAFEKAFKLADIDPQRTLFFDDSIRNLMTAKRLGLHTVAVGTSVRNTGVDHALESIHNIREAFPELWEGDEKNEIVNYKVAIETTVKA >CAK8572446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537566673:537567161:1 gene:gene-LATHSAT_LOCUS25016 transcript:rna-LATHSAT_LOCUS25016 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRELRDLLLLHGKLIKNYNLPMLTMETNEVGGVPTIIQEELSVQIPNEDVQFIVKLNNDQMSAYNIIMNAIHQKQCQICFVDGPGGTGKTFLYRTIMANLRRNNEIVLATASSGIAVTLLPGGRTAHSRFGIPIDIELHSICKITKNSDLAKLIRITNEF >CAK8543854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644535842:644536225:-1 gene:gene-LATHSAT_LOCUS12579 transcript:rna-LATHSAT_LOCUS12579 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVNGSATSEFVVNKGLLQEDPLSPFLFILVMEGLTKMLHKAVNQGLFVGFKVNDYASYNIVQFTYDTLLIGEANWDNLWSVKAVLQGFEMVFGLKVNFVKSRIGGVNLSSEFLEAASVFCIVKS >CAK8530885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59235571:59236989:1 gene:gene-LATHSAT_LOCUS716 transcript:rna-LATHSAT_LOCUS716 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSLIVLFLFLLFTSNVYCDDGQDMVMEENELFGLFQVMEALIDEPDFSQTHPLPCSDTPWPGIECEVSIDDDGNGTQMFHVTKIHVGPDILSPPCKPSAYLSESLLKLTFLKTLSLFNCFVTSHVTLPKALFGSFSSLEHLALQSNTKLYGEIPSSLGFVPNLRVLSLSQNSLNGSIPKEVGGLAFLEQLDLSYNNLIGQIPNEIKGLKSLTILDLSWNKLEGNLPYTIGNLQLLQKVDLSSNKLNGKLPHEIGNLTRLVLLDLSHNFLNGPFPQNMQRLKLLEYLIIDNNPIKSMIPHFIENLWNLKSISFSHCELFGSIPNIFTLLNNLSSLSLDNNTLIGNVPQNLALLPNLDQLNISHNKLNGVLHFSNEFVTKLGKRLDVRGNNELCVEDDRTTNKNLSSYLEISSCVGLRDVDDKSFAEDPAGIKPSWIKSNMSSSLVCLDLKVVFFTLVLSFVFSFLNLCL >CAK8570690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88252091:88257514:-1 gene:gene-LATHSAT_LOCUS23424 transcript:rna-LATHSAT_LOCUS23424 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARLDSVVFQLTPTRTRFDLVITVNGKKEKIASGLFSPFHCHLKVARDQMAKGGYSIVLVPENGSDAMWFTRGTIERFVRFVSTPEILERVYTIESEILQIEEAIAIQGNNSIGICIVEENQIKPESTEGTFERIGRKIKQNSNAVKAVVPYKSVVQPPKANGSTLSQGKSNVQILRVLETRNSLLHKEQGMAFARAVAAGFDIVYIPDLMSFAERFEASRLMDACTKFTSLWRRKHENGLLLEIKVSEVTPNRADYSAINTSGIVLSDNSVNASHTDIGSESNGGKTNSGTDNIQSQFTHHGFSPWPGHSPPDALPVFHPYPVEGVSYYPTYPGSRPFMQMGCPPTEDPRLHAGQSMQHRRHSMDNRHNNTESENWNRETSNTSFQDEVDMERAGLHTGDRRKKASRSDRRKSGTVIIRNINYITKTKRSSGSGSYSDSATETDEDIQESGKTSKRRGSGKASLKRLNSTDKEETDYGKEVDGGHWNVFQNYLLSGVEEGRHALNRDQFEVEKHVATNDPLEFTDNSHPSSDMAAINEQGSSNTYLERKLFLNVNDDSFMVEHRINDPNIEGNAIDMDTKFPKVHTKDGKQKISNYQPDELSLMPERGFDKGSVRYDCALDYEMQAKAVSGSQQEKKNKGELAHYTKPGSKMLDKEQKSKPIPSSSDRKKTVGPIRRGKTIKPSPLDEARARAERLRNYKVDLQKMKKEKEEEDIKRIEALKKERQKRIAARSSSVTRPTTKSPMPPQQTKKQFSTKFLPSVHKGSKFSDSEPRSSLPFQRFPIRAASGGSNDSSKASKISGLNTGSHSVTSKLRRSVPSLPEPKQEKGDGAINTKASITSNRRLSEPKMSTVRPTSLFKSRSSRSISRTKATDETERRKISAIVNYDKGKIATLPELKIRISKASDVVQDRSPTKEKTQKLNADKPSINSEGALLKKSGFGVSSMDDGDDNPIIDKNVVMLECEKPCAPHINDEKSREKIAIERRKFGNDKVMDKTETVSSYVAVCAPTSSLRANMVDIEALENQSQVKPVSLKVKMNNTEKESSKSSSTSTRVAEETYQAAYSRGSLLESRTQNSEHGKAPPGSLETASIGMETFRAHASEARNSTLAKIPEVNENPQVKESPKGFRRLLKFGRKNHNSANGRNMESNHVNIDGSEANEIGANGSSSEVFTLKNLLSRDETHAAPQKSTRSFSLLSSFRSNIREKKTTMA >CAK8562721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531299686:531304120:-1 gene:gene-LATHSAT_LOCUS16194 transcript:rna-LATHSAT_LOCUS16194 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKSWLLSMNHTHPNTKHAFCSRSIPWSLICGFMLFGLGLISLLTGHLVSHVEWYSQRFVHRSFFSSLDGNDHAPIDIWESQYSKYYYGCKERGRNFSPAIIERMSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHNSFWKDDSDFANIFDVNWFITYLAKDVTIVKRVPDKIMRSMEKPPYTMRVPRKSDPEYYLDQALPILLRRRVLQLTKFDYRLANDLDDELQKLRCRVNYHALRFTKPIRKLGQKIVMSMQKMANRYIAVHLRFEPDMLAFSGCYFGGGEKEKQELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVASGEIYGGDETMQPLKELFPNIYTKEMLVGEELKPFLSFASRLAAIDYIVCDESNVFVANNNGNMAKILAGQRRYMGHKRTIRPNAKKLSALLMSRHEMNWDTFSEKVKACQRGFMGEPDEMKPGREFHEYPSACVCEKPFIDKLSEDGIHPPKLALRNMTTGTDSEINSGNEENFELPKPSERT >CAK8578395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626368633:626368956:-1 gene:gene-LATHSAT_LOCUS30408 transcript:rna-LATHSAT_LOCUS30408 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRVYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNVL >CAK8563125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575377293:575382487:1 gene:gene-LATHSAT_LOCUS16566 transcript:rna-LATHSAT_LOCUS16566 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKPETLEAVLKETVDLENIPIDEVFENLRCSREGLTSEAAEQRLTIFGHNKLEEKRESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGNKAPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMASLAPKAKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKAPGDGVYSGSTCKQGEIECVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMLIELVVMYPIQHRRYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDAETVVLMAARASRLENQDAIDTAIVGTLADPKEARAGIQEVHFLPFNPTDKRTALTYTDQEGKMHRVSKGAPEQILNLAHNKTDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGSPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQHKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFTTGVVLGSYLAMMTVIFFWAAYKTDFFPKVFGVATLEKNAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYVERPGLLLVAAFIVAQLIATLIAVYASWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFTRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHVTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >CAK8565964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:360063284:360064017:1 gene:gene-LATHSAT_LOCUS19137 transcript:rna-LATHSAT_LOCUS19137 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSSGRPRFVQPGELEVNKKRLRSATMCKSSIISPPIKTTITLPPIQTKISPLPIETTITHLPIQTTKDVVVEDEDEDVVVGDEAEDVVVGDEAEDVVVGDVTEDVVKVAKFVYWDVNVINEEGYVSNTRLCVGLGCKIKV >CAK8533960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675165048:675166233:-1 gene:gene-LATHSAT_LOCUS3542 transcript:rna-LATHSAT_LOCUS3542 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEKIFIFFFFVVIPNIIQVDANFIKSMVIEWGAQNALLWDDNLQLSLDKITGSGARTKIPFLFGSVESHIKLAPGNSAGTATSFFLSSTGNQHDEIDFEFLGNISEQPYIVHTNIFTQGNGNKEQQFYLWFDPTADFHNYTIHWNPTVIVFYIDSIPIRVFRNYERHGIAYPSRQGMRVYTSIWNADNWATRGGLVKIDWNFAPFTVKYNHFRARACRWEGDISINQCALNIGENWWTSPIYKQLSYDQMGQLNWVRDNHMIYDYCHDTNRFNGQMPHECFMTQF >CAK8567720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538740743:538741876:-1 gene:gene-LATHSAT_LOCUS20743 transcript:rna-LATHSAT_LOCUS20743-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIVKDEVRKSFNKNPGIAAGLVRMHFHDCFIRGCDASVLLDSTPSNTAEKDSPANKPSLRGFEVIDNAKAKLEEVCKGIVSCADIVAFAARDSVELAGGLGYDVPAGRRDGKISLASDTRTDLPPPTFNVNQLTQLFAKKGLTQNEMVTLSGAHTIGRAHCSAFSSRLYNFSSTSRQDPSLHPSYVALLKRQCPQGSTNQNLVVPMDPSSPGTADVGYYIDVLANRGLFTSDQTLLTTTGTARQVNQNARNPYLWAHKFADAMVKMGQIGVLTGNTGEIRTNCRVINS >CAK8567719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538740743:538741984:-1 gene:gene-LATHSAT_LOCUS20743 transcript:rna-LATHSAT_LOCUS20743 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKKLNCAIIVLVTYLLNESVCSQLDVGFYSYSCGMAEFIVKDEVRKSFNKNPGIAAGLVRMHFHDCFIRGCDASVLLDSTPSNTAEKDSPANKPSLRGFEVIDNAKAKLEEVCKGIVSCADIVAFAARDSVELAGGLGYDVPAGRRDGKISLASDTRTDLPPPTFNVNQLTQLFAKKGLTQNEMVTLSGAHTIGRAHCSAFSSRLYNFSSTSRQDPSLHPSYVALLKRQCPQGSTNQNLVVPMDPSSPGTADVGYYIDVLANRGLFTSDQTLLTTTGTARQVNQNARNPYLWAHKFADAMVKMGQIGVLTGNTGEIRTNCRVINS >CAK8570868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:139075149:139076089:1 gene:gene-LATHSAT_LOCUS23580 transcript:rna-LATHSAT_LOCUS23580 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIAKFSHHKDTAMNTTKRYNQISHFSHSKHKLTFQYSEFPFKCDGCKEIGIGSRYNCAICDYDLHMHCSIPSPSLFHPFYPKCCFQFLSKPPGDIPRYCNACEKSVTGFVYHCFSCGFDLHPCCAKLPMILDDHGEMKLYLYRKVSSSCQRCGQKGRSWSYRSSCKKYNLHVACVREMIVESWHQIYVSQSNGRATTMVETRVPLSLKNGLHTAQNGGGRSKSKVRKCAEMAGMAVQIVVSAVLGDPTVLIAGIMGSLMSRA >CAK8573941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648846729:648849304:-1 gene:gene-LATHSAT_LOCUS26335 transcript:rna-LATHSAT_LOCUS26335 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKKWLFTLFTAAVLSLMILLMSSFSTFSSQKPFPSIVQHGSHYPPAFAYFISGGHGDKDRIFRLLLAIYHPRNRYLLHLGMDARQEERQGLADDVSSVPAIRAFGNVDVVGKADWITYLGSSNVAITLRAAAIMLKLDSGWSWFITLSARDYPLITQDDLSHVFSSVSRDLNFIDHTSDLGWKESDRFQPIVVDPGTYLARRSQIFQATEKRTTPDAFKLFTGSPWVTLSRPFLEFCIVGWDNLPRTLLMYFTNVKLSQEGYFHSVVCNAPEFKNTTVNGDLRYMIWDNPPKMEPLFLNTSVYDMMAESGAAFARQFEANNPVLDLIDKRILRRGRNRAAPGAWCSGQRSWWVDPCSQWGDVDILKPGPQAKKLEASVSSLLDDWTAQTNQCQ >CAK8542837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552630316:552630697:-1 gene:gene-LATHSAT_LOCUS11641 transcript:rna-LATHSAT_LOCUS11641 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILIFFSAVLAAYLAWTTMSSTTLEIDFTSGNHKDKSSSDKDNFSIIKMIKNGLWALIDMASGRYLWKYLKPANDHVQLQSS >CAK8565915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:352267815:352274046:1 gene:gene-LATHSAT_LOCUS19090 transcript:rna-LATHSAT_LOCUS19090 gene_biotype:protein_coding transcript_biotype:protein_coding MPIINKDLDSAFQTAGANPGLEVWCIENQELVLMSESDHRKFYTGSAYIVLNAVFPKNGPPHYDIHYWLGNDTKKVDSSLASDKALELDAALGSCSVQYREIQGQESQKFLSYFKPCLIPIEGVFTSKQGNLNGEYQVSLYSCKGDYVVHVREVPFQRSSLNHEDVFILDTALKIFLFSGCNSTIQERAKGLEVVQYITENKHGGKCEVATIEDGKFVGDSDVGEFWSLFGGYAPIPREPPASQESVAPSAKAFWINLQGKICPIGSNAFSKEMLESDKCYMLDCDSEIFVWMGRQTLLTERRTSIKAAEDFVRNEGRSSKTHLTFLSEGLESTVFRSHFTNWPKTAEPRLYEEGREKVAAIFKHQGYDVKELPDEKDDEPSIDYGGTIKVWRVDSDELSLLPVTEHTRFYSGDCYIVQYTFPEKGRDETLFYAWLGSRCVMEDKTAAISHINTMVDSSRTNPVMAQIHEGKEPAQFFSILKRLIVFKGGNSSGYKKFIEEKGIVDETPNENLVALFRVQGTSPDNMQAIQVDQVSSSLNSSYCYILRSEAAMYTWIGGLSSARDHNLLDRMVELFNPTQLPVSVREGNEPDIFWDVLGRKAEYPREKEIQGFIDDPHLFALKITRGDFKMKEVYNYTQDDLITEDVLLLDCQREIYIWVGLHSVVKSKQEALNLGLKFLEMDVLVEGLSVEVPIYVVMEGYEPPFFTRFFSWDHSKANILGNSFERKLAILKGNSRDSTPNGHKSSSNIPSGRRRSSSPLPRSAGSDYRQSGNRRFSSPTPVGKKLFEGSPANNRAEQTTTPLSDSPSTELASSNETASFTEKDRNYNRESLPIHPYERLRVVSPNPVTGIDLTKREAYLSHEEFHEKFGMQKSAFYKLPRWKQNKLKISLDLF >CAK8575365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:95728292:95732419:1 gene:gene-LATHSAT_LOCUS27635 transcript:rna-LATHSAT_LOCUS27635 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKFSSYSISPSFSPLTSNFIKNTLRLQTTISRRSPRNLRISRLFSAKRQSNDKHKAVAKRYLPPWFSVAPMMDWTDNHYRTLARIISKHAWLYTEMLAAETIVHQKDNLDRFLAYSPEQHPIVLQIGGSNVESLAKATQLANAYCYDEINLNCGCPSPRVAGHGSFGVSLMLDPKFVAEAMSAIASSTNVPVSVKCRIGVDDHDSYDELCDFIFKVSSLSPTKHFIIHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPDLTFTINGGIASVDEVNAAREAGAHGVMIGRAAFYNPWNILGHVDTAIYGAPSCGLTRRQVLEKYLVYGDSVIGKYGHKPTVRDVVKPLLNLFHSEPGNGQWKRNADAAFRNCTTMKSFFEETLEAIPGSVLDSPVSEPSPGRTNLFANVDSLLPPSYKTIEEAIVICA >CAK8535420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846573382:846574857:-1 gene:gene-LATHSAT_LOCUS4884 transcript:rna-LATHSAT_LOCUS4884 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCLNLFFPNPPIKINQLFLSSSILSIFPLYKTNLPSSRKHQARNYITRNFLDLKPEYQPEALDFDLPWHRASDNSHLDVIIIGAGPAGIRLAEQVSLYGMKVCCVDPDPLSVWPNNYGVWLDEFEDLGLEDCLDKIWPMASIFVDDKNTKYLDRSYGRISRRKLKEKLVKGCVFNGVRFYKSKVWEIKHHEFESIVVCDNGKELKASLVIDASGFGSKFIDCDDHKARNYGFQVAFGVLAEVDSHPFDLDKVVLMDWRDSHLKDGNSISTFMYAMPFSSNLIFLEETSLVSRPALSHMEVKRRMVARLRHLGINVKRVLEEEKGLIPMGGSLPRIPQNVIAFGGNSGIVHPSTGYMMARTMALAPVVAASINECLGSNRMIRGKDLYANVWNSMWPIESRVAREFYTFGMETLLKLDLNETRDFFDAFFELKPYYLKGYLSSSLSLNDIVWLSMSIFANSSNPSRYAIATKCPELLANMMGNICLEFLR >CAK8567275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499888568:499892067:-1 gene:gene-LATHSAT_LOCUS20341 transcript:rna-LATHSAT_LOCUS20341 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFTKWACTFLLSCFLINSRAYSVEGLLGDSKVRGVNLGGWLVIEGWIKPSLFDGIANGDMLDGTEVQFKSVTLQKYVSADNGGGMNVTVDRDVPSSWETFRLWRVSQSEFQFRTAQGQFLTCDGGGCTVSATAKSPSTSETFEVQRNEKNRIHIKIKNGPYLQVTTGNQLTANYPGAPGWDDNSATFEMTIVSNNLHGDYQLANGYGHDSAEDVLRRHRNSFITIEDFKFLYEHGINTVRIPVGWWIAFDPDPPSPFIGGTLEALDNAFSWAQEYDIKCIIDLHAAPGSQNGMEHSASRDGFTGWPTSPDYISKSLHVIEFLISRYAKHPALLGIELLNEPSASTVSSDILISYYKQGYQIVRKYSSSAYVIVCQRIGNADPLELYQANIGSTNLVLDLHFYNLFDTFFVNMSARDNIQYIYKSRENMLLAFNDSNGPLVFIGEWVNEWNVVSGSQTDYLEYGKAQLDVYNAVSFGWCYWTIKNDREHWDFEWNIRKKYLQFGNSPRKQNIYILGLFGLAFTWFCLHFL >CAK8538035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459509955:459513535:-1 gene:gene-LATHSAT_LOCUS7276 transcript:rna-LATHSAT_LOCUS7276 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNPFTSFILSLGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLHLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8565043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31211164:31211727:-1 gene:gene-LATHSAT_LOCUS18284 transcript:rna-LATHSAT_LOCUS18284 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKVTHPRGGTNPPPPKPPFPKNDAVFSSILQPYNPPHDNDNNPRRNRIATAISPPPFTLTSSVYAAISWLRNRRIRYLFLLLCSPFLLVFLFVTFPFLCITEICLRRRLWRKILGRFSGEDSGDRLRRCEEGCCYDEEVEEKGLLHRYLEDQLFLVRSMYECGEDQEFEEEDSRNLGSNKIPLLR >CAK8568577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620010481:620012878:1 gene:gene-LATHSAT_LOCUS21521 transcript:rna-LATHSAT_LOCUS21521 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNHQLPPNHFTPDDSTTTKPTFQTAPSWLNNALLPNNSSNTNTNTSTNFLNLHSAVASASQSSSQWPRIEDSTMAGMKALESGEPAAEEGIMNWQKAKYKGEIMAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAESQNVVAKYSAFAQGMLDDDNKHLDHFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSEDEDEQVESDTNLFDGNLDGSDNSMGGFGPLVLTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKDWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSNVMKSKRKSNACR >CAK8568576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620010481:620012878:1 gene:gene-LATHSAT_LOCUS21521 transcript:rna-LATHSAT_LOCUS21521-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNHQLPPNHFTPDDSTTTKPTFQTAPSWLNNALLPNNSSNTNTNTSTNFLNLHSAVASASQSSSQWPRIEDSTMAGMKALESGEPAAEEGIMNWQKAKYKGEIMAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAESQNVVAKYSAFAQGMLDDDNKHLDHFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSEDEDEQVESDTNLFDGNLDGSDNSMGGFGPLVLTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKDWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSNVMKSKRKR >CAK8561182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:114430351:114431951:-1 gene:gene-LATHSAT_LOCUS14794 transcript:rna-LATHSAT_LOCUS14794 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELKAKVDTDEIFALVTLFPLPEQQEIILEDNHAIQSPSELYSFSKILTSAEISTLDGLYIPKEHAERCFPPLDMTHEPPMHDLVAKDLHGNEWNFRHIYRNYEKEHMLTNGWSTFVNSKNLAPGDSCIFVKGENGEFGIGIRRDMKQHGCTTLSRQSSQNMQLTPLVAAHHAVSMGTLFHVQYYPWIIPFEFMIPLKTYLESMEKDHSIGTRVHMLSQVEGCAKRYGTIVGNEDIDPINWPASDWRSLKVQWDSIPNTFTHLERVCPWWVEPLESSKIKGIPILPLPKKIDEYEG >CAK8571348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:386683453:386684310:-1 gene:gene-LATHSAT_LOCUS24016 transcript:rna-LATHSAT_LOCUS24016 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKNMFTTKPYCTPSTVIRSVWSFNLESEFKLISSLVDSYPIISMDTEFPGVVVLPDSTDLPFHSQSSDTHYSFLKANVDDLNIIQVGLTLSDANGNLPNFGTSNFFIWEFNFCDFDVAHDIHNHDSIELLRGQGIDFAKNKKFGIDSICFAELMMSSGLVCNEDVSWVTFHSAYDFGYLVKALTQRALPQDLDDFLVLVRVYFGTAIYDVKHMVKFCEGIYGGLDRVSKTLNVDRFAGKSHQAGSDSLLTLHIFQKIRDIYFGSDVGQMDKYTGVLYGLEKLI >CAK8575727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:287527972:287532105:-1 gene:gene-LATHSAT_LOCUS27965 transcript:rna-LATHSAT_LOCUS27965 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLKEALKTLCARNQWSYAVFWKIGCNNSKLLIWEDCYYEPLPSRVLPQIAGTSNLPYRDREGCWFSSDSQLRIQEDDRVCSLINKMMVNNSVNVAGQGILQRVAFTGNHQWIVLNNFIKDAYPPEVLTELHCQFSVGMQTVVVIPVIPHGVVQLGSFLPIMENIGFVNDVKNLIFQLGHVPGALLSEDYSTRLSNERHAGPVTNFKPFSFDPQVITSNCTPSVASGSNQPSNSLHGPMHVAAQPPSFPNGSVLTPQSQNLNQILESLCQPKAQRENTSVKAEAEVISTNFDSSCLQQRSFSYNARSALNMEQQIFSDIRTQSHGNRNMNPSSSALNMSRRQTDGGQIYYQNSNNTSLLGGIPICSSSGMSNNNLRRKNMNNCSVSNPPKLSATDFSGKQKVGFGIQNDNSTTAVNQIHPKGSDQKNLPTDGRIDDLLQALKIPSSHHLNEHVPMNDHIPGFLHDLELEEVCTQLSSGDDDLFDVLGVDFKRNLLNGNWNTLFADESDANAENLDKKAMSTNFLVVGHDNSYPVNEAILDNGIFSGTNTGHLLDAVVSKTQPASNENSDEMSCRTTITRISTASVPSTGIQIIHDHVGQGLLYDFPKTEIKTSAAETSSLRSGCSKDNVGNCSQTTSVYGSQLSSWVENGSSSHVKRENSVSTGYSKRPDEVSKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMIFLQSVTKHADKLKQTGESKILSKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLNHPHQMLVEMLCEERGLFLEIADLIKGLGLTILKGVMEARNDKIWARFSVEANRDVTRMEIFMSLVRLLEQTAKGGASSSNAAVDKNMMVYHSIPQST >CAK8532569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:298473142:298473357:1 gene:gene-LATHSAT_LOCUS2261 transcript:rna-LATHSAT_LOCUS2261 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSGRSKEKNVLSPLARTLELEKGTESGSTSHNVKSSLHEKQEMEVEEKRVSPAPENEISSSKNQQKNE >CAK8541653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:336705919:336707064:1 gene:gene-LATHSAT_LOCUS10557 transcript:rna-LATHSAT_LOCUS10557 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAARLKKPDTVSKLVLEEVIGLTTKNGNGLASNSSSSKCAYSAGCVVVILDVNSGTQSHLIASDRLQPKPLRCVALSRDGRFVAAGETGNQSSVLVRDSSTLSVVSELKGHLNGVTCICFSLNGKHLVSVGVYIYLWDWRSGHLITKLQATSSSSTVSSVSFSLDAKFIVTAGRKHLKFWTLESSWKAQQNGGMRKTVKTASLTIHEKISNLPIQKECSFTSVVSSVWTNSSDDNRKQAGHLFSMYTLTDSGILYLIHFGLSVKKSVILKVQKAFTLSISGKLIACACNNGTVLLYTPESLEYAGCVLYSKAKKFYEEDNTNYHAIFPEQNFQQLDARAAVVIAYSTKLLFFQQKNSKSSSSSYCHLWQTHDELQQQH >CAK8561752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:374255540:374255926:-1 gene:gene-LATHSAT_LOCUS15313 transcript:rna-LATHSAT_LOCUS15313 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFTIFADKIFTLVEARYLSLFIDLDDLSGYSCVQLCWLLFIDILEMLPCSVVSSLVVIRLSYSAGFMSIFQHLEKKKRELDTS >CAK8572233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523449938:523452939:1 gene:gene-LATHSAT_LOCUS24820 transcript:rna-LATHSAT_LOCUS24820 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEETEDEDMDTIITSTSQQHWFEPNANSGNKVNCVLERGLNVGRKMIVFGFVATSVPLVVPGFLVASAIGLVVSVPCCFFLVSHRCTQSLMSKLLPKPMLSPQDVCFKQDIDVMYIDKDDEAKRDSEIVDVSEETVVLDNDYEHGVVKEEFELELSHHSGDANNVIHDDDDDEKMEGCLSGESSEERLMSRGTNMEGFYDSEELKVPFEFGDVAVVLDECGDEVREGGIEEEKMQKETKGLLEKIRDEGRNDMRGISSGLSENDQDIGQVVENMEEKQDLRTDGEMWNQEDSKVYEETVQSRNNDSTSIVCNDVESCEPTRRISELEDDGLNDSKNPVGETSEWLDGRSLQDEPIGDLMKEMHVLHVLVAENSSEVEELEPAISDLVNQETELCEYDKRMNSSDADAREIADEIEFHLCDENRIDVEAYSCTIDLHEEPSNVKEEFKPELSHRSSDVDNVVQHNGSEEDNNDYFAEEEPSSQNHDDEKMEGHPSGESSEKRLMSRGTIMEGFDETEELKAPFEFGDATVALEEREDDVKEGDIEEEEMQEETKGLLEKIRDEGRNDMRGEYATGVSSETSENDQDIGQVVENIEVGREEKPDLGTDGDMRNQEDSKVYEETVHDDNTVSVCNEVESCEPTSGILDLEDGDLNYSKKPVDETPELLGERGLQDEPIGDLLIETQVLHVLVGEDSPEVKELEPATSKKGELDENTSNLVNQETELCEYNERTNSSDADTDAREITDEIEYHSCDENRIDAEAHSYTIDLHKEPSNVTVDMHTVSMEVLVSTEELESTSSKCFSEKNFVCPSDEVLFNEENIWKQIHVVRKIIGYEGTIQASCADELKALYIFTGVEPPTFGKENPFNLTEISEKLHFLMSIVGIKTTDLPTVGV >CAK8532580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:302046387:302062106:-1 gene:gene-LATHSAT_LOCUS2271 transcript:rna-LATHSAT_LOCUS2271 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNPNPPEGFCLDPSGMPLPGFGPFASVSAAVNSSDDASKKIRKPYTITKSRENWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHLPPPRPKRKAAHPYPQKASKTPVVSQVPGSVQSSLALLEPGYILKHESIPMPETPIMNNVVSSWSNHTLQSANLLNVPKVNNSCSSSESTPKVRPLVGESNSPVNKILPLRVLPDFSQVYNFIGSVFDPEATEHLQKLKQMDRIDVETVLLLMRNLSINLTSPDFEDHKKLLSSYEVDLETNNYLNPDRPIHDEPLKSATT >CAK8532579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:302046387:302062106:-1 gene:gene-LATHSAT_LOCUS2271 transcript:rna-LATHSAT_LOCUS2271-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNPNPPEGFCLDPSGMPLPGFGPFASVSAAVNSSDDASKKIRKPYTITKSRENWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHLPPPRPKRKAAHPYPQKASKSAPVVSQVPGSVQSSLALLEPGYILKHESIPMPETPIMNNVVSSWSNHTLQSANLLNVPKVNNSCSSSESTPKVRPLVGESNSPVNKILPLRVLPDFSQVYNFIGSVFDPEATEHLQKLKQMDRIDVETVLLLMRNLSINLTSPDFEDHKKLLSSYEVDLETNNYLNPDRPIHDEPLKSATT >CAK8577170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542401699:542402184:-1 gene:gene-LATHSAT_LOCUS29299 transcript:rna-LATHSAT_LOCUS29299 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVKSMTDNIVQTRTLEVESSERIDNVKAMIEDKEGIPRDQQRLMFAGKMLENGRTLEDYNIKEGSIVYLILGQYSHSWSMEILVKISNGKTITLEVERCDTIMNVKTKIQEKEGIPADKQKLIFYGKELKDSRNLVDYDIQKNIMSPELKPKLFCVSL >CAK8544565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692988730:692989478:-1 gene:gene-LATHSAT_LOCUS13231 transcript:rna-LATHSAT_LOCUS13231 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHCNKLCFIYDYGLLPAIDELLPKVDKRFCVRHLYSNFKKRFLGKHLKELMWRAAKATYPQAWEREMKKMRKVNEEAFKHLWKILPRYWSKSMFKYNIKSDVLVNNMSETFNSVIIGPRQKSIVTMMEEIRGYLMDRWATNRTKIEEYTDFVLPRIKKVFERRQELSRFFIPRLSCNMIYKLRHKSLTGEKFTFDLRRLECSCRSWMLTSIPCYHA >CAK8573099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:584007119:584007437:1 gene:gene-LATHSAT_LOCUS25585 transcript:rna-LATHSAT_LOCUS25585 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKPTDKSGISQPSGQVKKEPAAKTSATTPKTRAAKAAAAPKKTEQKPQPKKKASSSKQKVSSEV >CAK8571694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462023458:462023751:-1 gene:gene-LATHSAT_LOCUS24332 transcript:rna-LATHSAT_LOCUS24332 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENLKNFFNRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGKGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8573662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627318962:627324075:-1 gene:gene-LATHSAT_LOCUS26078 transcript:rna-LATHSAT_LOCUS26078 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGATVIRSILDKPLNQLTEDDISQLTREDCRRFLKDKGMRRPSWNKSQAIQQVISLKALLEPTDDDSPAPVTSAIHHHHHHHHHPQPPQGNLSEALVKSPDRDESGVRAVEDVQKSISPAAEKPTETNDVRAGGCAPSGSFGQMTIFYCGKVNVYDGVSPDKAHSIMQLAASPSLFTQDNPLNKNAAIWASSCNIPIDKDVLFPTNTAILQVSSQTDKMVEYPLQYREKGSTPRDTEGQASRKVSLQRYLEKRKDRGRPKGKKLTGITSSNFEMYLNLPVKVHASNGNSSRSSTDSPPQTRLPLVSSGSADNQPKVTLPIDLNDKDVPEC >CAK8573663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627318962:627324075:-1 gene:gene-LATHSAT_LOCUS26078 transcript:rna-LATHSAT_LOCUS26078-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGATVIRSILDKPLNQLTEDDISQLTREDCRRFLKDKGMRRPSWNKSQAIQQVISLKALLEPTDDDSPAPVTSAIHHHHHHHHHPQPPQGNLSEALVKSPDRDESGVRAVEDVQKSISPAAEKPTETNDVRAGGCAPSGSFGQMTIFYCGKVNVYDGVSPDKAHSIMQLAASPSLFTQDNPLNKNAAIWASSCNIPIDKDVLFPTNTAILQVSSQTDKMVEYPLQYREKGSTPRDTDVEGQASRKVSLQRYLEKRKDRGRPKGKKLTGITSSNFEMYLNLPVKVHASNGNSSRSSTDSPPQTRLPLVSSGSADNQPKVTLPIDLNDKDVPEC >CAK8565655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300257892:300259604:1 gene:gene-LATHSAT_LOCUS18846 transcript:rna-LATHSAT_LOCUS18846 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSTTKTRFTEESLLQNTQNTKNKGKYSRSLSHVHDELYSFRCYLRWMCVDQSNGFTATLSWSVFIVFALAVPAASHFFIACPDCDARHSRPYDAVVQLSLSSVASLSFLCLSSFVRKYGLRRFLFLDKLCDESETVRMNYMAQLNRSLKLISVFAGPCFIAMAAYKIWWYSSGGSQIPFLGNVYVSDIVACVLELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFATYFHVDSDVQAVMSEHLRIRRHLRIISHRYRRFILVALILITGSQFVCLLETTKARYGLSIYKTGELVLCSVMLLSALSIMFRSATKITHKAQAITGLAAKWHVCATLDSFDGTDEGERLSDQISHDKIYPRVGTDGESEGDDAGDEEDEIDNTKWIASYSYSTISYQKRQALVNYLENNKAGITVYGFMLDRSTLHTIFGIQLSLVLWLLGKTIGIS >CAK8564149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654616882:654618018:-1 gene:gene-LATHSAT_LOCUS17478 transcript:rna-LATHSAT_LOCUS17478 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGKLEDAHGLFVESSCGWEVKSRVRALNLLMYALCRKSRSDLALQIFQEMDYQGCYLDRDSYLVVMKGLCKDKRLHEATHLLYSMFWRISLKGNGEDVVIYRTLLDALCDDGKFEEAVEILGKILRKGLKAPKRCYNQLDLSQCGDGKDAEVTKRWIHEALVRGSVPSTASYNAMAVDLYKEGKIDEADKVIVEMKNKGFKPKHLIFEAKVAALCKVDKVDEAIKLIEEDMVEVNCLPNARVYNILLKNLSNVGSSTLVLDSLNKMSKKVGCMGDKETYSILLEMLCHERKYLEESKLLEQMSIKSYWPCSNSYNLLIEGLCSLGRQYEVVMWLEDMISQGKLPEISVWSSSASSFCNSDLLKVSVEMFNRLRSL >CAK8575092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28282503:28291072:1 gene:gene-LATHSAT_LOCUS27382 transcript:rna-LATHSAT_LOCUS27382-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSTIAVPSISRVKFLPKPSLFTFTSLRRIRLPHKHSPRTVSCVANELHNRTPKRDGDLVVLGIETSCDDTAAAVVRSDGEILSQVVSSQADLLVKYGGVAPKMAEEAHSQVIDQVVQEALDKAYMTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGGFNLPIIGIHHMEAHALVARLIEKDLQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYNKSAKWLGLDMSRSGGPAIEELAREGNAKSVNFSIPMKQHKDCNFSYAGLKTQVRLAIESKKIDVKIPISAASYEDRMSRADIAASFQGIAVLHLEERCERAIQWALKMEPSIRHLVISGGVASNQYVRARLDTVVKKNGLQLVCPPPRLCTDNGVMIAWTGIEHFRVGRYDPPPPAEDPEDFSYDVRPRWPLGEEYAEGRSESRFLRTARVHPSLTSLIQASLQQ >CAK8575093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28282503:28291072:1 gene:gene-LATHSAT_LOCUS27382 transcript:rna-LATHSAT_LOCUS27382 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSTIAVPSISRVKFLPKPSLFTFTSLRRIRLPHKHSPRTVSCVANELHNRTPKRDGDLVVLGIETSCDDTAAAVVRSDGEILSQVVSSQADLLVKYGGVAPKMAEEAHSQVIDQVVQEALDKAYMTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGGFNLPIIGIHHMEAHALVARLIEKDLQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYNKSAKWLGLDMSRSGGPAIEELAREGNAKSVNFSIPMKQHKDCNFSYAGLKTQVRLAIESKKIDVKIPISAASYEDRMSRADIAASFQQGIAVLHLEERCERAIQWALKMEPSIRHLVISGGVASNQYVRARLDTVVKKNGLQLVCPPPRLCTDNGVMIAWTGIEHFRVGRYDPPPPAEDPEDFSYDVRPRWPLGEEYAEGRSESRFLRTARVHPSLTSLIQASLQQ >CAK8579299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690369610:690370515:1 gene:gene-LATHSAT_LOCUS31254 transcript:rna-LATHSAT_LOCUS31254 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPSNIIKHTHHCFKQTNLINNIHTMNLHSPKTLRIRYTDEDATDSSSDDNQPPRRRVKTFVNEITIASRNIPRKNNRRKIKTNTKTRAPAIQRKPVINSGKKYRGVRQRPWGRWSAEIRDHKMSVRLWLGTYNTAEEAAKEYDKAAIKIHGANAVTNFIQPVHNVTNSDNISGEQCVSSNNNVVSANSVVGQCSTSESEHETVKDDVVVVPVPTENDKKMKSDSESVFPLPCDSLFDGFERNCVFGNEREMENMFSFPDDDFSGKFVDTMSPSLLNWKRDCDMFQDIGDLFGSDFGTSV >CAK8568343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597401925:597402265:-1 gene:gene-LATHSAT_LOCUS21306 transcript:rna-LATHSAT_LOCUS21306 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLMCLLLTSSLLELSLAGSDFCDTKCGERCSKASVQDRCLKYCGICCEKCNCVPSGTYGNKDECPCYRDMKNSKGQGKCP >CAK8571959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497327942:497329933:-1 gene:gene-LATHSAT_LOCUS24571 transcript:rna-LATHSAT_LOCUS24571 gene_biotype:protein_coding transcript_biotype:protein_coding MITITMAKHFLFSLCLLLFSTACLAHHSELDRFNQCQLDSINALEPDHRVESEAGLTETWNPNHPELQCAGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGKGVLGLAVPGCPETYEEPRSQSRQQQQLRDSHQKIRRFSKGDVIAIPPGIPYWTYNHGHEPLVAISLLDTSNTLNQLDSTPRVFYLGGNPEAEFPETQEKQYEQHQPRHSSPIGRRGGHQQKEEESEEQNEGNSVLSGFSAEFLAHSLNTEEDTAKKLQSPQDERGQIVKVEDGLQIISPELQEEEEQSQSQREEEEEKEQRHRKHSKKEEEDEDEEEEREQRDRKHSKKEEEDEDEPRSHETRRKWKKHTQEKKRESHGQGEEEKELEKEEEEEEEIQRQRSKGGKNGLEETICSVKIRENIARPSRTDLYNSRAGRISTVNSLTLPILRNLRLSAEYVRLYRNGIYAPHWNINANSLLYVIRGQGRVRIVNSQGNPVFDDKVRKGQLVVVPQNFVVAEQAGNEEGLEYVVFKTNDRAAVSHVNQVFRATPGEVLANAFGLRHKEVAQIKSNGNRGPLVRPQS >CAK8561898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406849906:406851824:-1 gene:gene-LATHSAT_LOCUS15446 transcript:rna-LATHSAT_LOCUS15446 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKTCTGVCFTGSAFPLSNVTTSNKNTRSSCWKPTQAAVKTTFHLPMRSYEMKNRTCTEDIKCLRLITAIKTPYLPDGRFDLEAYDALVNTQIENGFEGVIVGGTTGEGQLMSWEEHIMLIAHTVNCFCGNIKVIGKTGSNSTREAIHATEQGFAVRMHAALHINPYYGKTSLDGIVAHFQSVLSMGPTIIYNVPSRTGQDIPPHVIKNLAQSTCLAGVKECVGNDRIKEYTDNKIVVWRGNYDECHDARWGYGATGVISVARNLIPGLMHELMFGGENPVLSSKLLPLIGWLFQMPNPIGLNTALAQLGVVRPVFRLPFVPLPLEKRKEFANLVKDIGRQHFVGTQDVQVLDDNDFFLVSRY >CAK8574155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666471340:666474202:-1 gene:gene-LATHSAT_LOCUS26528 transcript:rna-LATHSAT_LOCUS26528 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNSLCTLNHAFVEPRCCINESERKSNDSNGMALKKFKKDSVYIDKTGKLRNFNHKKLSRKTCGSLRGKGWKFGSGFVDGIFPVLSPTAQQMLDYLQKEGDTERIWSSLDRLPPSLDVWDDVLTVSVQLRFRKQWESIISICKWILLRSSFKPDVICYNLLIDAFGEKFLYKEAESIYLQLHEARCIPNEDTYALLIKAYCMSGKLQSAEAVFAEMRNYGHPSSAVVYNAYINGLMKGRNFEKAEEIFLRMKRDGCVLSIESYTILINLYGKAGKSYMALKVFDEMLNRKCKPNICTYTALVNAFAREGLCEKAEEMFEQMQDAGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGFQDDAEAVFKDMKRVGITPTMKSHMVLLSAYSKMGNVSKCEDILNQIYKSGLKLDIFVLNSMLNLYGRYGQAGFIEKMEEFFRLLLAKGLKPDVVTWTSRIGAYSKKKLYLKCLEIFEEMIDAGCYPDGGTTKVLLGACSNEDQIEQVTNVIRTMHKDIKTVLQVA >CAK8574156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666471340:666474202:-1 gene:gene-LATHSAT_LOCUS26528 transcript:rna-LATHSAT_LOCUS26528-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNSLCTLNHAFVEPRCCINESERKSNDSNGMALKKFKKDSVYIDKTGKLRNFNHKKLSRKTCGSLRGKGWKFGSGFVDGIFPVLSPTAQQMLDYLQKEGDTERIWSSLDRLPPSLDVWDDVLTVSVQLRFRKQWESIISICKWILLRSSFKPDVICYNLLIDAFGEKFLYKEAESIYLQLHEARCIPNEDTYALLIKAYCMSGKLQSAEAVFAEMRNYGHPSSAVVYNAYINGLMKGRNFEKAEEIFLRMKRDGCVLSIESYTILINLYGKAGKSYMALKVFDEMLNRKCKPNICTYTALVNAFAREGLCEKAEEMFEQMQDAGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGFQDDAEAVFKDMKRVGITPTMKSHMVLLSAYSKMGNVSKCEDILNQIYKSGLKLDIFVLNSMLNLYGRLGQFGKMEEVLTVMEKGSYVVDISTFNILMHRYGQAGFIEKMEEFFRLLLAKGLKPDVVTWTSRIGAYSKKKLYLKCLEIFEEMIDAGCYPDGGTTKVLLGACSNEDQIEQVTNVIRTMHKDIKTVLQVA >CAK8569845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13539471:13541960:-1 gene:gene-LATHSAT_LOCUS22654 transcript:rna-LATHSAT_LOCUS22654 gene_biotype:protein_coding transcript_biotype:protein_coding METVTECHNSENENCKLQRRRRGELVVNGYFVYTRRKRIEHHSDNEIVKRLRTEENEQVKSETDSGAAEKNAVVLWTSKRQRRPSFKLKADSQEDTSADKASAVSNTKSVVANEKLMTVKELFDTGLLDGVPVVYVGCKKEASDSGLQGVIAGGGILCSCCLCNGRRIIPPSKFEIHACKIYKRATQYICFENGKSLLELLGVCRTAPLHTLEATIQNFLCIPPEEKYFTCRSCRGCFPVSTVKRVGLICHSCMETSKSEDGSIRAVGKRVRIPRPYLFSSPSSISETSISSQTKRQQKKKTKSSKRVSMTKSSKKSASRPIMQKTSLCSMETKSSKLLVKFKIAPITSNSKCSSPQNKSQWRINKKHQRLHKLIFEEDGLPDGAEVAYYARGQKLLEGIKKKSGIICRCCNTEISPAQFEIHAGWASRRKPYAYIYTSNGVSLHELALFLSKDHKYTAKYNDDACIFCWDGGNLLLCDGCPRAFHKECASVSSTPRRGRYCPICQHMFLGEGSVALNPDAVAAGRVEGVDPIEQIAKRCIRIVKDIEAEIGGCALCRGSDFSKSGFGPRTIIICDQCEKEYHVGCLRDHKMAYLKELPDGDWLCCNDCTRIHSILGNLLVKEIKRLPESLLDVIKKKQEERYLEPLNEIDIRWRLVNGKVASPETRPLLLEALSIFNECFDPIVDVATERDLIPSMVYGRNLQTQDFGGMYCALLIVNSSVVSAGMLRIFGRDIAELPLIATRHKNRGKGYFQTLFSCIERLLAFLNVKNLVLPAAEEAESIWINKFGFSRMKLEQLTNYRKNCQQMMAFKGTVMLHKTVPQCRMSNL >CAK8538496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484891828:484895136:-1 gene:gene-LATHSAT_LOCUS7685 transcript:rna-LATHSAT_LOCUS7685 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGKRSRKEMESENPNSDANAALINSFIEITSSTQQEALFFLESHNFDLDAAVSTFFENDNAAIPNITINDNTGNTVSPNESLSPDFQPSESLSRSQSPPSTRALRSRRSLGKKPSGSRQSGIRTLRDVKGDQESGSGSESEEPQEYYAGGQKSGMLVQDPTRGGHTVDDIFDQARQVAVDAPTENSSRSRSFTGTARLLSGEALPSAPQPVESITHVVTFWRNGFSVNDGPLRRLEDPQNASFLESIKKSECPKELEPADQQIPVRLSLTRRDENYPESVKPRNTPFRGVGRTLGDRSSSGEAASEPIQTAASASSFTVPVPTMGLVVDESQPVTSIQLRLADGTRMVSRFNHRHTIRDVRAFIDASRTGGARSYQLQTMGFPPKQLTDLDQTIEQAGIANSVVIQKL >CAK8536375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935757813:935758238:1 gene:gene-LATHSAT_LOCUS5750 transcript:rna-LATHSAT_LOCUS5750 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAIPYMSPHNNNNNFGNINENYYYNNKKKKERGNSPSMVKMVSENAVIVIGRHGCCMTHVVKRLLQSLGVNPGIHEVEEEKDEVCVAKELESMIEGKCNVQFPMVFIGGKMFGGLERVMATHISGELVPLLKQAGALWL >CAK8569072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668325739:668331138:1 gene:gene-LATHSAT_LOCUS21963 transcript:rna-LATHSAT_LOCUS21963 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPPPDPVAVLRGHRASVTDISFHPYQPILFSGSADGELRIWDTFRRQTVSSAWLHSAAHGIVAVASSSSLGTNKFVSQGRDGTVKVWDFDDAGLSRIPSVTIKTNSYHFCKFSLVKNHSTWLKEGEASKDCLETEEAHTNQSSSESSEGLPYVALSGENSSEVEIWDLKSAERFARIPSNIGSNSSSVSSKGMCMALQLFVPSESQGFLNVIAGYEDGSMLWWDVRNPGSPISSVKFHSEPVLSICIDGSCKGGISGAADERIVMYSLDHSSGTCVVNKEIKLERPGISSTSIRPDGKIAATAGWDHRVRIYNYRKGNALAVLKYHHATCNAVTFSFDSKLMASASADTTVALWELYPPRT >CAK8539899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530685591:530686781:1 gene:gene-LATHSAT_LOCUS8955 transcript:rna-LATHSAT_LOCUS8955 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKDKNLSNSIFFLELLSAVEPRVVNWSLVTKGETDDDKKLNSTYIISVARKLGCSIFLLPEDIIEVNQKMILTISASIMYWSLQHSEENSSPESSPVASLDGEQETYLVNEVSDLAIDDNASEKPSSP >CAK8578136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609285750:609287407:1 gene:gene-LATHSAT_LOCUS30175 transcript:rna-LATHSAT_LOCUS30175 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICNEHGIDHTGQYSGDSELQLERINVYYNESGSGRYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCMQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRNLSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATIDEEEFEEEEDELGA >CAK8564472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676759139:676761220:-1 gene:gene-LATHSAT_LOCUS17775 transcript:rna-LATHSAT_LOCUS17775 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLCSSSPSSLFHDPPSFPSSSRKSKLRNFSSSLTPLSKTILQSNHVSLQEPIPQQQSEEDAKFDNPVAKSTSSKSTNIWVNPKSPRAKQFGKKSYDARYNSLLKLSKSLDSCNPTEDDVSRILESLGDNILEQDAVMIINNMDNSVVVPFVLRYIQSKIRANREAILYNVTLKVLRKCKDLDGAEKVFDEMVKRGVKPNNITFSTIISCARSCYLPNKAVEWFEKMPSFGCQPDDVTYSVMVDAYGKAGDLNMALSLYDRARTENWRLDNATFSTVIKMYGVAGNYDGCLNVYEEMKALGVKPNLVVYNTLLDAMGRAKRPWQAKAIYKELINNGLFPNRGTYRSLIHAYGRARYSEDALLVYKEMKEKGMHLDTHLYNTLLAMCADVGYTDQAFEIFEDMKSCDICSPDSWTFSSLITVYSCLGKVEEAERMMIEMVESGFEPTIFVLTSLVQCYGKAKRNDDVVKTFNDLLNMGIEPDDQFCGCLLNVITQTPKEELGKLTDCVAKANPKLGSVVRYLVEGLEGDGEFRIYASELLGSITDKVRRAFCNSLIDLCINLDLLDKACVLLDLGLTLEIYPDIQSKSQTQWSLHLKGLSVGAALTAFHVWINDLSKSFESGEDLPPLLGINTGHGKHRYSEKGLADVIESHIKELNAPFWESPDKAGWFLTTQVAVSSWIKSRDSSKLVSA >CAK8573507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617032816:617033304:-1 gene:gene-LATHSAT_LOCUS25949 transcript:rna-LATHSAT_LOCUS25949 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWMKADRLGLVYEKGFLEFLEYADQNLPDNNDIFYCPCVNFKNLNKGTKNEIFHHLCCDGICQNYTILTWHGEVEKSETGSHKVDEDEYMDDQLEDMSRDIGESSFMKAHIYDTLCRDKDTHSYKRCTSFTRLSTKLKLFNLKEKMGGRIKVLRNCFIF >CAK8560368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15830730:15834074:-1 gene:gene-LATHSAT_LOCUS14046 transcript:rna-LATHSAT_LOCUS14046 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTLLFGRGQTLKGTVVLMQKNVLDINALTAVQSPGGIIGGAHGAIGDTTGSILDTATSFLGRSVALKLISRTNADASGKGKVSKKAFLEGLVTSIPTLRDKQCAFSVYFEWDSNMGIPGAFYIDNFMQGEFFLVSLTLEDVPNHGTVNFVCNSWIYNYTKYKTGRIFFANKTYLPSKTPAPLVYYRQEELKILRGNGTGERKEWERIYDYDVYNDLGAPDKKATLARPVLGGSNTLPYPRRGRTGRKPTRKDSKSESRSDIVYLPRDESFGHVKSSDFLVYILKSASQNIIPQLRSLVTLQLNNPEFNTFEDVRSLYDGGIKLPTDVLSKISPIPLFKELFRSDGESALKFPPPKVVQVDHSAWMTDEEFAREMIAGVNPHIIKKLQNFPPKSTLDSQLYGDNTSTITKEHLEPNMGGVTIEEAIQTNRLYILDHHDTLFPYLRKLNATDTKAYATRTILFLQDDGTLKPLAIELSTPHPDGDSFGPVSKVYLPASEGVEASIWLLAKSFVIVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGNYAMEMSAVVYKDWVFTDQGLPNDLIKRGVAVDDPSSPYGLRLLIEDYPYASDGLEIWAAIKTWVDKYVNFYYKSDGVVSRDYELQAFWKEVVEVGHGDLKNATWWFKMQTRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGTPEYDELAKNYERAYLKTITAKNDTLTDLTIIEILSRHASDEQYLGERIEGDVWTCDSKPKAAFKRFGRKLAEIEQKLTQRNNDESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >CAK8575668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:244119835:244120719:1 gene:gene-LATHSAT_LOCUS27911 transcript:rna-LATHSAT_LOCUS27911 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLNSKDSHKKKEGSRCCATDSSAESRKTSFSGSSSTSTSTSTSSLSTFTSTSDEAKAKGFSSPPTPLGWPILKATLSNSKRLSSDEKHKPNLELDHSKFTSIDLKIPDVDMMERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLPSEKKEMWQRETEWLVSISDHIVELIPSWQTFPDGKKLEVMTCRPRTDICINLPALRKLDNMLLEILESFTATEFWYVDQGIVAADADGSASFRKSIQRQEEKWWLPVPRVPPKSNARPHSQPILFTTPRGF >CAK8562152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448706672:448707291:-1 gene:gene-LATHSAT_LOCUS15676 transcript:rna-LATHSAT_LOCUS15676 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAGKRKFRGQSNDVPSTFSGRVVICAGGLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKTPHHCAPGYRTFFDHFEEDDFRWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPSPPTCMERYHKSAANDQ >CAK8532132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210884189:210888577:-1 gene:gene-LATHSAT_LOCUS1865 transcript:rna-LATHSAT_LOCUS1865 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEWLFIAIIASTTLSKCIALATDPLVQQENDRIVKSLPGQNFNISFQHYSGYITVNEDVGRTLFYWFIEAEHPDPTSKPLLLWFNGGPGCSSIAYGEAEEIGPFHIGLDGKTLDRNPYSWNQLANILYIDSPSGVGFSYSKNSSDILNNGDKRTAEDSLIFLLKWFERFPQYKENDFFISGESYAGHYVPQLSQLIVDYNSAAKQDSINFKGYMVGNALTDDFHDQLGIFQFMWTTDPYSIFTPSCHANDNQLVKRKHGIRRLRSAYDPCTEKHSTKYFNLPEVQKTLHVDPDHKPAKWETCSDVVAINWKDSPRSVLDIYRQLIPTGLRIWIFSGNTDAVIPVTSTRYTINALKLSTVGPWRAWYDDGEVGGWTQEYAGLTFVNVRGAGHEVPLHRPKLALTLFKAFLAGTSMPTLEPVTLIAAT >CAK8532131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210884189:210888577:-1 gene:gene-LATHSAT_LOCUS1865 transcript:rna-LATHSAT_LOCUS1865-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEWLFIAIIASTTLSKCIALATDPLVQQENDRIVKSLPGQNFNISFQHYSGYITVNEDVGRTLFYWFIEAEHPDPTSKPLLLWFNGGPGCSSIAYGEAEEIGPFHIGLDGKTLDRNPYSWNQLANILYIDSPSGVGFSYSKNSSDILNNGDKRTAEDSLIFLLKWFERFPQYKENDFFISGESYAGHYVPQLSQLIVDYNSAAKQDSINFKGYMVGNALTDDFHDQLGIFQFMWTTGMISDQTFKLLNILCDFQSVEHTSQSCEKILDIANREMGNIDPYSIFTPSCHANDNQLVKRKHGIRRLRSAYDPCTEKHSTKYFNLPEVQKTLHVDPDHKPAKWETCSDVVAINWKDSPRSVLDIYRQLIPTGLRIWIFSGNTDAVIPVTSTRYTINALKLSTVGPWRAWYDDGEVGGWTQEYAGLTFVNVRGAGHEVPLHRPKLALTLFKAFLAGTSMPTLEPVTLIAAT >CAK8531706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141963862:141964971:-1 gene:gene-LATHSAT_LOCUS1478 transcript:rna-LATHSAT_LOCUS1478 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLNTWPNTAPTLFNLNCLTSPQPSPFQVSYLINNFDFSQQSASKLCSTHRLYCKTTQKSDSVINFFTNYGFSNSQLRDIIDKSPWLLTCNLSKRVLPKFEFFLSKGASNSDIVYLVSKNSRVLSPSLENHIVPTYELIYRFLQSDKEVMAYVIQNPYLLCHCLVPRNITVLIENGVSDSNIARLIRIRNRTLQARHMLSLLEELKDLGFNPSKYSFTVALIAKTSVTKTRWNEKVDAFKKWGWSDEDIIEAFKKQPYCMLISIEKINLVMSFWVNQLGWDALALAEQPAIFRLSLEKRIIPRAWVMQFLRNNGLRNKHASLTSPFVPPEKEFLDRFINRFEKESSYLIKLYQEKLKLADTGDKNCIS >CAK8542468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518331436:518333763:1 gene:gene-LATHSAT_LOCUS11307 transcript:rna-LATHSAT_LOCUS11307 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFLFSLLLIAPWFLLTFHANAEITSTYIIHMNKSLFPQIFTTHHDWFKSTIHSLKSKTLSLDGHDYDYDQDQSSKQSLKKKLVYTYDNAMYGFSAVLSSKELETLKNIDGFVSAYQDKTATIDTTHTFEFLSLDSPSGLWHTSNFGEDIIVGVIDSGVWPESQSFQDDGMTKKIPSKWKGTCEVGQEFNASMCNFKLIGARYFNKGLIASNSNVTILMNSARDIAGHGTHTSSTVAGNYVNGASYFGYAKGVARGIAPKARLAIYKTNWHEGRLASDVLAGMDHAIVDGVDVISISMGFDDVPLYEDPIAIASFAAMEKGIVVSSSAGNLGPNLGTLHNGIPWLITVAAGTIDRTFGTLVLGNGQNIIGWTLFPANAIVENLPLVYNKSLSSCNSENLLSQVNKQVVLFCDDESMINSTSVFHQINTVAATSVLGAVFVSDNPNLIDLANIYSPIIVINSKDAETVINYAKTHQNPTASIRFQQTHVGIKPAPTAAQYSSRGPSHSFPWILKPDIMAPGSRILAAYVPGKASGRIGANVFLPSEFNFMSGTSMACPHVSGVAALLKSAHPEWSAAAIRSALITTANPMDNTQNPIKDNGYPSQQASPLAIGAGEIDPNRAMNPGLIYDVTPQDYVNLLCGLNFTKNQILTITRSSSYGCEKPSLDLNYPSFIAFHSNKTRSMVHKFKRTVTYVGDGAATYKAKVTHPQGCLVTVSPDILNFSYRNEKQRYHIVIKYVMYEKENVSFGDVVWAEDGGTHSVRSPIVVAPSGIE >CAK8572849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567430145:567442619:-1 gene:gene-LATHSAT_LOCUS25366 transcript:rna-LATHSAT_LOCUS25366 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTLKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGSSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8572850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567430145:567442619:-1 gene:gene-LATHSAT_LOCUS25366 transcript:rna-LATHSAT_LOCUS25366-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTLKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQFQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGSSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8572851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567430145:567442619:-1 gene:gene-LATHSAT_LOCUS25366 transcript:rna-LATHSAT_LOCUS25366-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTLKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQFQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQFQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGSSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8572848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567430145:567442619:-1 gene:gene-LATHSAT_LOCUS25366 transcript:rna-LATHSAT_LOCUS25366-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTLKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQFQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGSSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8578363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623967569:623968988:-1 gene:gene-LATHSAT_LOCUS30380 transcript:rna-LATHSAT_LOCUS30380 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEGKNFGRGSRELGGGHDLVSQYKLWPFYKHFCKSSLPLSETHYLRNVVGDTKIKKGEGMELDQLCKNTYTNEKKPCLSPFDLNVLNEAFHLKETDPNHISSVKKVLPNAAANPAHASRETERKNKKDKKYRKHKLLQIKNRSCVANNTIIHNDSHPSQLKNQQDKKRRAEASYDPSTRKRLNTR >CAK8560761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40708961:40710657:-1 gene:gene-LATHSAT_LOCUS14411 transcript:rna-LATHSAT_LOCUS14411 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSTVTAAAGGDSLLEKITEKLHFDESSSDSDSDSHSDSVKPAASSVKDKVFRLFGREKPVHSVLGGGKSADVFLWKNKKISGGALGVATAIWVFFELLEYHFLTLICHSSILVLALLFLWSNAHTFIHKTPPHIPVVHLPEEPFLQFASALRIEINRGFSALRDIGSGRDLKTFLSVVVGLWILSKLGNWANFLTLFYITFVLLHTVPFVYDKYEDKIDPLAEKAFIEIKKQYAVFDEKVLSKVLNKIPIGSLKGKLA >CAK8530230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8756508:8757106:-1 gene:gene-LATHSAT_LOCUS113 transcript:rna-LATHSAT_LOCUS113 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRFHINKNVGAKRKQYVASDTQKKIDGLWTNVVWASDEVEYDQRLNQLEQVCVDCNEYIDYVKDTWLTPHRQRFVGAWINRVLHLGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNFKLQLSNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELK >CAK8566360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413594319:413595386:-1 gene:gene-LATHSAT_LOCUS19495 transcript:rna-LATHSAT_LOCUS19495 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQFRTCNFCITHQKLKRSHRVLAHHLVWLSSLTFPGFLRNFHSDEHVVAGKLQKKPVLDWKILVR >CAK8579288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689880352:689881983:1 gene:gene-LATHSAT_LOCUS31243 transcript:rna-LATHSAT_LOCUS31243 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDLLLGVSMFAEPISVQIIDRASLAMNELCNVGIIGKPLWHQHNSNQYEILNGIEYLKYVGHDAMLMDIVKLVEVGEIQTLPSFDSYGNQINSISNENSIQGLHIEASRDTTMINAGPNDIVELLMNVNQWGMTFHNIVSRATILGSFMNGVEGSYDGRLHVMNAEFHLPSPVVPTRECCFVRYCKQLSPNDWVVVDVSLEDLFPYPSTNFRKRPSGCMIKEMPNGYSKVTWVEHVEADHSQLNDLFKPLVTSGLTFGATRWLASIVRHFEWAETIITTQFSTDQSCFKNTNIIL >CAK8568975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661024580:661026342:1 gene:gene-LATHSAT_LOCUS21878 transcript:rna-LATHSAT_LOCUS21878 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGATSAANSRRKPSWRERENNRRRERRRRAIAAKIYAGLRSQGNYNLPKHCDNNEVLKALCVEAGWTVEEDGTTYRRGSRAATSGDGAGNCTRNNLFSSQNPSPLSSSFPSPIHSYQVSPSSSSFPSPTRMDANNNPSNFIPYVRNIFPNMSLPPLRISNSAPVTPPISSPTSRNPKPMIPTWDSIAKASITSFNHPFFAASAPSSPSHRHLYTPPTIPECDESDTSTVESNQWLNFQAFAASAKSSVSPTLNFLKPVINEQHNMLPENRMQEMRISEPEFGAQVVKPWVGERIHEVGLDDLELTLGNGKAPS >CAK8576708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508315472:508317615:1 gene:gene-LATHSAT_LOCUS28881 transcript:rna-LATHSAT_LOCUS28881 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHEIETETETETELEEEFSVWKRNTPLLYDLFISHPLSWPSLTVQWIPASPQPHSHPSFSTHKLLIATHTSNEESNYLMVADSTLPVNPSQPIFSDDPENPFLPKVEITQRVLVDGEVNRARSMPHNPSIVAAKTCNSEVYVFDFAKKRGDNNDPDFRLKGHDEEGYGLSWSPFKKGYLLSGSNDHKICLWDVFSESQNNVLDAVHVYEGHESIVEDVSWHTKDENLFGSGGDDCKLIIWDLRTNKAQQSLKPHEREVNFVSFNPYNEWILATASSDTTVGLFDIRKLEAPLHFLSSHTDEVFQVEWDPNHDGILASSSSDRRLMVWDLNRIGDEMIEGDEEGGPPELLFSHGGHKGKISDFSWNQHQPWVISSVAEDNSFHVWQMAESIYNDGDVDDDNAWMGSDQH >CAK8566747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459036707:459037495:-1 gene:gene-LATHSAT_LOCUS19858 transcript:rna-LATHSAT_LOCUS19858 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSEELTIFRYYIREIPPKYEIPFDRFRQIDIILSFASEKGDGRFHPTWIVDRSGIEWLKRLKQDHPNVRVIISIGGVGSEFPFNPAQKDGWIFNAIDSIKNIILLYKHIIDGIDIHYDVIESSEDDFSFCIGQVIKKLKNNIDLSIKVVSIAPTELVEPYYLKLYKDNKDIIDLVDYQFYNQKFSSKEEFVALYQKLVSDYYPAKVLVGISIPVDPILNAGIRYLIDHKLLPGIFFWDIFDSIDGPNNFSLEKILKDLI >CAK8570778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:112632217:112635666:1 gene:gene-LATHSAT_LOCUS23501 transcript:rna-LATHSAT_LOCUS23501 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGSPITSFHISTTCTSLLRELEQIWNDIGETEKDKDRMLMELERECLDVYRRKVDEAANTKARFHQSVAAKEAEIATLMAALGEHDIHSPIKTDKRSASLKEKLASVTPLVEELKKKKEERLKQFEDIKTQIEKISGEICGIHSVNDDVSSTGSKDEQDLSLRRLNEYQTHLRSLQKEKSDRLQKVLQCVNEVHSLCGVLGLDFGQTVEDVHPSLHGTQVEQSTNISDSTLEGLEKTILKLKTERKVRIQKLKDIVANLFELWNLMDTSKEERNTFLRITSIVATSPSEITERGGLSTDVIDKASAEVEKLAKLKASRMKELVFKKRSELEEICKLTHIEPDTSTAAEKASALIDSGLVDPCELLANIEAQIVKAKDEALSRRDVTDRIDKWLFACEEENWLDEYSQDDNRYSAGRGAHINLKRAERARVTVTKIPGMVDNLISKTLAWEDEKKTCFLYDGVRLVELLDDYKLTRQQREEVKRRQRDQKKMQDLLQNQKEAIYGSKPSPRKTNSFRKTNGHRANGNGYGNGNGNVSMPPTPRRNSMSGTTSELHTPRSYSGRHNGYFSEMRRLSTAPLNFVAIPKEDTMSYSCSSEPESPPQV >CAK8542350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502926557:502929273:1 gene:gene-LATHSAT_LOCUS11195 transcript:rna-LATHSAT_LOCUS11195 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQCSSLVPCCVNSQVKTSDLEVPTDENEDRIETNNGPAFREFTFEQLNNATSGFNVENIVSEHGEKAPNVVYKGKMENQTRIVVKRFNKSAWPDARQFLEEARSVGQLRNERLANLLGCCCEDDERLLVSEYMPNETLAKHLFHWDAQPMKWAMRLRVVLHLAEALEYCTSNGRALYHDLNAYRVLFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDDDGTELVRLASRCLQYEPRERPNTKSLVTALAPLQKETEVPSHSLMGIQHSATIVASLTPLGDACSRKDLTAIHEVLESIGYKDDEGVANELSFQMWTDQMQDSLTSKQKGDAAFRHKDFKLAIECYTQFIDVGTMVSPTVHARRSLCYLINNQPQEAMNDAMQAQVISPLWHIASYLQSVSLAGLGMANESQVALSEGTTLEAKRNAPTGKK >CAK8532041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:195868555:195871235:1 gene:gene-LATHSAT_LOCUS1780 transcript:rna-LATHSAT_LOCUS1780 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSVSTIFCFLLVTLFSQCQSSPSKKTFIVHMKDHDKPTVYQTHHDWFSSTLQSLSISTDTDTNTDSVDSDPLLYSYTTAYNGFAATLNDQQAQALLQNDAVLGVYEDTLYHLHTTRTPQFLGLESGNGLWEGHGTQELDQASHDVIVGVLDTGVWPESPSFNDAGLPQIPTRWRGACENAPDFNATHCNRKLIGARSFSKGFHMASGYGKGSKDDEIVSPRDTDGHGTHTASTAAGSHVGNASFLGYAAGTARGMAPQARVAVYKVCWTDGCFASDILAGMDRAIQDGVDVLSLSLGGGSAPYFHDTIAIGAFAAVERGIFVSASAGNSGPTRASLANVAPWIMTVGAGTLDRDFPAYATLGNKKQFSGVSLYSGKGMGNKPVSLVYFKGSNSNQSASICMAGSLDPALVHGKVVICDRGINARVEKGKVVREAGGIGMILANTVASGEELVADSHLLPAVAVGRIIGDQIREYVSSDANPTAVLSFGGTLLNVRPSPVVAAFSSRGPNMITKEILKPDVIGPGVNILAGWSEAIGPSGLPEDTRKTQFNIMSGTSMSCPHISGLAALLKAAHPNWSPSAIKSALMTTAYTHDNTRFPLRDAAGKGFSTPWAHGAGHVNPQKALSPGLVYDASTRDYITFLCSLNYNPEQIQLVVKQRGVNCTKKFSDPGQLNYPSFSVVFSGKRVVRYTRILTNVGEVGSVYNVVVEGPPTVGIVVRPSRLVFKKVGERKRYTVTFVSKKSADASSVRNGFGSILWSNTQHQVRSPVAFAWTSL >CAK8562673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524481840:524482758:1 gene:gene-LATHSAT_LOCUS16151 transcript:rna-LATHSAT_LOCUS16151 gene_biotype:protein_coding transcript_biotype:protein_coding MELTFNHQLGLACVILLLPHLCLSQDSFTDSRATYYGSPDCYGTPRGACGFGEYGRTVNDGSVAGVSNLWKNGSGCGACYQVRCKIPQYCDENGANVVVTDYGEGDRTDFIMSPRGYSRLGRNEDASAELFKYGVVDVEYKRVPCMYNGYNIVAQVHEESKKPDYFAVVVLYVDGMFDVNAVEIWQEDCQEWRPMRRAYGVVFDMANPPSGEIYLRFQVSGNGGVYWVQSKNAIPSDWKAGVAYDTMVQLN >CAK8567524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:521331228:521333462:-1 gene:gene-LATHSAT_LOCUS20570 transcript:rna-LATHSAT_LOCUS20570 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKDVCWEYAEKLDGNKVKCKFCQRVLNGGISRLKHHLSRFPSKGVNPCSKVRDDVTDRVRNIIASKEEVKETSVAKKQKAPEVSLSATKALISLETMTSPIGKMFPSSNPMTPSSTINQENAERSIALFFFENKIDFSVARSSSYQLMIDAVGKCGPGFIGPSAEILKTAWLERIKTEVGLQSKDVEKEWASTGCTIIADTWTDYKSKAIINFLVSSPSRIFFHKSVDASAYFKNTKWLADLFDSVIQEFGPENVVQIIMDSSFNYTGIGNHIVQNYGTIFVSPCASQCLNLILEDFTKVDWISRCILQAQTISKLIYNSASLLDLMKKYNGGQELIRTGATKSVSTFLSLQSMLKLRTRLKHMFHSPEYASNTSYVNKPQTLSCIAIAEDGDFWRTVEECVAISEPFLKVLREVCEGKPTVGSIYELMTRAKESIRTYYIMDENKCKTFLDIVDKKWREQLHSPLHAAAAFLNPSIQYNPEIKFLSSIKEDFYNVLEKLLPVPDMRRDITNQIYTFTKAHGMFGCSIAKEARNTVAPWLWWEQYGDSAPGLQRVAIRILSQVSSTFSLHRQWSTFRQIHSEKKNKIDRETLNDLVYINYNLKLNRQMNGKSLEVDMLQFDDIDMTSEWVEENETASPTQWLDRFGTALDGNDLNTRQFGSSIFGANDPIFGL >CAK8531604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:129614736:129615992:1 gene:gene-LATHSAT_LOCUS1382 transcript:rna-LATHSAT_LOCUS1382 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPQITLTFMFYLLILSPTPSFSLNITALLSTFPDLSQFTALLSAATPLTADLSHRTSISLLAVPNSFLSTDPNLSHHQLPPSALTDVLRYHVLLQFLSWSDLHSLPPSGKLVTTLFQTTGRATNNFGSVNITHDPHSNLVSIRSPAPYSSSNATVIELVKTLPYNVTIFAVNSLLIPYGFDLMASETRPSIHLNITKTLIDAHNFNVAASMLSASGVVNEFEAGEGGSGITLFIPVDEAFADLPPSVSLQSLPADKKAVVLKAHVLRAYYPLGSLQSTANPLQPTLATEAMGAGSFTLNISTFNGSVAINTGIVQGIITQTVFDQNPIAIFGVSKVLLPREIFGKNPIVSVKSPPDIIAPPPYDDASSPSGFDGQPSHLSSPPGFGEDVSPNDARVYGSELFNFLLCCINLYLLI >CAK8541586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:271413146:271414496:-1 gene:gene-LATHSAT_LOCUS10496 transcript:rna-LATHSAT_LOCUS10496 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESHSQKIVFDGEAASSLVKKLRVSFSSGKTRSYEWRISQVKALLKMMAEQEDQIIDALRYDLAKPPLETVLYEIVMLKNSCELMLKELKQWMTPEKAKTSITTFPSSAEIIPKPLGVVLVISPWNYPFLLSLDPVVGAIAAGNVLVLKPSEIALASSSLLAKLLGEYLDNSSIRVVEGAVDETTALLQQKWDTIFYTGNGKVGRIVMAAAAKHLTPVVLELGGKSPTVVDSNVNLEVVARRIISGK >CAK8567457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515934255:515935339:-1 gene:gene-LATHSAT_LOCUS20510 transcript:rna-LATHSAT_LOCUS20510 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQQSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAMAKILLKDEEYFKDKMILASCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFEK >CAK8567171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492660187:492662832:-1 gene:gene-LATHSAT_LOCUS20246 transcript:rna-LATHSAT_LOCUS20246 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLLSERSRKTAEENYAINPLDADNLTKWAEALIELSSCQSPVDSKKMIEDALAKLEEALLIDPTKHYTLWCLGNGLTSCAFLTPDFSDAKVYFDKAYGYFQKAVDVDPENGLYRQSLKVALKAPELHMEIHKSGPGLGQMSHGGSSPSKGQVSKKQKSSDFKYDMFGWIILAVGLVVWVGMAKSHIPPSPPS >CAK8531821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:158686321:158687101:-1 gene:gene-LATHSAT_LOCUS1586 transcript:rna-LATHSAT_LOCUS1586 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKRSISTEVPSTEEEMDVDKLLESLETRKNGSVCIPTCISSSATKLRAEGIGTICGRLYIGTTENIPPSELIDTTGAGDAFAGDCSLRYLRQLFNRENAMLCCQCGSCQVQSSRGTKWSSAP >CAK8536430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940917068:940917472:1 gene:gene-LATHSAT_LOCUS5799 transcript:rna-LATHSAT_LOCUS5799 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVLIVSIIVLLALSLIFVSVNNADAKEVYPVVTFSACERVFEYFTNCLGFLVRDSNAIYGYDKPLRRCCQHVEKLNILAKHSIGPRFICRCIQVMVKGTSPALDPSRIQHLPFMCNTTLSFPISESMDCFK >CAK8563688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625037656:625039443:-1 gene:gene-LATHSAT_LOCUS17071 transcript:rna-LATHSAT_LOCUS17071 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGIHSLKWVLLDLIERCNDLRSFKQIHAQLLTSTLVTNDLVVPKVANFFGKHVTEIHYPINFLKQFDWSSSSFPCNLMISGYGAGRLPWAAILVYRWSVRNGFVPDVFTVPAVLKSCAKFSGIIEVRQFHSLAVKSGLWCDIFVQNSLVHVYSICGDTVDAGKVFDVMLVRDVVSWTGLISGYVKAGLFNDAVELFLRMDVVPNVATFVSIFGACGKLGCLKLGKRIHGLVCKYPHGKELVVSNTVVDMYMKCESVSDAKQLFDEIPEKDIVSWTSMISGLVQCQCPQESLDLFCEMLDSGFEPDGVILTSVLSACASLGLLDYGRWVHEYIDRSRIKWDVYIGTSLVDMYAKCGCIETAQRVFNLLPSKNIRTWNAYIGGLAINGHGKEALKQFGYLVESGTRPNEVTFLAVFTACCHSGLVDEGRSYFNQMTSPPYNLSPLLEHYGCMVDLLCKAELVGEAMELIKKMPMPPDVQILGALLSASNTYGNVRLTPTMLKSLPNFKYQESGVYVLLSNLYATDKKWAEVRNVRRLMKEKGISKAPGSSIIRVDGKSHKFIVGDNSHPQSEDIHILLNNLTNQTYLEGHIDTLS >CAK8577266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551010512:551021286:-1 gene:gene-LATHSAT_LOCUS29387 transcript:rna-LATHSAT_LOCUS29387 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINEWQQIEQHTALESSGVYDGEDRSSSSSSSTPTHHEEDGSSVSDREATTRLDWANEGKKLLKLRFEAMRVKFVRVASKVRNSAMCAGAFWSITCVAGAAAAAAVLVWLVYFGIQRRRRKLDGLNDLLRQKDEKISQLLLHIAHLDEALSSRRRIHVYQIVG >CAK8541038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47081166:47083107:-1 gene:gene-LATHSAT_LOCUS9990 transcript:rna-LATHSAT_LOCUS9990 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYKGPAIGIDLGTTYSCVAVWQDQNNRAEIIHNDQGNRTTPSSVAFTNEQRLIGEAAKNQAASNPTNTVFDAKRLIGRKFSDSVIQNDIQLWPFKVVAGNDDNPEIIVQYKGEEKRLCAEEISSMILMNMRDIAEKFLESPVKNAVVTVPAYFNDSQRKATKDAGAIAGINVMRIINEPTAAALAYGLQKRANNVGKRNVFIFDLGGGTFDVSILTLEDDSFDVKATAGDTHLGGEDFDNRMVNHLVEEFKRKIKGDISGNPRALRRLRTACERAKRTLSFDTEAAIDIDALYKGVDFHSIVTRAKFEQLNMDLFEKCLEIVKSCLTDAKIDKGNVDDVVLVGGSSRIPKVQQLLKDFFKGKDLYSSINPDEAVAYGAAVQAALLCKGIKNVPNLVLQDVTPLSLGKSTIGDVMSVVIPKNTSIPVKKKREYCTVEDNQSSVRIMVYEGERMVASENNLLGLFDLSVRPAPRRLPIQVCFSIDADGILNVSAEEETSGNKNDITITNENGRLSSEEIERMKKEAEHFKSEDMKHVKKAKAMRALDDYLYDMKKVMKDNSVTSKLTAVEKVKINSAMIKGEELIDDKDQETSVFVDVLRELESIFESAMKKINKS >CAK8567387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509964296:509966018:1 gene:gene-LATHSAT_LOCUS20447 transcript:rna-LATHSAT_LOCUS20447 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDENVASLTPNFPVSQLNFSLEIKGNRTEIIISSYEDHVMVIATQIGAMGTILHARKEEGMSINPTFNVSVLFGKRDEPMLVACARQLIEHMTLSGVSKPLVLSLGLKDHSAGTLKGIVSAVIEKRLW >CAK8538505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485300588:485302053:-1 gene:gene-LATHSAT_LOCUS7694 transcript:rna-LATHSAT_LOCUS7694 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKMNWFDQINNEIKSSDSSISDEMEQWKKHSIYKIPSIVTNLNKKAYKPQAISFGPYHYGEEHLKAMEEHKHRALVHFLKRCEKSIEFVFQEMEQVVQELRDSYKPLDPSWILDTPKFVQMMILDGCFILEILRANDCVLDDYAENDPVFGEHGKFYVLPYIKRDMLMLENQIPMTVLRTLIQLETRTEQEDDYELLNEKIVKLLNPSTPLIQSLGKCMHILDVYRKSLIQQGPSHPTRMPKETKRNWLTLEAGEEIIRSAVELHEAGIRFKKSKTWSLKDVSFDRGVLRLPILVVDDTTEYMLLNLIAFERLHVGAGNEVTSFIFFMDTIVDNAMDVALLNRNGIIINALGSDKVVSKLFNSLSKDITVDRHGVLDVVRMSMSDYCKKPWKRWRANLIQTYFRNPWAIVSLVAAIFLFALTIVQTVYSVEQYYQNPSSTNSPILPVTPKPHHLL >CAK8537433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:355118914:355120157:1 gene:gene-LATHSAT_LOCUS6725 transcript:rna-LATHSAT_LOCUS6725 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFPSSTNSVNSFYTFLSRGIDDLERAFLSNNLMSIQFLQRVLSILRSFHTQLVLLVQKLHLPVGDKWLDEYMDESSKLWETCHLLKSGISSFESYHSSGINITSIFDSHSHLSPQLRRQALRGISGCRTEAMGMEEENRALMETRVQLLSLRFDERVSVESKLNGFNGFRGVLYAMRNISSMLLTFLLHGLVYHCPETLNTVTGFDRRLFLGSGLMISAARLQQRVVAEMSEVAPGMLLYEFRRTRVAVETLSGEMEMTASQVVQWEMEDGLREKVESVRMCFGVLKSGADNIVCQLDDFFDEIVEGRKKLLDFCSHR >CAK8534304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714263112:714263870:1 gene:gene-LATHSAT_LOCUS3859 transcript:rna-LATHSAT_LOCUS3859 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVDPGIGWMEFKEYLEPYGIFFPLDPGPAVSIGRMCATRCSGSLAVRYGTMLDNVISLKVVLANGDIVKTASRARKSVAGFVLFLYFYLLINSLIIFSPSMNGCFTA >CAK8577375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558295021:558312355:-1 gene:gene-LATHSAT_LOCUS29489 transcript:rna-LATHSAT_LOCUS29489 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLEDVIRSIELWLRLLKKPQSQTYINPNLDPVLLVPGVGGSILNAVNTDGSQERVWVRFLSAEYKLKTKLWSRYDPSTGKTVTMDPKSTIVVPEDRHGLQAIDILDPDLVVGSEAVYYFHDMIVQMQKWGYQEGKSLFGFGYDFRQSNRLQETMDRLATKLELIYNAAGGKKINLISHSMGGLLVKCFLSLHSDIFEKYVKNWIAITAPFQGAPGCANSTLLNGMSFVEGWEQNFFISKWSMHQLLIECPSIYELMACPNFHWQHIPVLELWRERLHSDGKSHVILESYPPYDSVEILKQALLNNKLNYDGEELPLPFNSEILEWANKTREILASAKLPSGVKFYNIYGTNLQTPHSICYGNADKPISDLHDLRYLQPRYVCVDGDGTVPVESAKADGFNAEERVGIPGEHRGILCEPHLFRILKHWLRAGDPDPFYNPVNDYVILPTAFEIESHTEKGIVVTSLKEEWEIISNDQDDQSNTGDRMSLSSLSVSQEGTNKSHSEAHATVFVHPGSDGKQHIELNALSVSVDAS >CAK8543182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585608513:585609208:-1 gene:gene-LATHSAT_LOCUS11957 transcript:rna-LATHSAT_LOCUS11957 gene_biotype:protein_coding transcript_biotype:protein_coding MENWRKNQRISNHQVGDWRSSSYNGKPPLGKVSFCFPFVSFSFVLSFDSFYFFWFIDKRYMYMHSNVMNWEDYAVKEAFEDEKFRFWAENNGFSCNIPLPDPSDMYIDDVDWNTNVDSELSQQNDSQRWISEEYHAGDLHDKYQARNNANGNWGTWEGNNRRRDNGISWSMNPTYQNGNNQYDMNRGRGNGGRGRGRRGGGGRGRGRTGGAARGRVERGGGSCSQFMVNSL >CAK8574810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9835838:9836434:1 gene:gene-LATHSAT_LOCUS27115 transcript:rna-LATHSAT_LOCUS27115 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQFLTLFLFLVISSNTITSTSSLENEENTFDFVRPIDKKLLGLSKKEKLNHFKFYWHNIATGKNATSIEVVPSPKMINSTTYFGSISMMDSPLTIRPELSSKLVGKAEGFYASASQVELGLLMAMNCALIEGKYNGSSITILGRNPVFDKVKEMPVVGGTGVFKFARGYAQVTTHSLDFKTGLAIDEYNVYVFHY >CAK8578291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618040463:618040666:-1 gene:gene-LATHSAT_LOCUS30316 transcript:rna-LATHSAT_LOCUS30316 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVFIVIITQCLVYSSAELQLFEHAPKSDGSLRFLVIGDWGRRGAYNQSQVAVQVLFEGLIWEA >CAK8576617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497975398:497979887:-1 gene:gene-LATHSAT_LOCUS28791 transcript:rna-LATHSAT_LOCUS28791 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLSLHFNHTSLLQNRKLISHSNRPRDSLAPSLLKNTTIHSTNQCIISSKHRFLGLLTPRVVQNYNVIEESEDTDQISEGFDVDIDKEEVSELVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYSFMFLSIATSNMVATALAKQDRAEAQHHISVLLFIGLACGLAMLLFTRLFGATTLVAFTGRKNVHLVPAANTYVQIRGLAWPCLLVGLVAQSASLGMKDSWGPLKALVAASIINGIGDIILCRYLGYGIAGAAWATLASQVVAAYMMGQTLNQKGYNVFSFSVPSGKEFLAIISLSAPVFISLMLKMAFYSLLIYFATSMGTHTTAAHQVMVQIFWICTVCGEPLSQTAQSFMPELMYGVNRRLKKARSLLRSLVTIGAILGLLFGIVGTFVPWLFPYMFTPDQMVIQEMHRILIPYFLALVVTPATVGLEGTLLAGRDLRFISLSTTGCFCLNALLLSILCSRYGLQGCWFSLVGFQWARFFVALLRLLSPNGILYSQDTSQYELQKSKTA >CAK8536956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45314699:45315937:-1 gene:gene-LATHSAT_LOCUS6279 transcript:rna-LATHSAT_LOCUS6279 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRLLSMYKRNPSALSDPPPSGPNSGYLVILDEEAQTYSCFGLCKNNRIKDFPIPQNNNSTILYSARKRIHKEEAMFIPVLNQRLSSNRYYVIKIKGKNQGQASTSSKEEDMATCLCCNFVRDVKPRPLEPFDDYQQVEIIKKRNGFRAKSVASDGIPPGLLRKKGWKLYGSTPDKYRLGQALGSNDSLRAELPNFNFPLSNDRSESVVVGKWYCPFMFVKEGMRLKEQMKMSVFYELTLEQRWEKIFSKENSGEGGVLVDVDIQTEVAKVAGKDAVWDENNLVDGVLWFKSVEEVGEEISVGLSLQLVEGMKWEQEIFGWIAGRGRQVKVTKFEEFGGTNNWNKFSCYVLVETFCLRRMDRKLVFTYDYSHSHRIMSKWE >CAK8560158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9168171:9170069:-1 gene:gene-LATHSAT_LOCUS13861 transcript:rna-LATHSAT_LOCUS13861 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSTFLIIITSFILFLFWLTKKIKARSVIHKFPPGPWKLPLIGNLHQLALGGSLPHHTLGKLSHKYGPLMHLQLGEISAVIVSSPDLAKEIMKTHDLSFVNRPQLLCPKILAYESTDIAFAPYGDYWRQMRKICTSELLSAKRVQSFCSIREDEVEKFIQSIHHFSVSSQPLDLTKTVTSLVSSFVTRAAFGRKSEYEDELLCLLKEAVEMAGGFDVADLFPSFTPIHVITGMKGKLENMQKKLDKILESIIKEHQSKSNHGMQSENLVDVLLRVQQSGSLEIPITDDNVKAVLWDVFAAGSDTSATAIEWTMSELMKNPSVRNKVQSEIREAFKGKNKIHECDLHKLSYLKSVIKETMRLHPPVPLLLPRECIEPCKIGGYEIPIKTKVIVNAWLLGRDPKYWYDAEKFIPERFDDTGFDFNKVNNSFEYIPFGAGRRVCPGILFGVANIELPLVALLYHFDWELPNGMKVEDLDMDEAFGAAVARRNNLCLIPTPYV >CAK8533621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642595446:642608143:1 gene:gene-LATHSAT_LOCUS3234 transcript:rna-LATHSAT_LOCUS3234 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSAASTLISASSTLLSASSTITRHLHASGGKKKIVGVFYKGNEYAASNPKFVGCVEGALGIREWLESQGHEYIVTDDKEGPNSELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFVPGYHQSITGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKIEPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGLFDKNRIAKLKKGVLIVNNARGAIMDTQAVADACSSGHIGGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLERHFKGEDFPQQNYIVKEGELASQYR >CAK8533622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642595446:642598415:1 gene:gene-LATHSAT_LOCUS3234 transcript:rna-LATHSAT_LOCUS3234-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSAASTLISASSTLLSASSTITRHLHASGGKKKIVGVFYKGNEYAASNPKFVGCVEGALGIREWLESQGHEYIVTDDKEGPNSELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFVPGYHQSITGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKIEPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGLFDKNRIAKLKKGVLIVNNARGAIMDTQAVADACSSGHIGGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLERHFKGEDFPKQNYIVKEGELASQYR >CAK8570927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:174243703:174244615:1 gene:gene-LATHSAT_LOCUS23635 transcript:rna-LATHSAT_LOCUS23635 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPKSKNASSSNHVNYAATQNHDPQLNEIASCNKFYSSSSTQIFDEFIDSSCLIQNPARRSFEVDIDFTVMTV >CAK8575012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21333355:21335121:-1 gene:gene-LATHSAT_LOCUS27305 transcript:rna-LATHSAT_LOCUS27305 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEDHFAKNQKYDCLLFDLDDTLYPLSSGLADAILKNLEAYMVEKLGIEPSKTDDLCNLLYKNYGTTLSGLRAIGYEFDYDEYHSFIHGRLPYENLKPDPVLRNMLKSLPYRKLIFTNADKVHALKTLNILGLEDCFEGIICFETLNPINKSGVTDDEDDVEFAGSTSATKLETSNRASNSRIFDIISHFAQENPSGNLPKTPVVCKPSEKAIELALNIANINPHKTLFFEDSVRNIQAGKRLGLDTVLVGRSQKVQGADYALESIHNLTEALPDLWEADVKSEVVYTENLAKETTVTA >CAK8544984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:716079458:716079978:-1 gene:gene-LATHSAT_LOCUS13621 transcript:rna-LATHSAT_LOCUS13621 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKQLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGNDKNEIGMVVIRGNSVVTVEALEPVNRSI >CAK8542663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535654603:535654986:1 gene:gene-LATHSAT_LOCUS11483 transcript:rna-LATHSAT_LOCUS11483 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTTEMESLIPKPTRYLRRRRYQRLDADGAAAGDGKKAKVIRLRRRQWRMRVVPRLTWAIRSPLKMWTKLKNTYEKFMLRSMNSETTFGNQKTRDVSKDYSRDAFEARLIFEISKALVASHELNSK >CAK8570336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37603257:37611284:-1 gene:gene-LATHSAT_LOCUS23091 transcript:rna-LATHSAT_LOCUS23091-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPCDLGTPNSQPVVEQTDELQASCAPKNTNKKRKSPGLTTPLKKSSRSNKQRKKASVNGGKGSALPEVYRKIDLRLEEKLSAEENSKMFAGRQIHPFFSSWKVEKKSRDSAGSEGCLSDAKRESGRTICGPVHVFEDIQDYTSSFDWSDWEFLGNTTAVDCGPESSNLSVMEVSVEPLSFDNFLSGVKPSSTSISQNALSYSDKLSTLSENMREMSPENSAVLANKQATCTLKPEDAIVDLEMDDNSNESGQACIFGKSDIELPSMFLRERMSSFCHSCEDKAESSLWIHKYKPTKASEVCGNRESLKFLRDWLHLWHERRYKNRKGSSNKDHLDILNVDSDYNCPDRDYASKDEGSLKNVLLITGPVGSGKSAAVYACAQEQGFDVLELNASDCRNGAAVKQYFGDALGSHGVKRLVKHSVSLEKKTVKLLPAPASPDVKAAEEMADDVIEMIAISDDGAHSPVGTSQKLHDINDTLTSDTVQTLILVEDVDILFPEDRGCIAAIQHIAETAKGPIILTSNSYNAGLPVNLCRQRVSFSLPLPDELLCHLFKVCGTEVNFNPLLLEKFIHSCDRDIRKTIMHLQFWLLNKKYSTDKKVQTLYGSLPFDLEAGHKILPKIIPWSFPSELSKLIENEVSKSITAENNSSWEGLVNEEFCINDQKNSLDVQGTGTGYLEPKVEVMKRTGPITDCSELDSQYSAITELSNCSGSPVTFSLQKDQGQLFVMSSDDMDKDPNNRHSLDVHDVAYTRQTHEGNTESFFKFLLNQSYSNMSFCELLRSSLEDSEEEHCKYLETTYDACLNKTHKSPDLAQFPEPSFVSETTIQNRIETKSGVESSGQLACPVGVSLDDELKPFSSRVFQRLAEVPQDPDLLVNAEIPKFSPRTTSQDFTDVNMEIASASVCNTMDKCSQTDLKLESKSVDCPSIEIDMVQNSWRKLRDCRTDLRQHATSEQIGAIEVVKLASGLSNLISEADLLFRNHQQKQCGIMEPPIFLADDATFSWYDEQMMMSTVAEHGFCFYAKRIVDAGSKFGFENRVDVASEMLASTTNIMALGKLSRQDHTKTIHNFTKELLEMNNTRNDKKSMQYNESRTSLFNVIRSIVPKRSSSAIKGIAFNEFLSSLRQISISEGFRMSQGVKNMRKGRRSAQHYFSRGKMMSQEDISLICEGDLYRKISSQYAANMENNST >CAK8570335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37603257:37611284:-1 gene:gene-LATHSAT_LOCUS23091 transcript:rna-LATHSAT_LOCUS23091 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPCDLGTPNSQPVVEQTDELQASCAPKNTNKKRKSPGLTTPLKKSSRSNKQRKKASVNGGKGSALPEVYRKIDLRLEEKLSAEENSKMFAGRQIHPFFSSWKVEKKSRDSAGSEGCLSDAKRESGRTICGPVHVFEDIQDYTSSFDWSDWEFLGNTTAVDCGPESSNLSVMEVSVEPLSFDNFLSGVKPSSTSISQNALSYSDKLSTLSENMREMSPENSAVLANKQATCTLKPEDAIVDLEMDDNSNESGQACIFGKSDIELPSMFLRERMSSFCHSCEDKAESSLWIHKYKPTKASEVCGNRESLKFLRDWLHLWHERRYKNRKGSSNKDHLDILNVDSDYNCPDRDYASKDEGSLKNVLLITGPVGSGKSAAVYACAQEQGFDVLELNASDCRNGAAVKQYFGDALGSHGVKRLVKHSVSLEKKTVKLLPAPASPDVKAAEEMADDVIEMIAISDDGAHSPVGTSQKLHDINDTLTSDTVQTLILVEDVDILFPEDRGCIAAIQHIAETAKGPIILTSNSYNAGLPVNLCRQRVSFSLPLPDELLCHLFKVCGTEVNFNPLLLEKFIHSCDRDIRKTIMHLQFWLLNKKYSTDKKVQTLYGSLPFDLEAGHKILPKIIPWSFPSELSKLIENEVSKSITAENNSSWEGLVNEEFCINDQKNSLDVQGTGTGYLEPKVEVMKRTGPITDCSELDSQYSAITELSNCSGSPVTFSLQKDQGQLFVMSSDDMDKDPNNRHSLDVHDVAYTRQTHEGNTESFFKFLLNQSYSNMSFCELLRSSLEDSEEEHCKYLETTYDACLNKTHKSPDLAQFPEPSFVSETTIQNRIETKSGVESSGQLACPVGVSLDDELKPFSSRVFQRLAEVPQDPDLLVNAEIPKFSPRTTSQDFTDVNMEIASASVCNTMDKCSQTDLKLESKSVDCPSIEIDMVQNSWRKLRDCRTDLRQHATSEQIGAIEVVKLASGLSNLISEADLLFRNHQQKQCGIMEPPIFLADDATFSWYDEQMMMSTVAEHGFCFYAKRIVDAGSKFGFENRVDVASEMLASTTNIMALGKLSRQDHTKTIHNFTKELLEMNNTRNDKKRTSLFNVIRSIVPKRSSSAIKGIAFNEFLSSLRQISISEGFRMSQGVKNMRKGRRSAQHYFSRGKMMSQEDISLICEGDLYRKISSQYAANMENNST >CAK8540850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25594392:25598261:-1 gene:gene-LATHSAT_LOCUS9815 transcript:rna-LATHSAT_LOCUS9815 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPTRVFINFLCFVFFLFWSCSCSNALLSSKGVNFEVQALMDIKEFLVDPHGVLDNWDGDAVDPCSWTMVTCSNENLVIGLGTPSQSLSGTLSPSIGNLTNLQIVLLQNNNITGSIPSELGKLPKLQTLDLSNNFFRGEIPPSLGHLKSLQYLRFNNNSLVGECPESLANMTQLSFLDLSYNNLSGPVPRILAKSFSIVGNPLVCATGNEPNCHGMTLMPISMNLNNTNLDVLPSSKPKSHKMAIVFGLSLGCLCLIVIGFGLVLWWRHRHNQQPFFDVKDRHHEEVYLGNLKRFPFRELQVATHNFSNKNLVGKGGFGNVYKGILSDGTAVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTSSERLLVYPYMCNGSVASRLKGKPVLDWGTRKNIALGAARGLLYLHEQCDPKIIHRDVKAANILLDNYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLELLVDKDLKTNYDKIELEEMVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAERWEASQRTDTSKWKHHESSLSDRYSDLTDDSVLLVQAMELSGPR >CAK8564884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14904482:14905432:-1 gene:gene-LATHSAT_LOCUS18140 transcript:rna-LATHSAT_LOCUS18140 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFDFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQHIQKCDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVESVIVKKKKGEQPQNTFTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8572284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526515049:526516422:1 gene:gene-LATHSAT_LOCUS24866 transcript:rna-LATHSAT_LOCUS24866 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSTEFLSHALYFRTHPNRVYCHSNPNSIVTCSTPLLNEGNTNKGRTGINQPQIRVNETKPKLDQNYDFRDTDSLKTLYRLYKSAMYDEALYSLQHTVNRGYKPDVILCTKLIKGFFYSKKIEKAIQVMEILEKHGEPDVFAYNTVMNLLCKADRVDAANKVFDRMKKRGFLPDIVTYNILLRNLCGKGKLDLALKVMDQLLKDNCRPNVKTYTILIEATIIEGSIDQATKLLDQMLSRGLRPDVYAYNVVVTGMCRQGLLDRAFLCKNGHADEAFNILEKLDEVGCPRNADSYNTLFCALWRIGDKIRALGMILEMLSKGIDPNMITYNSLISYLCRDGLVDQAIELLVDMMESRKFQPIVISYNTVILGLCKVGRIIDAIEVLAAMNQRGCLPNETTYLLLVKGIGFGGWQNDAMELANSLVNMDAISEDSFKHLNKAFPVFDAHKACNIRMN >CAK8567722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539011641:539012894:-1 gene:gene-LATHSAT_LOCUS20745 transcript:rna-LATHSAT_LOCUS20745 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKQEPPKEESKPEETPVEEKKEEQPEEEKEPKPPSPCVLFVDLHCMGCAKKIEKYIMKIRGVEGVLIDMAKNEVLIKGIVEPQAICNIITKKTKRMSKVISPLPEAEGEPIPEVVTSQVSEPVTVELNVKMHCEACAEQLKGKILKMKGVQKVETDLSTGKVTVTGTMDANNLVEYVYRRTKKQAKIVPQPEPEPEPEKKEEEKPAEAEEAKPEEKKEEGGEEDSKNESKEVENGVDDEEGMMKRMMYYNYYQPYYVVERMPPPPQLFSDENPNACCIS >CAK8579779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722472738:722473707:-1 gene:gene-LATHSAT_LOCUS31696 transcript:rna-LATHSAT_LOCUS31696 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVSRTGRDLQRYDDDGCRQIVGCIPYRYMRKGEHEKELEVLVISTQNGNGMQFPKGGWEINESMEQAALRETIEEAGVMGNIESKLGKWSYKSKRQDAVHEGYMFSLLVKKQLENWPEKNFRQRIWMSVSEAKEVCPHTWMKEALDILVSTQPQY >CAK8531886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171745557:171745823:-1 gene:gene-LATHSAT_LOCUS1647 transcript:rna-LATHSAT_LOCUS1647 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFYNEIKGKKVTEIPKHVKPMFSLGYLKDSVKRGLDNYSEKYIETDSIQPLLHVLYGGMIFSYLVALPNERRHLAHKEHAESHH >CAK8539154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505620834:505621496:1 gene:gene-LATHSAT_LOCUS8274 transcript:rna-LATHSAT_LOCUS8274 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIAGNGSAVSWMQFGRKEKKQNKMNRVRVCCSSSVTDPYKILRIQPDASESDVRKAFRQLALQYHPDICKGSDCGVQFHLINEAYDVAMANLREETRKSEECKKKKIYYDDEPLRGMHDPDWQYWEEWMGYEGAGITDWSSHINPFI >CAK8537685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421030122:421031689:1 gene:gene-LATHSAT_LOCUS6951 transcript:rna-LATHSAT_LOCUS6951 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSLILCVLAIWTLDLAQGGSSTHHQAPTPSLDCTNLVLTMADCLSFVTNGSTTTKPEGNCCSGLKSVLKTAPSCLCEAFKSSAQFGVVLNVTKATSLPAACKISAPSATKCGLSETPTSAPAVAPVGGLSPQSSATSPTSSGSSSSTLNGPGNELSPAPTPSLGSTASELFPISMRSSLVCLLVAIISLF >CAK8566174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387564264:387564494:-1 gene:gene-LATHSAT_LOCUS19332 transcript:rna-LATHSAT_LOCUS19332 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHAYGGNFDYSYDNVDINNSTTEIFSDPHPNLATRLQRRASIREKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8531603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:129547534:129549390:-1 gene:gene-LATHSAT_LOCUS1381 transcript:rna-LATHSAT_LOCUS1381 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIGFQKSFISPNVRSSALYFHRFQFHHHCGKIDFSFSVARVQHFKHKNSCYKGALVACLSNKRIFSSNARSHVPDNPLKRFGNGGGMLKFTSEEKHLVLQAPSLQHWFKNWQNLRKQKLTASTFAAAIGFWRRRRSQLWLEKIGAIEPFSGNLATCWSNIKEEDALERYKLITGNNVLFPEFQVYNAKPEDSWLAASPDGIVDRLVYELPSHGVLEVKCPYFGGDMSKAFPWSRIPVHYIPQAQGLMEILGRDWMDFYVWTINGSSLFRIHRDPEYWDIMKIALSDFWLKHVQPARELYSSGIITDPLFQLRSLAPAPRHELCRDIVYKSKVIVDNSKFLIREIHGKMIN >CAK8531538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122225167:122227219:1 gene:gene-LATHSAT_LOCUS1322 transcript:rna-LATHSAT_LOCUS1322 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSGSGSSEKSSNEVNELLTFNAENMQSNMKIIYYSRTFLSIIGGVVAGILGFTGLYGFVFYLLLMALTSVGLIVKSKFSIHTYFDSWNRVLVDGFLGGLMSFVLFWTFAYDIAHIF >CAK8542139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:477863143:477863636:-1 gene:gene-LATHSAT_LOCUS11006 transcript:rna-LATHSAT_LOCUS11006 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMVIYLALTCLVLSAPLANAAQSCGQVQLTVAPCIGYLRRPGPSVPAPCCNGVRTVFNLAKTISDRQANCRCLKSTSLSLPGLNLPALAALPGKCGINVPYKISPTIDCNKVTY >CAK8568526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614002852:614003307:1 gene:gene-LATHSAT_LOCUS21474 transcript:rna-LATHSAT_LOCUS21474 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIATTSTSYSISPSSSPSLSHSSWHSPIPYLFGGLAAMLGLIAFALLILACSYWRLTGQLHDEENNNGNSEKEGDSSNEKSVKVYEEKFLVIMAGDQNPTFLATPVFPKSASVMDLNSDEQLQNNEAVEKSEKETETEVAEERNQNQQQ >CAK8569636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7081061:7082584:1 gene:gene-LATHSAT_LOCUS22464 transcript:rna-LATHSAT_LOCUS22464 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRATTVAEGDGTTTVRARILPDFHQSVKLKYVKLGYHYLISNYLTLSFIPLIIITLIQFSPTQYLYHLLLVQGNYNFITFTAFIIFSFTLYLHTRPRAVYLVDFSCFRPADHLKAPFHSFIQHSRLTGDFDESSLDFQRKILLRSGLGDETYVPEAMHCIPPRPSISAARCEAESVMFGALDNLFINTNLNPKEIGILVVNCSLFNPTPSLSSMIVNKYKLRSNIMSFNLGGMGCSAGVIAIDLARDLLRVQNNNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSALLLSNKSGDRARAKYKLVHVVRTHCGADDKAFRCVYQEEDDLGKTGVSLSKDLMEIAGLSLKTNITTLGPLVLPVTQQLRFFANLVFSKFSKSDVKPYIPDFKLAIDHFCIHAGGRAVIDELEKNLDLLPVHVEPSRMTLHRFGNTSSSSIWYELSYIEAKGRVKKGNKVWQIAFGSGFKCNSAVWEAIRDVKPSPNGPWEDCIDKYPVEILP >CAK8535745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879253649:879254201:1 gene:gene-LATHSAT_LOCUS5173 transcript:rna-LATHSAT_LOCUS5173 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSNITYIMRITTSTSNQEYKITRLSELPCNLQKFTLKKKGCESGQDDPEEITVYDYFVNRQNIALAFSAALPCINVRKPNRSVYIPIEALKNMNYNSEPMIQKCGISTRM >CAK8579319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691410052:691411339:-1 gene:gene-LATHSAT_LOCUS31273 transcript:rna-LATHSAT_LOCUS31273 gene_biotype:protein_coding transcript_biotype:protein_coding MENECNWEQNTLINELIQGMDVAKRLKEELRTPYSPNTRDSQVQMILSSYEKALRILRCNESTSKSQTVTPVITSLPQSPVSTNGSPLSEDFDATFQDRKEVKNKSKKRKVMPKCIDQIRVSCESGYEGPHEDGFNWRKYGQKDILSAKHPRSYYRCTFRNTQNCWATKQVQRSDEDPTIFDITYRGKHTCSQGNNAIVPYKPQDIKKQEKPHSQINDIHHGQQSQECFTNFSNTLTVQTDNLGNKEMTRPFTFPSTSFGYTAQENQSWVPSTLENDSFLSSLFQTHLLSPTTPESNYFSSPSFHMNNFDGFYNMPRSESDIPDIISTNTSVTNSPIPNFHFPLDPVEIDPNFPFNTSGFFS >CAK8566932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473793582:473794698:1 gene:gene-LATHSAT_LOCUS20027 transcript:rna-LATHSAT_LOCUS20027 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSAPPSRPSSQLSPQDIKDQLKSQLAMEYAQQFLETVRGKCFEKCITKPSSSLSGGESSCISRCVDRYIEATGIISKALFSAQQ >CAK8543767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637993690:637995627:-1 gene:gene-LATHSAT_LOCUS12505 transcript:rna-LATHSAT_LOCUS12505 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDVKEIRTPPLTSNSDPPPIFDGDTRLYISYTCPYAQRAWITRNYKGLQDKIKLVAIDLQDRPACYKEKVYPENKVPSLEHNGRVLGESLDLINYIDVNFEGPSLVPTDPAKKEFGEQLISHVGAFTKDMYSSLKGDPIKEAGPALDHLENALTKFDDGPFFLGQFSWVDIAYIPFVERFQIVFSEVFKHDITEGRPKLAAWIEALNKIDAYTQTKAGPNEIVDIFKKLFLPQQ >CAK8544707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701460093:701460953:1 gene:gene-LATHSAT_LOCUS13362 transcript:rna-LATHSAT_LOCUS13362 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTDTNITLFLYHPIPILLSFSFSLALPSVINLFKKNIQSLLDLTTVTTAQSHSVSRSGLALATAITDKLPLPNVFESTMLNSKASINENSSRIEFLSGDVDGLMSCTESLGFESSDERRVNDRTDAKVNSDEKDEIWKRRMRMKKGESRGNCMRSFPPPIPSLNRNGKPSFYLRPVRKDGRLELTEVRIHRPDILHASRRDGRLTLHLIPDRESEEEGESEEEEEESEEEQEEEDEELEVEEEEEENMRIPTVVGNSNEGLRRCHEMVNHQHLLVHGNQIRMCV >CAK8577774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587903165:587907543:1 gene:gene-LATHSAT_LOCUS29848 transcript:rna-LATHSAT_LOCUS29848 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYESNPFAEEEVNPFAEPAVKGKTSNQSNYSGGAFYTTNPGSVPSATNSRLAPLRPEPADYNNYGFGETVDIPLDASTDLKKKEKELQSREADLKRREQDVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIATEIPVHLQKLQYVAFTTLLGLVACLLWNVIAVTAAWIKGEGVKIWFLAIIYFIAGVPGAYVLWYRPLYRAFRTESALKFGWFFMLYLLHIGFCILAAVAPPIVFKGKSLTGILSAIDVLDNHALIGIFYFIGFGLFCLETLISIWVIQQVYMYFRGSGKAAEMKRAAARGAVRAAF >CAK8533460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620416590:620416787:1 gene:gene-LATHSAT_LOCUS3083 transcript:rna-LATHSAT_LOCUS3083 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >CAK8543129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580878245:580878577:1 gene:gene-LATHSAT_LOCUS11911 transcript:rna-LATHSAT_LOCUS11911 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLDLPVIGNIFTWVKSNGSCRSRIVKFLMTEGLIARWDVKVQRVEHRDILDHCPIRIPNSKKNWGPKPFKVFNRWFNHPKFVEFVASSWQGLQVEGSPTFILAERLRS >CAK8530107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124107:141508:1 gene:gene-LATHSAT_LOCUS1 transcript:rna-LATHSAT_LOCUS1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQQQETLTLASEEREKDEPISDSDDSDDSDSDDEAQQNIQLESLRTQLADNPSNYDAHLQYINILRKTADVDRLTKARELMTQIFPLTPTIWQQWIKDELSFNTASSPEAFSRVLQLYERATFDYLSVSLWCDYLDFVQEFDPMVHQCSPAGISKARDLFERALTAAGLHVAQGTQIWEAYRLYEHAILLTMDESDGQAKEKQIQQIRSLFHRQLSIPLADMNSTLIAYKSWEVELGNFHDVSTDLIDSYPHVASAYKKALEMYNARVHLEERISSQDISDSERLQQYMNYLKFEQSSGTPARIQVLYERAITDFPLSPELWLDYTRYLDKTLKVGKIVSNVYSRATKNCPWVGELWVRFMLSLERGHASEKDLAEIFEKSLRCTFSTLDEYLDLFLTRVDGLRRRITFTRGEDPLEYKTIRDTFERASNYLSPYMKNTNDLLHLHAYWAHLETKLGQDITAARGVWESFLKTCGSMLEAWTGYIAMELELGHINEARSIYKRCYSKRFSGTGSEDICNSWLRFEREFGKLEDFDHALQKVTPRLEELRLFRLQQESKSVEESENNSKRNVRDKRKLGSDIADEQSPAKRKKDAGRKVTKAPEDNKYQVKNSPQVTKVEGANKKKGKSDDNPSEQKLIVGKHRAYSDQCTAFISNIHPTANDEHIRDFFRDVGGIVAIRILHDKFTGKSRGLAYVDFLDDEHLVAAVAKNKNTLLGKKLSIARSDPKRGGKETFDPKNTEHAHGTNHSDKKADDSFKREVKDAKLSSKKPGSNNIEFKGKNTFAVPRNVRPLGYTANKPKAEEGDEKPKSNDEFRKMFIR >CAK8537070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:76803084:76803827:-1 gene:gene-LATHSAT_LOCUS6386 transcript:rna-LATHSAT_LOCUS6386 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNIFYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTPFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8573858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642765264:642765609:1 gene:gene-LATHSAT_LOCUS26258 transcript:rna-LATHSAT_LOCUS26258 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHFDASASASEPVGYPGGPYDTSLLVKYEHHVARHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSILASL >CAK8530408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19377415:19377945:1 gene:gene-LATHSAT_LOCUS275 transcript:rna-LATHSAT_LOCUS275 gene_biotype:protein_coding transcript_biotype:protein_coding MISIFAPLMIVLATLTHTTHAQNSPQDYLNAHNRARSEVGVGPITWDANVASFAKNYVNKLKGSCQLVHSGGQYGENLAWGSPDLTATAAVDMWIDEIQNYDYDSNSCFNGECLHYTQVVWRDSVRLGCARVKCSDGRSTIVSCNYDPPGNFIGERPFENSPFEIPLSFRKHDDDK >CAK8535179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820909929:820912058:1 gene:gene-LATHSAT_LOCUS4653 transcript:rna-LATHSAT_LOCUS4653 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPQPFLSPVCKVGPYPLDIIATAASLHFGHRLSHHLRFEFLLRSCFKKLAPVLRLKDKWSQRFLHHKGLNYLQFSSDGLTSTCSTSFSFFNGGGPQAHFGNGDSNMSRKNPFSGRKLTNILLAANVLVYFAQFATQGSLLLWGAKVNSLIDKGQLWRLVTSSFLHANIGHLMVNCYSLNSVGPTVESFSGPRRYLAVYFASSIASAAMSYRFCKMPAVGASGAIFGLVGSIAVFVLRHKDLVGGGRKDLLHIAQVIALNMVIGLSSNGIDNWGHLGGLIGGIAASWFIGPAWKHESTTIGGRRLFIDRAPMYNLLKITRPKQWK >CAK8543015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:566319908:566321233:1 gene:gene-LATHSAT_LOCUS11802 transcript:rna-LATHSAT_LOCUS11802 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRMAVAIDQQHHGFKPFSRSERCKLQSFGHLDYNNFLELNQTSFLAFQAENIHRSFSTPCLPITGVEDLNPPHPRIEIVRGSGAPVHALVVEVAVAMASGIQPIPLPSGLGGAYVFPNTNGNNIAVAKPVDEEPFAFNSLKEFKSNNHEMLVQPDLKRSIQIGETGIRELAAYLLDHGGFSGVPPTALVKFCHATFSDSSATVKLASLQRFVGHGFDAGELGPSFFSVDSVHKIGILDIRLMNLDRHAGNMLVMKHDHNSFVNGVATTDLVPIDHGFCLPEWLEDPYFEWLHWPQASIPFSESELDYISKLDPSKDADILRSEVPSLRESSIRVLIVCTIFLKQAAVAGLCLADIAQMMTREFCGGEESSSELENICLKVKASINNKNVEDKDEGETNTNCGIGIISFGDMENAEWELFLEIFDKFVIGVFEDKKCKN >CAK8565819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:338751955:338753136:1 gene:gene-LATHSAT_LOCUS19000 transcript:rna-LATHSAT_LOCUS19000 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAVIATKKTSKVRKQLHIHHDIAFSILSKLSIKPLKRFECVCKSWSLLSANRYFMSHYRNSFLTKYHSYYDDASFLPIQRFNIFHNHRFELYSLYEEKFASNVKIDWPYLICFPRIVGCGSVHGILCFSIMIKNDIILCNPSTKDYKVIPLSSNHHECYRRGYSNSGFGYDCVEDDYKVMCIYHLQNEPIEDLYLDPFIWEIFSLRNNSWKKLDVDIKYNPNFWSEEQLYIDGFSHRVCQIEEYGYKTYVLSFDWHREIFTTTLIPFDIDDIPDFLFRRTNLVILNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLEFPIGMGKKSDMLFKKKDGGVVWFDLITQKTTDLSISNKACSNIIIHKENPISLLAYVGKSI >CAK8540846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25538972:25539607:-1 gene:gene-LATHSAT_LOCUS9812 transcript:rna-LATHSAT_LOCUS9812 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNPSHLGKMFMDFMNDGMDEELVKLYVESHAQEECESSSRPRRQRRNIERNREEGHERLFNDYFSETPVYTDEQFRRRYRMHTHVFLRIVEALGQHDEYFQLKIDATGRSSLSPLQKCIIVIHMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVISLFGSQYLRKPTTEEIECLLHMGETRGFLGMLGSIDCMHWEWKNCPVALKG >CAK8561091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91843875:91844411:1 gene:gene-LATHSAT_LOCUS14712 transcript:rna-LATHSAT_LOCUS14712 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAINSILKATRNLPITALVQSTYYRMSSLFGKRGHKWTKMLSSGKVFADDCNKGMAEEVAKANTHNIMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRLCDCGKFQTFHLPCSHVIAACSSIRQDYTIHIPEVFTVLNIFKVYKESFMGLLHEENWQNMKVSLCATTIQ >CAK8570300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34897439:34901809:-1 gene:gene-LATHSAT_LOCUS23062 transcript:rna-LATHSAT_LOCUS23062 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSMDNDSCHDCTLIKIDSVNKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDIKIIDFIEKALGAKGRKSTEGVKSWSGKRVGVHSVGDHTAIELIGKDRPGLLSEISAVLASLQFNVIAAEVWTHNRRIACVLYVNDATNQAMDNDSKRLSIIEEQLNHILRGCEDDEKVARSSFSMGFTFTHMDRRLHQMLFADRDYESAGVTTTNVDCPPSFRPKIRIERIEEKGYSVVSVKCKDRAKLMFDIVCTLTDMEYVVFHASISSEGPYASQEYFIRHVDGCTLDTEGEKERVVKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVCRAGVSTIGEKGLNVFYVRDAYGNPVDMNIIDALRKEIGQTVMVNVKKVPANTKAPETSRGWARVSFFFGNLLERFLN >CAK8534034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:683634257:683640684:-1 gene:gene-LATHSAT_LOCUS3609 transcript:rna-LATHSAT_LOCUS3609 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKEGEVRKSKEGGSISIILRYSDWIDVVLMLMGGLGAIGDGMSTNVLLLFASRIMNSLGYNNNSDTNSMNEVEKCSLYFVYLGLTTMLVAFLEGYCWSKTSERQVLRIRYKYLEAVLRQEVGFFDSQETNTSEIINSISKDTNLIQEVLSEKVPLFLMQSSSFISGIAFATYFSWRLALVAFPTLLLLIIPGMIYGKYLIYLSKSSTKEYGKANAIVQQALSSIKTVYSFTAEKRTMEKYSEILDRTSKLGIKQGIAKGLAVGSIGLSFAIWGFLAWYGSHLVMYKGESGGRIYAAGICFIMSGLSLGVVLPDLKYFTEVSIAASRIFAMIDRTPEIDSEDTTKGITLQTINGKLNFEHVKFTYPSRQETVVLCDFNLQIEAGKTVALVGASGSGKSTVIALVQRFYDANEGVVKVDGFDIKSLQLKWLRSKMGLVSQEHAMFGTSIKENIMFGKNDATVDEIVAAATAANAHNFIRQLPDGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESELLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVSNGCIIETGTHNELINTPNGHYAKLAKLQTQLSINDDQDQIQEQQTILLSAARTSAGRTSTAKSSPKSPLPNDVNPITVSKISHSSPSFSRLLFLNSPEWKQGLIGTLSAIAFGSIQPLYALTIGGMISAFFAKSHQEMKHRIMNYSLIFTSLSVASITLNLIQHYNFAYMGAKLTKRIRLRMLEKILTFETAWFDEEKNSSGALCSRLSNEASMVKSLVADRVCLLVQTTSSVTIAMIIGLVVAWKLALVMIAVQPLTILCFYTRKVLLSTLSTKFVKAQNQSTQIAVEAVYNHRIVTSFGSITKVLRLFDEAQEAPRMEARKKSWLAGIGMGSAQCVTFMCWALDFWYGGKLVEKREISSGDVFKTFFVLVSTGKVIAEAGSMTSDLAKSSTAVASVFEILDRQSLIPKAGEENNGIKLEKMSGKIELKNVDFSYPSRAKTLILNKFCLEVKAGKSIGLVGKSGCGKSTVIALIQRFYDVERGSVKIDNVDLRELDIHWYRQQTSLVSQEPVIYSGTIRDNILFGKQDANENEVVEAARSANAHDFISSLKDGYETQCGERGVQLSGGQKQRIAIARAIIRNPIILLLDEATSALDVQSEQVVQEALDRIMVGRTTIVVAHRLNTIKELDSIAYVLEGKIVEQGSYSQLKHKRGAFFNLANHQIQT >CAK8543710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633057791:633062394:-1 gene:gene-LATHSAT_LOCUS12451 transcript:rna-LATHSAT_LOCUS12451 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIPRNRDDTSNSKRSNVFNLVARREISPRTKHAARDARRGLLSWVEADSLRHLSAKYCPLLPAPRSTIAAAFSPDGKVLASTHGDHTVKIIDCETGRCLKVLMGHMRTPWVVRFHPLHPHILASGSLDQEVRLWDANTSECITSHHFYRPIASIAFHAKGEIIAVASGHKLYIWHYDKKSEASYSPIFVLKTRRSLRAVHFHPHAAPYLLTAEVNDLDSSDSSMTEATSIGYLEYPPPAVFVTNIQPTEHVTLSSEPPNASSTFFFVPSLTVDESREELQRVSHDDGSGRMQIESPAVVQFQADTNLTEQCDTSSPMDTVSEIPTNSQPGIEYPTHSSFSNGMGIGIRNLTMDGMETDETRPLEGRQHGNVTDVSSLNGMLHGLSGQTANHGVHSEFGQFHQFVSSRDTSGWELPFLQGWLMGQSQVGIPTPHSHPGVSRGTLAQQISSTATANTLPTSNVDTEMPSTAMSGGINIPRSSIRSGLRSQFSQLHRPVSESRNLAASTNTPHDGSDIQTIMSRIQSELATSVAAAAATELPCTVKLRVWSHDIKNPCSPLNSDRCRLIIPHAVLCSEMGAHFSPCGRFLAACVACMLPHIEADPGLQTPVHQEPGVATSPTRHPLSAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFPGGGLVYGTKEGKLRILHYDGAHSVNGNGPSYYPEETVVGFSQ >CAK8578410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627239154:627240754:1 gene:gene-LATHSAT_LOCUS30423 transcript:rna-LATHSAT_LOCUS30423 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVEDKGCYSSNHGSVAQDTHKGKDVTTISTSTTATTAAAGARVSNHHRINMGKPTPSKWDDAQKWLVGLSKGGEKSQSKSKPRNSNADDLRLIAPVPQKEDDEDGCREFMTTTSTEYVEEETKKVECEESIWRMNNKDTIHVQSICFRDMGTEMTPIASQEPSRTTTPIRATTPTTRSPIHSGTSTPMRNQNGFLQMEPASGNGSTATRQCGEGSSPCNVVEKNMKIDDQARKMGPLECRAMAWDEAERAKYTARFKREEMKIQAWENHQIRKAEMEMKKMEVRAERMKAVAQEKLTNKMAATRRIAEEKRANAQVKLNDNALKTTEKVEYIRRTGHVPSSFSFTFKLPSICW >CAK8539586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517101771:517103167:-1 gene:gene-LATHSAT_LOCUS8668 transcript:rna-LATHSAT_LOCUS8668 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVPPIYMPELGFEDLSFFHQYPMDSLPSPFDNFDFNYDNNYETTPNCLPLETQPDNHYVAQTRPTKKIKTSINPSSYSSPQLISFEHFNATPVASNELYNLDYSDVKPKLEKGCNENKDFAANYDIRANQTRNTAQAKEHVMAERKRREKLTRSFIALSAILPGLKKMDKASVLGDAIMYMKKLQARLHTLEAQVEDNKKTGSAIQVKRSVIFSTDDHDDDSNSNNSNNQTLPEIEVRVSRKDVLIKIQCDKHSGRATTSTVLGKLETLNLTVQSSNLLPFGNNIVDLTIVAQMNEENCVTAKDLLGSIRHALITNK >CAK8578925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662283174:662283521:-1 gene:gene-LATHSAT_LOCUS30903 transcript:rna-LATHSAT_LOCUS30903 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRQVYYVPYPSIQRGKQDWCVAIKSNPIGHIETDEIIEDLAYQVNEMSQNSNVIEVEQLISLCDNEVEGQQVDASIFLSANNMDGDDEELESEDSIESNEDNEYFEDTQFE >CAK8565278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:96320817:96321356:1 gene:gene-LATHSAT_LOCUS18503 transcript:rna-LATHSAT_LOCUS18503 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLWSLIVVFLLCLTCSYAAKVIDVDTICKNVTNHSFCSNLLNSKFGASGDLVSLTQYAIDVLRANVTNTVNLINKIISQSGGNFNLTYHYNMCLIHFDIRKGALGSVEYAEELFKMGNYIAMIPTMESIAFNAWECLSGDTPSEPPYHDTSLLPIYADIVMVVANIVHSILNYLTQV >CAK8541015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44049244:44049654:-1 gene:gene-LATHSAT_LOCUS9968 transcript:rna-LATHSAT_LOCUS9968 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLVEVMLETHHSLCTWHLLQNVIKHLGNLMKGGSYFLRDFKKCMYDIDIEADFETTWINLINDYNVHENSWIKSVYAIKKKWASCYMKETLTPGMRSTQISESLNAHFKSCMKSNVGIIQFFKHFERVVEEKR >CAK8542331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502246537:502249569:1 gene:gene-LATHSAT_LOCUS11177 transcript:rna-LATHSAT_LOCUS11177 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLKLGVEVIGAHDLVAKDGQGSSTTFVELQFDDQKFRTTTKYKDLSPIWNEIFYFNITDPSMLPSLNLDACIYHFNNKNNGSKTPIGKVRLTGTSFVSYSDAVVLHYPLEKKGFFSGTKGELGLKVFVTADPSLRASNPLPAMQEPIFDGFINNTDENVTQDQIPVPASFTSQILNNVLRKKNESVHTFHNLPKSNDGKEKKSSSVTFGMQEMKSGQSAPKTVKAFTGTAMDYVLKETSPSLGGGKVVGGRVIRGSNKLPSSTYDLVEPMEYLFARVVKARDLPRMDLTGSLDPYVVVKVGNYKGTTTHFEKNQSPEWNQVFAFAKDNQQATTLEVIVKDKDTIHDDLVGTVRFDLYDVPIRVPPNSPLAPQWYRIVNKNGEMMNNGEIMLAVWHGTQADEAFPDAWHSDAMSPTGSFSANYAQIRSKVYTSPRLWYLRVKVIEAQDLVSPDDKSKAVDAYVKVQHGNQVFKTKTVQSRANNPQWDQSTLFVAAEPFEEPLIITVEDKNEIIGSIVIPLGSVEKRADDRSIRSRWYPLARSMSSAMEEGEKKMKDKERNRFASRIHVSVFLDGGYHVLDESTYYSSDLRPTSRQLWKKPIGVLELGILNADVQPTKTRDGRGVSDVYCVAKYGHKWVRTRTVVGSLNPKFNEQYTWEVHDPSTVLTLGVFDNGQLNDSDDSKDSKIGKVRIRLSTLETGRIYTHSYPLLSLQSSGLKKMGEVHLAIRFSCTSMTNMINLYFKPHLPKMHYTKPLNIFEQEKMKLQAMIIVVARLSRTEPPLRKEVVEYMSDTDSHLWSMRRSKANINRLKSVFSGLISVGSWLMEISTWKNSVTTVLVHILYMMLVCFPQLILPTMFLYMFIIGLWKWRFRPRYPPHMDTKLSCTDVTNPDEFDEEFDLFPTKKSQDIVRWRYDRLRILAGKVQSVVGDIATQGERLHALLNWRDPRATTIFMLFCFVAAIVLYVTPSQLLFLSVGFYLMRHPKLRGKLPPAPVNFFRRLPALTDSML >CAK8540513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9653164:9654295:1 gene:gene-LATHSAT_LOCUS9513 transcript:rna-LATHSAT_LOCUS9513 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHNTLALTFGMLGNIISFLVFLAPISTFYRIYKKKSTEGFQSLPYLVALFSSMLWLYYALLKKDAFLLITINSFGCVVETIYIILYIIYAPRDARNLTLKLLSAMNVGSFALILLVANYAVHGSVRVQVLGWICVSLSVSVFAAPLSIVAQVVRTKSVEFMPFNLSFTLTLSATMWFGYGLFLKDICIALPNVLGFGLGLVQMLVYAIYRNRGEKAIKKEKKIPIEPLKSIVVESNVEKIEQEKKNKGDEKKDETEDPIACGV >CAK8569524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4194542:4195807:1 gene:gene-LATHSAT_LOCUS22367 transcript:rna-LATHSAT_LOCUS22367 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIVLTSNLRHEINAPSSVTLPEELIVEVLSRLNINAPSSVTLPEELIVEVLSRLNVKSVTRLKCVSKCWNSIISDSFFAKMHVDNSSRISHIMLYSSHVLNTSISVSFNIFPISGFLENPSINILKEDSKYQLTKLCHREGLIGSCNGLVCVLNSSENGFYVWNPATTQPFSENVVSYPLPLRHEKIYYRFSFGYDNLSNKYKLVMFSLEEVRVFTIGDNVWKNIQCFPVYPYSSKIFIDRIGGDGVYVSNSLNWFALLDNHGYYNNQDLNVEQLAIISLDLGTETYTKFQFPRDIDEIPSLHPTVCKLMDSLCFHLYTKEDSLVIWRMAEFGVEKSWTKLLKLDYHSLPDCYRIILYLLPLHIFENGDLLAYVSDIGEAGELIRYNMRDKRVVGKSIFCKNIYLYHVIPYVESLVSTF >CAK8569525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4194545:4195807:1 gene:gene-LATHSAT_LOCUS22367 transcript:rna-LATHSAT_LOCUS22367-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLTSNLRHEINAPSSVTLPEELIVEVLSRLNINAPSSVTLPEELIVEVLSRLNVKSVTRLKCVSKCWNSIISDSFFAKMHVDNSSRISHIMLYSSHVLNTSISVSFNIFPISGFLENPSINILKEDSKYQLTKLCHREGLIGSCNGLVCVLNSSENGFYVWNPATTQPFSENVVSYPLPLRHEKIYYRFSFGYDNLSNKYKLVMFSLEEVRVFTIGDNVWKNIQCFPVYPYSSKIFIDRIGGDGVYVSNSLNWFALLDNHGYYNNQDLNVEQLAIISLDLGTETYTKFQFPRDIDEIPSLHPTVCKLMDSLCFHLYTKEDSLVIWRMAEFGVEKSWTKLLKLDYHSLPDCYRIILYLLPLHIFENGDLLAYVSDIGEAGELIRYNMRDKRVVGKSIFCKNIYLYHVIPYVESLVSTF >CAK8543844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643521468:643523043:-1 gene:gene-LATHSAT_LOCUS12570 transcript:rna-LATHSAT_LOCUS12570 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGQGGIQQLLAAEHEAQAIVNAAKNEKYARLKQAKEEAEREIAEQRTLLENQFQQKLSASSGDSGANVKRLEQETDKKIHELKTEAARISGDVVSLLLKYVTTVKN >CAK8536666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6390495:6391037:1 gene:gene-LATHSAT_LOCUS6005 transcript:rna-LATHSAT_LOCUS6005 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTKVKKGAGGRKGGPRKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRYGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAIRNDQELGKLLAGVTIAYGGVLPNINPVLLPKRKESAASAPKSPSKAKKTPKKA >CAK8563714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626577992:626578832:-1 gene:gene-LATHSAT_LOCUS17093 transcript:rna-LATHSAT_LOCUS17093 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLVAVLIFSQVAALNYKVIILDEVHERSVESDLVLVCVKQFLLKNNDLRVVLMSATADISRYRDYFRDLGRGERVEVLAIPSSNQHMVFQRSVSYVDQVAESLGISSEIMHSKYSSCLDLSKAVNAHKFGSCIDLFAGGCTELQGYYS >CAK8563307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591695400:591706399:-1 gene:gene-LATHSAT_LOCUS16732 transcript:rna-LATHSAT_LOCUS16732 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDFVFFGTTIEREEDSISRKKKAVAESSGQLRTLPAWKQEVRDDEGRRRFHGAFTGGFSAGYYNSVGSKEGWAPQTFVSSRKSRAEFKEQSILNFLDDDEKADLEGQLLGTSSQFDTFGSTAAEIARKQAEKEQKQRPSIIPGPAPDELVIPATESVGVKLLMKMGWSRGRSIKDSHADALYDARRQARKAFLAFSSDDTKVKVTESEPTKDDIGNFPEQPVNNDAQSSKSTPVYVLNPKQDLYGLGFDPYKHAPEFREMKRSRVSSKAGSGHSNNFSKRDSLFGFKSGKAAPGFGIGALEELDAEDEDVYATGYEFEEAYVQEEVEEPSMLALENTKKKDQKDQGNLPGFRVASSSDYRMERFEAPLIPKDFVPRHSFSGPREINSQNYEVPPPDVPPPEDCNLKLLIEGVANLVAKCGKLYEDLSRDKNQSNPLFNFLSGGTGHDYYARKLWEAQQKCNGHNRVQLDGKMLPGVQRLTAESRGQILGEKPLQKTSEDPSSSVPSTDIQLQYNLVDTFTKSASFSELLEFEKPFKDDPAKQERFEQFIKEKYKGGLRSASSSLAGNMSEAARAQERLSFEAAADAIEKQKQSRGSKLSIPSSMDFITGGVMEFTSGALEPTKDQPAVDFKEKKMYPKREEFQWRPSPLLCKRFDLVDPYMGKPAPAPRIRSKMDSLIFTSDSVNGTKVEEPVTAKRDISTLNQSANQDINKSIAENETEEEVEVENIERPVDLYKAIFSDDSDDEGEDDTIIKVENQEKKAEVANTALSRLIAGDFLESLGKELGIEVPPDTPYPPQKSSEDASQKENEYTKPDIISGENNNVVSLKYDLPQDQYIAHAGGPSKGDARYGNMLDNRSLKTKGTSVSDSKSSKSHGEKREDDRKVKSPLVRNQDYTSSSEEERSRKRSSKLNREKYDKYRKTKTPSTTRLDYRSSSLSEEERSRKRSRHHRHRRRDVGSESSSGDERDKRSSRSKGRREKSSREKSTGKHSKHHKHRKHQSPNRSSHNSVDREDAYSRKEKRRRE >CAK8540710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16829636:16831589:1 gene:gene-LATHSAT_LOCUS9688 transcript:rna-LATHSAT_LOCUS9688 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHFLAIPYPIPGHVNPLMQLSHLLSKHGCKITFLNTDYIHKRTNNNNEKNSLQNEPTTINFVTLPDGLDAEDDRSNHKKVIFSMKRNMPLMLPKVIEEVNDLDVDNKISCIIVTFNMGWALEVGLKLGIKGVLFWTASATSLACCYRIPQLIHDGIIDSRGFPTKTQEIQLSPNMPMMNTTNFPWRAHDKILFDHISQEMSTMKLGDWWLCNTTHNLEHATFSISPKILSIGPLMSTNESNKSSFWQEDTTCLAWLDQHPPRSVIYVSFGSLAVMDQNQFNELALGLDLLNKPFLWIVRPSNDNKVNYAYPDEFVGTKGKIVGWGPQKKILNHPSVACFISHCGWNSTIEGVYCGVPFLCWSFYGDQFVNKSYICDVWKVGLELDKDENGLMTREEIKKKVEELLGDQGIQERSLKLKELTLDNIVEEGHSLKNLQNFINWAK >CAK8574945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16675932:16679585:-1 gene:gene-LATHSAT_LOCUS27242 transcript:rna-LATHSAT_LOCUS27242 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGRCDQLHYIKTIKGGLVTKVLNVFRGRPKLSFRSLADIHERESLMFDNDDGKAERLCVDVLEEGMVKTEPDVLACEADDGERFHDLDDDDEDNDDDDDDEEFSILTLKQIKASCKSRKRKRSQGLNSSKIKINIEDPSTVEDYTEKQHMAEDDPDFMETLSSLKTKLSKNTKTKKKKKKCLKDLPMSSREIVLVEQSEEILDSQEFSPSSGDSAAIVEIKFDCPENVCFDGSYDCSGRESKRDHPISSQEFVLVDEFEEVPGGQEFSPSSGDSAALVEVNFERPENYCFGGLDYSSGRESKGDHSISSQEIVLVGESEDIQGSQESSPTNGDSTALVEVNHESPEDCFDGPETCGESKEDAEIPFEWNLQNELNYKWKGFFESIPVGMVKPSSTGNVRSNSELSSNHVTNFPAIEFESHGNSNISENQLDDTDIPVSPPEVASHKNLDHTGLMVRDDNTLLDECSEDEFTAGAEVQEKPCSTIEHGLNPDGYLVCRSDDSPEYEDKQSFDSIGEDDTSTLVSPSKVTSHKDLDCAGLELRSNDTLLDNCNKNDYSGGSEDQDKLCSTTAEPGPNPDGCLICPLGDSLEYKEKQSFVSLCDGEKIHVNEATDELTSCDDREGSKLHGPERLLSTRKAISPTSQEKLCKAMDSIDIRHKNNLKCKGVLQFTEENDKKGDAEGLNDITRTEVTSIPNKTGVTLKTSKSSISLKAVPKIRNSSRSATHLGCSTLQNCSKSAISFSKQQMHDAESLAMRLTKELKSMKDIVDDMLRSEFCLNTSLRYKVNEARMAVKSATKAEEGAKRWLSFMSRDCNRFCKIMKLSDSSSSTSQDVVSPPQVVRKEKKIAFADEAGGRLCQVRIYEDDEEHLSESK >CAK8578065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604737476:604738159:1 gene:gene-LATHSAT_LOCUS30107 transcript:rna-LATHSAT_LOCUS30107 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLGARVNGISPNSLEIDIPMRANSTLKTEKESNNIDYFQRAQWLRAAMLGANDGLITVASLMIGVGAIKEDISVMLLAGFAGLVAGACSMAIGEFVSVYTQYDIEVAQMKREREANNNTNGVVDDGSTEREKLPNPFQAALASALAFSVGAVMPLLAAAFIKDHRVRMGVVAAVVSLALLVFGGVGALVGKTPVIRSCVRVLIGGWMAMAITFGFTKLIGTTGL >CAK8571902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:491507397:491507618:-1 gene:gene-LATHSAT_LOCUS24525 transcript:rna-LATHSAT_LOCUS24525 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVSNFINDDLSFNILSKLPLKSVKRFGCVCKSWSIIFENHHFMKMFRSNFISNHHSFYDDTSVLLQVTRR >CAK8562440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:491735649:491738183:-1 gene:gene-LATHSAT_LOCUS15935 transcript:rna-LATHSAT_LOCUS15935 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNGSPFKRKDEDSEGCSSLKTSSRDEDKRKRLGVGGSVSNSSSSAIVVEEDSEEDNNNNNNNTINNNRRSMVKKNNSKIFGFSVTQEQEQEESLDSENVPVTRNFFPMDQEAENMVVASSGGNGTSSCSSTFPRAHWVGVKFCQSETLGSGKSMEVSSSSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEAVTNFEPSIYDSELNSEPASSGGDHNLDLSLGNSSSKHMNNTQAFGNHTSNVANHDQSNWQNGGNINKPKLVNILPKPYNRPNNMEPYGRDLHGENEALRMLSQTHLHSSSSNDIRYGPFRDQSQMLHSFAHTHHHPPNFHFPSSSYGGRVGSDLSLSTSNHQQQQWQSGSHYLSSAAAASSGFPPQIRPPSSQTWLHKNGFHTLMRPS >CAK8578551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637361100:637361339:-1 gene:gene-LATHSAT_LOCUS30552 transcript:rna-LATHSAT_LOCUS30552 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPQRGSAAATASMRRRKTPGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVVGKLYLRKEA >CAK8571453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422221134:422222045:1 gene:gene-LATHSAT_LOCUS24118 transcript:rna-LATHSAT_LOCUS24118 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLEEQQLMVVSKDLAQQQIIKGKRTKRQRLPSPLRLAMSCNEGTSYYAHDDHDHDHDHNHKDRNSKGIEFAVIKSRNQLEEEKEEEDMANCLILLAQGRRHHRQDLHPDDDDKKSSNFYLYECKTCNRCFPSFQALGGHRASHSKPNKPNCNNNVARTFVDHHYDPTMNTILSLQGFTAATPITTITTPTTAPTKKSKVHECSICGAEFASGQALGGHMRRHRTLVNTSTTTTATATTTSLSMSIGSTKSHEAKKPRNVLKLDLNLPAPEVEQRDQSKFSFQPRENVIAFSSNSSLVDCHF >CAK8539579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516825457:516827906:1 gene:gene-LATHSAT_LOCUS8662 transcript:rna-LATHSAT_LOCUS8662 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNDLALKANLDGADLLIFPSNVLPKESRCSSNLLFFWGVFKERKANNSTNSNTQISNALESANGDTKKYRSFNLNAVPEGVDDETEEAEILGSDSKSGTRNVEKSEKDMKNTQHCSQFAAPACFTDGPGSASTAAPASFADAPGSVSTAAPASVVPPNVHLHRTGQERNTDSEKQIVQVNSKKEFTSGHMRDALSGRVQTSLAKAERYMDTTKVEASSYAASNYSLTKCVTALEEIPDISDDIYGKALKKFKDPDWREMFIAMSDDRKRGWLLRL >CAK8573677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629174998:629175309:-1 gene:gene-LATHSAT_LOCUS26092 transcript:rna-LATHSAT_LOCUS26092 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKSSIRRLVRRGGVQRIIGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRQGRTLYGFGG >CAK8538141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465683816:465684241:1 gene:gene-LATHSAT_LOCUS7374 transcript:rna-LATHSAT_LOCUS7374 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGAVRTEVRHVPDMSKNLISLTTLDLGGCKFVFGDGVLKVVKGALIVMKAHQIGRFYVLQGSTVTGTAAVSSSMSDSDETILWHMRLGHMSEKGLTMLSKRGLLSGQSTSKLEFCDFNKFCKDEGIVRHLTVKRYSETE >CAK8540470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8456036:8456570:1 gene:gene-LATHSAT_LOCUS9473 transcript:rna-LATHSAT_LOCUS9473 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTPSCGNENKFLCPKLEEQVPVPETGGTKTPKCVNEVSQSALQNKFLCPKLEEQVPVPETGGTKTPKCVNEVSQSALQNKFLCPKLEEQVPVPETGGTVDVFTISTRLDSLQVRSRQGKRWNI >CAK8531522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:119283304:119284953:1 gene:gene-LATHSAT_LOCUS1306 transcript:rna-LATHSAT_LOCUS1306 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKASVTSNSNITTMLQSDDDEMDLRRGPWTVDEDLALINYIATHGEGRWNTLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAMRYLWMPRLVERIQAAASTSVAQTTNTNNDSSNATSMVAPNNTTYDLNDNFIYNANANMMLAPTIMNTNNLCSSHVTQSFTPENSSNGTTAASSDSFGTQVSPISDLTQDYYNVPVGGNNNMNPNSDYYQQAQQFGFSDCITSPSGLFPQMDFNNSMEPNTPWIQGDNFWNVDNMLLFQQLNENM >CAK8562908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555363257:555363592:1 gene:gene-LATHSAT_LOCUS16370 transcript:rna-LATHSAT_LOCUS16370 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKERETQVYMAKLSKQAKRYEQMVECMKKVMKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEQSKGNEHNVKLIKNQEEGFFAEEHCGCVEGLKNRGHVR >CAK8532187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:217101151:217101984:1 gene:gene-LATHSAT_LOCUS1918 transcript:rna-LATHSAT_LOCUS1918 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQFQIYQQTPRNYAAWSLCQPPYESENPASFSRPPTFNGHYTSRVKWNGNKSERCNDRRRVNSNDSSETNRFTGKVRRFHFPKRRFPSGGRFSAPFAPRNTTSFIIRAKKSGGIASLVSPCATTPAILTTPRLSPSTEVVVEMAKEKWGVDGYGTMKGLIRVRSEKEDTDERENIGGELLEIEKRLSSDLSRFEMIYRNENSLENRVDEQELHITRLEEHNLTLKERIFLLEDELGELRRRVLCLETEGIRLQDFNGGDVCSEKSVGDDGDSDER >CAK8539786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525185848:525186042:1 gene:gene-LATHSAT_LOCUS8848 transcript:rna-LATHSAT_LOCUS8848 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFNIDDLLDEQPGPSSRQANPPTIHHNEDISSDSSQSTRNERLGRGYRERRTTRCGTGGHLR >CAK8542095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473280688:473281611:-1 gene:gene-LATHSAT_LOCUS10966 transcript:rna-LATHSAT_LOCUS10966 gene_biotype:protein_coding transcript_biotype:protein_coding MQCICFPKTVISKINAICRSFLWTGGSTISRKSPIAWDKVCKPAVKGGLNVLDLVAWNNMFMMKLLWNICMKTDDLWVRWIHAYYLKNEDVMNRMVKNSDSNIFKTILLQRENIGNMQDAWNEMVQAGRFIGRRVYVNLLPATPNVVWAKLILHNRARPRAIYTLLMICHGKLATKARLNRFGMVNNNKCVFCSAVETIDHLFFECTILRQAWVETLLWIGIPHTPGNWNEEKNWILNCYGGKGWKADLVRLALTETLHELWSFRNDTCFNQKNDNRNCTDRIINNIVYRGWSSPKLRPHIALLMVP >CAK8567425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513543598:513544212:1 gene:gene-LATHSAT_LOCUS20479 transcript:rna-LATHSAT_LOCUS20479 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMKKKKRTCLKSMKKKKKRTCIKSDFYLPDDCWEYVFSFIINPVDDIKKLNFKSLSLVSKQFLSITNRLIFSMKIDHLHLSYLPCFFHRFSSLNSLDLSFGSCYLDSSHAATALALRDRSTLKSLSISWIMLMDANHTTSHYIASFVSLKGLNSLKFLSSRISDDLLYSIAREALPLKTFVLQNCTGYSYQGIYALLSECY >CAK8568329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596155609:596157341:-1 gene:gene-LATHSAT_LOCUS21295 transcript:rna-LATHSAT_LOCUS21295 gene_biotype:protein_coding transcript_biotype:protein_coding METRASKKRANTKQNLQLVVPKRRRVLFSEIPDLNVRVYQTRQTLQSQINPNLKRPTTTLLPFLRNSNLDKPIYNKTNVECDHRQIIKPYVSDIDDYHRTTEKTRRPMVGYIENVQREVTTNMRGTLVDWLVKVADKYKLLPETLHLCISYIDRFLSLKSVSETNLRLVGVSSMLIASKYEEITPPNAATFCKIADNNYDLSEVLKMEIDILKSLNYEMGNPNVTTFLKRFVELACGNQKNLNLQFEYLCNYLADLSLLDYECIRFLPSIVAASVIFLARFIIQPEVHSWTPSLYECLGYKSSELKECVVILYDLYFLRRATSLKTLRNKYIKKKFKCVANLPSPLEVPTSYFEET >CAK8568330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596155609:596157341:-1 gene:gene-LATHSAT_LOCUS21295 transcript:rna-LATHSAT_LOCUS21295-2 gene_biotype:protein_coding transcript_biotype:protein_coding METRASKKRANTKQNLQLVVPKRRRVLFSEIPDLNVRVYQTRQTLQSQINPNLKRPTTTLLPFLRNSNLDKPIYNKTNVECDHRQIIKPYVSDIDDYHRTTEVIKTRRPMVGYIENVQREVTTNMRGTLVDWLVKVADKYKLLPETLHLCISYIDRFLSLKSVSETNLRLVGVSSMLIASKYEEITPPNAATFCKIADNNYDLSEVLKMEIDILKSLNYEMGNPNVTTFLKRFVELACGNQKNLNLQFEYLCNYLADLSLLDYECIRFLPSIVAASVIFLARFIIQPEVHSWTPSLYECLGYKSSELKECVVILYDLYFLRRATSLKTLRNKYIKKKFKCVANLPSPLEVPTSYFEET >CAK8574172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667645721:667646032:-1 gene:gene-LATHSAT_LOCUS26543 transcript:rna-LATHSAT_LOCUS26543 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSKKMIATQEEMVEARVPLAYRDQCAHLLIPLNKCRQSEFYLPWKCENERHSYEKCEYELVMERMLQMQKIREKAKENDKQSLTQGGAIPLIPKPANA >CAK8539601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517558965:517567139:1 gene:gene-LATHSAT_LOCUS8683 transcript:rna-LATHSAT_LOCUS8683 gene_biotype:protein_coding transcript_biotype:protein_coding METTAALTGIRLSSSICPSRLSSPFLSPAFPPNRSLSLKLHKQFPSTSHNVLEASTLLRPSASVSPTYSEAIELADIDWDDLGFGIQATDYMYFSKCDQGGMFSKGELKRFGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMKMGAERMCMPSPSVEQFVEAVKDTVLANKRWIPPQGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLSPINLIVENDLHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKRYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVAQSQGFQVEERLVSVDELVDADEVFCTGTAVVVSPVGSVTYLGKKVSYGDGIGKVSQQLYSVLTRLQMGLAEDTMNWTVELR >CAK8541665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:341011978:341015212:1 gene:gene-LATHSAT_LOCUS10568 transcript:rna-LATHSAT_LOCUS10568-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQKRLDYGFNGYEVPSVPRASRSPRGRGKIRKKPDSNQIQAFDILASVAGNLLQKSQNSVPDNAASAKDPGSFSGISVKENKQENQAGPFKEERFEHGTCCEVVSTCVPSLQVKHVNQRVMGDSSLHENHLEGQGQNVLEREDERVKMRTTNEKIIRIKSRSDGLIEPGQKASKDCSAENHVEKPSLEGRSHMSLDSLPNGSGSRKLVNRDDDENFVRCTQLNSKNKISGSPGSPPDTTIKVKDASHFVNDENNSGDNSVLENSERMYPFKKRKFFYQTSSSTSDSWSHCQGIVDSSSTRVNGTNHGAVIEESSSMADQQVHPASKGCNVKLSIKSFKVPELFIDIPETATIGSLKRTVMEAVTAILGDELHVGILLQGKKVRDDSKTLIQTGISQDDKRHRLGFMLEPRHNRMSSSYNDEPCFLTTGSRQKLSRQSTSLMLQQGSYNVSRERSTVKIENCAEGDLNGVSSLGDTSANNNNMSKCRALVTVPAINMDALAVVPYRRKSGNPDFGQRRIRRPFSVLEVEALVQAVEKLGTGRWRDVKQRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQCKHQLKSM >CAK8541664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:341011978:341015212:1 gene:gene-LATHSAT_LOCUS10568 transcript:rna-LATHSAT_LOCUS10568 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQKRLDYGFNGYEVPSVPRASRSPRGRGKIRKKPDSNQIQAFDILASVAGNLLQKSQNSVPDNAASAKDPGSFSGISVKENKQENQAGPFKEERFEHGTCCEVVSTCVPSLQVKHVNQRVMGDSSLHENHLEGQGQNVLEREDERVKMRTTNEKIIRIKSRSDGLIEPGQKASKDCSAENHVEKPSLEGRSHMSLDSLPNGSGSRKLVNRDDDENFVRCTQLNSKNKISGSPGSPPDTTIKVKDASHFVNDENNSGDNSVLENSERMYPFKKRKFFYQTSSSTSDSWSHCQGIVDSSSTRVNGTNHGAGATMHVIEESSSMADQQVHPASKGCNVKLSIKSFKVPELFIDIPETATIGSLKRTVMEAVTAILGDELHVGILLQGKKVRDDSKTLIQTGISQDDKRHRLGFMLEPRHNRMSSSYNDEPCFLTTGSRQKLSRQSTSLMLQQGSYNVSRERSTVKIENCAEGDLNGVSSLGDTSANNNNMSKCRALVTVPAINMDALAVVPYRRKSGNPDFGQRRIRRPFSVLEVEALVQAVEKLGTGRWRDVKQRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQCKHQLKSM >CAK8541029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46485865:46487162:1 gene:gene-LATHSAT_LOCUS9982 transcript:rna-LATHSAT_LOCUS9982 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPIYLDPTNWSQQQAGIDIGTQNPSHLQQPSASTVTAVTVETDGCYQGSIRPGSMTDRARMLKINQNDAASAAAQKCPRCESTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGALRSVPVGGGCRKNNKRSKGNSVSKPPSKPNRSNDHRQVGTIAIAGGCTSENAKSSSNNNNNGCNNSNVNLGISHFPTQFPFFPSLHHYNNNGYVSQGIGSMVAKNITNTTNVEYQLGRDSSVGEQWRFLNSLQQQQQQQQQQRPNHQQFPFMTNLEPQIGLFQFGGENNGETPRSFISSKAMDSSSASIGMVKMEENNHQRLSLPKNLLSGSGNSNDLFWNEVPSFTPSSSELL >CAK8537639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:413687604:413688782:-1 gene:gene-LATHSAT_LOCUS6907 transcript:rna-LATHSAT_LOCUS6907 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLEAERLLGISEKLLQNRDLMGSKEFAILAQETEPLLEGSDQILAIVDVLIASEKRINNNPDWYSILQIDRRSDDTDLIKKQYRRLALLLHPDKSRFHFADHAFKLVADAWAVLSDPIKKSHYDKELSFFSRVDLSVPGWVQQDKVSGPVRRTGPGTGHAPVPGPEIGTGPRNSAASARDGIAADENARRRNATFWTACPYCYRLYEFPRVYEGFCLKCPNCERSFHGVNIPSLPPLVPGQDAYYCCWGFFPMGFVLRNVGSEEKETELAPEVVPEPETVPVPISVQTASTNSSLPNWMPAPVPVENGGNNVMPVAPMEVVVATPARVTTRSGAVTGVVSNGVAARGSGSGKKRGRPRKYY >CAK8537640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:413687604:413688782:-1 gene:gene-LATHSAT_LOCUS6907 transcript:rna-LATHSAT_LOCUS6907-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLEAERLLGISEKLLQNRDLMGSKEFAILAQETEPLLEGSDQILAIVDVLIASEKRINNNPDWYSILQIDRRSDDTDLIKKQYRRLALLLHPDKSRFHFADHAFKLVADAWAVLSDPIKKSHYDKELSFFSRVDLSVPGWVQQDKVSGPVRRTGPGTGHAPVPGPEIGTGPRNSAASARDGIAADENARRRNATFWTACPYCYRLYEFPRVYEGFCLKCPNCERSFHGVNIPSLPPLVPGQDAYYCCWGFFPMGFVLRNVGSEEKETELAPEVVPEPETVPVPISVQTASVQPASAPFQPAPAPVQPSSFQPASVQTNSSLPNWMPAPVPVENGGNNVMPVAPMEVVVATPARVTTRSGAVTGVVSNGVAARGSGSGKKRGRPRKYY >CAK8578107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607416604:607418130:-1 gene:gene-LATHSAT_LOCUS30146 transcript:rna-LATHSAT_LOCUS30146 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVAVSTNPDLPRASSISSSSSSSFHLSDSSHAPPKKLTTMDEILKNIYPAAIEAAKNHQQQKQEPHEYPEQQQQHQQHFFNNTIDDVWSDIIAGPGPGTTPYHQQHQYLYPYPYQHQYQYQYQQQQQQHPHPSSDEAFSACTGDEGFSACTGGDDVTLEDFLLKAGAVSFPHLSQHSSSSDPSHSLDVAAVGKRKTVEETTVELDKAALQKKKRMIKNRESAARSRERKQAYTNELERVVKQLETENKLLIEEEEERKKERLKQLKELVRPIMERRQRQNQSLRRSNSM >CAK8541082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:61293769:61296284:1 gene:gene-LATHSAT_LOCUS10033 transcript:rna-LATHSAT_LOCUS10033 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGGGRRRRMLLQPFLVLCATVTGVGLLMLALRPLDPHISVKYARDYDFIDSNSSDFDVGWAVEEKPPPTAKPCATVEEMGKDFENGFVVKETLRVRSIIEQHFVLNGASRVRDLPPDEFCRHGFVLGKTAEAGFGNEMYKILSAAALSIMLNRSLIIGQTRSKYPFEEYISYPNFTFTMKEIKHLWRQNGCESKYGRRLVMRTDDFEKPSHTNVLCSNWKEWEQPIIWFQGTNDAVASQFFLKNVHSQMRIAAFDLFGDPHVLGSQSNVFGELMRVLISPSPDVEAAVNWVIDGKENPDISLHMRMQVNRPVRAMQAALHCLRKAVESRHLMSRPKVVVVSDTPSLIKSMMPNISEFAEVLHFDYEKYKGKMFDGLSKLDFREKDWGPAPRWVAFVDFFLASRARHGVVSVAHRRVGTTYAQLIAALAAAHNLADDDNNNTTSGSKFSFFSSFQSNLLTDGLKNQVGWGHVWNRYAGPLSCSNQSNQCAFTPLLPPAWWDGLWQSPIPRDTKRLAFYGVQLSGFGNVDTNSLQSYCNSRKTTVKTITFNL >CAK8573972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650860243:650862186:-1 gene:gene-LATHSAT_LOCUS26365 transcript:rna-LATHSAT_LOCUS26365 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTTTVPTNILPQFGLLRTHLNPIRVNNSTGFSYPLSRRKNKSFDKLVCFAVDDEIREKQQQQLSTSSNRLGSAVEERPDMIDGISEESLENFKEDGERSAIYDFLYPSKELLPDDKEMSIFDHLEELRERIFISVLGVGGSILGCFAFSKDLVKILEAPVKSEGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFIIPGLTKEERKFLGPIVLGSSVLFYAGITFSYLVLVPAALNFFVNYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPIIQLLLGQLGLVSGDKMLSVWRYVVVGAVVAAAVVTPSTDPLTQVLLAAPLLGLYLGGAWMVKLAGR >CAK8562936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558870121:558870486:1 gene:gene-LATHSAT_LOCUS16396 transcript:rna-LATHSAT_LOCUS16396 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKADKLGPVYENRVLEFLEYIDKHLPDNNGIFYCPCVVCENIKKGTKKEIFHHLCCDGICQNYIIWMSHGEMDKEESRASQSQRVDEDEYMEDQLEDMFRDIGVSSFKNAHIYDTL >CAK8567287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501430459:501436387:1 gene:gene-LATHSAT_LOCUS20353 transcript:rna-LATHSAT_LOCUS20353 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRKLEKVQRMMGFMDSRGVSVSNSDHHCNRFLANFMLFLIEPCGELAIDDKCCLISELMPRLSSSFVEDAYQHIVTEQENSGFEQNLVGSALRSCNQMKEMNLLQNYDKNIAMIGLDSMQKANSTLEDFCRSYFMFHGLDVSRPQSIFKYLPILSFTESYIYQLDKMNENLLQTPKRKYEKASQDLVSCFSTDPFGPLVTIFEQRCLLTERISEELKQGEEYWALERKLCHALINEEEILIDDVIKAIHLKSFDYRVLNLLLYQLQGAKAEELHMEFLSISEFLVEVSDDLYDYEDDVLENNFNVLRMFIRIYGASTAPAMLAKCITEAESKYTSLLELLDPKLSLSYQKRCAEATKEGGKVSGNPLGTWCIPTVIQDEELYRSNLKSDTS >CAK8542106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474115604:474116311:1 gene:gene-LATHSAT_LOCUS10976 transcript:rna-LATHSAT_LOCUS10976 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGADEKILGYNDVVLRRSDLDILSGPYYLNDRIIEFYLSYLSSSHPPQDILLVPPSIAFWILQCPFAEALKDFLEPLHLSEKELVLFPVNNNEDVNTAEGGSHWSLLAYYRNVNLFVHHDSCRSLNSEPAKKLYKAVVGYVGLSESGSEVRFLECTDSPRQVNGYDCGLYVTAIARAICDWRVNSIKTDANDLWFSVVKEQVTPSAVDCMRAEILVLIRDLMAKHSGQHAQE >CAK8570836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126325253:126325971:-1 gene:gene-LATHSAT_LOCUS23552 transcript:rna-LATHSAT_LOCUS23552 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVLVQLAYVAVNMLYKLAINDGVTVKVATAYRLAFGSAFTVPLALISERNKRPKLSWRILSMAFLCGLFGGSLFQNLFYEALALTSATFASAIYNLIPAITFIMAISCG >CAK8573670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628067035:628069826:-1 gene:gene-LATHSAT_LOCUS26085 transcript:rna-LATHSAT_LOCUS26085 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTRTGFYKPESHHCSIFRPPETPREPMEFLSRSWSVSALEISKALNASPSNTTIPENITGESEESPSLNPFSFASSETSQMIMERIMSQSEDVSVSPRTSGRLSHSSGPLTDSSPVSPSEIDDIKYGRAKSAGVSLSGYLKPPGSGGKTVGRWLKDRKEKKKEEARARNAEVHAAVSVAAVAAAVAAIAAATAASSGSGDDEQIAKTDSAVASAATLVAAQCVETAESLGADREHLTAVVASAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVERNLGGSDGGTGSSNSSFSGEFVREENFLSTCNREYLARGSELLKRTRKGDLHWKVVSVYINRMNQVTLKMKSRHVAGTITKKKKNVVVELIKDMPAWPGRHLLQGGENRRYFGLKTLMRGVVEFECMNQRDYDVWTQGVSRLLSIVAEKNNRNRF >CAK8563982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643890767:643891399:1 gene:gene-LATHSAT_LOCUS17334 transcript:rna-LATHSAT_LOCUS17334 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLRKPCSFTVLILSSLNFTLFILSAASFAPTILLKMPPTSFGTAFLMVSTISLFSSFVGFYSHLTHLCFLTHISLTLASLTGQILTIFALFTKEKASLKMLNSSRDPKEAKLLVRLECGALMAMCLLQFVVLVLSCLVHSCVVKSYEELEAEKEAIARKRSRRIAKVQEESTANVGKIGEVKDKEFDEKMKSKYGQCQWVKTDFEP >CAK8574210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669925893:669931865:1 gene:gene-LATHSAT_LOCUS26573 transcript:rna-LATHSAT_LOCUS26573 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGLTHLFVTMFLAGFGGVIVIPAITDVTMAAICPGQDQCSLAIYLTGFQHAMIGVGSVLTTPLIGNLSDRYGRKALITLPLTVSLIPQAILAYSRDTKFYYAYYVVKTLAAIAGEGSFHCLALAYVADKVPVGKRASAFGILAGVGSASFVGGTLAARFLSTPLTFQVASVFSMIALVYMRIFLKESAPMTQPLLKEAEEPCIQQCEDDMPQKTFKKLPSMGDVICLLKCSPTFSQAAIVLLFNSLADGGLMAVLLYYLKARFQFNKNQFADLMMISGIGATLTQLFLMPILVPAVGEEKLLSTGLFVSCINIFVYSVAWSSWVPYALAGFSVLGVLVRPTLTSIASKQVGPNEQGMVQGCLSGITSIANIISPLIFSPLTAMFLSEDAPFNFPGFSLMCLGLILMTAFFLSLMIRAAPLIVGDIVSSNRCTDTLV >CAK8561241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128108495:128109648:-1 gene:gene-LATHSAT_LOCUS14850 transcript:rna-LATHSAT_LOCUS14850 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLNQRRVSFSSPQTNKQTRDDEFVTAVAAAAFSIYSLEEAGLLDMKKIRNSPKFSKNQSMRGKEENIPRQPSYGEISMKMPFRQESRTREGALPLRSSNGISPIGGYQKQKGIIIHNKNDKAEAWEKAKLQRIQKRYEKMKLQMLSWEGEKRIQAKIQMERKKNALDYKRENVIEHYKRKIARLDMIGQRAIKELEDQRRKEELKVKEKTNKIRKTGRMPVTCFCFKSL >CAK8577498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569231012:569235714:1 gene:gene-LATHSAT_LOCUS29601 transcript:rna-LATHSAT_LOCUS29601 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMATDNVLPEVSHFYIAIYFAFASLAARFILDKYVFRRLAIRMLTKGRVPSRINKEMQIKIVKCTESMWKLTYYATVEAFVLKYTFNEPWFTNRKMLFEDWPNHDLKSPVMIYYMCQCGFYIYSIAAILLWETRRKDFAVMFTHHIITVILIGTSYLTSFFRLGAMTLALHDGSDVFMEAAKVFKYSGREFGASVCFAFFAVSWLLLRLIFFPLWIIRTTSLDLKKVLNLSETLPMLLYYEFNSLLIMLFIFHIYWWVLICAMINRQLKNRGKVGEDIRSDSDDD >CAK8537114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:100294203:100294748:-1 gene:gene-LATHSAT_LOCUS6428 transcript:rna-LATHSAT_LOCUS6428 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGRGQPKKTVSPPPKLTIPQTTQPEQSQDTDEPHAKQHSQHVEALMGTEEHDVTCDKAEILESMELIDAQEPKEQRKLWVDVLNDNHNPTKGVSMKYVPPSIVAGEIQITIEDEDVETELKFWENSLIMYVLGGDLSMNTVKNFMERVWNFIKLPNIHYHEEGYFILKFQRIRIWIK >CAK8531042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:74470886:74474931:1 gene:gene-LATHSAT_LOCUS858 transcript:rna-LATHSAT_LOCUS858 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWASAFRITLLLLLLAAVIAACFTLPIEKMMKDFLIWVDRDLGRWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRPFVVSRLKDYPQFKSVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSLVEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSKTRWAFIIIGLVISVVLMICVTKVAKSALDKALAETEDIDGVTSSSPELPIVAEQSSDLNQPLIIKIDSNEDNHEK >CAK8539959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532368606:532370246:-1 gene:gene-LATHSAT_LOCUS9006 transcript:rna-LATHSAT_LOCUS9006 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATTSNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8568592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621349264:621352823:-1 gene:gene-LATHSAT_LOCUS21534 transcript:rna-LATHSAT_LOCUS21534 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFSLLKCYTPFSSMLFAHSPRTFFSGYRAAVFRKKKFPSFRAVSSSHPSTAEKNCNDGESFRSKSPSPEVWLHNTMSKKKELFKPKVESKVGMYVCGVTAYDLSHIGHARVYVNFDLLYRYFKHLGLEVCYVRNFTDVDDKIIARSKELGEDPISLSRRYCEEFCQDMVTLNCLPPTVEPKVSEHIPQIIDMIEKILNNGYAYNVDGDIYFDVEKFPEYGKLSSRGLDDNRAGERVAIDSRKKNPADFALWKSVKPGEPFWDSPWGPGRPGWHIECSAMSEAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACSKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVVDVYHPLALRYFLMSAHYRSPMNYSNIHLESASDRVYYIYETLHACEILLNQHDQTVRKDSVPSDTLNIIDNLYNVFLTSMSDDLHTPVVLARLSDPLKLINDLLNTRKGKKQQFRIESLALLKKSIGDVLTVIGLMPSSYDEVLQQLKEKALKRANVTEDEILQKIEERDAARIQKEYAKSDDIRKDLAVLGIALMDSPNGTTWRPTIPLPLQELL >CAK8565056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32105954:32107138:-1 gene:gene-LATHSAT_LOCUS18297 transcript:rna-LATHSAT_LOCUS18297 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGSSKLNTLCKLWKDLDEPFKTRINNRIENRGQGYTLLRVLKLVQDNSSEISTSLFEMFMSYYGLQENYNCFHVCGINMNVSLEDVLFLTNLPITGRAIISESNKDPMAFNQVFSLSAVNKLKLSVLKNICCDLSKNDDDRIKAVLLMIVSCLIIPSGDGQNCKTTYVQFIEKLDEVDSYAWGAALLAFLYQGLKENHLRNKKVDGFVWLIMGFFFYHFKGLHNILNIIVVTTEEDRMPNMPLLAYLIKDLFTLGKNRHGKVHHNLGQKFQLICNFLDMDHENIEEFPITWHPYTDQMLPPDLHDQIQYRTIVTHCFATIMWSLIIQML >CAK8573042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579862708:579864920:-1 gene:gene-LATHSAT_LOCUS25536 transcript:rna-LATHSAT_LOCUS25536 gene_biotype:protein_coding transcript_biotype:protein_coding MISTGINLVMTVIGFAVSTMFIVFVCTRLICARIHLNASRRSFLIASRSNLSLMERGCQGLERIDVAKFPVKKYSDKFFAAGENSQCTVCLSEYQGEDTLRILPHCGHSFHMACIDLWLQQNATCPVCRISLREFSERTQSMQPVYSSHYGMESFDTHHYHCRMANNGLSTRTHDNHGVNPIQEDHFPSEGDGAVDVESITSLSQGDFIKDEEKKHVESPSNL >CAK8538455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483089847:483112119:-1 gene:gene-LATHSAT_LOCUS7647 transcript:rna-LATHSAT_LOCUS7647 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEHEEPDKKRPHLLTPVSSRMFRNSSNHSPNNKNADAEALQLQNQKLVQQTEIQKQALQDLEEKTRELIERQNSYDDLLIAFNQHWEQLVADMALLGIQAGRDKDSLQILDYLDNPQGSLPPCPPNDLFLCKLIQKDSIEGSSNDEITNFVEEALALRQLSTRELLELIHGTVDDQMKRVEDMAHVLHGDLSTEDVIIQMSKIDDMTKKEVDNFGEVINTLHAKHREYTAGIQNYIDECSQDQSDIKRLKGELDEIVAELEESRRKLVSLKMQKDAAMGMNSSSADAVNGNVSPEKPADRALGLPELKNLIEEAKIVDADRLSELQDAQEKNQILTKQFQELQNELNDDKYVRSSRVYSLANDQLQHWNAELDRYKSLVESLQAGKANIAKWEKELILKLESCDNARRVLDNFDSRTDELELQLKKCIIERNHLEIKMEEAKQDTGRKDIKAEFRVMASALSKEMGMMEAQLKRWKDAALEAVSLREKAHSLRAELSGKTSELESLANKCADQVLEIKSSKALIEKLHQENQELEFVLDMYGREDYQKSLPEIRESESKARSQAEMLKNALDEHGLELRVRAANEAEAACEQRLRAAEAEKEELRAQLDETERKKSELAEAIKVKEAEAETYISEIETIGQAYEDMQTQHQHLLQQVAERDDYNIKLVSESVKAKQLHSALLSEKQALADQLKQIDSWIENSKKKIASGVEQIQCLLSEAAKCTQEEKHIAVALEFARRELADAEKELKLLKFIASSSEKEYDQILKDVEDFEKELESERSSRKKLEEELAELNNQIAELKTETGETAVQQLEEEIRVCKNMIKCTVCSDRPKEVVILKCYHLFCNPCIQRNLELRHRKCPACGTAFGQSDVRFVKI >CAK8537005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:54329630:54330508:-1 gene:gene-LATHSAT_LOCUS6325 transcript:rna-LATHSAT_LOCUS6325 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANSVSFFSMILLALAYGSNAGSIAIYWGQNGNEGTLAETCATGNYEFVILAFLPSFGNGQKPMINLAGHCDPYSNACTKLTPDIKSCQAKGIKVLLSIGGGAGSYSLASTQDAKQVATYLWNNFLGGQSPSRPLGPAVLDGIDFDIEGGTNLYWDDLARYIKGYGKNVYLTAAPQCPFPDAWIGNALKTGLFDCVWVQFYNNPPCQYSGGAISNLEDAWKQWISDIPAKKIFLGLPASPQAAGSGFISATDLTSKVLPAIKNSSKYGGVMLWSRYYDNGYSSSIKNHV >CAK8531516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118295889:118304317:-1 gene:gene-LATHSAT_LOCUS1302 transcript:rna-LATHSAT_LOCUS1302 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLTPKTYVFKLMNSSGVSESGESEKVLLLMESGVRLHTTVYMRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGENANYVILELYAQGNIILTDSSFTVMTLLRSHRDDDKGFAIMSRHRYPMESCRIFERTTTTKLQTALMSSKEGDNDEAVKSNGNGTDVSNVEREKQGSRKGGKSHATLKIVLGEALGYGPALSEHMILDAGLVPSEKVPKDKTWDDATVQALVQAVAKFEDWMQDIILGELVPEGYILMQNKNLGKDSSILQPESVKQIYDEFCPILLNQFKSRDHTKFETFDGALDEFYSKIESQRSEQQHKAKENSALQKLSKIRNDQENRVHTLRKEADHCVKMAELIEYNLEDVDAAILAVRVSLAKGMSWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTILLSNNLDEMDDDEKTLPADKVEVDLALSAHANARRWYEQKKKQESKQEKTITAHEKAFKAAERKTRLQLSQEKTVASISHMRKVHWFEKFNWFISSENYLIISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPLQPVPPLTLNQAGCFTVCHSQAWDSKMVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEETIDDYVETGPVEEQSDSESEKDVTDEKSAADSARNDNLSAGSLVLPTEDVLKDSSQNSLPTTINAKTDVSDDFSAKDSSTTNMLDSEKSSDIGGNGLASVSPQLEELIDRALGLGSVAKSNKSYAAENSQADLSSEHYIEPSKPAVRDKPYISKAERRKLKNEPKHGEEPSVEHAKDEVKVKDISGNLHAKDAQNLKTGGGKKISRGQKGKLKKIKEKYADQDEEERKIRMTLLASSGKPIKKEETSSGNEALEKGKKSDSGPIDAPKICYKCKKLGHLSRDCKEQSNDLLHSHATSEAEENPNTSASNTILEDRVAMEEDDIHEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCGPYNAVQSYKYRVKIIPGPVKKGKAAKTAMNLFSHISEATNREKELMKACTDPELVASIVGNVKITAAGLTQLKQKQKKGKKSAKQGS >CAK8576738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510541869:510542081:1 gene:gene-LATHSAT_LOCUS28910 transcript:rna-LATHSAT_LOCUS28910 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETLKYGSKGRILLPYSDRGEQAIRQGDSEIGESWFDQAAEYWKQAIALTPGNYIEAQNWLKITGRFE >CAK8578754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651351159:651351461:-1 gene:gene-LATHSAT_LOCUS30740 transcript:rna-LATHSAT_LOCUS30740 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNNNYGWSHIHEILEPASYPQHHHHHQNHHVATYKESIAEANQSYYEKVRRENEADRQDSRFRHRNNSTYESVDQEAEAFIQHEHRRMGLAKLMKTT >CAK8535353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842665353:842666285:-1 gene:gene-LATHSAT_LOCUS4819 transcript:rna-LATHSAT_LOCUS4819 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNASPSAADIKKILGSVGVEAEDSNIELLLTEVKGKDFAELIASGREKLSSVPSGGGAVAVSAATGGGAAAAAPAAEAKEEKKVEEKEESDDDMGFSLFD >CAK8531616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130995445:130996401:1 gene:gene-LATHSAT_LOCUS1394 transcript:rna-LATHSAT_LOCUS1394 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNIWVIPSVPSNQIYRFGKIDVFARFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSITAYVRDGRCKDFKQFLAAMVETSLCHGPVYFDVSPNLNLCLSDKNLLDVMQLTVHTNGYNFNSVSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDLESILNRDIEQIIQTTDGDLEINFNSKRITRILRSLSARQSISEFYTAPSQLPRPSTSQIREEIEAVENIRLGKNRVPHGIYQKPHTPRAESPTQSDMDFDL >CAK8537641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:413923803:413936752:1 gene:gene-LATHSAT_LOCUS6908 transcript:rna-LATHSAT_LOCUS6908 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKIKIKKIDNATARQVTFSKRRRGIFKKAEELSVLCDAEVALIIFSTTGKLYECCSSSMKDIITRYNQHSQQNNKLDKTLQLQVEKSMSAELQKEFADKTQQLSGLKGEDVEGLNLEELQQLERTLETGLKRVFEMKEKRIMNEIGALQIKSVKLEEENNHLKQKMAMLFKGKNPLLGDLDVATMQEIVSCESMNNVCSCNSGPSLEDDSSDTYLKLGLPFP >CAK8564005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645614752:645628208:-1 gene:gene-LATHSAT_LOCUS17354 transcript:rna-LATHSAT_LOCUS17354 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVNIIVGSHVWVEDPALAWIGGEVTKISGENVHVRTGDGKIVVKSISKVIPKDNEAPPGGVDDMTKLSYLHEPGVLNNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRAMIVEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEEKEKYKLGSASSFHYLNQSNCYGLDGVDDAEEYLATRRAMDIVGISEEEQDAIFRVVAAVLHLGNIEFAKGEEIDSSVLKDEKSRFHLNTTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPVAALSSRDALAKTIYCRLFDWLVEKINNSIGQDPNSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTNFTISHYAGEVTYQADMFLDKNKDYVVAEHQDLMIASKCSFVAGLFPRSPEESSKSSKFSSIGSRFKSQLQSLMETLSSTEPHYIRCVKPNNVLKPAIFENLNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLNRFGVLAPEVLDGNYDDQVACQMILGKMGMKGYQIGKTKVFLRAGQMAELDARRSEVLGNAARVIQRQTRTHIARREFIGLRRAAISLQSNLRGILARKLYEQLRREAAALKIEKNFKGYIARKSYLKARSSAIILQTGLRAMKARDEFRFRKQTKAAIQIQAHFRRQVTYSYYKKLQKAVVVTQCGWRSRVARKELRMLKMAARDTGALKEAKDKLEKRVEELTWRLQIEKRLRTDLEEEKAQELTKLHDALNTMQIQVEEANARVIKEREAAQKAIKEAPPVIKETPVIIQDTEKINSLLSEINSLKDSLLLEREVKEEAKKAQAEAEARNKELIKKVEESDRKVDQLQELVQRLEEKIANSESENQILRQQALAVSPTAKALTARPKTVIIQRTPENGNVLNGEVKPGQDLTLALSNVREPESEGKPQKSLNEKQQENQDLLIKCISQDLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASAVEAQDNTDVLAYWLSNTSTLLMLLQRTLKASGAASLTPQRRRTASSSLFGRMSQGLRASPQSAGLPFINGRGLSRLDGLRQVEAKYPALLFKQQLTAFLEKLYGMIRDNLKKEISPLLGLCIQAPRTSRQGLVKGRSHANAVAQQALIAHWQSIVKSLNNSLKIMKANYAPPFLVRKVFTQIFSFIDVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWCIEATEEYTGSAWEELKHIRQAVGFLVIHQKPKKSLNEITKELCPGLSIQQLYRISTMYWDDKYGTHSVSTDVTTTMRAMVAEDSSNAVSTSFLLDDDSSIPFSVDDISKSMQQVEVADVDPPPLIRENSGFGFLLARAE >CAK8575865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:343443617:343447090:-1 gene:gene-LATHSAT_LOCUS28093 transcript:rna-LATHSAT_LOCUS28093 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPHMEVHYNNISYPYNTAGSFIEYFEGLTYEHVNFIFSGASNAQESPYPLNSNFYKFGPSEPDNTSYYRYNHGYEVNHHEPLVDDYRRPSENSSTISEQSLAVSTEWGEDGNTEAQDNSIECPRRHHSNSNDSQVIWQDNIDPDNMTYEELLELGEAVGTQSRGLTPEQISMLPVSKFKCGFFFRKKSREERCVICQMEYKRGNKRITLPCKHVYHATCGTKWLSINKACPICYTEVFADKTKQK >CAK8534059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689852952:689853254:1 gene:gene-LATHSAT_LOCUS3633 transcript:rna-LATHSAT_LOCUS3633 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVNIFIFPILSVLLMAISHMAHAQNLDLSPAPAPTSDGTNLDQGIAYFLMVIALVITYMFH >CAK8531091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80121538:80131816:1 gene:gene-LATHSAT_LOCUS905 transcript:rna-LATHSAT_LOCUS905 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIGSNTESAEIGLAADQNSDGRERTLKPVEDREEEEEEEEEDADFNPLFLKETLSDASSSLSSEGDGLGGNVVDSGPSSMGRELEKITTNEVICSAVDSEHGEEEISLRPSGIISQSESDKEKNNELISGTSNGFGTGGISNTPTKSQGPIVDIDNEDAICMRTRARYSLEGFSLDELETFLQETDDEDDHQNVDDEEEYKKFLAAVLQGGEGDEGISSHENVNPDDDDDEDNDADFELELEELLESDGDDNAAVETRNKCDGAGRRPETRQNKRRKTSFQCERRILGQASRPLRPILPCWINGRLASGNGSMPDATLSNQSSASRNGLVNGFTPQQIGQLHCLIHEHVQLLIQVFSLSVLDPSHKQVASQAQSLLFEMLHKRDAVLASTRTPYPAVCFTPYFSCTSVSNGMSYAVPSQCNTESASTQNAMNARFPQSHQTPSEGLNGQRRCFQDTDGAFWFPFVRGPVQSILDVAPLNLLRGYVDDINSDAQEFRKRYIESGYNLSIEKEPLFPFSSSAAGTNNEVSTSTISGANNTVSSSPGKIQPKKSLAAMLVESTKKQSIALVPKEVANSTQRFLAFFNPALFPHKPPPAAVVNRLLFTDSEDELLALGILEYNTDWEAIQQRFLPSKSTHQIFVRQKNRCSSKSPDNPIKAVRRMKTSPLTAEEIAGIHEGLKHYKSDWMSVWQYIVPHRDPFLLPRQWRVALGTQKSYKVDESKKEKRRLYESERRKSKATTAIECWQSRSDKEDFEAEITDGMDYSDVPYVHQAFLADWRPDMSTLNYSEHISPTSVEVNLGHDTISHDIQLYRGISNYGLSGNIQHQTGSYPAFPSVHKPPLPFHSTSDFRSGMNGAPSATIHKNPVFGATSSAKYYCRPYRARRTNSARLVKLAPDLPPVNLPPSVRVVSQTAFKGFQCGTSKTCPRGEGVTDVRKDNSVSQVPHSEKVMVDHRAKHARPMPKDSVIHSQLERSETADGRSIVAEKATYVDLQMHPLLFQVTEEGNTPYYPFNISSGPSSSFSFFSGRQPQLNLSLFNSSQQHGHIDRPNTTTKSKNSSLRLGGIDFHPLLQKSNDTQVQTGSDATQAEPLVSNGVPDTTNRSFDLNDKSNELDLEIHLSSLSESEKSMKNIQLKEHDPESQKIAASCATAMIAPICQQSDRIPSPRGCELASSSPLVVPDENITGYDVDDVGEQSHPEIVMEQEELSDSEEDIEEHVEFECEEMADSEGEDGSGFEQAPGVQNKFECEEMADSEEEDGSGCEQAPEVQNKVVPSANSDIQVDSSPPTNDAMPNTALNSMGMDDKSCSSWLSLDSSRSETPVLSKAVHQRVTTGEASASRNFKIFGKAVAKEGHTADIVQNLVPRVFNTPRKPRNRRSKLNANIGLTVKKIKP >CAK8531092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80121875:80131816:1 gene:gene-LATHSAT_LOCUS905 transcript:rna-LATHSAT_LOCUS905-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSNTESAEIGLAADQNSDGRERTLKPVEDREEEEEEEEEDADFNPLFLKETLSDASSSLSSEGDGLGGNVVDSGPSSMGRELEKITTNEVICSAVDSEHGEEEISLRPSGIISQSESDKEKNNELISGTSNGFGTGGISNTPTKSQGPIVDIDNEDAICMRTRARYSLEGFSLDELETFLQETDDEDDHQNVDDEEEYKKFLAAVLQGGEGDEGISSHENVNPDDDDDEDNDADFELELEELLESDGDDNAAVETRNKCDGAGRRPETRQNKRRKTSFQCERRILGQASRPLRPILPCWINGRLASGNGSMPDATLSNQSSASRNGLVNGFTPQQIGQLHCLIHEHVQLLIQVFSLSVLDPSHKQVASQAQSLLFEMLHKRDAVLASTRTPYPAVCFTPYFSCTSVSNGMSYAVPSQCNTESASTQNAMNARFPQSHQTPSEGLNGQRRCFQDTDGAFWFPFVRGPVQSILDVAPLNLLRGYVDDINSDAQEFRKRYIESGYNLSIEKEPLFPFSSSAAGTNNEVSTSTISGANNTVSSSPGKIQPKKSLAAMLVESTKKQSIALVPKEVANSTQRFLAFFNPALFPHKPPPAAVVNRLLFTDSEDELLALGILEYNTDWEAIQQRFLPSKSTHQIFVRQKNRCSSKSPDNPIKAVRRMKTSPLTAEEIAGIHEGLKHYKSDWMSVWQYIVPHRDPFLLPRQWRVALGTQKSYKVDESKKEKRRLYESERRKSKATTAIECWQSRSDKEDFEAEITDGMDYSDVPYVHQAFLADWRPDMSTLNYSEHISPTSVEVNLGHDTISHDIQLYRGISNYGLSGNIQHQTGSYPAFPSVHKPPLPFHSTSDFRSGMNGAPSATIHKNPVFGATSSAKYYCRPYRARRTNSARLVKLAPDLPPVNLPPSVRVVSQTAFKGFQCGTSKTCPRGEGVTDVRKDNSVSQVPHSEKVMVDHRAKHARPMPKDSVIHSQLERSETADGRSIVAEKATYVDLQMHPLLFQVTEEGNTPYYPFNISSGPSSSFSFFSGRQPQLNLSLFNSSQQHGHIDRPNTTTKSKNSSLRLGGIDFHPLLQKSNDTQVQTGSDATQAEPLVSNGVPDTTNRSFDLNDKSNELDLEIHLSSLSESEKSMKNIQLKEHDPESQKIAASCATAMIAPICQQSDRIPSPRGCELASSSPLVVPDENITGYDVDDVGEQSHPEIVMEQEELSDSEEDIEEHVEFECEEMADSEGEDGSGFEQAPGVQNKFECEEMADSEEEDGSGCEQAPEVQNKVVPSANSDIQVDSSPPTNDAMPNTALNSMGMDDKSCSSWLSLDSSRSETPVLSKAVHQRVTTGEASASRNFKIFGKAVAKEGHTADIVQNLVPRVFNTPRKPRNRRSKLNANIGLTVKKIKP >CAK8577605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577918992:577920521:1 gene:gene-LATHSAT_LOCUS29696 transcript:rna-LATHSAT_LOCUS29696 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRTSSIAVLSSRHHSSPSTHSLSLTKGQVSVSKFWGGIGFHEVKGKSQFSVLSVATDINSPQQIQRIVSKENQRPVYPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPEFMSIEVRERVLKGEQLEVILSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISIAHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVREAELRVKIVEERGRFDKNPKEFRDSYEAEQEKLQQQITSARSFLASVQIDYELKVKISQVCADLNVDGLRGDIVTNRAAKALSALKGRDRVSAEDIAIVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFR >CAK8574208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669649732:669663179:1 gene:gene-LATHSAT_LOCUS26571 transcript:rna-LATHSAT_LOCUS26571-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPWQPQEQGFKEICTLLEQQISHSSSADKSQIWSQIQQYSNLPDFNNYLAFIFSRAQGISVEVRQAAGLYLKNNLRNVYNLMKPEHQQYVKTELLPCLGAADKHIRSTTGTIISVVVQTGGVSQWPELLQALVNCLDSNDLNHMEGAMDALSKICEDVPQILDADVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALCVSMDQYLQGLFILANDPTSEVRKLVCAAFVQLIEVHPSVLQPHLKNVIEYMLQVNKDTDEEVALESCEFWSAYCDAQMPPENLREFLPRLIPILLSNMAYADDDESLVEAEEEGSQPDRDQDLKPRFHVSRFHGSDDVDDDDDEDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSTAGDDGWKEREAAVLALGAIGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTVSRFSKFIIQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELVPRLEIILKHLMVAFGKYQRRNLRIVYDAVGTLAEAVGGELNQPVYLDILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFTQFAEPVFGRCINIIQTQQLAKANPAAAGAQYDKEFIVCSLDLLSGLAEGLGSGVESLVSQCSLRDLLLHCCTDDAPDVRQSAFALLGDLARVCVVHLHPRLSEILEVAAKQLEISKVQAALSVANNACWAIGELAVKVRQEISPFVLSVISCLVPILQHAEGLNKSLIENSAITLGRLAWVCPDLVSPHMEHFMQPWCNALSMIRDDVEKEDAFRGLCAMVKVNPSGALSSLVYMCKAIASWHEIRSEDLHNEVCQVLHGYKQMLRNGAWDQCMSALEPPIKEKLSKYQV >CAK8574207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669649732:669663431:1 gene:gene-LATHSAT_LOCUS26571 transcript:rna-LATHSAT_LOCUS26571 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPWQPQEQGFKEICTLLEQQISHSSSADKSQIWSQIQQYSNLPDFNNYLAFIFSRAQGISVEVRQAAGLYLKNNLRNVYNLMKPEHQQYVKTELLPCLGAADKHIRSTTGTIISVVVQTGGVSQWPELLQALVNCLDSNDLNHMEGAMDALSKICEDVPQILDADVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALCVSMDQYLQGLFILANDPTSEVRKLVCAAFVQLIEVHPSVLQPHLKNVIEYMLQVNKDTDEEVALESCEFWSAYCDAQMPPENLREFLPRLIPILLSNMAYADDDESLVEAEEEGSQPDRDQDLKPRFHVSRFHGSDDVDDDDDEDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSTAGDDGWKEREAAVLALGAIGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTVSRFSKFIIQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELVPRLEIILKHLMVAFGKYQRRNLRIVYDAVGTLAEAVGGELNQPVYLDILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFTQFAEPVFGRCINIIQTQQLAKANPAAAGAQYDKEFIVCSLDLLSGLAEGLGSGVESLVSQCSLRDLLLHCCTDDAPDVRQSAFALLGDLARVCVVHLHPRLSEILEVAAKQLEISKVQAALSVANNACWAIGELAVKVRQEISPFVLSVISCLVPILQHAEGLNKSLIENSAITLGRLAWVCPDLVSPHMEHFMQPWCNALSMIRDDVEKEDAFRGLCAMVKVNPSGALSSLVYMCKAIASWHEIRSEDLHNEVCQVLHGYKQMLRNGAWDQCMSALEPPIKEKLSKYQAVLPAFKVSFDGVSYEKCTVKWLSTFGNA >CAK8573294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601198439:601198955:-1 gene:gene-LATHSAT_LOCUS25761 transcript:rna-LATHSAT_LOCUS25761 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRNKELRKIVVNMGYALTEATFNYYRGEIRRTNRAALEWLDNIPREKWSRAFDGGRQWGHMTTNLAEALNSILKATRSLPITTLVKSTYYRLGLLFGKRGHDWTKLLASGQTFTENCNKGMDDETIKSSSHNVI >CAK8563161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578888542:578889896:-1 gene:gene-LATHSAT_LOCUS16596 transcript:rna-LATHSAT_LOCUS16596 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRKNLKAAAKKASGGDGDEEDED >CAK8537280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:202398469:202399719:1 gene:gene-LATHSAT_LOCUS6579 transcript:rna-LATHSAT_LOCUS6579 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKPSFNIVFTNKFDVEEHEMLLEAYFVQIDGTLNKLSTLREYVDDIEDYINIMLDDKQNHLLQMGVMFTTTTLVVSAFVVVAGVFGINIHIELFDSDRYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8572334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530055724:530056263:-1 gene:gene-LATHSAT_LOCUS24910 transcript:rna-LATHSAT_LOCUS24910 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQRSLSLLVLCFLVMGAMLVSGQSANNVRATYNNYNPQNINWDYNTASVYCATWDANQPLSWRSQYGWTAFCGPAGPTGRDSCGRCLRVTNTATGAQVTVRIVDQCSNGGLDLDVNVFNQIDTNGAGRQAGHLTVNYVFVNC >CAK8535109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814765725:814770214:1 gene:gene-LATHSAT_LOCUS4586 transcript:rna-LATHSAT_LOCUS4586 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSLGLRTCSFGSLQLVQNGNGLQVPVLVRRPSRALLYNPKEKEKERGCPYICRHLGRGRVAMLLTLLFGLFIFVFGCFTLYKGGNITSEIENTRSHAFSSYDFLGLDETIETKLRNSISTRKTPVTSSSTVKDHPVSHLPSSYNLKEKIGSSSTAGHYCDHFAYPPPLADRRRTGPRPCPVCYMPVDKAMDSMPSSPSESPILHTLTYVQNETMFPIEQEGGSDFGGYPTLEERDASFDIKETMKVHCGFVKGSRPGRRTGFDFDEEDLLELDQYHDIIVASAIFGNYDVIQQPRNISIEARKNVPFYMFIDEETEIYMRNASILDSSRRVGLWRIIVVRNIPYADSRRNGKIPKLLLHRIFPNVRYSIWIDGKLQLIVDPYQVLERFLWRPNATFAISRHYRRFDVFVEAEANKAAGKYENASIDRQVQFYQSHDGLTHYSRAKLPITSDVPEGCAIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKVDWSIDMFLDCERRNFVIQAYHRDVLENMPPPSVIITRFNRPAFYTSNKPLKKNPRRGRGDRRTGSRRHRKVVDNYFLTNQLF >CAK8574504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1061664:1063926:-1 gene:gene-LATHSAT_LOCUS26845 transcript:rna-LATHSAT_LOCUS26845 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYILCVCIAGCHCEWFTTEQALQQTEFQPAAASANLIVGAHVWLEDSDVAWIDGEVLEINGEQIKVLCTSGKTLLSGFLLESPNPQIMKRYILEVRYLKVMRTLLTDSSKNFQLSAFHIFKILVANPNKP >CAK8542039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458100948:458102423:1 gene:gene-LATHSAT_LOCUS10913 transcript:rna-LATHSAT_LOCUS10913 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQLHVVFVPFPTPGHMIPMIDTARLFARHGVNVTIVNTHANASTFRKFIDSDFNSGYSIKTQLIRFPSAEVGLPDGVENVKDGTNSEILGKIIQGILMLQDQIEIMIRDLQPDCIITDMMYAWTVESAAKLNIPRIYFYSSSLFSNCASYFVRKYRPHDLVSDTQKFTIPCLPHTIEMTPLMLADWIRNKNSATGSFGAIFESEKRSYGTLYNSFHELESDYEKLHKTTIGIKSWSIGPVSTWINKDDENKSNRGKIGKEGELINWLNSKPNESVLYVSFGSLTRLSHEQIVEIAYGLENSSHNFIWVVRENHNNEDEEGFLQGFEEKMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESLSVGLPMIAWPMFAEQFYNEKLLVDVLKIGVSVGSKVNKFWSSLGEDALVRREEIANAVEILMGSDEESKEMRMRARKLGDAANRSIEEGGDSYNNLIQLIDELKSLKIARELEKRGLDN >CAK8574476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:403965:404093:1 gene:gene-LATHSAT_LOCUS26820 transcript:rna-LATHSAT_LOCUS26820 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFWGINLTTDKLR >CAK8567481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517552273:517553564:-1 gene:gene-LATHSAT_LOCUS20528 transcript:rna-LATHSAT_LOCUS20528 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDEIRETQRARGPATILAIGTATPSNCIYQSDFTDYYFRVTNSNHMPQLKDKLKRICEKSMIKKRYIHLTEEMLEKNPNISSYDKPSLDARQDILVEQVPKLGEKAASKAIKEWGRPKSEITHLIFCSTSGVDMPGADYQLVKLLNLNPSTKRFMLYHQGCFAGGTVLRLAKDLAENNAGARVLVVCSEITVVTFRGPNENHIDSLVGQALFGDGASSVIVGSNPDERIERPLFYLVSASETILPNSEGAIEGHLREVGLTFHLKENVPELIGENIVKSLEEAFHPLGISDWNSLFWVAHPGGPAILKRIEKTVGLNSDKLNATKHVLSEYGNMSSACVLFILDEMRRRSMKEGKLTTGEGLKWGVLYGFGPGLTMETIVLHSAAANI >CAK8577643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580634947:580636729:-1 gene:gene-LATHSAT_LOCUS29731 transcript:rna-LATHSAT_LOCUS29731 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCNDSNNQDRGIGLITSNQSTLMTDETKSTQIQTLTCPSCGHNIEFQDQGGINDLPGLPAGVKFDPNDQEILHHLEAKIMFDVPKLHPLIDEFIPTLEGENGICYTHPEKLPGVRKDGQIRHFFHRPSKAYTTGTRKRRKVQTDEEGSETRWHKTGKTRPVFIGGVTKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGANNSSNIIIKDAYENRLMMTQGNVQDDNEAPTMDYYNSPFINYDHVGHNLEPQLIPNLVMQGDGSSYIRLAMDANKARLDRK >CAK8578416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628192180:628193481:1 gene:gene-LATHSAT_LOCUS30429 transcript:rna-LATHSAT_LOCUS30429 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEYIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFSYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIIKNLSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEKSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDF >CAK8567753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541637847:541640096:1 gene:gene-LATHSAT_LOCUS20776 transcript:rna-LATHSAT_LOCUS20776 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMSKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVAIGQVLLSVRCKDNNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRVDYLKYKSENRILPDGVNAKLLGCHGPLANRQPGRAFIDASTPTS >CAK8578123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608509300:608511232:1 gene:gene-LATHSAT_LOCUS30162 transcript:rna-LATHSAT_LOCUS30162 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFSVGGCDDGEGPSNPNQKRRRVDDGEEETASTREEENQQPREGFEMENAPIGTLENDTSERTSGSNVDQTKELGKNVSAIISDPDVLDCFICSEPLTIPIFQCENGHIACSKCCGELRNKCPMCLMPIGYNRCRAVEKLLESIKISCPNAKYGCKDMFSCNLKTSHEKECVYIPCKCPHIGCDFLASSKELALHFSHRHVGFGIQFTYDKFISVSLNTRQKEIVLLDQNDARLFIVHNNLVDLGNMVHVSCLGPKSLKEIHYDVLARSLGSTLILQSSMKTIQDKNGCAPTTNFLLIPSDHFGCGQLKLDIRIKSQLNHS >CAK8570879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:144219782:144220640:1 gene:gene-LATHSAT_LOCUS23591 transcript:rna-LATHSAT_LOCUS23591 gene_biotype:protein_coding transcript_biotype:protein_coding MILISISFFFKKKKEQKEPQVEEQGDRKQNNSKQQLSIQHEKRFKSNFNLLTLTQSQPLCPCLLDFRFESPFDLVVSSATYPPPFQVGCIEVPLMAL >CAK8568721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635472092:635475497:-1 gene:gene-LATHSAT_LOCUS21653 transcript:rna-LATHSAT_LOCUS21653 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVEEGSGSEDQNAPDEETPLQIEISKLRRRWELASVLNFLDVFSPILGKDLKVSAEEIEIGLVKPNAALAQLHIQLLKGTPPVSKILNDSDKWVTVLSKKLTMWWPWVAEGKNPLVPSKGGEEISKYKELDPLDRLLLLKAICEVRADQHDVVSYINDSLKEGAEISSFRKNALGRDGTGATYWYDANTKAQSHRLYRETITSVSTPNRKAKGCLSLPNFQWETLASNLEEFSEVAENLSSSKSHVEVFIGKKLQNEAIPVLEKIQKKKEKAMKQKERQDKLLKDFHNSYSSGNARTCRTRRPVNYSFEAYDRTMKEAIQLTNKRKKSPVANQDRNKGSEDNQDRNKGSEDDSNMDVSSDSDDDSDDDSNRDAQSISESDDSGYEIENHSSSEENDEHDRQMDNSEANSSDAVSYPKGVRASKRLAGVPGHTVPESIGLAAKQRVRQRPTRNTAIESTVVSDSEDETHGGKTDLSESTSA >CAK8543115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579813279:579814115:-1 gene:gene-LATHSAT_LOCUS11897 transcript:rna-LATHSAT_LOCUS11897 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPRKVFVPNSNIRSQSEVEISNKVAQPSKVRVLNSNKRQMEVEATNEITEPRKVLALNNNTKKKLKSTVDHQVKGSIESQVSKNTSDQTKKILQSLDNTMNKSQQSFEKKKSLLKCPTIPFTECLDKNKEKNGVEEFDDEDTEENEMEEYMENDSIHNGKEVEGTDVKKKAEGNTLGASSSGLTKKRGKTLCRKIHGRKFKDRQEITLNEEGQPIGPDEKAVSELSSFLGTLGRS >CAK8566481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428333355:428334045:1 gene:gene-LATHSAT_LOCUS19608 transcript:rna-LATHSAT_LOCUS19608 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDDSSDLFPIGMRILAVDHDPTCLQVLETLLRTYQYHVTTTNQAITALAMLQENNDNFDMVIIDVHTPDMDGFKLLELVGLEMNLPVIMLSACRDPNLVMKGISHGACDYLLKPVRLEELNNIWQHVVRSCLVCRIAPQVCRCC >CAK8543972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652915942:652917738:1 gene:gene-LATHSAT_LOCUS12690 transcript:rna-LATHSAT_LOCUS12690 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYDCMLLFKPHVKKEALIGLVARIGKHISRRNGVVTEVKSFGTIQLGYGVKKLDGRFFQGQLMQVSMMATPEINKELHYLNKEDKLLRWLLVKQRDTKIGLEFMGDEGRLEPSRFSQINKPDDDDDEDEDDEEYEVNEDENSMN >CAK8532942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553353596:553357258:-1 gene:gene-LATHSAT_LOCUS2601 transcript:rna-LATHSAT_LOCUS2601 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSLEGATKKEVIRIERESVIPILKPKLFISLANLIKRSSDRDEFLMLCKRIEYTIRAWYLLQFEDMMQLHSLFDPVGGAQKLEQQGLTSREIDKLEQNFLNHFFEVMKKSNFKIATDDEINVALSGQYLLNLPITVNESKLDNKLLKKYFEAHPHNNLPDFYDKYIIFRRGIGIDQTTDYFIMEKVDMLIARFWAFLLRTLRLEKLITKRSKRHAKELDPKKDDEINSSSEEAYQDDVYERIRLENMPLSSGSLLSKTTIQEPTFDRIIVVYRRASSRSKPDRGIFVKHFRNIPMADMEIVLPEKKNPGLTPKDWVTFLGSAIVGLVAVVSSLQAATNDLMVIGAVLSTVVGYCVKTYFTFQQNLAVYQDMITQSMYEKQLDSGRGTLLHLCDDVIQQEVKEVVISFFILMEQGKATRQELDQGCEELIKEEFNETCNFDVDDAVHKLEKLGIVTKDPIGRYQCVGLKRAVEIISAPTEELVIKANQGNIIP >CAK8573915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646686591:646687876:1 gene:gene-LATHSAT_LOCUS26310 transcript:rna-LATHSAT_LOCUS26310 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPKRISVHHALGAGLVADVLLWKNWRGGVAVLSSATTLWYLFERAGYNFLSFVANVILLLVVILFLWAKAANLLNRPLPPLPDLEISEETIGKFADVLQIWINRALSVAHDIAIERNLLLCLQVVGVLLTISYIGSLFNFLTLIYICVLLSLSLPVLYDKYQDRCDEKIHIVHEAIHPHYRKIHSIILTIIPNRAKKEKKVQ >CAK8574463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:92703:93869:-1 gene:gene-LATHSAT_LOCUS26807 transcript:rna-LATHSAT_LOCUS26807 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVAIAVLSPFPFYYYLWNWPQSWVDLCGKGRDPSKIMAYVSHFLKLIQFIALFSVSSFHWPPFYFWPLFAFGQFLNFRVYQLLGEAGTYYGVRFGKTIPWVTEFPFGVISDPQYVGSILSLVACLSWVPYQYILLWILGYVFMIRVESKEDQSTRAKPLN >CAK8572607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550930530:550934192:-1 gene:gene-LATHSAT_LOCUS25157 transcript:rna-LATHSAT_LOCUS25157 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRPSQSSNNSGRSRNSARIIAQTTVDAKLHATFEESGSSFDYSSSVRVSGSVDGDQQPRSNKVTTAYLNHIQRGKQIQPFGCLLALDEKTCKVIAYSENAPEMLTMVSHAVPSVGDHPALGIGTDIRTVFTAPSASALQKALGFAEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLASGSMERLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVIAEIAKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCNAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHLQYMANMDSIASLVMAVVVNDSDEDGDSADAVLPQKKKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELEYQILEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALFYRNKLWLLGATPTESQIREIALWMSEYHTDSTGLSTDSLSDAGFPGALSLSDTVCGMAAVRITSKDIVFWFRSHTAAEIRWGGAKHEPGEQDDGRKMHPRSSFKAFLEVVKARSVPWKDFEMDAIHSLQLILRNASKDTDIIDLNTKAINTRLNDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGTVNGWNIKIAELTGLPVGEAIGKHLLTLVEDSSTDIVKKMLNLALQGEEEKNVQFEIKTHGDQVESGPISLIVNACASRDLRENVVGVCFVAQDITAQKTVMDKFTRIEGDYKAIVQNPNQLIPPIFGTDEFGWCCEWNAAMIKLTGWKREEVMDKMLLGEVFGTQMSCCRLKNQEAFVNFGIVLNKAMTGLETEKVAFGFFSRKGKYVECLLSVSKKIDAEGLVTGVFCFLQLASPELQQALHIQRLSEQTALKRLKVLTYMKRQIRNPLAGIVFSSKMLEGTDLETEQKQIVNTSSQCQRQLSKILDDSDLDGIIDGYLDLEMAEFTLHEVLVTSLSQVMNRSNTKGIRIANDVAEHIAKETLYGDSLRLQQVLADFLLISINSTPNGGQVVIASSLTKEQLGKSVHLVNLELSITHGGSGVPEAALNQMFGNNVLESEEGISLHISRKLLKLMNGDVRYLKEAGKSSFILSVELAAAHKLKG >CAK8571754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:468382899:468390336:1 gene:gene-LATHSAT_LOCUS24388 transcript:rna-LATHSAT_LOCUS24388 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVGAAFLLLLLLPFCISISQPISDSHRSAASNIFNEISPSLEDAYEALRVFEILKIEKKPIVTKDTCKKVLEDLGSSSSPLKDVFYALKVNGILKCKVDGAVFKDIASRLKATVHDASTLLDIYYSVGSLALIKDQASNVDVLLTDANGVFHSIKTLSQSDGRWRYSSDNPDSSTYAAGLALEALGGVISLASSEIDQSKVSIVKNGIVKLFDSIEKYDDGAFYFDEKSVRGSEHQGSLSTTSSVVRGVAAFAAVTSGKINLPGDIILGLAKFFLGIGVPGDAKDFFNQVESLSFFESNRISIPLILSLPETVHSLTKKSQLKVKVNTVLGSDAPPLTVKLARAFSTGAKNSAIIESKELQYDQKSGFHFLDTLPENVDVGTYVFVLEIVLHDSESGKVYATGGQIHVPIYVTGVVKVGSAEIAVLDSDLGNIETHKTLDLAGNDISSLSANHLQKLRLSFQLETPYGRVFKPHQAILKLKHESKIEHIFLVGNTGKKFEITFDFLSLVEKLFYLSGKYDIELTVGDAVMENSFSRLLGHVELDLPEAPEKAARPPAPPVDPYSRYGPKAEINHIFRAPEKRPPQKLSLVFLGLILLPFIGFLVGLLRLGVNLKNFPGSTLPATFATLFHIGLAAVLLLYVLFWLKLDLFTTLKTLGLLGAFTMFFGHRILSYLALTSSKLKSA >CAK8540479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8534724:8535104:1 gene:gene-LATHSAT_LOCUS9481 transcript:rna-LATHSAT_LOCUS9481 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTPSCGNENKFLCPKLEEQVPVPETGGTKTPKCVNEVSQSALQNKFLCPKLEEQVPVPETGGTKTPKCVNEVSQSALQNKFLCPKLEEQVPVPETGGTVDVFTISTRLDSLQVRSRQGKRWNI >CAK8530837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54183094:54184056:1 gene:gene-LATHSAT_LOCUS673 transcript:rna-LATHSAT_LOCUS673 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAIMCIWRIPHCHQITWVGHVSAKDEHQSREAVRHSDQLKRRDRIQEESSHLKGRDDTFSRVNQFTADERRSRQERSSSRSDRVTNNSDSQRLHERKHKEGSRKSKERDISDHNSLGMSKKSLENPNGPSNEKGSKEFADQERAGHDIQGHRLSRKHQDGISSDDEQQDSYRGRSKLERWTSHKERDFSINKSSSSLKFKDIDKDNNGRSSEVGKPVDESAKTVDDDNQQLLMAEARDSVDMESRDGDSKESGDQHLDTVERLKKRSERFKLPMPSEKEAQVIKRLESEPLPSAKTENPVESDVKQERPARKRRWISN >CAK8537343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:289245999:289246424:-1 gene:gene-LATHSAT_LOCUS6640 transcript:rna-LATHSAT_LOCUS6640 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVILVNEGSSAQKHQNKAVSSDGNTRIAARPCPVQDASSDRGNNQMPHANALIVPKDEPVDELSDYELPIAMVPPEPISLRHSSKKSGITRKQGGHVSVTSS >CAK8560193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10186622:10189409:-1 gene:gene-LATHSAT_LOCUS13889 transcript:rna-LATHSAT_LOCUS13889-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSSPVHIKPSFFSDKINTSIKLSGKFALKRKENDTKVVKRIVHCSAANSIEPAWPGTAIPEPSDFKTWDGPKPISVLGSTGSIGTQTLNIVAEFPERFRIVGLAAGSNVTLLADQVKTFKPQVVALRDESKIDELKEALADVEHKPEIIPGEQGVIEVARHPDAATVVTGIVGCAGLKPTVAAIEARKDIALANKETMIAGGAFVLPLAHKNNIKILPADSEHSAIFQCIQGLPKGALRKIQLTGSGGTFREWPIEKMKDIKVADALKHPIWTLGRKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSLVETQDSSVIAQLGLPDMRLPLLYTLSWPERVYCSEITWPRLDLTKYGSLTFQAPDTKKFPSVNLCYAAGRAGGTMTGVISAANEIAVELFVAEKISYLDIFKVVELTCNEHQKELVTSPTLEEILHYDQWARQYATGLQKALSF >CAK8560192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10186622:10189415:-1 gene:gene-LATHSAT_LOCUS13889 transcript:rna-LATHSAT_LOCUS13889 gene_biotype:protein_coding transcript_biotype:protein_coding MIMALNLSSPVHIKPSFFSDKINTSIKLSGKFALKRKENDTKVVKRIVHCSAANSIEPAWPGTAIPEPSDFKTWDGPKPISVLGSTGSIGTQTLNIVAEFPERFRIVGLAAGSNVTLLADQVKTFKPQVVALRDESKIDELKEALADVEHKPEIIPGEQGVIEVARHPDAATVVTGIVGCAGLKPTVAAIEARKDIALANKETMIAGGAFVLPLAHKNNIKILPADSEHSAIFQCIQGLPKGALRKIQLTGSGGTFREWPIEKMKDIKVADALKHPIWTLGRKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSLVETQDSSVIAQLGLPDMRLPLLYTLSWPERVYCSEITWPRLDLTKYGSLTFQAPDTKKFPSVNLCYAAGRAGGTMTGVISAANEIAVELFVAEKISYLDIFKVVELTCNEHQKELVTSPTLEEILHYDQWARQYATGLQKALSF >CAK8535223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827445128:827447167:1 gene:gene-LATHSAT_LOCUS4696 transcript:rna-LATHSAT_LOCUS4696 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESTGLIIGISIGVVIGVVLAISALICFRYHRKRSQIGNSSSRRAATIPIRANGADSCTILSDSTLAPESPVRSGRNGMNFWIDGFKKSNMISASGVLEYSYKDLQKATYNFTTLIGQGAFGLVYKAQMSTGETVAVKVLATNSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGNLASHLYSEENGNLGWDLRVHIALDVARGLEYLHDGAVPPVIHRDIKSNNILLDQSMRARVADFGLSREEMVDKHAAVRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSKLEGKCDFQELNEVAALAYKCINRAPRKRPSMRDIVQVLTRILKARHQRNHHHKNSLSETADEVAMDVDEAENKDSVTTDHHRRDESIDSTTDMYDL >CAK8571646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454591593:454591766:-1 gene:gene-LATHSAT_LOCUS24287 transcript:rna-LATHSAT_LOCUS24287 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKSKLRNKINDVWFNDLMVCYTEREIFKSLDDVDIIRTFTAKKSRKGHLPRNFI >CAK8567455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515729266:515731504:-1 gene:gene-LATHSAT_LOCUS20508 transcript:rna-LATHSAT_LOCUS20508 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNYNLKTEDLNLWFENLMMVAGGEKGGNMKVGVITEWKDIPVELLMQILSLVDDQTVIRASGVCRGWRDSIYFGLARLSLSWCNKNMNNLVISLVPKFAKLQTLILRQDKPQLEDDAVRTIANFCHDLQILDLSKSFKLTDASLYAIAHGCRDLTKLNISACSAFSDNVLAYLAGFCRKLKVLNLCGCVRAASDTALQAIGHYCNQLQSLNLGWCEEVSDVGVMSLAYGCPDLRTVDLCGCVKITDDSVIALANRCPHLRSLGLYFCKNITDNAMYSLAQSKVKNRMWGAVKGGNEEDGLRNLNISQCTSLTPSAVQAVCDSSPALHTCSGRHSLIMSGCLNLTSVHCACAIHAHRGINTFPHTAH >CAK8564529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679939634:679951774:1 gene:gene-LATHSAT_LOCUS17828 transcript:rna-LATHSAT_LOCUS17828 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELVQLSESMRQASALLADEDVEESRRTSTFLNVVGLGNVGAGKSAALNSLIGHPILPTGENGATRAPISLELNRDTSLTATNIILQIDNKSQQVSASALRHSLQERLSKGSSSKKGEEIGLKLRTGTAPPLKLIDLPGLDQRIVDDKMISQYVEHNDAILLVVVPAAQAPEISSLRALRVAKEYDAESTRTVGVISKIDQAAAEPKALAAVQALLLDQGPPKTSDIPWVALIGQSVSLASAQSGSGAPESSLETAWRAETESLKSILTGAPQSKLGRIALVESLAAQIRNRMKLRLPTLLTGLQGKSQVVQDELVKLGEQMVSTSEGTRALGLELCREFEEKFLQHLTGGEGNGWKIVASFEGNFPNRIKQLPIDKHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLADLVSAAANATPGLGRYPPFKREIVAIASSALESFKNESKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRPSKKPLDAEQSILNRATSPQSGQQSGGNLKSMKEKSSQQDKDTQEASGLKVAGPDGEITAGYLLKNSGKGGWSKRWFVLNEKSGKLGYTKKQEERHFRGVISLEECNIDDMSDEGEAPTKSSKDKKSNGPDPGKAANLVFKITSKIPYKTVMKAESTVLLKAESMADKVEWINKLRNVAHAKGGQAIGEPSFPMRQSLSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANIPKAVVLCQVEKAKEDMLNQLYSSISAVSSARIEELLQEDQNVKRRRERAQKQSSLLSKLTRQLGVHDNRAAAASNWSDRSNAAESSPRSSGPSSGDDWRSAFDAAANGSSDSLSRYGSGGHSRRYSDPSQNGDPISGSNSGSRRTPNRLPPAPPQSSSRY >CAK8537296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:209740211:209740519:-1 gene:gene-LATHSAT_LOCUS6595 transcript:rna-LATHSAT_LOCUS6595 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSIPLNIFFFHQTQTTPTSSFLHHQTVNFSRRKTTLKTACSDSQQNSQQRQSQRKKKPINTNDIDSDGEKGYDPIGFLDKRGISHKAFAQFLHERQVI >CAK8576101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:406355487:406356374:-1 gene:gene-LATHSAT_LOCUS28316 transcript:rna-LATHSAT_LOCUS28316 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGKGNQDLDNVNCNVDMKDDITSVENGKTKDGVEALENPVTSESADEVSVFPLEHCMRILPHDQNIGAFFIAVLQKVSPLPAITEKPSKQIDEQNVEPPNQSLENAQAPQINSSDSIIEEVVKAVPEENMIDNVSNTKDLEVSPLTREEQNSEETEVPHNAQDMEKRAPGKRKLQLQGQWRGVDPVVFFKDAIRDGVHIISLSLGPQSPQGNYFNDAISVASFHAARHGVLVVASAGNEGTLLLLAQQIGISPLISY >CAK8543773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638847527:638850018:1 gene:gene-LATHSAT_LOCUS12511 transcript:rna-LATHSAT_LOCUS12511 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQHLRNSRVSRLFLISFVIFFIYFPGLVLSAVVTLSSFEIFTTHEWLRATPTVYFRCTGDNKTVLPDVKKKHVLYAFKGEESWQPITEFIGRKCKRCGFYEKDSILKDHEFDEWELCPSDFSAPAGNYVHFKEKEFNASFLCPECLLFSNNVTMSAPEGDHKEKGKNIGVIILVAVLVSAVLIAGALGAYKYWQKRKREQDQARFLKLFDEGDDIEDELGLGPML >CAK8574876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12981262:12982134:-1 gene:gene-LATHSAT_LOCUS27175 transcript:rna-LATHSAT_LOCUS27175 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMKDETSKAASHRVRPFDRHDYNFIVDETVDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQNPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8565824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339581231:339582442:1 gene:gene-LATHSAT_LOCUS19005 transcript:rna-LATHSAT_LOCUS19005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVTVIATKKTSKVRKQLHIHHDIAFSILSKLSIKPLKRFECVCKSWSLLSANRYFMSHYRNSFLTKYHSYYDDASFLPIQRFPIFHNQRFEFPIFYNQRFELHSLYEERFPSNVKIDWPYLHCFPRIVGCGSVHGILCFSIMPQNDIILCNPSTKDYKAIPLDRNHHECYGRGYSNSGFGYDCVEDDYKVMCIYHLENEPMEDLYLDPFIWEIFSLRNNSWKKLDADIKFNPNFWNDEQFYIDGFSHRVCQIEEYGYKRYVLSFDWHREVFTTTLIPFDIEDILDFLFSWTNLMLLNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLEHPIGMGKKSDMLFRKKDGGFVWFDLITQKTTDLSITNKACSDIIIHKENPISLLAYVGKSI >CAK8563927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639582745:639586506:1 gene:gene-LATHSAT_LOCUS17285 transcript:rna-LATHSAT_LOCUS17285 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEIEKTQEERKKLEKQLASLTELTFDTDLYGASDKDSYLTSIPATEYDENLDADNEAARRMPSYTAPKSILKDMPSAGNDAEETRFGKPQRIIDREDDYRRRRLNQLLSPDRHDAFAAGEKTPDPSVRTYADIMREEALKREKEETLRAISKKKKEEEEAGLEKGQQQKRRNRWDQSQDEGGAKKAKTSDWDAPDATMTTPGRWDATPTPGRVIDATPGRRNRWDETPTPGRLVDSDATPGGVTPGATPGATTWDSTPKLPGMATPTPKRQRSRWDETPATMGSATPLPGATPAGAYTPGVTPVGGVELATPTPGALQGSFTPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPMGTPLYQIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEENEEELTPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRQHRGKVLAAFLKAIGFIIPLMEALYASYYTKEVMLILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRTDILPEFFRNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVTNLGSSDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCHEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKSIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPSLEDEHSNVYSRSELMMFI >CAK8577186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543621356:543631556:1 gene:gene-LATHSAT_LOCUS29315 transcript:rna-LATHSAT_LOCUS29315 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASKIKAVDFYRKIPRDLTEASLSGAGLSIVAALAMMLLFGMELNNYFAVNTTTSVIVDTSSDGEFLRIDFNLSFPALSCEFASVDLHDVLGTNRLNITKTVRKFSIDSNLRPTGSEFHSGTIANAVKHDDEVDEEFVEGALPLTSHSFDKFIQEFPITVVNFYAPWCSWCQRLKPSWEKAAKIMRERYDPEIDGRILLAKVDCTKEGDLCRRHHIQGYPSIRIFRKGSDVRSDHGHHEHESYYGDRDTESLVKTMEGLVASLPTGSQHLALEDKSNGTDNVKRPAPSTGGCRIEGYVRVKKVPGSLVVSARSDAHSFDASQMNMSHVVDHLTFGKKVMPRALSDVKHWIPYIGRNHDRLNGRSFINTRDLEGNVTIDHYIQAVKTEVITRKGYKLIEEYEYTAHSNVAHSINIPVARFHLELSPMQVLITENQKSFSHFITNICAIIGGVFTVAGILDSILHNTMRAMKKIELGKNF >CAK8533245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:596775629:596776987:-1 gene:gene-LATHSAT_LOCUS2890 transcript:rna-LATHSAT_LOCUS2890 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRNFCNICRFFTIPHFFKNTLFQSPTGSYPIRTLKPSFTTSIHNPHIYLKLDFQFHLSRYFCSNPNSSYKRKPYTTSKQVSEIIALICEGVNDLEYRLNMMNVSLSLSSVVYIFDRLASEKVSALMFFHWLNLSHRELCCAPEIGNLVIENCGLLGNFEAMVPVLVEFNLKRMCLGRRAFRFLVVLRLDRDYCMECVRRVLDVLNKVGGACRSSGVNLLIETFSFSGDFDIAEYVIEETGRNVRSYNFLLRLMCNRGYYERVGDLVEKMKGIGVEPDGSTYNLLVSCSIKIGKFVEVCQVLEMVERENGLPDGFSFDVLISLLCKHGQIDLALKFLDKMALKGIQPCRLTNAVVIKSYFESGKYDEAHEYVVDSACKHSYSSNESYTLLASLYLKKGSVLLSQRILQEMMDRGLKPNYSVYMKIRKCLEKKNKKDLSLELSRRYLRFIEK >CAK8532442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:263530102:263553982:-1 gene:gene-LATHSAT_LOCUS2147 transcript:rna-LATHSAT_LOCUS2147 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWPTGVDNCASGFLTHSRSDFTPRISPLQPDDDLDTDWVPQSHNLAPVPNLVVTAANVLEVYIVRLQHDPPKSSADSSTLDGISGASLELVCHYRLHGNVESVAVLSVGGGDASKRRDSIILTFKDAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVAKVDPQGRCGGVLVYDLQMIILKTNQAGSGLVGEDDVSGSGGAVPARIDSSYMINLRDLDMRHVKDFAFVHGYIEPVMVILHECELTWAGRVSWKHHTCTISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTLHYHSQSASFALALNSYAVSPDNSQEMPRSSFNVELDAANATWLSNDVALLSTKTGELILLTLIYDGRVVQRLDLSKSKASVLSSGITTIGNSMFFLASRLGDSLLVQFSCGSGVSMLSSGLKEEVGDYDVDASSAKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCILRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNADSSKLADDEDEYHAYLIISLESRTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVSFGAFNSESSYGSESALALSVSIADPYVLLRMSDGSVRLLVGDPSTCTISVTSPASFESSKGSVLSCTLYHDKGPEPWLRKTSTDAWLSTGAGEAIDGTDGAAQDHGDVYCVVCYESGNLEIFDVPIFICIFAVENFLSGKSHLVDALTKEVPKDSQKGDKVSDGGVSQGRKDALNMKVVELAMHRWSGKHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSVSAGGSTSVSRLRNLRFVRVPLDVHAKEETSIGSPYQQINIFKNISSHEGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNINCNHGLIYVTSQGVLKICQLPSGSNYDCYWPVQKVPLKATPHQVTYYAEKNLYPIIVSFPVPKPINQVIALVDPDANHLTESQNLNSDEQNRFYSIEEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLMNTSSKENETLLAVGTAYVQGEDVAARGRILLFSLGKNTDNPQVLVSEVFSKELKGAISAMASLQGHLLVASGPKIILHKWTGTELNGVAFFDVPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLMVSDDQKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRTGSGPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLIDAVPHVAGLNPRTFRRFHSNGKAHRPGPDSIVDCELLCHYEMLQLEEQLEIAHQVGTTRSQILSNLNDLSLGTSFL >CAK8564343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668969511:668969995:-1 gene:gene-LATHSAT_LOCUS17658 transcript:rna-LATHSAT_LOCUS17658 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVELEDDLFFADLSKQISLLIMDEDEENPLSSCHHQHSLQSFSGAIYRPPPQSNIMYEQMGLRRQESKGTGVFIPQSTTQPRRKQRKGRSSSSYAKYQKQSQDTTKTVSQ >CAK8537532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:389262717:389262962:-1 gene:gene-LATHSAT_LOCUS6821 transcript:rna-LATHSAT_LOCUS6821 gene_biotype:protein_coding transcript_biotype:protein_coding MPILVNGGTTKDFKGTRGLIQGDPLSPFLFSIVAEGLACLVRRAGIQGLFCGFRLNEIEDNIVVQFTDEIVLICEVDWKKL >CAK8569825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12801628:12802282:1 gene:gene-LATHSAT_LOCUS22634 transcript:rna-LATHSAT_LOCUS22634 gene_biotype:protein_coding transcript_biotype:protein_coding MVFERNTNVLLTSFVVVKDVVLKLKPLQTKDELLVFAAVGIKLKVIIINLRRKQGAIDLKFLSVVVVLILENENMEGLERLLWSCFDV >CAK8538912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499407958:499408764:-1 gene:gene-LATHSAT_LOCUS8060 transcript:rna-LATHSAT_LOCUS8060 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTNATSNYFDSFHFISLVHQERYSMFLTNQKIVSEINFQLEGDRFSVIQATIVSRGWVKLTSFAKVASKTLPKEFFANAYQDPAKENGNNKNDRIQYTSFARGKKVPFDDKIINRLFGLENYEHCSFEARVAKGSNIVPREVLSTLCRPEVNHIRNEDGTSRNQICSSDLTPIAKAWIKFISHTLLPCSNVTVLSNKKLALLIAILNGEPVNVGRLLANNLLVTANCSSPTSCIIHASLISKLCERAGVYPEMNEDIVKPYSLKLH >CAK8562469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:497317522:497319710:-1 gene:gene-LATHSAT_LOCUS15961 transcript:rna-LATHSAT_LOCUS15961 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTILPFFLFFSLITFSLASNIPSGRSNEEVMTMYEEWLVKHQKVYNGLGEKDQRFQTFKDNLKFIDEHNAQNYTYIVGLNKFADMTNEEYRDMYSGTRSDAKRRIMKNKITGHRYAYDSGDRLPVHVDWRLKGAVTHIKDQGSCGSCWAFSTIATVEAINKIVTGKLVSLSEQELVDCDRAFNEGCNGGLMDYAFEFIIGNGGIDSDKDYPYKGLEGRCDPTRKNAKVVSIDGYEDVPSNNENALKKAVAHQPVSVAIEASGRALQLYQSGVFNGKCGTSLDHGVVVVGYGSENGLDYWLVRNSWGTNWGEDGYFKMERNVKRTYTGKCGIAVEASYPVKYGQNSAVATNSAYEKTEVLVSSA >CAK8564648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4994414:4994907:1 gene:gene-LATHSAT_LOCUS17932 transcript:rna-LATHSAT_LOCUS17932 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGSRILHLMFANDLLLLGSHGSSILHLLFFDDLLLFGEASKVQIRNVARCMKKNLVHISKFREVPQFSKYLGVSLSGKNLRKSIFHYVVDQIAAKLSCWKANSISMAGRVTLAKSVLEEIPIYPMMTNLLPKA >CAK8564649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4994447:4994907:1 gene:gene-LATHSAT_LOCUS17932 transcript:rna-LATHSAT_LOCUS17932-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFANDLLLLGSHGSSILHLLFFDDLLLFGEASKVQIRNVARCMKKNLVHISKFREVPQFSKYLGVSLSGKNLRKSIFHYVVDQIAAKLSCWKANSISMAGRVTLAKSVLEEIPIYPMMTNLLPKA >CAK8531505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116987018:116989134:-1 gene:gene-LATHSAT_LOCUS1293 transcript:rna-LATHSAT_LOCUS1293 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLAQQLTGVKCTPLLWKPTQAPKQRRSGVLPIVCSIAISSKNNKERANLQQLFEEAYERCRTAPMEGISFTVDQFSAVVGNYDYDSEIGTKVMGTVFATENGGAFIDTPTKSTSYLPLEEASIRRIRHVEDAGLVLGAREEFIIIGQNEVDDGMILSLRSLQYDLAWERCRQLQAEDAVVKGKLVEANRGGVVADVEGLEGFVPFSQLSTQLPGEEIIEMEIPLKFVEVDEEQARLVLSHRKAVAGNQGQLGIGSVVTGTVQSLKPYGAFVDIGGISGLLHVSQISHDRISDIETVLQPGDVLKVMILSHDRDRGRVSLSTKKLEPTPGDMIRNPALVFEKADEMAQTFRQRIAQAEAMARADMLRFQPESGLTLTSDGILGPLGSDLPAEGLDLSEIPPAEDY >CAK8564964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19221676:19224431:-1 gene:gene-LATHSAT_LOCUS18212 transcript:rna-LATHSAT_LOCUS18212-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALSQREEQIENVSNRTIPKVLIQCEECKSNPSKYKCPGCSIQSCSLPCVKAHKARTGCNGKRNQTQFVPISQFDDNILLSDYNLLEEVKRVAEVASKTRTKLGVSTYFKLPNHLKSLRHAAGSRSTKLLFLPNGMSKRENNHSRFDNREKSIHWTIEWRFHSTNIVLHDHGVHEDTSFCTILEKHLKPGPWNHQLKEFCDDHDRLKLFIRKYPKGPKSPFKELDMKAPIRQQLKNIVILEYPVVFVFLPSHTINFEVIKNVIPSTPKSPQKDSEVNLIPEGVSFREEEIGDDNNFDDPKVFDLLKHAESSPSHQVHTENTPSEKAPNDTKVFDLLKHAESSPSHQVLTENTPSEKAPNDPKVFDLLKHAESSPSHQVLTENMLFEKAPNYTLDIPVFQGDVKRNFLPSCFIDTDLQLSESGMFDFEQDLMFEDHSYDLMYQFNPQVFPEFDCEFAKKDENGIDVFDAINQLPEIRQTEQLEEGEIPD >CAK8564963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19221676:19224431:-1 gene:gene-LATHSAT_LOCUS18212 transcript:rna-LATHSAT_LOCUS18212 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALSQREEQIENVSNRTIPKVLIQCEECKSNPSKYKCPGCSIQSCSLPCVKAHKARTGCNGKRNQTQFVPISQFDDNILLSDYNLLEEVKRVAEVASKTRTKLGVSTYFKLPNHLKSLRHAAGSRSTKLLFLPNGMSKRENNHSRFDNREKSIHWTIEWRFHSTNIVLHDHGVHEDTSFCTILEKHLKPGPWNHQLKEFCDDHDRLKLFIRKYPKGPKSPFKELDMKAPIRQQLKNIVILEYPVVFVFLPSHTINFEVIKNVIPSTPKSPQKDSEVNLIPEGVSFREEEIGDDNNFDDPKVFDLLKHAESSPSHQVLTENMLFEKAPNYTLDIPVFQGDVKRNFLPSCFIDTDLQLSESGMFDFEQDLMFEDHSYDLMYQFNPQVFPEFDCEFAKKDENGIDVFDAINQLPEIRQTEQLEEGEIPD >CAK8576055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:394528377:394529467:-1 gene:gene-LATHSAT_LOCUS28272 transcript:rna-LATHSAT_LOCUS28272 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFFEFKKQASFFLKEKIKTARLALTDVTPAQLMVEEATNGNPWAPTTVTLRSISKAAFDLDDYSRIIEILHTRLVKFEKKNWRVSYNSLIVLEHLLTHGPESVAEEFQSDKDVINQLNCFQFIDENGFNWGLTVRKKSERVMKLLEEGSLLKEERTHARKLSRGIQGFGSFNQKSTPAQSILREKSLPTTLGRSNSDSNNQDQENNSAFASSNSAKKTMRYQDADDVGNNQLLQKSDSSSKENMEWKMNGESQSLLDLGEDDYSRIGRFKIEDDHPFNSTKEMHSSASLLSARDGILQGC >CAK8560218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10781976:10786550:1 gene:gene-LATHSAT_LOCUS13912 transcript:rna-LATHSAT_LOCUS13912 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPPSLSFSSSSSSSSRPQWIYDVFINFRGQDTRSSFVSHLYAALSNAGINTFLDDENLQKGKKLGPELLRAIDASQIFIVVFSENYVHSSWCLDELEQIMKFQTDKDRVIMPVFYGITPSYIRAYAKQTFGEALTRSSDRNKFDIGHDSDYAKENQQKEALTGASHLAGWDMSNYSSESNVVKEIVGEVLKKLDKKCLTIPDFPVGLESRAEQLIQFLRQNTRGVCLVGIWGMGGIGKSTIAKVIYNNLCYEFEDRSFLANIRERWEKDRGPNDLQEQLLSDILTRKIEVHNVEWGKAMINERLCTKQALVVLDDVSTREQLNALCGNRHGIGPGSIIIITTRDARLLDILGVDFIYKVKELNKHESLELFSWHAFREASPTKEFLSLSGGVVSYCGGLPLALEVLGSYLFKRRKQDWRSVLSKLEKIPNDQIHEKLKISFDGLEDRMEKDIFLDVCCFFIGKDKAYITEILNGCGLHADIGITVLIERSLIKVEKNSKLGMHALIRDMGREIVRESSPEEPEKRSRLWCHEDAVDVLTNHTGTKAIEGLVIKMQGTSSVCFDTIAFEKMKRLRLLQLDSVQFIGDYECFPKNLKWLSWQGFPLKYTPENFYQKNLVVMDLKHSNLAQVWKKPQLLEKLKIINLSHSKYLKSTPDFSKLPNLEQLVMKDCQSLSKVHSSVGDLKNLLLINLKDCTSLTNLPRKIYQSKSVKTLILSGCSKIDNLEEDMGQMESLTTLIAKDTAVKKVPYSILRLKSIVYISLCGYEGLSRDVFPSLIRSWMSPTMNSLAQIPPFGGMSTSLVSLNIDTNNLELVYQSEMLSSCSKLRSVSVQCDSEIQLKQEFQRLLDDLYGAGLTELGTSHASQILDLSLRSLLIGIGSCHIVTDTLEKSLSQRLATNCSESFLPGDNYPSWLAYSGEGPSVHFQVPEDADCGMKGITLCVLYSSTPENLTTECFTSVLIINYTKFTIHIYKGDTVMSFNDEDWQSVLSNLGAGDNVEIFVAIGQGWIVRKTAVYLIYDQTNAMETETYSEAKIESLHEVEVQPLSNGKRESSLPNVKTEPSAEEEVQPPPEVKMEPSRVVKNELLPKKNRNYFTRLIKRVGNFLLCLNQN >CAK8578520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634695451:634696444:-1 gene:gene-LATHSAT_LOCUS30524 transcript:rna-LATHSAT_LOCUS30524 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGYAWAVSAGFNAALAAIFAKLTFHILIKYGLVVLFNVTMWGCYVNSLKALSSLQATVTNFATNFITSGLAGFFFFHESLSFQWFAGALLIIIGVIILSNSNIEKKVSSD >CAK8564973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19803655:19804262:-1 gene:gene-LATHSAT_LOCUS18220 transcript:rna-LATHSAT_LOCUS18220 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSAAMCKSSIIHPPIKTTINLPPIQTKITPLPIETTITHLPIQTTKDVVVEDEDEDVVVGDEPGDDLVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKYVYWDVNVISK >CAK8565379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156474916:156475320:1 gene:gene-LATHSAT_LOCUS18599 transcript:rna-LATHSAT_LOCUS18599 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGLVPSALFLCVGVLYDRHKTRLVRYYRGLVSTMPNLSTISFSSTLANMSSPGTSSFIGEFPISVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKADFLHKFSDPNGREVSIFIPFLVGGATVR >CAK8571939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495139508:495140113:-1 gene:gene-LATHSAT_LOCUS24557 transcript:rna-LATHSAT_LOCUS24557 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRQYTAVIRSMEAKVEALENKLADIRSSVTAVENAVKDMPAALMAMLEKSLVRSIQVSETSVQVQKGVGVSEKSSETMREMTGVGSSSKVELPSFDGNDPAGWISRAEVYFRVHDTPPEVKVSLAQPCMDGSTTHFFNSIVDEDRSLTWEGLKDVLLERYGGNGEGDVYEQLIDLHQAISVLSNCGAMVAMADIHGGF >CAK8564885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14921195:14922145:-1 gene:gene-LATHSAT_LOCUS18141 transcript:rna-LATHSAT_LOCUS18141 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMIRVQALSVLCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPLLKNIFIKNPINQQSTLSGISKETQYEILKNITTKASSESYEKSNSSGSSNLWIFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8575049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24464195:24464740:-1 gene:gene-LATHSAT_LOCUS27341 transcript:rna-LATHSAT_LOCUS27341 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSINIKRGVLLVKRKRVASMIQLGKVDVYFTQESKLPKLDSNLASLLWGDSNVEWSKFGIVGSTGAIFILCRKDLLKLSCSFKGEGFVGLNAEWKGRDLFFVNVFSSCALIIKRKLWRDLVATKNNFGRGDWLVGNGFNSATCSSERKGASGEGVEGRCWNSMAFIRGGKMDGLDGYG >CAK8561475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241109789:241111111:-1 gene:gene-LATHSAT_LOCUS15063 transcript:rna-LATHSAT_LOCUS15063 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMAEGTNLVFHNNVIGGTAIKRLISRLIDHFGMAYASHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSSVLEKHNHYGNVHAVEKLRQSIEIWYATSEYLRQQMTPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNFREGLSLTEYIISCYKARKGVVDTVVRTSDAGYLTRRLVEVVQHIVVRRIDCGTIRGISVNIRNGMMPERILIQTLNGRVVADNIYIGSRYIVVRNQDIGIGLINRFITFQTQPIFIRTPFTCRNTSWICRLCYGRSPIHGDLVEIGEAVGIIVGQSIGEPGTQLTLRTFHTGGVFTGGTAEYVRAPSNGKIKWNEDLVHPTRTRHGYPAFICNIDLYVTIESYDIIHNVIIPPKSFLLVKNDQYVKSEQVIAEILAGTYTFNLKERV >CAK8577299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553628906:553629193:-1 gene:gene-LATHSAT_LOCUS29420 transcript:rna-LATHSAT_LOCUS29420 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLSSLTNTLLFLLFLFFLTSANCRLIPTPTSSRNHHHCNSFSNRTSRSLCYNLQRIHRHNLDPPPTNIGVEIDPKYGAEKRLVPSGPNPLHN >CAK8542718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540356813:540359060:-1 gene:gene-LATHSAT_LOCUS11532 transcript:rna-LATHSAT_LOCUS11532 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSISNARYNYVHDQKYPSEDVDIHHIVLKRSGAKYYFVYASALLVLACGFYLYVFEEKSISLVYYSLLFDILLVKLLLQKPVNKESVVIMPAFGVQLETHYTSGKVIRCFVPIDKILKPVLLECVTPVTCYWTLSLIVREESEMVLVFKNLRPPVKMLVHVWKALCAATDNKEETCTHTE >CAK8530607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35488500:35488730:-1 gene:gene-LATHSAT_LOCUS460 transcript:rna-LATHSAT_LOCUS460 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYEGNFDYSYDNVDINNSTTETFSGSHPNLATRLQRRANIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8540994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39889105:39889662:-1 gene:gene-LATHSAT_LOCUS9948 transcript:rna-LATHSAT_LOCUS9948 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREVDSITMANCLMLLSRGSDQFEATYSSTITSNDRVFECKTCNRQFSSFQALGGHRASHKKPRLMGENIDGELLHTPPKPKSHECSICGLEFAIGQALGGHMRRHRASNLKGDNYMHNSNNTMSSSSGGGGGNSSFDSSQKMKGRKRVLLLDLDLNLTPFENDLEFLKIEKTTANLIDYLH >CAK8579269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689105521:689107514:-1 gene:gene-LATHSAT_LOCUS31227 transcript:rna-LATHSAT_LOCUS31227 gene_biotype:protein_coding transcript_biotype:protein_coding MFACAFPPAFAFATVNNIMEIRTDALKLLAILRQPVPRAAGTVGAWLNIFQFLILMSICTNCALLVWLYDEEGKWKVEPGLAAILIMEHVLLLIKFGFSRLVPEEPAWVRANRAKHTTQAQDLCSKKLLRTVSGGERNYGEMKVKRL >CAK8579270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689105521:689106291:-1 gene:gene-LATHSAT_LOCUS31227 transcript:rna-LATHSAT_LOCUS31227-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRTDALKLLAILRQPVPRAAGTVGAWLNIFQFLILMSICTNCALLVWLYDEEGKWKVEPGLAAILIMEHVLLLIKFGFSRLVPEEPAWVRANRAKHTTQAQDLCSKKLLRTVSGGERNYGEMKVKRL >CAK8573550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619306680:619310498:-1 gene:gene-LATHSAT_LOCUS25986 transcript:rna-LATHSAT_LOCUS25986 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFKVIVLSYLVVFQFCYAVGDKYEQNPDKLSLLSFKGSLQNSHFLSSWHSATPHCNWVGVTCQLGRVTSLSLPSHYLKGNLSLSLSSLSFLSVINLEDNQFSGEIPGEVGRLLQLKTLRLGSNSFTGNIPPEFGGLTKLHTLDLSGNALVGEIPESFGNLSKLQFLDLSNNILSGPLPLTLFTGTLSLISVDISNNSFSGELPPEIGNWKNLTALYVGINKLSGTLPKEIGKLSKLEVLYSPSCLIEGPLPEEMENLKSLTKLDLSYNPLRCSIPKFIGKLKNLKILNLVFSELNGSVPPELGNCSNLTSVMLSFNSLSGSLPQELSELPIRTFSAEKNLLHGPLPSWLGKWTNVDSLLLSANRFSGVIPPELGNCSVMEHLSLSSNLLTGYIPEELCNAASLLEIDLDDNYLSGTIEKAFVNCKNLTQLVLMNNQIVGSIPKYLSELPLMVLDLDNNNFSGKIPSSLWNLSTLMEFSAANNHLEGYLPVEIGSAVILQRLVLSNNRLKGTIPKEIGRLQSLSVFNLNGNMLEGNIPIELGDCVSLTTLDLGNNQLNGSIPDKLVELSELQCLVLSHNNLSGSIPSKESSYFRQLTVPDLSFVQHLGVFDLSHNRLSGTIPDELGRCVVVVDLLLSNNMLSGSIPRSLSRLMNLTTLDLSGNLLSGSIPAELGDAVTLQGLYLGHNQLSGTIPESFGKLTGLVKLNLTGNMLFGSIPISFGNMKELTHLDLSYNVLSGKLPSIMSGVQSLVGFYVQNNRLSGQVGELFSNSMTWRIETMNLSHNCFDGSLPWSLGNLSYLTILDLHQNQLTGEIPLDLGNLMQLEYFDVSDNHLSGKIPEKLCSLVNLNYLDLSQNRLEGPIPRTGICQNLSRVRFVGNINLCGQMLGTNCEVKSIGRYALFNAWRLGGIAITVILITLIFAFVLHRWISRKQNDPEELDDRKLNSYLDQNLYFLSSSKSKEPLSINVAMFEQPLLKLTLVDILKATDNFSKTNIIGDGGFGTVYRATLSNGRTVAVKKLSEAKTQGQREFMAEMETLGKVKHQNLVSLLGYCSMCEEKLLVYEYMVNGSLDLWLRNRTGGLEILDWNKRYKIATGAAKGLAFLHHGFIPHIIHRDVKASNILLNEDFEPKVADFGLARLISACETHISTDIAGTFGYIPPEYGQSGRSTTRGDVYSFGVILLELVTGKEPTGPDFKEIEGGNLVGWVGQKIKKGQAAVVLDPTVLDADSKQMMLQMLQIACVCLSDNPANRPTMFQVHKFLKGMKGDE >CAK8544513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689626954:689629732:-1 gene:gene-LATHSAT_LOCUS13184 transcript:rna-LATHSAT_LOCUS13184 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKHFAVVFCLWALTCSLLPSFSFGMMRVGLQKRPLDLHSINAFRNTREHQLRSGRPMISAYDRYIGKSNDEAEVPLKDYMNAQYFGEIGIGTPPQTFTVIFDTGSSNLWVPSSKCYLSLACYTHNWYKAKKSKTYNQNGTSCKISYGTGSISGFFSQDHVKVGSSVVKHQDFIEATREGSLSFLAGKFDGIFGLGFQEISVARALPVWYNMVEQNLIGEKVFSFWLSGNPNAKKGGELIFGGVDPKHFKGKHTYVPVTEKGYWQIEMGDFFIGGSSTGVCEGGCAAIVDSGTSLLAGPTPVVAEINHAIGAEGVLSVECKTVVSQYGELIWDLLVSGVKPSDVCSQVGLCSIRQDLSMSAGIEMVTEKEHSELSVKDTPLCSSCQMLVLWIQNQLKQKANKERVFNYVNQLCESLPSPSGESVISCSDISKMPNISFTIGNKPFVLTPEQYILRTGEGITEVCLSGFIAFDVPPPKGPLWILGDVFMRAYHTVFDYGNLQVGFAEAA >CAK8571161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:320121935:320122757:1 gene:gene-LATHSAT_LOCUS23851 transcript:rna-LATHSAT_LOCUS23851 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNKAYFDRHGLKEEFVHGVKKFVKKALNQPICMSKGGIRCPCINCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGEVELNIDTRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHANDNMEQEEFLEDEFPNEEAKQFYDKLISFNKPIYEGATQSMLSISTQLLEIRSNWHVPQKGLKVKKIDCCKNGCMLYYKDDSKLSECKFCNAPRFIPRKTGTGKYKDIPVKRMFYF >CAK8565204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:68249628:68280410:1 gene:gene-LATHSAT_LOCUS18437 transcript:rna-LATHSAT_LOCUS18437 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQIPRLTNSLRDPFDIDQAYLHRKALLQNQKPHHVASSLDESELAQKIVHGWEEASLEVRQAYKQFIGAVVSLVDGEMRSEDFHQVALTLYRLFSRPIEEEDSIDRIIFDKKLELENLVGHAIVDTKLREVASLAQKLLILQPNNKNSSVSLERHHDVDEDVEFGDDLVFQPPTRFMVDVSLDDGDIIDFKNTVSLAFQKEEYSHIDPTDHFVAEVEKFNLTWLRDACDRIVRNCNSQVSRDELAMAICRVLNSEKPGEEIAGDLLDLVGDNAFETVQNLLLHRTEIVDSIHYGLSVFKSDTSAANAQSRMPSYGTQVTVQTESDKQIDKLRRKEEKRNRRGIEHAGGDDLSTLDFLSLLQASERKNLVDGMIGTGDRAIAVNALPEGTIKKYCKGYEEVIVPPKPTAPMKPGERLIEISELDDFAQAAFRGYKSLNRIQSRIFQTVYGTNENILVCAPTGAGKTNIAMISILHEIGQHFRDGYLHKDEFKIVYVAPMKALAAEVTSTFSQRLSPLNMSVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESSQSMIRIVGLSATLPNYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISEPNFATRNELLNDICYRKVVDSIKQGHQAMVFVHSRKDTAKTAKKLTELAQRSDELLLFNNDAHPQYFFMKKEVIKSKNKDLVELFGFGMGIHHAGMLRSDRGLTERLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQIYDAKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRMNPLAYGIGWDEVMADPALSSKQRSLVIDAARSLDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVINMIAHSSEFENIAVREEEQNELEMLARTSCPLEIKGGPSNKHGKISILIQLYISRGSMDSFSLVSDASYISASLARIMRALFEVCLRRGWCEMSLFMLNYCKSVDRQVWPHQHPLRQFDRELSSEILRKLEERGADLDHLMEMEEKDIGALIRYAPGGRLVKQYLGYFPSLQLSATVSPITRTVLKIDLVITPVFIWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPCKLSFTVPIFEPHPPQYYIHAVSDSWLHAEAFYTITFHNLPLPEISTSHTELLDLKPLPVSSLGNTDYEALYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTHPDMKVIYIAPLKAIVRERMSDWKKRLVTQLGKKMVEMTGDYTPDLMALLSANIIISTPEKWDGISRNWHTRSYVTKVGLIILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLADWLGVEEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPAKPVLIFVSSRRQTRLTALDLIQFAASDEHSRQFLNMPEEALQMVLSQVSDQNLRHTLQFGIGLHHAGLNDKDRSFVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEFFDGKSKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLRERLHDHLNAEIVSGTIHNKQDAVHYLTWTYLFRRLMVNPAYYGLENVEPEFLSSFLSSLVQNTFEDLEDSGCIKMNEDAVESVMLGSVASQYYLSYMSVSMFGSNIGPDTSLEVFLHVLSAAAEFDELPVRHNEEKFNEALSEKVRYPVDKNLLEDPHTKANLLFQCHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSSITCMHLLQMVMQGLWFDKDSSLWMLPCMNADLVTSLSKRGIYSIQELLDIPKAALQTVTENFPASRLYQDLQHFPNVKMKIKLQERETDGERGHMINIRLEKLNSRRHSSRAFVPRFPKIKEEQWWLVLGNTSTSELYALKRVSFSDHLITSMKLPPTTANLQDIKVFLVSDCYIGLEQEHSIK >CAK8562758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533611177:533611596:-1 gene:gene-LATHSAT_LOCUS16227 transcript:rna-LATHSAT_LOCUS16227 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTCSSLAIFLTINLLFFSLVSACGSYSCNPTPKPTPKPKPNPNPNPTPSSGTCPRDALKLGVCANVLNGLLNLTLGQPPVTPCCSLLNGLVDLEAAVCLCTALKANVLGINLNLPISLSLLLNVCSRKVPRDFQCA >CAK8572422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535484585:535487122:1 gene:gene-LATHSAT_LOCUS24993 transcript:rna-LATHSAT_LOCUS24993 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDEGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYDKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRVALEWIDNIPRAKWSRVFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRSV >CAK8534095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694293414:694293629:-1 gene:gene-LATHSAT_LOCUS3665 transcript:rna-LATHSAT_LOCUS3665 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVENPEPVLETFQNHLAAGTLEVLSPVFSDKKNSITNNSIIETNVYVSQGSKSVYILSRLFSTESSIL >CAK8532595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:313567758:313568494:1 gene:gene-LATHSAT_LOCUS2284 transcript:rna-LATHSAT_LOCUS2284 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRRDKIPPLKSGVMNLQNGVLLWFESFGYDMQNIVLTELFLKLFKRVEYTIPTWRCCSFTALCTFLVEEGGCCILDSKFVGCDSRACIGKRDYR >CAK8562177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451079435:451085003:1 gene:gene-LATHSAT_LOCUS15696 transcript:rna-LATHSAT_LOCUS15696 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQGLPPSSSSLFLFLFLSFSYAIAFTPPHILLNHLSQGKYLTNQELWFNQTLDHFSPYDHRQFRQRYYEFLDYFRIPDGPIFLVIGGEGTCNGISNNYIAVLAKKFGAAVVSLEHRYYGASTPFDTLSTENLKYLSSKQALFDLAVFRQYYQDSLNAKLNRRGVENPWFIFGGSYAGALSAWFRLKFPHLTCGSLASSAVVHAIQDFTEFDQQIGESAGAECKAALQETTQLIETKLATNGKALRAVFNADDLEVDGDFLYYLADAAVIAFQYGNPDKLCNPLVDAKKAGEDLVDAYAKYVKEYYVGTFGVNVQIYDQKYLKRTAINKDSSSRLWWFQVCTEVAYFQVAPSNDSIRSSKVDTKYHLDLCKNVFGHGVFPDVDATNLYYGGTKIAGSKIIFTNGSQDPWRHASKQTSSADMPSYLITCNNCGHCTDLSGCPQSPSNIEGNEKNCSSPDAVHKVRQKVQEDMDLWLSECIDTGRSFI >CAK8579400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695951091:695951579:-1 gene:gene-LATHSAT_LOCUS31349 transcript:rna-LATHSAT_LOCUS31349 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFVRLLSSGTTIIPRAAAPVTDVQVFSVINLCDCRYLYVKHGSTSFILQPQNSRGSMFGTSFESERASRIRAEQLAAATSARLEEATKAIQASNDIAQKATEQYQASNEFVKKMESELNALKAFIMQKLDPTNGQSARVVIRSSNPHYDDDLDDQSLSED >CAK8577884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595579394:595581329:-1 gene:gene-LATHSAT_LOCUS29951 transcript:rna-LATHSAT_LOCUS29951 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDHLYHSRIRTIYGRRRVTGDEDKDRLSDLPECIILHILSFLSAQHAVQTCVLSTRWKHLWKRIPTIRLSSYEFRTLKKFSLYLSKILSLRDTSTALHAFDLFRHRDIEHQLLKKFLKFVFSHNSHLQQLGISLCADSGLILSCVSSCRALTSLKLSLHPRGRELKETLFPKSLNLPLLASLDLTNFAFCGDDSGCAEPFLQFTKLNSLVICRCKVIDAQILSISSETLVNLAMHNNSSLLAKIKLYAPTLCHFNYIGSHHIHEICGSGLSSVKKVNIKSLRFSASKEDALALFSWMLNFANIESLTVTSTVLQILSLVPDVLKVKLRSLHNLKSLKVELIQIEEGSLLLLMKDDMLKKAAAKSPIEAAKLRKAFETCLEPPAIPDGIVDFLRQNSPLAEVNITTDYPSSFNLKQIEESIKGAKINSYRSRLSMRPSSSLPRLSVRSSSSLPRLSVRSSSSVAPALAAKSASDAAPASAAEPATAASPNLHLCLGEEDVKSSNKEEEEKHQHNTDSRLQDNGQ >CAK8570121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24466444:24468045:1 gene:gene-LATHSAT_LOCUS22900 transcript:rna-LATHSAT_LOCUS22900 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTNDTAIDIDHSSSKRVSFSENNHTNVEQQQHQHNVPLLLQPSYSRSKSIIFDELRNFRICLKWCALDHSSCVGKLISYVTFILLTFIIPLFTTLFVQVSDASPQDDPISLNKLVQIPESALAIISFFALSRFFLRYGLRQLLFLDALQDDITYVRRGYARELQKAFRYLGYIILPSFFLELAHKIIFFSAVKISAPNISAGFPLHSIVFVLMLISWLYRTGVYLFVCVIFRVTCQLQILRFEGVHKLFEGFGSEAGVIFKEHVRIRRQLWIISHRYRFFIICCVVTMSVSQLGALLLVLASKSEKTFFNSGDLVICSAVQLSGFFLCILGAAKITHRAQGIVSIATRWHMLVTNAFAESEQCKDQMSDALASDSSDSDSSDIHISIIPQRLSSFHTRQSLVMYLQHNHGGITLYGFSLDRGLIHTLFAFEFSIVLWILSKAVVLS >CAK8539511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514624142:514628436:-1 gene:gene-LATHSAT_LOCUS8597 transcript:rna-LATHSAT_LOCUS8597 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFQLSTSSERDRNSGNKSRAMVYLNVYDLTPVNNYLYLFGLGIFHSGIEVHGKEYGFGAHEYPSSGVFEVEPKNCPGFIFRRSLLLGSTDMSLAEFRSFMERLSAKYHGDTYHLIAKNCNHFTDEVCQQLTGNPIPGWVNRLARVGSFCNCLLPENIQVSAVGHVPERLSFPDDDKSDSDDLSLSIESEDEEEPNHRLLNTPSGDVAFLKEKPVRLARDHL >CAK8531725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143674493:143676140:-1 gene:gene-LATHSAT_LOCUS1493 transcript:rna-LATHSAT_LOCUS1493-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRASGRIRSASETDTSSLAKPKITLDHRPPSKVAADKAVESSNAAKLDSLNDDDRPKANLDNILEERDPKFDAMLGQMLGRITSKPGGKPEMGEASVVEKRNRPMPKLRNTKPNSGQYEERPVPAGTLNVAQLRHIILLHEGKADDHNGPMDVHQIAEKFRVEVVQIQKILQFLSHPPEGRSEDKNKTLR >CAK8531722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143666530:143676140:-1 gene:gene-LATHSAT_LOCUS1493 transcript:rna-LATHSAT_LOCUS1493 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRASGRIRSASETDTSSLAKPKITLDHRPPSKVAADKAVESSNAAKLDSLNDDDRPKANLDNILEERDPKFDAMLGQMLGRITSKPGGKPEMGEASVVEKRNRPMPKLRNTKPNSGQYEERPVPAGTLNVAQLRHIILLHEGKADDHNGPMDVHQIAEKFRVEVVQIQKILQFLSHPPEGRTEDKNKTPR >CAK8536837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:26123858:26124280:-1 gene:gene-LATHSAT_LOCUS6166 transcript:rna-LATHSAT_LOCUS6166 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPPNNKIFSMVTQYEQHLQRHIPNDEYQSLINVIDSKKFGSRSNTFKHGAHVYTFCGKTNHTVENCFKKHGVPHHMRKKFQNAANNVTSDGNDNEYIPNSVNIKGDTSPMTQGQFSVLMALLQKSSIVQVSGHASSN >CAK8531499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116440689:116443392:-1 gene:gene-LATHSAT_LOCUS1287 transcript:rna-LATHSAT_LOCUS1287 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVLWFFILWITMILFIHNVEGRYHHHKKPKKISPAPSDPSPPSLNPPPPSVPSDPYPYPNDPGESPSDCIFDVRSFGAVGDGEADDTAAFRAAWKAACAVESGVLFAPENYCFKITSTIFSGPCKPGLVFQIDGTLMAPDGPECWPEADSKNQWLVFYRLDQMTLNGTGIIEGNGEKWWNLPCKPHRAPNGQSISGPCDSPTMIRFFMSSNLVLSGLKIQNSPQFHVKFDGCEGVLIDKLSISAPKLSPNTDGIHLGNTREVGIYNSMISNGDDCISIGPGCSNVNVDGVTCAPTHGISIGSLGVHNSHACVSNLTVRNSIIKESDNGLRIKTWQGGTGSVTGLTFDNIQMENVRNCINIDQFYCLSKECMNQTSAIYVNNVSYRKIKGTYDVRTPPIHFACSDTVACTNITLSEIELLPYEGELVEDPFCWNAYGRQETLTIPPLDCLREGEPETVVELSDYECSG >CAK8541702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:358701785:358703437:-1 gene:gene-LATHSAT_LOCUS10599 transcript:rna-LATHSAT_LOCUS10599-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGAQKKDETPTFPYIQESVRNDPFKVPQRYTRSEEVIQKSLFMPQLSSQLPVIDFALLLDRNKDELSKLDTACKEWGFFQIVNHGVEIDLMQRMKDAASEFFESPIEKKIKYAMPPGGLEGYGHAPVDWSDRVNLTIYPTRFRQLQFWPEELKDIIEAYSSEIRLVGEQLIKSVSLLLGLEEHVLLGLHKELCKGMQDDNATGTQIRHKGGWIPIKTIPGAFLINVGDVIEIWSNGRYKSVEHRVLTNKNKRRITFVSFLYPRDDAEIGPFEHLIDDQNPKMYKEITYGEYFRHVRDKKLAGKTHVRATKINEF >CAK8541701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:358701785:358703554:-1 gene:gene-LATHSAT_LOCUS10599 transcript:rna-LATHSAT_LOCUS10599 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRIYPPILSRGSFIHLRSQPYLSTQDRKTSTITISKMNLDGAQKKDETPTFPYIQESVRNDPFKVPQRYTRSEEVIQKSLFMPQLSSQLPVIDFALLLDRNKDELSKLDTACKEWGFFQIVNHGVEIDLMQRMKDAASEFFESPIEKKIKYAMPPGGLEGYGHAPVDWSDRVNLTIYPTRFRQLQFWPEELKDIIEAYSSEIRLVGEQLIKSVSLLLGLEEHVLLGLHKELCKGLRANYYPPCKVPENVIGLSSHCDIRTLIVGMQDDNATGTQIRHKGGWIPIKTIPGAFLINVGDVIEIWSNGRYKSVEHRVLTNKNKRRITFVSFLYPRDDAEIGPFEHLIDDQNPKMYKEITYGEYFRHVRDKKLAGKTHVRATKINEF >CAK8534579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744218177:744219586:1 gene:gene-LATHSAT_LOCUS4114 transcript:rna-LATHSAT_LOCUS4114-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFEVVFVATPAIGNIVPVVEFANHLIKHSPLFSATIFTFTLPQRLLVNTYIQSLASSAANLRCIHLPPVDPPTTDQYQSFVTYISLLIQNQKNNIRNALLNLTSSTKSTSDSAKLAALFVDMFSTTLIDVANEISLPCYLFFASPASFLGFMLHLPSTESLESETEFEIPSFKKPLPKRVLPSLLMNKKTGAYSWMSYHAERYKETKGIIVNTVQELEPYSLQSLYTDLDLPPVYPIGPILDLDGPAQWDPNPVQYNYIMEWLDMQAPGSVVFLCFGSLGSLEVKQVEQIAIGLERAGIKFLWALREPPKARLDDPRDYASYENVLPDGFLKRTVGMGIVCGWVPQAKVLAHKAVGGFVSHCGWNSILESLWYGVPVATWPVYAEQQMNAFEMVRELRLAVEIRLDYKKGEDLVRAEEVENVVTKLMNGSDEIKRKVKDMSENCRVALTENGSSYNNLVSLVKELTK >CAK8534578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744218153:744219586:1 gene:gene-LATHSAT_LOCUS4114 transcript:rna-LATHSAT_LOCUS4114 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSLITMTKFEVVFVATPAIGNIVPVVEFANHLIKHSPLFSATIFTFTLPQRLLVNTYIQSLASSAANLRCIHLPPVDPPTTDQYQSFVTYISLLIQNQKNNIRNALLNLTSSTKSTSDSAKLAALFVDMFSTTLIDVANEISLPCYLFFASPASFLGFMLHLPSTESLESETEFEIPSFKKPLPKRVLPSLLMNKKTGAYSWMSYHAERYKETKGIIVNTVQELEPYSLQSLYTDLDLPPVYPIGPILDLDGPAQWDPNPVQYNYIMEWLDMQAPGSVVFLCFGSLGSLEVKQVEQIAIGLERAGIKFLWALREPPKARLDDPRDYASYENVLPDGFLKRTVGMGIVCGWVPQAKVLAHKAVGGFVSHCGWNSILESLWYGVPVATWPVYAEQQMNAFEMVRELRLAVEIRLDYKKGEDLVRAEEVENVVTKLMNGSDEIKRKVKDMSENCRVALTENGSSYNNLVSLVKELTK >CAK8560513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22991040:22991441:-1 gene:gene-LATHSAT_LOCUS14177 transcript:rna-LATHSAT_LOCUS14177 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWRNIQLSKEEEEGITTKIEEVCKVEVFQRTFAGKLWTNDNFNSRAFTNTMIGAWRSKNPIEVQEVSKNLFLFRFATKRNLEGVLNNGPWSFDRNLIVISRVSGEEQPFELKMHFGTFWVRVYELPLMLRS >CAK8568322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594789057:594791477:-1 gene:gene-LATHSAT_LOCUS21288 transcript:rna-LATHSAT_LOCUS21288 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLFFLPLLLFLKLSSSSAFDTMNQGSSLSVEEPKDMIVSQNGIFCAGFYAVGENAYSFAIWFSEPKHQTENLTLVWIANRNQPINGKGSKLHFLDSGNLVLRDTDASLVWSTNTVSVSSVDLVLLNTGNLVLRDKNGVTLWQSFDSPTDTLLPQQVFNKHSRIVCSRSETNKSSGFYTMYFDFDNILRLLYDGPEVSSIYWPDPWLTDWDAKRAGYNYSKVAVLNTLGNFSSSDDFNFMTSDYGDELMQRRLRLDPDGNIRTYSRKQSGEKWYVSWQAKLRPCRIHGVCGVNSLCSYYSDSVKCSCLPGYKMKNPQDWAYGCEPEFSLSCNKTQSQFLVISNVELFGYDYGIFVNYTLAQCKDFCLQLCHCKGIQYTYVKAGSGIPDTYTCYPKLQLRNAYRIPYFNADLYLKLPANSSYTYKETLDDKNKLACPANPKTTVLEKSFKEEVREGRYIKFLLMFVGGMGGVETLCIFLMWFFFVRSENNSDARVYNNMSIIGFRKFSYSELKKSTTNFSQEIGKGAGGVVYKGVLLDKRVIAVKRLVEANQGEEQFLAEVSSIGRLNHMNLIELWGYCAEGKHRMLVYEFMENGSLAQHLRSGGLDWTKRFDIALGTARGLAYIHEECLEWILHCDVKPQNILLDSDYQPKVADFGLSKLRDRSDAKFSSFSKIRGTRGYMAPEWILNHSITSKIDVYSYGMVVLEIITGKSAKKHVDMGDGEEKQGLVIWLREKRYRRSAWVNEIIDPTIEGGYNEREVEALAEVALQCVEEDKDKRPTMSHVVEVLLKISHEPENDNQESASG >CAK8566398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419639919:419645489:-1 gene:gene-LATHSAT_LOCUS19531 transcript:rna-LATHSAT_LOCUS19531 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKQIEKKKDDVDVQSQIQTAIHSRVSHFKKQADSLTYQGVRRLLEKDLAFEEFSLDSHKKFIKQCLEKCMEEAGDGDDDAPKVSGEEGEKGESTHEEMEGLKEELQSKDEKNVLEDEEKMEDSPVLGLLKEQKRVKQETKKEEGNGKKVVPNEALIKKAIRKRSSYLKDNAEKITMVGLRRLLEEDLKLDEFTLDPFKKFIRQQLDEVLSSSEVVEPAKSAKKIVKKKPDSKATKKVRTEENSDNSEEMSEMEEDEEDEVKPRKKSLPKGKTQTPVGPKKRKGDETNLPSKKKAKPAKSASEVNSEAEDDGKNSEDDEKNSEDDQSHSSAENTTKKKQVAAPVYSKRVEHLKSVIKACGMSVPPVIYKKVKQVPENKREEQLIKELEEILSREGLSSNPSEKEIKEVNRKKARAKELEGIDMSNIVSSTRRRATISFAPPPPPKPKTPVESSGKDTKVSDDDNDDGGKDNEDDEEGKEDEEDKEDEEEEEDEEEEDSSDDGSESEDFNVDEEDSD >CAK8571443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:419906430:419915638:1 gene:gene-LATHSAT_LOCUS24109 transcript:rna-LATHSAT_LOCUS24109 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKLHTNFLSNLLILATILLLSTKWTIAQEVEDEHEFDYIKGSEKGPSHWGDLKKEWKACKNGRMQSPIDMSNRRVRIVRKLGKLKKNYKPQNATIKNRGHDIQVKWEGDAGSININGTKFFLHQAHWHSPSEHTINGRRYDVELHLVHESPKINGKSKIAVIGILYKFGRPDPTLTKLSKFIKVLEDTEAEINIGVFNPSKIKFGGKKYYRYFGSLTVPPCTEGVIWTMNKKIRRVSRAQVKLLRKAVHDHAENNARPLQLLNRREIQLYDPKKKE >CAK8569238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686140065:686142666:-1 gene:gene-LATHSAT_LOCUS22116 transcript:rna-LATHSAT_LOCUS22116 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENTNGRQSTYAKRKNGIMKKASELSILCDIDIILLMFSPGGKPSLCTGRRSNFEEVMTKFVQLTSQERAKRKLESLEALKKTFKKLDHDVVIQDFYGTSSQTVEDLHDQAKLLHTRISQIHDRLRHWSDIEKISGVDELGQLENSLKESLNQIHTHKEIVQKQQLASLQCNNQFNELHVPYKMSTQQQFQSLPWMVNGDSQNIVLPEEPNLLLHKDVEGSTSSSFGSYASYLGSSTKPNISNSGQENCVLSDMSNNTTAAPVRLPFTGQFSYIPNNFNIMNDMKLQPATTGMNNSNIPHENQLDNYHVNGSFEAPKQGFDHPNHHGWTSNSGPCAVNIFDENLYPQPNFPQVHFGFT >CAK8571721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465266839:465273200:1 gene:gene-LATHSAT_LOCUS24358 transcript:rna-LATHSAT_LOCUS24358 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPKVVAAYKAMSSLGISESQVKPVLKKLLKLYDKNWDFIEEGNYRALADAIFEGDVSEEPEHPKKNKRVNEEEAEAEEALINVEPAQPLKRLRLRGQENNSPSSAPSPSKKPHIEKATAPEGSSAQKHQNKAVSSDGNTRIAARPCPVQDASSDRGKQPALPQDSLRGRKPIYERASPSKQTTVQPGRLMLSSNQMPHANALIVPKDEPVDELSDYELPIAMVPPEPISLRHSSKKSGITRKQGGHVSGTSSQHKNGVRDKEVASDVVIASSAQGEVKISLSYSSAIQGPDFRMPTQEQLLKMMEDRCLRSYKITDPNFSVAKMFRDICDCMLEFSTDSNDNSQESSMARPTMDVSKESEANGTPGVGGSKDLDTGSHSSNGSIQVNSFSALVSPRGPYSPAHQSSLDDAAVISKMDISNDIPQSDVGMQPEDPMSPNSHSLVVIPRHQLTVDDIRSFHNVNDITKGEEINQIPWVTKTNDFPPPFNYIPQNLVFQDAYVNISLSRIGAEDSCPCIGSCVLLSTHCACTDKTGGEFAYTAHGLLKEEFLEECIAISLNPRQHCFYCKDCPLERSKSNGNLEPCKGHLKRKFIKECWRKCGCGKQCGNRLIQRGITCNLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILTIKELHERNIKCAENGKSTYPILLDADWDSGFVKDEEALCLEAASFGNIARFINHRCSDANLVEIPIQIECPDRYYYHSALFSSRKIAAQEELTWDYGIDFDDHDQPVKLFECKCGSKFCRNMKRSNGSTRSASISG >CAK8539685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521581559:521583154:-1 gene:gene-LATHSAT_LOCUS8762 transcript:rna-LATHSAT_LOCUS8762 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLRETLESGIPPSSRSIELPQQQQQKLEHQPAESLQVFLNNQDVENLQEEASAPESEWVISIKEKLEEANQDDVVSSWTKLSIYKIPHYLRDCSGDDKAYAPQIVSIGPYHHGKKRLRQMERHKWRSINHVLKRTEHDIRLYLDAMQEMEERARLCYEGMVGFSSNEFVEMLVLDGCFVLELFRGATQGFKELGYSRNDPVFAMRGSMHSIQRDMIMLENQLPLFILDLLLGIQLGKPDLKGLVANLALRFFDPLMPTDEPLTKSDINKLESTYRKSTTSDTFDPLSDQSGLHCLDVFRRSLLRTGPQPATRIWIKRRSNANRVADKRRQQLIHCVTELKEAGIKFKKRKTDRFWDIKFKDGILRIPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYVIFMDNLINSPEDVGYLHYHGIIEHWLGSDAEVADLFNRLCQEVVFDINNSYLSPLSESVNRYYNHRWNTWCASLRHNYFNNPWAIISFVAAVVLLLLTFAQTYYSVYGYYRPAQ >CAK8536446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941967140:941969224:-1 gene:gene-LATHSAT_LOCUS5814 transcript:rna-LATHSAT_LOCUS5814 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKLLPILLHTVTMILSCVSTTEFVYNTNFNSTNIDLYGNATINKSILTLINHTSPSFAIARAFYPKILPTKPPNSSTLLPFATSFIFSVAPVANFITGHGFAFIFAPSRTLNGTVSTEYIGLLNYTNEGDHNDHIFGVEFDVVKNEEFNDINDNHVGIDINSLTSLTSHTAGYWGGKDGKKFKVLNIKSGENYQVWIEFIQPHLNVTMARAGRKRPNVPLIRTKLSLSKVLSNETYVGFCAANGVKKDSVRILAWSFSNSNFSIGDALVTENLPSFVPYKGWSSRAKVIAVGVICVVCLLIVGCGYVVFYFILNRWGKGDAEIEEWELEYWPHRISFQEIHAATGGFCEENVLAVGGNGKVYKGILQGVEVAVKRIPQEREDGMREFLAEVSSIGRMKHRNLVGFRGWCKEGNGNLILVYDFMHNGSLEKRIFECEEGKMLTWDERIQVLKNVAAGILYLHEGWEVKVLHRDIKASNVLLDKDMNARLGDFGLALMHEHHGQVASTTKVLGTLGYIAPEVIRTGRASTMSDVFGFGILVLEVICGRRPIEEHKLGLIEWVESLMVLNQLHNAVDERLKAKGGYLIEEAERLVHLGLLCSNSDPSVRPIMRQVVKMLEGEKDCIDSEEENMETSLLGRINSAAIWSRTESAVPNRDHLTFEKIRMFSYNSKASTSGSNSIPTSDSDVIRDGR >CAK8569416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700435779:700436186:-1 gene:gene-LATHSAT_LOCUS22271 transcript:rna-LATHSAT_LOCUS22271 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWDDPTKSNDILVKLANRAKVIDSLKDLRYKVEEAQLIKQLTEMNAIDYGLYKQAYDASVDMGKILDQYEISKLLKGPFDMAGACLVIKAGPAGIFPKVSYIHSL >CAK8571295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366170310:366170759:-1 gene:gene-LATHSAT_LOCUS23970 transcript:rna-LATHSAT_LOCUS23970 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVNGSTTKDFKMERRLRQGDLLSLFLFVIVMEGLTGLKKKAVELGDFRGFQFNDEDSVDMLQFADDTIVGLKVNFYKSKLYDINIGDWFLYATSSFLACNVDSLPFKFLGVMAGESPKKLEM >CAK8578890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660970907:660973421:1 gene:gene-LATHSAT_LOCUS30871 transcript:rna-LATHSAT_LOCUS30871 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSVENRLDEVDRTMYSTFCTTANSLSHLYTHAMNQQKLSFQAGERHALEKMYQWILRQQQEGMRVNTIDIVSHLQNELEYGTEESPVSPRPSIQQNSQSAIQTNFGASIQSNAFGSTVAGHAMRGGPTDQAKNSVFSNALSSPIRRSLQPYHLAQGSSPSSNLMSSGNGTRNNEVTYPSGQNRDTNSSNSSDCMDMHADSPSHDFPY >CAK8544142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666905984:666906802:-1 gene:gene-LATHSAT_LOCUS12845 transcript:rna-LATHSAT_LOCUS12845 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEPQNERNHVYRFSTLPQSETEANPHEEQQPLSISTNPTFPTISNHSEEIIVVSRRSCLEIQDFLGVTMLILLIFFPYYRHSGSPKQDPIPPIFKLNSMYISNFTIGTKGLAATWDTKFTITNTNVSSISFRSIEFTIFYKQNLEDALSVASSQPFSLYQGGFIKLHLKFMTTETANWEADQEQPFVESSLVEEIRKDRDRNNGTLSFGIQMKVQATYSDFDTASVYDVVMTPYCEDLVVHFFPNTNSGRLVDLNKNFSVPIRWKPLPF >CAK8565736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:315828509:315828808:1 gene:gene-LATHSAT_LOCUS18923 transcript:rna-LATHSAT_LOCUS18923 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRHVLGTGKHFSFPSMNGRSRKTTFSYIKYRIWNKINSWRGRALSKAGREVMIKSVLQAILPYVMSLFILPDAVCNNIEKLLNSFWWGGGSNNKGIH >CAK8535309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836874796:836875209:-1 gene:gene-LATHSAT_LOCUS4777 transcript:rna-LATHSAT_LOCUS4777 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVTNADRHTGAEEGQPPGLVSVDSSRRRMSGPVLNTLSSANVLGQSNGSSRRVAVSSSRDAFVGAESDVRTRTAEASSGAAHRILGGQRSSPIGSSDPQRVTRAGRNASHANNYESALRGMDGLQLENDERTHY >CAK8537963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:451223857:451224951:1 gene:gene-LATHSAT_LOCUS7209 transcript:rna-LATHSAT_LOCUS7209 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGQWMWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSVAKLYNLKKFNVSTNFLVGPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRVSLAVDVGPGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVEEKERITTHANFLPQQISQTCYTQQPAKQSSQPNNYPAEPHPQQDKTC >CAK8565317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:117732892:117733398:1 gene:gene-LATHSAT_LOCUS18540 transcript:rna-LATHSAT_LOCUS18540 gene_biotype:protein_coding transcript_biotype:protein_coding MAWISWLLVCKPKYKGRLGIKHCERFNLSLLRKWKWHILDKPKVIWPTLLSMRCGDIKVKVIDENKQSLSKEDSLWWRDLSLVGFMRGKVCSWFSYNISCKLGNRRSVEFWNNKWLGHVPIRTLFPLIYVHAEHTKHKDEDVVFWEENKWIREVKVVENLLLQVEEKS >CAK8544394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681833581:681835710:-1 gene:gene-LATHSAT_LOCUS13080 transcript:rna-LATHSAT_LOCUS13080 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTHSLTATEKKHWWLTNRKIVEKYLKDARNLIATQEQSEIVSALNLLDAALAISPRLDQALELKARSLLYLRRFKDVADMLQEYIPSLRMANEDPSSGSSSESSSSREGVKLLSSDSPVRDQSFKCFSVSDLKKKVMAGLWKSCDKEGQWRYLVLGEACCHLGLMEDAMVLLQTGKRIASAAFRRESVCWSDDSFPLLTIPIAGDTANQPSTSPPRVPINVTERVTHLLSHIKFLLRRRAAALAALDAGLYSEAIRHFSKIVDGRRAAPQGFLAECYMHRASANRSAGRIAESIADCNRTLSLDPTCIQALETRASLFETIRCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIKELKQRLASGETTSVDYYPLIGIRRGCSRSELERAHLLLCLRHKPDKATNFIERCEFADERDIDTVKEKAKMCSLLLYRLIQKGYTSVMSNVLDEEAAEKQRKKNAVAAAQAQAQAQAQAQIAAIQKKTNEAELKNNKPEVKIGNVLVENDQSQSLISSCTVNPAVFQGVFCRDLAVVGSLLTQVGFNRPIPVKYEALSC >CAK8574559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2064617:2067621:-1 gene:gene-LATHSAT_LOCUS26891 transcript:rna-LATHSAT_LOCUS26891-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDYTVDDALVTLGFGKFQLLVLVYAGIGWISEAMEMMLLSFVGPAVQSAWHLSSHQESFITSVVFAGMLIGAYSWGIVSDQHGRRKGFLITAIVTSTSGFLSAFSPNYFSLLLFRSLVGLGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTIFEASLAWIVMPRLGWRWLLALSSLPTSLLLLFYKMTPESPRYLCLKGRTTEAINVLETIARLNGTKLPSGTLISDNQIELQKIDNPSEETVLLSPRKNDEVETPKGMGSNFGGISSLKVLLSPNLARSTLLLWAVFFGNAFSYYGLVLLTSELNARSKCIPNKLQTEKSQDISYKGVFIASFAELPGLLLSAVAVDKLGRKLSMSIMFFMCCIFLLPLTFYLPEYLTTSLLFGARICITVTFTVVYIYAPEIYPTSVRTTGVGVASSVGRIGGMLCPLVAVGLVHGCHQTIAVLLFEIVALVSGICVMFFPFETMGQELQDTVSSVKQTDNSQTL >CAK8574558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2064617:2067711:-1 gene:gene-LATHSAT_LOCUS26891 transcript:rna-LATHSAT_LOCUS26891 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGDDDYTVDDALVTLGFGKFQLLVLVYAGIGWISEAMEMMLLSFVGPAVQSAWHLSSHQESFITSVVFAGMLIGAYSWGIVSDQHGRRKGFLITAIVTSTSGFLSAFSPNYFSLLLFRSLVGLGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTIFEASLAWIVMPRLGWRWLLALSSLPTSLLLLFYKMTPESPRYLCLKGRTTEAINVLETIARLNGTKLPSGTLISDNQIELQKIDNPSEETVLLSPRKNDEVETPKGMGSNFGGISSLKVLLSPNLARSTLLLWAVFFGNAFSYYGLVLLTSELNARSKCIPNKLQTEKSQDISYKGVFIASFAELPGLLLSAVAVDKLGRKLSMSIMFFMCCIFLLPLTFYLPEYLTTSLLFGARICITVTFTVVYIYAPEIYPTSVRTTGVGVASSVGRIGGMLCPLVAVGLVHGCHQTIAVLLFEIVALVSGICVMFFPFETMGQELQDTVSSVKQTDNSQTL >CAK8567419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513363448:513363947:-1 gene:gene-LATHSAT_LOCUS20475 transcript:rna-LATHSAT_LOCUS20475 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFNSVFVSARAKPIVTMLEEIIVYLMQRWELNRQNISKYADAIILNIKKKLEKELQRTNSWIVRRAGEVDYKVSHISLIEEKFVVNLSKHECSCRRWVLIGIPCCRALSYMKDQHLKVDDLSLIITKSSVMRLVMYQ >CAK8531888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171829661:171831584:-1 gene:gene-LATHSAT_LOCUS1649 transcript:rna-LATHSAT_LOCUS1649 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFISKSKTLIPSKLPNPSSFMASSLRYRSSRAATKPQLLEIDTSSTSLSSEGEHEMTLKLFDDLIHRILVKKATPDWLPFVPGSSFWVPPRPTPSNVVHLVHKLTDGEKQQPFMNDESLSLSSLRGWPSSNYFIKGNVHGGDSGVELNIPEGMEGPVKVKVMTLPEHLAHSEDEDEP >CAK8538022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459264648:459273563:1 gene:gene-LATHSAT_LOCUS7263 transcript:rna-LATHSAT_LOCUS7263 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSHDVQDSPSLEHSPSSQRRNLPRSNSTDSHSQNNRPITFSPTPPIHATVKKEHDNCDSQVTYSKIQNDDLGVESTSSLRKCSTICDVLDTEVEDSETLLSSVDNGGNGNASFQLDFPILKVKEEIDEGSVDDLDHVVLKERQRMLLARRLPGLRSPAFEANSECLFKNIVDQTVKKENGEFSSVDGKITAARDQCHGIPEGKNTSLSELPHEAPSGSSLSTEHAASKSGRPVTSAYPQEYDQIVKSEEMITHFDSQEEQGVMPTNNNGPSSSACPTSVKIKGEPWDNSEIHNVIENAMGSTSIKLPVAKNEQELHNDYTDDQVEHMILNDRLKFLMSRKDCNLNIPISYPVSSSNFSESAEPSRIKCARKRKKTATNSIQTALEEDAPGLLEVLLDKGVLVDEIKLYGETENDEALDESLCQDSFSELEAVMTKIFSQRNSLIKFPVIRAGKGSRESYCLDCLISLVEQVRCLKLQKWPVEWGWCRDLQSFIFVFHRHNRIVLERPEYGYATYFFELLSALPVEWQIKRLVVAMKLTTCSRISIIENKELLVGEDLSEGEAKVLMEYGWIPTTGLGTMLNYRDRVVHDRKNVDTSEWRTKIGKLLVNGYCGGTTLTLNIPKRVADYRRAQNPDIDSSVPTND >CAK8575390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106566278:106566671:-1 gene:gene-LATHSAT_LOCUS27659 transcript:rna-LATHSAT_LOCUS27659 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELNSFLGTLGRSSDLCPLAFTSWIDLVKHWEEHNLDPVWDYVNKKYNIPKEGNARLKGSILASVKPCASS >CAK8541524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:220535561:220536842:-1 gene:gene-LATHSAT_LOCUS10439 transcript:rna-LATHSAT_LOCUS10439-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERWKTTEYRKKVVQPVAEELIKHGAPLKNFSLSELVASVERFEEKVNTCAKTEEEYLNLVTAKVKSIHVLSRKAAEARNHLTNASDSAGTNANFDWQLQVLKHKYNVVVRRLYEKICKRLQPSAKVLNSRELDRFRHHKNSMESLFSLFELSKNQITPDMKYRPIEADRYIQGILMVNGSTVQHSSNLQSKKQPVIQIGSSQCGKPTSGSQEKSPAIDRLIKAVELKLVSALDLFFHRLVRVLY >CAK8541523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:220534861:220536842:-1 gene:gene-LATHSAT_LOCUS10439 transcript:rna-LATHSAT_LOCUS10439 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERWKTTEYRKKVVQPVAEELIKHGAPLKNFSLSELVASVERFEEKVNTCAKTEEEYLNLVTAKVKSIHVLSRKAAEARNHLTNASDSAGTNANFDWQLQVLKHKYNVVVRRLYEKICKRLQPSAKVLNSRELDRFRHHKNSMESLFSLFELSKNQITPDMKYRPIEADRYIQGILMVNGSTVQHSSNLQSKKQPVIQIGSSQCGKPTSGSQEKSPAIDRLIKAWTSILLFRVFNEFYKNVHCVSSHQVCINHCYANSPCCWSCIFLKDGQKGCMCHNLLREMVVQVRLSYEL >CAK8534910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789356741:789357310:-1 gene:gene-LATHSAT_LOCUS4410 transcript:rna-LATHSAT_LOCUS4410 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTLLLWLFMATTILAQSENEQVLDTKGNPVESGKEYYIKPAITDNGGRFTLISRNTSCPLYVGQENTDLGKGLPVIFTPFAKEDKVINVGSDFKVTFSASSICVQSTEWKVGDRDSKSGRRLIITGTDRYNYFRIAKTQGIYNIEFCPSVCNTCRFACGTLGALRENGKILSALDGNKLPVEFIKA >CAK8534640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751540915:751567616:-1 gene:gene-LATHSAT_LOCUS4169 transcript:rna-LATHSAT_LOCUS4169 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQPPPQPLPAVRARCAGCRTYFHAAQGVTELPCPKCQMPHVFSIDPSAARIRCPACKALVIGPSNLSKFPCPQCRVELDFLVDSEDVNELLNEVEQDEDDGGMAGETFTDYRPAKMSIGPPHPDPIVETSSLSAVQPPEPTYDPKIKNDLECSKTLSCLQIETLVYACQRHLQHVPDGSRAGFFIGDGAGVGKGRTVAGLIWENWHHGRRKALWVSVGSDLKFDARRDLDDMGASCIEVHALNKLPYSKLDSKSVGVREGVIFSTYSSLIASSDRGRTRIQQLVQWCGPGFDGLIIFDECHKAKNLVPEAGKKSTRTGEAVLDIQARLPEARVVYCSATGASEPRNMAYMVRLGLWGAGTYFSDFGEFLGALEKGGVGALELVAMDMKARGMYLCRTLSYKGAEFEIIDAPLEDRMMDMYKKATEVWVDLRDKLLSAINLLPEKPNTRQLWRLYWASHQHFFRHLCMSAKVPTAVRLVKQALMEDKCVVIGLQSTGEARTEEAVSKLGSELDDFISGPRELLLKYVEENYPLPKKPELLPDDGVKELQRKRHLASPDDSGKSRVRKVAKLQPPSDTESDEESETASGLESSDSDFEEFQKCEICSTEEERENLLQCSQCGKYAHQSCLVPPIGDFDIEEWACHLCKDRTVEYLPSGTDIVEQQKSYDTAMECKKKILERIHALDLPSNPLDDIIDQLGGHDKVAEITGRKGMLVRAPSGMGVVYQTRNSKDVTAEMVNMHEKKLFMEAKKLVAIISEAGSAGVSLQADRRVKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQVSAPEYRILFTNLGGERRFASAVAKRLESLGALTQGDRRAGLSLSAYNYDSAYGKRALMILYRGIMELDPLPVVPPGCLSDRPDTIQEFIKQARAALVSVGIFKDAILGDDIDPRWLSCHINDKDIHDIGRFLNRLLGMAPETQNRLFELFVNILDLLVQKARIDGNLDTGIIDLKANVIELRGTPKTVYVDQMSGASTVLFTFILDRGVSWELANTMLNEKQKAGFGSSSDGFYKSKREWLGKRHFILAFESSAPAMYKIVRPPVGESPRDMHLAELTRKYSKVSSLEEAQLGWEHDYKVSSKQCMHGPNCKLGSFCSVGSRLQEVNILGGVILPVWGNIQSALSKQARQIHKRLRIVRVETTSDNRHIVGLLVPNAAVKTVLHGLTWVIELDD >CAK8534639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751540915:751567616:-1 gene:gene-LATHSAT_LOCUS4169 transcript:rna-LATHSAT_LOCUS4169-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQPPPQPLPAVRARCAGCRTYFHAAQGVTELPCPKCQMPHVFSIDPSAARIRCPACKALVIGPSNLSKFPCPQCRVELDFLVDSEDVNELLNEVEQDEDDGGMAGETFTDYRPAKMSIGPPHPDPIVETSSLSAVQPPEPTYDPKIKNDLECSKTLSCLQIETLVYACQRHLQHVPDGSRAGFFIGDGAGVGKGRTVAGLIWENWHHGRRKALWVSVGSDLKFDARRDLDDMGASCIEVHALNKLPYSKLDSKSVGVREGVIFSTYSSLIASSDRGRTRIQQLVQWCGPGFDGLIIFDECHKAKNLVPEAGKKSTRTGEAVLDIQARLPEARVVYCSATGASEPRNMAYMVRLGLWGAGTYFSDFGEFLGALEKGGVGALELVAMDMKARGMYLCRTLSYKGAEFEIIDAPLEDRMMDMYKKATEVWVDLRDKLLSAINLLPEKPNTRQLWRLYWASHQHFFRHLCMSAKVPTAVRLVKQALMEDKCVVIGLQSTGEARTEEAVSKLGSELDDFISGPRELLLKYVEENYPLPKKPELLPDDGVKELQRKRHLASPDDSGKSRVRKVAKLQPPSDTESDEESETASVPESSDSDYGPEGDESAASGLESSDSDFEEFQKCEICSTEEERENLLQCSQCGKYAHQSCLVPPIGDFDIEEWACHLCKDRTVEYLPSGTDIVEQQKSYDTAMECKKKILERIHALDLPSNPLDDIIDQLGGHDKVAEITGRKGMLVRAPSGMGVVYQTRNSKDVTAEMVNMHEKKLFMEAKKLVAIISEAGSAGVSLQADRRVKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQVSAPEYRILFTNLGGERRFASAVAKRLESLGALTQGDRRAGLSLSAYNYDSAYGKRALMILYRGIMELDPLPVVPPGCLSDRPDTIQEFIKQARAALVSVGIFKDAILGDDIDPRWLSCHINDKDIHDIGRFLNRLLGMAPETQNRLFELFVNILDLLVQKARIDGNLDTGIIDLKANVIELRGTPKTVYVDQMSGASTVLFTFILDRGVSWELANTMLNEKQKAGFGSSSDGFYKSKREWLGKRHFILAFESSAPAMYKIVRPPVGESPRDMHLAELTRKYSKVSSLEEAQLGWEHDYKVSSKQCMHGPNCKLGSFCSVGSRLQEVNILGGVILPVWGNIQSALSKQARQIHKRLRIVRVETTSDNRHIVGLLVPNAAVKTVLHGLTWVIELDD >CAK8533781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659697218:659698915:1 gene:gene-LATHSAT_LOCUS3379 transcript:rna-LATHSAT_LOCUS3379 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKKLANPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKEDSMKWFQVKYEGVILNKSQAI >CAK8562437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:491119492:491123660:-1 gene:gene-LATHSAT_LOCUS15933 transcript:rna-LATHSAT_LOCUS15933 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPWPWRKKSSEKTVITAENTNLNSKENGEIQALLADKEELEKDLRELNNKLALVISDCNAKDELVKKQTKVAREAVAGLEKAKDEVLSMKQDMDEALQQRFVYEERVAHLDGALKECMQQLRYVREEQGQRIHDAVMKVSKEFEKECMVWEEQLSETNKRLAKAETENSHLNKSIFAREKLIEDLKRRLTQAEADHSALMVRLESTEKDNASLKYEVRVLEKELEIRNEEREFSRRTADVSHKHHLENVTKIAKLESECQRLRVLVRKRLPGPASLAKMKNEVEMLGRDSVEIRRSKLNSTSSTVESSLNSSPGTPNRRINTLTEQLYAVEEENKALKDSLNKKMNELQFSRVMLSRTASKLLQLESHNEESPKGQVAAGLLRSNLMSREISVASMSDIGSDDKVSCAESSGSALISELEHFRNGIQKETLSCRSVGTSEMNLMDDFAEMEKLAVTSVENPPEISGASLKEVNDINGFSETGKIETTPEVGGNEITPVSDHIPDFSTSTQKTRLLDEFKDNIPSWLQDVVKMVLEQNNVTHKSPDNTLEDIRLALNNLDPHVFDSNEVSGHMDGSDPSNNSLVVVASGDVNVTDLSSMKRTKQHAEEDLGKSIGKIIELIERISLPAMDCDNSDSLGTSDENTPSGMPSGYMVRVFQWKTSELSNVLQQFLHVCYDLLNGKVDHDKFVKELTTALDWIMNHCFSLQDVSSMRDAIKKQVEWDETRSESEAEFGMIGHFAEEDKLYHSAEQFPCFPQVTTNGHDIKSRETYCDEEEEINSIKGKLISAESQKETLEGRLQSANDKVESLTDQLQKSDKTNDSLRLELQSIKESNGKLEDQIKNHNVMKSNLDAQHKGAELKEIGLKVLELEVELENKNHCCEELETRCLELQLHLESTSKECSNHDINQKDKPLRTDLEITAASEKLAECQETILNLGKQLRSLGAPKDASLFDNVVAAQHNDTPSTTTTTTKKMNPSHVPPKVMKTKNRSLLDQMLSDDDSKAKVSKVSDRNTNLTTIPDFVEPLEKILALNEFRDGNDSAAANDLAFVPTKKPGRGSLWKKLLWKKKKSASMKTSLPLNT >CAK8565677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:303981297:303982573:-1 gene:gene-LATHSAT_LOCUS18867 transcript:rna-LATHSAT_LOCUS18867 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVKFLVIDDAAQLKECESTIPLQLPGLSHCILIGDERQLPALVKSKAEVTMMKLNEEKHAYNKEKDMLKKELEALKRKSNAKVQKGFSLLFVCMVAIVDVAVGYYIQL >CAK8544284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676455482:676455790:-1 gene:gene-LATHSAT_LOCUS12977 transcript:rna-LATHSAT_LOCUS12977 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAHTLYDPNRTVIHIDPNNKQDMEFWEVNNSNIALMAKNNCAVDKVVCQNFTCSAPVTDHSSLEALLSQKIPS >CAK8578984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665976596:665978901:1 gene:gene-LATHSAT_LOCUS30961 transcript:rna-LATHSAT_LOCUS30961 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKLIPGFRFHPTGVELIKYFLKRKVMGKKFHIDVIAELDIYKYAPWDLPDMSYLKNGDLEWYFFCPIGKKYGSGARLNRATEIGFWKATGKDRAVQQNNHTVGMIKTLVFHTGKAPRGDRTDWVMHEYRLEDKDLADKGIVQDSYVICRVFQKEGPGPKNGAQYGRPFIEEDWSDDEVGIPCVESTALVPSLPVTPVSYIMNDQNLQASRCSGSISMPCQSGLMPSLIPVSSCQTGLMPSPIPLSSCLTGLMPSPDPASSCQTGLMPSPDPASSCQTGLMPSPDPASSCQTGLMPSPDPTIPSQTELVPSPNTANSCQTGLMLSPNPSNSCQTVSMPSLDHLNLCQTGSMPSLDHVNSCQTGSMPTPDPVNSCQTGSMPSPDPVNSCQTGSMPSPDPVNSCQTGSMPTADPVNSCQTGSMPTPDPVNSRQTGLTPPDPANHSYPDNPAVNNDADILSMLDIFKDYNTLPEETIAEGAPMGDFFEGLGDLDSSAAFGSFYQNADFSTDGMSLIDLDSELFWQSTTQSERWSPNK >CAK8579115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:676561417:676563049:1 gene:gene-LATHSAT_LOCUS31083 transcript:rna-LATHSAT_LOCUS31083 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHRETWAFVFGLLGNIISFAVFLSPVPTFYIIFKKKSAEGFQSLPYVVALFSAMLWIYYAIVKREAALLLITINTFGIVVESAYLIIFLIYASKKSRLSTVKLLLLLNVFGFGAMLLSTLYLAKGAKRLAIIGWICLVFNISVFAAPLFVISKVIRTRSVEYMPFFLSFFLTINAVMWFFYGLLLKDYYVALPNTLGFVFGIIQMVIYLIYRNATPVLQAPLKGQELSGGHIIDVVKTGSEHNPAGGGGAVSKF >CAK8569867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14011290:14011651:-1 gene:gene-LATHSAT_LOCUS22673 transcript:rna-LATHSAT_LOCUS22673 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDGFIYRRYSEGRSTREEDRIAALVHISPHPPFPGGPSDMSLLVSYQNHVVRHLWGGQERSSKKELKVVAHGSKFIGWVPYSPPPVNE >CAK8532152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213624755:213628571:-1 gene:gene-LATHSAT_LOCUS1885 transcript:rna-LATHSAT_LOCUS1885 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVLSLLLLCPFSTESTTINVWPKPTNLTWTPPHQTTLLSPTFTITTTTPNQNNHLSAAISRYTNLIKTEHHRPLLHPTTNLSISLNNNLPPLQSLTVTVTNPNAELTHATDESYTLTISTPNATLTASTAWGAMHGMETFSQLTWGNPTRVAVDVHVTDAPLFGHRGLTLDTSRNYYPVKDLLRTIEAMSMNKMNVFHWHITDSQSFPLVVPSEPMLAEKGAYDVNMVYTVEDVKRVVEFGLDRGVRVLPEIDSPGHTGSWALAYPDIVTCANMFWWPAESDWPNRLASEPGTGHLNPLNPKTYQVLKNVIRDVTTMFPEQFYHSGADEVIPGCWKTDPTIQKFLSNGGTLDQVLETFINNTLPYIVSLNRTVVYWEDVLLGDTVHVSPTILPKEHVILQTWNNGHDNTKRIVSSGYRAIVSSSDFYYLDCGHGDFTGNNSAYNNQTGSDANNGGSWCGPFKTWQTIYNYDVTYGLTKEEAKLVLGGEVALWSEQADETVLDSRIWPRTSAMAESLWSGNRDEKGMKRSAEATDRLNEWRSRMVNRGIGAEPIQPLWCVRNPGMCNTVHPI >CAK8566617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442628289:442631159:-1 gene:gene-LATHSAT_LOCUS19736 transcript:rna-LATHSAT_LOCUS19736 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPHSPSSCSSSSSSSYSWEGHAADTITLEPEEPQTPIAVRRALQLLKSGLPELRLQAARDIRKLTKTSQRCRRQLSESVGPLVSMLRVDSAESHEPALLALLNLAVKDEKNKIKIVEAGALEPILSFLKSQNPNLQASATAALLTLSASSTNKPFISASGAIPLLVEILRDGSPQAKADAVMALSNLSTYPNNLSIILETNPIPYIVDILKTCKKSSKTAEKCCALIEPLVDYDQCRTVLTSEEGGILAVVEVLENGTLQSREHAVGTLLTLCQSDRCKYREPILREGVIPGLLELTVQGTPKSQIKARTLLQLLRESPYPRTEIQPDTLENIVCNIISQIDGDDQSGKAKKMLAEMVQVSMEQSLRHLQQRALVCTPSDLPIAGASEVSFK >CAK8576709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508329415:508331411:-1 gene:gene-LATHSAT_LOCUS28882 transcript:rna-LATHSAT_LOCUS28882 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELDFSNHDVFPNPNMPEFPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDSSHTHTCYHVHTKIVPASEEDQVGTDDTAESAEKKSKKRPVGNKEAVRKYREKKKARAASLEDEVTKLRALNQHLMRKLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKPTNPNPSITNLPGSYVMNPCNVQCDDRVYCQGPGADGRFTAEGVSPNEEGFDGCEFESLQCLGGQNLGIKDLHGCGVGPTMSNVNSQSSNKRKGGSRAAKAG >CAK8532564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:296664509:296665189:-1 gene:gene-LATHSAT_LOCUS2256 transcript:rna-LATHSAT_LOCUS2256 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNDSSSASHPSSSKASTSSNTPLPNLEIRHDMSVFNDKVRLAESTPKKRAGRKKFKETRHPVYRGVRKRNLDKWVCEMREPNKKTKIWLGTYPTVEMAARAHDVAALALRGRNACLNFADSAWRLPVPTSTTTKDIQKAAAEAAEAFRPDKTLLTNDIDTAVAAATEEQLMFGLEEEEEEEEVNIPKSLRNMALLSPTHSLENEFHYTDADLEDVEVSLWSFSL >CAK8572445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537452298:537453637:-1 gene:gene-LATHSAT_LOCUS25015 transcript:rna-LATHSAT_LOCUS25015 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNYQYAMTVCSWDGYPNLFTTFTCNHKWSEMVDFLKTYQLRSEDRPDLVSRLFKNKLDHLVKDIKKGDIFGKVKAVIYTIEFQKRGLLHAHILAFLQPSYMFVYPYDIDKTISAEIPDKDVDPELFKIYNAHINMEWCNQLWFIKYLFKYVNKGHARVTVGFYKGADDRDNSQIFDEIKMYYDCRYLSACEAGWRIFVFDINYREPSIERMNFHLEDEQYVVFSDDASIEQVINKPYIGSTKILAWMDANKKYVEAQNLTYNEFPTKFVRKESDHRWAPR >CAK8530582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32372278:32373539:-1 gene:gene-LATHSAT_LOCUS435 transcript:rna-LATHSAT_LOCUS435 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENEEMVTGWPLGLSFLNTRLRVVESLPAAASSSSVEPPYQLHIPSTSFSSFSTSNLDTESTASFFQDRSVSLAQLIGFRTDERGRLYFPKSLRFEERNRIRENGSCSNGSKVQEKDVSKVICIPVLIDALLKIIRSKKSSRN >CAK8539711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522590771:522591253:-1 gene:gene-LATHSAT_LOCUS8784 transcript:rna-LATHSAT_LOCUS8784 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLQNDDVSKLQFGSLEVAYTFYCWFAKKKDFKVRKGQVIKNKNGDVIQQKFVCNIEGFRQDKVEQHKCGPNHETRCGRGARFRVHIDIISQRWYITVLTFEHNHEMLKENHCRLLATNMKLSKSNKIQIKNFGSAEIKVTQMIGAFANAIGGMIGWDF >CAK8567280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:500482420:500483570:1 gene:gene-LATHSAT_LOCUS20346 transcript:rna-LATHSAT_LOCUS20346 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLATSDSSSPLITISPSTEEHSPRNKHVYGMEFQSMMLDGFEEEVCVDETGHHSEKKRRLRVDQVKALEKNFEVENKLEPERKEKLAIELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDALKLKLDAITQDNKAFHKEIKELKLKLQEEEKSASSVLVKEEMITMVESDENKHNPSSETSIPSLESKDHLNYDSIINNNNSFGVGEASSLFPADLRDGSSDSDSSTISSSGGILQSHLLLSPDSSSMNCFQYQKSYHVKMEEQNFLSADEACNFFSDDQAPTLQWYCPDQWS >CAK8530446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22049074:22049881:1 gene:gene-LATHSAT_LOCUS310 transcript:rna-LATHSAT_LOCUS310 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVDYVKDINDSKETWRLVVRIMDVWSVVNNKGIEHLEMIVMDSLGDRIQVLFRHDYLLKWKEVIKENMTCIINNGSVYNNDFQWKVCDHSKKFVFLGGTIMKAIELQNIPPKGYFFKDFGEILQGKCKTDRLKDIIGAVSEINHIQSNTPGKKVVVSVVLKDLKGNCIN >CAK8563738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628177169:628178179:-1 gene:gene-LATHSAT_LOCUS17117 transcript:rna-LATHSAT_LOCUS17117 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESQTSRITQIQVRVDCNGCAQKIKKALNDINGIHDLRIDLDRQRLTIIGWADPEKIVKAIKNKARKNATIICSDIEKSSSKATKPKPKPNPNAPDLDATPQARSTSSPEPMLEATPSLPTPTWHNARQQWQNNPESEDVEQVHMTQHNARQQWQNNTETEDVEQVHLTRCHQPTWLNYVNRFSSGNNCVRDRRYNNGPAFLPESSGFQPLNVTHSYNTYMPSSYVTEYECVRSSSWHTQYNYMEHYSGVYNNNNVDIDIGAMFSDDNPNACTIV >CAK8534124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696883373:696884194:1 gene:gene-LATHSAT_LOCUS3692 transcript:rna-LATHSAT_LOCUS3692 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVKKEEWETFMDTLALTIYGLVLFPSSQDVISLAAIGVFINYKCQGENPVPAVLADILYTLNICREKSSKRILCCLPVLYVWMTSLVFERDYKAICPITDFQMLGLEPHDGKNWAIVLVNLKADKVRWCPDWKEVKNVLYQCGEFPNIPLVGTTGCINYNPSLALRQFLYPMIGEPPKESYTPFIAELTDSDMLKKIRKAWENVVYKNRELGHSSCGVDESYMKWVKDRVNQIKLPFPISRNQGEATTGDVDNKRKRDSEEDNTLGGNSC >CAK8533937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672784631:672794706:1 gene:gene-LATHSAT_LOCUS3523 transcript:rna-LATHSAT_LOCUS3523-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKLVASDIVEEQSDSKSPASNGQGSQGKRKKSEAKAQKRLSDPSTIVSDTTKETIGSPAATLDVTTPSEKIDPVAEHDESDSISTNQPMQQQPTDAISPILGTSLSKGLASDTDKHDTGDVEVLVNDTAVDVTTTSANNELGKENASDIHEADPSSSPKGIKGPDHESTSTDQIIKAGDLDSNQYRDQEKTESVADDVAPNSDTILKDSDIKAEPTTVNQKSQEVHKTDISPEKVQDHLEEAQGLLKTTKSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLTAERELSKSYEASIKQLQKDLSESKKEVTRVESNMGEALAAKNAEIEAVLSSVEAVKRQAALSEGNLASLQANMESMMRNRELSETRMMQALREELASVERRAEEERTAHNATKLASMEREVELEHRAVESSTALARIQRIADERTTKATELEQKVALLEVECSSLNQELQDLEARLRREQKKSPEEANQVIQIQAWQEEVERARQGQREAENKLSSLEAELQKLRVEMAAMKRDAEHYSRQEHTELEKRYRELTDLLYYKQTQLETMVSEKAAIEFQLEKEIKRLKEAQAETERSRVPRRASSTWEDESDIKALEPLPLHHRHLAGASVQLQKAVKLLDSGAVRATRFLWRYPTARVFLFFYLVFVHLFLMYLMHRLQVQADSMADREVAESMGLTNQSLP >CAK8533938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672784631:672794706:1 gene:gene-LATHSAT_LOCUS3523 transcript:rna-LATHSAT_LOCUS3523 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKLVASDIVEEQSDSKSPELASNGQGSQGKRKKSEAKAQKRLSDPSTIVSDTTKETIGSPAATLDVTTPSEKIDPVAEHDESDSISTNQPMQQQPTDAISPILGTSLSKGLASDTDKHDTGDVEVLVNDTAVDVTTTSANNELGKENASDIHEADPSSSPKGIKGPDHESTSTDQIIKAGDLDSNQYRDQEKTESVADDVAPNSDTILKDSDIKAEPTTVNQKSQEVHKTDISPEKVQDHLEEAQGLLKTTKSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLTAERELSKSYEASIKQLQKDLSESKKEVTRVESNMGEALAAKNAEIEAVLSSVEAVKRQAALSEGNLASLQANMESMMRNRELSETRMMQALREELASVERRAEEERTAHNATKLASMEREVELEHRAVESSTALARIQRIADERTTKATELEQKVALLEVECSSLNQELQDLEARLRREQKKSPEEANQVIQIQAWQEEVERARQGQREAENKLSSLEAELQKLRVEMAAMKRDAEHYSRQEHTELEKRYRELTDLLYYKQTQLETMVSEKAAIEFQLEKEIKRLKEAQAETERSRVPRRASSTWEDESDIKALEPLPLHHRHLAGASVQLQKAVKLLDSGAVRATRFLWRYPTARVFLFFYLVFVHLFLMYLMHRLQVQADSMADREVAESMGLTNQSLP >CAK8563920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639291045:639292877:1 gene:gene-LATHSAT_LOCUS17278 transcript:rna-LATHSAT_LOCUS17278 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSKLFLLLLTILYSNTSPFTNSSKSSTTTLHAKITSLKSFCKTTPHPELCFNSSKLSISITINPTIINILLHSLQLSISETTKLSNQFNNAAQQHSNIIENKRGVLQDCKELHQSTLTSLKRSLSGITSSNTRNLVDARTYLSAAVTNKNTCLESLDSASGTMKQVLVDSVINTYKYVSNSLSMLPKPEIRASRGRENRRLIEAVTWLSRKDRGRFLQSTDGMLVVAADGSGNFSTINDAINFAPNNSYDRILIYVKEGVYEENVEILSYKTNIVLLGDGSDVTFITGNRSVVDGWTTFRSATLAVSGEGFLARDISFENKAGPEKHQAVALRVNADLTAFYKCAMYGYQDTLYVHSFRQFYRECDIFGTIDYIFGNAAVVFQACNIVSRMPLADQFTVITAQSRDSPDEDTGISIQNCSILATTDLYNNSENVKSYLGRPWRVYSRTVYIESYIDVFIDPMGWKKWSSSDNNQGLDTLYYGEFANYGPGSATDNRVKWLGYHLMDFDSAYNFTVSEFIIGDAWIGSTSFPYDEGI >CAK8573955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650239996:650240508:-1 gene:gene-LATHSAT_LOCUS26348 transcript:rna-LATHSAT_LOCUS26348 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAPPLMRNAKATRVILPTGEVKQFKEIMKAAELMLENPNYFLVNSHSLRISTRFSPLAADEELEFGSVYIFFPMRRLNSVVTGADMAVLFLAANSAAKRLRAGNTRVQPDESSGGGVENDQNDSAPRLSLEGVESGFSYRLSYCRSKKPFLETINEEPISSR >CAK8564624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2728603:2732082:1 gene:gene-LATHSAT_LOCUS17910 transcript:rna-LATHSAT_LOCUS17910 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMENNKEQLKKCQRKTTSSSCYSRINNLDDGCLMHIFSFLSPIPDRFNTALVCHRWNYLACHPRLWLRVDRSVKDLSEPGVFPNIETAVSASRPGDTILIAAGGTHRVANIQINKPLCLIGAGEIPDDTMLTCSRGSDSALEFLSTCKLANLTVKAELGCCLLHRSGRLIIDGCLLQCESNPLDFLSCPIVSTACSSEVVPSQTKCNNGVFVSQTRIEGGAKAVLTSGDLALQRVRVVYARTSLLFWFDVEQMLSN >CAK8564625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2728603:2729576:1 gene:gene-LATHSAT_LOCUS17910 transcript:rna-LATHSAT_LOCUS17910-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMENNKEQLKKCQRKTTSSSCYSRINNLDDGCLMHIFSFLSPIPDRFNTALVCHRWNYLACHPRLWLRVDRSVKDLSEPGVFPNIETAVSASRPGDTILIAAGGTHRVANIQINKPLCLVSYPILIVYGGGGI >CAK8530618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35973210:35978063:1 gene:gene-LATHSAT_LOCUS470 transcript:rna-LATHSAT_LOCUS470 gene_biotype:protein_coding transcript_biotype:protein_coding MASVATTSTSLFTPLVSLRRNSKFSLFFSTHHVTNSKPHVFVLSCFPPKTIPVTEQQVLQAIADTSDQKRLPCVRTYENDLSQLTLVGAVDSRQAITAGAADGGDVAAEHIDAGMDAMVVETVFPASSSDNGTISTRLFLPARKVKEKAAKLKKSLSEDIFSNTTSKNVLTMTFRQVVLEQIWTFDLTVFQPGEERKMEDLENPREVPASFTLSSPNEYLISVLAEVVCISALQSTQKQFLDKSQGGSRNGFFHWFQKPERIQSKDSVVMLHKLFEDEIVENARSLLDKYHMMKDEFKPVKIKSGRFWWKPSYYEKLEKIGGSDFSAWTSEYVPAYRLDIDPKVMGDAKFQGWKMSAENRLEVLLTHSQMVGLAETLDMYYVDPYSLSDKELSCGVVAKYANVSNRKGGSLSKILSAILASGMFLVAISALGQFRLPRLSKERKHPVEQMSLPTSEVNVMHDFLDTTKVEEFCVSTVAKVKDAYGWSDEIKVEDGISAWIGELPAYLQGESVDSLSTSSEAIDADAKASMQDIASYQVVFTSEGKIVGFQPLSRVAVNQWADNPLARELYGGKKLSPGIFEPGLRIPLPKKVTVVELLMSVKPNAYFALARPYQ >CAK8570164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27051479:27054132:-1 gene:gene-LATHSAT_LOCUS22941 transcript:rna-LATHSAT_LOCUS22941 gene_biotype:protein_coding transcript_biotype:protein_coding MFAETGLLFPYLQNYSQEFQQLEEYCMTHKSNASMSDLIQSSAMSEFDLFVEGDLFKAPETIMEEPEMDLDPMQAMSMISCGEDMSAQGLKSSDIDNVLQNEQLLSEVYYECKKDLLEKANIESPLSEILEIKIPALNIEENSIQENKSIPDVLLPKSVSSGSLSSMDWMHGAAMKPAFLDFPGIDFNAVYGMRRAFSEGDIKTLGNGNMSVGQSTLERPFLHSNCTSEQRQEKLSRYRNKKTRRNFGRKIKYACRKALADSQPRIRGRFAKTEESDVKRQ >CAK8575142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:38497371:38499528:-1 gene:gene-LATHSAT_LOCUS27429 transcript:rna-LATHSAT_LOCUS27429 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKQQVQDTLPEWRDKFLSYKELKKLLRLLSSVGYGKGEAEFMYLLNNEIDKFNGFFMEQEEDFIIRHKEVQQRIKRVVDLWGPNGSQASEEDYKEEMEKIRKAIVDFHGEMVLLVNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLEQPFFTTDLISKLVKECESIIDAVFPVEEEGERAREEIVVVGEGIFRNTVAALLTMQEMRKGSSTQSAFSLPPLNLPDSDLIQSIQLNAAVPIV >CAK8575860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:340730032:340739098:1 gene:gene-LATHSAT_LOCUS28088 transcript:rna-LATHSAT_LOCUS28088 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSHSHFLTPISFCTLPKTPISLFSFHTTFPFSKLSPPRFTSLPNRSLSRSVPHFTAAEFSGSVDDDEELLDELVELPEELELDSDEEDVDVISLEQEAKEVVLEYSTSLSRILTIEDEKSDAKETDKNSKRNKPKKKIIPDNLLPRIAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSYWGDHEFMVVDTGGVITVSKSQSTVMEDLDITTTIGMDGIPLASREAAVARMPSMIEKQAIVAVEESSVIVFLVDGQAGLTAADVEIADWLRRNYSNKHIILAVNKCESPRKRIMQASEFWALGFEPIPVSAISGTGTGELLDLVCSGIQKVEEPGNLVEEDYVPAISIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFIGADGQKFHLIDTAGIRKRTSVAAAGSTTEALSVNRAFRAIRRSDVVALVIEALACITEQDYKIAERIEKEGKGCLIVVNKWDTIPDKNQQTALHYEQDVREKLRLLNWAPIVYSTALAGHNVDKIIVAASEVEKERSRRLGTSILNQVVQEAVTFKSPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFSETYRRFMEKQLRLNAGFPGTPIRLLWRSRKKMEKDEGKKGTKSKQNPVSYRRKLIPTTQ >CAK8561060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83952354:83954509:1 gene:gene-LATHSAT_LOCUS14684 transcript:rna-LATHSAT_LOCUS14684 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNQELEAITQAFSGHGVDEKSLATILGKWDPVERETYRKNTSNFFVEDHERKFQKWNDHHVRLLKHEFVRFKNAVVVWSMHPWERDARLAKEALKKGPSSYNVLIEIACTRSSEELLGARKAYHSLFDHSIEEDVASHIHGIDRKLLVALVSAYRYEGSKVKDDTAKSEAKTLANAIKNDQKNPIIEDDEVVRILATRSKLHLQAVYKHYKEISGKNLEEDLNDLRFKETVQCLCTPQVYFSKVLDAALKIDVDKNVKKSLTRVIITRADIDMKEIKTEYQKLYGVSLPQKIEETAKGNYKDFLLTLIARGG >CAK8573793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637440740:637441372:-1 gene:gene-LATHSAT_LOCUS26198 transcript:rna-LATHSAT_LOCUS26198 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGKKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKAGELCTLCDANVALVIFSPGEKVFSFGHPHVDTVIDRYLSQILPQNNGTVQFIETHRGAILRELSIQLTQINNMLDIEKKFGDELNQLRKASETKFCWVCSIESMNKVQLELFKKVLEEVKNLVAQHARFVIQGAPVQTIQPQLFQNPMMQPHLFDFNNMGGSGGYGPSRFI >CAK8533571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637589522:637590388:1 gene:gene-LATHSAT_LOCUS3191 transcript:rna-LATHSAT_LOCUS3191 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKRSSSVSSDEDNYETKMNKISVEELKHKCNICGKAYSNGKALGGHRRSHFIKKKTNHHSQKVKTPLSIQVSNNFCDEKKKFSCYICDRKFWTNNALYGHMRSHPDRVFKGVSPPSSNNSNSSTRQNKEDEDDEGDDDCSLPRWQKRDKRGRKCIGSAEAAANLLLLRSDKCYSILSSDELKTPEFFISRRKEDFHMSSDELKTPKFSVDQHGMKMKKIKIFIGSSSMIGNKSIENDNEIDEAGDLKLKKGKSLSQSNVEEKEINPHEEQHILDFDLNEPYVMEEE >CAK8533572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637589528:637590388:1 gene:gene-LATHSAT_LOCUS3191 transcript:rna-LATHSAT_LOCUS3191-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSVSSDEDNYETKMNKISVEELKHKCNICGKAYSNGKALGGHRRSHFIKKKTNHHSQKVKTPLSIQVSNNFCDEKKKFSCYICDRKFWTNNALYGHMRSHPDRVFKGVSPPSSNNSNSSTRQNKEDEDDEGDDDCSLPRWQKRDKRGRKCIGSAEAAANLLLLRSDKCYSILSSDELKTPEFFISRRKEDFHMSSDELKTPKFSVDQHGMKMKKIKIFIGSSSMIGNKSIENDNEIDEAGDLKLKKGKSLSQSNVEEKEINPHEEQHILDFDLNEPYVMEEE >CAK8559984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2907548:2909080:1 gene:gene-LATHSAT_LOCUS13708 transcript:rna-LATHSAT_LOCUS13708 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSGSAALSEAEYEMVKNIIDIKDLEELSLAECSIYNVPHNLRKVKEEAYTPQLISIGPIHLEKEELKPMQQHKKRYFHFFWERLSLNNQESMKNYKLYLENKEQEIRKCYAVKFPDINKEKFVETILLDAVFIMELLLRNSSWKSDTSKHEHDYVQSKSFRWKHSDDYILTQAWLSKTITRDLILLENQIPFFVLMNLYETVVPEDGKKQAHDSFLDLALEYFAFYDTQKSSSLETKLVVEKNQSKKYFYVSGVLGDVGKKKHSDKSLRNNNPKEKFQVQGPKHFTDLIRFFYLPADLGKSGCSHSFPRTVTKLTESGVSFEKVVRRRLLDITFEKKPFLSNFLCLGCLPSFSCLNHFKARLRIPQLKVDHTTECVLRNLIALEQCQYQEQPYICNYVSLVDSLIHTQVDVDFLVEKEVIVHEMGSDKEVAMLVNGLCKHVVVNSNCYHEIINDLNKHYQNIWNRTMAALWLVYFRDAWRASSTLVGIAFLVYTAFNFVRLAKLLFY >CAK8530417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20067170:20068310:1 gene:gene-LATHSAT_LOCUS283 transcript:rna-LATHSAT_LOCUS283 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIYLSLKNKLRCKPNSKQVHDPKRFNDQRTKSDLHDHSNIIQGSRRYLINSSSFYRESERSIMDFEGSFRTVTRVSTSSRFTYPDDDYITEHSVTKVEEDSSRKIVEKICERGSSLVSSELWQIDCILKVHNMPKTLTCFEEYREMVKIKANKLHTKNHPRCLVDGNEVLMFHGTNIACSLGINNSHSLCTLDYCGVCQILRHGFSTNKEFQGALGVYTSSTSGKAFDSIVAYDEKEFTRKSVIVCRVIAGRVHCPIEEIQEKVELVFDSLVEKMSDSSDVEELYLLNSKALLPCFVVIYKQQTVKMKRFHSSSRISSI >CAK8561040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:80078485:80078919:-1 gene:gene-LATHSAT_LOCUS14666 transcript:rna-LATHSAT_LOCUS14666 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIQPLIDEITIRNVAGDTISQPVQYEWRPKFCETCQKLGHNCEDRAKNQKWKPKPMEPTTLPQKWMPKPKPMETTTNITPAKQPVGGNTNDADGESWTRVRKPTRDKSKNIVTETSNINCANGFKTLEVLNDHEVITNLEPC >CAK8533912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670701723:670719285:-1 gene:gene-LATHSAT_LOCUS3499 transcript:rna-LATHSAT_LOCUS3499 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGCLNFNRLSHFHSPPSLQPSRSDLLKVASRRFALAVNKTQSPLTKTQSPPTLSIEIDNPASVKRQLAQLFDVSLKTTVPDEEDVVPLVDACTAKSGGVKFGDYQCNNAMGIWSKVKGKETGFKGPPSIGQAIINNLPPSEMIDSCSLAGPGFVNVVLSKNWIAQGVQRMLIDGIDMWAPRLPIGRALVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFTRVKHITRRNHVGDWGTQFGMLIAYLFEKFPNQEDVSETAIEDLQAFYKAAKVRFDDDPEFKLRAQQAVVLLQSGDTRYRKAWQQICDVSRAEFNKVYQRLGVQLEEKGESFYNPYIPGVIKKLDKLGLIEDSDGARVIYVEGVNIPVIAIKRDGGYNYSSTDIAALWYRLNEEKHEWIVYVTDIGQQQHFDMLFKAFRRAGWLPHNENAYPKCTHIGFGLVLGDDGKRFRSRSSEVVRLVDLLDEAKRRCKTAILERETTNDWSEEEIEKTSEAIGYGAVKYADLKINRLTNYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDMEEVKKNGFIVLDHEDERLLGLHLLQFPEVFEEACSNLLPSVLCDYLYSLAEIFSKKFYSNCQVVGSPEESSRLLLCEATAIVMRKCFYLLGIEPVYKL >CAK8568556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:616919245:616922286:1 gene:gene-LATHSAT_LOCUS21503 transcript:rna-LATHSAT_LOCUS21503 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFFALAIFLFLTLDPDVSPSSTASASAASSEGVEVQITYGSVIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPTVDDSNSYWIVRPEPGTSAKQGDAIKSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGENDSDTGDYWRLFIEGSGKTWKQDQKIRLQHVDTSGYLHSHDKKYSRIAGGQQEVCGLREKRADNIWVAAEGVYLPVTESKQVE >CAK8568557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:616919245:616922286:1 gene:gene-LATHSAT_LOCUS21503 transcript:rna-LATHSAT_LOCUS21503-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFFALAIFLFLTLDPDVSPSSTASASAASSEGVEITYGSVIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPTVDDSNSYWIVRPEPGTSAKQGDAIKSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGENDSDTGDYWRLFIEGSGKTWKQDQKIRLQHVDTSGYLHSHDKKYSRIAGGQQEVCGLREKRADNIWVAAEGVYLPVTESKQVE >CAK8573625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625828707:625834102:-1 gene:gene-LATHSAT_LOCUS26054 transcript:rna-LATHSAT_LOCUS26054 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQMKESSEQHIVIKTSLHNSSEQNHKHTLLQPRNKGRRRGRGGRKSDQGEILMRPCTVTTTSSSSNGNVGFPTSSKSLNFALRPGFGTVGTKCIVKANHFFAELPDKDLNQYDVTITPEVSSRTVNRSIIAELVRLYKETDLGMRLPAYDGRKSLYTAGQLPFSWKDFMIKLVDDEDGVNCPKRVKEYRVVIKFVAKANLHHLGKFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRKPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVLSRPLSDADRIKVKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGINISEKPVSVEARILPAPWLKYHESGKEKNCLPHVGQWNMMNKKMINGMTVNRWACINFSRGLQDSVARTFCNDLAHMCQISGMEFNLEPVIPIYNAKPEQVEKALKHVYQVSTNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGIISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAVSYRIPLVSDIPTIIFGADVTHPENGEDTSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLISYRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIIVQKRHHTRLFPNNHRDRSSTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETQENGSTADGNSSHGSKGTTRAAGECGVKPLPALKDNVKRVMFYC >CAK8573613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625014225:625016376:1 gene:gene-LATHSAT_LOCUS26043 transcript:rna-LATHSAT_LOCUS26043 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLCCSFFLFITLFLQLNKPCSSSLEQLPTPPLPILPLPTYSQLKWQQREIIMFLHFGVNTFSDSEWGTGHENPSIFNPTGLNTTQWASVAEEAGISLMILTAKHHDGFCLWPSKYTKHSVISSTWQNGKGDVVKEFVNAASEKGIDVGIYLSPWDRHDSRYGDDLHYNEYYLGQLQELLKKYQNVREIWFDGAKDPKAKNVSYYFSDWFSMVKELQSSINIFSDAGPDVRWVGNENAMAGDTCWSTINRSSLAIGSPGIEQYLSTGDPRGTDWLPAECDVSIRLGWFWHKSESPKKLSELLDIYYTSVGRNCLLILNVPPNTTGLISENDAHRLKEFRNAIDTIFHKNIAEGCYVKVSSQRGGKEGGFGPENMLDTDHLWSYWTPREDNKKKEDHWVEIWGNDGGLRFNVIGIQEAIGFGQRIKKYEIYVDDKLLIQGTTIGYKRLHRLDGDVVHAQVVRIRFIEARGVPLISSIGLYFDPFWHSRFKAA >CAK8577792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588631929:588632846:-1 gene:gene-LATHSAT_LOCUS29863 transcript:rna-LATHSAT_LOCUS29863 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKKYTDLKEQAFIDDVEAGNKESVNLDKFFEDVENIKADMKAIEMLYRKLQEANEESKTVHNAKTMKDLRARMDKDVELVLKRVKVIKGKLEALDRSNATNRKIVGCGPGSSADRTRTSVVSGLGKKLKDMMDEFQGLRARMQHEYKETVERRYFTITGEKADEETIENLISSGESENFMQRAIQEQGRGQIMDTISEIQERHGAVKEIEKNLVELHQVFLDMAALVESQGQQLNNIESHVAHASSFVQRGTEQLQEARVQQKSSRKWTCYAIILGIVFVIVLLFPLLTSLIPHLLK >CAK8571748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:467038428:467042185:-1 gene:gene-LATHSAT_LOCUS24382 transcript:rna-LATHSAT_LOCUS24382 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTSPKPSSSFSYGFTYDVFLSFRGLDTRYTFTGNLYKALCDSGIHTFIDDRELEGGDEIKPSLSNAIEDSRIAILVFSPNYASSSFCLDELVHIIQHFTLNNRLVLPVFYGVDPSVLRHQIGSYGEAIAKHRDRFQNNTENLERLQKWKVALNQASNCSGYNFHVGNEYEYNLIGEIVKIVTNKINRVPLHVANYPVGLQCRLQKVNSLFDNRFDDKVQMLGIYGIGGLGKTTLARAIYNFIADQFEVLCFLHNVRENSAKHNLEHLQEMILSKIKGPKIRLGDVSEGIPILKQRLHRKKVLLVLDDVDELKQLQVLAGGLDWFGLGSVVIITTRDKHLLANHGIERTYEVDKLNQVEALELLRWISFKNNTIDSSFEGILKRAVTYASGLPLALEVVGSNLFGKNIGQWKSTLDWYERSPDKKIQEILKVSFDALEEYEQSVFLDIACCFRGYELLEVEDILNAHYGDCMKHKIEVLVDRSLIKISQEGKHDIVTLHDLIEDMGKEIVRQESPKELGKRSRLWFHKDIVHVLEENLGTDKIEIIYLDCPSVEVVVDWKGKSFKKMKNLKTLIIKQGHFSKCPTSFPNSLRVLEWQRYPSRYIPSNFCPKKLAICKLPNNCFTSLELASFLNQKLTNLKVLDFKDSEYLTHIPDVSGLSNLEEFSMNNCKNLRTIHNSVGHLNKLKDLNAKGCSKLQNFPPLKLTSLKKLQLSGCESLKSFPEILGEMQYITVIELLETSIEEFPLSFQNLTGLCLLKVVGKGMHRLSSNSLMMTNLWSVHIENCHLLFPKENDKFSSEMFPQVGSLELFNSNLSDVSLPKFLTLFANVTILDLSANHFTILPECLKECDFLHKLCLDDCKNLQEIRGIPLSLKYFSAKSCVSLTSSSRSMLLNQELHEARGTHFYFSAGTEGIPEWFEHQSNGPSISFWSRNYLPSIALLLVTKLKHGVDTSDCLVNINLFINGYGYYVDSREVCEWQKIKPGHAYLFDLHLHSRVLNFNIEHRNEKLWNVKFKLEEALSKNEWIHVEVTYTHQMKDALLIESGIHIFKEKNSMENIRFSNPFKKSRFVDAI >CAK8571428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:408526912:408528907:-1 gene:gene-LATHSAT_LOCUS24094 transcript:rna-LATHSAT_LOCUS24094 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPQSRTICLIGDIHGYITKLQNLWSNLENSIDPPHFKTATIIFLGDYCDRGPQTRQVIDFLISLPSRYPNQKHVFLAGNHDFAFAAFLRLLPPPTDGSEFSEGWKEFEDNEDREGWFNGDGYEKMHLQGRRWSGNIKAKVNVAKGTEYQGSIYDARPTFESYGVPHGSADLVKAVPEEHKKFLADLVWVHEEDEVFMNTDDGVKCCKLIAVHAGLEKGVDVKEQMKYLKARDTRIPKLQPLSGRKNVWDIPEELSATSTIIVSGHHGKLHIEGLRLIIDEGGGFHDKPVAAVILPSMKTIRDTDVLTK >CAK8565409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:172436281:172437653:1 gene:gene-LATHSAT_LOCUS18627 transcript:rna-LATHSAT_LOCUS18627 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASSSSSTTSTSSIPVVSPTSCSDIFVVLGGGGFVAPIQQNQFEFPFAKRWSSLGMNYDNYPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVEQQESAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECHEWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSTQYYFQPPTQPHFQPPLTQSQPYEHTPNQFTPLTQTHSQSEYQQHPPQYHTYSQFQTPNQPISTQTFTPISPYDQAGYRPDIASSSQPPQNNYEGMGNPFNLDDFTDMDSSWAKVMQMLDDDTMDPTPPQRPPRNVRNPGCGTGGHLNRPSRRN >CAK8535958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894091970:894094172:1 gene:gene-LATHSAT_LOCUS5371 transcript:rna-LATHSAT_LOCUS5371 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLCEKDSGLCSVHSTSHRVFGCPSWGTSSEPEVQQASMSKSLSFKVDALPQKCLDKSMALSFQLHEHDSSSTQSTDSSQSGQIPFQHSSSTGSTFKGTEGNDMGCLIGSSSIGSPNLTIHPQLVDPSQSLAHIAFHFADPCYSGLLSASYGHPYKLMETASVRVPLPSDMMEEPIYVNSKQYHAILRRRQCRAKLEAHNKLIKDRKPYLHESRHVHALKRARGAGGRFLNAKKLEESKLASQNHGQNVSTSYTCLNLNANMPESKMHDNVENYKDDASDVTYESNRTEMFQQQQELEFRLCSYPSSQTGRNMQNYTTDKSVGANQRHRLSVLM >CAK8577041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533595916:533597894:1 gene:gene-LATHSAT_LOCUS29184 transcript:rna-LATHSAT_LOCUS29184 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSTPNHHRHRSSERRTNSRTSPLSRIGEITATEPLRISLDLDQSPTTATKPSSSPSPLSLLRSPTRCFPLRELLLMSPPSSRKSKPRFDDEMQESNSVRRRCRAALSSPRNSRRSRRRSEVEVREEKEIALMDEVAKQRKRRNSGRNNKKDKLSLVPFQPPKAEEENDGDLDRIGILITELIMWKDVQKSTFWFGFGSLCFLSSCFTKGISFSIFSAISQLGILFLAVSFISNSVCQRDPEAAKQGSYAKLKEDDILHLAKLILPALNFAISKTKGLFSGEPSMTLKLAPFLLLGAQYGHLITIWRLSTIGFFVSFSVPKLYSCYSSQINQRAECLKLRLLDTWSACSHKKIVVVSALITFWNLSSIKTRICTGFLLVVIFKYIQQNVLQDGEAYAADKEERQVLLVAEPVDEKPHQALLVAA >CAK8564241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661300835:661303186:-1 gene:gene-LATHSAT_LOCUS17564 transcript:rna-LATHSAT_LOCUS17564 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEFNLSPSDAHPETMDFLSLAWCNFAVQALKPEPQHGSLVLLDNPMNQFEAISPMSQPTSAGMDDADFMSIPPLKSNNDLKSWIWMQQAMHPELNYKSSLRKKWVPWKQILPLKGMSIKKWFKEMKVKRKEEQRLQRAEVHAAMSIAGVAAALSAIASEKSKKESDEDREAAIASAAALVAVQCAKVAEAMGAKKEDLRSVLGSAMNGTSASDILTLTAAAATSLKGAATLKVRSGCNNRLNGGSPMLPIEEKYDLDFDFEKGRLILAQGAELYVESPEGKYMPRSVSIILNSEAKVVLMMRKHNLLKSKKESIIMNMHAELYKGSESEEDDTCYLIVLATRKGTFKIDMVDDIRRYKTWVTTINHMLKISTSFAKYELQFY >CAK8566310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404937246:404937770:1 gene:gene-LATHSAT_LOCUS19447 transcript:rna-LATHSAT_LOCUS19447 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLISESSTKDFKVNKGLRQGDPLSPFLFILVMEGLNALTKKAEDLALFKVFGEVSHNIIQFADDTTFIGEGNWDNLWFAKAMLRGFELISGLKVNFFKSSLYGVNCKKGFLDSAAEFLNSEVSSLPLKFFGTFVGDSPRRIRMWSHVIELFRSKLTVWKSKKLEHWRKSKKL >CAK8570561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60771597:60772613:-1 gene:gene-LATHSAT_LOCUS23299 transcript:rna-LATHSAT_LOCUS23299 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRTHYNSSYPSQTLETIDNISETITFQHHAQEQQLFELSQQNYDFSPSFLDETLFFPSPYFYSNETYPCEDQLIIDSTFSCDQNDGFVSVNEIFPNDENFNSYDVPCAKRQKLDYEETNQQQHEQEVFTANPFTTSFEAEPFSGWNVVDVVQCEKKVSERSISTQSIAARERRRKITEKTQELGKLVPCGPKMNTAEMLNAAANYVRFLQAQVGMLQVMQTFSKEEKEPPPSEDLHKLVVSPIVQEKLYSEEKCFISKEIVTTLTNNVDVRSKPSILQSLKQLVGTEILHQNENKPKQE >CAK8578999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666548529:666549408:1 gene:gene-LATHSAT_LOCUS30976 transcript:rna-LATHSAT_LOCUS30976 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQTFTPIEVMQENLAVSNADESNVVASNGPDVEINSTPNEIESPISCEVDTKGLKRKLTSEVWNHFKRQKIDGKLKAICNYCGAKFLGVPKQGTSHLKSHIKSCPRRTNRDIKQALIKNVQVDGQTVMVGSYAFNQDIARYGVAKMIILHEYLLAMVEHIGFREFCASMQPLFKVVSRNTIKNDIMKIYNDEKENTMKLLSKNQSRIAITSDMWTSSNQNKGYMTVTTHFIDDSWTLQSRLVRFIYVPAPHTSKTLADTLIQS >CAK8569789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10855836:10856535:1 gene:gene-LATHSAT_LOCUS22599 transcript:rna-LATHSAT_LOCUS22599 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8574644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4854964:4855278:1 gene:gene-LATHSAT_LOCUS26971 transcript:rna-LATHSAT_LOCUS26971 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLRKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHGTASYTNHARRKVVTAMDVVYTLNKRQGRTLYGFRG >CAK8541496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:205619370:205619665:1 gene:gene-LATHSAT_LOCUS10413 transcript:rna-LATHSAT_LOCUS10413 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVNVVNTIFVLLGSNDNGHEPNEVRLLSNQKTECYDCCRRLKATLSLLRTSESVVFWCVPIPNFGSILS >CAK8566758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460394640:460395255:-1 gene:gene-LATHSAT_LOCUS19868 transcript:rna-LATHSAT_LOCUS19868 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAFTSFGMKVDNKFQGGRGPPNLRIHGQSCHIIGSMLPLLGHSPKFAQLYIYDTDNEIHNRIEGIGSNPNIIPDRVNKLKLMLDEFNTHAKSFGMAADRLKNCPLPDLKLKLISDRSTNGRIYNHPTISEVAALIVSDVDTGHKRDILLERQSGKLKRISEFHPSYLAY >CAK8566486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428400493:428403471:1 gene:gene-LATHSAT_LOCUS19613 transcript:rna-LATHSAT_LOCUS19613 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRASGTLILASLIWIFFLCATIAFFQLKLVDNTTHQPPSQDKDTLLEDDLEGVTHKVFFDIEIDGKLSGRIVMGLFGTTVPKTAGNFRALCTGEKGVGRSGKPLHYKGSAFHRIIPSFMVQGGDFTSGDGRGGESIYGDKFADENFKLKHTGPGYLSMANSGQDTNGSQFFITTVKTSWLDGRHVVFGKVLSGMDVLYKIEAEGSESGSPKRKVVILDSGELTS >CAK8536027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899629143:899632507:-1 gene:gene-LATHSAT_LOCUS5429 transcript:rna-LATHSAT_LOCUS5429-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSNGNATSPSPCTVSIPPFSVNRRRLSTSTVFVERSRPVSSEKPMAWISLQGRLVNADEASSARTIGGGLTDELALSWNLFSPIHRVLIVAVIGAASSVDRAQKNQEILKLKKSIELRDQVLSSMQQKLDDLCEQLNSSEVNRVVAINKLSNKDGELQLEETFGSERIKFVDCGCWHCEEHSSFYNELMQDANSRKASCGNEVLQYKSPFPNEEPEERRMSDWSDLASSVTFAADIQGANSRKASRGNGVFQYKSPFSNEEQEERRMSDWSDLASSVTSAADIQLNNLAIEQDIYNLKRDCEEKETTINELTILLNSSEIANRKRVAELEDTIERKNTTISKLKKDMAVLEQKVMQLTRLRRPSFSANISNESQLPQMRDNLIYDMDSTTSPSSSDSDSSPVNNTQNLCADAFVPNQNSDSTIDNTSTPAKIVNSSGTLIERRSQSRYASPLQEVPTYHKSNTASSSSQKQLSPRKDLKKSRRRSLPGAKTAGAHKRWV >CAK8536026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899629143:899632507:-1 gene:gene-LATHSAT_LOCUS5429 transcript:rna-LATHSAT_LOCUS5429 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSNGNATSPSPCTVSIPPFSVNRRRLSTSTVFVERSRPVSSEKPMAWISLQGRLVNADEASSARTIGGGLTDELALSWNLFSPIHRVLIVAVIGAASSVDRAQKNQEILKLKKSIELRDQVLSSMQQKLDDLCEQLNSSEVNRVVAINKLSNKDGELQLEETFGSERIKFVDCGCWHCEEHSSFYNELMDANSRKASCGNEVLQYKSPFPNEEPEERRMSDWSDLASSVTFAADIQGANSRKASRGNGVFQYKSPFSNEEQEERRMSDWSDLASSVTSAADIQLNNLAIEQDIYNLKRDCEEKETTINELTILLNSSEIANRKRVAELEDTIERKNTTISKLKKDMAVLEQKVMQLTRLRRPSFSANISNESQLPQMRDNLIYDMDSTTSPSSSDSDSSPVNNTQNLCADAFVPNQNSDSTIDNTSTPAKIVNSSGTLIERRSQSRYASPLQEVPTYHKSNTASSSSQKQLSPRKDLKKSRRRSLPGAKTAGAHKRWV >CAK8573944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648960016:648960444:1 gene:gene-LATHSAT_LOCUS26338 transcript:rna-LATHSAT_LOCUS26338 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISLINPTPLFHQFSSTKSKKPILFVPVMASKRDNNFGGKLVDESMIILRKRIHEMNMMEKNYEAPSNWMEWEKSCYYTNYDSVICEAMRVLQTKLMNTRPSVAFGAMAFVAISVPFSSFLVLVHLLEFAKSVLGGLHFS >CAK8541081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:60962843:60964840:1 gene:gene-LATHSAT_LOCUS10032 transcript:rna-LATHSAT_LOCUS10032 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPNLNDETHGEQPPPSPSEPEEEKKEETVETTVVADAADVAESPLPSLENISEEIDQFLVGFCEKEAVSVSFDIPDYVGKFLDLVEENLVKCETGESKAKWEEILEKDTWILEAVNRISKLKKILTRHDHDENETVEDKNEKRDSTRNRIDVIQQKAMSFLEEDFRLLMEDSRMPMELYPDGQNVDSKGKQAASEEGVSDHQPEESETNFPGYTDDVIACMSKIACEMLNGGYESECCQVYIVARRAAFEEIQQKLGLERISIDDMVQKVQWEILARDMIPAWTNTIRKCTAVYFPGERKLAEAVFSSNHSVAAGLFGSLSRGVVIPLLNFAEGAAMTKRAGEKLFKLLDMYETLRDVIPKLDGLFPEESSEELKTEITLAKTRLGEAAIAIFCDLENSIKSETAKNPVPGGAVHPLTRYIMNYLIIAGDYKETLEQVFRDHSKIERIDSSSRSDDNENHVVVEEACSPFSAQVMRVMDLLDASLDGKAKLYRDIALSNFFMMNNGRYILQKVKSSSEMSQVVSNTWCRKKSSELRNYHKTYQRETWNKVLTCLSHEGLTVNGKVQKPVLKERFKSFNTLFDEIHKTQSLWVVKDEQLQSELRVSISGVVIPAYRAFFGRFSQNLDSGRQTEKYIKFQPEDIEPYIDDLFDGKPPQSNARRKT >CAK8567065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484173371:484190801:-1 gene:gene-LATHSAT_LOCUS20152 transcript:rna-LATHSAT_LOCUS20152 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVFKIGSLHQISWFQFLPHEPDLSPLPDKSVKADQKDAAMLLVLSSHLQLQKEGFLSSWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVSETAQPALSGLRVVASGLWVAPGDSEEVAAALSQALRNCIERALLGLYYMRFGDVFSKVHQFQREELLRRGHPAFEFVFAATEEAIFIHVIVSSKNIRMLSTGDLEKLLKHSSETTYRLPVVVSPHGIRGSLTGCSSSDLVKQSYFSSSSKLRVSNGIIGLPYHASQGVGCQLRGQNCFVEVSLGFPRSETDKALQSNKNIVRNLPKSPVTGQSDHKGSPDHLSDNEKTFLYPAEAVLVPVFQTSLARSSLRRFWLQNWMGPSLTGSSSFIHCAGNVESTEDPWTEYNGSRTQNGYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLACRQSMISSVDRLGSDGPKLGSKRSRPGTQSLSATTNIPGQDAYMSDFGSMEVNNSAITRAENEPIGSYWDWGDNDEENRGMEMDIQALLSEFGDFGDFFENDDLPFGEPPGTAESQALILSAPDCGDVNSSPVGNDMMDVSDQMHLPVGFSSFESFNPTPPPVMEECPNKDQDNLNNSMSMGATNQTQMLYTGEFDHIIKAEAMMTFAPEFGAVEAHTSGLSTTLFRSPYFPKSRKAESSNSCSNNYLYGAEPPSSSYIEGSEGKNGLVINTKTCSGKNDTSMTLHSKNYYTFVESRKDINDKKAVAGVVNNIAKSEGIIQPPFSNIGSNAPVKSVLRKITESTKDTGGTKDAEHFTLSAKTLLATDVTCAMSQASMCRLRHTLLSSGNNLIPVGLSRSTGVTFSNQLHNDPSTTTDNISVKYEVKKKENIPVRIAGDIDGGMLDGHLNAPVGVWRSVGASKVVKPSSSPNMEVGPSFSHNSFNEEGILSYGQRKPLQELLDGITLLVQQATSFVDLALDADCGDGPYGLLALQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSSDVHASTVISLLQSDIKTALKSAFSNLEGPLSVTDWCKGRNHLVDTGSVVDGVSAESSISECRDSSEPLSPSQSSVCGSSSFKVSSMMDSAKVDETSQRRSGQDMCNSETEQHLCSRLKPTLIAVPFPSILVGYQDDWLKASANCLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYEMCKLGTHSPLVLGNQMEIEPAKSSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRALNLNSCLSANSTEGSNNSCLVIYVVCPFPDPSAILQTVIESSVAIGSVIQQSDRERRSSLHSQVVKALSGLATVDEASGSNIPVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYSKARRVSRGISSDLSQLAFSSRSQSVLPQMPSPISGMWKDCVGPRMAGHSIPREGDIDTSLRPGNWDNSWQPTRSGVLNCDPSRTGDIFPHDEIRYMFEPLFILAEPGSPEHGISVIGSPGSETSKALADDSSGNHVQSTSTSGSVDSASSIDGSGSDQKTHPSLHCCYGWTEDWRWLVCIWTDSRGELLDTNIFPFGGISSRQDTKGLQCLFGQVLQQGCLILQSCEPGLAKPRDFVIARIGGFYELEYLEWQKAIYSAGGSEMKRWPLQLRKSLSDGMSSNSNGSSMQQPDMSLIPERTLPSSPSPLYSPHPKPTGFIKGNLGQSASRKQVMGGHSMVENSRGLLHWAQSISFVAISMDHTLQPVLPADSSSPGNVEGFTPVKSLGSASSAYVIIPSPSMRFLPPTALQLPTCLTAESPPLAHLLHSKGSALPFSTGFVVSKAVASMRKDYRSNLKEEWPSVLSVSLIDYYGCSNITQEKFVRGINKQGGRGLSWEAKDFETETHLILESLAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELHKKTEKS >CAK8569681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7918017:7922008:-1 gene:gene-LATHSAT_LOCUS22505 transcript:rna-LATHSAT_LOCUS22505 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIRSRFSAKMAAENTGKNNLESGGFWPFLRRWVPTSTEHIINAEKRLLSLVKTPYVQEQVNIGSGPPDSRVRWFRSSSNEPRFINTVTFDSKEDSPTLVMVHGYAASQGFFFRNFDALASRFRVVAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYIASKYALKHPEHVQHLVLVGSAGFSSETERITKFLSTWKGSILNQIWESNLTPQTIIRGLGPWGPDLVHRYTSARFIKYSTGELLTESESRLLTDYVYHTLAAKASGELCLKYIFSFGAFAKSPLLHSASEWKVPTTFIYGFNDWMNYEGAQEARKHMKVPCEIIRVPQAGHFVFIENPSAFHSAVFYACRRFLSPDPNSESLPEELTSA >CAK8569891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14505035:14506081:-1 gene:gene-LATHSAT_LOCUS22694 transcript:rna-LATHSAT_LOCUS22694 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMYKLEKTSTKHDRFLNPSFSSTLLDQIYRSIDDGEKKSPTETTSFYTQQKPTVIKKLITDTKHFNNKPKTEKAVPVVKKPDNRKFHQRDHEQDALFFSSTSISSDSSSAGFSSDTDSLPRAFFPPRPKPVRTSQSFRFESEKQRNHVFDGFRRGSETKQGTEKRGEEAILIKSKSRAVKIYNNLKKVKQPISPGGRLTSFLNSLFHNNNNEKKTKGSSYEDKRKVASTTCSSASSFSRSCLSKTASFCHRDNYKTVRFCGVEEGRVKVEEATRKFLNEYRCNSYKKKNDMVLLKDLCVNQNDEEDDDDVASCASSDLFELDHLGMLGDERFCEELPVYGTTRVKC >CAK8534572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743523671:743528453:1 gene:gene-LATHSAT_LOCUS4109 transcript:rna-LATHSAT_LOCUS4109 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNLDANSDVKEDGPLRHKMKKNNALEASESLRSKKISARWDPAEACRPIIDEAPVFHPTIEEFEDTLSYIAKIRPLAEPYGICRIVPPACWVPPCLLKEKDIWENAEFSTRIQQIDLLQNREPMRKKSRGRKRKRRKNSKMGTCRRVSNSASESNKACEAEEKYGFQSGSDFTFKDFQQYASYFKECYFGLKDAHDNDKLSDSNRQKRPEPSEEEIEGEYWRIVEQPTDEVEVFYGADLETGVFGSGFPKASSLTKGYPDQYALSGWNLNNFPRLPGSVLSFEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHASAFEDAMKKHLPDLFEEQPNLLNDLVTQLSPTILQSEGVPVYRTVQHSGEFVITFPRGYHSGFNCGFNCAEAVNVAPVDWLMHGLNAVELYSLQRRKTSLSHDKLLFGSSLEAIRALAELTLHGKESPKNLKWKSVCGKDGVLTNAFNARIKMEAERLACLPTHFNVLKMANDFDLHTEKECFSCFYDLYLSAVGCKCSPDRYSCLKHASSLCSCEMDKRFVLLRHNMNELNKLLEALEGDSHTLELWENRNFGMVSTEANEEEGDKGLRETKDSSNSHVSSEPMQCESHPLTLSAPNESIDSDNDNKVIVDKGAVNHAASLDLNLDLISGDNEKHLLHIAENHPDKSDSTEEKVSCLESQKEQTNMEFVGMGDLSHSFSVVKTEVSSCSRDIHNPCTSDDGKYEVDLQIDSESRKKPKIACENTSISLTRESCLMQIFGASVKPISLGSVVHGKPWSSKNAIYPKGFKSRVNFFNILNPTRICSYVSEVIDAGLLGPLFKVTMEECPRVTFTDTSADKCWESVLKRLHNEIMERRNRGEHELPSLELLNNINGLRMFGFLSPSIIQTIEGQDPGHQCAEYWNHKVFPTSPGSVIDNCNVLCCSTSSSPLDINVNIKVFGINLIDHHTKENTRGSYHSEMKSILQKASPGELNLLHKLLISDSQCSEWRMTLTSLMDEIQKGL >CAK8534573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743523671:743528453:1 gene:gene-LATHSAT_LOCUS4109 transcript:rna-LATHSAT_LOCUS4109-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNLDANSDVKEDGPLRHKMKKNNALEASESLRSKKISARWDPAEACRPIIDEAPVFHPTIEEFEDTLSYIAKIRPLAEPYGICRIVPPACWVPPCLLKEKDIWENAEFSTRIQQIDLLQNREPMRKKSRGRKRKRRKNSKMGTCRRVSNSASESNKACEAEEKYGFQSGSDFTFKDFQQYASYFKECYFGLKDAHDNDKLSDSNRQKRPEPSEEEIEGEYWRIVEQPTDEVEVFYGADLETGVFGSGFPKASSLTKGYPDQYALSGWNLNNFPRLPGSVLSFEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHASAFEDAMKKHLPDLFEEQPNLLNDLVTQLSPTILQSEGVPVYRTVQHSGEFVITFPRGYHSGFNCGFNCAEAVNVAPVDWLMHGLNAVELYSLQRRKTSLSHDKLLFGSSLEAIRALAELTLHGKESPKNLKWKSVCGKDGVLTNAFNARIKMEAERLACLPTHFNVLKMANDFDLHTEKECFSCFYDLYLSAVGCKCSPDRYSCLKHASSLCSCEMDKRFVLLRHNMNELNKLLEALEGDSHTLELWENRNFGMVSTEANEEEGDKGLRETKDSSNSHVSSEPMQCESHPLTLSAPNESIDSDNDNKVIVDKENHPDKSDSTEEKVSCLESQKEQTNMEFVGMGDLSHSFSVVKTEVSSCSRDIHNPCTSDDGKYEVDLQIDSESRKKPKIACENTSISLTRESCLMQIFGASVKPISLGSVVHGKPWSSKNAIYPKGFKSRVNFFNILNPTRICSYVSEVIDAGLLGPLFKVTMEECPRVTFTDTSADKCWESVLKRLHNEIMERRNRGEHELPSLELLNNINGLRMFGFLSPSIIQTIEGQDPGHQCAEYWNHKVFPTSPGSVIDNCNVLCCSTSSSPLDINVNIKVFGINLIDHHTKENTRGSYHSEMKSILQKASPGELNLLHKLLISDSQCSEWRMTLTSLMDEIQKGL >CAK8544269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674999988:675001722:-1 gene:gene-LATHSAT_LOCUS12962 transcript:rna-LATHSAT_LOCUS12962 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPTNPNPSLKPNQPLRPCDYCSNSTAVLYCRADSAKLCFSCDREVHSTNQLFSKHTRSLICDSCDDSPATILCSTESSVFCQNCDWENHNLSLSSPHERRPLEGFTGCPSVTELLAIVGLQDIGKKSSLFPEESFGDGFLGYEIEGVSDMFVWDAPTFVSLDDLISSSPSSHNYRAMEVPPLPKNRKAACGRQKEEILSQLREMTKSEPLDQEDYMPSRSLSIDFEHDTKTDIVPLNEWLRESSEPVYQVVPVDTSIRAHTEEIPVKHSVSSVGESHTHMTPSESIKSETLSTTLKPLPPPYELASQERDSALLRYKQKKKTRRYDKHIRYESRKVRAETRTRVKGRFAKIEH >CAK8565144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:46248773:46251186:1 gene:gene-LATHSAT_LOCUS18380 transcript:rna-LATHSAT_LOCUS18380 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDEDVKAMFRCHMMFGQLPTIEVYVRLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSSDEDNEDEDIVIPSTQPICAQPVSLYNPPTHMQNIDFEDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCVDYWVYQYDNERYVIQCKKQDCRFKCRASFRRRNSKWVICKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNNDASLKVKLIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETLYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSEKRIFHDLFWTFRLCIHGFAYYKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKNAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPIMTLVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSYVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRQCGICREIGHIRSKCPNVAGPSNRPNR >CAK8573440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612711651:612713486:1 gene:gene-LATHSAT_LOCUS25887 transcript:rna-LATHSAT_LOCUS25887 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSPLPPKTYTLTATSISYTKNLQTNNSIIAPFPIFKNCTPAPPTYILKDVSLTAYPSEILAIVGPSGAGKSTLLDILSARRLPLSGTLSLNSSPVTNPSTFRKLSAYVPQHDACLPMLTVAETFAFSASLLKPRTIDIASIVSSLLNELRLTHLANTRLNHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSSSAFKVMQTLKSTCVSYNRTIVLSIHQPSFKILSCIDRILLLSKGTVVHHGSLASLQSFLHSKGFTVPHQLNALEYAMEILNQLNEVSLSPMITPSTPPESPENSKSTIVSSVSDNTGRTKRKSKDLRYKSSRIHEICTLFKRFWKIIYRTRQLLLTNTAEALLVGLVLGTIYINIGFDKEGIVKRSGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLIANTLVFLPYLLVVAVIYSIPVYFLVGLCGSWLSFAYFVLVIWVIVLMANSFVLFLSSLAPNYIAGTSLLTVLLAAFFLFSGYFISKDCLPKYWLFMHFFSMYKYALDALLINEYSCLATRCLIWFQENDHECMITGGDVLQKRGLHERQRWTNVYFLIGFFVFYRVLCFLVLLRKISRSKT >CAK8575335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:85399279:85420046:1 gene:gene-LATHSAT_LOCUS27606 transcript:rna-LATHSAT_LOCUS27606 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSVAQSYQDHEATEFRELVMEDKWQEVIEKYEADSNFHKIEIKGRGTALHVAVSNGCKKEVKSLVEAIEKLDDESSLRMVNEIGATPLHLAAYRGFTDVCEDIIGKEGERKYLIQEKNANGETPLFWAVRARKRLVFVYLQQFFPYDINIAINNNDTSILHVAIQREMFDLANIIMYCYESLGSMKDKDDITPLEILATRTSAFMSGSRRLSWWKQILYYCFSVSLRDAKTTMELYQKKAISKGNLKNEKDDHSEVSIPLYRVDELEKAYSGRNTLAQLDFFSCVKILFHHIQNFESGWPILSLLDIKAIKTIKKKHIFGRQLLEEFMKNPYESYMGGGFDPFRDIEQEEQSEDGIVNFPEFIKRERKEGDTSMQNMKENEKLEDSTNIDGKDATFLAVAKSGLAEIMEELNSKVPITSDKKGFLLVAMKNIKQELSEEKSVLKDTAYLIAASNGIVEMMSALKLKIRSVTHETNSNNENALLLAVKNRQPHVIKWLWKSLPKEVFQHLNLEVDKNENTVLHVAAYTSIQRENTWRISGAAMQMMWDIKWYKYIKGLVPEHFNHRNNKDGKTPSEIFKEQHKELLQNSIEWLKDTSESCSVVAALIAGVSFATSGSVPGGNQQSGQPALEGHPAFEGFAVSSLIGLYFSVTALIMFLSILTSRKEVEDFRRNLPMKLLFGLSSLFVSIVAMFVSFCAGHFFVLTDKYTKRGILFYLYISICLPVAFYAAVQFPLFVDLVKVIWKKVPPPSVKGVHL >CAK8573462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614171441:614173274:-1 gene:gene-LATHSAT_LOCUS25908 transcript:rna-LATHSAT_LOCUS25908 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQNMKTPRFENSYVIRVISIVLILGSVAECGIPSSKLNNFDYPAINCRKHSAILTDFGGVGDGKTSNTKAFNSAITNLSQYANDGGAQLIVPPGKWLTGSFNLTSHFTVFLQKDAVILASQDESEWPQLPVLPSYGKGRDGVITDRWSSSLIFGTNLTDVVITGNNGTIDGQGSYWWNMFRKHQLKITRPNMIELMYSNQIQISNLTLVNSPAWVVHPIYSSDIIINGLTILAPIDSPNTDGIDPDSCTNVRIEDNFIVSGDDCIAIKSGIDEYGIRVGKPSQQIIIRRLTCISPDSAMVAIGSEMSGGIQDVRIEDITAINTESAVRIKTAVGRGAFVKDIFVKGMNLNTMKYVFWMTGDYKSHPGEGYDPKALPKISGISYRDVIAKNVTYAAKLEGISNDPFTGICISNATIEMNGQKKLPWNCTDVSGVTSNVSPKPCELLPEKEKLDCSFPSDKLPIENVQFKTCSYQSSVF >CAK8561687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:356098350:356101099:-1 gene:gene-LATHSAT_LOCUS15254 transcript:rna-LATHSAT_LOCUS15254 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPKAITIHVTGFKKFQGVPINPTEIIVNNLKGYVEKKGLPDGVTLESCTVLEVAGEGALPQLYQTLESGVSKTDTNSNANVVWLHLGANSGATRFAIERLAANEATFRCPDELGWQPQHVPIVLEDGGVSQKRETSLPVDAIYKFLKGKSYDVMLSDDAGRFVCNYVYYHSLRFAEQKGNKSLFVHVPLFSSIDEETQMRFTASLLEAIASAC >CAK8536679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6926376:6927809:1 gene:gene-LATHSAT_LOCUS6017 transcript:rna-LATHSAT_LOCUS6017 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSMALPSLKPYNLKNPTTTLIQSSWASSSKPNTLTLVSSKPPLTTKFQSFSLRCSTKPDTNTNSNTHSKQNNEPDSLSKQSQPQKDNESFSSSSPSSESSSTTSLFSRGLVFDLGFSNSWDSEDIGSPVVKRFLSDEEERWYMWYHGRPKGKPSSDLIGLAISSNGVHWERGGGPARSSSDVGFVMNCGKDWWGFDTRGIRPSELLVMSSYRVKGSNAVYWLYYTGYGSESVEFCDQSLDYSFDNPIGLNDENFGKGKILKSLPGLAISQDGRHWARIEGEHHSGALMDVGKEKDWDSLFISSPQVVYHGNGDLRMYYHSFDKEKGEFCVGMARSRDGIRWLKLGKIMGGGKVGSFDELGVMNACVTRNKSGGNYVMVYEGLGVDGRKCIGVAISPDGLMEWVRVQDEAILMPSDEGCWDDKDVESPCLVYMDNEENEWRLYYKGVGNGGRVGIGMAVKGFRSFRRCTGFHF >CAK8564608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2111268:2114927:1 gene:gene-LATHSAT_LOCUS17896 transcript:rna-LATHSAT_LOCUS17896 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIVGETLVSASMEALLQKLISTDFPDTEVDVSLLIKLKQTLARVQPVLHHYAEDTKWLDLLIDLVYQVDTLFHQIKTEEAPDQTLTPTTKVRTMFFIHSKIQKLTDRLERLILRGEQDGLEFSDCSHVWHGTPTDSVLEDDSFIYGRDDDIQKLKHHLLSNSSDGDSKIRVISIVGMGGIGKTALAKHLYNDPQVKDEFELKVWAYISKDFDAVRVFETILKSITSQSISNGNLSSQILESHNAKGKYTIYPNLLLVSLQQILNTSKFLLVLDDVWDTKSVNWISLMDTFNAGEMESKIIITTRDETVARSMQMILSVHYLRPLQNEDCWSLFARYAFGTCNDQQRSYLEEIGRQIAKKCDGLPLAAVEFGAILYGKLSPNDWNCVLEINIWESTIPEVHAALESSYRYLSPPIKRCFAYCSIFPKNSILEKEMVVQLWIAEDLVGSFTGQERWKVGEEYFDVLVSKSLIQRRSTENKEENFEMHNLVHDLATMVSSPYCIRLGEHYLHERVQNLSYNRGLYDSFDKFNKLYGLKYLRTFLALPLQKQSPRCLLSNQVVHDLLPTMKQLRVLSLSNYKNITEVPKSIGDLLYLQYLNLSHTNIERLPSETCNLYNLQFLLLGGCKRLIELPEDMGKMVNLHHLDISDTSLEKMPVQIAKLKNLHTLSDFVVSKHNDGLKVAELGKFPNLHGKLSISQLQNVNDPFEVDQANTKMKEQIKELALEWDCGSTFPDSQIKSVVLEHLQPSTNLKSLTIKGCGGISFPNWLGDFSFRNMVYLKISNCDDCLWLPPLGQLENLKELFIEGMPSVQTIGTEFYGSDSSSFQPFPSLEILHFVEMQEWDAWNLTGGTYIKFPCLKTLSLSKCPKLIVGNIVDKFPSLTELELRECPLLVQSMHLSDHVFQQLMFPLSSLQQLTIDGITSSMSFPEDGLPKTLKFLIINNCENLEFLPHEYLSNYTSLEELKISYSCNSMTSFTLGALPVLKRLFIEGCKNLKSILIVEDVSLKSLSFLRSIKIWDCTELESFPPGGLATPNLVYFAIWKCEKLPSLPEAMHNLTNLQEMEIDNLPNLQSFVIDDLPYALRELTVGSVGGIMWNIEPTWEHLTCLSVLRITGDGTVNTLIRSLLPASLVTLCIHGLNDTSIDGMWLQHLNSLQTLEIVNAPKLKSLPKGLPSSLSVLSITRCPLLVAALRRKRGKEWRKVAHIPAIIIDDKLIT >CAK8577038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533152140:533157899:-1 gene:gene-LATHSAT_LOCUS29181 transcript:rna-LATHSAT_LOCUS29181 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEGNGGGGGGATIIDETYEFSAPRFFDFTKEESEGDICKAELWFHTALSYAPSPFMPKIKAGRSVTVDTLCDFSEADNKMSGNVDDRALESNTQQVSITSNIKEKLTAFARVICANKKNDAPCCNVKEESTSTTHKVSFGKQTSDHKGESSKVVTSIGPSVEVGKDACTPKPALRKNVNPASTNSKKQQNSKKVSTNTKTRLQSAATNSTTLTPHLTQENQAIKRQKLEEGKTRQILNLKPRILSHDKSKLGYSSSTTKTHQEDRKVYVREPKTAPPAPFVSMAEMMKRFESSTRDLHNNLSHSKPKLTLTRPKEPELETNQRARPARVKSAAELEEEMMAKIPKFKARPVNKKILQTSTLPPMPRSEPQPPEFKEFHLETQARAHQNIDSASKSEVSHKEKSQKTHHTEPKPPLLQTTLRARPPTVKSSLEIEQEELEKIPKFKARPLNKKIFESKGDIGISCHMKKHVTEPQEFHFATNERFPPSASVADLFDKLSLKSEPARHKTVPRITTPNPFHLHTEERGAEKEKKLFLELLEKQLEEEATRVPKANPYPYTTDYPVIPSKPEPKQCTKPEPFQLESLVRHEEEMQREQEERLRMEREEEQRRKFKAQPVLKEDPIPVPEKVRKPLTQVQEFDLHVNHRAVDRAEFDGKIKEKEMLYKRHREESEAASKIEEEKALKQMRRTMVPHARPVPNFDKPFCPKKSSKTTKPKSPNLRVLQRRRKVNGSTVSSPATNMR >CAK8566784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462632832:462641645:-1 gene:gene-LATHSAT_LOCUS19891 transcript:rna-LATHSAT_LOCUS19891 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGVSQAIFFSNTTTTTTPSRFNLHPHKPPSFPLQTNPSISIFPRKNRTPHFFRCTPNSTNDTSLNWDWNRWLRHFSDIEQAESFTSLLKFQLEDAVEKEEFSEAAKLKRAIQEATSKDSVAEILSQLKNAIDDERYHDASRLCRHTGSGLVGWWVGYSKDSESPFGRLIRISPGMGRFVGKSYSPRQLLTSSTGTPLFEIYVVKNADDTYHMQVVYLRRSKGNSTSNPPSIPAKSPSKPEVENLSSIEVEEPEEKVEETDDEKNSNVEKSVINFLKEKIPGLKVKVMNVNVEEEAREGSDSIKQIMEDDGNKTSSTENSEGEVNNLDEPDEVTLEGDIDASEEEKDLDMKLFLGGIVHNDEDNAAKEFMRLPAEIKNMERDSFLLHIPRRYLDNDRKEDKVRIIKGAALISELMPSDIAKAFWGSDKVSSKNSKSMREIVKLAISQAQKKSRLSEDTYFSRITSSGSVGDSDPFDGLYVGAFGPYGIEIVQLKRKFGHWNDADNENNTSDIKFFEYVEAVKLTGDLNVPAGEVTFRAKIGKVNRNANRGLYPDELGVNASYKGQGRIADFGFRNPKWVDGELLQLNGKGMGPHMKGADIGFLYVVPDQSFLVLFNRLKLPE >CAK8533766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658170879:658171403:-1 gene:gene-LATHSAT_LOCUS3365 transcript:rna-LATHSAT_LOCUS3365 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFSVLQSTFKEKAGDDVERLDAETISKLWTDSAGGRSHGRVYGTTDLAINLKHGSISFIQQPQNSRGSMFGTSFESERASRIRAEQLAAATSARLEEATKAIQASNEIARKATEQYQVSNEFAKKMEYELNVLKAFIMQKLDPTNGQSARVVIRSSNPHYDDDLDDQSLSED >CAK8531269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94862273:94863235:1 gene:gene-LATHSAT_LOCUS1073 transcript:rna-LATHSAT_LOCUS1073 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTFMKLKVDLECEKCYKKVKKLLGKYPQIRDQKYDEKGNMVAIMVVCCSPEKIRDKLCYKGGGSIKSIEIVDPPKPKPAEPEKKKEAEKPKAAEPEKKKDGDKPKPAKEAEKPKAAEPEKKKDADKPKDAEKPKPKPEGEKPKEKSEGEKPKDKPATAAASMQMIPQMPPPMAVPVGMCYVPSCYEGRPVVPYGGAYPQQYGGPVQYYDGYYARPVYDSYGGSGPCYVGRCDQYLSEENATGCSIM >CAK8575373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:99860212:99861599:-1 gene:gene-LATHSAT_LOCUS27642 transcript:rna-LATHSAT_LOCUS27642 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNHHVRLNCGITMPLIGFGTYSYPNDNKTTQIAVHNALELGYRHFDTAKIYGSEPALGKALNKAIYMGQVEREEIFLTSKLWGSDHHDPVSALKRTLKNLDMEYLDMYLVHWPVKLKPWVNYPVPNEDDFENLDLETTWAGMEKCLEMGLCRSIGVSNFSSSKIEWLLDFANTPPAVNQVEMHPMWRQRKLRKTCGKHNIHVSAYSPLGGPGNAWGSTAVVNHPIIQSIAFKHNATPPQVALKWGLLKGSSVIVKSFNDERMEENIGSFDLNLDDEDLLEIEKMEEIKIMRGEFHVNETTSPYKTIEELWDNEI >CAK8568162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578626087:578626602:-1 gene:gene-LATHSAT_LOCUS21151 transcript:rna-LATHSAT_LOCUS21151 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEKWMEFDLRALSTICMSLAKNILANVLGMSSGKELWEKLEGLYLGNDISNRLLLKDQFHNLHVDEHTKVSNHLSVLNGIVYELETIGVKINDEDKALRLIWSLPSSYEHIIPILIYGKENLNFEEVVSKIISEERRLKGEENTSSNSVLVTRGRSYVKKNNEASVRCW >CAK8541746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:380753397:380753855:1 gene:gene-LATHSAT_LOCUS10642 transcript:rna-LATHSAT_LOCUS10642 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLMKLLCLVGFVVLVAGIHGVESAGECGKGTTPDNEAFKLAPCANAASDEDANVSQSCCAQVKKLGQNPACLCAVMLSNVAKMSGADPEIAVTIPKRCNIATRPIGYKCGPYTLP >CAK8574812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9892391:9892987:1 gene:gene-LATHSAT_LOCUS27117 transcript:rna-LATHSAT_LOCUS27117 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQFLTLFLFLLISSNTITSTSSLENEENTFDFVRPIDKKLLGLSKKEKLNHFKFYWHNIATGKNATSIEVVPSPKMINSTTYFGSISMMDSPLTIRPELSSKLVGKAEGFYASASQVELGLLMAMNCALIEGKYNGSSITILGRNPVFDKVKEMPVVGGTGLFKFARGYAQVTTHSLDFKTGLAIDEYNVYVFHY >CAK8566099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379782772:379785399:1 gene:gene-LATHSAT_LOCUS19262 transcript:rna-LATHSAT_LOCUS19262 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIPFIGLCILTLSLAFVLKKILSKSQTKNVPKGSLGYPIIGETLDFLRAQRQDKGYEWIQERVSKYGSVFKTSLMGSPTVFIIGQQGNKFVLGSSDDVISAKKPTTLQKILGKQSIAELVGSRHRLVKGQMLKFLKPECLQNYVTKMDELVNTILLKELKENKTIEVVRLMKKLAYDMTSNILFDIDQPTREILFDDFFTSFKAIHSLPVNLPSTSFWRGQKARARIVEKILPIMNKRRQELSKGVLSSSNDMLSCLLAIRDENDEPLEDDVITDNFIFIFVASHDTSATLMTLMIWKLSRDQEVYNKVLEEQMEILKQRKGNEERLTWGEIQKMKYTWRVAQELMRMIPPLFGGFRKALKDTSYQGYDIPKGWQVYWASCGTHMDKDIFENPHKFDPSRFENQTKSIPPFSYLPFGAGSHNCIGNEFARVKTLTTIHNFVMLYEWSQLNPNETITRQPMPYPSLGLPIEIKPRCNMS >CAK8572081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507846739:507848151:-1 gene:gene-LATHSAT_LOCUS24685 transcript:rna-LATHSAT_LOCUS24685 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNKKAGLLLLLCVHVFVANIVVARNVANVNNEEEKNLLGFGKGGGFGVGFGGGGGTGGGGGFGGGAGGGGGLGGGAGGGGGFGGGAGGGGGLGGGGGFGGGAGGGHGIGGGIGKGGGIGGGIGKGGGLGGGGGFGKGGGVGGGHGGGLGGGGGFGKGGGVGGGHGGGLGGGGGFGKGGGVGGGHGGGLGGGVGKGGGIGKGGGLGGGGGFGKGGGIGGGIGKGGGLGGGGGFGKGGGIGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGVGGGIGKGGGLGGGGGFGKGGGIGGGIGKGGGLGGGSGGGGGLGGGVGGGSGTGIGGGIGKGGGFGGGVGGGSGGGLGGGSGGGLGGGSGGGSGSGIGGGFGKGGGLGGGAGGGSGGGAGGGFGKGGGFGGGVGGGAGGGFGGGAGGGFGGGGGGGGGGGGGGGIGQWHH >CAK8538971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500596771:500597265:1 gene:gene-LATHSAT_LOCUS8115 transcript:rna-LATHSAT_LOCUS8115 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAQKQTSQLCKTSKATTKPYRQENMPLAKGLVELLQEAKQEVPSWLIQYSQSSAPGGRGSSGSQRSPWRGNYGGRDFRTAAEPVKVQNYNYNSTYSNGRDHTANTYTDTSLDIQNSNNNATFDNTNTEIADGYNYPDDGLCEGSDGINGPCGYASVVPTGWD >CAK8530254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10488694:10489377:1 gene:gene-LATHSAT_LOCUS134 transcript:rna-LATHSAT_LOCUS134 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNGVFKGTRNLPITALIRATYYRLGSLFAARGKKWSDVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHKEGRPMGHYRVELHKNWCDCGKFQTFCMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8532472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:268355198:268359651:-1 gene:gene-LATHSAT_LOCUS2174 transcript:rna-LATHSAT_LOCUS2174 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQFIKARQIFDSRGNPTVEVDVTLSDKTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNAIIAPALIGKDPTKQTEIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGALAKKLPLYKHIANLAGNKTLILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQDNKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYNNERKTYDLNFKEENNDGSEKISGDSLKNVYKSFVSDYPIVSIEDPFDQDDWEHYSKLTAEIGQQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGSKFRAPVDPY >CAK8577012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531402307:531403334:1 gene:gene-LATHSAT_LOCUS29158 transcript:rna-LATHSAT_LOCUS29158 gene_biotype:protein_coding transcript_biotype:protein_coding MECVLHHVFYGARLETSVYGLALEIYARRDYKILEAKTCFAFPNFPLVLVVIIFCLYFVEVEIIIFCFSLLAFCN >CAK8535539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860305344:860308289:1 gene:gene-LATHSAT_LOCUS4991 transcript:rna-LATHSAT_LOCUS4991 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVGEATNGQPSRQGDIEMGMQVPGSNSDMGMEAFNKQIQDADKQIEKLSVLLQKLKEANEESKAVTKASSMKAIKKRMEKDIDEVGKIAYGVKAKIEAINRENLNNRQKPGCEKGTGIDRARMNMTNSLTKKFRDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQQAILEAGRGQVVNTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTTALQSAKKLQKNSRKWMCIAIVILLIIVAIIVVGVIKPWKSNKGA >CAK8533193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589256178:589260337:1 gene:gene-LATHSAT_LOCUS2838 transcript:rna-LATHSAT_LOCUS2838 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMLTIDSRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTITLIGNKGDLSHRRAVSKEEGEQFAKENGLLFMEASAKTAQNVEEAFIKTAAKILQNIQDGVFDVSNETCGIKIGYGRPQGQAGGRDGAVAAGGGCCS >CAK8569655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7409180:7410305:1 gene:gene-LATHSAT_LOCUS22483 transcript:rna-LATHSAT_LOCUS22483 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIKKLIVVGVFSWATTFLVVRKIFPKRSFDFCNRIVSTIHAILAVTLATLSVEDWKCPICPVAATSSHKQMQVLAVSLSYLIYDLVCCLFDQKINLDNSIHHLVSIVGLIAGLAYQKCGSEMVGAVWVTEMSSPFLHLRELLKELGYKDTALNLTADILFASIFTFARMIIGPCITYVTITSDNPFLIKAMGLGLLLVSAFWFLKIVRMMKYKLSKKSAASKNDIKKNNSIRNKTAS >CAK8560685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35756481:35759445:1 gene:gene-LATHSAT_LOCUS14342 transcript:rna-LATHSAT_LOCUS14342 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLHNATSSAGELPAAAKRIRRTKTICSCNSPRPPFLSAHSAFSWFDEDIWTEIAKFLDGKSLIMLAATSRWFRRAIMDDGIWKFVCLRDLQVPSPPRVAFKWSKLYTSAFDGSHSYKFRQQEKHIDWMRIGAFYFDSSVALLTERLTFPGELKKEESMEKMLRSHGCCFLDHIKPGIWIADLQLVRCPVCDLNTCDGTMQTLDARHIELFLCEGYQSGSWDYDLVGCHDLKKRADGATGSIIDVKHLESSSTSAVFDYKSWIGKHNDWQPKAMIAFHAVAVNTNLQENEGLHVKYQAMRAGPGGEVVSIRISQQLL >CAK8574893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13925677:13929012:1 gene:gene-LATHSAT_LOCUS27192 transcript:rna-LATHSAT_LOCUS27192 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHIIFWLFFIPISLINSSTSDFVVNGHCLSHQHSLLLHLKNNLIFNHTKSSKLVHWNQSDEDCCQWHGVTCKDGHVSALDLSHESISQGLNDSSALFNLHYLESLNLAFNSFHTVIPSELHKLRNLKYLNLSNAGVEGQVPKEISHLTKLVMLDLSSSVTSNHSLKLENPNIEMLVKNLTDIRELYLDGVTISASGEEWGRALSLLKRLRVLSMSSCNLSGPIDSSLAKLRSLAVLKLNNNNLSSIVPDSFANFSNLTILHLSSCGLNGFFPNHIFQIHTLEVIDISDNQNLKGSLPDFPTLASLHYMNLANTNFSGPLPNTISNLKQLSTIDLSYCQFNGTLPSSMSKLTQLVYLDMSSNNLTGPLPSFNMSKNLTYLSLFLNHLSGDLPSSHFEGLTNLVSIDLGFNSFKGNLPTSLLKLPYLRELKLPFNQLGGLLGEFDSASSPVLEILDLGSNNFQGNIPISIFNLRTLRVVQLFSNKFNGTIQLDVIQRLHNLTTLGLSHNNLSIDDNIRDDHDWSPFPEIRFVSLAFCKLKAIPNFLRNQSTLTYLDLSGNEIEGSIPNWIWNLESINNLNLSQNYLTNFEERNWNVSSNLFVVDLSSNRLQGPITFIPEYGIHLDYSSNMLTSIVRPDIGNYLPFIQILILSNNSFKGEIDESLCNASSLNMLDLSYNNFDGTIPKCFATLSSKLRMLSFGGNKLRGHIPATIFSNSCALRYLGLNDNFLEGSIPKTLVNCNQLEVLNLGNNALSDRFPCFLGNISTLRVMVLRSNKLYGSIGCPNSTGDWDMLHIVDLSSNKFSGMIQGTLLKSWKAMMRDEGAFGPEFGHLFSTIIDNNNPPVSLKAVLPLLNKYLAMKFVKLVGNVSRSILDEGFAAFVTLDLSRYQDSVILVYKGRQMKLSKIQMAFTYVDMSNNYLEGPIPNELTEFKALHGLNLSHNALKGHIPSSVGNLKNLESLDLSSNSFNGEIPQELSSLSFLGYLNLSFNHLEGKIPLSTQIQTFDADSFEGNEGLCGPPLIINCSKDGVQGLPPSHSQNENSIDWNYLSLELGFIFGFGISILPLIFWKKWRLWYSKHVDVMLYRIIPQLDFQLEQHEGKMYKILRWRY >CAK8579431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699024191:699024970:-1 gene:gene-LATHSAT_LOCUS31379 transcript:rna-LATHSAT_LOCUS31379 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIAEGTNLVFHNNVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSSVLEKHNHYGNVHAVEKLRQSIEIWYATSEYLRQQMTPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNFHEGLSLTEYIISCYGARKGVVDTVVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVNIRNGMMPERILIQTLNGRVVADNIYI >CAK8576583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494985077:494985601:-1 gene:gene-LATHSAT_LOCUS28759 transcript:rna-LATHSAT_LOCUS28759 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRTRKNSISSVLVNSAYVEEVNSVKQAARDHFSKRFYVENTCRPELDFTGINRLSVEDVIVLEEKFCVEEIREVIFEEDENKSLGPDGFNVKFIKRCCDIVGKEVVDCINEFHDSTWLPKVISSSFLALIPKKENPQSFDDYRPITLIGCIYKIVSKVLAAKLKRAMGKLI >CAK8531050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:75927566:75928813:1 gene:gene-LATHSAT_LOCUS866 transcript:rna-LATHSAT_LOCUS866 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIFLPLFFSLLSVTSSSPNSILLPITKDSTTQQYLTTISHGTPFVSTNVVVDLSGSVFWLDCSSRKSSSSSLRTIPHRSLQCLTASSHSQAWPIDQDQDIPCEILPKNTITGKVATEGVLVEDVLKLKSTKDSIFQPTLDLFFACSSSLLLSGLSDDAKGIVGFGRSRTSFSSQFFNSVDSQRKITFCLSSSSGSVLLGQNNVLESEKIFRSLTFTPLVTNQNNEYFINVNSIKIGGKKVSFNTPSLSQEGYSYEDGTKLSSVVPYTTMQSSIYANFKSAFVKAALSMNMSRVASVSPFEICFDSKGVGESQTGPNVPVIDFVLQSEMVKWRINGRNSMVRVNDDVMCLGILDGGDELKNPIVVGGYQMEDVLVQLDLDSSMVGFSSSLLIKDTSCSKFRFGSMDVQFQSS >CAK8571334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:383081776:383094322:-1 gene:gene-LATHSAT_LOCUS24005 transcript:rna-LATHSAT_LOCUS24005 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFWRSIDRFSIQHFKCVISELQKIKVVNKHNREYVVDLLQCIVEIVTYGDRQDPLIFEYFMEHQVLADFVRILKTSENSKIEAPLLQYLSIMIQNMDSEQAIYYCFSNGYINNIISHPYEFDGGDLASYYVSFLRAISGKINGDTLCLLVKVHGDAVVSFPLYTEALRFAHHEEKMIQTAIRSLVLNIYNVSDDMVYQFILTPPNSEYFSDLVHRLRDLCFCLDTILYDKGEMETQKRKNGLILQSDKIVDELYYFKDILSVGKPRLTKLVTENLLNGLVFPALFSLLVSKDNDESGLSAVTSLYIVSRLLQIVGGRSIVNNVACVILYHFLNLNATVQSEGNAIDDHDNVKPFAKCLSEIERTICYVPELNGAESITGNHLGAHWEDFMSGFRTDEICPKRRGLLEFVFSEDCRLLLASIFLLLILAESKDLDCFLSPIIGLYGTGDVMMQTNSSSTSKPADGSIFTRFMPEIVNALLKVLGFQQSLSAMMLWHVGWCLLKLLNFNREGLNSDNLLLFTTLYDQSRARFLKELDGIWFDHIPDALRTEWESCIRALEQSSHYKNPLFILELDFHQQSISGETSSYFAWQRMDDAMKAFILHFQLNTFIFKSVLADKPLWNTIATSTNDSGANQSDVSSTSFGSNVSLESGIPCQIAFSNSEIRDVYVIPVAYGMVGKLLLAEKHPFRSRHGVVIAIAPLAGLCPKIDEDHPSWLLLQIRNFDPQFYTIKARDNNLSMTHHLADGRWTLGFLNEKACKEAQFAILNEVTKQRSAVEYMLAPLLQNDLGLAVGKGS >CAK8571335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:383081776:383094322:-1 gene:gene-LATHSAT_LOCUS24005 transcript:rna-LATHSAT_LOCUS24005-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFWRSIDRFSIQHFKCVISELQKIKVVNKHNREYVVDLLQCIVEIVTYGDRQDPLIFEYFMEHQVLADFVRILKTSENSKIEAPLLQYLSIMIQNMDSEQAIYYCFSNGYINNIISHPYEFDGGDLASYYVSFLRAISGKINGDTLCLLVKVHGDAVVSFPLYTEALRFAHHEEKMIQTAIRSLVLNIYNVSDDMVYQFILTPPNSEYFSDLVHRLRDLCFCLDTILYDKGREMETQKRKNGLILQSDKIVDELYYFKDILSVGKPRLTKLVTENLLNGLVFPALFSLLVSKDNDESGLSAVTSLYIVSRLLQIVGGRSIVNNVACVILYHFLNLNATVQSEGNAIDDHDNVKPFAKCLSEIERTICYVPELNGAESITGNHLGAHWEDFMSGFRTDEICPKRRGLLEFVFSEDCRLLLASIFLLLILAESKDLDCFLSPIIGLYGTGDVMMQTNSSSTSKPADGSIFTRFMPEIVNALLKVLGFQQSLSAMMLWHVGWCLLKLLNFNREGLNSDNLLLFTTLYDQSRARFLKELDGIWFDHIPDALRTEWESCIRALEQSSHYKNPLFILELDFHQQSISGETSSYFAWQRMDDAMKAFILHFQLNTFIFKSVLADKPLWNTIATSTNDSGANQSDVSSTSFGSNVSLESGIPCQIAFSNSEIRDVYVIPVAYGMVGKLLLAEKHPFRSRHGVVIAIAPLAGLCPKIDEDHPSWLLLQIRNFDPQFYTIKARDNNLSMTHHLADGRWTLGFLNEKACKEAQFAILNEVTKQRSAVEYMLAPLLQNDLGLAVGKGS >CAK8561439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:211022226:211023742:1 gene:gene-LATHSAT_LOCUS15031 transcript:rna-LATHSAT_LOCUS15031 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSLKPLMDRKIQSLFPIVLYSILILAVARLVLDNLKSKQSHVFRLYDMSSGGKNRLPVAVSPEDRNAAECNVFEGTWVWDNVSYPLYEEESCPYLVKQTTCKKNGRPDSFYKNWRWQPHGCSLPRFDPLKMLHVLRNKRIMFIGDSLQRSQFESMICLVQSVIPEGKKSLTRIPPRKIFKSEDFNVSIEYYWAPFLVESISDHATNHTVHKRMVMLDSIAKHGKHWQGVDFLVFESYVWWMHSPFINATYGSPYQVQEYNVTTAYKLALKTWANWLESNIDPYTQNVFFMSMSPTHLWSWEWNPGSDENCFNESYPIQGSYWGTGSNLEIMKILHDSLQKLEIDVTLLNITQLSEYRKDAHTSVYGERKGKLLTKEQRANPKSFADCIHWCLPGVPDTWNEILYSYLLKKYQNFS >CAK8578117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608141605:608142297:1 gene:gene-LATHSAT_LOCUS30156 transcript:rna-LATHSAT_LOCUS30156 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVAAAASAAISGYQNSSSSSSNHSEELITSQRMQVSVTPPLSRYESQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHFENCAYFGNSHPPGPCPCPLKQAWGSLDALIGRLRAAFEENGGSSEMNPFGARAVRLYLREVRDAQAKARGIAYEKKKRKKVNVQSQQNGSMMVDQNHGIVHSGYGHGGGYVDQYSILNGIPSRNSAAVSSYFSS >CAK8532537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:285132286:285133281:1 gene:gene-LATHSAT_LOCUS2232 transcript:rna-LATHSAT_LOCUS2232 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTWTLPPTTDPYQFLKIHHNPDDTLTRNLEDPHTSASSDSTLPISVLTKDLTINNSNQTWLRLFLPKKATISNQNNKLLPLIVFFHGSGFILLSAASTMFHDFCVDMADTAEAVVASVDYRLAPEHRLPAAYDDAMEALSLIRSSEDEWLTKYVDYSKCYLMGNSAGATIAYHAGLRVVENVRDLEPMKIQGLILRQPFFGGTQRTESEQRLENDPVFPLCVGDLMWKLALPIGVDRDHEYSNLTAENGVDEKYEKIKDQGWKVLVSMNGGDPLVDRNKELVKLMEEKGVEVVVDYQEEGFHGVEFFEASKAKNFIGLVKGFVSSFAS >CAK8560950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62331043:62331414:1 gene:gene-LATHSAT_LOCUS14585 transcript:rna-LATHSAT_LOCUS14585 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMRSKREKRLRAIRREIVQPFYDEKEAAKLSAQEAALAAPKLQVPVRPNTTMEISTSTVDNTNTMDMDMTDENKSKVSLKPSGRIGKKLKKKFKMAKGRIWLLKQLSRSWFLLEVKKENL >CAK8569828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12973190:12977051:1 gene:gene-LATHSAT_LOCUS22637 transcript:rna-LATHSAT_LOCUS22637 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNQLKQLPPHYQPMLKESIDRFLTEYRKGTTNFSDFSSIFSRTLHSTPDPPIPVVWFYTALEFHAYRLGVGKERSGTSIASVDAVKGLFQLLVSCSDECVSMKRIGVLAPLVFELWRLGVYEKEVKSEIEVLVEGVVSYCSIYCLKSEVEVRGGDGVVVLEEDFVDLLPVWMIGCESEFGVGGGLKEFFPFVSDQIRKGIEMGCEVGYLAGVIMFEALLLKMCLIFDAGITRGEKEKKLQVSAAQIMTGFRNFYFLDTLFRMMLEPVLPVISLLGSENEVLLKEVLYNSVMMMDYSFISRQPGVSLYANGLKDFAINWLFVAELAIQSARENGDHGKATSYILAFCKSCIPIQLINWVISQSGIDRKIGRPSVSTPIDLIKWLLVVEEQGLAIFGCGIAKHRAKAFLFTSRSERILPVVKHPFLNLIHGVSVIDRVVGGDVEMHDTVDAMSLSGVDKMNTTTIDGTKKRKEGIEDDTKAQLKYMRCQFHENSVKENSFIFRQQ >CAK8573548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619174721:619175726:1 gene:gene-LATHSAT_LOCUS25984 transcript:rna-LATHSAT_LOCUS25984 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFTEHNGDSSTGKSQRSTPTPFITKTYQIVDDRTIDDVVSWNDTGTSFVVWNTTVFATDLLPKYFKHNNFSSFVRQLNTYGFKKVVPDRWELSNEFFRRGEKRLLCNIQRRKIVLKSLPVASKVVEAVLSPPHSVSIPIAEPIVSPSISGVEQVISSDSSPFEYAALFEENESLTKENMHLRTELEDTKSLFNNIFNLMSNYAKFQAESDAKGKDCRSMAMKTLNLLPEKRYVGEDTAAVVVDDNYPKLFGVVIGTKRAREDGNCVEDNPVISLDEKSGSLDLQNGVKRFPYLLCCLEK >CAK8573591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623437636:623442552:1 gene:gene-LATHSAT_LOCUS26023 transcript:rna-LATHSAT_LOCUS26023 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGLHRQHGRNAGGSGFKGMVTKLSIAAAVLLICTLSLFFSTSRTSNVQSNFRTEIHLEELWSSADSGSWRPSSTPRSHWHPPPSKSNGYLRVRCNGGLNQQRTAISNAVLAARIMNATLVLPELDANSFWHDESGFHGIYDVEHFIQTLRFDVKIVESIPENEKNGKKKKIKAFQLRPPRDAPISWYTTDALKKMKEHGAIYLTPFSHRLAEEIDDPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRAQGPFMSIHLRFEMDMLSFAGCFDIFTPEEQKILKKYREENFAPKKLIYNERRAIGKCPLTPEEVGLILRALGFDNSTRIYLAAGELFGGDRFMNPFRSLFPRLENHSSVDHSEELAENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGQMTGFDEAVRKVMLKTNFGEPHKRVSPESFYTNSWPECFCQTSTKNPADKCPPNDVLSVMHDQLNKQVTDTNSTIA >CAK8573590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623437636:623442552:1 gene:gene-LATHSAT_LOCUS26023 transcript:rna-LATHSAT_LOCUS26023-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQHGRNAGGSGFKGMVTKLSIAAAVLLICTLSLFFSTSRTSNVQSNFRTEIHLEELWSSADSGSWRPSSTPRSHWHPPPSKSNGYLRVRCNGGLNQQRTAISNAVLAARIMNATLVLPELDANSFWHDESGFHGIYDVEHFIQTLRFDVKIVESIPENEKNGKKKKIKAFQLRPPRDAPISWYTTDALKKMKEHGAIYLTPFSHRLAEEIDDPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRAQGPFMSIHLRFEMDMLSFAGCFDIFTPEEQKILKKYREENFAPKKLIYNERRAIGKCPLTPEEVGLILRALGFDNSTRIYLAAGELFGGDRFMNPFRSLFPRLENHSSVDHSEELAENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGQMTGFDEAVRKVMLKTNFGEPHKRVSPESFYTNSWPECFCQTSTKNPADKCPPNDVLSVMHDQLNKQVTDTNSTIA >CAK8533269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598321281:598326060:-1 gene:gene-LATHSAT_LOCUS2910 transcript:rna-LATHSAT_LOCUS2910-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSSSSPQQKLNRNNKCGVRVIGNRIYDSENGKTCHQCRQKTRDFCAACKNPRNGKPCTIKFCHKCLLNRYGEKAKEVNLLNDWMCPKCKGICNCSFCMKKRGQQPTGLLAHTAKKSGFHSVSDMLSKKASEGLELNSVSDMLSKKASEGLELNNAAILPKEDGTLKKELVLGLSGEPEKENTLGKINALKDGDKKSKKVKRENLKEISNGSSVDVVCQNNKSKKPKLCNGNDNMETKVEEDHEKLKEEIPLPIGTEITKILDIELAQEDVGNALQFLEFCRVFGKALDVNKGKAVAVLRALIRKQNLRRGQNTLVVEFQIKLLTFIVSDSEIESSPLTASSGKNSWLKVLEELINESDFILKEFPLDWLSKGISGYYNLDLSKKLILLNFICDEALGTMKLRSYIDHENERFAKEKKAAKAKVAEAKGKERSLKQKLQDEMAKAVVPNVANLSISAHDALLATIKSEAAKAHTELIEAQGTVPKEHDALLATIRSEAAKAHTELIEAQGTVPKGNQCCDAVRIKHQYMDNSGKTFWKLRSCDDEYAFLLQDIKTDNGEDAVKVDEKWFAYVAEQKDEVNKYISSRRDWLPKLTSV >CAK8533268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598321281:598326060:-1 gene:gene-LATHSAT_LOCUS2910 transcript:rna-LATHSAT_LOCUS2910 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSSSSPQQKLNRNNKCGVRVIGNRIYDSENGKTCHQCRQKTRDFCAACKNPRNGKPCTIKFCHKCLLNRYGEKAKEVNLLNDWMCPKCKGICNCSFCMKKRGQQPTGLLAHTAKKSGFHSVSDMLSKKASEGLELNSVSDMLSKKASEGLELNNAAILPKEDGTLKKELVLGLSGEPEKENTLGKINALKDGDKKSKKVKRENLKEISNGSSVDVVCQNNKSKKPKLCNGNDNMETKVEEDHEKLKEEIPLPIGTEITKILDIELAQEDVGNALQFLEFCRVFGKALDVNKGKAVAVLRALIRKQNLRRGQNTLVVEFQIKLLTFIVSDSEIESSPLTASSGKNSWLKVLEELINESDFILKEFPLDWLSKGISGYYNLDLSKKLILLNFICDEALGTMKLRSYIDHENERFAKEKKAAKAKVAEAKGKERSLKQKLQDEMAKAVVPNVANLSISAHDALLATIKSEAAKAHTELIEAQGTVPKGNQCCDAVRIKHQYMDNSGKTFWKLRSCDDEYAFLLQDIKTDNGEDAVKVDEKWFAYVAEQKDEVNKYISSRRDWLPKLTSV >CAK8576714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508465820:508466398:-1 gene:gene-LATHSAT_LOCUS28887 transcript:rna-LATHSAT_LOCUS28887 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNRAILEYRDNLIIPLLEGIKHYITVRISAQKEKLSRYTGVTSPNIQKVLEKIKKAAEGWIATWHVDDDFAMFGVSNGVETYDVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEEYISSLYRKSTVLATYNHIIMPTNGPQLWPINVANPIRPPVIR >CAK8571039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273140632:273156994:-1 gene:gene-LATHSAT_LOCUS23736 transcript:rna-LATHSAT_LOCUS23736 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENVRDALLKKNYYENCPGCKVDKAKELKTDVTLLNLLNIWIVVLCSSLPIATLFPYLYFMVRDFNFAEREEDISAYAGYIGSAFMLGRSLTSIFWGIVADRYGRKPVAVFGILSVIVFNTLFGLSTSFWMAVTMRFCLGSLNGLQGPMKAYSTEIFREEKQGLGLSTVSAAWGIGLIIGPAIGGYLAQPTVKYPHLFPKDSFWDKFPYFLPSLVTSSFAVVVAIACIWLPETLHNHPLSNESIEDAEVAETGSKKKGVDKIIQKDENLLKNWPLMSSVVVYSIFSLCDIAYQEVFSLWAVSPRRLGGLDFTTDNVGDVLAISGIGLIVSQIFFYPTLERTFGPIRFARITAVFSIPLLQSYPFIAMLSGMTLYLVINIASILKNVISMTIITGLFILQNRSVEQHQRGAANGIAMTAMSILQAVGPAGGGAVLAWSQKRIHASFLPGTHMVFFVMNVVVGLGVILMFTPFLREKKKPHSAEIVTLL >CAK8568452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606748774:606750949:-1 gene:gene-LATHSAT_LOCUS21408 transcript:rna-LATHSAT_LOCUS21408 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMQLPYNTTVKLMLGSLERNLLPDAVIRRLTRLLLATRLRSSYKPSSELQLSDLLQFAHSLQEMPIAVSTDKPKSQHYELPTSFFKLVLGSNLKYSSCYFSSASKTLKDAEEEMLKLYCERSNLKDGHTVLDVGCGWGSLTLYIAKNYSNSRVTGICNSTTQKAFIEEKCMELQLQNVDIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLNKISKWMKEDGLLFVHHFCHKAFAYHFEDKNEDDWITRYFFTGGTMPAANLLLYFQDDVTVVNHWLVNGKHYAQTSEEWLKRMDKNMASIKPIMESTYGKDSATKWTVYWRTFFIAVAELFGYNNGEEWMVVHFLFKKN >CAK8532277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:237745031:237746835:-1 gene:gene-LATHSAT_LOCUS2003 transcript:rna-LATHSAT_LOCUS2003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTTAMTNIVVGVPSLSLPSSHLTNSNTFILNFKIKTQNHKLHLNFSQTNHTTTPFPSSLSSSKSFRNISSCSVLSCLPPASESSSSNTTPSSTSPSTRLYVSGLSFRTTEESLRNAFKNFGQLVEVNLVMDKVANRPKGFAFLRYETEEGSNKAIEGMHGKFLDGRVIFVEIAKPRSKLRQSFKQNTM >CAK8566608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441946225:441947028:-1 gene:gene-LATHSAT_LOCUS19728 transcript:rna-LATHSAT_LOCUS19728 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFELQRGRPFSIEVGFFDTILEIKEKVQKYQGIPISKQTLVFNGQILQDDGDIWKCEIFQNSRIHLMVAAADSDHSKLPIDQDTKFQLNVKTSTSISHIPIEIDKKDTKLKEKIHDSDQNNVPLSNAMLHATGTELHDNHFLRDFDVSEKSEIEASLKPQGSEAPAVVSGGGGGGGTSKKLKLMVLPKSGTKKVAVEMNASDNVGELKKELHKLNQRLQFHLPSEGYFFIYKQNVMDDDKSFRWHHVCQGDTIEIFNGSVTGGS >CAK8566023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368673965:368676674:-1 gene:gene-LATHSAT_LOCUS19191 transcript:rna-LATHSAT_LOCUS19191 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFFSDLLNSPTNKDTERSHHHHRHNNVLLDQTDSGLPKFKSTPPPSLPLSPTPLFSPSYFSIPHGFSLSELLDSPVLLNSSHILPSPTTGSFANNQSLNWKNNYGEKQQNFKSEDETLSGFSFHAQPMLQTSTEDTSQNGWSFRETTKQDGLISSGMNMSMVKTENSSSIHSGQNNHKRDYSNYQTQPQQQVQTLSRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYQNCPTKKKVERALDGQITEIVYKGNHNHPKPVANKRNMNSMSSSSSSLANPPSNQFGNDMQMVLVATPENSSISVGDDDFEQSSHKSGGDHEYGDDDQPDTKKWRIEGENEGISSGIGNGTVRQPRVVVQTTSDIDILIDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCQVRKHVERASQDLRSVLTTYEGKHNHDVPAPRGSGNHSINKPIPNIPTMNNTMSVNNGFFNHEMLQNNGFPMDSYVNMNQQRVDNIVRGRAKEEPVVEDDSFFDSLLS >CAK8563447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:605283300:605285531:-1 gene:gene-LATHSAT_LOCUS16858 transcript:rna-LATHSAT_LOCUS16858 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENNKCGCWSVLKRGVCKPSASRQSPNTIPRTSLVHDAATETRYLNASNRELCPPNEVRLSSDNPDPPPPQENKAPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEEGGTAPAKPGSGVTVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWSNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKAVQKISQLAYGCLSRDPKCRPNMDEVVNALTPLQDLNDFAILSYHSRLSQQGRRKKKPDGTPHITYAHSKSMRASPLNTGRHLR >CAK8532858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:538263992:538264732:1 gene:gene-LATHSAT_LOCUS2522 transcript:rna-LATHSAT_LOCUS2522 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMASITLTIAFTILSLTLPSQANNYIYSSPPPPVHSPPPPYHYSSPPPPVHSPPPPYHYSSPPPPPEKPYKYPSPPPPVYKYKSPPPPVYNSPPPPYKYSSPPPPPHKYLSSPPPVYKYDSPPPPYKYISPPPTPGKPFKFPPPPTPIYKYKSPPPPVYSPPIYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYIYASPPPPYHY >CAK8533383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611471629:611479119:1 gene:gene-LATHSAT_LOCUS3015 transcript:rna-LATHSAT_LOCUS3015-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDCPQDAEIILSDEEDTEIKQTICHEVFLSFRGDDTRASFTSHLHASLQNAGIDVFKDDHSLQRGDDISTSLYRAIEGSQISVIVFSINYAGSRWCLKELVKIMECRRTMGQIVLPVFYGVDPSEVRRQTGEFGIAFRNLLDKISMEEEYIAALTSLRQAASLAGFVILNSRNESEAIKDILENVTRLLDKTALFIANNPVGVESRVLGMIKLLEQDSTIQQSNDVLLLGMWGMGGVGKTTIAKAIYNEIGRKFEGRSFLANIREVWEQNVGQVGLQEQLIFDICKNMTSKIHSTEYGKIILKERLSYKRVLIILDDVNALDQLNVLCGSRNWFGSGSRIIITTRDRHILCGNRVNQVYEMKHMDESESIELFSWHAFKQASPTEDFVEISKNVVEYSGGLPLALEVLGSYLFDRRLTEWHCVLEKLKKIPNDQVQKKLKISYDGLNDDTEKETFLDIACFFIGMDRNDVMLVLNGCGLFAEIGISILIERSLVTVDDKNKLGMHDLLRDMGREIIREKSPEPEARCRLWFHDDVHDVLLDQSGTKAIKGLALKLPKANAKCYRTNAFKKMTQLRLLQLVGVKLDGDFGYLSTKLRWLSWNKFPLTHLPTSLCQGNLVSIELENSNIKILWKEAQMMENLKILNLSHSHYLTHTPDFSYMPNLEKLVLKDCAMLSEIHKLEEDLEQMESLTTLLANNTAITKVPFSVVRSKSIGYISMCGYQGFSRDVFPSIIWSWMSPTNNVTSLFQTPAAMSSLVSFDVPSSSSDELSSFCNHLPRLRSLWVDCKSEDQLSLDSKIIVDTLYATVSKELESTATTSQVSNMTTTLIQRCTQVQVLGSKDSLKSLLVQMGMDCQVTNILKEKILKNMDVNRSGGCLLPGDSYPNWLTFNSEGSSVTFKVPPVEGRNLKTMMCIVYTSTPDSITTDGLKNVLVNNYTKATIQLYKREALVSFEDEERQRVVSSLEPDLNAIACSDDENECSTKRFHTQEVPSDDFNQNRKKKSRVE >CAK8533382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611471629:611479119:1 gene:gene-LATHSAT_LOCUS3015 transcript:rna-LATHSAT_LOCUS3015-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDCPQDAEIILSDEEDTEIKQTICHEVFLSFRGDDTRASFTSHLHASLQNAGIDVFKDDHSLQRGDDISTSLYRAIEGSQISVIVFSINYAGSRWCLKELVKIMECRRTMGQIVLPVFYGVDPSEVRRQTGEFGIAFRNLLDKISMEEEYIAALTSLRQAASLAGFVILNSRNESEAIKDILENVTRLLDKTALFIANNPVGVESRVLGMIKLLEQDSTIQQSNDVLLLGMWGMGGVGKTTIAKAIYNEIGRKFEGRSFLANIREVWEQNVGQVGLQEQLIFDICKNMTSKIHSTEYGKIILKERLSYKRVLIILDDVNALDQLNVLCGSRNWFGSGSRIIITTRDRHILCGNRVNQVYEMKHMDESESIELFSWHAFKQASPTEDFVEISKNVVEYSGGLPLALEVLGSYLFDRRLTEWHCVLEKLKKIPNDQVQKKLKISYDGLNDDTEKETFLDIACFFIGMDRNDVMLVLNGCGLFAEIGISILIERSLVTVDDKNKLGMHDLLRDMGREIIREKSPEPEARCRLWFHDDVHDVLLDQSGTKAIKGLALKLPKANAKCYRTNAFKKMTQLRLLQLVGVKLDGDFGYLSTKLRWLSWNKFPLTHLPTSLCQGNLVSIELENSNIKILWKEAQMMENLKILNLSHSHYLTHTPDFSYMPNLEKLVLKDCAMLSEVSPSIGDLNKILLINLEDCINLSSLPRSIYKLKSLKILILSGCIKIHKLEEDLEQMESLTTLLANNTAITKVPFSVVRSKSIGYISMCGYQGFSRDVFPSIIWSWMSPTNNVTSLFQTPAAMSSLVSFDVPSSSSDELSSFCNHLPRLRSLWVDCKSEDQLSLDSKIIVDTLYATVSKELESTATTSQVSNMTTTLIQRCTQVQVLGSKDSLKSLLVQMGMDCQVTNILKEKILKNMDVNRSGGCLLPGDSYPNWLTFNSEGSSVTFKVPPVEGRNLKTMMCIVYTSTPDSITTDGLKNVLVNNYTKATIQLYKREALVSFEDEERQRVVSSLEPDLNAIACSDDENECSTKRFHTQEVPSDDFNQNRKKKSRVE >CAK8533384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611471629:611479119:1 gene:gene-LATHSAT_LOCUS3015 transcript:rna-LATHSAT_LOCUS3015 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDCPQDAEIILSDEEDTEIKQTICHEVFLSFRGDDTRASFTSHLHASLQNAGIDVFKDDHSLQRGDDISTSLYRAIEGSQISVIVFSINYAGSRWCLKELVKIMECRRTMGQIVLPVFYGVDPSEVRRQTGEFGIAFRNLLDKISMEEEYIAALTSLRQAASLAGFVILNSRNESEAIKDILENVTRLLDKTALFIANNPVGVESRVLGMIKLLEQDSTIQQSNDVLLLGMWGMGGVGKTTIAKAIYNEIGRKFEGRSFLANIREVWEQNVGQVGLQEQLIFDICKNMTSKIHSTEYGKIILKERLSYKRVLIILDDVNALDQLNVLCGSRNWFGSGSRIIITTRDRHILCGNRVNQVYEMKHMDESESIELFSWHAFKQASPTEDFVEISKNVVEYSGGLPLALEVLGSYLFDRRLTEWHCVLEKLKKIPNDQVQKKLKISYDGLNDDTEKETFLDIACFFIGMDRNDVMLVLNGCGLFAEIGISILIERSLVTVDDKNKLGMHDLLRDMGREIIREKSPEPEARCRLWFHDDVHDVLLDQSGTKAIKGLALKLPKANAKCYRTNAFKKMTQLRLLQLVGVKLDGDFGYLSTKLRWLSWNKFPLTHLPTSLCQGNLVSIELENSNIKILWKEAQMMENLKILNLSHSHYLTHTPDFSYMPNLEKLVLKDCAMLSEVSPSIGDLNKILLINLEDCINLSSLPRSIYKLKSLKILILSGCIKIHKLEEDLEQMESLTTLLANNTAITKVPFSVVRSKSIGYISMCGYQGFSRDVFPSIIWSWMSPTNNVTSLFQTPAAMSSLVSFDVPSSSSDELSSFCNHLPRLRSLWVDCKSEDQLSLDSKIIVDTLYATVSKELESTATTSQVSNMTTTLIQRCTQVQVLGSKDSLKSLLVQMGMDCQVTNILKEKILKNMDVNRSGGCLLPGDSYPNWLTFNSEGSSVTFKVPPVEGRNLKTMMCIVYTSTPDSITTDGLKNVLVNNYTKATIQLYKREALVSFEDEERQRVVSSLEPGNKVELVFVFENSFIVKKIAVYLVYDKPTKNLYHLPDLNAIACSDDENECSTKRFHTQEVPSDDFNQNRKKKSRVE >CAK8571129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308325467:308326285:-1 gene:gene-LATHSAT_LOCUS23820 transcript:rna-LATHSAT_LOCUS23820 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRRRGYGRGGRGSNNMLPQIESNIPLIGDWTTVYKGRKTQQLPASVKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPRTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTKSVTITHHYQNNNPESFIKFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHFWFFSVNQEMVNKPIPNLFYDWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKFYLII >CAK8571550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439234550:439238308:1 gene:gene-LATHSAT_LOCUS24200 transcript:rna-LATHSAT_LOCUS24200 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSLNIRGGGSALKRRRLSAIIKKGEADMFLIQESKISNMEDFVAYSMWSKMDVDFSFSNSIGFSGGLITLWKSDKVEALNSFKGEGFLGTKVIWKGKIYYVVNVYSSCVLSKKKDLWSNLLSLMDSHKDGEWIIGGDFNAVKDRSERKGRQNGENTNEMKLFGNFINDSGLIDVPCKGKKFTWYNSNGNSMSRIDRFLISNSIVNDWGVTGQLVGPRDISDHCPIWLVSDKENWGPKPFKFNNEWFTKDDFLAFAEREWKDIHVEGRGDFVLKEKLKIFKDRLKWWNREVFGKIDLEVEERVGDINAGDALAETVAPGGLSLEDSKSRKEAVSKFWMNLRIKENMLVQKSRIKWLNDGDSNSGFFHRAIKERRRHNHIGPLNVAGSMIEKVEEVKFEVHRHFARKFKVMEGEESFLEGITFDDINEEDRIFLEGTFLEEEIKEAIWGCGISKSPGPDGYSFLFIKRCWSFLKDDFFHYCNYFHSGGRISKAVTSSFLSLIPKSTNSVTLDDYRPICLVGCLHNIVSKLLASRLKKVLDRIISPCQNAFVPGRLMLEGVLVANELVDYARKEKKGCVLFKVDFEKAYDDVSWNFLRSMLTKMGFGLLWRKWMDNLIFQSKMSVLVNGSPTKEFEVEKGLRQGDPLSPFLFVIVAEGLAGLVRKSQELCEFEGFIVNGKGMVDLLQFADDTLIVREGTRKHVWAIKAVLRAFELVSGLCINYHKSKLIGVNISSNFLDAASFVLSCRKEDSSVNFLGIPIGSNPRKASTWNPLLFKIKKRLLGWKNHFLSLGGRITLLKSVLCSLYIFTLSFFKMPSAVSKEVNKILGDFLWGSVEERRKIHWVSWKKVCLPIDKGGLSMKYLPDFNVALLNKWRWRILKGGDEVWLRLLKARYGDITSVILSKGTHSLVSNSSPPHSTTSSPSSSYWWKYLISIGKFRHLDPMVRLCKFKIERGFTTPFWEVNWTGNFCLMDEFPNLYKETRLRLVSVAGMGGWVNHDWRWGDMGLAPAEVSGGSGPNGQEVGSLCELLQAFEPESSGSDTVSWVGEPDGLFLVASCYKFYEFFRIPLGPPNIHDDAFRLIWKVEVPFKIKAFAWRLFNNRLPTKDLLAARGVVFSGENSLCVFCGIHPEDCVHSFFKCNVLKVVWRSIANWIGKSWKEEDDCFCSFKDWFMYCKDNNSKKGKLGVVWLALIWCIWLTRNGFCFRNEAWNVDSLIWNVKILVWKWRPIGEITYPNCCFYDFSKEPLFFLK >CAK8530856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56521098:56526031:-1 gene:gene-LATHSAT_LOCUS691 transcript:rna-LATHSAT_LOCUS691 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQANLVPNLSFNHFQTSTHLIGVGNLQCRVDLRKSRRKIPSYSCIRCEKKDEVNKDEVNKDEEKKDKVIDYVTVERPPYYSYMDSTSGQLEPASGARASIPEVEYWPEGTVEQVRAARAPAPTGESLGSPSYGSNPGSRRKSNRKSVSGSSDEANVEGNDTGPEVLLEQPLEDSDEFSSDYVVYQSEPEEDTGYKLDKKIGAPHPFIDPAVRKPIEGTLSSDELWWNWRKPEKEHWSRWQRRRPDTETVFLKAMAEIGQVKLYGEEPTITETALYRARRHLYKEERLEAEQEKLERIGPIAYYSEWVKAWKRDTSREAIQKHFEETGEDETAQLIEMFSHQTDAEYRIMMGTDNRIKRDPLAMRLREDQIKQIWGGDPVYPTVNYIQDPDEVTDYRGPNFKEPTPDMASYLKEQGKMISMEELEKFLKTQKTQQVQVSKIDEAMAKAVDIGENDDEEDSDIDVEEVVGVEKGDEEEEGDEEEDDESKISRNWSVLKATPELRKSKPKPKKEGPMTLDEALGDSENLTDFLLDFDEE >CAK8560012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4926487:4939620:1 gene:gene-LATHSAT_LOCUS13733 transcript:rna-LATHSAT_LOCUS13733-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDVDESDDPEKNSGSGESKNNSRNVEGEKAKGKRKLYVGSQSLGYRRDFMEVLSPLKDGLVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNAPQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGIVMKPRYSFKRKEIRPGEFQTVDLDFPNTTESYKLYSQRAIASDIKECVCRAPDTPYDESAYSNIPTTSYELPDGQTIEVGADRFKIPDVLFNPSLAQSIPGMESFAEIAPSVRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >CAK8560011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4926487:4939620:1 gene:gene-LATHSAT_LOCUS13733 transcript:rna-LATHSAT_LOCUS13733 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDVDESDDPEKNSGSGESKNNSRNVEGEKAKGKRKLYVGSQSLGYRRDFMEVLSPLKDGLVADWDIVDSIWDHAFSRECLLIDPKEHPMLLAEPSSNAPQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGIVMKPRYSFKRKEIRPGEFQTVDLDFPNTTESYKLYSQRAIASDIKECVCRAPDTPYDESAYSNIPTTSYELPDGQTIEVGADRFKIPDVLFNPSLAQSIPGMESFAEIAPSVRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >CAK8575126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:35942672:35944897:1 gene:gene-LATHSAT_LOCUS27414 transcript:rna-LATHSAT_LOCUS27414 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFEEFQVKGVLDYFSSTSNSTSTSNSTSDSFSLLLNQPQQQHQQQNWTTLDKQDYCYVEPTSVLDSRRSPSPPISSSTMSSSLGSGSNNNNNNASMVVSENLARLSNSNEEGLENSEEKCGGGGGGMRMEDWEGQDQSLLRLIMGDVEDPSAGLNKILQNSGCGSENADFHGGFGVLEHHQQQQGLNIDPSVGNYNVFPFNAENLDSHNVKPGNESMFGNYPMLTSSSTTSGVFCSQQQQQQGFGVVDEKPQVINPNFMMNHNQVQFADNPSFFVPLPYPQVQEQQVFSSQNQLKRPLFDTVGHNYPVPRLPLLDSGQEMFARRHQQQTQLPLFPNHHLQQHPQQQHQQSPVVPFAKQQKMSSTTTTNTGDDQLQQSIFDQLYKTAELIEAGNPVQAQGILARLNHQLSPIGKPFHRAAFYTKEALQLMLHSNSNNLNAFSPINFIFKIGAYKSFSEISPVLQFANFTCNQALIEALERFDRIHVIDFDIGFGVQWSSFMQELVLRGNGTPSLKITAVVSPSSCNEIELNFTRENLSQYAKDLNMPFEFNALHIESLSSPSFPLPGHLFDNNEAVGVNFPVSSFINHPSTFPLALHFLKQLRPKVIVTLDKNSDRIDSPLPTNVVHALQSYSSLLESLDAVNVNLDVLQKIERHFIQPTINKIVLGHNNRSPDKLPPWRNMFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFQVERKPSSLVLCWQRKELISISTWRC >CAK8561627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:329949349:329949906:1 gene:gene-LATHSAT_LOCUS15202 transcript:rna-LATHSAT_LOCUS15202 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYHVHTVVLNDPGRLLSVHIMHTALVASWAGSMPLYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAATHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDSYGLTGRVQFVNPSR >CAK8542207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489522391:489528457:-1 gene:gene-LATHSAT_LOCUS11066 transcript:rna-LATHSAT_LOCUS11066-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGLGAVPSYGVPAKRRWRGFVIAVLGLVILSMLVPLVFLLGLHNGFHSSGYIYEQRSTPSSHKVLESYDRHDIGHTKNPPKGEKSSHVKDLITKFEPTLPKDVMKKYARGDKNGTISRGANEEKHRGVKAPPKPALQPPPISNNPKVGRIEQITEPKTNSSDDNGKPCELTHGSYCLWQQKHREVMKDAMVKKLKDQLFVARSYYPSIAKLPAQDTLSRELKQSIQELEHVLSESSTDADLPALIETKSEKMQVAIAKAKSVPVVCDNVDKKLRQIYDLTEDEADFHMKQSAFLYKLNVLTMPKSFHCLALKLTVEYFKSSHDEEADSEKFEDSSLRHYVIFSNNVLAASVVINSTVTHAKVSRNQVFHILSDGQNYYAMKLWFKRNNYGEAAVQVLNVEHLEMNNLKDNPSQLSLPEEFRVSSRSYDNPSMGQFRTEYVSIFSHSHYLLPDIFSKLKKVVVLDDDVVVQQDLSALWNLDMGEKVNGAVQFCSVRLGQLKSYLGNKGFGHNSCAWMSGLNIIDLVKWRKLGLTQTYKRLLKELSVQKGFNIAATWPASLLAFESKIYPLNESWVRSGLGHDYKIDTNSIKTAPVLHYNGKMKPWLDLGIPNYKSYWKKFLNKEDRLLSECNVNS >CAK8542208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489522391:489528457:-1 gene:gene-LATHSAT_LOCUS11066 transcript:rna-LATHSAT_LOCUS11066 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGLGAVPSYGVPAKRRWRGFVIAVLGLVILSMLVPLVFLLGLHNGFHSSGYIYEQRSTPSSHKVLESYDRHDIGHTKNPPKDVMKKYARGDKNGTISRGANEEKHRGVKAPPKPALQPPPISNNPKVGRIEQITEPKTNSSDDNGKPCELTHGSYCLWQQKHREVMKDAMVKKLKDQLFVARSYYPSIAKLPAQDTLSRELKQSIQELEHVLSESSTDADLPALIETKSEKMQVAIAKAKSVPVVCDNVDKKLRQIYDLTEDEADFHMKQSAFLYKLNVLTMPKSFHCLALKLTVEYFKSSHDEEADSEKFEDSSLRHYVIFSNNVLAASVVINSTVTHAKVSRNQVFHILSDGQNYYAMKLWFKRNNYGEAAVQVLNVEHLEMNNLKDNPSQLSLPEEFRVSSRSYDNPSMGQFRTEYVSIFSHSHYLLPDIFSKLKKVVVLDDDVVVQQDLSALWNLDMGEKVNGAVQFCSVRLGQLKSYLGNKGFGHNSCAWMSGLNIIDLVKWRKLGLTQTYKRLLKELSVQKGFNIAATWPASLLAFESKIYPLNESWVRSGLGHDYKIDTNSIKTAPVLHYNGKMKPWLDLGIPNYKSYWKKFLNKEDRLLSECNVNS >CAK8567401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512100629:512105818:1 gene:gene-LATHSAT_LOCUS20461 transcript:rna-LATHSAT_LOCUS20461 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPELPECPVCLQNYDGETAIPRVLSCGHTVCEACLVELQERFPNTIRCPACTQLVKYSPKQGPSSLPKNIDLLRICLQQHSSSKIRKSNHQRSSISYGYSSRFWSDEFYVAWKDWILPNDAVSFDENGFGRFSSSSKGRVCFGVNRAVSIAPVVTLSPVSDSKFKFSYVAWVIKCLEGMNEVVREGLGLILEASVRQGRFCRVYGLWSEVVVGSLYLVCERHSGRILDKFGGLSVNGDGLELDKGGVFSFATIAKGMVEAVIALNLEGLVAGCLGLSCFSFDELGGVCIDLNEVLVMGRKVVDQVSGGVGDDHKAMCTDCLNNELFISPEVLSMLLHKGIINPESGDSRYPIGYGSDVWSLACVLLQLLFRNALPGITLQMSEESGLDVSASYISWGEKVCSVLEEKIGSENLSLKQTLSKCLDIDPGNRPDIVDVRKCIQDVLVKHQFGFLGDTEVTVNRNNTGHPVILAKLCQLLQERSKATRDHELKAKVNGSQPEFLQSAENISDEDFAASISQGMTELKDLQGHLGSITGLAVGGGYLFSSSFDKTVRVWSLQDFSHLHTFKGHENKIMALVYVDDEESLCISGDGGGGIFVWEIAASLRQEPLRKWYEPKDWRFSGIHSMTAFGNLLYTGSGDRTIKAWSLKDGTLMCTMEGHKSVVSTLSVFDDVLYSGSWDGTIRLWSLNDHSSLAVLGEDLPGEMKSILAITVNRNLLVAAYENGCIKVWSNDVFMTSKTLHSGAIFAMSMQGKCLYTGGWDTNVNIQELSGDELELNVNTFGSIPSGSVVTAILCSQGKLYVGCGNKSIKVYGAK >CAK8569534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4344908:4346794:-1 gene:gene-LATHSAT_LOCUS22376 transcript:rna-LATHSAT_LOCUS22376 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTKLSVEQIQPPTYGNLITILSIDGGGIRGLIPAAIIEFLESHLQELDGEDARLADYFDVISGTSTGGLVTAMLAAPDQNNRPLFAAKDIKPFYLEHCPKIFPQHRGMGGMMLAKVMRSLGGPKYDGKYLHDVVRQKLGDIKLNETITNVVIPAFDIKTLQPIIFSSYQIKKTPCLNAKLSDICISTSAAPTYLPAHNFINKDEEAGKEEEFNLIDGGVCANNPALVAMNEVTKQIINQSPDFFPIKPLEYGRFLIISIGTGTAKNEEKFSAQMAAKWGLLDWLTHSGSNPLIDVFTQSSGDMVDFHLSAVTQALHSEDNYLRIQDDTLTGIDSSVDIATEENLKKLCQIGESLLKKPVSRVNLENGHFEPLKSGETNEDALKRLAKILSQERRLREMRSPHTSRGRKNRHLA >CAK8573267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599188093:599188749:1 gene:gene-LATHSAT_LOCUS25736 transcript:rna-LATHSAT_LOCUS25736 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPNLTHHHAKDPPKQCQSFFFAGKYPSSRRTASFSSCSSSLSPSSSSIESFYFPDDPHFSPASPLKFSGVPFSWEHLPGIPKKHNNSKKKQQSSMKLLPLPPPTITTTSTNSSKKVINHEDTKIWKKNSIPSSFQRDDPFFAAMVKCSKDGGDDHQETIGNLWNNGAKVTRSISDRFGFISLYGSCKRTCAVSESLVLLPSSRRNTYHQVNGRSL >CAK8565183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:58858097:58889377:-1 gene:gene-LATHSAT_LOCUS18418 transcript:rna-LATHSAT_LOCUS18418 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNTKSLESSLTVPSVQELSIQYPQKVPQRYIRDDSDDVFCTFPSSDPSLTIPLIDKAKLVNADTQQDELHKLHLACKHWGVFQMINHGVSSVNNMGNEVKRFFELPLQEKKRWAQKPGSLEGYGQAFVASQEQKLDWNDMIFLKSLPIQNRNLDLWPQNPPKFRETLEKYSEDMREEAISILSFITLALGLEDTKISKSFHEGRYEIRMNLYPPCPEPERVLGIGPHTDISGITLLVDFSDFPGLQILKDGKWVSVEPIQGAIVANIGHIIEVMTNGIYKAPEHKAIVNKEKERLSIATFCSPTPSMDIGPVDKLIGEGNQQVYKKVTNAEYFNMFFNRKLDESFIDRLKL >CAK8534193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703432315:703432542:1 gene:gene-LATHSAT_LOCUS3754 transcript:rna-LATHSAT_LOCUS3754 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVFNHLSILNDIICELETIEVKIDDEDKTLRLIWPIPSSYEHIKLVLIYEKNLSFEEVASKINSDKKIEG >CAK8567643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533179044:533180510:-1 gene:gene-LATHSAT_LOCUS20679 transcript:rna-LATHSAT_LOCUS20679 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLWATSMTISSIFFIFVLFFFIFRFFRKTSALHFLKRWWLSFESRLHLHQSFKVPLYDHNFRENQLYRKIITYLDSLPSVQDSDFTNLFSGTNPSDIFLHLDANQIVHDTFLGAKLSWTNNTVAGDSASALVLRMKKKDKRRVFQQYFQHILSVADEIEQRRKKDIKLFMNSGSGETHRWRSVPFTHPASFETVAMDVELKNKVKTDLDQFLKSKQYYNRLGRVWKRSYLLYGASGTGKSSFVAAMAKFLCYDVYDIDVSKVVDGSDWKTLLMQTTPKSMILIEDLDRLLAGKSTSVNLSSVLNFMDGIMSCCGEERVMVFTMNGTKDEVDQAVLRPGRVDVHIHFPLCDFSTFKILANSYLGLKEHKLFPQVEEVFQTGARLSPAEIGEIMISNRNSPSRALKTVITAMQVQSNGQGQRLSNSGSGRSSDEVSDANGVICRESVHTVREFRKLYGLLRLGSRRKEESGYSGPIEKEPPRIEGRVG >CAK8543960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651452875:651453648:1 gene:gene-LATHSAT_LOCUS12679 transcript:rna-LATHSAT_LOCUS12679 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKRMVEEEEILCPCALCCNDSWEVRDVVYDHLCSKGFLKGYTEWIYHGEDESLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNKDAKKFYKLVDDAKQELYPGCEKFSSLSFTIRIYLLKCLHGWNNASFTALLELLKEAMPDLNIPVSFNKTKSMIKDLGLDYKKIDACPNNCMLFWKDHGKDDSCHFCGASRWIEYPEVANDLEESFVRTSQPKINRE >CAK8579022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667765700:667766359:-1 gene:gene-LATHSAT_LOCUS30998 transcript:rna-LATHSAT_LOCUS30998 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHFDASASTSASDPVGYPGGPYDMSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLIHLPYRGVFWNPPDISEALAIEWVVDYLGVPQRIAQQQVRECKGSYYKLEWLYDRFVEH >CAK8565297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:107276379:107277802:1 gene:gene-LATHSAT_LOCUS18521 transcript:rna-LATHSAT_LOCUS18521 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKLLFVGFLSLASCVYASNDGWIDAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTVLFNGGLSCGACFEIKCVNDKRWCLPNSIVVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPVFQQIAQYKAGIVPVAYRRVPCQKKGGIRFTINGHSYFNLVLITNVGGAGDVTAVSIKGSKTNWQPMSRNWGQNWQSNSNLDGQCLSFKVTSSDGRTVVANDVVPERWSFGQTFSGIQF >CAK8563290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590462182:590466843:-1 gene:gene-LATHSAT_LOCUS16716 transcript:rna-LATHSAT_LOCUS16716 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLQDTPSSLGFHMPAEWEPHTQCWMGWPERADNWRDNAFHGQRVFVRVASAISRFERVTVCACSAQWENARSQLPEHIRVIEICSNDSWFRDIGPTFVVKRGTSESGDAEHRIAGIDWNFNSWGGVEDGCYNDWSLDSYVAKKILDVERIPRFSHSMVLEGGSIHVDGEGTCLTTEECLLNKNRNPHMSKSQIEDELKAYLGIRKVIWLPRGLYGDDDTNGHVDNICCFAKPGVVLLSWTDDKTDPQYEISEEAYSFLSSVTDANDRKFEIIKLHIPGPLYMTEKEAAGVFQDGCAKPRLPGTRLAASYVNFYIANGAIIVPKFGDKKWDDEAIRVLSKTFPHHEVVGIEGSREIVLSGGNIHCITQQQPAI >CAK8544943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713866409:713873312:-1 gene:gene-LATHSAT_LOCUS13583 transcript:rna-LATHSAT_LOCUS13583 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRTKEDLASLMRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAHLYDYQLNRAKRVGRISSSTLTLAVPDPLGCRIGSGAATLNALHALALHYGTSADNVLDEKHVLLLHAGGDSKRVPWANPMGKVFLPLPFLASDEPDGPVPLLFDHILAIASCARQAFGDQGGMLTMTGDVLPCFDASVMTLPEDTSCIITVPITLDVASNHGVIVAAETEVQSNQNYALSLVDNLLQKPTVDELVQSKAVLVDGRTLLDTGIIAVRGKAWSDLVTLACSSQEMISDLIRSRNEMSLYEDLVAAWVPAKHEWLRKRPLGEELVNKLGSRRMFSYCAYDLLFLHFGTSSEVLDHLSGVGSDLVGRRHLCSIPATTASDITASAIILSSKIAPGVSVGEDSLIYDSSISGGIHIGSLCIVVGANISLDDYSCDEDSMKFMLPDRHCLWEVPLVGRSERVLVYCGLHDNPKSSLSGDGTFCGKPWKKVLHDLGIQESDLWGFSGTGGKCLWNSKIFPILPYAQMLKVAMWSMGIVKQKTEDMLSLWKSAQRISLEELHRSIDFSTMCLGSSNHQADLAIGIAKACVTYGMLGRNLSQLCAEILQKEGSGVEICKDLLAMCPKVQEQNTNILPKSRAYQVQVDLLRACNDEKTACELEHKVWDAVADETASAVKYGFKEHLSVSPSSVSCDEYQINSHHNDHIHQPFHPRKVKVELPVRVDFVGGWSDTPPWSIERAGCVLNMAISLEGSLPLGTIIETTQTTGVLISDDNHKQFYIEDYKTICAPFDVDDPFRLVKCALLVTGIIHDNILVDIGMHIKTWANVPRGSGLGTSSILAAAVVKGLLQIIDGDDSTENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKCTSSFPGIPLRLQVVPLLASPELISELQQRLLVVFTGQVRIAKKVLQKVVIRYLRRDNLLVSSIKRLVELARIGREALMNCDIDELGEIMLEAWRLHQELDPYCSNDFVDKLFSFASPYCCGYKLVGAGGGGFALLIAKDIQRAKDLRKRLEEEKDFEVKIYDWQISL >CAK8564036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647176014:647178711:1 gene:gene-LATHSAT_LOCUS17381 transcript:rna-LATHSAT_LOCUS17381 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNHTFFIYFILLHITTSLGKTEHCEILLPRQFDATISNKFHNDPATIQHASNDYGNMVHESPIAVFHPSSIQDIVTLIKISYNSSVPFSIAARGQGHSTNGQAMARDGVVVDMASFRKQRKRVAISVSEDPVFGYYVDVGGEQLWIDVLYATLEHGLAPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQISNVHELDVITGKGDFVTCSSEKNSKLYHSVLGGLGQFGVITRARFSVEPAPTMVKWMRLIYSDFSAFTKDQERLISMNGIKLNFLEGMVLMQQGSINNWRSSFFPLSQHSRIVSLINKHNILYCLDLAKYYYDHQSHKMVDKEIQVMLQGLAYIPGFHYEKNVSYVEFLNRVRSGELKLQSQGLWDVPHPWLNLFIPKSQILDFNSGVFKDIILKRNITNGIVLVYPMNKSKWDDRMSAIIPDEDVFYTVGFLHSGGFDNWKAYDAQNKEILKFCSDVGIKVKQYLPNHSTHEDWKNHFSTKWKSFLERKHQFDPRMILSPGQKIFNNNYYHTF >CAK8533898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669193350:669196062:-1 gene:gene-LATHSAT_LOCUS3485 transcript:rna-LATHSAT_LOCUS3485 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLGLGPNHRVSPDRNIFLCFLLLFLAANIIGLAVRGGINFGILNGAERYNEGKSDNKGQVIESQIGAVAADDARCSAVGVSMLRLGGHAVDAAVAAALCIGVVFQASSGIGGGSFMVVKSSSSSNAQAFDMRETAPIAASQNMYQGNPEAKVLGALSMGVPGELAGLHAAWLKYGRLPWKTLFQPAIELAKNGFVVSPTLSNYIATSENKIMSDPGLRNIYAPNGILLKEGEICSNVELGQTLEIVAKEGIQTFYNGTIGEKLVKDVREVGGILTMEDLRNYKVEITDAMVVNVMGYTIYGMPPPSSGTLALSLVLNILDSYGSLDAAKGNLGIHRVIEALKHMFAIRMNLGDPNFENVSETVSEMLSPSFAQTIQRRILDNTTFPPEYYMERWSQLNDHGTSHLCVVDADRNAVSLTTTVNEKFGAGIRSTSTGIVINDEMDDFSIPTDISPGKLPPAPTNFIEPNKRPLSSMTPIIITKDDQLVGVIGGSGGMRIIPAVTQVFLNHFILGMKPLDAVLRPRIYTKLLPDTVLYENMTAYDGNHIELSEESRLFLKERGHQLQVCEVEAVTQLIVHTPKASINSHKLGENTNSHVKYGTLTAVSDPRKGGRPAAV >CAK8542501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520670177:520671987:1 gene:gene-LATHSAT_LOCUS11339 transcript:rna-LATHSAT_LOCUS11339 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWDDVYKVIVAMVPLYFALVLGYGSVRWWKIFTREECDAINKLVCYFTLPLFAFDFTAHIDPFKMNFLFIGADTLSKLIIVAVIGLWAKCSGKGSSSWSITSFSLCTLTNSLVVGMPMVKPMYGALGVDLVVQGSVVQAIVWLTLLLFVLEYRRTGLEGTISSTSLKPKASSISSVMMGEDEERKDLEGNRIGMDVILQDGSSKLPFFELMKRVWLKFIVNPNSYACVIGISWAFISNRWNLELPSMVDGSILIMSRAGTGTAMFSMGIFMALQEKVISCGPSLTIFGLVLKFIAGPAAMAISAIVVGLRGDVLRIAIIQAAIPQSITSFIFAKEYGLHADVLSTAVIFGMIVSLPILVAFYAILEFIH >CAK8563994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644513728:644517710:1 gene:gene-LATHSAT_LOCUS17344 transcript:rna-LATHSAT_LOCUS17344 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRWILHWQPSQGTVVNSHILNEISQCVDAFSGVKEGRWKSTLTFYRPNVRDQSMSAEFPRDFLGISLMEQPDKYYFIIRGHKLVVEADSSILTIMEKLQSYKSKVALNFEGLQYKLGDFQLRLIKVVPNQAENLRGILMEIEYLPISSIEIAKPILEEFIELWREVLLKKSLPGQFMRAEPVFAEYGLSDRYTFQHTAVQYAAALAQLIASVQSGQLRN >CAK8534842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776158664:776163309:-1 gene:gene-LATHSAT_LOCUS4351 transcript:rna-LATHSAT_LOCUS4351 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRESDIPVLFLVLVVLPLVAYVLLGKWSETATKRNRITLLAQLAAEEAFKAEQKMAVADVIPPQPQVFYFPKNNEPHHECARCSAPAKTRCSRCKFVRYCSGNCQIIHWRQIHKQECQPLETHETSSFPLPFSVEEFGHASPFYETLNNPYFGCNLNQTLRAREPLDNLVHPMTGTAVASATADISLFNNSQSSTLERRTFHKSNRESRRRDSGSIYESSIESSDNKASTSQEMFMRQKSRNSSDGSVLEEETSKINSKGFGVYAYEQDGSRNTMHEDRNYQSQYENAFTPRNKYGSSTLSSAANNDECVDEFETNIITKGGNVVKGVNVNHHSDEVIQNKCSPELTTVKGSAKAKKLSHSSSKVKCSKSPKSTSKTMIDLSGVEIEKKGKTPDEPKVSGSRGTVPSPAVNGITSTGLMKMMGLRKSTKHTVLASSEGSGVRSKKTKSIKMLFPYDEFVKIFQSEVFGIFPRGLLNIGNSCYANAVLQCLTSTKPLVVYLLYGSHSKSCCAKDWCLMCELEQHMMILRENGAPLSPSRILWHMRSINCHMGDGSQEDAHEFLRLLVASMQSICLEGLGGEKRVDPRLQETTFIQHTFSGRLQSKVKCLNCSHESERYENIMDLTLEILGWVESLEDALTQFTSPEDLDGENMYRCGRCTAYVRARKQLSIHEAPNILTIVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDIPPLYVLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVQPVLVNQVMSEGAYILFYTRSCPRPPVELTGKAVQQSVSDSTKLYPLETQKTSKPGHSRHVSQSFISEPSPNTRPENATTQLIDSSNGLLKRSTNRNVHPVIQTYAENIRHEFSDATTSSDWSIFTSSDEASFTTESTRDSFSTVDYGDSSSIMDPISSLFNYTPENNNYMKISHSRPLTSQSYALTAVAAYRKCELSDLYLTANIDRLQ >CAK8534843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776158971:776163309:-1 gene:gene-LATHSAT_LOCUS4351 transcript:rna-LATHSAT_LOCUS4351-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRESDIPVLFLVLVVLPLVAYVLLGKWSETATKRNRITLLAQLAAEEAFKAEQKMAVADVIPPQPQVFYFPKNNEPHHECARCSAPAKTRCSRCKFVRYCSGNCQIIHWRQIHKQECQPLETHETSSFPLPFSVEEFGHASPFYETLNNPYFGCNLNQTLRAREPLDNLVHPMTGTAVASATADISLFNNSQSSTLERRTFHKSNRESRRRDSGSIYESSIESSDNKASTSQEMFMRQKSRNSSDGSVLEEETSKINSKGFGVYAYEQDGSRNTMHEDRNYQSQYENAFTPRNKYGSSTLSSAANNDECVDEFETNIITKGGNVVKGVNVNHHSDEVIQNKCSPELTTVKGSAKAKKLSHSSSKVKCSKSPKSTSKTMIDLSGVEIEKKGKTPDEPKVSGSRGTVPSPAVNGITSTGLMKMMGLRKSTKHTVLASSEGSGVRSKKTKSIKMLFPYDEFVKIFQSEVFGIFPRGLLNIGNSCYANAVLQCLTSTKPLVVYLLYGSHSKSCCAKDWCLMCELEQHMMILRENGAPLSPSRILWHMRSINCHMGDGSQEDAHEFLRLLVASMQSICLEGLGGEKRVDPRLQETTFIQHTFSGRLQSKVKCLNCSHESERYENIMDLTLEILGWVESLEDALTQFTSPEDLDGENMYRCGRCTAYVRARKQLSIHEAPNILTIVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDIPPLYVLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVQPVLVNQVMSEGAYILFYTRSCPRPPVELTGKAVQQSVSDSTKLYPLETQKTSKPGHSRHVSQSFISEPSPNTRPENATTQLIDSSNGLLKRSTNRNVHPVIQTYAENIRHEFSDATTSSDWSIFTSSDEASFTTESTRDSFSTVDYGDSSSIMDPISSLFNYTPENNNYMKISHSRPLTRFFPKKGHIERVQKTSIWES >CAK8531952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:183977529:183980445:-1 gene:gene-LATHSAT_LOCUS1705 transcript:rna-LATHSAT_LOCUS1705 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAQKPSVQRIIISNKHGEKLVGTLHESGTPTDIVILCHGFRCSKDNNLILNLAVALENAQISSFRFDFSGNGESEGSFQYGNYWTEVDDLHAVAEHFRESNRVIRAIVGHSKGGDVVLLHASKYHDIKTVVNISGRYDLKVGIEERLGKDYLERIRKEGFIDAKKRSGSFDYRVTEESLMDRLGTNMHEACLQIHKECRVLTIHGSLDEIIPVQDAHEFNKIIPNHKLHIIEGADHSYNNHQDELSSVVISFIKEIVDLNKGTAS >CAK8576578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494618658:494619563:-1 gene:gene-LATHSAT_LOCUS28754 transcript:rna-LATHSAT_LOCUS28754 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSLNTKTHNHARSNSLPSKPHPIILQCNEHLSKLGGANSNYDAISSLTLTHKLNILQDLHICIEKLVQLPLTQEALVKQSQERWVDEFLEGSLRLLDTCTATKDALLHTKECARELQSIIRRKRGGEIEVTIEVKKFLTSRKVVRKAIFKALENLKGNTHKCIISINNNNKDYQTLATISLLKEVEMITFCIFESLLNFMCGTQTKRSSWSLVSKVMNSKRVSSSSPQNEDENEFAKVDNALELFAFNIGSKLSDVENLQNKLVNLGSCIQDFEEGLESLFRRLIKIRVALLNILNH >CAK8569602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6479938:6480732:1 gene:gene-LATHSAT_LOCUS22436 transcript:rna-LATHSAT_LOCUS22436 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPAAPSPNPKPNLPTPSNAVISSKPKQRDLLTHLEAYLAKRDGVDKLLKICRYVAKLIVASSVLPLDPNLSHRLKSFESSVGVSRKAFRLGKFVQDLNALRNTRVDSTTELILSVIAYGSEGLYFFVEQFVWLAKTGLIDPKRSKILTKVSAYAELVGYFGSVTVKFRDLGVIVEEEGCLKSSIEIEGLRGDDFGEKELKLAKLREKKLMKRFSIVQDLADGLMALDDILDGNAPFSKPIVLSSAGLLSALISTHKNWVSC >CAK8560233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11353069:11353788:1 gene:gene-LATHSAT_LOCUS13924 transcript:rna-LATHSAT_LOCUS13924 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTTTTTTTSSETTTTTTTSNSSTSSSSSPPSHSTQKQTPTKPRDDNNNNNSKHPTYHGVRKRSWGKWVSEIREPRKKSRIWLGTFSTPEAAARAHDVAALTIKGKTAILNFPNISNMLPIPATSAPRDIQAAATAAAAMVDFDEPVAHVTDQCCSESDESEHEQEQELSQIVELPKINEGENDSVVDSAGSEFVLLDDSVDSTNWVYHHPFTPSIGFEDGIEFYATFSDDFLSPIWD >CAK8560920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57866485:57867688:1 gene:gene-LATHSAT_LOCUS14559 transcript:rna-LATHSAT_LOCUS14559 gene_biotype:protein_coding transcript_biotype:protein_coding MILVKRMRETLYSSLLLQDISFFDNETVGDLTSRLGADCQQVSRVIGNDLNLILRNVLQGGGSLIYLLVLSWPLGLCTLVICSILAAVMLRYGRYQKKAARLIQEVTASANNVAQETFSLIRTVRVYGTEEEEQERYKLWLEKLADISLRQSAAYGFWNFNFNTLYHST >CAK8539990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534221084:534221358:-1 gene:gene-LATHSAT_LOCUS9033 transcript:rna-LATHSAT_LOCUS9033 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPILIKDLEKGKQVWKMLIRVVDLWIVKEKSGLQHFEMVIQDSQGDQIHGTTRNREFKDWYEQFN >CAK8561853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:395812794:395821934:-1 gene:gene-LATHSAT_LOCUS15407 transcript:rna-LATHSAT_LOCUS15407 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNGCEELTQSKPLFITIYTVVIIGVVVSFFYVFSAIYSSDTPAAHSSAWLSSSISPEDPRLIDQTLNISQSEPRLIDQTLNISQSEKVRAVSTSSPGKQNVWPSSVWEVPPSNKKMPPLKDFRLTKELVQQRVKDNVVILTFGNYAFMDFILTWVKKFTDLGVSNLLVGALDTKLLEALYWKGVPVFDMGSHMSTVDVGWGTPTFHKMGREKVFLIDTILPFGFEVLMCDTDTVWLKNPLPYLARYPGADILTSSDQVIPTVVDDNLEVWQEVSGAYNIGIFHWRPTQSAKNLAKQWKEMLLADDKIWDQNGFNEILQSHLGPSVDDGSGLVFAFDGKLKLGILPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYGGTEGKRHRLREAMLFFDPPEYYNPAGGFLSFKPSIPKSLLLSGEHNVESHFTLINHQIKQIRTALAIASLLNRTLVMPPLWCRLDKLWYPHPGVLEGSMTRQPFLCPLDHVFEVNVMLKKLPEEDFGPAIDFREYSIFDNPSLPSEVKKSWLDVQLCKEGTEDCDGSYNTTAGGVLKFPKHSSEEMFMKVFSSFKDVKVIKLSSVQDAFTGFTNKEREDRFRRRVKQYVGIWCCGSDKPIGHIYYDIYWDEKPGWKAIPPQSPEEDHPPL >CAK8563776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630360042:630360356:1 gene:gene-LATHSAT_LOCUS17152 transcript:rna-LATHSAT_LOCUS17152 gene_biotype:protein_coding transcript_biotype:protein_coding MQADKSPSPGDFNPGFYHQFWYTCGIEIFNASCAWLESGIFPHSLNMANITLIPKGEVQTSLKDWGPISLCNVLYKVIAKVIANRLKRVLDKCISDNQSTFCPW >CAK8535809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883170255:883172470:-1 gene:gene-LATHSAT_LOCUS5231 transcript:rna-LATHSAT_LOCUS5231 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVQLSSVVDADHEMVEISQQSERRKGGLITMPFIIANEALARMASLGLLPNMITYLMGSYRLHLGNATQILLLSSAASNFTPVVGAFIADSYLGRFLGVGLGSAVSFLGMTLLWLTAMFPQARPPTCNRQTGGCKSATKGQMAVLLSAFGLMSIGNGGLSCSLAFGADQVNRKDNPNNHRVLEIFFSWYYAFTTIAVIIALTIIVYIQDHLGWKIGFGVPAALMLLSTLLFFLASPLYVKITKRTTLFTGFAQVTVAAFKNRNFQLPSQNSAEFYHHNKDSDLLVPTDRLRFMNKACVIKDRGQDLASDGSAKNPWRLCTVDQVEELKAIVRVIPLWSTGIMMSLNIGGSFGLLQAKSLDRHITSHFEVPAGSFSVIMVGAIFIWIVLYDRVLIPLASKIKGKPVRISPKRRMGIGLFLSFLHLITAATFESIRRKKAIREGYLNDPHGVLKMSAMWLAPQLCLGGIAEAFNGIGQNEFYYTEFPRSMSSVAASLGGLGMAAGNLVSSFVFSTIENVTSRGGKQGWITDNINQGRFDKYYWVIAGVSALNLVYYLVCSWAYGPTVDQVSMETTEENGSKEEDLTEFDVNPLFDDKGSDETSSKEKELTEFKNSGRVEKVHNSSEENG >CAK8562796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537866322:537866662:1 gene:gene-LATHSAT_LOCUS16262 transcript:rna-LATHSAT_LOCUS16262 gene_biotype:protein_coding transcript_biotype:protein_coding MTQATEAPFRQREKLFEKQKYFQNMRKHTHLKGPYDKITSVAIPLAFTAASLFMIGRGIYNMSHGIGKKE >CAK8534011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681748229:681748540:1 gene:gene-LATHSAT_LOCUS3587 transcript:rna-LATHSAT_LOCUS3587 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8534283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712583073:712584365:1 gene:gene-LATHSAT_LOCUS3839 transcript:rna-LATHSAT_LOCUS3839 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDISCLLHLPIKGVFWSPQDISEALVVEWTVDYLGMSQRVAQQQVCDCRSSYYKLEWLYDRFVEHITASRWDYATGAYFLMLVGSTIFADKMFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIYEYFPTLGKKGENWIPANNVGLPRAMRWSYRQGALKVDDLQPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRKYVPHPPLDYTMAGDIDVDWISYHQSVQDVIRPTAPTTTPYETNDGYLQWYYRVSHPRLVPPYCDAPTEMPVLVYEAGPSDPSWAHVSSLIHRYLQQAGAEEEDPSLLICSKLYILLDHSDLYLYLWLYVNF >CAK8538700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493319835:493320779:-1 gene:gene-LATHSAT_LOCUS7872 transcript:rna-LATHSAT_LOCUS7872 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAGRYQNNNISDATAQPMVQFSFSSNNPSSFLTPARYGRTLPLISRNNPFIPTYLPNSSRIPSPSLVNIPLIPSSLPNSSRISSLRSGNTALIPSNLPYSSRISSSILGNTSPIPHYPHNTSQNSSYNGVTTGYRNSSRPILHSQMNFRYSPYHEGSNHTNNINPLVLNDSNPRSRIDLNNYQGLEDISLPNANPNNNNNNPLVLNDSNPRSRIDLNNYQGLNNISIQNANPPQLSPIKTTYLSMFCSSGHGESHAWREPVTTFAVSFNETQTQNKELLLFKDDKNTVQTRLVIEIDDSDDDDEHLDLSLHL >CAK8565030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:28576477:28578440:-1 gene:gene-LATHSAT_LOCUS18271 transcript:rna-LATHSAT_LOCUS18271 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSLPAFPSLKTHHSIQPTLPTTPPSKFGANLAYSEAPVIGATTEAVPLANTAVDVDAATGIGKVWPFVESENGVVRGLNIGVDDMRRKRRRKRRKTLECAVVEENFQNSFLRQKMVVGSVTSEFLSSTEEAELCFYLKERARIEVSNQRMTEHEGNFAISRRFALENVRESKARLARDYRGFVASIAGSYQNRGLSMEDLIQEGTIGLFRGAEKFDPDRGCKMSTYVYWWIKQAMLRALAKKSRLIRLPVYKYAMIAKVAEANNVLSRRLRRKPTYDEIAEVLNLNASTVKLIYETSGQPISLDRAITDRSNLNLTEIISGPDEMIPEKMVERVLVKQGVVKLLSTLDTREQEIVRLYFGLNGETPLTFGEIGKVLKLSRERIRQIYGIALLKLQENSLVDSLKFYVV >CAK8572769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561749975:561751713:-1 gene:gene-LATHSAT_LOCUS25296 transcript:rna-LATHSAT_LOCUS25296 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKTLFFLGIVFIFCSLIGESRSIGTQRSLLDDGGPTIFDVKTFGALADDETDNVLAFRSAWMAACKNSTTPAKLLFPEGVFRTSQTMFAGPCTSPSPITVEVIGTLKATTELSDYVSPDWITFLDIDGLVLKGSGVFDGQGPISWPLNDCKKNKGSCVSLPDSLKFDKINNSFVSGITSLDSMGFHYHVHRCNNLSFTNLTITAPGNSPNTDGMHISASSLISLTDSVIATGDDCVSIGHTTLNITITGIKCGPGHGISIGSLGKRKEEKTVDGVLVKNCSFTNTTNGARIKTWVGLEPAEAKNIIYENLIMNAVHNPIVIDQSYGKKDRVASTSVWKISDVHFRNISGTSVSNIPVSLQCSTTNPCENIEVADVDLSYVGGPHNTTFVSDCANAKAIFGGKLNPPACTLA >CAK8577148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540444631:540445377:-1 gene:gene-LATHSAT_LOCUS29279 transcript:rna-LATHSAT_LOCUS29279 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHAVDTMPLPVPLPPPIPETPSPTPLRMPISLQPANSKTKRSSTNKFFGRFRNMFRSFPIIVPSCKMPTINGIRASETIIHGGTRITGTLFGYKKARVNLAFQEDSKCHPFLLLELAIPTGKLLQDMGMGLNRIALECEKHPSNDKTKVVDEPIWTLFCNGKKTGYGVKRDPTDDDLNVMQMLHVVSVAVGVLPSEMSDPQDGEMSYMRAHFERVIGSKDSETYYMMMPEGNSNGPELSVFFVRV >CAK8564883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14901140:14902090:-1 gene:gene-LATHSAT_LOCUS18139 transcript:rna-LATHSAT_LOCUS18139 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIHKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVESVIVKKKKGEQPQNTFTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8536363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934320336:934321769:1 gene:gene-LATHSAT_LOCUS5739 transcript:rna-LATHSAT_LOCUS5739 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADEEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSVRILDKLVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDSTRHVTFENVERWLKELRDHTDAYVVIMLVGNKTDLRHLCAVSTEEATAFAEKENIYFMETSALESVNVDSAFVEVLTQIYNVVSKRALEKENGSASVPKGETINIGKDDVSEVKKSTCCSTA >CAK8569603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6494422:6500358:1 gene:gene-LATHSAT_LOCUS22437 transcript:rna-LATHSAT_LOCUS22437 gene_biotype:protein_coding transcript_biotype:protein_coding MESELQDINFKPTKQPDPVHDDRPLLKTITVFASDTEELENKFAAYVRRDVYGTMGRGELPTKEKVLLGVALVTLVPVRVVAATALVLLYYLICRVCTLCSVPNREDEQEDYAHLVGWRRIVIVQCGKALSRLMLFILGFYWITQSCRVESPTFNEENNHQPEETGRPGVIISNHVSYVDILYHTSKSFPSFVAKRSVARLPLIGLISKCLGCIYVQRESKTSDFKGVSAVVTERIREAHRNTSAPMMMLFPEGTTTNGEYLLPFKSGGFLAHAPVLPVILNYRYKRFSPAWDSISGLRHVIFLFCQFVNYMEVTELPMYSPSQEEKDNPRLYANNVRTLMATEGNLILSDIGLAEKRIYHSALNGLFPQC >CAK8574279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673703449:673703856:1 gene:gene-LATHSAT_LOCUS26639 transcript:rna-LATHSAT_LOCUS26639 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAFTVPGGNNQDSGVPLFLHDYTFNIFIIADAISFFTSSTSVLLFIGILTALYAEKDFLKSLPLKLLFGLIALFFFVMSMMVAFYASLAMILKGHQGVIITAMSFVSIPVIVPVPSQLRLFIEIFKSTVLSN >CAK8579070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672454341:672462450:-1 gene:gene-LATHSAT_LOCUS31043 transcript:rna-LATHSAT_LOCUS31043 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSNLTRKRPFPHNPSAYVVLNHFDVPLFSTTENGVVSRCNSMLLHADQPYLIGRKPRDCQFVFNDRRVSKRHCQIFFDASLRKLYILSGVLAHADCSEFRLVHEFRKRVMVLSRGSEYFPFLEASNGVFVNGVEIRKGMAVELMEGDRVSLVCGNMSGSCGIGNRIGFVVERIAVESCDGDGYGEFDRLTFSGHSQSGKRSKRVFAVKANDSKFEGVFGRARFLVDRCRDILLSDDPLSCIKRSVSDLQCGYKRTIGTELAQRVTEDNGIDTVQSSPGVLCESKVMNLEESGEKTCPEGKLGVDCVNVFCDENSNLIVSDCIEKDNVSDGNKWQGNDGFNIYPPPGKNFYLNRLEFMNQDSSGADKSISLNELINPIESVTGMFIATFTSDIQWFLSYCKIPVHLPVTIACQNTERCWSSKPDERVSVPYQNYPNLVVVHPPFPETIAFDKDRNRHGIACHHPKLIVLQRDDSIRVVITSANLVEKQWISVTNTIWWQDFPRAILVDFASLFPKIDDEIHRDSKCDFAAQLAGFMASLVIDIPSQAHWITQLTKYDFGSATGHLVASVPGIHLNRTSVLAESFRSSSLNTKFLGSVVASVVGLSHLFRTVADSNGAGLKALAAVLGKSCKNAHGRFEIVLRRNPNVPADENAVSVLVPKSDQTSEGDFVQLGFLPRNVVKWVSPLWDAGFLAFSGYVYPKEALAAASGENCQKVQLILNVSEGHHFRDMSKMMQSEQIAAFCSLIASIQRHYGLWRIREVLNQYRWPESLESEIVYGASSIGSVSSKFLAAFSAAAGKKSLQHFDSEESDPEWGCWNAREELKNPSIKIIFPTIERVKNAYNGIMPSRRILCFSEKTWQRLKTLDVLHDAIPHPHERVGHPMHTKVVRRCFWSRKDAPSIGWVYCGSHNFSAAAWGRQISNPFGTKADGPHKGDLSMSSGLHICNYELGIIFTFPPTENNDCHKAKSTKLGDIVLPFVVPAPKYGSLDRPATKLAMREAMTELAEREEEKQAEEEMMEEIIEEEEEIEEINCVGEEKEEEKEYAEILWSQVDSSQNS >CAK8566354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:412141835:412142461:1 gene:gene-LATHSAT_LOCUS19489 transcript:rna-LATHSAT_LOCUS19489 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGGSTYDVIPDYVTIGGTYRAFSKQSFNRLRVRIEQIIVAQAAVHRCNATVDFLNGVKPSYPPTVNNGDLHEHFVNVAVNMLGVNKVYSAMPPAMGAEDFACYQQVILGYFFMLGVKNASHKQFESSLHSPYLEINEDGLPYGASLHASLAANYLLKHQHDVPNFQFRSWNGFELITL >CAK8563301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590934648:590935539:1 gene:gene-LATHSAT_LOCUS16726 transcript:rna-LATHSAT_LOCUS16726 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFRISNTVVGALNILSLVLGLAAIASSAYIHIRGGSDCQKVLQYPLLIGGIFVVIVSGLGIAGSLFGNNTALYSYLLVTFLVVVGLAFFTVFALFVTNRRVGKQISGKGYGEYKVADFSHWLQYYVVNEENWDEFKSCLMDAHVCQNLAFNGGRNNDSLIFKHLSTTQTGCCKPPVSCGFTMKNATFWEVPKSGLAANNSDCAIWNNRQDKLCYDCNACKGGVLANIRNQWRHLTVFNAVVLVLVTVIYAMGCYVIRNNRMDSRIQHP >CAK8578772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653743666:653748260:1 gene:gene-LATHSAT_LOCUS30757 transcript:rna-LATHSAT_LOCUS30757 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNDVTLQTFRALVENADHKFARVRDAPAYGRVNQNHFFHKVFKAYTRLWKYQQENRAKLVQCGLKRWEIGEIASRIGQLYFGQYMRASETRFLVEAYVFYEAILSRRYFEGSEGSSKDLGVRSKELRFYARFLLVSLILNRTEMVKYLMDRFVALVDDCKSTFRDTNFKEWKQVVQEIVRFTKADKGFSFRPMRYCAIFDSHQASLPYVARFHAKRVLKFHDALLASYHRNEVKFAELTLDVYRMIQCLEWEPSGSFYQKRIVRPKENGDVIDHSGASGIIDMNLAADMTDPNTPSNPTKATLYRPSVTHVIAVMATICEELPSDSVVLVYLSAPGKAGVHNGGPSKYSRHKVLSQSSDELNSGISESQNNGKSESSGHHENYLWFGPKGNSGSNNLYPGDLIPFTRKPLFLIIDSDNSHAFKELHGAERGEMAALFLSPLIPVFKNPADVNVHNGSQFTFFLTAPLSAFCQMIGLIPNEADTDVYNEAENIIADAFSEWEVILCSSTIMDLVWAQVINDPFLRRLILRFIFCRSVISFFCPPEESELHLPLCLPHLPTSVAPKSEAVRSVVVQLAKLFDVTDSFHFIDT >CAK8538348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478421802:478423421:1 gene:gene-LATHSAT_LOCUS7560 transcript:rna-LATHSAT_LOCUS7560 gene_biotype:protein_coding transcript_biotype:protein_coding METPETNTQPITTTSSLSLPKLCKKTNSHKIENLIEYSHVPEDAQISETIPPLLSPYNIFKRQRSITRSIQNLISTNRPHMKEYVQSSRLDQCSLRATNQEQYVDLEIPQYLINHWKTEGYTALHFGAVRLILSLHGRKNQPVFCKIALLDSSYLHYENVGIGTVLTTLHAGSVVLTIFPNYNVSLNDNTLSTRLKVQIQITGTDQVPEAMSATLHHQIIYRLQNHSIDLPISGCSSDSLLVVTNREEDIPSIVQIPRKITREELTQLIPLEWITNYEKLHVDRRPIQSQEATFRRSVDKTVKTIFKKPDEGSSSISPIFQTMMIQPVLKEDWCPVYAVTAEGKPIYTDKIDGHFIWDVDPTKCDPDCDCWMHDDDIDRDIILPKSKKKGRCKPSPPSQRRSDPDNGPWVGIHGKKKPLCIYEEGLKILRREGLLPPDDPNLITWSPTDHCKPLHPPAVAQPIPCFIYSTTTSEYERQFPVLERKMDPVTGRTSKPFIHPSEVQPDGKLKPLTQAEEVLNWQSEIWFLKMKFSKTLTKR >CAK8567145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490771067:490771533:1 gene:gene-LATHSAT_LOCUS20222 transcript:rna-LATHSAT_LOCUS20222 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRHEDQQSRAFHELSTIVLNLLRSTPPPLNISDHPPGPPSRPQISPAGFASMFLGISLALMLCGSVTFFIGFVLMPWVIGLIMVLYVAGIVSTLSDFGSYIFRYVTAAPEARKDIPAWKVM >CAK8574099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662511863:662513795:1 gene:gene-LATHSAT_LOCUS26476 transcript:rna-LATHSAT_LOCUS26476 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCESDMEHSDSAIASPLSALTDAFEELVEKVKDFKSGTSDHIRLDYFCEIVSLVTVLFRCLGLAFKFAEMEYVSKLHGLVEASKRYQMLQDIIDHDVANDTVKTSGSYSRNLRRVRQGIDLVRAIFEQLLTTSDISLKEVASTAYGEVCAPYHSWTVRTAVYAGMYTLPTRDQLFLKLNETEKTAEKKMRRYISASLPVIEYIDKLYLSRNIVLDW >CAK8564765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8983908:8984471:-1 gene:gene-LATHSAT_LOCUS18035 transcript:rna-LATHSAT_LOCUS18035 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFTVLFLLLTLVYIATSTPASFIKTSCSTTKYPTLCVESLSVYASTIQQDPHQLVQTALSLSLNKTQSTKGFVTMCKSFKNLKPREYAALHDCAEEISDSVDRLSRSLKELKLCKINGQDFNWHISNVETWVSSALTDETTCGDGFGGKALDGKIKASIRSRMVNVAQVTSNALSLINQYAANH >CAK8562031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:429171378:429171873:1 gene:gene-LATHSAT_LOCUS15567 transcript:rna-LATHSAT_LOCUS15567 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSVRKQPGYKHNESDIVELEVIIVAAPSVGGLFDSLTSSIGISSQAKPVYPQVASSSPMGIASQGSVTTDTPKMGSRHLDKDALRTFISSSMPFGTPLDLNYSNIFTIKANGFSASNLAPTD >CAK8561376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:178122530:178127618:-1 gene:gene-LATHSAT_LOCUS14976 transcript:rna-LATHSAT_LOCUS14976 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSQRYSPEGPKDGFRWYFSRKEIEENSPSKLDGVDLKKEAYLRKSYCSFLQDLGMRLKVPQVTIASAIIFCHRFFLRQSHAKNDRKIIATVCMFLAGKVEETPRPLKDVIIVSYEIIHKKDPAAVQRIKQKEVYELQKELVLLAERVVLATLGFDFNMQHPYKPLVEAIKKFKVAQHALAQVAWNFVNDGLRTSLCLQFNPHHIAAGAIFLAAKFLKVKLPSDGDKVWWQEFDVTPRQLEEVSNQMLELYEQNRTAPSNNTVEGPAGGGNQGTAKPPTINDQTATANSNLHTRASSTRHETSKPTISKNVIDPSANHVSARGHGKHGDYGTTEMTDMAEVDAKCNKHPDQKLAPYKENFPEAQETGGSQSGSEKDLETNGQRSDLNHRDAAFARPPQEVIKKIDRYKVKAALEKRKQAAIAANHITKKTELMDEDDLIERAIEDGVELASQNKKNQLDKFESLSMPLNKSDYENMQHGKQQDHADDDLQGLKGMPPCETDRTAEEGELFTLNDINLGVQLPKSSNKRKARTSPDRVKEGKKLHGYSAEPPHHSRFDYIENRNKLYQPGHSERDSNWRVQ >CAK8575135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36957294:36957611:1 gene:gene-LATHSAT_LOCUS27422 transcript:rna-LATHSAT_LOCUS27422 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAEIEDKKWCYTWESQSHIPILCLFLFPFSKTINLSLQHHNLTVHLHSPPTFLTLTSSSSLSLRVPIPNVLLDVELPPTVCSFTGHIEVKLLLLLPIDHPAL >CAK8577120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538182874:538183965:-1 gene:gene-LATHSAT_LOCUS29253 transcript:rna-LATHSAT_LOCUS29253 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDHSIALLVLSLGLLALEVSCRSLGDKSIYERHQEWMSQYGKVYKDTQEMEKRLKIFTENVNYIEASNNAKSYKLGINEFADLTNEEFTTSRNKFKGHMCSSITRTTSFKYENASVISSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLTTEAQYPYQGVDGTCSANQASTQAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYDVSSDGTKYWLVKNSWGADWGEQGYIRMQRGIDAVEGLCGIAMQASYPTV >CAK8532402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257233696:257234289:-1 gene:gene-LATHSAT_LOCUS2112 transcript:rna-LATHSAT_LOCUS2112 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILSYTACRQLSQMFIAVIFFHVSEYFLAAFIHGKSSVTLKSLLISKDYLLAMIFSLLEYLVEVTFFPELKEYWVVSDLGLVLVVIGETIRKLAIITAGQSFTHMIRVRPDERHHLVTHGIYKFIRHPGYCGFFIWSISTQIMLCNPLSTIGFAVVVWKFFNTRIPYEEYFLRQFFGMQYVEYAQQVVSGVPFVN >CAK8563501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610887596:610888804:-1 gene:gene-LATHSAT_LOCUS16901 transcript:rna-LATHSAT_LOCUS16901 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPLRKPNLASSAILPDELMEEIFSRLSVKNLIQLKCVSKFFKTLISNPYFVKIHLKKSARTPNLALMWKNNWKDDETNFVSQSVPRLLQNQFNSFHNDPSYLLSDFVRIDRVVGSCNGLLCLIGSYSSQDEWLCFWNPAMRTKSENFRLLFSDLFFRRREFKFSFGYDILNETYKVVAFMVEIELGGNLKSVVKVFSSGDNSWRDIQCLPVIPLYWFDVCNNKDVYLNGTINWLAYCNHQDFNLVGVIENYVILSLDLSTESYTQMTLPPGFDKGPHVRANIVVLMNFLCFCRDLERKHFVIWQMKEFGVQESWVQLFKISYQNFYSTTSDLFHTPLADLLPIHLCENGYTLILTNHHKEPAFICDCRDDTVERIRTIEMMGWFWAKDHIESLVPPCRK >CAK8543331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600569044:600569463:-1 gene:gene-LATHSAT_LOCUS12095 transcript:rna-LATHSAT_LOCUS12095 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQSAIVEDLVSDEEDKVQAHRKRGRPLKPVMDENENEDIVEVTEKIEKGEENVNGNVSNNDLKTEVITVNKRKRKRSSQVKEKIEPMKEENGVRAKSSPTDSVKSTGFRQNGSRRKSKPRRAAEAGVDCK >CAK8567807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546643673:546644939:1 gene:gene-LATHSAT_LOCUS20829 transcript:rna-LATHSAT_LOCUS20829 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLSFQASNHPPISLTSTNPFPINNTPSQQQPNLSFTKPAALPKLISSFSSHSPQPQPPHYISTVGSSSLSSSQWNLTQRHLTLLQTSAVVTAIFTTWLFGSAIPTLLAFKKAAESLEKAMDTAREELPDTMAAVKLSAMEISDLTTELSDFGQEITQGVRSSTRVVRSVEQGIRGLTSMPSSSASSQGMKYSPYTEPDSDALAAGRTARGMKEGIIKGRSILKICFSLVKFSSFALNFITRRGKR >CAK8572459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538439732:538440764:1 gene:gene-LATHSAT_LOCUS25025 transcript:rna-LATHSAT_LOCUS25025 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQVQARFGAWNRNGIGIVRCSSEGEDKLKVKEAVVWSPAKELAAKFERRLLVGIGSASLVALGANFGGITSFLLGLSPLNGRKLKLDVLYPIGGYTRYIDTREGFEFIYPKNWVGDQTLLYRAAKKREMELSLDPPPLNFRPRSNVTEPVVAFGPPGSNGELNLSVIVSPVAQDFLIEAFGSPREVGEAVIRTITGSGQRPDLKGTLIESSLREDPAKNAKYYELEFKVESPSFRRHNVCVCCARAGRLFTLNAQAPESAWPGLKSDFYTIADSFNLTS >CAK8530148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3002447:3003214:1 gene:gene-LATHSAT_LOCUS38 transcript:rna-LATHSAT_LOCUS38 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEPMPESPRFDYSSTVDTSRPFTSVKEAVAIFGERILVGEIYSPSPSPTPKPFSFSDTTTAAAATASYYSPSVSIKRESSSWRSIPSSPSPSPMSPIKSSSFNNSETLFDSVKKLEAELEKTKTELKILKERGSETEVALATLNAELHKNMSKLAQAEAEAAGKAASKSVRFESIVERENIHKNSESQTLAHIISLGENDHIFGGKKRNNNNNKIRKQKPIVPLVGDLFLFFKRKSSSSTNHHNNPLYASPF >CAK8573302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601601169:601618760:-1 gene:gene-LATHSAT_LOCUS25769 transcript:rna-LATHSAT_LOCUS25769 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVSDADYTTSLEARVKELEAENANLLARLAHCHCSPEDQISHSPDYKDAKVNEPKKSNGESQRKIRNKPGYNTGFLSHHSKRYVALKVMYFGKRFYGFSAEAQMEPSVESEIFKALKTTRLLVGDKKESQYSRCGRTDKGVSSVGQVIALFLRSKLKISGVNNGSSGEYVFEEKHEGELDYVRVINRELPNDIRVLGWCPVPVDFHARFSCLSREYKYFFWNENLNILAMKTAGTKLLGEHDFRNFCKMDAANVHTYMRCISMFEISPTDVSYDGNQLWVIKFRGRAFLWHQVRCMVAVLFLVGQGLESPDVIDILLDTNRILRKPQYVMASEAPLVLQSCEFENLKFMCSPDSGKALRAHLVNECQIYQLETAIFREAILNCVPQLHDQILPPSKGSKKKGSHIPLLSRPTEPSYEERRAKLSSCT >CAK8543928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649959520:649959861:-1 gene:gene-LATHSAT_LOCUS12650 transcript:rna-LATHSAT_LOCUS12650 gene_biotype:protein_coding transcript_biotype:protein_coding MFILINGSPTKYFVASRGLRQGDPVSPFLFAIVVEGLAGITRKATFTNLFKEFKMQTAMNVVSFGLLQFADDMIVIGDGSLSNIWAIKSILRAFELVSSLRVNMNKIKLYDIG >CAK8573356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605414441:605416980:1 gene:gene-LATHSAT_LOCUS25815 transcript:rna-LATHSAT_LOCUS25815 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVEGGGFFSASASGYSKGMSLLLLGQRNEDKPMRVAPWNQYQLVDQEPDPELQLASTKNRLSRGCASFVCFGRTSAGLDTPSPLKVGPAQQHDVSPGLPVSNDEKDPSAHVDDTNDGIKVTLKSSIKRPQSNKSVSVEDTNEQEASGGHGACNPCDQTERRRVQWTDACGSELVEIREFEPSEVDGSDDEYDHGNDRTCSCSIM >CAK8534140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698226431:698228066:-1 gene:gene-LATHSAT_LOCUS3707 transcript:rna-LATHSAT_LOCUS3707 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLAVFLILSLSLSYAVSSTLLDKSFMQCMLTRVNNETVFINSSSLLFPKVLESLEQNPRWLNSSNKPLFIVTPSHESEIQATILCSKDIGLQVRVISGGHDYEGLSYICKTPFIMINLVNIRSIDIDLADESAWVQAGATLGELYYKISKASQVHGFSAGICPSVGIGGHISGGGFGTLSRKHGLAADNVIDAYLIDVNGKIHDRKSMGEELFWAIRGGSATSFGIILAWKIKLVKVASLVTAFSIERTSEQEVTKLIHRWQYIADKLDEDLFIRVVAQNNGPNSKTIKAIFNSLFLGGKERLITIMNESFAELGLQEKDCNEMSWIQSTLYFAGYKESDPLELLLNRITNFKSSFKSKSDYVEKPIPETGLEGIKRMLQEQDSLALLIMEPYGGKMSEISETEIPFPHRKGNLYNIQYMVKWDKNGIEESNRNIEWMRKVYRYMTPYVSKSPRGAYFNYKDLDLGSNKNNGITSYSEASVWGMKYFKGNFRRLAQIKTKFDPHNFFNNEQSIPLT >CAK8538705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493448933:493450369:1 gene:gene-LATHSAT_LOCUS7877 transcript:rna-LATHSAT_LOCUS7877 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEVVFIPFPVMSHIISTLEFAKFLINRDDRLRITVLVMKLPLAPETDDYIKSLPITDSINVINLPECSLPPNTPPRSAIIALIEAHKPNVKQAVSNLAIERGENGVLTTFVVDMFCTTMIDIAKEFSIPTLVFYTSGVASLGLTLHLHTLRERDNLDLTRLQQLTELAVPSFENSVPLYSFPDSVVSKQWESIFMNYIRGLKNADGIIVNSFEELESYAVHSFFSHPDLAALPTIYPVGPILNPEPETKGTVDSNDIIKWLDDQPPSSVVFICFGTRGSFDEDQVNEIARAVENSGARFVWSLRKPQPKGVIAMPSDYSISDFVKLLPEGFLDRTKEIGRIIGWAPQTQILAHPATGGFVSHCGWNSILESIYFGVPIATWPLFAEQQTNAFQLVCELKMAVEIALDYKVELMGEPNYLLTADKIERGIRNVLDMDGEFRKKVKEMSEKSKKTVLEGGSSYTHLGRLIDCIINHI >CAK8568755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639236680:639237477:1 gene:gene-LATHSAT_LOCUS21685 transcript:rna-LATHSAT_LOCUS21685 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSISFIVSEVMFLFAFFRASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >CAK8565318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:117734992:117741137:-1 gene:gene-LATHSAT_LOCUS18541 transcript:rna-LATHSAT_LOCUS18541 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSIPMDGPFISVGTLKQNVFVSKHLGRGTDFDLLVTNAQTNEEYLDEEMLIPKNTSVLIRRVPGQARLPIVTEPQQEVENKVVATEPENISLPADDTSAMKYPENLDWDEFGTDLYSIPDQLPVQSSNMIPEAPPTNNADEDSKIKALIDTPALDWQHQGSDFGPGRGFQRGMGGRMGGGRGFGLERKTPPEGYVCHRCKVPGHFIQHCPTNGDSTFDIKKVRQPTGIPRSMLMVNPQGSYALLNGSVAVLKPNEAAFDKEMEGLSSTRSVGDLPPELHCPLCNNVMKNAVLTSKCCFKSFCDKCIRDYIMSKSACVCHAKNVLADDLLPNNTLRDAINRILESGNGSTENAGSTYQVQDMVSSRRQQPTIPSPTSSAASKGEPKALPVNEEITNMQEIADERKPVSTQQVSEQVKIPRAADVSEATHESMSVKELATQGSAKLVEEEVLQKVVPTDAGKKKKKKKVRMLTNDLQWKTPHDFGVENYMMPMGPPPPSYNPYWNGMQPCMDGFMAPYAAPMQMMSYGPGPFDMPFAGGISQDPFGMQGYTMPVVPPHRDFAEFGLGMNDPPPIMNREEFDARNANLRRKHENERWGERDFSKDREFGREVSSVGDVSSIKSKTKSNPPLSGAYSISHQRQQQSDQNHRSAGHYSNRNHEDKQSHPHKSKSEQHSDRNHDDERHSHHSNHRPETTYNDCKQKNIFSRISFQAEEEAIAKKKKKASTMQSSATASMEHVSNGYYDVRRNDNDCSDDDDRHFKRRRSRYELVDSRDRKHR >CAK8541004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:41664711:41665082:1 gene:gene-LATHSAT_LOCUS9957 transcript:rna-LATHSAT_LOCUS9957 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTLVEARYLSLFIDLEGLSRYSWGAAALVTLYRYLKDTFMFSCKQLGGYPTLLRCWIHEYFPTLGKKERIGYQLIIWVSL >CAK8579097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674451609:674452266:1 gene:gene-LATHSAT_LOCUS31067 transcript:rna-LATHSAT_LOCUS31067 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDFNAISDPAERKGNASVSKNVDIGKFNEFIIDMDLVDLSSSRNRFTWYSGDDNYVSKIDRFLVVDVIIDSWFADKGFLKFVELEWKQIYVEGRSDFIVKEKLKMLKGSLKKWNKENYGWIDLKVDELMDLINELDLNLFSVDGNGEEDMVQKRALACKTMWRKLYLKDNLLLQKAKVN >CAK8564195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:656990872:656992735:-1 gene:gene-LATHSAT_LOCUS17522 transcript:rna-LATHSAT_LOCUS17522 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRIARGEIFLRVIAILLLVLTAFLVAFDTQTKVILLTIERKVTYKDVDALKILLYVSSSAAGYNMLQLCKHSCSSIGSCDMCMVWISLFLDQIAVYLTFATNTALFEAALFALTGSDAFQWLKVCNKFTRFCEQIAGAILCCYIASILMAMISIISAYKVFRMYSPKRFLRLKGK >CAK8561024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:76696213:76696416:1 gene:gene-LATHSAT_LOCUS14652 transcript:rna-LATHSAT_LOCUS14652 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFTVAFSVVPLTLYIPPIRSLNLFVETMESVMRESTSYSNRIYPRLRIAWSRVLNCLLCNNTR >CAK8541778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393111497:393112047:-1 gene:gene-LATHSAT_LOCUS10673 transcript:rna-LATHSAT_LOCUS10673 gene_biotype:protein_coding transcript_biotype:protein_coding MHCKYSYVGIWSITNSVDDYLRIGETTILKCVDKFTRGVISVFVPQYLQKQTFEDIECLLQMGEACGFPWQYVRGDHGKPTVMLEAIASQDLWISHAFFGVASSNNNTNAFNQFDVFNDVMQGRALEAHYSVNDTEYNMGYFLLDGIYPKWHGCGCFNELMH >CAK8564467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676319336:676319809:1 gene:gene-LATHSAT_LOCUS17770 transcript:rna-LATHSAT_LOCUS17770-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVFAVSLFALFVYYSSGFSYIHPPQNSFNAYFSTCLLSMLEKKYMFLICNLILAFLANTISSSSDHFDYDIQSQFSNDLVVDIEDEYSEVLVMKKEEEFYEELVSEEGSSENEGPIEEEELGDVNRDELNRKFEEFIRKMKEEIMIEPKTHPIAI >CAK8564466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676319306:676319809:1 gene:gene-LATHSAT_LOCUS17770 transcript:rna-LATHSAT_LOCUS17770 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYEFFKRSMQFVFAVSLFALFVYYSSGFSYIHPPQNSFNAYFSTCLLSMLEKKYMFLICNLILAFLANTISSSSDHFDYDIQSQFSNDLVVDIEDEYSEVLVMKKEEEFYEELVSEEGSSENEGPIEEEELGDVNRDELNRKFEEFIRKMKEEIMIEPKTHPIAI >CAK8571027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:271593713:271594033:-1 gene:gene-LATHSAT_LOCUS23724 transcript:rna-LATHSAT_LOCUS23724 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKETKMVKMKHVIDIQAEVNNEDKSDEHRGPRPHLQLLAPQTQIHSQFSVRFYFICSGLLLWFAVSEFHPLHLDSILVLLLFLIQFCSLVLFWFENGDLKIRG >CAK8578010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601732720:601735395:1 gene:gene-LATHSAT_LOCUS30060 transcript:rna-LATHSAT_LOCUS30060 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYPLVKLIKGGDDVLKPIEMSVNVDGGNKEGSITVTWENLRVTVPNGNKRKPILEGLTGYAQPGRLLAIMGPSGSGKSTLLDALAGRLSSNIKHTGKILINGHKQALAYGISGYVTQDEAMLSTLTAGETLYYSAQLQFPDSMSITEKKEQADLILREMGLIDAVNTRVGGWSSKGLSGGQRRRLSICIEILTRPRLLFLDEPTSGLDSAASYYVMSRIARLNLSDGVRRTVVVSIHQPSSEVFELFHDLCLLSSGETVYFGPSYDANQFFAANGFPCPTLHNPSDHYLRIINKDFEMDDDVEEGFGKGVTTEEAIDFLVKSYKSSRIRKRVKKEVAKINDSDSGVIGKKRIHAAFITQCLVLIRRSSLQLFRDVGNYWLRLVVFVLIAISIGSIFYDIGSTSGSGSVQGRGSLLTFLISVLTFMTLVGGFSPLLEEMKVFERERLNGHYGVSAFLIGNIFSAVPYILMISLIPGGIAYYLCRMHKGLEHFIYFISLLLAIVMWVESLMLVVGSISPNYVIGMFITGGIEGLMILTAGFYRLPNKLPKPLWKYPLYHISFLKYAFQGSFKNEFENLNVSMGTKTMSGREILDDTWHVEMGYSKWVDLVIMFGMIVLYRVLFLVITKSKEKLKPFVAVISGL >CAK8578011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601732786:601735395:1 gene:gene-LATHSAT_LOCUS30060 transcript:rna-LATHSAT_LOCUS30060-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVDGGNKEGSITVTWENLRVTVPNGNKRKPILEGLTGYAQPGRLLAIMGPSGSGKSTLLDALAGRLSSNIKHTGKILINGHKQALAYGISGYVTQDEAMLSTLTAGETLYYSAQLQFPDSMSITEKKEQADLILREMGLIDAVNTRVGGWSSKGLSGGQRRRLSICIEILTRPRLLFLDEPTSGLDSAASYYVMSRIARLNLSDGVRRTVVVSIHQPSSEVFELFHDLCLLSSGETVYFGPSYDANQFFAANGFPCPTLHNPSDHYLRIINKDFEMDDDVEEGFGKGVTTEEAIDFLVKSYKSSRIRKRVKKEVAKINDSDSGVIGKKRIHAAFITQCLVLIRRSSLQLFRDVGNYWLRLVVFVLIAISIGSIFYDIGSTSGSGSVQGRGSLLTFLISVLTFMTLVGGFSPLLEEMKVFERERLNGHYGVSAFLIGNIFSAVPYILMISLIPGGIAYYLCRMHKGLEHFIYFISLLLAIVMWVESLMLVVGSISPNYVIGMFITGGIEGLMILTAGFYRLPNKLPKPLWKYPLYHISFLKYAFQGSFKNEFENLNVSMGTKTMSGREILDDTWHVEMGYSKWVDLVIMFGMIVLYRVLFLVITKSKEKLKPFVAVISGL >CAK8563784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630988842:630989363:1 gene:gene-LATHSAT_LOCUS17159 transcript:rna-LATHSAT_LOCUS17159 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLALSLILCTIAATHCAIIIPQNHNANLIQQTCKKTPKYATCIKTLESDPRSSDVNLQDLAVISLTSIGPALNTALTQITDLVKVNREPAQTEALNSCAERYSAILVGDLPKSIAALKLGDPKLAEEGANDAAVEASSCENGFNGKSPLTDENADIYDAAIIAAAIVKQLL >CAK8534963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:797644812:797647517:-1 gene:gene-LATHSAT_LOCUS4453 transcript:rna-LATHSAT_LOCUS4453 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNFRLPKHCDNNEVLKALCNQAGWTVEPDGTTYRKGCKPLENMDIIGGSSAASPCSSYHPSPGSSSFPSPSSSPYTANRNTDGNSLIPWLKNLSTASSSASSPKLPHPYLHCGSISAPVTPPLSSPTSRTPQMNTADWEDRSARQGWTGQHYSFLPSSGPPSPGRQIVDPEWFAGIKLPHASPTSPTFNLVSRNPFAFKEDGFSGNGSRMWTPGQSGTCSPAIAAGSDYTADIPMSEAISDEFAFGSNTFGIVKPWEGERIHEEFLTDDLELTLGNSKTR >CAK8531824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159044745:159045623:-1 gene:gene-LATHSAT_LOCUS1589 transcript:rna-LATHSAT_LOCUS1589 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPADIKEVVWPIIFREYITDGLDALPANIINGNIGQFHFILGFATETYLEDGTGTGAFTRTWNYDDFSPSKLLTLKQEHPNVEVIMNIGGHGDEYVFNPRDKEEWIVNAKSSIKGLILDYQIQSIPVSISAIDGIDINYENIKSNVDDFAYCIGKVIQQLKEDSQVVNSMDVSISPTELLRPHYLKLYRENKDNINWINYKFYNQSIESDVDFVKLFKILVFEYGAPYKLLPGVSTNTSSPPLMPIDVFVAGCKILLKTKSLAGVFVWDANESAPEYSLEDVLQKLLTKE >CAK8562564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510368796:510369924:-1 gene:gene-LATHSAT_LOCUS16052 transcript:rna-LATHSAT_LOCUS16052 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIFPLRNNGVFFMLLLLWILVSGVFVWGRPATFEQDFHVTWSESHIKQIDQGRTIQLILDQGSGCGFASKVKYMFGRVSMKIKLVPGDSAGTVTAFYMNSDTDSVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSILWNHHHIVFYIDEVPIRVYKNNEAKGIPYPKTQAMGVFSTLWEADNWATRGGLEKIDWSKAPFYAYYKDFDIEGCAIPGPSSCASNPRNWWEGAEYEGLNAMEARRYRWVRVNHIIYDYCQDKSRYPITPHECFEGI >CAK8536990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51508798:51509308:-1 gene:gene-LATHSAT_LOCUS6311 transcript:rna-LATHSAT_LOCUS6311 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGFQAELAVHFLKGAMLFDKRLEVKFSKHPNITQGSDIHDYSNSNLNHFNRNATKNYRYCCSPTKMIHLSTLPQDITEEDTVTLLEEHETIVNSKVFEMNGKKQGLVQFETEEQATEALVCKHATSLSGSVIRISYSQLKNI >CAK8542349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502732388:502736968:-1 gene:gene-LATHSAT_LOCUS11194 transcript:rna-LATHSAT_LOCUS11194 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADHMALSGSASYYMQQRGLAGSGAHPELHVSSSFNHLSNPNLQFQSSIGGGSNIGSTLPLESSVISSQGVNVSGPTGVQSGETVKRKRGRPRKYGSDRVVSLALSPSPTPSSNTGTVTQDGPKRGRGRPLGSGKKQQWASFGELISDSPARGLTPFTISIASGEDIAPKILEFSQERARALCVISAHGRVSSVTLRQPATQGGTIKHEGDFDILCMSGSYMPTESGSLLNRTGGISVILSNPDGSLFGGRVDGLFTASGPVKVMVGTFLWGRLRGRNNKRKERSTDAEVAAESSQQGALNAGALNSISPNQNLTPTSSLSPWPAAAVSRPMEMRDSNADIDLMRG >CAK8563703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626095140:626096561:-1 gene:gene-LATHSAT_LOCUS17084 transcript:rna-LATHSAT_LOCUS17084 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIHMEAIKLGVGNGKVNIVAEDDSIDGMQCIDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIHASSSSSSPSFRSNVAPSSSSTSTTRHCASSSSSVINTIPTTTTTAVASSSSLSLSVCPIKNENNAKQFHHEYYSRKTRIPFLLAKRKKKKNTVSASASGSATSNNIILKRSKSTATPRRGSSNSLVDADDEYFSPRKRNGFWSFLYLSSKSSAKNLNSKSFRDGSNNATTTTTAAKLKEKCCSGSSLGRKNDIVIVEEDEENSPNRNNSNNSNNNNTGSSIERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKSKAVSGSSSTDIASVNPNGDHHHHHCMKERVLRRCGGIFSGFMMTSSSSSNSSNSSYWVSSNSADETVNGGAKQGSVSISQNNNRGGKSWGWAFASPMRAFSSKSSSKENHHNKRDIIRDANDKINATPNLSAMPSLLAARG >CAK8576806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516024643:516026564:-1 gene:gene-LATHSAT_LOCUS28972 transcript:rna-LATHSAT_LOCUS28972 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHKLSPCFQAPICNSFSISTISELLSKQHWSEIKPHLRLTKPATFLDQLLNSGIDSDLVYRFFNWSQKEFKFSFDLEPTAKVLYSLANSKRYSKVRSFLDSFVKNRKHTVSSVFQSLLLGGRRSGATVLIIDMLVLAYVRNLELHSAYEGFKRAQDYGFKLSLTSCNPLLNALVKENKIGDVEYVYKEMIKRRIRPNLNTFNIFINGLCRGGKLNKAEDVIEDMKAWGVSPNVVTYNTLVDGYCKRGGAGKMYKAEAIIKEMVDNKICPNEVTFNTLIDGFCKDENMLAAERAFEEMQKQGLKPNTVTYNSLITGLCNNGKLEEAVDLWDKMVGLGLKPNIVTYNALINGFCKKKMMKEAKRVFDDITEQELVPNAITFNTMIDAYCKEGMMEEGFALRSSMLDKEILPNVSTYNCLIAGLCRKRDLQAAKELLSEMENNGLKGDVVTYNILIDGSCKIGKSRNAEKLLNEMINLGLKPNHVTYNTLMDGYCMEGNLKAALNVRTRMEKERKQPNVVTYNVLIKGYCKINKLEAANGLLNEMLEKGLNPNRNTYDIVRLEMLEKGFIPDIEGHLYNISSMS >CAK8538947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500107126:500107704:-1 gene:gene-LATHSAT_LOCUS8092 transcript:rna-LATHSAT_LOCUS8092 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTYPGRRGLKPHFKEGVVAFLTYAFAQDCCQSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNREAASSQTRIGVEIGRETSSSQSYLQDHEQFNLIDDMVGDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSMLSMCVRLLAAKSNWNVPDQCL >CAK8573630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626246370:626248895:1 gene:gene-LATHSAT_LOCUS26059 transcript:rna-LATHSAT_LOCUS26059 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSASSPVRRKPVKRGGGMSSALSIATNLGFSVSSSPLSQHSSRATEEKGEGLIKVLRELTNVQKKIADLETELQGRKDHVTSGFLTNVSEMEKKIETLSRITAILKDVIKNKDRIIARLQQPYCLDCIPVEVEYQKQFCELLMKAASDYGTLTVSVADLHWSMNFKEPPSFWGDMLRPIPLALAYCTRYFESMSVTRESFAALQKLRERNVDSSLPRTPSDSSQILRGVSDCLTPFTSQ >CAK8565740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:317376841:317377515:1 gene:gene-LATHSAT_LOCUS18927 transcript:rna-LATHSAT_LOCUS18927 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLYCHKIAFFTRSIKNHHNHLIRLTPLLVSLSLFPFIFSTSSLISFLKCFNFYFSTFSLQLITHTIDKNCMFLLSNGLLLFVGITRSLSVDESSNSVKDGSQSLYSMVEAQESMLVVKEKTNKLETESEEVEESVGDISLEEGRGSYILHWEQEEGPKEESRIFDGGDEEKDNETKMVDEEEVLDEASWVLRTDELNKRFEDFIRRMKEDLRIEAQRQFDML >CAK8544011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655781492:655782583:-1 gene:gene-LATHSAT_LOCUS12722 transcript:rna-LATHSAT_LOCUS12722 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLSIFEHLNGQTAGTYCERWLEDREMEAAQLHIILNCKEVKPFIDMYVQSLKSMYGDVNDDIIDKQLEADFPRWFQKYVTMNCKHKRQNSDLYDLARGPLRLAKSWPIYFANGYKFHTTSWGEGKTTYNSGVCVSGIGQDETSNDYYGILTEILEFQWPSQTTKTLFLFYCDWFDPSRHGMRIHRQYKIVEVRKGRKYSKFDPFIFPKAATQVYYSPYPGRPRDKVDWLVAIKTKPRGVVDDRHTLEVAFQVQESQVNATIEDDPIDLLQDDEVNGEEVSLSTIQENEDEEDSNDDDENF >CAK8572568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547281793:547284139:-1 gene:gene-LATHSAT_LOCUS25121 transcript:rna-LATHSAT_LOCUS25121 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKTIAICQSGGKFETAKDGTLSYKGGDAHAMDIDDQMKFIDFKAEIVEMFSFNNVGSISIKYFLPGNKTTLISISNDKDLKRMVKFHCDSSTVEIYVFIEEVLALEVSTMPVSRSSRTTLSETVLPINTIMNPDAEYAPPVASHDTFQIDTDMEIPLLSLSSNEEKLAKGAQQWQNTITGVGQRFNSVHEFRESLRKYAIAHQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSSTQLICIKKMNSEHTCEGAAGTTGHQATRNWVASIIKEKLKTCPDYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPFFCEKLMEANPGSLAMYTTKEDSSFDRLFVSFLASLNGFQQGCRPLIFLDSIPLKSKYQGALLAATAADADDGVFPVAFAIVDAESDDSWHWFLLQLKSKLSTSVPLTFVADRENGLKNSIAEIFEGSFHSYCLRYLTEQLFRDLKEQYSHEVKRLMIEDLYAAAYATKPEGFYNGMESIKRISIEAYDWIMQNDPVNWANAFFQGTRYNHMTSNFGELFYCWASNADDLPITQMVDVIRGKITELIITRKAESDEWSTRLSPSMEEKLKMEIQRSHSLQVILSAGSTYEVCGDSTELVNIDRWECSCKTWQLSGVPCCHAIAVIVAIGHCVYDFCSRYCTTECYRLTYSECINPIVNMNVPAAIIEPLVTVTPPPTRRPPGRPATKRYGSQDIVKRDLHCSRCKGLGHNKSTCKEELLYLNNS >CAK8575615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:207310331:207313558:-1 gene:gene-LATHSAT_LOCUS27864 transcript:rna-LATHSAT_LOCUS27864 gene_biotype:protein_coding transcript_biotype:protein_coding METVLSNHSPPSSAFKGVSKEEEESLLGQIEIWKYMTQFTDSFALKAVVELRIADIIDRYGKPIFLSQIVQNLEDAPSPDSSLLLRVMRVMVRRKIFSAEKSETGEILYGLTRASKWILQDTKMTLAPMLLLENHPFHLNPANYINEIVREGTKNGTAFFRCHGHEQFEMTGLDLKYNDLFNQGMVCTARVMSRAVIAGYKDGFNQIQSLVDVGGGIGGSLSEIVRAYPHIQAINFDLPHVISTAPQYDGITHVEGDMFVSVPSADVIYMKWILHDWSDDHCIKILKNCRKAIPEKTGKVIIVDHVLDPEGAEPFTDVGIAFDMLLLAHNAGGKERTEENWKYLFKETGFSRYNIIKINALPSIIEAFPI >CAK8534985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800198769:800199062:1 gene:gene-LATHSAT_LOCUS4474 transcript:rna-LATHSAT_LOCUS4474 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEYSGPPGPKLMRLVYFVGAAVACTVAINKWREFESKSIIQQQEKHPGVKVVAEITNSSDSVGVHKALK >CAK8541098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:65181612:65184238:-1 gene:gene-LATHSAT_LOCUS10049 transcript:rna-LATHSAT_LOCUS10049 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSSVNRPPTPDVADNLPEREPTFQELLNIKFIETGEKERLMELLRERLVDCGWKDEMKSICRAFVKKKGRNNVTLDELIHAITPKGRASVPDSVKAELLQRIRTFLVSAAL >CAK8536092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906344068:906345291:-1 gene:gene-LATHSAT_LOCUS5492 transcript:rna-LATHSAT_LOCUS5492 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENNNKSSSRITWEGCSVLLDINDGDRLVFARLSPAAKLKIGKKNCSLHSLIGCPFGTVFQLDTSSDGPFLSPFQPEGDVNNADEIKDGHFQDESKDDQLNSELKDNRSLIDNNTAQSLTGEDIEDMRKQGAKGNEIVDALIANSASFDKKTSFSQEKYRRKKQKKYAPRVLIKRPVARSICEAYFKKNPLKIGFLRVDTLSLLLSMANVSSNSDILVVDMVGGILTGAVAERLGGTGFVCNSYLGQTPHSMDIVRIFNLSDEICNRKKVINQNYLSLLFSSFMTKERSFMAYDITHHHRIKEWDNTNDHHRNFTSHSLLYNHFKTPRQITMDIFFSSTARGQLVSNEPSCSQLLWTKMSISFFYQAASKTTCTTEKTAITNIPTTFQNGTCKITREEIHYAGS >CAK8577540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572297748:572300407:-1 gene:gene-LATHSAT_LOCUS29638 transcript:rna-LATHSAT_LOCUS29638 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTRCFLDISIGEELEGRILVELYNDIVPKTAENFRALCTGEKGIGPNTRVPLHFKGTCFHRILKGSMIEGGDISAGDGTGGESIYGLKFEDENFEMKHERKGMLSMANTGPNTNGSQFFISTTRTPHLDGKHVVFGKIVKGMGVVRSIEHVTTGDDDRPVLDVKIVDCGEIPEGEDDGITNFFKDGDTYPDWPTDLAETPSELEWWLKSVNSIKAFGNEYYKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSFLRKTKSQIFTNSSACKLKLGDIKGALLDTEFAMREGDNNAKALFRQGQAYMVLHDIDAAVESFKKALTLEPNDAGIKKELAAARKKISDRTDLEKKAYSKMFQQHKGLS >CAK8563211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583124601:583133513:-1 gene:gene-LATHSAT_LOCUS16644 transcript:rna-LATHSAT_LOCUS16644-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEGEYRVYMGGVVAQLQDHFPEASFMVFNFREGERRTQVSDILSQYDMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPRELLHLLTPLNPQPSQFRYLQYISRRHLGSEWPPSDTPLYLDCLILRDLPLFDGGKGCRPVVRVYGPDPSKPANRSSKLLFSTSNSVKHVRHYQQAECMLVKIDLRCRVQGDVVLECINFKEDSTSEETMFRIMFHTAFVRSNILMLSRDEIDILWDAKDQYPKDFKAEVLFLDADAVIPDLNTVTAAGQDANETENASPEEFYEVEEIFSNVVDVQESKVEGDSHTFHNNAVNYKRILREDSDPHAFQDCTPDDGIHKQVEKMDSGINAVKDISVDDVNCKFNASMDSDPQTVKDIAMDYDMTIPLETKEIIEDADRGSAIMQEKYDEDNEATEKELDYKEGQPMPDSSKQKSGKLPPSTAKKQIPSNTKPGDTVGKQKVKETTAFQAKQAKPTAVTRWIPSNKGSYTNSMHVYYPPSRINSAPAGLLNITSSKEKMDDNKARSLAAPLGSATAVSTDMKNDLKSRKVTTSKSFGPVVSEIDAKCPLSPPSVKETSLKSASQVQEQSSEQLLQPPARHLIPPPPPPHSPPPPPPPLPPPFTSFSRQDIRVNLQPATSPPPPPPPPPQPSWKSVASSVVGETCGSLSLSPPALPFTCNGITSKFSEVPTVVAPSRPPPPPPPPPPPPPPPILPSWHEVSTVSPPPSTVPPAKQGVSSIPHSPPPSPPSFPMSTTNISPLPPPPTTSQTAPPPPPPHPPFGNSYTSSPTPPLPPTTFHKAPPPPPPPPFTNAPPAMPPPPPPPPPFTRTPPAPPPPPPPPFSTSQSAPPPFTRTPPPPPPPLPFSGGSPPPPPPPPMSRAPPPPPPPMSRAPPPPPPPMSRTPPPPLMHGAPPPPPPPPGGRGPPPPPPPPGGRGPPPPPPPPGGRGGPPPPPPPPGGRGGPPPPPPPPGGRGGPPPPPPPGGRGGPPPPPPPSGRGGPPPPPPPGGRGPPPPPFPGGAPPPPPPLGSKGANVGADPNGRGRGYARPAAVAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSELEKLFSTNVPKATDSKSGGRRKSTGSKPDKVQLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENLIKFCPTKEEMELLKAYTGNKENLGKCEQFFLELMKVPRVESKLRVFAFKIQFQAQVTEFRKSLNTVNSACEEVRKSTKLKDIMKKILFLGNTLNQGTARGSAVGFKLDSLSKLTDTRASNNKMTLMHYLCKVLAERSPGLLDFHKDLVSLEGATKIQLKSLAEEMQAITKGLEKVKQELAGSENDGPVSEVFRKTLKGFITVAESDVADLTKLYSTVGKNADALALYFGEEPARCPCEQVTATLLNFIRLFRKAHEENCKQAELEKKKAEKEAEMEKAKGVNLTKKSGKDS >CAK8563210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583124601:583133513:-1 gene:gene-LATHSAT_LOCUS16644 transcript:rna-LATHSAT_LOCUS16644 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEGEYRVYMGGVVAQLQDHFPEASFMVFNFREGERRTQVSDILSQYDMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPRELLHLLTPLNPQPSQFRYLQYISRRHLGSEWPPSDTPLYLDCLILRDLPLFDGGKGCRPVVRVYGPDPSKPANRSSKLLFSTSNSVKHVRHYQQAECMLVKIDLRCRVQGDVVLECINFKEDSTSEETMFRIMFHTAFVRSNILMLSRDEIDILWDAKDQYPKDFKAEVLFLDADAVIPDLNTVTAAGQDANETENASPEEFYEVEEIFSNVVDVQESKVEGDSHTFHNNAVNYKRILREDSDPHAFQDCTPDDGIHKQVEKMDSGINAVKDISVDDVNCKFNASMDSDPQTVKDIAMDYDMTIPLETKEIIEDADRGSAIMQEKYDEDNEATEKELDYKEGQPMPDSSKQKSGKLPPSTAKKQIPSNTKPGDTVGKQKVKETTAFQAKQAKPTAVTRWIPSNKGSYTNSMHVYYPPSRINSAPAGLLNITSSKEKMDDNKARSLAAPLGSATAVSTDMKNDLKSRKVTTSKSFGPVVSEIDAKCPLSPPSVKETSLKSASQVQEQSSEQLLQPPARHLIPPPPPPHSPPPPPPPLPPPFTSFSRQDIRVNLQPATSPPPPPPPPPQPSWKSVASSVVGETCGSLSLSPPALPFTCNGITSKFSEVPTVVAPSRPPPPPPPPPPPPPPPILPSWHEVSTVSPPPSTAPPPPPPPPFTNAPPAMPPPPPPPPPFTRTPPAPPPPPPPPFSTSQSAPPPFTRTPPPPPPPLPFSGGSPPPPPPPPMSRAPPPPPPPMSRAPPPPPPPMSRTPPPPLMHGAPPPPPPPPGGRGPPPPPPPPGGRGPPPPPPPPGGRGGPPPPPPPPGGRGGPPPPPPPPGGRGGPPPPPPPGGRGGPPPPPPPSGRGGPPPPPPPGGRGPPPPPFPGGAPPPPPPLGSKGANVGADPNGRGRGYARPAAVAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSELEKLFSTNVPKATDSKSGGRRKSTGSKPDKVQLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENLIKFCPTKEEMELLKAYTGNKENLGKCEQFFLELMKVPRVESKLRVFAFKIQFQAQVTEFRKSLNTVNSACEEVRKSTKLKDIMKKILFLGNTLNQGTARGSAVGFKLDSLSKLTDTRASNNKMTLMHYLCKVLAERSPGLLDFHKDLVSLEGATKIQLKSLAEEMQAITKGLEKVKQELAGSENDGPVSEVFRKTLKGFITVAESDVADLTKLYSTVGKNADALALYFGEEPARCPCEQVTATLLNFIRLFRKAHEENCKQAELEKKKAEKEAEMEKAKGVNLTKKSGKDS >CAK8538419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482231938:482232739:1 gene:gene-LATHSAT_LOCUS7623 transcript:rna-LATHSAT_LOCUS7623 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLSFLILGLMAMVLFISSEVSARTLTETSTNANKEEVVEKSDEVNDAKHFGYGGYGGGYGGNGGYGGGYGGNGGYGGGYGGYHGGYGGYHGGYGGYHGGGGGYNGGYGGYHGGGGGYNGGYGGYHGGGGGYNGGYHGGGYGHGGGYGGGGGGGGGGGGGYGGGGGGGGGGYGGGGGGGGGYIGGEVATNGN >CAK8544917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711904572:711906726:-1 gene:gene-LATHSAT_LOCUS13559 transcript:rna-LATHSAT_LOCUS13559 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEKQEGHRQESKVRALIERATNSTAPDVDHRLLKAIKMVVRYSDSELRLASQTLMDLMKRDHSQVRYLAFQIIDELFMRSKLFRSLVVEDLDPLMSLSVGFRRSLPLPAPPSVASLLRSKAIESLEKWNVSFGVHYRQLRLGYDYLKNTLRLQFPNIQANAERIRQERRERERKSKEILLNKYESLKDIFSSIKGEILSTMDEIDGCLGILHSKQELESNDILDDEELNDFRSLELQQLRREALDEEEKVYESSDNKVVFDALRELYKLLVTKHLVSIQEWVSVLVRVEVSDNRFRDSTLKEFIDIQNRLKSVKKRCEEAGCSLLNTSKLDGEEDFWEEGDPVSIETTSCTPDSKKKHPGSSNTPDKKKRHPETPDKKKKHPALASTSHNMSSDNLGSCAKESKSSNTDNPLHGGNESESNPARSKLLTKAPVVRWGSHLDTWGSNKVFMANQRGLDIESHWGRVDDDAVIPSDKIAELSVPAMPYEEKQIEIQPCRAPLRKGALCQRRDMKSCPFHGPIIPRDDEGRPLKPSPSEDVNVSMKTDLAEQLAKQAVKNVRETDKEASKKREIDKQALKRAKLAKIREHNDAVLRDAALASTSRSAIFGEDDEMTNRDKKASLASMLKKKVTSKDRIAQKLLSSRAR >CAK8531404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107401831:107404035:1 gene:gene-LATHSAT_LOCUS1199 transcript:rna-LATHSAT_LOCUS1199 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGAIFLLFLSFISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFRVSSLAWAGHLVHVAIPGSRGEYVRWNNFLSVLPHPQGLGPFFTGQWNLYAQNPDSSNHLFSTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDILEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNSPALNAGRSIWLPGWLNAINENRNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLVHFSVGYIFTYAAFLIASTSGKFG >CAK8572426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536173922:536177667:1 gene:gene-LATHSAT_LOCUS24997 transcript:rna-LATHSAT_LOCUS24997 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGEAQNNETNKKSSVVIRIPSYQEVVESSQSRSTPPSLFVPSQTFSQAFAFVKSSEFYAPPPSLPKETVQSKASSSTPPTPSATTTAPATSSKLPNSQPTQNRNAILVSNRQKGNPLLKYIRNVRWTFADVVCDFLLSQTSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTAMLHDCTLLCGWSLEECGRYLETIKVYENKPADIIQGQLDSDYLSRLTHSLTTVRHVNKTDVVTLGTNFGSLSNIMGASMEDLARCPGIGERKVKRLFDTFHEPFKRVESSRQAIPETSVLSKPASSDASFRSNAESSSSLEDKQKDVDNASKRSKKEPELTVKSALSEAFAKLSERAGKRNITSKSKEKEDPIVVRESDAET >CAK8542966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562845419:562847412:1 gene:gene-LATHSAT_LOCUS11761 transcript:rna-LATHSAT_LOCUS11761 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVVVNDDDFSTIYADIDGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNVANNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEMAGKLLLEDYEEYARHARIYTGIHAKPKAKFKSGAITESTTALNVDQTNTSVLNADIKTMPSSAALPPLSSSAALPPLSLPSTTAARGASQDQAAGILTESSANRSTAAAVVSAAHAPQKKEIGTAKAQADKKKLDARKKSLKRL >CAK8565885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347268019:347271952:-1 gene:gene-LATHSAT_LOCUS19062 transcript:rna-LATHSAT_LOCUS19062 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLYKNPNQPIEARIKDLLSRMTLTEKIAQMIQIERTVATSSVIRDLSIGSILSSGGSAPFENALSSDWADMVDGYQKSALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLVRRIGAATALEVKASGMHYNFAPCVAVCKDPRWGRSYECYSEDTAIVRKMTSIVSGLQGQPPEGHEHGYPFVAGRNNVVACAKHFVGDGGTHKGVNEGNTILSYEDLERIHMAPYLDCISQGISTVMASYTSWNGRKLHADRFLLTEVLKEKLGFKGFVISDWEGLDRLCKPHGSDYRYCISSAVNAGIDMVMVALRYKLFIEEMTSLVESGEVPMSRIDDAVERILRVKFVAGVFEFPLSDRSLLDIVGCKPHRDLAREAVRKSLVLLKNGKDISEPFLPLNKNAKRVLVAGTHADNLGYQCGGWTKTWYGGSGRITVGTTILDAVKEAVGAETEVIYEKYPSKDTIEHNKFSFAIVAVGESPYAESLGDNSELTIPFNGTDIISSVADKIPTLVILISGRPLVLDPRLLVKIDALVAAWLPGTEGNGITDVIFGSHDFEGQLPVTWFRSVKELDRPSKGVASSEPLFPLGFGLNYLNK >CAK8560508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22836872:22837390:-1 gene:gene-LATHSAT_LOCUS14173 transcript:rna-LATHSAT_LOCUS14173 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLLPFFATFMGVMIILFLNLKDVSGEDLELDSAKCRSRAWPPPQCPPNNLFNKKAFACTDNFIGRCVPHVHDACCDCFCKHYGSSKGGFCKTLRWKQPSPHNFCHCYC >CAK8577584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576160109:576162282:1 gene:gene-LATHSAT_LOCUS29676 transcript:rna-LATHSAT_LOCUS29676 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTHHSKGKQDSDNKSLKEKKKGPGKLKHGETNSFIPLFREPSSIEKIFGDFEREQQVLAIRPPTPLERPKTPPFVTPRVASPRPPSPKPPSPRASSPRAPSPRVTSPKAASSRNVHQNKEVSYRPEPTLRNQQASATKIQAFYRGYTARRSFRALKGLVRLQGVVRGQNVKRQTVSAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNDKDGASTFGKLTLGHGSEAGNNEDWDDSLLTKEEVDARLQRKVEAIIKRERTMAFAYSHQLWKATPKSTQTPVTDMRSSGFPWWWNWLERQLPATSNPQEKQVLKNFQLTPPRPYSEQKASPRPGSSTQRQQLHPFAFDNMDTSTPKSTKSTIFPSSKPSRTPPFRTPQGNSSSMMSKYSRSRAVGSSSPFDVPMKDDDSLTSCPPFSVPNYMASTASAKAKVRASSNPRERFGGGSSGCVTPTPSNDSKRRVSFPLSQGIGSFKWNKGSLFSNNKDPHGSHRTPDKYQESIGNASVDSTVSLPARVGRKPFTRFV >CAK8541445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:173605902:173606968:1 gene:gene-LATHSAT_LOCUS10365 transcript:rna-LATHSAT_LOCUS10365 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQLPVNGGDSGTLTWNKVMDDALVDAFMHEYEKGNKVNGTFTTSAYENIAAELRTLFGNKVDKVKIKNRWKTLKKNFTEYYDIFKGGMSGFSWNSTTQLWDAESEVWDALIESKPKALNWKNVPLPNYEKMVILYGPNRADGEESGTLKETRKQKLSVTNEDFVETIQDIDDHVVRNEVNLESFDATYDFSVPETQSSDPLSGSKRKKMKVVKNKDTNNDIAELQESFVLVANALTEGNAAIREGNEIMRERQKYELPPISGEETWNLIKECGCDAKSLPQIYCTVMKDADKLRMILQCPLEARKAVIMQMVFGSSD >CAK8572341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530939875:530941697:1 gene:gene-LATHSAT_LOCUS24917 transcript:rna-LATHSAT_LOCUS24917 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGKTNYGEYTYENLEREPYWPSEKLKISITGAGGFIASHLARRLKTEGHYIIASDWKKNEHMTEDMFCDEFHLVDLRVMDNCLTVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETTNVSLKESDAWPAEPQDAYGLEKLATEEICKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLGFDDKKTPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYIWIKEQLEKEAAKGIDTSGYGSSKVVQTQAPVQLGSLRAADGKEGSS >CAK8575494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:138424489:138428023:1 gene:gene-LATHSAT_LOCUS27753 transcript:rna-LATHSAT_LOCUS27753 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDVTMVPAGEASSSAAGPSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >CAK8560138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8607308:8609273:-1 gene:gene-LATHSAT_LOCUS13843 transcript:rna-LATHSAT_LOCUS13843 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNSVATLMDSTSSKIQQLQKAFAELESYRAVTLNMKWKELEEHFHGLEKSLKRRFHELEDQEKVFENKTLKAREMLKKQEAAIFAKEQATLQRLQEKRDAATFAIVNAREKHRKVTQKDLGIVSNGDQCAIGAEEKPMDADATSNVTEGHVEDVKVSREDRNVELISYPELVKLCKEMDVSGLHKFISDNRKNLAAIREEIPLALRASPNAACLVLDSLEGFYCTEVSSQDIKKDANLLGLRRTCIMLMECLSDFLTDLGSVSNVISKDIKDRAKAVAEEWKPRLDALDIDASNGNSLEAHAFLQLVASFDIASGFDEEELSRLIPMVSRRRQTADLCRCLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTKQFSPVSFLKSYLKDARNSCSPVKRGNSSPTAQIEVSERELIAHKAVIKCIEEHKLEEQYPLEPLLKRVVQLEKAKADKKRETEATKPQPKRPRANGVGYGPRVTNNIPSDKTSYARVAADRYPQYVYDRPYMYAGPTENHCPPILGNAHYNFSHNHGNYFGNGYQYQATYLH >CAK8565216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:71023524:71026063:-1 gene:gene-LATHSAT_LOCUS18448 transcript:rna-LATHSAT_LOCUS18448 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKLFTMNICVLILVLVLGLWSTSEVEADPQVPCYFIFGDSLVDDGNNNNLNSLAKANYLPYGIDFEGGPTGRFSNGKTTVDVIAELLGFDGYISPYSTARDQEILKGVNYASAAAGIREETGQQLGDRISFSGQVQNYQKTVSQVVNLLGDEDTAANYLSKCIYSIGLGSNDYLNNYFMPAYPSGRQFTPQQYADVLIQAYAQQLRILYNYGARKMALFGIGQIGCSPNELAQNSPDGTTCVERINSANQLFNNGLKSLVNQLNNELNDARFIYVNVYGIFQDIISNPSSYGISVTNAGCCGVGRNNGQITCLPLQTPCNNRNEYLFWDAFHPTEVGNTVIGRRAYNAQSGSDAYPIDINRLAQL >CAK8530573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31807021:31807593:1 gene:gene-LATHSAT_LOCUS428 transcript:rna-LATHSAT_LOCUS428-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMKGNKPPSKLVSDSISMDSLSFSGLVSIQDQQKNLHSPPPNQAKHFLVSKHDQEFEFTNPKVNLNSPVNPIKITPADQLISNGQLQLQPQAFVFETTQNLIVTPTSSSRSLLATHISNEMSSGKTGSSMKYHELGKASKHTSKQNTMKRKGFCQKIMSFLSPCRECRTAKQGAVKAHTVQRENLKIY >CAK8530572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31806997:31807593:1 gene:gene-LATHSAT_LOCUS428 transcript:rna-LATHSAT_LOCUS428 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDHESMHTMKGNKPPSKLVSDSISMDSLSFSGLVSIQDQQKNLHSPPPNQAKHFLVSKHDQEFEFTNPKVNLNSPVNPIKITPADQLISNGQLQLQPQAFVFETTQNLIVTPTSSSRSLLATHISNEMSSGKTGSSMKYHELGKASKHTSKQNTMKRKGFCQKIMSFLSPCRECRTAKQGAVKAHTVQRENLKIY >CAK8538574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487553273:487554309:1 gene:gene-LATHSAT_LOCUS7758 transcript:rna-LATHSAT_LOCUS7758 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMGSQSNGQQSHLQANQLVKQNSWYGLTLDEVNNLLGDLGKPLGSMNLDELLQNVWTAEGNNVVGIESEHVSSSSSSLQRQASMTLARALSGKTVDDVWREIQHGQKKQYGDNEKIVDGEMTLGDTTLEDFLVQAGLFAGGSVSSTVGLDAMDTVIPQSFQPKTGLLSSSSISSMSDTKPGRRRDTSDAYEKALERRLKRKIKNRESAARSRARKQAYHNELVTKVTRLEQVNIQLKKEKEFEQGLPPEPSHEPKYRLRRISSALF >CAK8540983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38920895:38922856:1 gene:gene-LATHSAT_LOCUS9937 transcript:rna-LATHSAT_LOCUS9937 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSSSSSSASYIHMVQHLIEKCLIFHMTKEECMEALSKHAKIKPVITSTVWNELEKENKEFFEGYAKSKSKDERMSEEETNQMLQKIISDSSKGSTND >CAK8564492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678090770:678092763:1 gene:gene-LATHSAT_LOCUS17793 transcript:rna-LATHSAT_LOCUS17793 gene_biotype:protein_coding transcript_biotype:protein_coding MKSARKTDSPRGEVGEIDTRAPFQSVKAAVTLFGEVAVSKDRLAVKRRSAENVFEKETQLILAQKELNKLKKHIVSAEATKAKALSDLEMAKETLENLTTKMNNVRESKQSAMEAAEAVKNQGKHFEKTLSLKAIGYEAWKHDLEHARNAYMATVTKLDSSKKELTKIRQDFDAVLEAKLTAIQATGEAQRSAKLNSERIGELSEEIATMKASIEQLKLTSAQNETQLEFYKTTKEEAQKKLEALKNEYNDPELIQSLDAKLAETSAEIEVLQEQMKKIHASKMDSVRLLTSELREATKTLQEVAAEENSLKKLVYILRTELKQVKKEQDELKEKKQAAEDLAANLADELQEGKEEAGPQPGTVEDLEADIFYTQNCKVQKLQSETEDARREAEEMSRKAQELKQEAEESRAVAAEAEKNLQLIMEEAKAAKASELRAIKEMKILSDVQSRLSNSKFSGKIGMPNEEFESLNGKVKQFQDLAEKKEASVMAELQEMYTRKNELDRKVKVNLKDIEETKAAMETALWYAEMADSAKAAMERELRSCRQSGSTSSRISSCSDNSSILST >CAK8561469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241071371:241071616:-1 gene:gene-LATHSAT_LOCUS15057 transcript:rna-LATHSAT_LOCUS15057 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIVAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEDKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >CAK8572617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551400658:551401575:-1 gene:gene-LATHSAT_LOCUS25161 transcript:rna-LATHSAT_LOCUS25161 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYARLTISSAFISTFSSPFFHRKLLQYSRFSTSLFFSLTSTPISTNPSHSPNFNSIQRHFTSSKKNIDTKLNFSLSDSDSDDESTTLTKTTTPTIDKSRKLPPPYDPFSKKPAIEEPKDPKDLQEIFHKMRSGDGLLNHAVKMFDALSKQGLTHEALELFGQIKDKGQMPDVVAHTAIIEAYANAGQPKETHKAYMRMLASGVFPNAYTYAVLIRGLAVNGKFLKDAKKYVVEMFEKGMRPNAETYAAVFEGLVREEKVDEAVQLLEEMKPKGFVPDEKAVKEVLSNKRGPVFRTVINILFGK >CAK8563030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567801967:567803770:-1 gene:gene-LATHSAT_LOCUS16479 transcript:rna-LATHSAT_LOCUS16479 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVTFSSKGTWNPIMTSDTTIQSYWLNWKFFVCVVSILLSTIFSLLLIWKYEVLISSWKSKTNDNIEIQKQTSSSSSSLYLYEDETWKPCLKGIHPAWLLAFRVFAFIVLLVLLILTATIDGGSIFYYYTQWTFTSVTVYFGLGCLLSMHGCHQHHKKASGDKVNNVDVDSEQGIDDSSILPQSSNQSSHDKSQQEHIVRNHAGIWGYIFQILFQINAGAVMLTDCAFWFIIVPFLTIKDYNISLLTISMHTINAVFLLGDTALNCLHFPWFRIGYFCLWTVTYVIFQWIVHAIVKLWWPYTFLDLSSHYAPLCYLSMALLHIPCYGIFILIMKVKHSVLSTRFPHSYQCER >CAK8564865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13960407:13961234:-1 gene:gene-LATHSAT_LOCUS18122 transcript:rna-LATHSAT_LOCUS18122 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKHKRKLSDSDDDDDVFYYRYCASSSTPNTTTTTASSNQPQSKPNNKGSSIGGTGEPLAPSKSTLYVSNLDYSLTNSDLHTLFSTFGRIARVTVLKDRHTRLSRGVAFVQFVSRHDAQRAATEMNKKILNGRTLTASIAADNGRAPEFIRKRVYNTETALCYECGGRGHLSYECPKNQLGPRPRPQPKKLRRGFGGVRDREEEGAEEEEEGGQIAAEQFEDNWASVVDDEAGERLLGRNGNDDEGLDNKKTKKKGKKVGYFSDESDHDDDD >CAK8563766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629980636:629987479:-1 gene:gene-LATHSAT_LOCUS17142 transcript:rna-LATHSAT_LOCUS17142-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLSLTFSPTPSAATISRSHHFLPLSTAKPLNLRFCGLRREALGLGFSSSLSRNLRRQCSAIVSAARSDNGSSTSGSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAASGRMRELRSDHHLKSLGLHVSSAGYDRQGVADHANNLASKIRNNLTSSLKAIGVDILTGFGTILGPQRVKIGSSDNIVTAKNIIIATGSVPFVPKGVEVDGKSVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRNIDYHTGVFASKITPARDGKPVLIELIDAKTKEPKETLEVDAALIATGRAPFTEGLGLENVDVATQRGFIPVDERMRVIDANGKLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDLKLAVHAHPTLSEVLDELFKSAKVKENASIPVSEPVAV >CAK8563765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629980528:629987479:-1 gene:gene-LATHSAT_LOCUS17142 transcript:rna-LATHSAT_LOCUS17142 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLSLTFSPTPSAATISRSHHFLPLSTAKPLNLRFCGLRREALGLGFSSSLSRNLRRQCSAIVSAARSDNGSSTSGSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAASGRMRELRSDHHLKSLGLHVSSAGYDRQGVADHANNLASKIRNNLTSSLKAIGVDILTGFGTILGPQRVKIGSSDNIVTAKNIIIATGSVPFVPKGVEVDGKSVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRNIDYHTGVFASKITPARDGKPVLIELIDAKTKEPKETLEVDAALIATGRAPFTEGLGLENVDVATQRGFIPVDERMRVIDANGKLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDLKLAVHAHPTLSEVLDELFKSAKVKENASIPAEETSTIRIL >CAK8538716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493694001:493695440:1 gene:gene-LATHSAT_LOCUS7887 transcript:rna-LATHSAT_LOCUS7887 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQVVIIPHPGLSHLVSTLEFAKLLINRHNRIRITVLVMKFPNNTEPQPDLNIQSDSLHLINLPQVSLPPNIHRRSSVVNALLEAQKPNVKQAVSNLTAQEQQHGPLAAFVVDMFGTTMVDIAKEYSVPALVFFTSGVAFLGLMFHLHTLFERDNGALTRFLQQNELAIPSFNSLVPSNSLPSFVLRKEWESFFINFASGLKKPNGIIVNSFEELESHAVQSFSSHPDLAGLPTVYPVGPLLNPNLKIDKIVGSDNIMKWLDDQPPSSVVFLCFGSMGSFDEEQVKEIAHAIENIGVRFVWSLRKLSSKGFRQPPSNYSLSELELLLPDGFLDRTAEIGKVVGWTPQAQILAHQAIGGFVSHCGWNSILESIYFGVPIAAWPIHSEQQTNAFELVCELKTGVEVALDYREGYYGERNYVVTADKIERGIKSVLDKNGEVRKKVKEMSEKSKKTLLEGGSSYSYLGNLIDYIMNQVSD >CAK8532142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211874793:211879771:-1 gene:gene-LATHSAT_LOCUS1875 transcript:rna-LATHSAT_LOCUS1875 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIEKERRGDYLGKTVQVVPHITDAIQEWIERVAKIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPILNVVGEQKTKPTQHSVRQLRGLGLFPNLLACRCSKELDSSTKAKLAQFCHVPLSNVLTLHDVPNIWHIPLLLKDQKAHESILKALNLPGVAAQPNLKEWTVRTKIYDKCHETVRIAMVGKYTGLSDAYLSVLKALLHASVAHNRKLIVDWVPAGDLEDGTYGEDPKAHTAAWTLLKGANGILVPGGFGDRGVQGKILAAKYAREHNVPYLGICLGMQIAVIEFAQSVLGLHGATSAEFDPETKTPCVVFMPEGSKTHMGGTMRLGSRTTYFQVADCKSAKLYGNVSSVDERHRHRYEVNPDMVSQLESAGLSFVGKDETGSRMEIVELPSHPFFIGVQFHPEFKSRPGKPSPLFSGLIEASCEPKRTVTMPSNGHSKIPNGIYNGHSPIRKAHQNGNGFISSNGSLNGVFSNGNGVYVDGSC >CAK8565684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307227034:307227648:-1 gene:gene-LATHSAT_LOCUS18874 transcript:rna-LATHSAT_LOCUS18874 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFFMRVFGWLSGDRLRETISIHTGEVLSRARLYWAEVILSLLEELERKRHQFRCLLPLHLEDLTLMLLPFFASNDRKEGREGCAPDRGKARSFTGLFFTQHTQLSLLNRLLPFPLPDFRFRSVRLTTGYGACYVPAPALSADSAAGVPSEGLSAT >CAK8566236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394011850:394020960:1 gene:gene-LATHSAT_LOCUS19387 transcript:rna-LATHSAT_LOCUS19387 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFPNSYLFTFPKPLNPTSPVFHFHRLPPPLLLRKLPPTTVAKSFSSEEFPVDETFPQTYVPKSKESEDEARKRNWIERSWAPWEEILTPEGQFARHSLNEGEEVPLKSPQSIEAFNMLDPRYRKKKIEEMGLTEEEFLEKQFEIKGEIPEPLETKWAGPLVLRLVPPRDWPPRGWEVDRKELEFIREAHKLQAKRVRLEDIENGVTTETDDVCLDRYKVFLKQYNEWVEANKDLLEEESYKLDQDYHPGRRKRGKDYKEGMYELPFYYPGQICVGKVTTLHLYQGAFVDIGGVYDGWVPIKNNDWFWIRHHINVGMTVIVEITAKRDPYRFRFPIELRFVDPNIDHLIFNRFDFPPIFQREEDTNLHELQRDCGRPPVPRKDPEDKPEEEPLFSNHPYVDKLWQINAAEQMILNDMDINPDKYKGKKLSDLVDEEDFDEEKSVQHTKVQYKNALVPKVTLKTSVKELDLEAALAERELHKKLWKEAKNRGEEYKITTLKRNIEMDEYDFMHWRRSFEEREALIRDISCRKTLGLPLEEPGRFVDARILFKGQYDPDSALNRYDYWGEPYNSEKRRKERMADTHNKSIVGKDNVWFEMPYEDTVKLQGSEFIEYLKKQQDGSEFIEYLKKQGEVDEETDDDDDDDDFDFSILRNLGNADISDEIFVNGTKTSTLSDESVFEE >CAK8571106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:297801514:297802380:-1 gene:gene-LATHSAT_LOCUS23799 transcript:rna-LATHSAT_LOCUS23799 gene_biotype:protein_coding transcript_biotype:protein_coding MYARLQDPCVSVRKNAVLVLSHLILNDMMKVKGHINEMAVRLEDENERISNLAKLFFLELSKKGNNPIYNLLPDILSKLSKQNLSNESFCNIMQFLIASIKKDRQMKRWWKSYVIGLVVSQMSDSGSIYLIVSLSYHLLKRE >CAK8571826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479949938:479951412:1 gene:gene-LATHSAT_LOCUS24452 transcript:rna-LATHSAT_LOCUS24452 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEPEVAAQGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >CAK8544328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678816650:678819556:1 gene:gene-LATHSAT_LOCUS13017 transcript:rna-LATHSAT_LOCUS13017 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMAMVAAQQFLFFSSQSNSRISTTTRPCLPLPQFTSILKLSRNTKIHFPLISCSSSQTPETQTQTAESCVNLGLRLFSKGKVRDALTQFETALSLNPNPVEAQAAFYNKACCHAYRGEGKKAAECLRTALREYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDVGSSFRRDLKLISEVQAPFRGIRRFFYVAFTAAAGTSLLFNVPRILRVIQGGDGAPDLLETAGNAAVNIGGIVAFVALFLWENKKEEEQLARISRNETLSRLPLRLSTNRVVELVQLRDTVRPVIIAGKKESVTLALQRADRFRIDLIRRGVLLVPVIWGEGKIEKKGFGVRPKAAQALPSIGEDFEKRTQSITAQSKLKAEVKFKAEVVSPAEWERWIRDQQKSEGVPVGEDVYIILRLDGRVRRSGKGIPDWAKILLELPPMDAILSKLER >CAK8562441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:492054786:492057322:-1 gene:gene-LATHSAT_LOCUS15936 transcript:rna-LATHSAT_LOCUS15936 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNGSPFKRKDEDSEGCSSLKTSSRDEDKRKRLGVGGSVSNSSSSAIVVEEDSEEDNNNNNNNTINNNRRSMVKKNNSKIFGFSVTQEHEQEQEESLDSENVPVTRNFFPMDQEAENMVVASSGGNGTSSCSSTFPRAHWVGVKFCQSETLGSGKSMEVSSSSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEAVTNFEPSIYDSELNSEPASSGGDHNLDLSLGNSSSKHMNNTQAFGNHTSNVANHDQSNWQNGGNINKPKLVNILPKPYNRPNNMEPYGRDLHGENEALRMLSQTHLHSSSSNDIRYGPFRDQSQMLHSFAHTHHHPPNFHFPSSSYGGRVGSDLSLSTSNHQQQQWQSGSHYLSSAAAASSGFPPQIRPPSSQTWLHKNGFHTLMRPS >CAK8574367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677579698:677584122:-1 gene:gene-LATHSAT_LOCUS26721 transcript:rna-LATHSAT_LOCUS26721 gene_biotype:protein_coding transcript_biotype:protein_coding MPISGHEESGVKSFAGQFSDLVAGVPIKKRRYPPLFRSSSPPPPTPSGEPCSITEVTDLQRKENSSTSQGSSLSNVSIAGAPIKKRRFPSTLQASSPSLEEASCQAKGHALRKEHSSMSLGSTLSTSSVGLSDTIGNPVFEEKKSSSDVTIGNPVFEEKKLSSDVTNADMGQKKSLLEPKQEKSNPETPLPTLTVVNSQEKVVLNEGNDSNLGSQSIKPNTELSLAAKEGPGISTGADVSKQIVQDTLKQESPVVSGSTSLSLSFKEHLFASVTSSEISELNPNLDKGEPVSLELSLSKEECSTHSSNTDAKSDSDTTRVNSRANWDLNTTMDAWDEGSDASSVKTSIDGLNITHNALDEKQLTTPTGMTPPTSVISVTQARIESQNRAFITSPGLQSKCVHSPDLRLSSYVQKHVEEPSRISVKLNSGNATPIVSLPSLTGTAGDANTASFRLVKPEPYDDNLKKNLKEVNTGPVGSLDIAAVKQEFIQHSVIKPSNSSVSNSKLVDSTFIKSESSHEGGQERSKTAESTATGQLSKVLPQISLSSSTSMTGSVVLNSTQVSAKGSHPAVKAVCTPVLTTSNIIGQPENSSCAEGVNVEKVCEEVSSNPEHVPLVTVAFPMVGTATELTNHGLKYSSIVTKKEIADDHDGCRLKLMNEPSDPRDSGEGCVSDEEKITLSADMLEDDSYGSDLESDDNHAVTVAVDIGRYIEDDDYEDGEVREPLVPSKVEDIICEVREVEHPDLGNYDNKPVEKGVVSNDYPTSSRVVVNDNTDVIHNEIIGKDGVNIPMHEKLGKVIDKNVCMQESLDGEKSDIAADKRPVNVLERKPLDLSERTIVSETQETVHPSHHAIDGSHVIDVQCADEVLKTTDTVRHTDFDFPKMEGYANTEDITKDACNSGNQGRIIDLSRAASSSSPGKTRPISGRSLPTRAGRDVLSDTLDGDKLYRGRDDVYIDAPHRFSRERHQDRNSRLNFGRGRGRVNNRIRGDWESEREYSGEFYNGPSQQFRGTRSKYASAIDDSDLEYNNVGPDESYVVNGRLGRKPLNDGSYIAPRRRSPAGGRDGIQMGHRNPRGVSPNSRCIGGDGSELGGMRHSEKYMRGFADTLDSVYTRPQQFEGLDGRFSRGRGRNFSSMQRRGGLSRMRSKSPVRSRSRSPGQWSSPRRRSPRRRSPDSFGGHPEMTHRRSPLYRVDRMRSPDRPVFTGERVVRRHGSPQFISRPSNDMRDIDSARDHGHPRPVISNRSPSGRILMRNNRRFDVVDHRDRADNDDEYFGGGGPMHSGRMIEHSNSEGNGEERRRFGERRGPVRTFRPPYNSANNNVGENFHLNAEDGPRQHYRFCSDESDFHERGNNLRERDFDRRMKGRTGNGPPRRTRNMDEQEESFRHGGQGWSDDGFDDISRVKRKRF >CAK8572393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533921847:533922662:-1 gene:gene-LATHSAT_LOCUS24964 transcript:rna-LATHSAT_LOCUS24964 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNNGYKSKQCSSTASGYICMIQVLVMSFTLMLMLVILFLVFDNVHDNDDHRVKVKVKPSLAYDSHKQKWNSFDFLVKLHPTREFRNGIDLIWQVPESPKGVLFLAHGCNGKAINFWDKSSECPDCVGLPEERLLVLHGLAEGFAVITISSAHRCWSYGNNEVLIVKDILEWWIGERKLEKLPLVALGASSEGYFVSLLATVKKFNSIVLMIAEGMFEEIDIDEHLVPHVQEELNLAFAYHEMTSVHSDRIFKWFKSHLSLLDFAMLFGV >CAK8574190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668342428:668343232:-1 gene:gene-LATHSAT_LOCUS26556 transcript:rna-LATHSAT_LOCUS26556 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKFFFMLSILILLCCFFLNSVMASVYDVGDEDEWSSQTNYNSWAEKHNFSLGDVLVFKYVKGQHNVYEVREDSFRSCETSSGVLAKYESGEDEVVLNKVKKYWFICNIVGHCLGGMRFGIEVKEDSNNVISSMDGGLNPSIQPTPLESSCISQRWSVVGNFFPFGIGLLLFNLYF >CAK8570630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75975155:75976059:1 gene:gene-LATHSAT_LOCUS23366 transcript:rna-LATHSAT_LOCUS23366 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLGLGLPIPIGPHKGTGAVERFHIAEPKGSTFGIGGSIPPMLSHGLVPSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNLSTISFSSTLANMSSPSTSSFIGEFPISVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKADFLHKFSDPNGREVSIFIPFLVGGATVR >CAK8572588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550082026:550082250:-1 gene:gene-LATHSAT_LOCUS25141 transcript:rna-LATHSAT_LOCUS25141 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLTKLTKGKGWKAEILRMLAAETIYNIWGYRNDKTFGNTVDNTTTVSNIIDCVIYRGWNNTRIRKHLVNFMM >CAK8566394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418638294:418640558:1 gene:gene-LATHSAT_LOCUS19527 transcript:rna-LATHSAT_LOCUS19527 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEAKGKTKIDGGKVSGGFRSKLNHALYSGEKKHVFAGLVLITAVFSVPWFLMNRGSKHQSHQDYLEKADKARSQRLSSSPASAK >CAK8577817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590542045:590545620:1 gene:gene-LATHSAT_LOCUS29888 transcript:rna-LATHSAT_LOCUS29888 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFSFFHRFSKTFRDYDSQFKIVLLCTTVSGGGLLAYGEAVATSEAAVPEKKKVLVLGTGWAGTSFLRNLNDPRYEVHVVSPRNYFTFTPLLPSVTCGTIEARSIVEPVRNIFRKKHVDSRFSEAECIKIDSVNRKVYCRADINNNSNEKEEFVVDYDYLIIAVGANVNTFNTPGVTENCHFLKEVEDAQRIRRTVIDCFERASLPSVSDEEKKRILHFAIVGGGPTGVEFAAALHDFVSEDLVKLYPGVKDLFKITLLEAGGHILSMFDKRITAFAEDKFRRDGIDVKTGSMVVKVSDREISTKEMKSGEMTSIPYGMAVWSTGIGTRPFIKDFMTQIGQINRRAVATDEWLRVEGTNNVYALGDCATINQRKVMEDIVAIFKKTDADNSGTITLKEFQAVMDDIFERYPQVELFLKNKQMRGIADLLEESKGDVEKESIEINIEELKTALFNVDSQMKFLPATAQVASQQGAYLAKCFNRMEECERNPEGPIRFRGEGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWMRRFIFGRDSSQI >CAK8575582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:185613610:185613909:1 gene:gene-LATHSAT_LOCUS27835 transcript:rna-LATHSAT_LOCUS27835 gene_biotype:protein_coding transcript_biotype:protein_coding MALGYEYHGRHVACNYSTKFVNVQQLINACGSSGNWKEAHNVCKKMTDNRVGPDLVTHDIMLSAFKSRAQYSKALSYFELMKGTHIPPDTSFTFPLPFQ >CAK8573055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580348585:580352635:-1 gene:gene-LATHSAT_LOCUS25548 transcript:rna-LATHSAT_LOCUS25548-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLVITLLILTLITTDMVEGTKKTYGVYDNNNSVKLFVFGDSYVDTGNFLNSSSYKPPYGITFPGKPAGRFSDGRVLTDYIASFLKIESPTPYSLKNSSNLQYGINFAHGGTGVFQTSINGPNMTVQIDSLEKLIKQNVYTKQDLKSSIALVSASGNDYVAFVSNRSITEIKSFTTLLIKQLSLNVQRIHNLGINKIAIGLLEPIGCMPPITQVIFHLTCVDLLNLVSENHNQLLLKNLLQLNQQLGKSVFVPLDLYNAFLSTIEMMQKNRYENSALMNPLELCCKGESLENSCGSVDDNGEKKYSLCEKPEVSFFWDTVHPSQNGWNAVYKQLQSSLGQLIEKN >CAK8573056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580348585:580352635:-1 gene:gene-LATHSAT_LOCUS25548 transcript:rna-LATHSAT_LOCUS25548 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLVITLLILTLITTDMVEGTKKTYGVYDNNNSVKLFVFGDSYVDTGNFLNSSSYKPPYGITFPGKPAGRFSDGRVLTDYIASFLKIESPTPYSLKNSSNLQYGINFAHGGTGVFQTSINGPNMTVQIDSLEKLIKQNVYTKQDLKSSIALVSASGNDYVAFVSNRSITEIKSFTTLLIKQLSLNVQRIHNLGINKIAIGLLEPIGCMPPITQQLGKSVFVPLDLYNAFLSTIEMMQKNRYENSALMNPLELCCKGESLENSCGSVDDNGEKKYSLCEKPEVSFFWDTVHPSQNGWNAVYKQLQSSLGQLIEKN >CAK8570863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:136132277:136132465:1 gene:gene-LATHSAT_LOCUS23575 transcript:rna-LATHSAT_LOCUS23575 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVEVWIGELAKLKEKVLINKTKSKEGYEQEKEERWKTQKNTTAISESTICLLMDRFAPC >CAK8578828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657204992:657205651:1 gene:gene-LATHSAT_LOCUS30811 transcript:rna-LATHSAT_LOCUS30811 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGHGRPRLVPPSTSTPMTDITGQNVTVRENNVEDEIRNETLDCGSQTGEEERNATDTETLGHLSTEEAKNGNESSQMKQKLWVDIINENRNPPKGLTMEFVAPKIIDGEVEIQIEEEDVEKEVKFWESALIMYVLSEDLSMNAVKQFMMKSWNFVKLPDMFYNEEVFFILRFHSFQDKELVLMKGPYSIRNRPMMLREWKPNFSMNKDMLRTIPL >CAK8540834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24276619:24277131:1 gene:gene-LATHSAT_LOCUS9800 transcript:rna-LATHSAT_LOCUS9800 gene_biotype:protein_coding transcript_biotype:protein_coding MQTREFNTQLNYLLPNSNPSSCNYNMFQTSHLQNLSNQILQDFSPQSSCISNNSTSDEADEQTKGLINERKHRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHKLVDKLNSFSESHDRVVQENVQLKEQASELRQMVCDMQLHGSCLPLSPLEDVPSMNSPHAES >CAK8538203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471181272:471182523:-1 gene:gene-LATHSAT_LOCUS7430 transcript:rna-LATHSAT_LOCUS7430 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDANVVVAVRNEANKNIFFQCSLQGFQDTLWVISGIQFYKNCDIYGTVDFIYGNATTVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVEGKSEVRATLGRPLRNYSSVAILQCYIDSMVDPRGWEEMSGQGTDNVTYVEFENVGPGSNTDCRVEPHGVRVLGNHNQTLVFTASYFLDADSWIPTRGVPYDSEL >CAK8538827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497151115:497163703:-1 gene:gene-LATHSAT_LOCUS7983 transcript:rna-LATHSAT_LOCUS7983 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMDEIDDVPQVYMACIQNGHRIGVSYYDSSIRQLNVLEAWDDGDNGFSVIDLVKYQANPLVIYTSTKCDESFLATLQQSDEVAEAPTVKLVKSSIFSYEQAWHRLIYLRVAGMDDGLDVKERIYFLSSMMDMGSEVQVRASGGLLAILENERIVDTLEQKESGNTSITIDSLAEISLNNFIKLDAAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMMNKCVTSMGRRLLRQWFLRPILDLEVLNYRLNSISFFRCSEELVTSLRETLKSVKDIPHLLKKFDSPSSICTSTDWAALLKSISALLHVNKIFEVGISEGLQEELKYLNLDIAERASSCITTELAYVYELIIGVIDVNRTKEKGYMTVVKEGFCDELDELRQIYEELPEFLEEVSSLELAQLPDLCKDKFVPCIAYIAQIGYLMCIFEEKLEEATMEKLIEWEYIFCDEDEETKKYFYRTPKTQELDNLLGDIYHKIQDMERAITRDLFSHVISFSTHLIKVSTFAAELDCFLSMALVARQNNYVRPLLTEENLLDIKNGRHVLQEMTVDTFIPNDTKFFHEGRVNIITGPNFSGKSIYIKQVAVIVFLSHIGSFVPADAATVGLTDRIFCAMGSRLMTAEQSTFMIDLHQIGMMLRHATSRSLCLVDEFGKGTLTEDGTGLLAGAINHFITCDEPPKVFICTHLMDLLHGCSLTKSEQIKFYTMSILRPEVNLTRMEDIIFLYRLIPGHVHHSYGLHCALLAGVPDEIIKRAAVVLDAVSKNNCVERLCNQNISAKDDEYKDAMDKLLKFDIDRGDLNLFFEEIFSSS >CAK8574901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14442527:14442820:1 gene:gene-LATHSAT_LOCUS27200 transcript:rna-LATHSAT_LOCUS27200 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVFIREWSPELEMKEDLLRILPLWVTFPNLPLRLWGEKSLSKITSAIGKPITMDKCTAKKLRISYARILIEVDIIQKPKETINVKDQKGKLLEQ >CAK8578292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618077562:618079116:1 gene:gene-LATHSAT_LOCUS30317 transcript:rna-LATHSAT_LOCUS30317 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFTIATLPSLNIGHFRKSCNPILPIRCSTAASPSSVSIRSKAVDFDLKTYWTSLLVQINQKLDEAIPVKFPQQIYEAMRYSSLAKGAKRAPPVMCISACELFGGSRLAAFPTACALEMVHAASLIHDDLPCMDDSSSRRGHPSNHTIYGVDMAILAGDALFPLGFQHIVSHTPTDLVPESRLLRVIAEIARSVGSTGMAAGQFLDLEGGPNAVGFIQDKKFGEMGECSAVCGGLLAGAEEDEIERLRRYGRAVGVLYAVVDDILEEKLKPEGDKEDRKNRGKSYVGVYGVEKATEIAEELRAKAMKELDGFEKYGDQVLPLYSFVNYAIDRSFNVDDASG >CAK8564935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17285757:17285876:-1 gene:gene-LATHSAT_LOCUS18185 transcript:rna-LATHSAT_LOCUS18185 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQVVPPNSAVTFDYRIKRVRLCVDESNKVISTPSIG >CAK8579706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717855215:717874698:1 gene:gene-LATHSAT_LOCUS31632 transcript:rna-LATHSAT_LOCUS31632 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPMRIRVPVLPYSGIFRTATRHILIATSLTPTTTNLRIRLSSSLTAIHHRYGAPLRYRTFALPLSHQQSSTYGRFAFHDVSSDESDLEFAPSHSQTRPQQQSGDSTLENIDSWRWKLTMLLRNKGEQEVVSNEKKDRRDFLQLETLATRMGLYSRQYAKVVVFSKVPLPNYRPDLDDKRPLREVTLPFGVHREVDTHLLAHLSHKATKRVGTLDDSLHQSRNGGSIPADEGVYGHPEPMSHNSVAKEKFLKRKSLQLRHQQQNWQESSEGKKMLEFRQSLPAFKEKDAFLKAVSENQVIVVSGETGCGKTTQLPQYILESEIEAARGALCSIICTQPRRISAISVSERVAAEHGEKLGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLADRSLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPDLRLILMSATLNAELFSSYFDGAPTMHIPGFTFPVRAQFLEDILERTGYRLTPYNQIDDYGQEKSWKMQKQAQSFRKRKSQIVSAVEDALEVADFKGYSLRTKESMSCWNPDSIGFNLIEHVLCHIVKNERPGAVLVFMTGWDDINSLKDQLHAHPLLGDQSRVLLLACHGSMSTSEQKLIFENPEGGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKAAARQRKGRAGRVQSGECYHLYPRCVYDAFSDYQLPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKIIGALDENENLTVLGRKLSMLPVEPKLGKMLILGAIFNCLDPILTVVSGLSVRDPFVAPADKKDLAESAKAHFAARAYSDHLALIRAYDGWKDAEAQQAGYEYCWRNFLSSQTLRAIDSLRKQFFHLLKDIGLVGNNSETNNKWSNEEHLLRAVICAGLFPGVSSVVNKEKSITLKTMEDGPVLLYASSVNGSVPKIPYPWLVFNEKIKVNAVFLRDSTGVSDSMLLLFGGNISKGGLDGHLKMLGGYLEFFMKPELAKTYSTLKRELEELIQKKLLDPMLDIQSHNELLSAVRLLVSEDNCDGRFVYGHQALPQLKKATKSKSGDGVGGDNSKNQLQTFLSRAGHQPPTYKTQQLRNTQFRSTVIFNGLDFVGQPCSSKKLAEKSAAAEAILWLKGDNTHSYGDMSHASVLLKKSNKKSKKKSFSDAKWS >CAK8563602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619856248:619857915:1 gene:gene-LATHSAT_LOCUS16996 transcript:rna-LATHSAT_LOCUS16996 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKINTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDDLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRQTTPTTTPYETDDGYLEWYYRVSHPRLVPIPYHDAPAEMPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFEDLFEALHIARSQ >CAK8564768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9032811:9034070:1 gene:gene-LATHSAT_LOCUS18038 transcript:rna-LATHSAT_LOCUS18038 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFSPDQIISLGPHIEATPYLTLQRVEQNQMILKDLNSIIKSNHYTNSYLVCLGEQFISLEKELLSMKDLLDKQIARQKIIIDLINKPKPQEQASTSNISDVPIIPPIIPIEGFKMETNEKCYYKCPSPQDLLFEEPEPFQNSYSGKVTYEWNIDGLNDKQILDKIHRMIMYSTVCKQNRNFDGDIATFISTGFVGQLRGWWDHYLTDSQKKDILDHKKMIKSEAPVIGASGVATTGKEDAVYTLCLFILQHFVGTNIPIGEKIQTLLQNLRCPSLTHFRWYKHTFLSRIYQLKNPNSIHWKVKFIDGLPHFFSEKVRHSLRSKNDGINTNCPDLTYGQIISTCVNEGLTLCNDIKL >CAK8532523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:279117233:279117706:-1 gene:gene-LATHSAT_LOCUS2221 transcript:rna-LATHSAT_LOCUS2221 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIPSVAAYCCLAKGLCEIGEIDEAMMLVSDCLGNVASGPMEFKYCLTILHICKSNDAEKVINVLNKMMQQGCSLGNVVCSAIISGMCKYGTIEEARKVFSNLRERKLLTESDIIVYDELLIDHMKKKTADLVISGLKFFGLESKLKSKGCRLLPN >CAK8537123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:103068842:103072239:-1 gene:gene-LATHSAT_LOCUS6437 transcript:rna-LATHSAT_LOCUS6437 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPTSTAVETNHQPPPPPSSYKRNNKTKRPVFKVLPGQIAFRLVCHSSTVGGLIGSSGSIVSQLRRETGCKIHCEDSVDGTDDRVILVIGSLLTRKGIAVGDGEVQVSNAQEAVVRVFERIWELEEEKGVHSNNSGMNVEVFGKLLAHSSQVGAVVGKGGKNISTIRNNTGTKIRVCPAPHSAAKDEELVLISGESLAVKKALIYVSHCLQDCPPSSKVSLYVPTVSSLDRPISNPHEDLFPHLNSWLPSMEGLSINDASKQTTNSNGNFSLDSKGTGQEVVFRLLCSNNVAGSVIGKKGSIVRTFESQTGASIVFAPPLNQFEDRIITISAFENLESSNSPAQDAVILVFARIVEDHIRYGFHPASSSESPVTARILVASSTVNFLTGNDGQVISELRELSGVDIQILHGVPVPNGALDNDAVVQITGGYRCVENALRKLTSRIRDNPLPNEVFSEARMKSSFQVNKDTVRSKFIPRRKSAFPFERFPPQNAGMHAKTSTENGENLDHDRGNMFATVTNTTVEIIVSEHVFASVYGEDGGNLEQIRQISGANVTVYDPSVGTSGGKVVISGTPDQTFAAQSLLQAFIQTAQVS >CAK8535701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875485524:875486212:-1 gene:gene-LATHSAT_LOCUS5133 transcript:rna-LATHSAT_LOCUS5133 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTLTLTFCIIVAFSNATPTLVTRLQHQINQYSTIDHTQQKMNETTQMEMCSYSISVKTSCNSPAYSKDTVGVLFGDADGKEVKVLELDSENEVFEQCKTLIFRILGQCIGKICRLYVARAGSDGWVPETIIAYNHNYPPVVFNYNYFIPEGVARGFDDCGN >CAK8561129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:99690878:99691651:1 gene:gene-LATHSAT_LOCUS14745 transcript:rna-LATHSAT_LOCUS14745 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQVCSSMDAIRAFLEHFVDPMLPEKPFIQDDPPLSQQQKIANQVHSVVLLYNYYHRKQNPDLSYVGFREFCKLIVDMRPALLPYMKFTAKPNETDLVDVEEQLSLTGKAITSSYDICTILNPSRSVPNVEGWPISKVAVLLVDSKENCFLRFCSTTGGVWSLIEKDVDTSGQISEVTRDVKSTYQKRRVIKKPLKDGLNEGRILEVGYSAVKEAAGTGVNSIDIMLLKSYIVYSQKQREDSFTILYNEVLKVDQ >CAK8569786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10814733:10816350:1 gene:gene-LATHSAT_LOCUS22596 transcript:rna-LATHSAT_LOCUS22596 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVLVYLLLLPIFILFIIHKHKTRASSIPPGPTPLPLLGNLHQIDSSSPHLSLWKLSKHYGPIMSLHFGNTPTLVISSSKMAKHVLKTHDLKFASRPSFLGLRKLSYNGLDLGFAPYSPYWREMKKLCVLHLFSSRRVESFRSIRENEVALLIQKLSQYEGDDKGVNLSEAMMSFTNTLICKIAFGKKYDFDYGEVELGSGERKSRLHVLLNEAQALLSEFYFSDHFPLLGWVDRVRGTIWRLEKTFKELDLIYQKVIDDHMENSSWPKTKDHEVVDIIDILLQMMNDHSLSFDLTLDNIKALLMNIFIAGTDTSAAIVVWAMTALMNNPRVMKKVQMEMTNLYEDKDFINEDDIEKLPYLKLVVKETLRLFPPTPLLLPRETLENCNIDGYEIKRKTLVYVNAWAIARDYENWEDPEEFYPERFFMTGVDFKGKDFEFIPFGSGRRMCPAMNMGVVTVELSLANILHCFDWKLPCGFDEEEVLDTEVKPGITMHKKIDLYLVPRKRKP >CAK8576461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481004582:481006246:1 gene:gene-LATHSAT_LOCUS28647 transcript:rna-LATHSAT_LOCUS28647 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEPEVAAQGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >CAK8542817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:550834628:550835931:1 gene:gene-LATHSAT_LOCUS11621 transcript:rna-LATHSAT_LOCUS11621 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILIKTSLVSSWHGNTNQQLQRASKAPKTCRFNTGVGNFPSLKLKSQFLRSSSLSSEFHGKKPLFRVNRSIPKRVNSQVSVSAAPKMTLRIGKAQKWWEKGLQPNMREVTSAQDLVDSLLNAGDKLVIVDFFSPGCGGCKALHPKICQFAEMNPDVEFLSVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHTPERCSLGPTKGLEEKELIALSENKDLSFTYTPQPAKEELGTEAAPASSESLPLHSLTSNSEVLERTLTSAGR >CAK8563630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621261059:621261367:1 gene:gene-LATHSAT_LOCUS17022 transcript:rna-LATHSAT_LOCUS17022 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKMVSERPVVIFSKSSCCMSHTIKTLLCDFGVNPAVYELDEIPRGREIEQALLRLGCSPSVPTVFIGGELVGGANQVMSLHLNRTLIPMLKKAGALWV >CAK8578157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610251175:610256394:-1 gene:gene-LATHSAT_LOCUS30195 transcript:rna-LATHSAT_LOCUS30195 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDALRYLSKDDFRVLTAVELGMRNHEIVPTELIDRIARLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNKGVFVSVGRQIGVGKESDIFEVAQEDGTILAMKLHRLGRVSFRAVKSKRDYLRHRSSFNWLYLSRLAALKEYAFMKALETHGFPVPNALEHNRHCVIMSLVQGYPLVQVKQLQNPDTVFETIIGIVIRLAEHGLIHCDFNEFNIMIDDEEKITVIDFPQMVSVSHRNAKMYFDRDVECIFKFFRKRFNLSFQENKDDNDDSDEERDEAGKLCFSEIDRSAGFLDKELAASGFSRKDEEVIQRFIEGEAEGDMDSDSEDGDSVRNLNESDELNVDSLNLLEQDEGHESHGKVESIEAGESSGSEKEEENDTEENNDNAVEVEAELTKSLSKQRQRAIASSRRGRKSLASRNSYKDKGGRSSHSSKVQMQMSSW >CAK8578760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651837005:651837661:1 gene:gene-LATHSAT_LOCUS30746 transcript:rna-LATHSAT_LOCUS30746 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFKCIVHHGGQFAEFTNLGYNGLEETWDVESDFWSYFKVLGGLKDLGYPTIESLWYYDQMECNSIVELKDDNETRRMHTIDELTGEVHLYVMHPILQDEVIEEPILSLEYNVEVGATGTEIGENYDEGTTCMENVVNKGDNTEKFPIKMESVMDEGYIEVEADVEGGDINDKGTTNIVHDVKAGDKGTTEVGHDEGTTEVEHDEGTIEIEHDGGD >CAK8572963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573180067:573180540:1 gene:gene-LATHSAT_LOCUS25461 transcript:rna-LATHSAT_LOCUS25461 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKVKLAFISDDTARKASYNKRKKGLLKKVRELTTLCGIPACAIISSPFDSQPEVWPNLEGAMNVIDRYKNLSVKDENRNVNQERFLTQRINKARNQVRKLKYDNREQELNLLMFGYLQNNNISDDLTSEELKDFDKLVEKKLKEVDSQIKKLEG >CAK8532339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248012880:248048094:-1 gene:gene-LATHSAT_LOCUS2057 transcript:rna-LATHSAT_LOCUS2057 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLLLPLSGIVVILSSVSIIESTTLLTNNDITKSFNRSSFPENFIFGTASSAYQVEGAANVGGRGPSIWDTFTHNYPEMINDRSNGDVAVDEYHIYKKDVEIMKDVNMDAYRFSISWSRILPKGKLGGGVNKEGINYYNNLINELLTKGLQPFVTLFHWDLPQTLEDEYGGFLSSNIVNDYRDYAELCFKLFGDRVKHWITMNEPWSFAKHGYAEGTFAPGRCSHWQNPNCTGGDSATEPYIVAHNQLLAHASAVNVYKTQYQASQKGKIGITLVSYWIMPLYETELDHHATQRAIDFMFGWFMDPLTIGDYPSSMRSLVGSRLPKFSAYQVKLVRGSFDFIGLNYYASYYATNAPELSEEKSNYITDPLIILTQERNGIPIGPTSASSWLSIYPKGLHELLLYIKNNYNNPLIYITENGMDDLNDPTISLEKALEDTTRIDYYYDHLYYLQNAIRDGANVKGYFAWSLLDNFEWISGYTLRFGIFFVDYNNSFKRYPKMSAIWFKTFLQQKVVTHSDSR >CAK8561156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:107375515:107375946:1 gene:gene-LATHSAT_LOCUS14769 transcript:rna-LATHSAT_LOCUS14769 gene_biotype:protein_coding transcript_biotype:protein_coding MANYMSDDQSMTLQQQLLGNIQNNDAPQITLAIPIEYLTILSEIIVYLNNLAANGFNFSEMLETQDWNDYFNLLKGPTYPELVKDFWENAYVSDESMVHSNIKGHNICISEVDIARLLLHTNSGIRCYNKKLVVFLKSTMKYS >CAK8541044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47419470:47420342:-1 gene:gene-LATHSAT_LOCUS9996 transcript:rna-LATHSAT_LOCUS9996 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNPTTLFLLLTLTLTLLHFPTTTSSTELLYSTATQTLTNSNFLSMALTLRLASPSLPPTTSATIFVPSDNTFRRRGPLPLSLLQYHIIPSKIPLHYLTYLPISTQLPTLLPNSPLTITSSYPHLSINNVTVLTTTPLFKKPSLLILPIHDFFNSSSLFLPKPIPEPLLRILRSNNCSITAAFFESLSSEPSGSAKKKLTIFAPSDESLGNVTDYDLTVFRKHTVIGLVTWRDLIRLPNDTLLPTLFEGFDIRVSVFPRLRLVNGVKVVVPNMYRSDFVVVHRVDGLFD >CAK8539404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512017414:512018609:1 gene:gene-LATHSAT_LOCUS8504 transcript:rna-LATHSAT_LOCUS8504 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTSAPLLTSKFKPSFSPQQKTLFPHRRRFENWKKNQSIVPVARLFGPAIFEASKLKVLFLGIDENKHPGNLPRTYTLTHSDVTSKLTLAISQTINNSQLQGWYNRLQRDEVVAQWKKVKGKMSLHVHCHISGGHFLLDIFARLRYFIFCKELPVVLKAFVHGDGNLFNNYPELEESLVWVFFHSKIREFNKVECWGPLKEASQPTSGTHSDLKLPQSCEEDCECCFPPLNLSPIPCSNEVVNDTYESIDGIETQHGNL >CAK8538559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487209434:487212732:-1 gene:gene-LATHSAT_LOCUS7745 transcript:rna-LATHSAT_LOCUS7745 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFWLMLLLMVNIALGNSDIDALLEFKKGIESDPFGVVLDSWDSKSLDSNGCPGNWYGIFCSDGSVISIALHNAGLVGEFNFLAISTLPMLRNLTVVNNSFTGSMSRIAPMRSLKFLDLSLNKFTGSFPSTFVESRGLVYLNFSSNGFSGTLPNVFHKLEQLKYLDIRGNNFSGDVMHVFYQMGSVLHVDLSNNRFSGALDLGLGDVSFLFSIRYLNASHNSLTGELFAHDGMPYLDNLEVFDASNNQIVGNIPSFAFVVSLRILRLECNQLTGSLPETLLKESSMMLSELDLSQNKLEGSIGSITSLTLRKLNISSNKLSGSLPFKVGHCAVVDLSNNMLSGNLSRIKYWGNYVEVIQLSSNSLSGTLPNETSQFLRLTSLKVSNNSMEGFLPPVLGTFPELKEIDLSLNRLSGFLLPTLLASTKLTSLNLSNNKFSGTIPFQLPSSSLVSSENLSLTSLDLSNNNLSGILSPKIKELHNLEYLNLCNNKLEGTIPNDLSDELRGFNVSLNNFSGVVPDNLLQFPESAFRPGNPMLIYPNSRLSPKDSSNSILGLRSHKKIFTRSVLITCLVTGVSVMAIMAATIFYRICRKKEKDSKQDAAASDIVQESTFPSKGRNLESLPPSQSDDTKNIHPTVKKPEHPELVKNDEGTSSPMSILSASNPSPSTSRQFENPSSLNVSSPDKLVGDLHLFDGSLILTAEELSCAPAEVIGRSCHGTLYKATLESGHVLAVKWLREGITKGKKELAREIKKLGTIKHPSLVSFLGCYLGPKEHERLIISNFMNAYSLDIYLHEADRRNLNPLSLDERHRVAVEVARCLLYLHTEKAIPHGNLKSTNILLESPNRNALLTDYTLHRILTAAGTSEQVLNAGALGYRPPEFARSTKPSPSLKSDVYAFGVVLLELLTGRKSGEIVSGIPGVVELTEWVRFLVERDRSNQCIEKSLAEGSSIILDDMLKVAIRCILPASERPDMKTVFEDLSTIRES >CAK8538560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487209434:487212732:-1 gene:gene-LATHSAT_LOCUS7745 transcript:rna-LATHSAT_LOCUS7745-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFWLMLLLMVNIALGNSDIDALLEFKKGIESDPFGVVLDSWDSKSLDSNGCPGNWYGIFCSDGSVISIALHNAGLVGEFNFLAISTLPMLRNLTVVNNSFTGSMSRIAPMRSLKFLDLSLNKFTGSFPSTFVESRGLVYLNFSSNGFSGTLPNVFHKLEQLKYLDIRGNNFSGDVMHVFYQMGSVLHVDLSNNRFSGALDLGLGDVSFLFSIRYLNASHNSLTGELFAHDGMPYLDNLEVFDASNNQIVGNIPSFAFVVSLRILRLECNQLTGSLPETLLKESSMMLSELDLSQNKLEGTSGSIGSITSLTLRKLNISSNKLSGSLPFKVGHCAVVDLSNNMLSGNLSRIKYWGNYVEVIQLSSNSLSGTLPNETSQFLRLTSLKVSNNSMEGFLPPVLGTFPELKEIDLSLNRLSGFLLPTLLASTKLTSLNLSNNKFSGTIPFQLPSSSLVSSENLSLTSLDLSNNNLSGILSPKIKELHNLEYLNLCNNKLEGTIPNDLSDELRGFNVSLNNFSGVVPDNLLQFPESAFRPGNPMLIYPNSRLSPKDSSNSILGLRSHKKIFTRSVLITCLVTGVSVMAIMAATIFYRICRKKEKDSKQDAAASDIVQESTFPSKGRNLESLPPSQSDDTKNIHPTVKKPEHPELVKNDEGTSSPMSILSASNPSPSTSRQFENPSSLNVSSPDKLVGDLHLFDGSLILTAEELSCAPAEVIGRSCHGTLYKATLESGHVLAVKWLREGITKGKKELAREIKKLGTIKHPSLVSFLGCYLGPKEHERLIISNFMNAYSLDIYLHEADRRNLNPLSLDERHRVAVEVARCLLYLHTEKAIPHGNLKSTNILLESPNRNALLTDYTLHRILTAAGTSEQVLNAGALGYRPPEFARSTKPSPSLKSDVYAFGVVLLELLTGRKSGEIVSGIPGVVELTEWVRFLVERDRSNQCIEKSLAEGSSIILDDMLKVAIRCILPASERPDMKTVFEDLSTIRES >CAK8538329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477628070:477628588:-1 gene:gene-LATHSAT_LOCUS7541 transcript:rna-LATHSAT_LOCUS7541 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTGKPFDWTFDPETEDPTLHMKGRKFFFFVAIFSIIVLFTAIFLCARWIFRNRVLLATNATPLQTLPNSSRSEGLDADAIKKLPIILHQTDSSNRALEETECCICLSAFRDGEKLKVLPVCNHCFHCECVDSWLANRSSCPLCRASLKTDSSFPKILIQEPPIIYNYPL >CAK8565929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354593550:354594110:1 gene:gene-LATHSAT_LOCUS19102 transcript:rna-LATHSAT_LOCUS19102 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYNEGTYKLWIKILEIDVDFLQIRKDDDAYDFAAYARVNQVDGDIFIEHDVKNMKLKGRVQKMNELKKVNSKAWTWLMAVPTKCCCKRAFCFYPKCDVLMNNISESFNATILVASDKPILTMCEWIRTYLMNRLATFATKLDKWQRRFMPMPKKMLDKEVFNSGHWLLTWSLVEQFQVTHAFNT >CAK8537064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:73501264:73504504:1 gene:gene-LATHSAT_LOCUS6380 transcript:rna-LATHSAT_LOCUS6380 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCNLVFWMLCLLLHVLMKISSATLSPSGINYEVVALMAIKNDLNDPHNVLESWDINSVDPCSWRMITCTSDGSVSALGLPSQNVSGTLSPRIGNLTNLQSVLLQNNVISGHIPTAIGSLEKLQTLDLSNNEFSGEMPSSLGGLKNLNYLRLNNNSLTGACPPSLSNIESLTLVDLSYNNLSGSLPRISARTLKIVGNPLICPKENNCTTVLPEPLSFPPDALEAKPDNGKKGHHVALAFGASFGAAFVIVTIVGLLVWWRYRHNQQIFFDINEHYDPEVRLGHLKRYSFKELRTATDHFNSKNILGRGGFGIVYKACLNDGSVVAVKRLKDYNAAGGEIQFQTEVETISLAVHRNLLRLRGFCSTQNERLLVYPYMSNGSVASRLKDHTNGRPALDWTRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDTHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDFGRAANQKGVMLDWVKKLHLEGKLSQMVDKDLKGNFDIVELGEMVQVALLSTQFNPSHRPKMSEVLKMLEGDGLAERWEASQRIETPRFRFCENPPQRYSDFIEESSLIVEAMELSGPR >CAK8532956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554911798:554915190:1 gene:gene-LATHSAT_LOCUS2613 transcript:rna-LATHSAT_LOCUS2613 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSQNQSWGEGESSSSTSLSSQHDVEDDQMIALVLSEEYAKLDGAVGRRLSNFEPVPHVPRINSFFPTINDASMDHQRLLQRLNVYGLCEVKVSGDGNCQFRALSDQLYRSPEHHKHVRKDIVKQLKDHHSLYECYVPMKYKRYYKKMAKSGEWGDHITLQAASDKFAAKICLLTSFRDTCFIEIMPLYQAPQRELWLSFWSEVHYNSLYEIRAAPIQHKPRRKHWLF >CAK8562074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:436790874:436791960:-1 gene:gene-LATHSAT_LOCUS15602 transcript:rna-LATHSAT_LOCUS15602 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGPEVDISSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTFMCPPPEKIKTKRGVKKKDKKPIGYDVYRYPSYHEYVDQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWAVVRQDLGLEIIHNERSSLYANLFTDQLAVVREYLMIEEFGPHPPHKWLTLPDMGYVIVNRYNVVLVYLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNTKEGFPLPPVTVDWKKFRSPAATSWMIGFVGCLQHWQQLTPILPTHYEL >CAK8561213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117475053:117476606:-1 gene:gene-LATHSAT_LOCUS14822 transcript:rna-LATHSAT_LOCUS14822 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLGIVSATLLVIVAIYGLCYLKRSSKHEVKDIESLEEKHEEVKEDLIIFEGGEDLTICDILDAPGEVIGKSNYGTLYKALLQRSNKVRLLRFLRPVCTTTGEELDEMIVFLGRLKHPNLVPLLGFYTGPRGEKLLVHPFYRHGNLTQFIRDGNGESYKWTNIHTISIGIAKGLEHLHTSQEKPIIHGNLKSKNILLDSSYQPHISDSGLHLLLNPTAAQEMLESSSAQGYKAPELIKMKDASEETDIYSLGVILLELLSGKEPINEHPTPDEDFYLPNFMRNAVLGHRISDLYHPAILLRNGVDDEIQVTEECVLKFFQLAMACCSPSPSIRPNIKQVIRKLEEIIH >CAK8577741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586033872:586041526:1 gene:gene-LATHSAT_LOCUS29819 transcript:rna-LATHSAT_LOCUS29819 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRNRSISETCSGSSLNDDVSEPFSTDALTQEQDTHFGGFAFSSQDSSSQWSLFDSDPNSIDDLYGADRRESKRAKAGKDGFSFPATSTLMEAQEFGEMMEHVDEVNFALDGLRKGQPVRIRRSSLVSLLSICATTQQRRLLRSQGMAKTIVDALLGLSLDDSPSNLAAATLFYILTCDGQDDHLLESPSCVQFLIKLLRPVVSTAIKDKAPNLGYKLLSLRQNNDMLKNTTTRFDSSSVEVFSRVQEVLVNCKELKATSQNNNATERPELCPKWLTLLTMEKACLSAISLDETSGAVRKTGGNFKEKLREHGGLDAVFEVTMSCHSDLENWKNNSSRSAKDLRNDQRLKCLTLLLKCLKIMENATFLSKDNQTHLLGLKRKLSPKATPLSFTELIIIVIKMLSDLCLRQSASDVSTAAKLNDPFSMLSDDSELDQLRDYKENKPIFISSDSSYFGVESRNYYDVEMASSIKNSNVSQDSQLLTCARLERSLSVSETPSTSTTDTHSLKMRINSCTSGSSSGLSKSSNHKKSTTQNSFRKSVHFTEAPPVVVSEDSQDPFAFDEHDSGLSKSSHSKKSVTKNSSRKNVQFTKGTPAVILEDSEDPFAFDDSGLSKSSYCQKSMTLNSSRKNVQLTKGTPVAILEDSEDPFAFDQDDSGLSKSSNCQKSMTLNSSRKNVHFTERTPAVILEDNHDPFAFDEDDIVPSQWDILSGNHKPSHSKKHKAANRKFENERQSQTKMSPENEHQSQTKLSEENEHQSQTKVSHEEELQLQTKMSQQESSDGNINCSSSDISYEEDSSLLSDCLLTAVKVLMNLTNDNPIGCQLIAANGGLEAMPMLIAGHFPSFSSSQSFAQIKDNSLRTEKDHLCDMLLNDHELDFLVAILGLLVNLVEKDSQNRSRLAAASVLLPSSKGLDQEVRWDVIQLLCSIFLANQGESEVAGGEDKKFELNDEAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIRESIADNLPDHNLASLVPVLDRFVEFHLSLDMISPETHKTVSEVIESCRIR >CAK8563762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629711562:629713421:-1 gene:gene-LATHSAT_LOCUS17139 transcript:rna-LATHSAT_LOCUS17139 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGILATLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLAQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWSDYYAQVDAVIYLVDAYDKERFPESKRELNALLSDGSLADVPFLILGNKIDIPYAASEDELRHYLGLTNFTTGKGKVNLSGSNVRPMEVFMCSIVRKMGYGEGFQWLSQYIK >CAK8537163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:119459610:119459921:-1 gene:gene-LATHSAT_LOCUS6473 transcript:rna-LATHSAT_LOCUS6473 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDGTCQTQCPPISQESTHQLIPNESGNLSIEAPPPTPNDNMEVDSQTTENRQSKLKSIVWDHFTKVKVGEKVKAKCNYCSKLLNGSSNDGTTHLKGHGLLS >CAK8569957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18004608:18006921:1 gene:gene-LATHSAT_LOCUS22753 transcript:rna-LATHSAT_LOCUS22753 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVDEHKMNDKFPIGMRVLAVDDDRTCLMILEKLLLKCKYHVTTAQNAITALNLLRENKKNFDLVISNVHMPDMDGFKLLELIGLEMDLPVIMFSANDDPKMVMKGITHGACDYLLKPVRLKEVQIIWQHVIRKKKSSKRSHSDSGNGIDSALTGSSDQNVRPNRKRKDKNEDDEEEENDDDDDDDDNEDPSQKKPRVVWNAELHRLFVSAVNQLGIDKAVPKKILDLMNIEKLTRENVASHLQKYRLYLKRISCVENQQANMSAALGNSADTSYFRMNSLNGVGGHLPTMNGGSTQFHNNPFRPFQSSGNMSNRLNTPANVNIHGSYQPAITRANPNDIHRTPIPSAGLDQLQHNRGINISPIQNITNKFPDYRPKAPMSCTPSSVLDISNNPMMMESNSFSFPSLEKRRFNDVWSSNMQLPGVTSNAPFQGWDNNHNNNNHEGNYHSNHVIGSSLIPAVNAVEQEENLDYNYCDSLYMMNQMKSQNNGIPKNITSLEEVVNDMVKQKQLYPNFSGGTSM >CAK8577795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588832448:588832786:1 gene:gene-LATHSAT_LOCUS29866 transcript:rna-LATHSAT_LOCUS29866 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVESRPTPTGGTPICSTIHAVQVGETCFTLIQKFALEQPLFLRLNPNINCYSIFVGQWVCVNGRVFHGMHHGPQRYKPY >CAK8544691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699356813:699358520:1 gene:gene-LATHSAT_LOCUS13347 transcript:rna-LATHSAT_LOCUS13347 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSVIRTKRDLVRPAKETPLVTLDLSIIDRLPVLRCNVRTLHVFRYGPEATRVIREALSLALVPYYPLAGRLKESKPWCLQIECSGDGVWYVEASTDCSLDSVNFFNDMESIPYDDLLPNDVQKIGQTEPLVKMQVTQFGCGGFVIGLVFSHSICDGLGAAQFLNAVGELARGLDKPTIEPVWYRNFCPSPQAHTLPKLPLTSPPKMPHYKLEHSNIDMTMDQINQLKRQFQQVTRRGCSTFEIVAALFWSSRTRAINFDPNTQVKLVFFANCRHLIDPPLPDGFYGNCFFPVTTTTSCESLGKAVNIIEVVKLIQEAKSRLPLEFDKYLKGDHIKCGNEDEEDPFAPTPNYATVFMSEWGRLGFDNVDYQWGPPVHVVPIQGSVIVPAGIVRSLPLPNRGIRLVTWCVEEAHLLPFIDQIHGLINQ >CAK8535802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882865012:882865464:1 gene:gene-LATHSAT_LOCUS5225 transcript:rna-LATHSAT_LOCUS5225 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQLPAKLSSPFFTGNGISLNRFSNATSSAQTQTHYLPVPAIKALKTIEGKVVCTTNNKTVAVEVTRLAPHPKYKKRIRIKKKYQAHDPENVFKVGDMVQLLKIRPISKKKSFLAVPAPTRKTNNAGSSGELDIPLQSQQEEKKQAQD >CAK8534021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681779833:681781235:1 gene:gene-LATHSAT_LOCUS3597 transcript:rna-LATHSAT_LOCUS3597 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGEKVTITQVFAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPILNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSTSQVAAIVIGGGDEDTIERGRDINVINCDGNLTKVQETIGYYDPLQYPILFSFGIYGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSGRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNAYNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPDIFLTMTCNPSWMEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDIINKGVLGRVRSYMYVTEF >CAK8544144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667072525:667085041:-1 gene:gene-LATHSAT_LOCUS12847 transcript:rna-LATHSAT_LOCUS12847 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPKAKSKKKSGVVDFKKRKVKIGRRLPPPKNSTNTEVKSKAIILPEQSVAADKAGLAVNKKGLTLKELLQQTSHHNAKVRRDALMGIKDLFSKHPEELTSHKYRVVEKLRERISDDDKVVRKSLYDLFKLVILPSCKEDNQELITSLMMAYIFNAMTHLAVDIRFMAFNFLDLTLEYYPPSFSSYAEKIFQNYDDILRKNQYHLQDKEKLKDTFAGLVRCLSLLPWNIEETDLQNKDDIGQRVLHAFEADNSMSSTGFSHIIVKLKDLVAILINSFQEFTTLFNAKENLEGRSFGCMSSILHSIDLIVRSFVYGIDKKSECTSSQGGANVAIWDVSVSSTFLRKLFPLFPLDPGHGLPQKDYDRLLDLNLVIAKIFFELNEWICLPPFLLEKFLEFLENALLGKFGRAAQSGKAVWEKHLVQLLRFIPKFLSRGASDWTSRLLWAFTQTFKESKPGSLLKLACLSAMEDMLTPIQTMLSQETNNPQNVELQKALCAWIEELPLLLIQLGDKHPTCSLALVRLQLRIGQRALLNSALVCAYDNMQNSLQDFYSTCHGEHICFGPFLRLPRESQELSLCCLYYLSHLDLPFLKSLAGCCLSPDLDPYLLFRTIEILHSAYKDGHINIADYLSVFITLVLRFKVSPEVGDAGLKGVALRKTLKSVTTVLCSYMEQIGNNSLVLQIIEKVVIDQIVLKPSLDNSCSLLRMLVTVDNKPTSLSEQSIITLGPCLSEYLMDAMQLIQEDGEDPSNQLSTIYYLLPCFLLFDRCHKLMGYVLKTMGSTITESSLSLKNDNGTQHMGNCLTRVNIVVSVLVLMHKDAKLQQIMSEFKEDTDNIVQEVRLLKSYEQISRKIEASHKIQCALDRLIILTR >CAK8563476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608467404:608470397:-1 gene:gene-LATHSAT_LOCUS16879 transcript:rna-LATHSAT_LOCUS16879-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHFVLVSFVFFTSIRGGCARKGITSSFVRSEWPAVDIPLDHEAFAVPNGYNAPQQVHITQGDYEGRAVIVSWVTPDEPGSSHVQFGTSEKKFQASAEGTVSNYTLGEYKSGYIHHCLVEGLEHDTKYYYRIGSGDSSREFWFETPPKVEPDTPYKFGIIGDLGQTYNSLSTLEHYIESKAQAVLFVGDLSYADRYTYSDVGLRWDTWGRFVEKSTAYQPWIWNTGNHEVDYFPYMGEVVPFKNYLQRYTTPYLASNSSSPLWYAIRRASAHIIVLSSYSPFVKYTPQYHWLAEELTRVDREKTPWLIVLMHIPMYNSNDAHYMEGECMRVIFESWFVKYKVDVIFAGHVHAYERSYRFSNIDYNITSGTQYPVADKSAPVYITVGDGGNQEGLASRFNDPQPEYSAYREASYGHSTLDIKNRTHAIYHWNRNDDGKKVPTDSFVLHNQYW >CAK8563475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608467072:608470397:-1 gene:gene-LATHSAT_LOCUS16879 transcript:rna-LATHSAT_LOCUS16879 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHFVLVSFVFFTSIRGGCARKGITSSFVRSEWPAVDIPLDHEAFAVPNGYNAPQQVHITQGDYEGRAVIVSWVTPDEPGSSHVQFGTSEKKFQASAEGTVSNYTLGEYKSGYIHHCLVEGLEHDTKYYYRIGSGDSSREFWFETPPKVEPDTPYKFGIIGDLGQTYNSLSTLEHYIESKAQAVLFVGDLSYADRYTYSDVGLRWDTWGRFVEKSTAYQPWIWNTGNHEVDYFPYMGEVVPFKNYLQRYTTPYLASNSSSPLWYAIRRASAHIIVLSSYSPFVKYTPQYHWLAEELTRVDREKTPWLIVLMHIPMYNSNDAHYMEGECMRVIFESWFVKYKVDVIFAGHVHAYERSYRFSNIDYNITSGTQYPVADKSAPVYITVGDGGNQEGLASRFNDPQPEYSAYREASYGHSTLDIKNRTHAIYHWNRNDDGKKVPTDSFVLHNQYWGNNLEKKKTEAFSSESY >CAK8541650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:332778067:332782271:1 gene:gene-LATHSAT_LOCUS10554 transcript:rna-LATHSAT_LOCUS10554-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLCHPSNDPKTPNKPSNNPISDFKVRVIVRVRPFLPHEFTSNSNRVSCVSLLDQDSQSQEVAVYLKDLYTSRNECYQLDAFFDQEDDNVVQIFEGEVSPMMPHIFNGGNATVFAYGATGSGKTYTMQGTEEHPGLIPLAMSNIIYICLNTGSTAHLSYYEVYMDRCYDLLELKATEISVLDDQDGQIHLRGLSQVPVHTMSEFLDVFASGVQRRKVAHTGLNDVSSRSHGVLVISVVSPPDETGRSVCGKLNLIDLAGNEDNRRSCNEGMRLQESAKINQSLFALSNVIYALNNNQPRIPYRESKLTRILQGSLGGTSRALMVACLNPGEYQESVNTVGLAARSRHVSNFVPSHKLETPKANVDMEAKLRSWLESKGKAKTSQQRLRSFKSPILKRTPSSVMTSAKKSVTFCTSVKLDRTSINRDAQNTTERTFADSFRSLIDEEAAFDSFEDNGRKEIEHEVNKAAWETHPDLPVEPLSKGVNSQITNVSKDAVPQSPLREALTNGTLTQTPFSATCSINNGLQQNGTPLDKFSARSSAIKTCLVQEYIDLLNNASREELLELKGIGEKRAEYIIELREESPLKSLSDLEKIGLSLKQAKNLFTKSAEKLFE >CAK8541649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:332778067:332782271:1 gene:gene-LATHSAT_LOCUS10554 transcript:rna-LATHSAT_LOCUS10554 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLCHPSNDPKTPNKPSNNPISDFKVRVIVRVRPFLPHEFTSNSNRVSCVSLLDQDSQSQEVAVYLKDLYTSRNECYQLDAFFDQEDDNVVQIFEGEVSPMMPHIFNGGNATVFAYGATGSGKTYTMQGTEEHPGLIPLAMSNIIYICLNTGSTAHLSYYEVYMDRCYDLLELKATEISVLDDQDGQIHLRGLSQVPVHTMSEFLDVFASGVQRRKVAHTGLNDVSSRSHGVLVISVVSPPDETGRSVCGKLNLIDLAGNEDNRRSCNEGMRLQESAKINQSLFALSNVIYALNNNQPRIPYRESKLTRILQGSLGGTSRALMVACLNPGEYQESVNTVGLAARSRHVSNFVPSHKLETPKANVDMEAKLRSWLESKGKAKTSQQRLRSFKSPILKRTPSSVMTSAKKSVTFCTSVKLDRTSINRDAQNTTESRTFADSFRSLIDEEAAFDSFEDNGRKEIEHEVNKAAWETHPDLPVEPLSKGVNSQITNVSKDAVPQSPLREALTNGTLTQTPFSATCSINNGLQQNGTPLDKFSARSSAIKTCLVQEYIDLLNNASREELLELKGIGEKRAEYIIELREESPLKSLSDLEKIGLSLKQAKNLFTKSAEKLFE >CAK8538633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489582588:489584785:-1 gene:gene-LATHSAT_LOCUS7813 transcript:rna-LATHSAT_LOCUS7813 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIAPIEQPRGENKKKNVGVEGRNRKVLGDIGNLVINPADPHANEPKRITRNHLAAIAQAAEKNKILIPDVVNGNFVAANKMEQAKKPAEHEVIVISSDEESEVKEKKPLKGRRLRDRSKINVRTFSSVLSARSKAAGRTAPNDSVMNIDATDKDNELAATEYLDDIYKYYKLSEDDCPVHDYMPSQPDINAKMRAILVDWMVEVHRKFELMPETFYLTLNIVDRFLSMKAVPRKELQLVGISSMLIASKYEEIWAPEVTDFVCISDNAYVREQVLVMEKTILGNLEWYLTVPTPYVFLVRYIKASTPSDEQMENMVNFLAELSMMHYVSVSLYSPSMIAASAVYAARSTLRRSPFWTDTLKHYTGYSEEQLRDCAKLMVSFHAAAPESKLRAIYKKFCTADRCSVALMVPAKNLSAELNI >CAK8571166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:321083694:321085200:1 gene:gene-LATHSAT_LOCUS23856 transcript:rna-LATHSAT_LOCUS23856 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDFKPHLLMILGQLGYTLLYFITEASFNHGMSPYVYVTYRHVVAGFVMLPIAYFLERNVRPKLTFSLFTEFFVLSVLGVSLTLNMYFASLKYTSPTFISSMVNCIASLTFIIAVAFGFEVLDLRNPHGIAKVFGTFISLAGVMTMTLYKGPIMSNLWRPLINIQPTSDNSVYASELKGSLLTVSCCVTWSIWYIMQASTLKRYPAQLSLTTWMCFIGAAQSAVFTVIAEHNNHTAWIIGLNIDLCSTIYGGIVVSGLLMYIQLWCTEKKGPVFVTMFNPLSTIFVAILSFSVFGEKLYLGSIIGSFIVIMGLYLLLWGKEGDREVDFKTKGKLQCNSENPECRI >CAK8538095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462437288:462437671:1 gene:gene-LATHSAT_LOCUS7330 transcript:rna-LATHSAT_LOCUS7330 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNFMQNYQNLNSQNSQIPSVPPNPAMFFLSPNNPNMYQNPNPQNSQVPLFSTQVGVEKEERILVKKKSREQFTRDEDICLIQSWLNVSKDPIVGVDQKAETKILFAAFCPIGRSK >CAK8579180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682395345:682396154:1 gene:gene-LATHSAT_LOCUS31146 transcript:rna-LATHSAT_LOCUS31146 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYNLEYEFNLIRRAIHQNHFIISMDTAFPGVIHSPKIDHRRLQPSDYYRYLKANVDDLKLIQVGLTLSDSKGNLPDFGSNNTYIWEFNFSDFDINHDLCNQDSVDMLRRQGINFERNICHGVDSMRFADLMLSSILVFKESIIWVTFSSAYDFGYLVKILTRMNLPNRLEEFLNIIEILFGRSVYDMKHMMKFCNSLYGGLEQVASILNVNRAVGKSHQAASDSLLTWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8571008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:261335982:261339219:1 gene:gene-LATHSAT_LOCUS23708 transcript:rna-LATHSAT_LOCUS23708 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDDIRNLPIDITFSRLGEWLVDRKRVPSDWRKRVAAIRVRISNEVSSLPKDSDPPFQTLDPEGIGYVEAKQIYGILLKSNTESRNIFGRLSGAAGAWEAIVRSFEKDHVFLGEAALIITQNVNYEIPYQRKQVQKIQQQLAELDRKETDIKRNAALSAAKYVEACQELGLQGKNVRLELLETAKSLPSTFSRIMDVVNSDNLSQAIEYYCNFVRDAHTERDRSSEAVLQNLRNMRENPPSLNIAVDSEVMNIVGVHSSDKETNPAVGSVEVAVPDIDWDISVESSQIDWDIGTVEETEDSGNGMGPFEIINASEAIQTFSSTEDVESDPTISNEELGAHADICWDISVESAHVDVIDNANASNAVPDNQTFLPDALSQLTENKEGRSQLLDTEYRNKILDDLYEMKSFLNQRLAELKNEETLSLQNQVQAVSPFVLQQYAADTIDTMQSDISLAISLLTNRKTRDLIMILNSKRFLDRLVNSLEEKKHHEVKLKEGLKDLATKRMELQNSLSSLWPKQDAAVAKTKELKKLCENTLSSMFDGRSVNIIGEINTILSSGLGA >CAK8535036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805620374:805629446:1 gene:gene-LATHSAT_LOCUS4519 transcript:rna-LATHSAT_LOCUS4519 gene_biotype:protein_coding transcript_biotype:protein_coding MIANGVCSLRSSLRLPEHQLFRAAPLSYHFRRRFLVTASLSEKSKEKVIVISGPTGSGKSRIAMELARRLNGEIVSADSVQVYRGLDVGSAKPSLNDRKEVPHHLVDILHPSEDYSAGQFFEDARQATRSILDKGRIPIVVGGTGLYLRWFIYGKPDVPKSSTEIASQAYIELAELQRNDDWDAAVQLVVKAGDPKVQHLAVNDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGDSRVADGSDPSDTNTNYDAMKETDSAKLDYDFMCFFLSSDRLDLYSSLDYRCEEMLLGGDSILSEAQWLLDTGLRPNSNSATKAIGYRQATEYLLGCRKQGGQSSAIEFYKFLSEFQKASRNFAKRQFTWFRNERIYHWLDASKPLETILNFIHDAYHDQNGSLFVPEHLRMSKDISNTQIAAKLRSYRTTNRHFVNGKDCYPILEWIKKTRK >CAK8544154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668248795:668263765:1 gene:gene-LATHSAT_LOCUS12856 transcript:rna-LATHSAT_LOCUS12856 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSAMTPLGKMLLEEITPVVMVISTPAVEETCLKNGLSFLQMLTPFCSFNNIDVPVRTASDQPYRIHKFKLRLFYGSDVKKPNLKVAEQQLKQVITDSGEKVFSELCLDVPEFNHEVSSSEDRDTPSWFHFFNKELVRMASFSDHEAFDHPVACLLAVSSNDEHPISRFVDLFNTNKLPSLLKDGAMDPKILKHYLLVHDNQDGPADRASKILTEMRNTFGTSDCLLLSINSSSDVPIKHQDNPWASQIYDASPDQDLGCFLSIDDINEIKDLMQNLSSKHIIPNMEQKIRLLNQQVSATRKGFKNQIKNLWWRKGKEDGVDSLNGPTYNFNSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMLDQSKKEAEYCMENAFNTYLKLGLLGQQNATRCGLWWIEMLKARDVYKEAATVYFRICGEDILHSAVMLEQASYCYLLSKPSMSRKYGFHLVLSGEQYKKCDQIKHAIRTYRSALSVFRGTTWSYINDHVHFHIGQWYAALGMYDVAVKHMTEILACSHQSKTTQELFLSDFLQVVEKTGRTFEVTKLQLPVINISSLKVIFEDHRTFGSSSAANTKEGLWHSLEEDMIPSFTAAKTNWLELQSKLISKKNTLSNVCVAGEAVKVNIEFVNPLQITIPVSGVTLICKYSTSTDALTSDEKELSVETNNEVDHSRDMSSYNSSFLVSEVDFSLGGGETTMVQLSVTPKEVGTLEILGVRWKLSGTIVGFHNFELSHPKKNILKGRRNAKQPPNEKFKFIVIKSIPKIQGSVHSLPGKAYAGDLRQFMLELKNPSEFPVKNLKMKISHPRFLIIGNQENVKLEFPGCLTKEIDSEQSGAHTNPNIMSETIFSFPVDTSIQGETPLSWPLWFRAAIPGDISLYMSIYYEIEDISSIIRYRTLRLHYNVQVLPSLDVSFQISPSRLRIQDFLVQLDVVNKTSSESFQVYQLSSIGQHWEISLLQPPDTIFPSQTLMAGQAISCFFTLKNSRRLSTVEYNISTMPVGSDVLLVPQCSQDLVYNSNNVPLVNFHHYERLQQKVLHEDLGDLNTVDFVLISRPLNNSINPGLSDPPHVMSHHACHLSTASTGPISWLVEGPQTLHHDFSASFCEINLKMHLYNSSGTTAFVHIDTIDFDRGGGHINSVNAVPSATPDNQVGWHDVTPVNELKVISNAVETQPGKALSLESASPYIWSGSSSTNIHLEPMSSAEIPLQISVFSPGTYDLSNYFLNWKLPSKGLENSDETRQHSGKCQGYKYFLTVLQSP >CAK8543049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571632581:571634803:1 gene:gene-LATHSAT_LOCUS11836 transcript:rna-LATHSAT_LOCUS11836 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGNSDLQLERVNVYYNEASCGRFVPRAILMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDFDDEEEEEPDNAYE >CAK8533157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586150941:586151279:1 gene:gene-LATHSAT_LOCUS2804 transcript:rna-LATHSAT_LOCUS2804 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTKRGRGRPKSTVPPSPETLTNSNTPKVVSRVTTTMSEAGKSTEKINEKVPTETLTEQTQVKPEERKLWVDVISDNRNPTKGLSMEYVAPKVINGVIEIDIEQEDIETKL >CAK8538012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458449423:458456929:1 gene:gene-LATHSAT_LOCUS7254 transcript:rna-LATHSAT_LOCUS7254-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSSSRQKKKPSSEDETKPQHNAEGSLTEISRDAVGKLLRRANKGSTSKKKTTVDFEPGQNGTQVLEPIVPPKTSEVGYCSRNSIGKDSAEEKCGRVSLDEGYVDKKEELDDSDWEDGTVAMDDFPVTIELNVTPDSSVKKQIRRVSAEDKELAELVHKVHLLCLLARGRLIDSACDDVLIQASLLSLLPVDLFPQSKVTKLTSKDLHPLISWFHDNFRVKNYTNGEKSPHFALASALELREGSSEEIAALSVALFRALNLTARFVSILDVSPLKPDQSFRPIASGSSKGIFNTSTPMVSKQKLDFKSPKKSLSCKRDKLCESSLGHSLNSKERIAPSDMDQSKDPSVAEDLNRTVANFPTSEAQVNDPESHITDKSHKSKRKGDLEFEMQMEMALSTTAIECSKSKEGSGEHIDSSNFSRPSKRIKRVIGEESSTSPKLISTAVGSMRVGSPLYWAEVYCSEENLTGKWVHIDAVNLIIDGEDKVEAMVAACKSSLRYVVAFAGQGAKDVTRRYCMKWHKIASQRVNSTWWDSVLAPLRNLESGATGGVAHLRTNQSTATEANKNDSFVPTRSSLEDIELETRALTEPLPTNQQAYKSHPLYAIEKWLTKYQLLHPKGPILGFCSGHPVYPRTCVQTVMTKERWLREGLQVKPNENPAKELKRSIKPQKVQDFEADDHDCTTDSKENIKLYGKWQLEPLNLPHAVNGIVPKNDRGQVDVWSEKCLPPGTVHLRFPRAYTVAKRLEIDYAPAMVGFEFKNGRSYPVYDGIVVCTEFKDTLLEAFAEEDELRKAEEKKRDEAQALRRWYQLLSSIVTRQRLNNRYNNNLKSEVANVVHDMNDNVSNATVSGSNDQNQTPVNHQMDNRNTNLDDSLSISVKDHKHVFLKEYESFDEKTFLLTKRCQCGFSVQVEEL >CAK8538011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458449423:458456929:1 gene:gene-LATHSAT_LOCUS7254 transcript:rna-LATHSAT_LOCUS7254 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSSSRQKKKPSSEDETKPQHNAEGGNKFQSPRVNGSLTEISRDAVGKLLRRANKGSTSKKKTTVDFEPGQNGTQVLEPIVPPKTSEVGYCSRNSIGKDSAEEKCGRVSLDEGYVDKKEELDDSDWEDGTVAMDDFPVTIELNVTPDSSVKKQIRRVSAEDKELAELVHKVHLLCLLARGRLIDSACDDVLIQASLLSLLPVDLFPQSKVTKLTSKDLHPLISWFHDNFRVKNYTNGEKSPHFALASALELREGSSEEIAALSVALFRALNLTARFVSILDVSPLKPDQSFRPIASGSSKGIFNTSTPMVSKQKLDFKSPKKSLSCKRDKLCESSLGHSLNSKERIAPSDMDQSKDPSVAEDLNRTVANFPTSEAQVNDPESHITDKSHKSKRKGDLEFEMQMEMALSTTAIECSKSKEGSGEHIDSSNFSRPSKRIKRVIGEESSTSPKLISTAVGSMRVGSPLYWAEVYCSEENLTGKWVHIDAVNLIIDGEDKVEAMVAACKSSLRYVVAFAGQGAKDVTRRYCMKWHKIASQRVNSTWWDSVLAPLRNLESGATGGVAHLRTNQSTATEANKNDSFVPTRSSLEDIELETRALTEPLPTNQQAYKSHPLYAIEKWLTKYQLLHPKGPILGFCSGHPVYPRTCVQTVMTKERWLREGLQVKPNENPAKELKRSIKPQKVQDFEADDHDCTTDSKENIKLYGKWQLEPLNLPHAVNGIVPKNDRGQVDVWSEKCLPPGTVHLRFPRAYTVAKRLEIDYAPAMVGFEFKNGRSYPVYDGIVVCTEFKDTLLEAFAEEDELRKAEEKKRDEAQALRRWYQLLSSIVTRQRLNNRYNNNLKSEVANVVHDMNDNVSNATVSGSNDQNQTPVNHQMDNRNTNLDDSLSISVKDHKHVFLKEYESFDEKTFLLTKRCQCGFSVQVEEL >CAK8564997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:22091423:22092004:-1 gene:gene-LATHSAT_LOCUS18239 transcript:rna-LATHSAT_LOCUS18239 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMIGSAFLSATVQTLVEKLASKEFRDYIINTKLDDSLLKQLGQTLLTIQPVLDAAEEKQINTPSVKQWLDGLKDAVYDAEDLLNHISYDSLRCKMENMQAASKTNQVWNFLSSPFKNIYGEINSQMKIMCESLQLFAQHKDIVRLQTKSARVSHRTPSSSMVNESVMVGRKEDKEIVMNMLLSDNGTSR >CAK8538812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496856932:496859272:-1 gene:gene-LATHSAT_LOCUS7968 transcript:rna-LATHSAT_LOCUS7968 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQDPFYVVKEDIQDSIDRLQSNFYQWENTLDTGEHLNLTKEVLAACGSIEWQVDELDKAISVASRDPSWYGIDEKEIENRRRWTSNARTQVLTVKKTVEARKGSNTTTHANMNGMHRELMRQPDSHQSTSKSNQYAAGKNDDFIESESDRQMLLIKRQDEELDELSLSVQRIGGVGLTIHEELLGQEKILDELGNEMDSTSHRLDFVQKRVAMVMKKASVKGQMMMLCGLLALFIFLFILVFFT >CAK8545002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717525101:717529638:1 gene:gene-LATHSAT_LOCUS13637 transcript:rna-LATHSAT_LOCUS13637-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQESFTQTPLISSSSTTTPDPSLSRNVSFSRLNAQAPAFVPTPRTDLSHASSFHLPLRHHHHRSLQQPQLDHSDEADQKILNQVEYYFSDLNLATTDHLMRFINKDPQGFVPISVVASFKKIKSLITSHSQLANVLKNSSKLLVSEDGKKIKRQCSPTESDIEELQSRIVIAENLPEDHCHQNLLKVFSSVGSVKTIRTCPPQISNNGTSSATRLGKIDGIPLYNKLHAFVEYESVELAERAVAELNDERNWRSGLRVRLMLRHTSKSTQGRGGKGLDVEVSCEGDYASVSEPQTIEKELEDASFPDTLVHEHVGEEPSYDKESGQKKGRSRVRAKGRGRVHCHQNNRVNHLGTLPSNNSYFTDQVVAKQPPGPRMPDGTRGFSMGRGKPVAVNI >CAK8545001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717525101:717529638:1 gene:gene-LATHSAT_LOCUS13637 transcript:rna-LATHSAT_LOCUS13637 gene_biotype:protein_coding transcript_biotype:protein_coding MTQESFTQTPLISSSSTTTPDPSLSRNVSFSRLNAQAPAFVPTPRTDLSHASSFHLPLRHHHHRSLQQPQLDHSDEADQKILNQVEYYFSDLNLATTDHLMRFINKDPQGFVPISVVASFKKIKSLITSHSQLANVLKNSSKLLVSEDGKKIKRQCSPTESDIEELQSRIVIAENLPEDHCHQNLLKVFSSVGSVKTIRTCPPQISNNGTSSATRLGKIDGIPLYNKLHAFVEYESVELAERAVAELNDERNWRSGLRVRLMLRHTSKSTQGRGGKGLDVEVSCEGDYASVSEPQTIEKELEDASFPDTLVHEHVQGEEPSYDKESGQKKGRSRVRAKGRGRVHCHQNNRVNHLGTLPSNNSYFTDQVVAKQPPGPRMPDGTRGFSMGRGKPVAVNI >CAK8541135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:70569403:70569998:1 gene:gene-LATHSAT_LOCUS10080 transcript:rna-LATHSAT_LOCUS10080-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLRSFWNSPIGPKTSHFWGPTFNWTLPIAAAMDTQKPPEKISGNMTTVMCLYSGIFMRFAWVVKPRNIHLLVCHMSNETVQLYQLSRWIRSQSEVKEEKAEE >CAK8541134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:70569403:70569998:1 gene:gene-LATHSAT_LOCUS10080 transcript:rna-LATHSAT_LOCUS10080 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLRSFWNSPIGPKTSHFWGPTFNWTLPIAAAMDTQKPPEKISGNMTTVMCLYSGIFMRFAWVVKPRNIHLLVCHMSNETVQLYQLSRWIRSQSGSEVKEEKAEE >CAK8538964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500448409:500450177:1 gene:gene-LATHSAT_LOCUS8108 transcript:rna-LATHSAT_LOCUS8108 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKNAKYIATPGKGILAADESTGTIGKRLASINVENIEANRQALRELLFTAPNALQYLSGVILFEETLYQKSSEGKPFVEILQENNVIPGIKVDKGVVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPNEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGSHDIAKCAAVTETVLAACYKALNDQHVLLEGTLLKPNMVTPGSDSPKVSPEVIGEYTVNALRRTVPAAVPGIVFLSGGQSEEQATLNLNAMNKFDVVKPWTLSFSFGRALQQSTLKTWSGKKENVGKAQDVFLARCKANSEATLGKYGGGSGTGLASESLHVKDYKY >CAK8536294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927522150:927522929:1 gene:gene-LATHSAT_LOCUS5678 transcript:rna-LATHSAT_LOCUS5678 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEWLFLTIAPCDAAEPWQLGFQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFHYQKNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAMTIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDLELGQSRLLEVDNRVVVPAKTHLRIIVTPADVPHSWVVPSLGVKCDAVPGRLNQISISVQREGVYYGQCSEICGTNHAFTPIVVEAVPSKDYGSRVSNQLIPQTGEA >CAK8577585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576299707:576302132:1 gene:gene-LATHSAT_LOCUS29677 transcript:rna-LATHSAT_LOCUS29677 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVTTPFPTQTSSFSLPPMASLRSPKFVMASTLRSGSKEVENIKKAFTPPREVHVQVTHSMPPQKIEIFKSLEGWAETTLLTHLKPVEKCWQPQDFLPDPSSDGFEEQVKELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTPWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKERGDLKLAQICGLIASDEKRHETAYTKIVEKLFEIDPDGTVMAFADMMRKKIAMPAHLMYDGRDDNLFDNYSAVAQRIGVYTAKDYADILEFLVGRWKVADITGLSGEGRKAQEYVCGLPPRIRRLEERALARAKESSKHQFSWIHDREVLL >CAK8564093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651243722:651247756:-1 gene:gene-LATHSAT_LOCUS17432 transcript:rna-LATHSAT_LOCUS17432 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVNPPLSESSSVHSLTSDAIFYEPASESQQFDDLRGLQWRINLGVLPSSSSSSSTFIDDLRRATANSRRRYASLRGRLLVDPHVKMDESSSPNLVMDNPLSQNPNSTWGRFFHNAEMERMVDQDLSRLYPEHGNYFQTKGCQGILRRILLLWCLRHPEYGYRQGMHELLAPLLYVLQVDVEHLLEVRKLYEDHFTDRFDDLLCQENDLSYSFDFKKSSDLLNDEIGSHGKGMKIKSLDELDPKIQTIVLLSDAYEAEGELGIVLSEKFIEHDAYCMFEALMNGAQGSVAMANFFSYSPVPGSHTGLPPVIEASTALYHLLSHVDSSLYSHLVDLGVEPQYFALRWLRVLFGREFSLDNLLIIWDEVFLSDNSKTEKLVEDNADNGLMIFHSCRGAFISAIAVAMLLHIRSSLLATENPTTCLQRLLSFPEDTDIQKLIEKAKSLQTLALSPEISSSTPVLIEYHNNGKSIIARSISVPTESGSPKTPKNIQPDNRYWEEKWRVVHSAEELKQDGVEKQVPSQKKRWTEKVKLSLKRTESEPSSSTSKSGKQESNTSVKQSLLKDLSKELQLEEDTEKLGDDEILCQQDNHSVAIDSSTYGADDRLPSKSTGSEENSFNPASPPNEIKHHENENVSPKCNVGSNLSIDVISEMLLSSPVDSPLPISDHPENNLSPAPGRNNDSIGNLATLSRDIKLNKFQWLWKFGRKNGSELVSEKRGRASEPVKPTNNCNNQSNTVLSSIAGEHCSSVNLKGDVADQNVMGTLRNIGQSMLEHIQVIESAFQHELGQDASLDNNTSKNVLVDKGQVTAMSALKELRQISNLLSEM >CAK8541516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:214312371:214312927:-1 gene:gene-LATHSAT_LOCUS10432 transcript:rna-LATHSAT_LOCUS10432 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNPQGLYNVLVYLKQTYKNPKIYITENGIASGNITQALKDKHRMDYIASHINYMKKALDDGVNVKGFFVWSAFDTFEFHQGFSDKWGLIYIDFADNLKRVPKQSARWYRWFLTRS >CAK8572843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567039395:567039619:1 gene:gene-LATHSAT_LOCUS25361 transcript:rna-LATHSAT_LOCUS25361 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYACIEGEKVEIERKKVDAKIKKVESVEERLKMNDLQILSKDTSNMDIRQLHAHEILCGMIREKYGLN >CAK8541787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:394782570:394783055:1 gene:gene-LATHSAT_LOCUS10682 transcript:rna-LATHSAT_LOCUS10682 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEHSSVRTWAELADAFVKQYKYNTDLAPNRTQLQSMAQKDNKFFKEYPQRWRKLVVRVHAPLVNHELIDIFMGTLQGQYYEKLISSVSAGFSDFVIVGERAEEGLKSGKIQGGSSSQSGAKKPFNGYKKKEGKTNAISAKKKQAPQQASAPMPYEIPYF >CAK8561907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:408669368:408670216:-1 gene:gene-LATHSAT_LOCUS15455 transcript:rna-LATHSAT_LOCUS15455 gene_biotype:protein_coding transcript_biotype:protein_coding MESISMLSSTHQPLRSISLPTRIHPSSQRVEALLNHLKPQNSSQSLSRTFSFEADTIQSDLVVLAELYNCMEELFHSQQTQQALLHYQNGKLVEDSLGGSVTLLDACSSSRELLLNLKQHVHTIQSAIRRRGNSLSSIESSIHEYDCFRKKAKKEISKQLCEMKRMENEVKVFSIMGQDQNMIFLAGVLREASMVTISIFRSLLLFMSMPRIRTTKGSSLISKLNPSRFFSSEKEQKKTDFAALLRVLETLNDSVCDLEGGLDCIFRCLVRNRVSFLNMLAH >CAK8569134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674969789:674973259:-1 gene:gene-LATHSAT_LOCUS22018 transcript:rna-LATHSAT_LOCUS22018 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIENDQIIDESNHTETERKEEKNDDDEEEDLSKLLLPDVQNLPLIPLSAVETNFVTYFALDFTKPAHDQYIYRHANGLCVIGLAPSHIAFKDEGGITAIDFNVGKSDRSGVKVTGKRKKNAQHFEANTALCKVSTKKDSYIVRCCVKGSLLEVNQQLVTHPELLNVSANREGYIAIMMPRPADWLKVKASLVSLQEYKKMREVSCDTKLDVPCDILKETL >CAK8535972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894769778:894774515:1 gene:gene-LATHSAT_LOCUS5385 transcript:rna-LATHSAT_LOCUS5385 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNGDNRNQLSSPVVKLSDCFSELLKFTLTSHSHLLNLQTNFCSTLLKQDNASSSSSFSFDSSSEGVPPYPLYNRLASSLLRCMDSQAFSSTSCNLEMMNNEFENSSMQQKHNEWQNLILEKESEIVNILKSVSFELHVQEPFFTQLKDGLKTIEGRCASAKYNRIELGNLILLNKSLVFEVQGVRRYPSFFDMLETEGLGKVLPGVENVEEGVKIYRRFYTEEMEQTNGVLAISVSKLSAQPCTSLASLFSELSYEGVQGLLGLMHTTGTVPNALPPPTSTLLASFNFPCNPSEKSLTHGARALAKHAGRSSNGYWGSLVGNDSNKNRLAMDVINNLIAHCCWMNIHIVPPHGVVFEIRVADGYGARWNEDGSKFIGFLEPYMQDGHSKGWKH >CAK8540484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8972644:8973438:-1 gene:gene-LATHSAT_LOCUS9486 transcript:rna-LATHSAT_LOCUS9486 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDFEKFDIRAMMDTPN >CAK8577462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566089065:566090646:1 gene:gene-LATHSAT_LOCUS29568 transcript:rna-LATHSAT_LOCUS29568 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSPGKVPIYNKTQIHETITSSSSRLHTDNIKQYSKNSGSYHTVTLDTTQNVIFRGASAGIGAYNLSLQANQFSLSSIWLESGPSMELNSIKVGLGVHPHLYGDNQVRLTGHWTADAFKKTGCYNTLCSGFVQVNHNKEYALGSVIHPVSSIGSTTKVYGLIKIKQDRSTGHWWLIIQDEAIYTGYWPKELFTHLRKGASLIRFGGQTYAPPNKDNPPMGSGRLPKEKLKNSGFMGLLEIIDSEYNERDIKPEDMKKYTNSNSNCYDLAYRGYEGSVYRQAFLYGGPGGRNCNI >CAK8566117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381537874:381540213:-1 gene:gene-LATHSAT_LOCUS19280 transcript:rna-LATHSAT_LOCUS19280 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAQIDVKEEVPDIAPFDPTKKKKKKKPAVVDLDDDSVDKLAEKTENLSVSDGFDSTLAGAKKKKKKPVEISNLIEETSDVKNEDLDDHAEEDDQEDVSLQPRYPWEGSDRDYQYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRTAGGT >CAK8574313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674726160:674727139:-1 gene:gene-LATHSAT_LOCUS26668 transcript:rna-LATHSAT_LOCUS26668 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLESEANHENSKHTQADQEVPIIYYLSRNGQLEHPHLMYVSISSSHGTLRLKDVISRLSFLRGQGIVSMYSWSIKRSYGNGYVWQDLSENDFIYPSSSHEYVLKGTQLMETSSYTSNETILSMPSSKSSNERNSYSMDASDSPSSTMKDSQRDCKLYKARICREFAEKSFNASTQTVEMTSRNRMEMDQQGETRYPGNVAARKFDENGSSSNFESLDGYSLESADIRNQKIENERPSGRMRATQVLMQLVSCRSTIEEL >CAK8570169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27423547:27426750:1 gene:gene-LATHSAT_LOCUS22945 transcript:rna-LATHSAT_LOCUS22945 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDRLGPSDPGGSSRRSTAPLTDSAASNSNRTNKKKLIVLSIVAAVLIIASAISAALITVVRSRTTDSQNTSNLRAKPTQAISRTCSKTRFPTLCINSLLDFPGSTSASEQELVHISFNMTHRHISKALFASSGLSYTVANTKVLAAYEDCLELMDESMDAIKLSMDSLTTMSSTLSRDDQQTGVAGSNEDVMTWLSAALTNQDTCLEGFDDTTGTIKDQMVGNLKDLSELVSNSLAIFSASSDGDFTGVPIQNKRRLMGIDDKKRDSDAVVGDISREFPEWLHKRDRRLLSLPVSEIQADIVVSKSGGDGTVKTITEAIKNAPEHSSRRFIIYVRAGRYEENNLKIGKKKTNIMFIGDGKGKTVITGRKSVADGMTTFHTASFAASGAGFMARDITFENYAGPEKHQAVALRVGADHAVVYRCNIVGYQDSCYVHSNRQFYRECSIYGTVDFIFGNAAVVFQKCNIYARKPMAQQKNTITAQNRKDPNQNTGISIHDCRILPAPELAASKGSIETYLGRPWKMYARTIYLLSYMSDHVHPNGWLEWNGEFALKTLYYGEYMNYGPGAAIGQRVKWPGYRVITSTVEANRYTVAQFISGSSWLPSTGVAFLAGLST >CAK8569748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9672083:9674390:-1 gene:gene-LATHSAT_LOCUS22563 transcript:rna-LATHSAT_LOCUS22563 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEAPKPPRRMTRFSASTSTSNIVNATKGNNLETLTINDLLVGGDSISLDDIIASFPGRSSQILEIARLLGPLNSPVLPLFMYGGASTGKTSIILQLFRHLNRPIVYSSCRTCYNQRILFESVLNQLLLHRKNVANCYANAKRCERPSDFVNFLREALTSVINNLKEKSERLVSGNKIHGGMGKIIYLVFDNFHLVRDWDKSSTILPLLFNLYDMLKMPEVGLIFISGTSPDTFYSNMGYVEPIPIYFPDYTEGDIRQILLRNQANQKLYSSFLDIALRPLCRITRQVGELSTALKPLFEKYCEPLSDKGKGVVPTEDMKRRLFNHIKPHIASSLNDVFKVSSPPASIKVGKETKHKGSPKRSERFEEIGDLDFHMSTSAKYLLISSFLASRNPATLDASLFDSKGGSDNRKRKRKASEKVLEKKEILEEELLMKGPGTFPLERLIAIFQCIVSVAEEPYDEEEPNNNELGAECRNGSLISDVLLQLSTLCNANFIFKGKSCPIEGSTRYRSTISENLALKVARSLKFPLSKYLYRN >CAK8569188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681400191:681400604:-1 gene:gene-LATHSAT_LOCUS22071 transcript:rna-LATHSAT_LOCUS22071 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGSDLIPSCYTGEIRRMNRVAQTNLPKTVLYRQRVLLYLFLMSLAGRRYIPTVICETFIFDRAVLCNVQGSLLL >CAK8568415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604780397:604791343:1 gene:gene-LATHSAT_LOCUS21373 transcript:rna-LATHSAT_LOCUS21373 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDILPWLQPPTEEERHTIGSINNNGDGFRIEDEGLASFRSMLEIEWYMNNMPTHNEQVVPNFQIQTRQSNNFVSPSDPNNFVAMQPLGSSSFSAPSNFGFSHIINTEDNINNIINTSTIDNNNPFGSVISLEPQSGFLTPFQGNQTDLSAQTGQLCKFSETSAVGFGPIGSQEESSFGGGSGSSSSMFLSNDKVFGPVETPSPVLASPNFLNNKDMSSNISEADKLGRLSIPQFTSTLLKEFDQKMEKEVIGEVYEKLNCESKEGVDIGGNQDGNDEKDENGVGEVDRKGKKKENPSKSLLAERRRRKKLGDKMHTLRSIVPIISKMDKASILGDAAEYLNELRQKVNDLQTELESLPPGPSLAPTTSTLPVQVNEEFLHSNVSSSRNQSTKVEAWEMEDGTVNIHMFCAYKPGVLVSIMKAFEILGLDIHNANISCFDGFTIDIYKAEQCIQGEKVNTELIKAVLVNAVDYHMA >CAK8532370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250212396:250217271:1 gene:gene-LATHSAT_LOCUS2083 transcript:rna-LATHSAT_LOCUS2083-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSAFIFFGFLLGVVVVVGVEFLAFLWILKRLRCKINSDRDKISSITRIGSSNSSKFDDSQNCFKKEGVVWVLEPEKVSKYFMERASKEVKKKKELFEASPVRKYGKIKHQSLVLTEPDGLDTTIQLKGCIVEAVSATSLPTKKWAKKFPIKVENKTSVIYHGSKTLYVYLETSSEKEAWCKALRMASCNERGKLKWFSQLQEEFRSYLTLLNTEYHSYMKPSLGTGVEVIEKATKTDGSSSKVRQFLKKITRKSSRVGLDSKSGSTSLSGREDKKKADKLRACQDAVLATSYMKNAGAANHLKSAMSDDAPLSSSTLSHSGSQSSTLSHSGSQSQYSTLSHSGSQNQFSTLSHSRSLSQFSLCSDTDEKFGIGEGTLCWNLLISRLFFDIKGNEEMKKSVQERIQRTLSNTRTPAYVGEVVCTDINLGNVPPCIIGMRVLPMEMSEVCALEVDIEYSGSAILEIETRLEVRELEKEGSNPESSNVGSVPSDILQDFGYFDKQLGLAEGTKDLQEPKEDGDLKQLGLAEGMNDKQLNAPKKFKSHTPSSRWKSMLNSVAKHVSQVPISLAIRVASLKGTLRFLIKPPPSDQLWYGFTFMPDIDLSLESSVGEHKITNTHIAMFLISRLKAAIRDTLVLPNSENINIQWMLAEKDDWVPRSVAPFIWIHPESGSETSNSIDTVGKAHPTDTSNEASARTSTDGSELKQQKFRNSNTRKLDSLTISSLSSISEALRNSKSLDEYMKPLLESGQQEETSDLKDLCTPLIESGREEASEEKRDDVSGCSSPSNSVVPDRSSSPTSIQQDDSKPKKIGKKERMFDLRRKMSEKFEEKKRNIEEKGRHIVEKMRASEK >CAK8532368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250212396:250217271:1 gene:gene-LATHSAT_LOCUS2083 transcript:rna-LATHSAT_LOCUS2083-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSAFIFFGFLLGVVVVVGVEFLAFLWILKRLRCKINSDRDKISSITRIGSSNSSKFDDSQNCFKKEIVHVIDIGFRFGFGFLQGVVWVLEPEKVSKYFMERASKEVKKKKELFEASPVRKYGKIKHQSLVLTEPDGLDTTIQLKGCIVEAVSATSLPTKKWAKKFPIKVENKTSVIYHGSKTLYVYLETSSEKEAWCKALRMASCNERGKLKWFSQLQEEFRSYLTLLNTEYHSYMKPSLGTGVEVIEKATKTDGSSSKVRQFLKKITRKSSRVGLDSKSGSTSLSGREDKKKADKLRACQDAVLATSYMKNAGAANHLKSAMSDDAPLSSSTLSHSGSQSSTLSHSGSQSQYSTLSHSGSQNQFSTLSHSRSLSQFSLCSDTDEKFGIGEGTLCWNLLISRLFFDIKGNEEMKKSVQERIQRTLSNTRTPAYVGEVVCTDINLGNVPPCIIGMRVLPMEMSEVCALEVDIEYSGSAILEIETRLEVRELEKEGSNPESSNVGSVPSDILQDFGYFDKQLGLAEGTKDLQEPKEDGDLKQLGLAEGMNDKQLNAPKKFKSHTPSSRWKSMLNSVAKHVSQVPISLAIRVASLKGTLRFLIKPPPSDQLWYGFTFMPDIDLSLESSVGEHKITNTHIAMFLISRLKAAIRDTLVLPNSENINIQWMLAEKDDWVPRSVAPFIWIHPESGSETSNSIDTVGKAHPTDTSNEASARTSTDEALRNSKSLDEYMKPLLESGQQEETSDLKDLCTPLIESGREEASEEKRDDVSGCSSPSNSVVPDRSSSPTSIQQDDSKPKKIGKKERMFDLRRKMSEKFEEKKRNIEEKGRHIVEKMRASEK >CAK8532369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250212396:250217271:1 gene:gene-LATHSAT_LOCUS2083 transcript:rna-LATHSAT_LOCUS2083-4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSAFIFFGFLLGVVVVVGVEFLAFLWILKRLRCKINSDRDKISSITRIGSSNSSKFDDSQNCFKKEGVVWVLEPEKVSKYFMERASKEVKKKKELFEASPVRKYGKIKHQSLVLTEPDGLDTTIQLKGCIVEAVSATSLPTKKWAKKFPIKVENKTSVIYHGSKTLYVYLETSSEKEAWCKALRMASCNERGKLKWFSQLQEEFRSYLTLLNTEYHSYMKPSLGTGVEVIEKATKTDGSSSKVRQFLKKITRKSSRVGLDSKSGSTSLSGREDKKKADKLRACQDAVLATSYMKNAGAANHLKSAMSDDAPLSSSTLSHSGSQSSTLSHSGSQSQYSTLSHSGSQNQFSTLSHSRSLSQFSLCSDTDEKFGIGEGTLCWNLLISRLFFDIKGNEEMKKSVQERIQRTLSNTRTPAYVGEVVCTDINLGNVPPCIIGMRVLPMEMSEVCALEVDIEYSGSAILEIETRLEVRELEKEGSNPESSNVGSVPSDILQDFGYFDKQLGLAEGTKDLQEPKEDGDLKQLGLAEGMNDKQLNAPKKFKSHTPSSRWKSMLNSVAKHVSQVPISLAIRVASLKGTLRFLIKPPPSDQLWYGFTFMPDIDLSLESSVGEHKITNTHIAMFLISRLKAAIRDTLVLPNSENINIQWMLAEKDDWVPRSVAPFIWIHPESGSETSNSIDTVGKAHPTDTSNEASARTSTDEALRNSKSLDEYMKPLLESGQQEETSDLKDLCTPLIESGREEASEEKRDDVSGCSSPSNSVVPDRSSSPTSIQQDDSKPKKIGKKERMFDLRRKMSEKFEEKKRNIEEKGRHIVEKMRASEK >CAK8532371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250212396:250217271:1 gene:gene-LATHSAT_LOCUS2083 transcript:rna-LATHSAT_LOCUS2083 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSAFIFFGFLLGVVVVVGVEFLAFLWILKRLRCKINSDRDKISSITRIGSSNSSKFDDSQNCFKKEIVHVIDIGFRFGFGFLQGVVWVLEPEKVSKYFMERASKEVKKKKELFEASPVRKYGKIKHQSLVLTEPDGLDTTIQLKGCIVEAVSATSLPTKKWAKKFPIKVENKTSVIYHGSKTLYVYLETSSEKEAWCKALRMASCNERGKLKWFSQLQEEFRSYLTLLNTEYHSYMKPSLGTGVEVIEKATKTDGSSSKVRQFLKKITRKSSRVGLDSKSGSTSLSGREDKKKADKLRACQDAVLATSYMKNAGAANHLKSAMSDDAPLSSSTLSHSGSQSSTLSHSGSQSQYSTLSHSGSQNQFSTLSHSRSLSQFSLCSDTDEKFGIGEGTLCWNLLISRLFFDIKGNEEMKKSVQERIQRTLSNTRTPAYVGEVVCTDINLGNVPPCIIGMRVLPMEMSEVCALEVDIEYSGSAILEIETRLEVRELEKEGSNPESSNVGSVPSDILQDFGYFDKQLGLAEGTKDLQEPKEDGDLKQLGLAEGMNDKQLNAPKKFKSHTPSSRWKSMLNSVAKHVSQVPISLAIRVASLKGTLRFLIKPPPSDQLWYGFTFMPDIDLSLESSVGEHKITNTHIAMFLISRLKAAIRDTLVLPNSENINIQWMLAEKDDWVPRSVAPFIWIHPESGSETSNSIDTVGKAHPTDTSNEASARTSTDGSELKQQKFRNSNTRKLDSLTISSLSSISEALRNSKSLDEYMKPLLESGQQEETSDLKDLCTPLIESGREEASEEKRDDVSGCSSPSNSVVPDRSSSPTSIQQDDSKPKKIGKKERMFDLRRKMSEKFEEKKRNIEEKGRHIVEKMRASEK >CAK8560423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18830580:18830858:1 gene:gene-LATHSAT_LOCUS14093 transcript:rna-LATHSAT_LOCUS14093 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNPSWSEITSELLPFQTPQDRPDLLTRIFRTKFEQLKDAVINKGVLGKVKSYMYVTEFQKRGLPHVHMLLVLESNDKLRGPEEYDSVVRA >CAK8566629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443933111:443934886:-1 gene:gene-LATHSAT_LOCUS19747 transcript:rna-LATHSAT_LOCUS19747 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDRQETSGGGVNSSNNNTNSFVSVRGECSSMQNNNNNNSTNGKQSLWREEKENSGGMDELLAALGYKVRSSDMLDVAQKLEQLEMVMGSAQEEGINHLASDTVHYDPTDLYSWVQTMLTELNPSTDSQISDPLDASSSIFNDNSQYDLSVIPGMAAYPPQSHNQNNESEDSNSNKRLKTWGSETESEDIFLPALSPPAETTRPVVLVDSQETGVRLIHTMMACADAIQRDDLKIADTLVKSIGILASSQTGAMGKVASYFAQALYRRIYRVSPDETLDSSLSDALHMHFYESSPYLKFAHFTANQAILEAFAGAGSVHVIDFGLKQGTQWPALMQALALRPGGPPTFRLTGIGPPQTGNTDALQQVGWKLAQLAQTIGVQFEFRGFVCNSLADLDPNMLEIRPGEAVAVNSIFELHTMLARPGSIDKVLNTVKKINPKIVTIVEQEANHNGPVFMDRFTEALHYYSSLFDSLEGSSNSNPTGSGSSSQDLLMSELYLGRQICNVVAYEGVDRVERHETLTQWRSRMGSAGFDPVHLGSNAFKQASTLLALFAGGDGYRVEENNGCLMLGWHTRSLIATSAWKLPQSESK >CAK8561955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418008021:418008989:1 gene:gene-LATHSAT_LOCUS15499 transcript:rna-LATHSAT_LOCUS15499 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLQRFNGMSPTEQPDNHRRHKKTPPSTTATNKTGSTIRYRGVRRRPWGRYAAEIRDPHSKERRWLGTFDTAEQAACAYDCAAISMRGSKARTNFFNPETEITEQQHHHQQQHHQQQQHCFFQSFNTPKQQQLQHRHISKFNGGDYLNHTSFVPSLVQHFPNKTNNTISSSSASASVSVSSSIVNVAENVIEEDSDFFPRESSGLLEEIVHKFMKTSKTTNNENKMKTETFARSVSQPIISRHHNTVPEKEGFGSVSLDQVQHQGFPMQQFESFDNGFNFNNNVAMFVGENHHAAECSIMEDVLYYPELFHSFATRMQNA >CAK8537795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434724959:434725208:-1 gene:gene-LATHSAT_LOCUS7049 transcript:rna-LATHSAT_LOCUS7049 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLLLLQYQPISGKLFKLQYLLKVQRMVLALKKLNKPSQYCYNYLIVM >CAK8562389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:482599787:482600758:-1 gene:gene-LATHSAT_LOCUS15889 transcript:rna-LATHSAT_LOCUS15889 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKRGRGRPKATVPPSLETLTSLKTPERESRNTTVAGQTSGNSSRTTIGEDKAMTNTLVRENKETLTEPTQPQPEERKPWVDVINDNRNPTRGMDIEYIAPKLINEVFEIDIEQEDIETEIQFWDNALILYVMGDDLSMNMVKNFMQRMWNFLKMPDLYYHDDGYFLIRFSSQEDKEAVMMKGPYTIRNMPMILKEWQTGFNLKKDLLRTLPIWVKLPQLPLHLWGAKSLIKIGSAIGKPLVTDECIANKFRVSYARLLIEVDITQPLIDEIAIRNIEGDIIMQLVNYEWRPKFCETCQKMGHKCEDRGKKQQWKPKLKPP >CAK8564104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652241974:652244572:1 gene:gene-LATHSAT_LOCUS17443 transcript:rna-LATHSAT_LOCUS17443 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSKLDDEEAVQICKDRKRFIKEAVEHRTQFANGHVAYIRSLERVAAALLEYFEGDQALKFELDSIVTPPPVKNEATPETIELGSNSAALKVSYSRSSCNQVISVEEKPMSPEMGRVEAYSPPRYQYGNDGFFGMQSSPMNGSIFAYSPNNRPVVIPPTSPPRSSSQWDSFWNPFTSLDYYGYPNGCSLDEIVMDAEKRELTKVREEEGIPDLEPEEEDTKQEGFIVKRNIAEEKTEICVNSSKEAAVEEVDEHKEEKKEGTDAETETVQVNDGECFQVSKAQTSGHMESSHQEMVIDNQEAKEETPGFTVYVNRRPANMAEVINDLEAQFRVVCNAANDVSVLLETKKVQHLSTSNELSASKLLNPVALFRSASSSSSPSKSIMKFSNSRDEVYEHIDDPPSGEGSMLSASHQSTLDRLYTWEKKLYQEVRSGTRFRLAYEKKCLQLKNHDIKGEEPSSVDKTRVAIRDLRTQITVSIHSVEAISRRIETLRDEELHPQLLEMLQGLAKMWKVMAECHQTQKQTLEEVNIILNGIAARKHSSVSIIDPLRLALSASTLETELRNWRNTFESWITSQRSYIHALTGWLLRCMKCEPDASKLVCSPRRSSCSHPLFGLCVQWSRRLEAIQETAVLEGLDFFAAGLGSFYAQQVKEDSAQNAIVGYNGNMEMVEVGKVEKEEVVEVAVKVLCGGMSSAMRSMAEFAIDYAKGYNDLVKQWENGKLQENCEAAN >CAK8536691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7752943:7755317:1 gene:gene-LATHSAT_LOCUS6027 transcript:rna-LATHSAT_LOCUS6027 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGNNIDENVNNRVHCDNNNNNNNSEDDLKASEKEANNENSMPSSEQEEDAIKKKYGGRLPKKPPLISKDHERAYFDSADWALGKQGAQKPKGPLEALRPKLQPTQQQARSRRSAYAPGDGSEVDGDIASLDDPSGSEDVAGDKSDDAAQDQSCH >CAK8564491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678088078:678088944:1 gene:gene-LATHSAT_LOCUS17792 transcript:rna-LATHSAT_LOCUS17792 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSSVVALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSKSSMKYMRDETSKATSHRVRPFDRHDYSFIVGETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNEKMRRNKKGRPKSTRITMDTTEKMERLCGICRLLGHTRKNCPNVGTSSR >CAK8577134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539264810:539265347:1 gene:gene-LATHSAT_LOCUS29265 transcript:rna-LATHSAT_LOCUS29265 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKVKKGAGGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLSLAVRNDEELGKLLAGVTIAYGGVLPNINPVLLPKRTENASSKEPKSPKAKKTPTKA >CAK8574236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671479520:671480443:-1 gene:gene-LATHSAT_LOCUS26596 transcript:rna-LATHSAT_LOCUS26596 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGPSESQGPMMQRRITRTQTAGNLGEAIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRIKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPFNILPLDPDSANQAIMRFPEIQAAVFALRNTRGLAWPKDYKKRKDEDILDWLGAMYGFQKHNVANQREHLILLLANVYIRQFPKPDQQPKLDDRALTEVMKKLFQEFQNFLGTDSWAD >CAK8560585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26871053:26871355:1 gene:gene-LATHSAT_LOCUS14246 transcript:rna-LATHSAT_LOCUS14246 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEKISKVWKPVTNKPNIVDQEDNNQVPSEEEKASEVSEGKTPEPIKPSEDWTIVTSRKVDKGNKDMSHTPNNSFMKYQNLFTPLRIGDYPRGDNNLDQ >CAK8569307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693013029:693014776:1 gene:gene-LATHSAT_LOCUS22173 transcript:rna-LATHSAT_LOCUS22173 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMSTNNSNCGNASNPYHQCTQACFQKTKGNKAQSNRKTSSSYGRSFTDGDLGKKVISGERRTYPGCPKASNPYHTCDANCNKSSSNSGATPHSKIDHRKIGSKPEPPILHSLPPTKNGTTSNNLSNASSTKPHYSENKKIESKTDEIIPSSGPISHVMPKEQDKDSVSEDKVVPITTYSVETSSKDFSFSDTPIRLNNSKEIDTNSDGETDSVISESRIQIGKYNVKESFGSILQTILDKYGDIGASCDLESVVMRSYYMECVCFVVQELQSSSDSLTKSKVNELLDIVKDIESAHLRVAWLRNTLDEIAENIELIRKHQDMEIEKANYDLEMESLREKLESELVTLAQKEQEIADIHMRIPEIRDRLKNLEQLMSSGLEDAQTMLPIKSKIDQLL >CAK8569308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693013118:693014776:1 gene:gene-LATHSAT_LOCUS22173 transcript:rna-LATHSAT_LOCUS22173-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNSNCGNASNPYHQCTQACFQKTKGNKAQSNRKTSSSYGRSFTDGDLGKKVISGERRTYPGCPKASNPYHTCDANCNKSSSNSGATPHSKIDHRKIGSKPEPPILHSLPPTKNGTTSNNLSNASSTKPHYSENKKIESKTDEIIPSSGPISHVMPKEQDKDSVSEDKVVPITTYSVETSSKDFSFSDTPIRLNNSKEIDTNSDGETDSVISESRIQIGKYNVKESFGSILQTILDKYGDIGASCDLESVVMRSYYMECVCFVVQELQSSSDSLTKSKVNELLDIVKDIESAHLRVAWLRNTLDEIAENIELIRKHQDMEIEKANYDLEMESLREKLESELVTLAQKEQEIADIHMRIPEIRDRLKNLEQLMSSGLEDAQTMLPIKSKIDQLL >CAK8532926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551415495:551421031:1 gene:gene-LATHSAT_LOCUS2586 transcript:rna-LATHSAT_LOCUS2586 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGVKERTLEETPTWAVAVVCLVLLAVSILIEHIIHVIGKWLKKKNKNALYEALEKIKGELMLLGFISLLLTVFQDNISEICVSQKIGSTWHPCSTPKTKSETKSDESFDYETNDRKLLEYFDPIPRRILATKGYDKCFDKGQVALVSAYGIHQLHIFIFVLALFHILQCIITLTLGRIKMRKWKTWEDETRTVEYQFYNDPERFRFARDTTFGRRHLSMWTRSPILLWIVSFFRQFFGSISRVDYMALRHGFIMAHLAPVHEAQFDFQKYISRSIEEDFKVVVGISPTIWLFTVLFLLTNTNGWYSYYWLPFLPLIVILLVGAKLQMIITKMGSSIQDRGEVIKGAPTVEPGDHLFWFNRPSLLLFTIHLVLFQNAFQLAFFAWSTYEFSITSCFHKTTADSVIRITIGVIIQILCSYVTLPLYALVTQMGSTMKPTIFNERVATALKNWHHTAKKQVKQSKHSNNTTPYSSRPSTPTHTMSPVHLLHRHTAGNSDSLQTSPKKSDYKNEQWDIEGEGTTSLRNDQTGQHEIQIASVDSFSSTELPVRIRHEITSGSKDFSFEKRHLGSN >CAK8568356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598458662:598461178:-1 gene:gene-LATHSAT_LOCUS21319 transcript:rna-LATHSAT_LOCUS21319 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPRIRLFFIVYSLLLCAFSFATTVEYDTNAIIINGERRIIISGAIHYPRSTSQMWPDLIKKAKDGGLDAIETYIFWDLHEPIRRQYDFFENLDFIKFLKNVHEEGLYVVLRIGPYVCAEWNYGGFPMWLHDLPGIQLRTDNVVFKEEMKIFTTKIVTLCKEAGLFAPQGGPIILAQIENEYGDVINNYGEDGNAYIKWCAQMALAQNVGVPWIMCKQNNAPSPIINTCNGYYCDDFKPNNPKSPKMFTENWVGWFQKWGERKPHRTAEDVAFSVARFFQKGGVLQNYYMYHGGTNFGRTAGGPYIITAYDYDAPLDEYGNLNQPKWGHLKKLHAAIKLGEKVLTNGTVTEKQYGDSVYLTTYANNATREKFCFLSNSHNSKDVEVDLQQDGKYYVPPWSVSILQDCNKEVFNTAKVDAQTSVYVKKLSTELGNQLIWTWASDPVEDTLQAIGTFNASQLLEQKSVTVDASDYLWYMTKVFINETFTWNNATLQVNTSGHVLHAYVNGEYIGPQWGTHDNLRFTYEKMVSLKQGTNIISLLSGTVGHANYGALFDMKETGIVGGPVKLIATNSSNTLDLSKSSWSYKVGLNGEARRFYDSKINNGVQWNIDNIVIGKPLTWYKTTFKTPEGKDSVVLDLIGLTKGHAWINGQSIGRYWPTMVADKNGCDTKCDYRGNYKADKCLSGCGEPSQRFYHVPRSFLNNDTKSNTLVLFEEMGGSPFNVSVQTIAIDFICARTDYGKTLELKCPDGKTISEIQFASYGDPQGTCGSFQVGEWESRHSVTVVEKACSGKQSCSINVTTSVFGITKGGINGQLAVQLLCDGSNPEDNRVQQIHV >CAK8568357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598458662:598461013:-1 gene:gene-LATHSAT_LOCUS21319 transcript:rna-LATHSAT_LOCUS21319-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIKKAKDGGLDAIETYIFWDLHEPIRRQYDFFENLDFIKFLKNVHEEGLYVVLRIGPYVCAEWNYGGFPMWLHDLPGIQLRTDNVVFKEEMKIFTTKIVTLCKEAGLFAPQGGPIILAQIENEYGDVINNYGEDGNAYIKWCAQMALAQNVGVPWIMCKQNNAPSPIINTCNGYYCDDFKPNNPKSPKMFTENWVGWFQKWGERKPHRTAEDVAFSVARFFQKGGVLQNYYMYHGGTNFGRTAGGPYIITAYDYDAPLDEYGNLNQPKWGHLKKLHAAIKLGEKVLTNGTVTEKQYGDSVYLTTYANNATREKFCFLSNSHNSKDVEVDLQQDGKYYVPPWSVSILQDCNKEVFNTAKVDAQTSVYVKKLSTELGNQLIWTWASDPVEDTLQAIGTFNASQLLEQKSVTVDASDYLWYMTKVFINETFTWNNATLQVNTSGHVLHAYVNGEYIGPQWGTHDNLRFTYEKMVSLKQGTNIISLLSGTVGHANYGALFDMKETGIVGGPVKLIATNSSNTLDLSKSSWSYKVGLNGEARRFYDSKINNGVQWNIDNIVIGKPLTWYKTTFKTPEGKDSVVLDLIGLTKGHAWINGQSIGRYWPTMVADKNGCDTKCDYRGNYKADKCLSGCGEPSQRFYHVPRSFLNNDTKSNTLVLFEEMGGSPFNVSVQTIAIDFICARTDYGKTLELKCPDGKTISEIQFASYGDPQGTCGSFQVGEWESRHSVTVVEKACSGKQSCSINVTTSVFGITKGGINGQLAVQLLCDGSNPEDNRVQQIHV >CAK8571762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470507878:470511933:-1 gene:gene-LATHSAT_LOCUS24395 transcript:rna-LATHSAT_LOCUS24395 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGQTKTASVKCLINSISRFVLLVSCQTVKPIPVQKICNNMVGVLKRLKPVLDDIMDYNIPLHENLCIVCEELDIRVNEARDFIEKWGPKMSKIHSVLQSGTLLIKIQNTSLDICHMIVKSLQSPPSASVLANLQHYIEELQCLKKEAAMVFIENELENQNIEPSNENLKEIIELLNLTSNQELLKETIAVEKERLNAEEHKMKGNMEEINQVVNLVRNLRDYVVKTECFAVESDVSFPPYFRCPLSLELMMDPVIVASGQTYERQSIQMWLDHGLTVCPKTRKRLTHTNLIPNYTVKAMISTWSDENNTNCLSNSEHNHNTGNVFEEQKCDNSFGSHVEIEKWELQSPYIHSRSESFSSSISSSDCLLAVSKDASRMSDKHQNVKVLSVDPGGMENERNNSITTNCYLRVDSHLMSNSGLSELTTSSHVNKLIKDLRSQSIEAQTSAAEELRLLTKHNTENRIIVGQCGAVAHLLSLLYSDAKVTQEHAVTALLNLSINEDNKALIMEAGAIEPLIHVLKAGNDGAKENSAATLFSLSVMENGKAKIGRSGAVKALVELLSSGTLRGKKDAATALYNLSIFHDNKARIVQAGAVQFLVRLTDPADGMVDKAVALLSNLSTISEGRLEIAREGGIPSLVEIVESGSQRGKENAASILLQLCLHSSKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGAKTKGKS >CAK8532496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271177975:271179174:-1 gene:gene-LATHSAT_LOCUS2195 transcript:rna-LATHSAT_LOCUS2195 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDIQTKLRVALGTMKDQASIGKAMMYNHQQHDGFSNIEIAILRATSHGNNTIDDKYMHEILFHVSNSKGSIPFLAERISRRLCKTKDNLVALKTLVLIHRLLRGGNRTFEQELCKAHVSGHLQITITRYGCVTKNFYDPLVCFLHKYASYLEERMSWLINQGGKLEPIMSKGLEFRRYGEKSFDMAFRILPKCQILIDKVLEFSPCDILRSNHYHSLAHVAMSNILRESFQVYMTFSEGIEALVNMFFDLESSAKSLACEILKRGSIQTQKLHDLYQTCKKLVENKNLEYPFVQIISMNHIITLDEFSCQQNQVEASNVSISSMSKFPPISSLLNSSKEIELEVTSKEGKKDEEKVDLNFPTIPTLFSWTLETKISKVWVVFEDEVPSESHILPTQ >CAK8576799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515833748:515834355:1 gene:gene-LATHSAT_LOCUS28966 transcript:rna-LATHSAT_LOCUS28966 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8577870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595033003:595035943:1 gene:gene-LATHSAT_LOCUS29938 transcript:rna-LATHSAT_LOCUS29938 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLLILIIGIGIGFVIGAGLGFLILVLFMCGRKFVEGEKSSPQRTEAITGHVKRVDSSVSLSDSNAALESPRTSEWSNANFWLEGLRRKSSVSAFGIPKYSYKEIEKATSNFTTIIGHGAFGSVYKAQMPTGETVAVKVLGANSRQGEQEFLTEVLLLGRLHHKNLVALVGYAAERGRHMLLYIYMSNGSLASHLYGKDQEPLTWDLRLSIALDVARVLEYLHYGADPPIVHRDIKSSNILLDEFMKAKVTDFGLSRPEMIKPRTSNIRGTFGYLDPEYISTSTFTKKSDVYSFGVLLFELITGRNPQQGLMEYVKLAAMESEGKIGWEEIVDPQLNGNYDVNKLNDMASLALKCVSGVSKTRPSMRTAVQALSKLYKKPKRTPSRASSTALSEVSVEVEQPGTRDFSSIERSEELRRLHSR >CAK8533058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573399510:573414052:-1 gene:gene-LATHSAT_LOCUS2710 transcript:rna-LATHSAT_LOCUS2710 gene_biotype:protein_coding transcript_biotype:protein_coding MGINFFIISSILTSTFFLFFFVVYIPTKKLSTPITSNIFPQNPTIQNFNISNTNKTYPITFAYLISGSKGDSMKIKRLLKALYHPGNYYLIHMDHGAPKKDHRDLFEYVSNEGVFGEVGNVWIVKKSNFVTYKGPTMLSTTLHAMAILLRRCQWDWFINLSASDYPLLTQDDLIQGFSGVPKDINFIHHSSHLGWKFNKRGKPIFIDPALYSKKKSEIWQVSKQRSLPTAFKLYTGSAWTMLSRSFVEYCIIGWENLPRTLLLYYTNFVSSPEGYFQTVICNSKHYKNTTANSDLHYITWDNPPKQHPKSLTLKDYKKMVLSNRPFARKFKKNDSVLDKIDRELLRRNRGQFSYGGWCSSLSQGGKYRSCHGLKIENYGVLKPSLASKKLKSMLSNILSFKNFHKQQCR >CAK8571796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:474898120:474898893:-1 gene:gene-LATHSAT_LOCUS24425 transcript:rna-LATHSAT_LOCUS24425 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAKPLGQWDWENLFFVNSKPAENHKSQSTDWSAETDHETNVGMLFPSGGSGYSASKLLQVSSLRSSKSASNNSSSNGESKTFMSTREGSQDDSTNKKELSKGDLIETSPAAEPLLTLKLGKRFYFEDVTPGSNSKKASSSTVPAAPPSGKKSKMGSQNMLHCCQVEGCGFNLSSAKDYHRKHRVCETHSKSPKVVIACLERRFFQQCSRFHSLSEFDEKKKKLQTSSLRSQCKTRQTSAWSNATESITSFFITL >CAK8560160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9269943:9272090:-1 gene:gene-LATHSAT_LOCUS13863 transcript:rna-LATHSAT_LOCUS13863 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAKALILLSRKWKLKPPNTVMSFSSSSQHVKFKAPMDMAAIDGELRVFLVAGEVSGDSIASRLMASLKLLSPFAVRFSGIGGTKMRSEGLQSLFPIEDISVMGIWELLPHLYTFKVRLNEAVKAASLFEPHVVLTVDSKGFSFRFLKQLRARHSQQKLHSPVHFHYVAPSFWAWKGGEERLGGLAEFVDHLLCILPNEDKICRLNGLSATFVGHPVLEDIMELNLINSPSINECMAEGNGEDFRRKHEVPPGATVISLLPGSRVQEVSRMLPIFANTMELLKDDVPQLTTIIHVAPNEHVENFIAGAVHRWPVPVVLIPGGTTHMRYDAFSVCPIVSLNFHFNNK >CAK8579651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714792175:714796031:1 gene:gene-LATHSAT_LOCUS31581 transcript:rna-LATHSAT_LOCUS31581 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGNEHRLSLEPEKQSLLNRHTEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGIAEVAAGAISMGLGGYLAAKGEADHYNRELKREQEEIIAVPETEAAEVGEILAEYGIEEHEYGPVVNALRKNPQAWLDFMMKFELGLEKPDPRRALYSAMTIAIAYVLGGLVPLSPYMFIPKATEAVLVSVIVTLIALFVFGFVKGCFTGNKPIRSALETTLIGAVASAAAYGLAKAFHP >CAK8577393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560100356:560101367:1 gene:gene-LATHSAT_LOCUS29506 transcript:rna-LATHSAT_LOCUS29506 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPLFPTNNSYESKKLSENTKTTYQCDGKNQKEDSNTYLVIGQSVQVDAKFPNSRHEFFPAATTGNMEGAQLRDGEAPNAIPSHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFPLSVKPTPDDSSEVGIKILEISIIKKSIGDENSCSSPYEPESELHQFLEEVGEAYGDLVMVKEQISVGVRDVKVFDETKLTINEQKKIKGSLVRYNSDDVTSEVDNYMDALTTMESDNNNSFSGNEDEHVELQAHFSDSQSTRKSFMSDVNIIEHCG >CAK8568538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614753560:614754155:-1 gene:gene-LATHSAT_LOCUS21485 transcript:rna-LATHSAT_LOCUS21485 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRLTVIISSSVKPGDLPIANEVKAGYNSSRLSESSEMASDGGSNKHADKGPKKKKGKATGNALANQPESGANNQEHTSTKSKKSQRRGKDTSSQTSDSKQGSRKESLKMKEDNLISPSEEWVMEKITALIHDFEEQGIDDPETILRPLMILKRFIGRHCLKTMQKE >CAK8578222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613188629:613193046:-1 gene:gene-LATHSAT_LOCUS30254 transcript:rna-LATHSAT_LOCUS30254 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSIDCFLPALKPQLGFLNHDAQDCSSGSINLFRLHKAPKSPFLLSSQALDVKPHVQSKGRSSLSQTAAVKHLTGSVTRTEGLRFAIVVARFNEIITRPLLEGALGTFKNYSVQDEDIDVVWVPGCFEIGAVATRLGKSGKYHAIICIGAVIRGDTTHYDAVANSAASGVLSAGLNSGVPCIFGVLTCEDMDQAINRAGGKSGNKGAEAALTAIEMASLFEHHLK >CAK8569885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14355479:14360497:1 gene:gene-LATHSAT_LOCUS22689 transcript:rna-LATHSAT_LOCUS22689 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFGDNTNFVNTVSTEKSMGWGHLGRRGSDSGNDADAGPSTSTAEQRRFPSAAQPEMMRAAEKDDQYASFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPYGLPPTPARRALFIVYQTAIPYIAERISSRIASRGIILSDYEYAEVFGENARGSSSYRVSLGSELAPSSASGQSTSTITRLKEKLRGFWLRMVQKWPTMLPFVRELLQLVLRANLMLFYFEGLYYHISKRASAIRYVFIGKASNQRPRYQILGVFLLIQLCVIAAEALRRRNFTSIAGSVHQASFATDHTSAGHGLPVLNEEGNLASPEADEGSWVSGSSSSEHHATSGVSKCTLCLSNRQHPTATSCGHVFCWNCITEWCNEKPECPLCRTPITHSSLVCIYHSDF >CAK8569886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14355536:14360497:1 gene:gene-LATHSAT_LOCUS22689 transcript:rna-LATHSAT_LOCUS22689-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGHLGRRGSDSGNDADAGPSTSTAEQRRFPSAAQPEMMRAAEKDDQYASFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPYGLPPTPARRALFIVYQTAIPYIAERISSRIASRGIILSDYEYAEVFGENARGSSSYRVSLGSELAPSSASGQSTSTITRLKEKLRGFWLRMVQKWPTMLPFVRELLQLVLRANLMLFYFEGLYYHISKRASAIRYVFIGKASNQRPRYQILGVFLLIQLCVIAAEALRRRNFTSIAGSVHQASFATDHTSAGHGLPVLNEEGNLASPEADEGSWVSGSSSSEHHATSGVSKCTLCLSNRQHPTATSCGHVFCWNCITEWCNEKPECPLCRTPITHSSLVCIYHSDF >CAK8578529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635378922:635381401:-1 gene:gene-LATHSAT_LOCUS30533 transcript:rna-LATHSAT_LOCUS30533 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFSCPFKYNDVEDDIDSMLVKSINFGNDEIKTPLRSVSFKSEDLEPTILKSLGSGKMTIETSVSFKRTDFDSILSTDNESNSNVLNAKTTREINNFLERQQVETIQSALLNPSSPKHIAALKLQKVYKSFRTRRKLADCAILVEQSWWKLLDFAELKRSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDKWLQCQSREPFFYWLDIGEGREVNLEKCPRFKLQLQCIKYLGPMERFAYEVVVKDGKFFYKQSGELLHTAAEDSHAKWIFVLSTSKSLYVGKKNKGSFQHSSFLAGGATSSAGRLVIENGVLKAVWPHSGHYRPTEENFKEFISFLQENNVNLSDVKMAPVDEADEFASSRSSCHLRNHSSEEDYTEKINGTEIEGTVVRDLVLKKDDLIEIGSEPALVTSSTRQFQILGRELTNLEIPKRSGVFEGLENKKESAVQTYSSFKMKESLTSGQETEELLPSDLSDSTKNFNDDNDIEIIPEESILKRINSHKETKSYQLGRQLSCKWTTGAGPRIGCVRDYPSELQFRALEQVNLSPRSGTRTKSSFALRSATSLNSNISCLGDTTTEPLLAENQSVSKSEFSPLTRGTSVIPVLSYDL >CAK8530432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20762312:20763277:1 gene:gene-LATHSAT_LOCUS298 transcript:rna-LATHSAT_LOCUS298 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDATPTKDNLPTSYYDAKRLVLKLGLKVRKFDCCMNGCMLFYDNEFGVNDEALEEYKFCKSLKYLVCSKAINRKQERVSMKSMFYSPIIPRLKRLFASMHSVSEMAWHHTNITSSDIMRHPSDGEVWKHLYKVHSDFAADPRSVRLGLCSNGFTSYVQASAIAYSCWLVIVTLYNLPPEMCITKPCMFLTCLIPGPSSPKAGIDVYLQPFIDDFKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYRMLFGWGTHGKMGCPYCMEFTKAFTLEFGGKSSRFVCHRRFLPRDHVFRRNKTDFKKDVRVKDLPPPRLSP >CAK8566317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406309612:406310555:-1 gene:gene-LATHSAT_LOCUS19454 transcript:rna-LATHSAT_LOCUS19454 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVHLVVHLVEEVKLGGPVHYRWMYLVERYLGKLKLYVRNKAKPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNFPIVDDYLKQFRSITQNQMKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDALISLAYGPFDKVKRFTAFNVNGFKFQTLERDNLLKTQNSGVFGLFGT >CAK8573811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639417588:639421052:1 gene:gene-LATHSAT_LOCUS26215 transcript:rna-LATHSAT_LOCUS26215 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNSFSIEDGDIKRTGTWLTASAHIVTTAIGSGVLSLAWAVAQLGWIGGTAALIIFSLITLLTSILMADCYRYPDPVHGKRNPTYMTMVKTILGGVQYKFCGVAQYTNLIGCTIGYTLTAAISMVAMKKSDCFHKFGHQADCNSSNNQFMAIFGVTQIFLSQIPNFHDLSWLSIIAAIMSFGYSFIGVILSIAKVAEKGHHVKTSLTGLVVGVEVTRTEKVWNTFQAIANIAFAYTFSNVIAEIEDTLKPSPPENQVMKTASLIGITSSTIFYASCGLLGYAAFGNNAPGNFLTGFGFYEPFWLIDIGNLFVIIHLVGAYQVFAQPIFSAVESWTDTRWPENKFMTKEYNVRIPMVGTWRMNMFRLIWRSTYVVFTTLIAMIFPFFNNIVGLIGAISFFPLTVYFPIEMYLTRTKVPKYSLKWIGMRLIVGLCLIVALMGIIASIQGIILGLKTYKPFKSN >CAK8534895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787893413:787902196:-1 gene:gene-LATHSAT_LOCUS4397 transcript:rna-LATHSAT_LOCUS4397 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKNPSGTSLLVPSVQELAKDENLSTVPLRYIQPQLEDSILSQVDNSMLEIPIIDIHNLLSKEFGSEELVKLHLACKDWGFFQVVNHDVSSSLVEKVKLEIQDFFNLPMYEKKKFWQTEQHMEGFGQAFVVSEEQKLDWADMFYMTTLPKHSRMPHLFPQLPLPLRHTLELYSQEMKNVCMIIVGHIGKALNVKEMEIQELFKDGIQMMRMNYYPPCPQPEKVIGLTKHSDPVGITILLQLNQVEGLQIKKDGMWVSIKPLPDAFIVNIGDMLEIITNGIYRSIEHRAIVNSEKERVSIATFYSPKQDAIIGPLESLITEQTPSRFRKIGVEEYFIKLFATKLDGKSYIDVMRIEHED >CAK8563962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642396907:642397377:-1 gene:gene-LATHSAT_LOCUS17315 transcript:rna-LATHSAT_LOCUS17315 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMEGSLPKANLAFPDCSAMAAKFRAMNNSAEEQVRSRQHEVTHLVHPATNQSYLCCISDAVQSCDILGNPCEDYRNQSIFIRLRKKKAHNLEIKLLSCYKRTRKSTRGMKRILVRFHCFFIFLLLTRVPLSSLLPHRYWLCRFRYCKNSESGAW >CAK8569218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683179404:683179888:1 gene:gene-LATHSAT_LOCUS22097 transcript:rna-LATHSAT_LOCUS22097 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWRRTLGNARSFVNNSMGGLRGGSNLASWVVAGTLAYFLWIKPSQDLKREQQEKAALASSDSYRYVETRKPVPDPQVTGLIYGNNNKDNSNRAQD >CAK8566957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476177243:476177735:-1 gene:gene-LATHSAT_LOCUS20052 transcript:rna-LATHSAT_LOCUS20052 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKMADWSATNQLVMSWLLNEIFPKISTSLIFKTSAKQMDEKKDKNCFTRLDYSGIFFFELASKAGSSELVYCVFYMDKPLLLWAGRPSVSMNGLTMSLQCLVESIASLFTHRRLFSSHRSRDTRPSFNYEQERIIYFR >CAK8570147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25793196:25794423:-1 gene:gene-LATHSAT_LOCUS22925 transcript:rna-LATHSAT_LOCUS22925 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHLSIFIALSLAVVVSTNAALPPELYWKSKLPTTQMPKAIIDLLNPTDLTEDKSTSVAVGKGGVNVDAGEGKPSGGTAVNVGNGGVNVNTGKGNVSVGNSSPFQYNYAATETQLHDEPNVALFFLENNLHYGTKLNLQFTKTTSNSEAKFLTKEVADSIPFSSNKVDSILNKFSIKKGSDEAEIVENTINECEENGIQGEEKLCVKSLESMVDFTTSKLGNNVEAVSTEVKKDSSELEEYVIAKGVKRLGEKNKAVVCHKENYPYAVFYCHKTDTTKVYSVPLEGVDGNRVKAVAACHTDTSQWNPKHLAFQVLNVQPGTVPVCHFLPQDHVVWVSK >CAK8579478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701780553:701784168:1 gene:gene-LATHSAT_LOCUS31422 transcript:rna-LATHSAT_LOCUS31422 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKMTSSDLIDSKLEEHQLCGSKHCPRCGHKFDQGKPDWLGLPAGVKFDPTDQELIEHLEAKVESKNNTKSHPLIDEFILTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTEECDLQGTGSGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDNNHRIGATTITGEGSGGEPNNIINARRDSGSGSSSSKEIVTHHNHNSHIYRDEMSAAAAAAAAVAVGVPPPLTSFTNALDIQHLKSDHFGFIPFRKNSFDEVGIGEGSTAREMQASGSCDQEQHHVVTHHHQQQHQHEHHQQINANAAFHISRPSNPISTIISPPPLHHTSIILDDNSYHVSTIMLQNENFQQQQQQQQHHKLGGGRSASGLEEVIMGCTSTSSDTIKEESSMRNQQEAEWLKYSSYWPVDPPQDNHHHQHHHHQHHDQ >CAK8570371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:40671073:40673047:-1 gene:gene-LATHSAT_LOCUS23124 transcript:rna-LATHSAT_LOCUS23124 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCYYVMGLLLFMVSFVVETTTVTAINCSENSSCGDQVIRFPFRIKNQQPPSCGYAFDLICLSNNQTMIQLPHNVKLKVDNIDYKHQTIQLSDPQSCLYKQIKNLNISSTRFNYLERDYDDFADYHFFNCSLLIRNELDNYLVPCPSSSTSQTYAIPSETLIDDLPLSFCTKMYNFSFKPSDSVRLMWSQPDCKNCESKGNRCGWKNTTHHNETDCFAKGSSTALLTTGSILGSLFFILLTGAVYHVYDIYIIKKAKQAIIERFLEDYRALKPTRYSYVEIKRITNNFGDKLGEGAYGSVYKGSISKEITVAVKILNFSQGNGQDFLNEVGTMGRIHHVNIARLIGFCADGFKRALVYEFLPNGSLQKFIYSPDNKKNFLGWKKMHEIALGIAKGIEYLHQGCDQRILHFDIKPQNVLLDHNFIPKISDFGLAKLCSRDQSIVSMTAARGTLGYIAPEVFSRNFGNVSYKSDVYSYGMMLLETIGGKKITEDIEKNTSHVHYPEWIHNLLDDEEEMRIHVDDEGDEKIARKMVIVGLWCIQWHAMDRPSMQMVVQMLEGDEDKTPIPPNPFASQSRRPRGNGSIAITRQLTQDLDVIEELE >CAK8562504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502368407:502370182:1 gene:gene-LATHSAT_LOCUS15996 transcript:rna-LATHSAT_LOCUS15996 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNLEKQKGGKGSQLETNKKAMSIQCKVCMQTFICTTSEVKCKEHAEARHPKSDLFTCFPHLKP >CAK8571001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:255460093:255467187:-1 gene:gene-LATHSAT_LOCUS23703 transcript:rna-LATHSAT_LOCUS23703 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLAFKETEQDTRFLGSNNNNSMIMASSNVVKSITSSSASQLESLSQQQQNHYHDLHHHQQHQQQQQYHQEQQQQQHHHMHDDNQISFGMMPSSSSSSIPGNYLSKDPSAFDLGELDQAFFLYLDGQSQTQADPSTLQDQGQNSSSSGMRPPPTLNIFPSQPMHVPPPPSNSKASMGLTSPQTSGSKKISQPTMELANQRNQTPSAPAPPKPVKRENNRRGTTSSSEHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLNQLEQELQRARAQGGLMGGGAILEGDHGIPISMNNVTSEATMFDVEYGRWLEEHNRLVCELRAAVDEHLHENELRLYVENCLAHYDQVINLKNILARTDVFHLVFGMWKTPAERCFMWIGGFRPSELIKISASQIKPLTEHQILGMCGLQQSTQEAEEALSQGLDALNQSLSETITSHSLSHPPIMANYMGQMALAMNKLSTLESFVRQADNLRHQTIHRLHQLLTIRQAARCLVAMSEYFNRLRALSTLWLTRPRQE >CAK8566145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385269405:385270291:-1 gene:gene-LATHSAT_LOCUS19306 transcript:rna-LATHSAT_LOCUS19306 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGTLTLSSSTAMLAQSTLTSSNRNHILSNKTHSSPISLVSSTNQKNFTLLSSFKKQTPFTTAVASLQSDNVSSSDAPATKNEFNKYYFIIANAKFMLDEEEHFQEQLFERRRYYGERNKEQDYWLVVEPKFLDSFPDIKKRLGRPAVALVSTNGPWITFMRLRLDRVLSGSFEADSLEEALASNPTNLEFEKPAKWVAPYRKYESGWWESFLPSGQKEATS >CAK8530531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28121038:28122622:-1 gene:gene-LATHSAT_LOCUS389 transcript:rna-LATHSAT_LOCUS389 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKLLRSIATDIGTRNRSYYTSRTKKPSLYSKISPLGNPTTSVVPQLDDWVFNGNKVSVGELQRIVRDLRKRSRFTQALQVSEWMNKNGVCIFSPVEHAVHLDLIGKVHGFVSAETYFNSLKKQDRNEKTYGALLNCYVRQRHVDKSLLHLKKMKELGFASSPLTYNNIMCLYTNIGQHENVAGVLSEMKENHVLPDNFSYRICINSYGVRSDIEGVKMILKEMENQPHIVMDWNTYSVVANFYIKAGLSGEAIAALSKCEARLEDKDGEGYNHLISLYARLGKKNEVLRLWEMEKNACKRCINRDYITMLESLVKLEEFDEAEKILKEWESSGNCYDLGVPNVVIVGYSEKDFLERAEAILEDLRNKGKATNPNTWTLVTSRYLHKGEIEKAFGCLKIAVSLYLENKKWKPNPRVIAALHSWIGDNACVEDAEALVSLLENVQKNIHMYHALIKAYVRADKEVDGVLDRMKKDNIKETKKTIEIINTRMAENL >CAK8536129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909902963:909903890:-1 gene:gene-LATHSAT_LOCUS5528 transcript:rna-LATHSAT_LOCUS5528 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNPNPQNSQVPLFFGESTSIECLERFIKGVNVVFGVEYLRKPNNTDIEHLLQMGESRGFPGSNNDINVLNQSNVFNDILEGHAPTVQYTINGTPYNMGYYLADGIYPEWATFVKTISMSQGEKRRLFAQHQESARKDVERAFGVRQSRFAIIRGPAHAWHMETLKHIIYACIILHNMIVEDERHTYGGDFDYSYANVDDNNSTTETFNGLHPNLATRLQRRANIREKQVHRQLQEDLVEHIWERFGHEEDET >CAK8536130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909902963:909903619:-1 gene:gene-LATHSAT_LOCUS5528 transcript:rna-LATHSAT_LOCUS5528-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPQSCLRLWHHKTYGFGMHFFGIAGSNNDINVLNQSNVFNDILEGHAPTVQYTINGTPYNMGYYLADGIYPEWATFVKTISMSQGEKRRLFAQHQESARKDVERAFGVRQSRFAIIRGPAHAWHMETLKHIIYACIILHNMIVEDERHTYGGDFDYSYANVDDNNSTTETFNGLHPNLATRLQRRANIREKQVHRQLQEDLVEHIWERFGHEEDET >CAK8577541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572512961:572513353:-1 gene:gene-LATHSAT_LOCUS29639 transcript:rna-LATHSAT_LOCUS29639 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPITLLPPPPPTPTEVTHQAYTTHSDQGSVGPVIAVLVVITLLGILSALIGRLCSGRRVMGYGEYDIESWIETKCSSCVGGRLDPPLPPQSPPPVNEPDAVEDSQEITEDQQSQQESGQSSVGHSSS >CAK8560826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47741080:47742444:-1 gene:gene-LATHSAT_LOCUS14471 transcript:rna-LATHSAT_LOCUS14471 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDSEEASSSKNVDEVKVSIGAPLICGLPDDISLLCLTRVPRTYHSVLRAVSKRWRDLVSSKEWLRYRRKHKLDETWIYALCRDKLDHVCCYVLDPTSPRKSWKLIHGFPPHIVRRKGMGFEALGNKLFLLGGCGWSEDATDEVYAYNASSNSWIQAASLSTARCYFACEVMDEKLYAIGGIGSNSSDPHSWDTFDPLINCWTSHRDPNIVPEIEDSIVMDGKIYIRCGKSPVTPHVYAVVYEPSSGTWQHAEADMVSGWRGPAVVVDGILFVLDQSSGTRLMMWHKQTREWIPVGKLSPLRTRPPCQLVAVGKSIYIIGKELSTVVVDVGDIENMGRLMVGSSIPKLVSDYNVISCKCLSI >CAK8573704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631250627:631252491:1 gene:gene-LATHSAT_LOCUS26113 transcript:rna-LATHSAT_LOCUS26113 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHFQEPKSSINDEEQEQDSHENSCYYPGCKKNANCKCEFCIASINATLDLVPNSSLTKFSSSKPNFNTYSPITFDSSIFNTPRNGSSCIEPPSTPVVKSSAKSNHVQRMEMKSEGKKRAFSSGVGVLNVMMVLGVLLFADVVLSRVVSVVYRPSLSPELVKRVGEKGYQIQDLNGKLRFLQKELGNVVRGKVSNCSFTGSSWEVSQDGLLLNSKCKLYKSAMEEVAIWGWPLQTAGMITTGMSSRTLTILSGRVTEWSGGQVSYLIRKANTSWIQPEWGASVVQLDPNTWILEYQRSSIVDGKGLFSAALELLKRRISRNIDKMKKNFWLFVVSFEDNRSYNWFATTNYGSKTPT >CAK8572957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572826064:572826789:1 gene:gene-LATHSAT_LOCUS25456 transcript:rna-LATHSAT_LOCUS25456 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVLKEDWCPVYAVTTEGKPIYTDKIDGHFIWDVDPTRCDPNCDCWMHDDDIDRDIILPKTKKKGRCKPSPPPQRRSNPNNGPWVGIHGKKKPLCIYEEGLKILRKEGLLPPDDPTLITWSPTEHCKPLHPPDVAQSIPCFMYSTTTSEFDRQFPALERKMDPITGKTSKPFIHPSEVLSDGKLKPLTQAEEVLNWQSENMVSQNEILQNLDEKVDKIAEKIDETDEDLKVLSQKMQKH >CAK8577913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597006536:597007897:1 gene:gene-LATHSAT_LOCUS29976 transcript:rna-LATHSAT_LOCUS29976 gene_biotype:protein_coding transcript_biotype:protein_coding METYQYPPSYPDSGHSSPRSRDIDFDNQASWEDQQNYKAKFMCSYGGKIQPRSHDNQLSYIGGDTKILAVDRNIKFQAFLSKLSTLCDAIPQEISFKYQLPGEELDALISVTTEDDLEHLMHEYDRLYRPSSKPVRMRLFIFITPNSGSISQPDLLKPQSNADFLFGIDNKTQAPPVQPPSYAAVKYHEPVPDLVAQQPEYPPRGPADDSVEIQRQLQRLQVSESEQSLYRRSVDGFPGGYAAAPTPGGDYYLQKMPEKAAPSNSPTAVHQPAGYWPEKQFSSDGFPLTGMNTSGGGDQHVYMMPPPGTFYHAPQVMRPQTAQVTQGYYAVQRMSSDGYREQPVYGGVQPQSVAFSSAGQGNLAPTQQVKPSAYAEGYGLVRPAGVADNAGAAGYAQVAYDNASGRHIYYTAPGGMVHAPQYQGVTPVFSNDMRPAAVPVGQDSKGVNKGPQG >CAK8563741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628286920:628287396:1 gene:gene-LATHSAT_LOCUS17120 transcript:rna-LATHSAT_LOCUS17120 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTYENDTTSTVPPAKLFKAVVHDADVIVPKVVDSIKTVEIVEGNGGPGTVKKLTFIEGGQTLYVLHKIEAIDDAKFEYNYSIVGGVGISDIVEKISFEAKLFEGPNGGSVGKMTVKYYTKGDAKPIEKEVEEGKAKGDALFKAIEGYVLANPNYN >CAK8575071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26379919:26381496:-1 gene:gene-LATHSAT_LOCUS27362 transcript:rna-LATHSAT_LOCUS27362 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFYAIVLSISLITIFFFKVNSIETKTKTTFFSIPKFVSDQPNLILQGDAYTKNNKLILTKAVENTVGRALYSAPIHIWDSKTSNIADFTTSFTFLIDAPRNVSIADGFTFFIAPVDTKPQTGGGYLGVFNSIEYDKTSQTVVVEFDTFYNSAWDPSDGDRHIGIDVNSIKSKSTKSWILQNREPAKVVIQFRAATNVLNVYLTYPNSISYTLSEIVSLKDVVSEW >CAK8579668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715274183:715275136:-1 gene:gene-LATHSAT_LOCUS31596 transcript:rna-LATHSAT_LOCUS31596 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDKSPGPDGFNPAFYQKFWHLCGDDIWVAAKNWLDRGFFPDSLNETNICLIPKCTNPHTMKDLRPISQCNVIYKLVSKLLANRLELCLGKCVSEEQSAFVKGRSILDNALISIEVIHSLKRRKTGNKAHLALKIDISKAYDRVDWVFFRDMLRRMGFAEKWIHWMMICVSSVNYSILVNSESVGPILPGRGLRQGDPLSPFLFILITEGLSALIKGAIARGDIHGIQICRGAPSVSHLFFADDCFLFCRANVLEVTHLMELLKVYSLASGQEINLSKSEVFFSRNISILAQEDIARIIGVRLVLGTSTYLGLPSI >CAK8562498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501446621:501446983:1 gene:gene-LATHSAT_LOCUS15990 transcript:rna-LATHSAT_LOCUS15990 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTFILLLLGDACGYCGKVCIDTLGGTSSLWLLYSEVLCMVHFLSIVFTPTLFKILHGSVAASQQTKQNIILPYWFRRFWFYGTSLMFLPLFCGVTPFADVNQRIDHFLMKVPIFNKLE >CAK8574956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17689137:17690619:1 gene:gene-LATHSAT_LOCUS27253 transcript:rna-LATHSAT_LOCUS27253 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSEFCLPPQFLTDDEKRFVNHLFTTSKNDDVFNSFSTTLFPYFISPNETETKSDENDYINELTRRMAHSTLQHQLALNNKVSSPQSTLNAFDNGSFGNGTRRNDAVLNLNSRRATNDLLHADAGKVERIHRVNNEDAFYGFVPKKPSVFHTQNHHLSISQKQLQLQLQKTQIEMLKKQWLKQREELARGCGVFSQRQNCNYQNVLGRGTDNETVFPGKVGLSPSAWPSVQTIGNNNKAAFPGNPNLNKERNGTGVFLPRFVDTESSRKKPGSRNVIVPERVVHALNRKVDEGVIRGYMHQKNRIHGTSNYENGVARNGSDDGFFQQKQTEVNSEIHLPQEWTY >CAK8536479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945306999:945309395:-1 gene:gene-LATHSAT_LOCUS5845 transcript:rna-LATHSAT_LOCUS5845 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEKAGSDGKVWNFCRMPFWQTSHNPSSSSTNTTTSSSTSYMHNVHHQSQSVHSTDRSIPQSSATVSSVAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAITIKNTCKSHVAFKFQTTAPKSCYMRPPGGILSPGESIIATVFKFVEPPENNEKPTDQKSKVKFKIMSLKVQGEMDYVPELFEEQRDQVAVEQILRVVFLDPDRNSPAMDKLKRQLAEAEAALEARKKPPEETGPRVAGEGLVIDEWKERRERYLAKQQVEGVVVDSV >CAK8543328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600385403:600386047:-1 gene:gene-LATHSAT_LOCUS12092 transcript:rna-LATHSAT_LOCUS12092 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEGKQSSQKNPYTELEEVSSDFLLAIGLQEQESERTTFTNLATIESESEEEDLSSDSSFINDDIIGDADFTLSQEFEADLRFLEDEESNIDDDEDYDEDEMDIEEDEVDPDELSYEELIELGEFIGEEARGLSRKEISTCLNPYTCKTSESKSGIDLCVICQIEYEEGEALVALHCEHPYHKDCISKWLQIKKVCPICSNEASTPNKAKNP >CAK8569315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693567946:693568659:-1 gene:gene-LATHSAT_LOCUS22178 transcript:rna-LATHSAT_LOCUS22178 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPFSHDSNVYDATTKHNNYIQFDPQRFKLNHLIKSQNERLKRQVQEYRRQQMMTLLKFSYMLSQKDEQIAEVGNINLKLEEYLMKLENDNQLWRKIAHENECMVLSLNNELEHMKRKTCYYPEDIECYYPEDIESCCDMKVVEEETGENNIVSDMICKACEDSLGACPVCLMEKKTSIETMAELNERPKGPLQPQFFLTNKL >CAK8540096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539585089:539588830:1 gene:gene-LATHSAT_LOCUS9133 transcript:rna-LATHSAT_LOCUS9133 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFDRDTSQKIKGTLVLMPKNVLDFNSVSSAKKKGILDVAEDVVEAAGSLFGGIVDGATAFLGRNVSMRLISATKKDANGHGLVGKEVFLEKHIPTLPTLGARQDAFSIYFDWDADFGIPGAFYIRNYMQAHEFFLVSVTFDDIPNHESVNFVCNSWVYNFQSYKKDRIFFSNDIYLPSQTPAPLVYYRQEELQNLRGDGTGERKDWERIYDYDVYNDLGNPDENANLARPVLGGSSTHPYPRRVRSGRKPTRKDPKSEKPGAMYVPRDENFGHLKSSDFLTYGIKSLSQNVIPLFKSVIFDLNFTPNEFDSFDEVRDLFEGGIELPTNVLSKISPLPVLKEIFRTDGEQVLKFPPPHVIKVSKSAWMTDEEFGREMIAGVNPCVIHRLTEFPPKSTLDTTLYGDQTSTIKKEHLEINLGGLTVEKALHDQRLFILDYHDAFIPYLNKINKNAKAYATRTILFLKDDGTLKPLAIELSLPHPSGLQYGAESKVILPSDQGVDSTIWLLSKAHVIVNDSCYHQLMSHWLNTHAVVEPFIIATNRHLSVLHPINRLLDPHFRDTININSLARGALINADGIIEQTFLPGPSSVEMSSAAYKNWVFTDQALPADLIKRGLAVEDSSSPHGLRLMIEDYPYAVDGLEIWDAIKTWVQDYVSLYYPTDEVVQKDTELQTWWKEIIEKGHGDLRDKPWWPKMQNLQDLIQSCSIIIWTASALHAAVNFGQYPYGGYILNRPTLSRRLIPEKGTPHYDEMVKNPQKAYLRTITPKFQTLIDLSVIEILSRHASDEIYLGERDVKFWTSDSKALQAFKKFGTKLAKIEGTIKERNNDASLKNRYGPVQLPYTILQPSGEDGLAFRGIPNSISI >CAK8567306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502388768:502389706:1 gene:gene-LATHSAT_LOCUS20370 transcript:rna-LATHSAT_LOCUS20370 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVYGSEVSNTYGQAESNLLAGSNLESTIQQILEMGGGSWDRDTVIRALRAAYNNPERAVEYLYSGIPEQAEAPAVGLRDFTDLLWMVYRSARQKKAVKIFPRPTAGPLRPIVHGQTQKYNMKLRAGKGFSLEELKV >CAK8535140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817104117:817107464:-1 gene:gene-LATHSAT_LOCUS4616 transcript:rna-LATHSAT_LOCUS4616 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPKWLLSIILLTLFHVQTCHEIQQEITRSQFPNSFLFGTSTSSYQIEGAAFEDGKGLSNWDVFSHTPGKIDNDENGDVAIDHYHRYLEDIKLMSSLGINVYRFSISWTRILPNGLYGDINPIGIMFYNNLIDNLLLRGIEPFVTIHHHDLPHELEERYGGWLSPLIQRDFVHFAEICFKSFGDRVKYWVTINEPNLVADFAFLRGAYPPCHCSPPFGNCDTGNSDVEPLLVMHNMLLSHAKAVELYRKHYQESQGGTIGIVAHTFMYEPLRDEECDRQAVNRALAFVVDWLLNPLVFGEYPAEMRSILGNQLPRFSPKEKSLLRGSLDFIGINNYGALYAKDCFLSTCPLNSSRPIRGFLETTGMRDGIPIGGQTGMPRFFVVPKGMEKIVDYVKIKYDNMPMYITENGYSSPLKQSTTMHDTLHDFKRIEYHKAYLAALLRAIRKGADVRGYMIWSLFDNFEWNNGYKVRFGLYYVDRNTLERIPKLSAQWFSSFLNSTSDSNIKGLSKKNGSKYVTTAVV >CAK8540436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560522689:560523477:-1 gene:gene-LATHSAT_LOCUS9441 transcript:rna-LATHSAT_LOCUS9441 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGPDIEKIIHFLSICVLAFINEGSSSLSSNFINSQWSWGLSIPISLYFKFALELHTHLGICVGIGVPSLVYWGATRCPIDWYWETVIHFNVEIMKTGLFNIVIGLLHLFVSKLIHRLIEWINDRKIKVKGLSFLFPTTNSEIEKSNFSEHSSLRPFSTNEILIKLVEALVSLFFNGNVLLLSWCFSGQIYTHEVGKAISWVGLGSSMFVWIKAILKNPKMPQVTTSDEKVYKVEKNQNCSFDEKKVSKDQELVTCSLEC >CAK8562005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424812338:424812802:-1 gene:gene-LATHSAT_LOCUS15543 transcript:rna-LATHSAT_LOCUS15543 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRRPKKNKALSPSILTTLLQQSFRKEESERGSIAGTESNSMKSCDVRKETPVVVIRESESKENIEEPNPKLWVDIISGNRSPSNGAPIEFIAPNIVEGEIEVDIEEADIESEVKFWDLSLIMYVIGKDLSMNAIKRYMIKFWNFVKVILS >CAK8571219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:340547968:340555094:-1 gene:gene-LATHSAT_LOCUS23902 transcript:rna-LATHSAT_LOCUS23902 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMGNAVTPEIKHFPAVVTGGEMQYRPQQWFVDERDGFMNWLRSEFAAANAIIDSLVQHLRVVGEVGEYDFVVGAIEQRRVNWTQVLLMQQYYSVSEVGYALQQVAWRRQQRPVKPVVKEFRKVRQWQRFEAANGKEGSNSSVESRRWEANSVVKGSQVVDKSEELKSGGKVGTKDDKSSDTAEEKKDTVINHQSDVISKSSVNSQGSLSSAECKAVGVNEECASKSGENDSLSMQNQHQSENGSTTGKTYISNEMFDGKMVNVVEGLKLYEDLLDSNEISKLGSLVNDLRVAGRKGQLQGSQTYLFSKRPMRGHGRELIQLGVPVADAPLDVDNVTASSKDKNVEPMPSLFQDIIERMAASQVMTLKPDACVVDFYNEGDHSMPNSWPSWFGRPVYMLFLTECDMTFGRTIVPDHHGDYRGNVKLSLVPGSLLVMQGKSADIAKHAIPSIQKQRILVTFTKFRPKKSLPINTQGLALPATSHYSARSPNHISHRALAPKHYSAVHVTGVLPAPSLQAPPNSMQPLFVPAPVATPMQFATSMPIPPGSTGWTTAPPRHPPPRVLVPGTGVFLPPPGSANSSQHSQGTLTETNLGAGAPALSIKENGKSNHNTTNGSPKGKTDENILRQEYNGNASGTEVEQATEQAIEENNGETVAS >CAK8560567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26269603:26271487:-1 gene:gene-LATHSAT_LOCUS14229 transcript:rna-LATHSAT_LOCUS14229 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQGPINSSFFGEVNCLDQTLLDTESLRFEEDEQFLLSSLEDNMPFLQMLQSVEPPIYPLKEPNFQTLLRLQHMKKPWEEDITFIPRMDSLQQVQTTLEFESCVTHDVLEMQSPVKSESYELQHKVSASCIEKLSYECNQEETKTCSKSQLVTTREKRKRKRTRPVKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPSSYIQRGDQASIIGGAIDFVKELEQLLESLEVQKRIKKNEEFGSSSSSSSPPQASYGMKLSCCEENEVKAENKSEAADIKVTLIQTHVNLKIECKRRCGQLIKVIVALENLRLTILHLNITSFESSVLYSLNLKVEEDCKLGTANDIAEAVNEIFNYINGN >CAK8535213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826367057:826369273:1 gene:gene-LATHSAT_LOCUS4686 transcript:rna-LATHSAT_LOCUS4686 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDYHLSQKNKNVVDFIEDVTTHAYEIQKKVLAEILSHNANVEYLQRHGLNGQIDSETFKKLLPIITYEDIHNDIKRIANGDTSSILTANPVSEFFTSTGTSGGERKLIPATEDDLGRSYFLFSLLIPIMTQFVPELDKGKGMCLMFVRNEYKTPGGINATSALTIYYKSTHFKNRSMSYNPFTSPYETVLCPDSYQSMYSQLLCGLCQNNEVLHVGAVFATGLIHAIRFLEKNWSILCHDIRTGTVNPLITDSSVREALIKILKPDKNLADFVEFECSRGWQGIITRLWPNTKYVNAIVTGSMSQYIPTLDYYSNGLPLVCTVYAASEGSFGVNLNPLCKPCDVSYTLIPTMCYYEFLPVNRCNDSLHEKEKQELVDLVDVKLDQEYELIVTTYAGLYRYKVGDILKVTGFKNNAPQFSFVGRKNVVLSIDIDKTDEVDLQNAIKNVVTHLAPYDADVADYTSYGDTRTLPGHYVLYWELNLKGSTAIPDCVYEDCCLTIEESLNSVYRQVRVSDKSIGPLEIKILEQGTFDKLMDYAISSGSSINQYKTPRCVKSAAIVELLESGVLAKYFSPKCPQWVPGHKEWNNHKN >CAK8535792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882585476:882586602:1 gene:gene-LATHSAT_LOCUS5215 transcript:rna-LATHSAT_LOCUS5215 gene_biotype:protein_coding transcript_biotype:protein_coding MVDITTLNLQRLSSVTCLVSKWSSLVVQRKRKGYCYLAYVIRILLYFLNQSGFIVWLLKKYRKMIICCHCLKLR >CAK8543689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631676186:631676644:1 gene:gene-LATHSAT_LOCUS12432 transcript:rna-LATHSAT_LOCUS12432 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLDAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYCDAKRLVSKLGLEVRKIDCFINRCMLFYDNEFGINDEVLEEFKFYKSPRYQVRSKAISRKQKRVAVKSIFYLPIILRLKRLFASMHNASQMTWHHTNKTSSCTMRHPYDGEA >CAK8567375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509374085:509376903:1 gene:gene-LATHSAT_LOCUS20435 transcript:rna-LATHSAT_LOCUS20435 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVPIFGFLFSLLVLLPSQIAAEEPEAKEFVLTLDNTNFHDTVSKHDFIVVEFYAPWCGHCKSLAPEYEKAASILSTLETPIVLAKVDANEEKNKDIASQYDVKGFPTIKILRNGGKSIQDYKGPRDADGIVEYVKKQQGPASTELKSADEARAFVGEDGIVIVGVFPKFSGEEFDNFLALADKLRADYDIAHTLDAKHLPRGDSSVTGPVVRLFKPFDELFVDSKDFNVEALEKFIEESSIPLVTIFNNEPKNHPFVVKYFNSPNAKAMLFVNFTSGSTEAFKSKYREIAEQYKQQGIGFLIGDVESSQGAFQYFGLKEEQVPLIIIQQTDGKKFFKPNLEPEHLSAWVKAYKDGNVAPFVKSEPIPETNNEPVKVVVGETLQEIFFNSGKNVLLEFYAPWCGHCKALAPILDEVAVSFQSDAGVVIAKLDATANDIPSETFEVQGYPTLYFRSASGKISQYDGGRTKEDIIEFIQKNKDTTGATQQEVEQPKAPAQQEVEQPKDEL >CAK8541850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414996668:414996885:-1 gene:gene-LATHSAT_LOCUS10742 transcript:rna-LATHSAT_LOCUS10742 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTLNVLSKITSAIKYTSLSRIEISNSRKKGYMSIELIWFIIVTL >CAK8542280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497358091:497358603:-1 gene:gene-LATHSAT_LOCUS11128 transcript:rna-LATHSAT_LOCUS11128 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTPEITILNAENLHVSKNSINKNAFVSLCSDSSSNNENCYTTKENLEECGCGNGNFLSWNEKLVIEVPLKSRFLIADVKYKTSWGNVKSVGSARIPVSDLYVQDNRVQFLSYRLWDSKVRRNGVINISVRVKAMEYSFPVTGIPVAGNGFTEIVTGIPVWLNNIQRNY >CAK8535851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885750283:885750816:-1 gene:gene-LATHSAT_LOCUS5271 transcript:rna-LATHSAT_LOCUS5271 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLPVISKRVLSLVRAAFFMMRKGISKGKLMMNLNIILKRRSKLAGKAIANLMSHHPHHGGSTTNSHSYDSRHQFTSSREYEFSCSNTPNHFFSIGKRRHIHNRNHNTQAPPTHDNEVTTMNEMKAVLEMLNNDQAIVEASPDFPSRDDDEKDNQVDKAAEDFIKRFYSQLRNQD >CAK8564248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662470967:662473340:-1 gene:gene-LATHSAT_LOCUS17571 transcript:rna-LATHSAT_LOCUS17571 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSNNLIGILNFLTLLLSIPILVMGIWLHKQSTTECERFLEKPIIALGVFLMVVSLMGLIGACCRVSWLLWFYLLVMFLLIVLLFAFTIFAFVVTNKGAGEALSNRGYKEYRLGDYSNWLQNKVTNGNTWERIRSCLESGKLCSEFHLKFLNDNVEKFHTEQLSALQSGCCKPSEDCGFVYVSPTNWTPGNVNSTNPDCKVWNNDPKILCFDCQSCKAGLLQNLKTDWKKVAVVNIIFLIFLVIVYSIGCCAFRNNRKDNWKRY >CAK8540755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19138350:19138700:1 gene:gene-LATHSAT_LOCUS9727 transcript:rna-LATHSAT_LOCUS9727 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLISSLGLTILMVITSLFQPHQHNKLVAASQNTTDEGANGCTGIHCLKNFGDDRADLFTDQREKSSRIADIMNTLTGSKNTNPNCMPIASYGSSSCINKVSPQYKKLVSGKKV >CAK8563417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601700933:601701350:1 gene:gene-LATHSAT_LOCUS16832 transcript:rna-LATHSAT_LOCUS16832 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVLEWWKVNSGQYPILANIARDVLAIPISIVASESAFSTGERVLDPYHSSLTPTTMICTQDWLKGTSSSLIKNEDFDTFERFEQELVYTEDGVSCSTSSTSVAFKDGE >CAK8569032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664907620:664907838:-1 gene:gene-LATHSAT_LOCUS21929 transcript:rna-LATHSAT_LOCUS21929 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKFDFFFKRKERDEDNLTPMSEPTRVIENPKIEENVNRVCSDDIENSLERDPEKRPSKWEYLVNQMDEI >CAK8537388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:323506068:323506313:1 gene:gene-LATHSAT_LOCUS6681 transcript:rna-LATHSAT_LOCUS6681 gene_biotype:protein_coding transcript_biotype:protein_coding MWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPPPRLSP >CAK8566046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:372183181:372183954:-1 gene:gene-LATHSAT_LOCUS19214 transcript:rna-LATHSAT_LOCUS19214 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNYQKIHPANDLESQTKPTIPLYPQNNLKSDRQDPLQYDHFNPPIPTRKFQVRHSPPPKKKRSCCCRFLCCTFTILLILIIVISITIGTLYLAFRPKLPKYSVDRLRITQFNLSDNNNLFVTFDVTVIARNPNKKIGIYYVSGSNISASYKETELCEGYLPNFYQGHRNITVLNLPLTGRTRDATGLVNTLQQQLQEKGNIPLNIKANQNVRVKIGKLKLFRVKFHVRCEIVVDSLGADNDISISGSSCKFKLRL >CAK8576633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499303957:499304403:-1 gene:gene-LATHSAT_LOCUS28807 transcript:rna-LATHSAT_LOCUS28807 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKLWSLIPEDVKEKAAKSKGTAPVIDVTQHGFFKVLGKGVLPSNQPIVVKAKLISKIAEKKIKEAGGAVVLTA >CAK8566051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374033021:374034090:-1 gene:gene-LATHSAT_LOCUS19219 transcript:rna-LATHSAT_LOCUS19219 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVRKRALKSRVFELVFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQRQSQPSQTSKNLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDDWPMVRRDLGLEIMHNERSSLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMSYVIANCYNVVLVCLGIECWTFFPMTTSFSPNVSIYYIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPIL >CAK8541403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:154089576:154092985:1 gene:gene-LATHSAT_LOCUS10324 transcript:rna-LATHSAT_LOCUS10324 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSTTALAPPLPPPTISRLRATSIRAQSISLPNPEPNTNSPPPSSISGVCKLRHTTMAVTDERTKIIAKEVEKMKSKEAKERKEMKNRKVASQKAVSVILRREATKALIDKRRKKGPVNSKKLLPRTVLEALHERIAAFRWESALKVFELLREQLWYRPYTGVYIKLIVMLGKCKQPDKAFELFQAMVEEGCVLDCESYTALLAAYGRSGLLDKAFSLLEEMKSTPGCQPDVQTYSILIKSCLQIPAFDKVQSLISDMATLGIKPNTVTYNTLIDAYGKAKRFSEMESTLLEMLAEQDCQPDVWTMNSTLRAFGNLGQIETMERCYEKFQASGIQPNVQTFNILLDSYGKAHDYTKVSAVMEYMQKYHYSWTIVTYNIVIDAFGKAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYAHAGKPEKVGGVLRFVDNSDVTLDTVFFNCLVDAYMRLDCLDEMKGVLEIMEHKGCKPDFLTYKTMIKAYSSKGMHSHVKELKELLSTVKSHPLERNKPDF >CAK8578484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631862362:631880437:-1 gene:gene-LATHSAT_LOCUS30490 transcript:rna-LATHSAT_LOCUS30490 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNRFSGGHQPPGLPPPSTASNPNPFFQAGHNFHPPNASWPFPFQNYPSFAPPPQNPYGFPPPPQNPHGLAPPPINPPGCDPSSQNHPGFTPRSQNPSGSAPPSQNLPGSTSRPQIQLASAPLQQNPKQAIGMADNASSKACRDLLAAGHSVSAWEVSQKALLTLQVDSLNSMGIKMQQVPTLHRLMLTEGKVNAFIHCFVGVRRITSLYDLEVAICNNEGVGGFEELGLGPFLRHPLVIHYFSIRSDVTEVFKITSEEIIQFLGKFLDVSKAKAIIGVEEFLEFIATKRSVERRELLGIRIQNLGMHITAIREAKKAEESTLEKCLKTLRSKSDKFMNRLISSSQKKELDERFSTIAQRVESFSSVEKSFRGKHVRFSSSSSEDEVSDYSTDNDQNDNIITSSWSNPSSKFGKSSERMSSCPYPSATEEMARLVVKSDKQGDSLSNSSLRKGFSEPPSKKRKTENDTSNKLFKLLNFKYKKVDPTPTVNVNATKVSKNMDKYLSITDDSLQMFVTTWKEACLEHKVAEVLERMLQFYGVKPREKNKIRKLLMSYPFLVGLLNAAVSAIKSGMWNSIYDTFQVFNHSELTNSPTKSSEYETLDIEPSLENVPLAAKDNAENTNCISAEDVVRKIGMYFDLNNEVYRNSDSQVKYKIMFLRKFCNCESWLAEQFGVKNFNSLGHGDLLSFLENSIDQLPRELIKLLGGGMCENSSFKACMSSNELVALLSQAISSLWENETVTKQIISMLLMRQFPSIGFEFVESGSLEDLLDTIREHKSNVTSKCVVFSATMIEERYHIDSISDGDNNWSGITIDSSEMVHKTKSSETITAKNAIEVLLKSPMLSDLSKWSHWDLMFAPSLGSLISWLLNDVNTEELLCLLTRDGKVIRIDHSANLQSFLNAAVQGSSFQTAVCLLSLISLHGGKRKVPLSLLKRDSCSAFEVLFRNYVEDIEVGDDKNAFQSDEALCKTKILTEISTTKMSGEFGKHLLKVNKVVSIMSKFVLDCLGYLPAEFHSFAADVLLSGMRSVFKDAAAAILCECSNMEQHLMLHEIGLSLGITEWINDYHEFISNNTFDQFCAHVSCLKDGKTKISTGLKHDQEFLDKSLVPEVDMVTSLVPCGLNERCTEIRQTVDAEKSIDKSMTSHIEDSLQSGKDIDSTLVIESIRQDEFGLDPSLSDSDSCMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPESVEPTLAFILQDSGIAVLNNEQGFSAQNMRALCDVGNSTKKGSSTGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGQIGFVLPTLVPPCDIELLRKMAFTGTDSYCDNPWNTCILLPFRSHLSEGTVINNIMAMFSDLHPSLLLFLHRLQCIKLRNLLNDTLIVMKKEISEDGIIKVSHGQEKMSWFVVSQKLQTNSIRFDVQTTEISMAFTLQESDEGYSPCLNQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPNLFVRAVREFCELPCFRSEPGKGLSAFLSFIPLVGEVHGFFSTLPRLIISKLRMMNCLLVEGDNNGWAPPCKVLRGWTEQVRCLLPDNVLFEHLGLRYLDRNVLLSDTLARALGVEEFGPLVLVRVMSSLCYTKNGLISMNMSWLASCLNTLYVLMFDSSGTMSINFEVKDDILKRLKKTPFIPLSDGTYSSVDEGTIWLQSNTLNTGFDGEHKMEAFPNICARLRTVNPSLLFAASGTSSLNVTSLDNVTRLLETIGVQQLSAHDVVKLHILPVLSDETMASKNKTLMIEYICFIMLHLKSTCSDCFIERQHIISELQSKSLLLTDCGFKCPAEVPIHFSTEFGNPVTAKKLADAVNMRWHEVDISYLKHPVNESVSSSLVKWREFFEEIGITDFAQIVQVEKSVVNICDATFKQAMWDRGLISAESIVKDWESPEVVQLVSLLSNSGNQENCKYLLEVLDTLWDACYGDKARGYFVSKSVGDGHPFKSTFISNLCDIQWVVSTMDDELHYPKDLFYDCEAVRLILGAFAPYAVPKVKSERLVNDLGLKTRVTLGDILNIFEAWRKSSKTSFKASISQMSKFYTFIWKEMAAPKQKTLQDLMAGPFIFVPNSAIHSHDDDVFGTLVRPNEVYWNDPTGSVQKMEEFDTQRSSSHSAINKSLCNIYPGLRGFFVDECGVQEAPPLRSYIQILLQLSTVTLPSQAADKIFQVFLVWADGLKSGLLNVEDVVYLKDCLSKLEFPVLPTVQDKWVSLHPSFGLICWCDDKELKKEFKHSDNLDFLYFGEVTEDNKEKVLKKISFLMKNLGIPAISEVVTREAIYYGLSDCSFKESLINITLPYAQRYIYKSHHDKYIQLKQSGFGILNDLKVIVVEKLFYRNAIKGCGSVSNKRVECSCLLQGNILYTIQEADHLSLFMELSTLLLDGIDGDYKIHMANFLHTITNSSESESREKMLNSQKVPKLPDEEPVWALSTVSPMLEDEISLPSDYVSSSNEQTLPLPKRKGGMSSNWPPAGWKNAPDFNYARANGFKTQPALISSSVSEVKNDDNFERISAPTVCYEQGSVSVDRNVIDDLQTNSASLALHETENFKNQSYHDIEPTSFHHIEFDPASLGDDMDESRVKAHSGSAAFSTPAFSMKDRLQTGTFDAAQAIATGRLGELLAYKYFTGKDGNTTVSWVNEVNETGLPFDLIIGGGANKEYIEVKATRSPRKDWFHISTREWQFAVEKGESFSIAFVAITGDNNAQVAVFKDPVRLCQQGGLQLVVMMSKQQRQ >CAK8571487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429797522:429804545:-1 gene:gene-LATHSAT_LOCUS24149 transcript:rna-LATHSAT_LOCUS24149 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSNTSLPPATKSWADQADEETNPSSSSTAETPTLNVDELAIDEDKKSSAKFLDDPDDSNIQAVTSGDTPYTSAATFEELSLSAELLKGLYVEMKFQKPSKIQAVSLPMILNPPNRDLIAQAHNGSGKTTCFVLGMLSRVDPTLQAPQALCICPTRELAIQNIEVLRKMGKYTGISSECAVPMDRRDSIPISKRSPIMAQIVIGTPGTIKNLMTYKKLGVTRLKILVFDEADQMLAEDGFKDDSLRIMKEIEKFNSTCQVLLFSATFNDVVKNFVTRIVEKKEHNKLFVKKEELSLDAVKQYKVRVPDELAKIEVIKSYIFEIGENVGQTIIFVRTRNSAKMLHKALVELGYEVTSIQGALEHDERDKIVKEFKDGLTQVLISTDVLARGFDQQQVNLVINYDLPLKYAAEYIRGREPEPDCEVYLHRVGRAGRFGRKGAVFNLICDERDEKLMSKIENHFGTHVTEVRERSVEDYKAALKEAGLLI >CAK8531381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106248578:106252965:1 gene:gene-LATHSAT_LOCUS1177 transcript:rna-LATHSAT_LOCUS1177 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNGSCSYVMGAFGENSGGLCPPMMMMPLVTSSHHHPINSNNNNANNTSCLFLPIPNSTNNNNHYTNSNNNSNNTMLQNNQNTPGLGYYFMDNNNNNNGASSSSSSSAVKAKIMAHPHYHRLLEAYINCQKVGAPSEVVARLEEACASAVRMGGDAVGSGCIGEDPALDQFMEAYCEMLIKYEQELSKPLKEAMLFLQRIEVQFKNLTVSSSDNIACNEGGDRNGSSEEDQVDLYNNMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPTHPHYYMDNVLTNSFPMDLSNTML >CAK8566043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371230223:371230663:1 gene:gene-LATHSAT_LOCUS19211 transcript:rna-LATHSAT_LOCUS19211 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQPICKSEGGIRCQCINCKCCKISTATNVILHLYRDGFQPDYWIWTQHGEVELNIDTRGGSNSSEHVRQADQFEAMDQMVYDAFRPHVGFSHANDNREQEEFLEDEFPNEEAK >CAK8573983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651943055:651943549:1 gene:gene-LATHSAT_LOCUS26376 transcript:rna-LATHSAT_LOCUS26376 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNQLNDVSSDSIPLLVLMHIATCVNYIRTMLLALFQSIGLSRLRTDQIVDDHFLAAVGSGLAGIILLSDQLSLNNQHFYTYEDAASADNHRCVFCQSTFENGDHVRKLPCRHVFHRHCLNGWFHRYNFNCPLCRSSLISDERVALTERRVGRQLISWFTLR >CAK8574819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10544503:10547261:-1 gene:gene-LATHSAT_LOCUS27122 transcript:rna-LATHSAT_LOCUS27122 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMKWNKKKVHVFPFLVILLLVFIAFSTLHNGSTIGHIHESPDHIHQDEASLATTYVKPNLSNHLNKSQEVLDRFSRCNSTTKYTGRRIARRGDSKSSIRQRVSAKSCDVFSGRWVYDNASYPLYKESDCPYMSDQLACNKHGRTDLGYQHWRWQPHNCNLKRWNVKEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSEIPADKRSMSPNAHLTIFRAEEYNATIEFLWAPLLVESNSDDPVNHRLDERIIRPDSVMKHASLWEHADILVFNTYLWWRQGPVKLLWTDEENGACEKLDGQEAMELAMGSWADWVSSKVDPLKKRVFFVTMSPTHLWSREWNPGNEGNCYGEKEPIDFEGYWGSGSDLPTMSSVEKILSSLNSKVSVLNVTQLSEYRKDGHPSIFRKFWEPLRPEQLSNPPSYSDCIHWCLPGVPDTWNELLFHFL >CAK8561044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:81796981:81797889:-1 gene:gene-LATHSAT_LOCUS14670 transcript:rna-LATHSAT_LOCUS14670 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMFLKKFTLLFLLISGSLLSTSSAGRESKFMRISYQDMNSAKEVATMKAMDEEEVSIIHERLLRANTKDYGRYDPTPTFSKPPYKLIPN >CAK8560316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14210528:14210965:-1 gene:gene-LATHSAT_LOCUS14000 transcript:rna-LATHSAT_LOCUS14000 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKQICKDKNIRGLGIRGIEGFNLAFLRKWKWRLLTKKAIWYDVLTARCDNFEQLILDGICMEMSSLLLEKGLTPHYGILIGMVLISILCFLIYSVSRLKSERVSNMDAWINDSWV >CAK8542107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474222749:474225393:1 gene:gene-LATHSAT_LOCUS10977 transcript:rna-LATHSAT_LOCUS10977 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >CAK8574734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7675489:7675824:1 gene:gene-LATHSAT_LOCUS27049 transcript:rna-LATHSAT_LOCUS27049 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEICNCLSTRNKSICTSYERITNDPIVCVNGLVRRSLKITKLKALWRKIKRGKKRRIFRSSSHVFLYDPSSYIQNFDDGYINDSDHFSLSFSARFAPCRIFEKDIESDG >CAK8569425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461487:463408:-1 gene:gene-LATHSAT_LOCUS22279 transcript:rna-LATHSAT_LOCUS22279 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLALFCLAFVRTGAIPSGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQHDLYPGKKIVLGNTQSVAKTARPLTEPIQGVTDSIWLKNKERQSLDDFCNSPTAKGERKHCVSSLESMIDHVISHFGTSKIKTISSTFDINQDQYMVEEVKKIGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8569426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461487:462542:-1 gene:gene-LATHSAT_LOCUS22279 transcript:rna-LATHSAT_LOCUS22279-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITVLTLFCLAFVRTGAIPSGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQHDLYPGKKIVLGNTQSVAKTARPLTEPIQGVTDSIWLKNKERQSLDDFCNSPTAKGERKHCVSSLESMIDHVISHFGTSKIKTISSTFDINQDQYMVEEVKKIGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8530639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37122827:37126245:-1 gene:gene-LATHSAT_LOCUS489 transcript:rna-LATHSAT_LOCUS489 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLSTIPQTPARIWLFFFLLTPSVLISGFRDETNKVISIGVIIDVNSRIGKEQEVAMDIAAKKYNNASKEYKLALYFQNSAKDTLRAITLAEEMIKVQKVQVIIGMHTWPEAGIAAEIGSEAQVPIISFAATTITPPLMKTRWPFLVRLANKGTAYIKCIADIVQAYSWKRVVVIYEDDTYGGDYGMLALLAEALQDVDSMIEHRLVLPPISSIHDPGELVNEEMIKLKQRQSRVFKVLQSSLEMTIHLFKEASKVGLVDKESVWIISESITNLMDSVNKSAISYMEGALGIKTYYSERSKDYQDFEAQFRRAFWLKNPEEDNRYPGFYALQAFDSINIVTRAIDRMTNRNNRSPKSLLREIHSSNFVGLSGHIQLEDEQLMQKNHVLRIVNVAGKSYKELCFWTQQHGFTTVLHTGQGGNKVAGNTERFTGVRWPGNLDRDPKGWNMPTKQYPLKIAVRSRTSFYKFVKVEYDQNGKEDKYSGFCIDIFEQVQKLLGYDLPYKYYAINGTYNDLVQLVYNKTFDAVIGDMTIIEERLQYVDFTVPYAESGLSMIVPMKSGESVWMFMKPFTWELWLVTDVILIYTMLVVWYLERESNPEFHGNWKLQLSTAFWFTFSSLFFAHREKMHSNLTRAVMISWLFLVLILTSCYTASLSSMLTVKQLRPKVTDIQWLKNNNKKVGCDGDSFIRTFLEKVEKFKPENIINITNEYKYDEAFANNSIAAAFLELPYEKVFISEYCKKYTGFIPRNRFGGLGFMFQKGSPLTRDFSKAILHLSEKAELKRLEEQWLITSHDCSNVTSSSDSDSLNLGSLWVLYVISGATSTICVLISAIKCLKSRETQEDVPPEGSRTPNDERVRKAVIKFAKLIHNKKLDASNKVRDVTDSSSKSGGVSITDTPEYSQEMTSQPKEVHITAHDNDIGTMEPYRPQNICHIRHGKSSC >CAK8544121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664380006:664381667:1 gene:gene-LATHSAT_LOCUS12824 transcript:rna-LATHSAT_LOCUS12824 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYIHQHCSSPRSAASIATLLKACKRIQHLQQVHASIVQRGLEQDQFLISHFISLANTLSTSTLSYSSSVFDRVINPSTFLWNTLIITHCQRSLFSNTLFDFIRMKAEGALPDRYTYPSVIKACSGTCKVLVGKSVHGSVLRCGLDEDVFVGTSLIDMYGKCGEVGDARKVFDRLSERNVVSWTALVVGYVTVGDVVEAKRMFDEMPLRNVASWNAMIQGFVKVGDLSSARGVFDSMTKKNVVSFTTMIDGYAKAGDMAASRFLFDQAAEKDIVAWSALISGYVQNGQPNQALTVFLEMESMNVKPDEFILVALMSAVSQLGHLDLAQWVDSYVGNNSIDLQQDHVIAALVDMNAKCGNMERALKLFREMPKRDLVSYCSMIQGFSIHGHGEDAVNLFNRMLMEGIIPDEAAFTIVLTACSHSGLVDEGWNYFNSMEENYGISPTPDHFACMVDLLSRSGHLRDAYELIKSMHVEPNAGAWSALFGACKLQGDSELGETVANRLFELEPQNAANYVLLSNIYASADRWKDVSLVRSKMKERGVRKIPGCSKL >CAK8563692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625351396:625359286:1 gene:gene-LATHSAT_LOCUS17074 transcript:rna-LATHSAT_LOCUS17074 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGQTWKNREFSLSSVSELSSDAASPGIARFTSDGLQIHQQAREISFNFDLRTAQIFKLGPVRSVCIMEGSDVGKAASYSTGVTIQFKNEEECEAFHSVVQQWIKKANVQAGSLPNGTLTTSKSKFDEKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPTLAQRITVIKGRVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLTPTGKMFPGVGRIHMAPFTDEYLFIEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPPMFHVLDFTKMKEEDLYEVDIPLRFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLRLIAHSAQSYTIHLTLSAKMWGPGAEQGGIIQTSSCKLDLKEPYYRMSQAQAYPLAQDQQSQPLVQTQDINIQSHELEEPEIMQQLSPNSCAQIDSLMQKI >CAK8563691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625351396:625359286:1 gene:gene-LATHSAT_LOCUS17074 transcript:rna-LATHSAT_LOCUS17074-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGQTWKNREFSLSSVSELSSDAASPGIARFTSDGLQIHQQAREISFNFDLRTAQIFKLGPVRSVCIMEGSDVGKAASYSTGVTIQFKNEEECEAFHSVVQQWIKKANVQGSLPNGTLTTSKSKFDEKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPTLAQRITVIKGRVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLTPTGKMFPGVGRIHMAPFTDEYLFIEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPPMFHVLDFTKMKEEDLYEVDIPLRFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLRLIAHSAQSYTIHLTLSAKMWGPGAEQGGIIQTSSCKLDLKEPYYRMSQAQAYPLAQDQQSQPLVQTQDINIQSHELEEPEIMQQLSPNSCAQIDSLMQKI >CAK8578773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653822508:653846813:1 gene:gene-LATHSAT_LOCUS30758 transcript:rna-LATHSAT_LOCUS30758 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGLLSISLKPLSFSTARHHHPSFLLLARQLFSTHQDVYHANLNIASLSRAGNINAARHVFDKTSPKDIVTWNSMLTAYWQNGFLRHSISLFDSMPIKNVVSWNSIITACVQNDNLNDAFSYFTAMPGKNTASYNAMMSGFVKMGRVEEAQKLFEEMPRPNVVSYTVMVDGYAKKEGGIRRARALFDAMPNRNEISWTVMISGLVDNGLYEEAWELFDRMPHKNVVASTSMITGFCKQGKIEEAWNLFQHIPYKDRASWNIMITGYAQNGRGEEALNLFSQMVRTSMQPDDLTFVSLFTACASLALLDEGKQANALVIKHGFDSDLSVSNALVTMYSKCGEIVNSELAFRQISHPDIVSWNTIIAAFSQHGLYDRAKYYFDHMVTVGVAPDGITFLNLLSACCRAGKVDETMNLFYLMVHNYGIPPRSEHYSCIVDVMSRAGQLKRACELIQEMPFKADSSIWGALLVGCNIHSNVKLGELAARSILNLDPYNSGAYVMLSNIYAAAGKWKDVNKVRVMMKEQGIKKQTAYSWMQIGNKLHCFVGGDPSHPNIDDIHEALRRIALHMKVKNNSEKEAIS >CAK8572924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571752413:571753634:-1 gene:gene-LATHSAT_LOCUS25428 transcript:rna-LATHSAT_LOCUS25428 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGYQQGGVENTHTKILLTSLSAMAAESTTFPIDLIKTRLQLHGESLSSSRPTGAFRIGLDIIRQQGPLGLYKGLSPAILRHLFYTPARIVGYEHMRSVVSADHGSPSIIGKAVVGGISGSMAQVIASPADLVKVRMQADSQMMSRGLGLQPRYSGPFDAFNKIIQAEGFQGLWKGVFPNIQRAFLVNMGELACYDHAKQFVITNRIAEDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAKKEGNVLYRSSYDCLVKTVQVEGIRALWKGFFPTWARLGPWQFVFWVSYEKFRKFAGLSSF >CAK8540163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542696988:542698727:1 gene:gene-LATHSAT_LOCUS9195 transcript:rna-LATHSAT_LOCUS9195 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLIIITCKQPPTSIPSFNISSIKTKEKPQTTQTQTLKTAPTETKTPPPPPPPPSTTQNFKNTSKKPETSLPGLLFNTFDDIINNFIDPPIKPALDPRHVLSQNFAPVLTELPPTQCQIIKGTLPPSLNGAYIRNGPNPQFIPRGPYHLFDGDGMLHAIKISNGEATLCSRYVKTYKYKTENEAGYPLFPNVFSGFNSLIASAARGSITAARVLIGQYNPSNGIGLANTSLALFGNRLFALGESDLPYEINITPNGDIQTIGRYDFDGKLSMSMTAHPKIDADTGEAFAFRYGPIPPFLTYFRFDSNGVKHNDVPVFSMTTPSFLHDFAITKKYAVFADIQLGMNPLDMITGGSPVGSNPSKISRIGILPRYATDERKMKWFNVPGFNIVHAINAWDEEDGKTVTLIAPNVLSVEHTMERLDLVHAMIEKVKINIETGIVSRQPLSARNLDFAVINSDFMAKRNRFVYAAIGNPMPKISGVVKIDVLNGEEVGCRIFGEGCYGGEPFFVAREGGVEEDDGYLVSYVHDEKKGESRFLVMDAKSPELETVVEVKLPRRVPYGFHGLFVKESDIRKLSL >CAK8578263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615711883:615717134:1 gene:gene-LATHSAT_LOCUS30290 transcript:rna-LATHSAT_LOCUS30290 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEDDSSRLEEALIQDEESKLYTGDGSVDFKGRPVLKKNTGNWKACPFILGNECCERLAYYGIASNLVSYLTRKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADSYWGRYWTIAIFSMIYFIGMGTLTLSASIPALKPAECLGAVCPPATPSQYAVFFIGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVKKGSFFNWFYFSINIGALISSSFIVWIQDNAGWGLGFGIPALFMGLAIGSFFLGTPLYRFQKPGGSPLTRMCQVLAASFRKRNLAVPEDSSLLYETPDKSSAIEGSRKIQHSDELRCLDRAAVVSDDERKSGDYSNLWRLCTVTQVEELKILIRMFPVWATGIVFSAVYAQMSTLFVEQGTMMDTSIGSFKIPPASLSTFDVISVIFWVPVYDRFIIPIARKFTGKEKGFSELQRMGIGLFISVLCMSAAAIVEIKRLQLAKEFDLVDKAVPVPLTILLQIPQYFLLGAAEVFTFVGQLEFFYDQSPDAMRSLCSALSLLTNSLGNYLSSFILTMVVYFTTRGGNPGWITDNLNKGHLDYFFWLLAGLSFLNMFIYIVAAKRYKSKKAS >CAK8575959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374574935:374575712:1 gene:gene-LATHSAT_LOCUS28182 transcript:rna-LATHSAT_LOCUS28182 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKSTMDSVVSAQHGLYTVHELMQIANITMLKIWSILISKADKHARTVMVEMGGLAFLLAVIPFKFFLMGLIVLHRDIKGSNLLVDNEGVIRIADFGLASFFDPKHKQPMTSRVVTLWYRSSELLLGATDYDVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEY >CAK8543745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635983446:635986007:-1 gene:gene-LATHSAT_LOCUS12485 transcript:rna-LATHSAT_LOCUS12485-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVITHRDEETPLVVTDEPPLQHEPHKSHSRDVHIMSLAFLLIFLAYGAAQNLQSTLNTEEDLGTTSLGILYLSFTFFSLFASLVVRILGSKNALIIGTSGYWLYVAANLKPNWYTLVPASVYLGFCASIIWVGQGTYLTSTARSHSIDNNLHEGAVIGDFNGEFWGVYALHQFIGNLITFALLSDGQGGSTKGTTLLFVVFLFVMTFGAILMCFLHKRSGNSNDAGESKSLKSLCSSLTSALSDVKMLLIIPLMAYSGLQQAFVWAEFTKYVVTPAIGVSGVGIAMAAYGAFDGICSLAAGRLTSGLTSITSIVSFGAFAQAVVLILLLLNFSISSGFLGTLYILFLAALLGIGDGVLMTQLNALLGMLFKHDMEGAFAQLKIWQSATIAIVFFVAPYVSFEAVIIVMLVVLCLSFCSFLWLALKVGNASSPSTTD >CAK8543746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635983446:635986007:-1 gene:gene-LATHSAT_LOCUS12485 transcript:rna-LATHSAT_LOCUS12485 gene_biotype:protein_coding transcript_biotype:protein_coding MASVITHRDEETPLVVTDEPPLQHEPHKSHSRDVHIMSLAFLLIFLAYGAAQNLQSTLNTEEDLGTTSLGILYLSFTFFSLFASLVVRILGSKNALIIGTSGYWLYVAANLKPNWYTLVPASVYLGFCASIIWVGQGTYLTSTARSHSIDNNLHEGAVIGDFNGEFWGVYALHQFIGNLITFALLSDGQGGSTKGTTLLFVVFLFVMTFGAILMCFLHKRSGLQQAFVWAEFTKYVVTPAIGVSGVGIAMAAYGAFDGICSLAAGRLTSGLTSITSIVSFGAFAQAVVLILLLLNFSISSGFLGTLYILFLAALLGIGDGVLMTQLNALLGMLFKHDMEGAFAQLKIWQSATIAIVFFVAPYVSFEAVIIVMLVVLCLSFCSFLWLALKVGNASSPSTTD >CAK8543503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611905829:611906777:1 gene:gene-LATHSAT_LOCUS12257 transcript:rna-LATHSAT_LOCUS12257 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHSKICTIVIIFLGIISHAFSLSISLHDFECISEHVLHDNDIVSGNFVVMDHDIFWSSDHPGIDFTVTSPAGNVMHSLKGTSGDKFEFKAAQSGIYKFCFHNPISTPETVSFYVHVGHIPNENDLAKDEHLDPINVKIAELREALESIITEQKYLKARDARHRNTNESTRKRVVFYTLLEYILFVTTSILQVIYIRRLFSKSFAYNRV >CAK8572972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573906768:573911721:-1 gene:gene-LATHSAT_LOCUS25470 transcript:rna-LATHSAT_LOCUS25470 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNWHNDLTYDNWVPITVSGARPPARYKHAAAVVDEKLYIVGGSRNGRHLSDVQVFDLRSLTWSSLKLNADTGKDNDNSSQENLPATSGHSLIRWGEKLLILGGNSRGSYDTLTVLYIDIGTCQFGVIKTSGSVPVPRVGQSATLVGSRVILFGGEDRSRKLLNDVHVLDLESMTWDILKTSQTPPAPRYDHVAAMHGERYLMIFGGCSHSVFFNDLHLLDLQTMEWSQPQSQGDLVSPRAGHAGITIDESWFIVGGGDNKNGCPETLVLNMSNLVWSVLDVVKQKDPLSSEGLSVCSGLIDGETYLFSFGGYNGKYSNEVFVMRPKAKNTLRPKIFQSPAAAAAAASVTSAYALSKSEKLDFTQLDVTNPKSSANGHHQDDVTVKIEATKEEKRLLELSVAEVRAGNSKLGSEIHEVNSTHAELTKELQSVQAQLVAERSRCFNLEAKITELQKLLESMQSVEDQVQALRQKKSAFDQEMEHAATAQRQSSGGVWRLFGGSEK >CAK8565328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:130216768:130217237:-1 gene:gene-LATHSAT_LOCUS18550 transcript:rna-LATHSAT_LOCUS18550 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLHNDLNSRNCNHQSNLRTLACIFSPFNSGTILDTLIGVNSFLLVLLWLYCYNYDKMLLKKLITTYYQFSVEGLTQIDHNL >CAK8543236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590932380:590933237:-1 gene:gene-LATHSAT_LOCUS12007 transcript:rna-LATHSAT_LOCUS12007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFVNQGKEYADARPSYPPQLFQFIASKTLSHNLAWDVATGTGQAAKSLTTLYKNVIATDASEKQLQFATKHPNIRYQHTPSTMSMTQLEQMVSSQGTIDLVTIAQALHWLDLSSFYKQVNWVLKKPHGVIASWCYTSPRVNDAVDAMHNKLYSLDAKPYWDPRRELLEDNYRSIEFPFDPVEGVDHTGPFEFEGETVMDVDDFLNYIRSRSAYQTSKKKGVELLKDDVVERFKHAWGDDGQKIAKFQVYLRIGKVRDA >CAK8533307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601542568:601544806:-1 gene:gene-LATHSAT_LOCUS2947 transcript:rna-LATHSAT_LOCUS2947 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTTFSSPYFNSQVEKQWPQRFSLRIKPMHLRHSNGCKVRATLNTNTKSIEIPHQWYNLVADLSVKPPPPLNPKTFEPIKPEDLSPLFPDELIRQEVTTDRFIDIPDEVLDVYKLWRPTPLIRAKRLEKLLGTPARIYYKYEGVSPAGSHKPNSAVPQAWYNLQEGVKNVVTETGAGQWGSALAFASSIFGLGCEVWQVRASYDSKPYRRLMMQTWGAEVHPSPSMITEAGRRILRNDPTSPGSLGIAISEAVEIAAKNPDTKYCLGSVLNHVLLHQTVIGEECIKQMEAIGETPDVIIGCTGGGSNFAGLSFPFIREKLNKRINPVIRAVEPTACPSLTKGVYAYDFGDTAGLTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVYELGLMEAISIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREALHCRDTGEAKIILTAMCGHGHFDLPAYEKYLQGNMVDLSFSEDRLKASHANIPQVTS >CAK8572768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561689551:561690654:1 gene:gene-LATHSAT_LOCUS25295 transcript:rna-LATHSAT_LOCUS25295 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGTNWYRHMGNNHKKKKENITGRKGGGGGGGGNDIAGLIVAVVGFIAVVTFSITKHRTKPKPKPQNSLDQKCNKNIEDHEIETNEGLHALLQPSTIITKDEDVAPCQVMITDAKSINHTFIEEEKIESVSEIETISEVVSETSFHHDEIVLSDDFNSESVVSEAEKMDDDDDEAVVSEIVEEIDCSSNTILHTAEKQDFDSKDEGGDIGMQNEEHVAEKTDTEVADEDDVNVEAEITCEDDMNDQDHEPILVSNSNQFSTLLLLLPGLLLLLVLLLLMHFTKNIFSAIGL >CAK8577604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577916078:577917676:1 gene:gene-LATHSAT_LOCUS29695 transcript:rna-LATHSAT_LOCUS29695 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQKQRNKYSIIVPTYNERLNISLIIYLIFKHLPDVDFEVIVVDDGSPDGTQDIVKQLQQVYGEDRILLRARPRKMGLGTAYIHGMKHASGNFVVIMDADLSHHPKYLPGFLRKQLETGADIVTGTRYVKDGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISCCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLMTT >CAK8574008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653928833:653929114:1 gene:gene-LATHSAT_LOCUS26400 transcript:rna-LATHSAT_LOCUS26400 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYVTLCVTLMLFLAQTELSVGEVTCNALQLSACANAITSSNPPSATCCSKLKEQKPCLCKYLKDPSLKKLVTSPNAIKVADICDSPFPIC >CAK8543905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648577461:648578197:-1 gene:gene-LATHSAT_LOCUS12628 transcript:rna-LATHSAT_LOCUS12628 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMGIGEDKSIKGLEGTGLVSPINPHSNLKSASSDDDFTQILTHVKTSKIPAVINYGASWCRVCSQILPAFCRLSNKFPKMSFIYADIDECPETTQHIRYTPTFQFFRDGEKVDEMYGTGEERLHDRLWLHS >CAK8541868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419156507:419156962:1 gene:gene-LATHSAT_LOCUS10759 transcript:rna-LATHSAT_LOCUS10759 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECLSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTKMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVWFVREIPTDFSISF >CAK8539690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521715564:521717048:-1 gene:gene-LATHSAT_LOCUS8767 transcript:rna-LATHSAT_LOCUS8767 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNEPKLKSIFLPFLSTSHIIPLVDMARLFALHGVDVTIISTKHNSTIFQNSINLDSSRGRSIRTHIIEFPAAKVGLPVGIESFSVNTPKEMTPKVYMGLFLLQPEIESLFETLEPDFIVTDMFFPWTADVAKKLGFPRIMFHGASYLARSAAHSVELFAPHLKTESDTEKFVLPELPDELEMTRLQIPDWLRSPNQYTELMKVIKESERKSFGSVFNSFYELESDYYDHYKKVMGTKSWGLGPVSLWANQDDSDKAARGYSKEEEGEKKEEQQGWLKWLNSKTECSVLYVSFGSMNKFPYEQLVEIAHALEDSGHDFIWVVRKNEGNGESDDFLEEFEKKVKESCKGYLIWGWAPQLLILENPAIGGLVSHCGWNTVVESVNVGLPTVTWPLFAEHFFNEKLVVDVLKIGVPVGAKEWRNWNEFGSEVVKREDIGNAIRLMMEGGEEEVAMRNRVKKLSVEAKKAIQVGGSSYNNMVELIKELKEIKLARV >CAK8563325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592850350:592850562:1 gene:gene-LATHSAT_LOCUS16749 transcript:rna-LATHSAT_LOCUS16749 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNIRGLNKAVKTKEVSFRLLTLNLMIVVLIKTRVKKDKSDKVRSKIKLRGSCIDNYQTHDNGKLWI >CAK8567661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534483391:534484377:1 gene:gene-LATHSAT_LOCUS20693 transcript:rna-LATHSAT_LOCUS20693 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMTASPVLNSAFDITTLTFISSLIVLSVLSLCFIFHLRFKSKSLPYLQNFNSLWTVRFLLVLFIFLWSVVELLRLPFFRRRYLYQYISLAAYQQANLCKLSVVLSLGFFEPAFLVTLLFLLNASIKKKTPNDAWAITFVFFTCVPLVVLHGLLVFYNPLGNQVPFFLRQTAVILENDVVLCTYPFLNSVVFAAFGAAYCAWFLFSCWRVLSLVINKGLRIRIYGLGSVVLVALPLQVVALAFTFLWNPEDDIYGVVSLVVFLGAFCCAVTGEGILVIKPISDALEAGGHCFRWTSRPQETPPPPERKMEEQERDSVVDLEGVLLQG >CAK8535978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895172361:895175825:1 gene:gene-LATHSAT_LOCUS5390 transcript:rna-LATHSAT_LOCUS5390 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKSVGLGGGLPPPSGRFSGYSSTGSGFNVKSEPSSSFPPLAPATSSDSSGFSHDISKMSVNPPRNRGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDDTEEDLLSMYLDMDKFNSSSATSTFQMGEQFNAGGASGLGPVSAGQASGAGTSSGENVTLGTNERPRIRHQHSQSMDGSTTIKPEMLGSGSEDLSAADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLNSENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAIPPNGGPMMNFASFGGGQQFYPNNNNNNHAMHTLLAAQQFQQLQIHPQKQQHQFQHQFQQHQQHIQQQQMQLHQQQEQHQQQQQSGDLKMRATTSPSPKDNASSDENPSASKDC >CAK8540250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546970173:546982445:-1 gene:gene-LATHSAT_LOCUS9274 transcript:rna-LATHSAT_LOCUS9274 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNEHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPIVHGQTQKYNMKLRAGKGFSLEELKAAGIPKKLARTIGISVDHRRRNRSLEGLQANVQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGSYLPIVREKPAVELVKITDEMKAFKAYYKLRLERTNKRHLGARLKRAAEAEKEDKK >CAK8530406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19342280:19342777:1 gene:gene-LATHSAT_LOCUS273 transcript:rna-LATHSAT_LOCUS273 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSILCILSLILIVSCSNIASAQDSPADYVNVHNAARSAIEGFNIPNLVWDNEIAAFAQSYANQRKDCKAVPSGGNGGRYGENVAINNGEISGAEAVKLWVDEKPQFDRYHNKCVGGECVHYTQVIWEKSLRVGCGKVKCDNGGTFVTCNYYPPGNIPGQEPF >CAK8566381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415905032:415908877:-1 gene:gene-LATHSAT_LOCUS19514 transcript:rna-LATHSAT_LOCUS19514 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEQLLHRLQELQIEFSKYEHPVVLTVEAQAKYIGNLGGGLSKNLFLKDKKNRFYIVSALADTKVDLKVLSQRLGLGKGGLRMAPEEALGELLQVPLGCVTPFAVVNESARDVSLLLDQGFKTQEHCFFHPLSNDMSISLNVSGLDIFLKSIGRDPSYVDLEANPTVGKDQPPDLATLVPSGSIVLPDQPGKQSSAVISLKDGNLVSEENKSKTVTAKIVKPSVAGNNSKGTTGKNVQSSRSSADVGKLVEEILNKTSKLLLSEINDEAIKKHGDQLGTAVSDKLQKNLISDFKNLAMIFKNTAYTEGFHAGTLHQPKR >CAK8539226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507143493:507147441:1 gene:gene-LATHSAT_LOCUS8339 transcript:rna-LATHSAT_LOCUS8339-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAPSLDAKQTPELDQINQQKPSDYVDNPISNQTNHIFKSKLPDIPITNNLPLHTYCFEKLPQIADRPCLIVASTGKIYTYAETYLLSRKIASGLSKLGIQKGDVIMILLQNSAEFALSFIAASMIGAVATTANPFYTTAEIFKQITASKTKLIITQAMYVDKLRPNEENGEFDFKVITIDEPPVNCLHFSVISEAKEEELPEVEFDPEDALALPFSSGTTGLPKGVILTHKSLTTSVSQQVDGENPNLYLTTEDVLLCVLPLFHIFSLNSVLLCALRAGSAVLLMHKFEIGTLLGLIQEHKVTVAMVVPPLVLALAKNPKVAEFDLSSIRLVLSGAAPLGKELEETLHNRIPQAVLGQGYGMTEAGPVLSMSLGFAKHPFPTRSGSCGTVIRNAELKVLDPETGLSLGYNQPGEICIRGQQIMKGYLNDENATKTTIDEEGWLHTGDVGYIDDNDEIFIVDRVKELIKFKGFQVPPAELEGLLVSHPSIADAAVVPQKDVVAGEVPVAFVVRSNGNDLTEEAIKEFISKQVVFYKRLHKVYFIHAIPKSPSGKILRKDLRARLENSTQMP >CAK8539225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507143490:507147441:1 gene:gene-LATHSAT_LOCUS8339 transcript:rna-LATHSAT_LOCUS8339 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSVAPSLDAKQTPELDQINQQKPSDYVDNPISNQTNHIFKSKLPDIPITNNLPLHTYCFEKLPQIADRPCLIVASTGKIYTYAETYLLSRKIASGLSKLGIQKGDVIMILLQNSAEFALSFIAASMIGAVATTANPFYTTAEIFKQITASKTKLIITQAMYVDKLRPNEENGEFDFKVITIDEPPVNCLHFSVISEAKEEELPEVEFDPEDALALPFSSGTTGLPKGVILTHKSLTTSVSQQVDGENPNLYLTTEDVLLCVLPLFHIFSLNSVLLCALRAGSAVLLMHKFEIGTLLGLIQEHKVTVAMVVPPLVLALAKNPKVAEFDLSSIRLVLSGAAPLGKELEETLHNRIPQAVLGQGYGMTEAGPVLSMSLGFAKHPFPTRSGSCGTVIRNAELKVLDPETGLSLGYNQPGEICIRGQQIMKGYLNDENATKTTIDEEGWLHTGDVGYIDDNDEIFIVDRVKELIKFKGFQVPPAELEGLLVSHPSIADAAVVPQKDVVAGEVPVAFVVRSNGNDLTEEAIKEFISKQVVFYKRLHKVYFIHAIPKSPSGKILRKDLRARLENSTQMP >CAK8534088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692746594:692747631:1 gene:gene-LATHSAT_LOCUS3658 transcript:rna-LATHSAT_LOCUS3658 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFLQPHCSFLINIFLFLSLFFLIPIRVNSDAKSYKSCAPFTCGSFTNITYPFWINNKQPNYCGHPNFTLDCQKNNLTIDINSQKFHIIDMNQTSQLLKIARLDLWSYDAAIVPSCPDTNVSLNLDFFKYTSKDEKYTLLSDCHSLPTDTYGGSPLSSEVSQKISCLIDREPQDAYLIVSTKMADFAGLECKNNIKVYGPKSSIIENSDTSVNVLKEGFEVAWSDVDNDICSYCKKFGGRCGYNTTKSAFMCICPNQQSYGDCGFCRDNSTSDTWPDESGCIGSKLFNSVAPSPLEAPPYGLQQPYGDTPFQASDPSSNSDSKKSGTSSKYSSFSRYFIRFFS >CAK8534454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727987078:727987560:-1 gene:gene-LATHSAT_LOCUS3999 transcript:rna-LATHSAT_LOCUS3999 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAHLYQKAAERGGYGDERYEKLDFQKKVAEHYEVLHDASWKVVDACQPIDDVEKQLQEIVLACVTECQKGKSLSSLWST >CAK8542565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526116462:526118627:-1 gene:gene-LATHSAT_LOCUS11393 transcript:rna-LATHSAT_LOCUS11393 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKVSEASLIVHIHPSKSNQVSKSVLRELSTMLFTYNEVFDGIVLAYDVNSLDKCAKILPGVYPYFGVNLKVNLLLFSPKPDMLLEGKVVKLTHESIHVVVLGFASAIITEKDIRAEFVYKMKHGQEVYASNSHKRHVIKVGTTIKFLVKSFDEEMLHVYGSLVPDNTGSIHWLDKKLEVVSHSDRSIKKRDNEGQPLISDKDALDGERSTGDTAQKLKKSKKQKVREES >CAK8544889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710505526:710505831:1 gene:gene-LATHSAT_LOCUS13534 transcript:rna-LATHSAT_LOCUS13534 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRVKKVIEDDTSMIEISKTFKKMVDVFEMNYMKLIKQSKNANGGDIWAELVEIGVEPSSLALVYMYLVENADALKAFNGISIDKRKKMLHLIVLDYPF >CAK8562598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514218524:514219471:1 gene:gene-LATHSAT_LOCUS16083 transcript:rna-LATHSAT_LOCUS16083 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFYWILSFVLILTHFCLLGYQLILLVDLEFDYINPYDSTSRINQVIFPEFLMQAILCFLNLFGGHWFLFLISLPCFYYNVTLYIKRQHYTDVTEIYNKLNFEKKKRLLKVIHLFVIFVLSILSLVWSLSDEVH >CAK8537276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:198815737:198816093:1 gene:gene-LATHSAT_LOCUS6575 transcript:rna-LATHSAT_LOCUS6575 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHLCEKLKVKLVGRKGLGLNADSLIAAIKDERGNRKPISTSMSLKPGLGVSKLKAGIMKQKIFRKAKVVKKSNRSIPRANLNVGSASKVSEENQIIDQVLPNVVVSGNDLSKSNL >CAK8570693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89069176:89074329:1 gene:gene-LATHSAT_LOCUS23427 transcript:rna-LATHSAT_LOCUS23427 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEGSSSEMSLKDQGNEFFKSGKYLKAAALYTQAIKKDPSNPTLYSNRAAALLQLDKLNKALDDADMTIKLKPQWEKGHFRRGCILEALKRYDDALASFQIASQYNPQSQEVSKKIKKINQLAKDSKRAQEVENMRSNVDMAKHLDTLKPELSEKYGSEESWKDKFSFLVETMETAVKSWHEISSVDARVYFLPDKEKTQTDKYPPIVNIDKAFETPETHSSCFSFLRQYAEESFSKAACLVTAKNIIAYPQVWKGQGSRKWKHAQNDGFFVQFESPSLRKLWFIPSSNEKGQTLCRDPEVLDIGSHEVVPRLFKEKTPRS >CAK8537301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:215078368:215078931:-1 gene:gene-LATHSAT_LOCUS6600 transcript:rna-LATHSAT_LOCUS6600 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVIDILTEKFNRFVINCNLNSSSDNSTEQLNETSDSMDEKTMSSVVHETSPYESSSYEGHTLPILKKILDLSTKAQDLKKEHVSLRNQVKLTFESFDDLGVLKSIQLLGAEYELLKRKYIDESFKRRRLNNEVVELKGNIRVFCRCRPLNENEIANGSTSVVKFESTAEEELQVICSDSSKKTI >CAK8562690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:526789938:526794356:1 gene:gene-LATHSAT_LOCUS16165 transcript:rna-LATHSAT_LOCUS16165 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFRVLTRLSNTTSYVKTSKPYQHHTLRTLILESSSSQFVKLHKLSDEDSGIVEIRLDRPEAKNAIGKEMLRGLSNVFELINKNSDANVAMIRSSVPGVFCAGADLKERRAMNESEVKFFVNSLRSTLSFLEEIRVPTIAVIEGVALGGGLEMALACDIRICGANAVMGLPETGLAIIPGAGGTQRLPRLVGKAIAKDIIFTGRKINGFEALSMGLVNYCVAAGEAHSKALEIARDINQKGPVAIKMAKRAINEGVETDLTSALVLEEDCYDQVLNTKDRLEGLAAFAEKRKPRYTGE >CAK8577017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531540462:531543333:1 gene:gene-LATHSAT_LOCUS29161 transcript:rna-LATHSAT_LOCUS29161-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKSSAVEDSNESTSKKLQSSSTRASELNVLRLSSSKRVESGGDVKVKSNGSLQLYDDQNGRKKKIEKHELTGTDHPGFGRVPKAIEAEQVAAGWPAWLSSVAGEAIKGWIPRSATTFERLHKIGQGTYSTVYKARDVTNQKIVALKRVRFDNLDPESVKFMAREIHILRRLDHPNIIKLEGLITSETSRSLYLVFEYMEHDLTGLAANPTIKFSEPQLKCYMRQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLANVFDSHHNIPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWLKLRLPNSTVFKPPHHYRRCVTDTFKEYSSTALKLIETLLSVDPSNRGTAAAALKSEFFTSEPLPCDPSSLPKYPPSKEIDAKMRDEASRRQGTVGDREQKVGSSVRQEKAPRAVVSTKDNADMGASVQQKHHSFSKNRGELSYPHREQVSGIAGFPHKQSDDAREMDNNASGHFYKRPSHSGPLAPGSGWARGTKEVDNGPTVSNRVNLSKLSGLVASRTLSSEDQEPKPVPFPNRKPIEVRKSLEATSGSESRRRRDKKRNVDHGQIVNRRVPTEKSTPDGHGSSGNKIYMSGPLLASSNNMDQMLKDHDRKIQEFSRRARGEKVRAQRK >CAK8577015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531540462:531543333:1 gene:gene-LATHSAT_LOCUS29161 transcript:rna-LATHSAT_LOCUS29161 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKSSAVEDSNESTSKKLQSSSTRASELNVLRLSSSKRVESGGDVKVKSNGSLQLYDDQNGRKKKIEKHELTGTDHPGFGRVPKAIEAEQVAAGWPAWLSSVAGEAIKGWIPRSATTFERLHKIGQGTYSTVYKARDVTNQKIVALKRVRFDNLDPESVKFMAREIHILRRLDHPNIIKLEGLITSETSRSLYLVFEYMEHDLTGLAANPTIKFSEPQLKCYMRQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLANVFDSHHNIPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWLKLRLPNSTVFKPPHHYRRCVTDTFKEYSSTALKLIETLLSVDPSNRGTAAAALKSEFFTSEPLPCDPSSLPKYPPSKEIDAKMRDEASRRQGTVGDREQKVGSSVRQEKAPRAVVSTKDNADMGASVQQQKHHSFSKNRGELSYPHREQVSGIAGFPHKQSDDAREMDNNASGHFYKRPSHSGPLAPGSGWARGTKEVDNGPTVSNRVNLSKLSGLVASRTLSSEDQEPKPVPFPNRKPIEVRKSLEATSGSESRRRRDKKRNVDHGQIVNRRVPTEKSTPDGHGSSGNKIYMSGPLLASSNNMDQMLKDHDRKIQEFSRRARGEKVRAQRK >CAK8577016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531540462:531543333:1 gene:gene-LATHSAT_LOCUS29161 transcript:rna-LATHSAT_LOCUS29161-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKSSAVEDSNESTSKKLQSSSTRASELNVLRLSSSKRVESGGDVKVKSNGSLQLYDDQNGRKKKIEKHELTGTDHPGFGRVPKAIEAEQVAAGWPAWLSSVAGEAIKGWIPRSATTFERLHKIGQGTYSTVYKARDVTNQKIVALKRVRFDNLDPESVKFMAREIHILRRLDHPNIIKLEGLITSETSRSLYLVFEYMEHDLTGLAANPTIKFSEPQLKCYMRQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLANVFDSHHNIPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWLKLRLPNSTVFKPPHHYRRCVTDTFKEYSSTALKLIETLLSVDPSNRGTAAAALKSEFFTSEPLPCDPSSLPKYPPSKEIDAKMRDEASRRQGTVGDREQKVGSSVRQEKAPRAVVSTKDNADMGASVQKHHSFSKNRGELSYPHREQVSGIAGFPHKQSDDAREMDNNASGHFYKRPSHSGPLAPGSGWARGTKEVDNGPTVSNRVNLSKLSGLVASRTLSSEDQEPKPVPFPNRKPIEVRKSLEATSGSESRRRRDKKRNVDHGQIVNRRVPTEKSTPDGHGSSGNKIYMSGPLLASSNNMDQMLKDHDRKIQEFSRRARGEKVRAQRK >CAK8575283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:70910305:70910834:1 gene:gene-LATHSAT_LOCUS27557 transcript:rna-LATHSAT_LOCUS27557 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLRINFWKSNRFRIGCETDFLVGIASFLSCRVGSFPFRFLGLDIGVNLPIHDFSFFKSPRKMLIRKAYPIFLGIRSVVIESEEGWASRTLTNLTMLYSPNGYGDAFLSLMRSGEASWKFFMVSLIPEYFSRIS >CAK8535806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882945629:882954931:1 gene:gene-LATHSAT_LOCUS5228 transcript:rna-LATHSAT_LOCUS5228 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFNAKNAWGNFPDLAGAVNKLQESVKSIEKNFDNALGFEEKGGESSNEDSGSWPIPTDGKALFNPVLAFMGNKSEEDSEETSENVESSRQDSEPETSMEKPESVDHIPVAEGKEASDTDKRDNVEAEETTIKRDNVEAEETTIKRDNVEADETTIPEENKVHEAEEDGEHVESADGTTVQVLNHGKDEHQLPEMLIELPESPIPKSENSDSISNPQEKEIDEVGTLESSVMMQPMVSNRGDDVVEGGTSDPGESHATSGVHGTIEVETEEESKEEMVQAEESVERISSVQPEASDSNEQRDDTYTSVLHSVPSEETNSTNQSFNEHPSSATPNESPEVGSDLVSRDNETTVNENERGHLANNIETDIKEQHLSSVKTMYDSDSILELERVKREMKMMEAALLGAARQAQAKADEIAKLMNENEQFKALIEDLKRKSNEAEVESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQATQESTMRKLRAQIRDLEEEKKGLTTKLQVEENKVESIKRDKTATEKLLQETIEKHQNEIAVQKEYYTNALAAAKEAEAFAEARANSEARTELESRLREAEERESMLVQALEELRQTLSRKEQQAVFKEDMLCRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETNARRAEAWAAVERSLNSRLQEAEAKVATAEEKERSMNDRLSQTLSRINVLEAQISCLRAEQTQLSRTLEKERQRAAESRQEYLAAKEEADTQEGRARQLEEEIRDLRQKHKHELHEALMHREVLQQEIEKEKAARSDLERTVRVNSVPSSDQTSKTKHNSAFENGNLARKISSASSVGSLEESYFLQASLDSSDSSSSERRNPGELSMSPYYMKSMTPSSFEAALRQKEGELASYMSRLASLESIRDSLAEELVKLTAQCEKLRGEVAVLPGLKSELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMGTNT >CAK8543109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579722269:579724101:1 gene:gene-LATHSAT_LOCUS11892 transcript:rna-LATHSAT_LOCUS11892 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLIAAATFLALFAVIFTLFNPQNLFTPLPIPGSKDHLHAAQLLHVTGAVGPESLVFDSHGEGPYTGVADGRILKWEGVERGWTEFAVTSSNRSECVRPFAPELEHVCGRPLGLKFDKKNGDLYIADSYLGLKVVGPAGGLATEVATEAEGQPFRFTNDMDISEDEDVIYFTDSSTTYQRRQFMLILLSGDKTGRLMKYDKSTKEVKVLLRDLAFPNGVTLSKDGSFLLVAETSTHRILRLWLHGPKAGQVDTFAVVPGFPDNIRRNSEGQFWVALHAKETPFAKWIASNLWAGKALLKLGNFKQLHASVAKKPHAAAIKLSDEGEIIEVLEDCEGKTLKFISEVEEKDGKLWIASVLMPYIGVYSL >CAK8568531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614553503:614554381:1 gene:gene-LATHSAT_LOCUS21479 transcript:rna-LATHSAT_LOCUS21479 gene_biotype:protein_coding transcript_biotype:protein_coding MYATDDSSRSSGTDSGSTADDSSHWTAETINSGSLRHVDLNTGTNGWASPPGDVFNLRSQSYFTKRQKSPAGEYLLSPAGMDWLKSSTKLDNVLSRSDNRISSALKKAQSNGKSLKSFIFAVNLQIPGKEHHSAVFYYATEDPVQSGSLLGRFIEGDDSFRNQRFKLVNRIVKGPWIVKKAVGNYSACLLGKALTCHYHRGPNYFEIDVDIGSSAIANAILRLALGYVTSVTIDMGFVVEAQTEEELPEKLIGAVRVCQMEMSSACTIVDAPRIGIAKVNHHGATDSSESEN >CAK8541246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:96515730:96519030:1 gene:gene-LATHSAT_LOCUS10178 transcript:rna-LATHSAT_LOCUS10178 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINGSLSSIHPQHTREPPYSKFTLHPNHFSVSKTPKPKPFKIRADVSYEPRNTSSDDKIREILRNRDYDKKFGFNIDIDSFTIPKGLSKETIRLISTLKSEPDWMLNFRLNAFEKFSKMKEPNWSDNTYPSIDFQDICYYSAPKKKPSLNSLEEADPELLRYFDKLGVPLNEQNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISDAIKEYPDLVKKYLGRVVSSDDNYYAALNAAVFSDGSFCYIPKDTKCPMQISTYFRINALETGQFERTLIVAEDRSSVEYLEGCTAPSYDKNQLHAAVVELYCAEGAEIKYSTVQNWYAGDENGKGGIYNFVTKRGLCAGARSRISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGHSRNCYRGLVQVQSKAKNAKNSSQCDSMLIGDTAAANTYPYIQVKNPSARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >CAK8574174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667678471:667681391:-1 gene:gene-LATHSAT_LOCUS26545 transcript:rna-LATHSAT_LOCUS26545 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVSIEVWNPNGKYRVVSTKSMPGTRWINLLIQQDVRLEICTEKKTILSVEDIIALIGDKCDGVIGQLTEDWGEELFSALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQATRLEKFVTAYADFLKANGETPVTWKRATSMDEVLQEADIISLHPILDKTTYHLVNKERLAKMKKEAILINCSRGPVIDEAALVEHLRQNPLFRVGLDVFEEEPYMKPGLAELKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPVWFDANRVEPFLNENAQPPAACPSIVNAKALSLPVSKL >CAK8566547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434067909:434068850:-1 gene:gene-LATHSAT_LOCUS19670 transcript:rna-LATHSAT_LOCUS19670 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRFFMEEEAPSSRRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTVVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFLGMLGSIDCMHWEWKNCPVALKGQYVRGDNGKPTVMLEAVASHDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYTINRTEYNKGYYLSDGIYPEWATFVKSILMPQGDKRKLFAQHQEGA >CAK8544658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698124621:698127370:-1 gene:gene-LATHSAT_LOCUS13316 transcript:rna-LATHSAT_LOCUS13316 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITEQEPDQQPKQSPKTTPTQPPNQLSFWLYFTLPLSLITLYYFFTSSNSSPQASFLNLPTTLRQHYSNGRIIKVQTHPNQQPVNLFVFQPPITTPTPSETVLVIHGQALSSYSYRNVVESLCTKGVRVIAIDLPGYGFSDKSVEVSADGFDGFFGRVSYVYSEIQEKGFFWAFDQIVATGQIPYEEILNRMAERKVSKPIDLGPEEIGKVLGEVIGALGLAPVHLVLHDSALGFSANWVSENSDLVSSLTLIDTGTKSLGAFPVWVLEVPLIREVVLGFPLAFAKVVNFCCSKRIGDLDVDAHRVLLKAGDGRKAVVAIGKNLNSSFDLADWGGSDGLKDKPMQLIWSSGWSEEWSREGNRVAGALPQAKFVRHSGGRWAQEDVAVEIAEKISKFVLSLPKTVRKVEQESFIPDHIQKKLDEANSDDGHDHHHGHEHDHLVEAQIHEAGYMNTYGLGH >CAK8567163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491892683:491893537:1 gene:gene-LATHSAT_LOCUS20238 transcript:rna-LATHSAT_LOCUS20238 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRYPLQQRKLFIGLATVGLIGAALFIATAITASDTSSLHCPISTGIRTRSNDDNNNPTPIQLQSILHYATSRVVPQQSVSEIKISFDVLKSYNRPCNFLVFGLGHDSLMWASFNPGGNTLFLEEDPRWVQTVLKDAPGLRAHTVRYRTQLREAHKLITSYRSEPACSPSKTFLRGNKACKLALENLPDEVYDTEWDLIMVDAPKGYYAEAPGRMAAVFSAAVLARNRKGSGVTHVFLHDVDRRVEKAYAEEFLCKKNMVKGVGRLWHFKIPPSNNTDDARFC >CAK8531900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:173288011:173289971:1 gene:gene-LATHSAT_LOCUS1659 transcript:rna-LATHSAT_LOCUS1659 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDEEMESLLSAFNQIHEDVKSSISEIQSLQSKHSSELKLRESLQITCNNLKKENEELAKLYSESLKNVADQLDFRAKCMNLKEELERSKNEVIAKEDGHKRALKLLEQEYDGKIASLEAQVKESLHEKATYEATISQLNGDIAAHKNHMQVLANRLDQIHFEVESKYSSEIRDLKDCLMAEQEEKNDLNRKMQNLEKELLLFKAKMVDQQQEMTSNWQVETLKQKIMKLRKENEVLKRMFTHTEEGK >CAK8535321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838231623:838235572:-1 gene:gene-LATHSAT_LOCUS4788 transcript:rna-LATHSAT_LOCUS4788 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVFVITLAMLTAATVISGSPVTLSLERAFPTNHGVELFQLRARDLLRHRRILQSSNGVVDFDVHGTFDPFQVGLYFTKVQLGTPPVEFYVQIDTGSDVLWVSCSSCTGCPQTSGLQIELNFFNAGRSSTSSLISCSDRRCNSGIQSSDATCSSQNNQCSYTFQYGDGSGTSGYYVSDTMHLDTIFEGSVATNSSAPVVFGCSNQQSGDLTKSDRAVDGIFGFGQQEMSVISQLSSQGIAPRVFSHCLRGDSTGGGVLVLGEIVEPNIVYTPLVPSQPHYNLNLQSISVNGQALQIDASVFATSNNNRGTIVDSGTTLAYLAEEAYDPFVNAITATIPQSVRTVVSRGNQCFLITSSVADIFPQVSLNFAGGASMVLRPQDYLIQQTSVSGAAVWCIGFQKIQGQGVTILGDLVLKDKIIVYDLAGQRIGWANYDCSLSVNVSAATGTGRSEYVNAGEISGSNSLHDKYSKTVFLSLFVALICCFVFL >CAK8561348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:163374100:163374432:1 gene:gene-LATHSAT_LOCUS14949 transcript:rna-LATHSAT_LOCUS14949 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNAVNKLEPYHMSSLPSSIIFSSLQHPANNLNKITKLCQNPNKYNSIKITNLTYYKLKFHFFYVNFPTPPRSEKVSAIVPSLLHQDFKVSIDSSNQLPPLCNHKLCFL >CAK8568695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632890464:632892416:1 gene:gene-LATHSAT_LOCUS21628 transcript:rna-LATHSAT_LOCUS21628 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLSKLPRKTSKTDSDESYRGDSSSRSDPPRAGKSSKSHGGNDGAKNNAAKRNSSAAVFPTSTVSLIEPLVPFKDVASSEKMNLFVSKLSLCCVAFDFTDPGKNIAEKDVKRKTLVELVDFVSSFGSARFSEPAILAMCRMCAINLFRVFPPNYRANNRGGGGGENDDDEPSFDPAWPHLHLVYELLLKFITSSCLDAKVAKKYFDHSFISRLLELFDSEDPRERDCLKTITHRIYGKFMVHRPYIRKSFNNVFHRFVFETEKHNGIAELLEIFGSVVSGFALPLKEEHKIFLWRILIPLHKPRNMGAYFQQLSYCVTQFIEKEPKLASIVIRGMLKYWPITHSQKEVMFLGELEEILETINMVEFQRVMVPLFWRIGCCISSLHFQVSERALYLWNNDHIVNLIAHNRQVILPIIIPALERNSQSHWNPAVLNLTHNVRKMFMEMDENLFLSCRSQFKEEEAMLNTESEKRKEAWKQLEHAASLKRKIGNTAVLVSSVL >CAK8530845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55314410:55314856:1 gene:gene-LATHSAT_LOCUS681 transcript:rna-LATHSAT_LOCUS681 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLLRPPPPSPISTSHQPLALHHHRTSSSPFNFPKTKTTTSNSFVLNSTNPSSLSATSKSEPRDEKLLTLLQQRKTEEAWIAYTLSAHLPNPTFLTRLRNESQLHRLDSNSLGLLAVAAAKAGHTLYASSVVKSMLRSGYLPHVKA >CAK8538252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473655941:473656570:1 gene:gene-LATHSAT_LOCUS7472 transcript:rna-LATHSAT_LOCUS7472 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERIRLYVRGTVLGYKRSKSNQYPNTSLVQIEGVNTKEEVAWYAGKRLAYIYKAKVKRDGSHYRCIWGKVTRPHGNSGVVRAKFTSNLPPRSMGARVRVFMYPSNI >CAK8533807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661467049:661467519:-1 gene:gene-LATHSAT_LOCUS3403 transcript:rna-LATHSAT_LOCUS3403 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNIDWKSIVGMEFNNKDDEAYQFWLAYSAHVGFEVRKRCANKNKDGIISSCRFVCSKEGLKRKKKTNVFISNQRIDTRIDCKTIISLGCHNEKFVINEFVEDHNHALQHPETTHMLASHGKITEVQVYEIDLADDSGLRQKSTFQLMSHVQGI >CAK8543113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579748205:579748480:1 gene:gene-LATHSAT_LOCUS11895 transcript:rna-LATHSAT_LOCUS11895 gene_biotype:protein_coding transcript_biotype:protein_coding MKESDIMQMNDMLQVGIRPSQFYGSFANQSKGYKKIGFHRKDIYNQIGKQRLLQRRDGKNALHYLRGLPSDDSMMFYHHTVDGEGRLEHLF >CAK8539871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529692048:529694788:-1 gene:gene-LATHSAT_LOCUS8929 transcript:rna-LATHSAT_LOCUS8929 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPACYDINMINPSRIWQTDNVLKNELPILAFQVGFVVLISRCFFFIYRPLHLPRILSQLSVGFLLTPPLIGKYTEVFTFIFPVTGVLNVEVLSHIGIIYYAFLSGLEINLNVILHVKKKAGSIAVAGIVFPMMMGPLLYKLHRISYDKGDEAAIEPSTLNAYVLWTLVLTVTGFPVIAHSLSELKLLYTALGEAALTASMVSDTYAWLLFTLFVPFSINGKDAIYSVLSTIIFVIICIFIVRPIIVQVIDRMTERDEWDDNKLLFVVMGLLVCSHITDILGTHAVVGAFVYGLILPHGKFADKVVSASDDFGIGFLAPLFFSGTGMRLMITTIFKQHNCFVTLIIIILLCSIKILGTMFAAFFFGMRNRDSFALGLILNTKGAIALIMLNIAWDRSILAVPTYVVLSSSVLLMTIVVSPIINAIYKPRKRFEQNKLKTIQKLRNDAELRILACVHNTRQATGITSLIELFNATRISPLHVFALHLVELTGRAAALVAAHMEKPSGQPGAQNLTQSQVEQESINNTFEAFGEVYDAIRVQTLNVVSAFETIHEDIYNSANEKRTSLIIIPFHKQLSSEGALETTRVVYRDINMNVMQSAPCSVGIFVDRDINSIPKTNFCIRMIFVGGPDDREAMAVAWRMAGHPGINLSVVRMLLFDDAAAVDTSVNVESQGILSAVMDNEKQMELDDEYVNSFRLTAVNNDDSITYSEVDVHLGEDIPKILNQIDSYGCDLYIVGQGNCRNSQVFSDMLEWCDCPELGVIGDILASNNSGSRSSVLVVQQYGYGGMVLGKQSKNVSTNEDGSKTLVVKSE >CAK8540453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561812274:561814706:1 gene:gene-LATHSAT_LOCUS9456 transcript:rna-LATHSAT_LOCUS9456 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHSHLLHKLKHKFTNNTLFSFSFSSSSNIQQTHPNLLQLCTHSHTLSHTKQLHAFAILHSFLPHSVSISASLILQYATFGHPKSSLFLFQKAVSFSRSAFLWNTLIRAYSIAGVFDGFSIYNTMVRSGVKPDDHTYPFVLKGCSDYLEIDKGREVHGVVFKFGFDKDVFVGNTLLMLYGNYGFLVDAMKVFDEMFERDKVSWNTVIGLCSVQGFYEESLGFFKEMVGSAPVIRPDLVTVVSVLPVCADSENVVMARIVHGYALKVGLFSHVKVGNAFVDVYGKCGSEEASKKVFDGMDERNEVSWNAIITSFSFRGCYMDALDAFRLMIDAGMRPNPVTISSMLPVLGELGLFKMGMEVHGFSLRMSIESDIFIGNSLIDMYAKSGLSRTASTIFNTMEERNIVSWNAMVASFAQNRHHFAAVELVRQMQAHGENPNNVTFTNVLPACARLGFLNVGKEIHARIIRIGCAFDLFVSNALTDMYSKCGRLSLARNVFNNSIKDKISYNILILGYSQTTNSSESLNLFSEMRLSGMMPDIVSFIGIISACANLALIKQGKGIHGHLVRKLFHTHLFAANSLLDLYTKCGRIDLATKIFDRIQHKDVASWNTMILGYGMLGELDTAINLFEAMKDNGVEYDSVSFIAVLSACSHGGLIEKGNKYFKKMKDHNIEPTHMHYACMVDSLGRAGQIEEAANLIRNISFEPDANIWGALLGACRTYGNVELGHWAAEHLFKLRPDHCGYYILLSNMYAEAGRWDEANKVRELMKSRGAKKNPGCSWVQVGDQVHAFVVGEKIESLDTEFWISESG >CAK8544520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690142733:690143221:1 gene:gene-LATHSAT_LOCUS13191 transcript:rna-LATHSAT_LOCUS13191 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEMKSKSEVYHGDEMCRLKSQELLREVGLPNGLLPLKDIIEVGYNEETGFVWLKQKNSITHKFEKIGKLVSYATEVTAQVEKGKIMKLTGVKTKELFIWITLSDIYVDDPPTGKITFQTPAGLFKTFRVSAFEVEEKSSGVKEINKVNETIGAVKEV >CAK8540520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9774458:9778546:1 gene:gene-LATHSAT_LOCUS9520 transcript:rna-LATHSAT_LOCUS9520 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPIAMQSTPGSSGYLDMHPDRKMSFFKNPYILGLAAVAGIGGLLFGYDTGVISGALLYIKDDFEEVRNSSFLQETIVSMAIAGAIVGAAFGGWINDTYGRKKATLLADVIFILGAILMAAAPDPYVLIVGRLLVGMGVGIASVTAPVYIAEAAPSEIRGSLVSTNVLMITGGQFISYLVNLAFTEVPGTWRWMLGISAVPAIIQFVLMLFLPESPRWLFLKNRKNEAVDVISKIYDLSRLEDEIDFLTAQSEQERQKRSTIKFWHVFRSKETRLAFLVGGGLLAFQQLTGINTVMYYSPTIVQMAGFEANKLALLLSLIVAGMNAVGSVLGIYLIDNTGRKKLALFSLGGVFVSLIMLSLAFYKQSSSSNAVYGWLAVIALGLYIGFFSPGMGPVPWTVNSEIYPEEYRGICGGMAATVNWISNLIVSESFLSIADSIGIAPTFLIIAGIAVVAFLFVLLYVPETQGLTFDEVELIWKERAWGKNPNTQSLLEHGSQS >CAK8567188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493165847:493166813:1 gene:gene-LATHSAT_LOCUS20261 transcript:rna-LATHSAT_LOCUS20261 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVMLKSERSPHSNLPIIRIPGDGRCMFRSVIYGASLRTGDQPPSLTKQKELADELRAKVVDEFIKRRADTEWFLEGDFNTYTMQMRKCQVWGGEPELLMSSHVLQMPITVVMQDMNSNNLKIIAEYGQEYGKEKPIRVIYDGYGHYDVLKCST >CAK8567189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493165859:493166813:1 gene:gene-LATHSAT_LOCUS20261 transcript:rna-LATHSAT_LOCUS20261-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSERSPHSNLPIIRIPGDGRCMFRSVIYGASLRTGDQPPSLTKQKELADELRAKVVDEFIKRRADTEWFLEGDFNTYTMQMRKCQVWGGEPELLMSSHVLQMPITVVMQDMNSNNLKIIAEYGQEYGKEKPIRVIYDGYGHYDVLKCST >CAK8569963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18362524:18364269:1 gene:gene-LATHSAT_LOCUS22758 transcript:rna-LATHSAT_LOCUS22758 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLPEEEADQIVNSGGGEVALKKGIEVDQTVNAGGGEVALKKGSTSTQKRKVSSLSSGSDVGKRSKLSRSILPEEEAYQRDNAGGGEVALKKGPWTKEEDEILKDHIKRHGEENWKAVQKESGLVRCGKSFRIRWSNHLRLGVKKGSFTAEEECLFIECHFLKGNKWAHMDTLLPGRTNNEIKNFWYTRSKKRKRDGLPIYPDEITSKYLLNDSQESADTLANESNQHDETETFNLDISDLDLKYYKFRQDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVFQQRYLLSSRSDAVPEVFDQYGQYPMLPTPCDLILNTNLLHEYDNPITGFNVVSNISSFDPIYGSMNFEPPSFQNSPTQQPTWSDMYVPPVPSFEYVDTPVQAPPIKSCPPVPDSPDWGQNLSPNEAHISTQWNGLDYDHDAIVANQLNFLRQVRSKIKNTRHYYINRGALNDQLPAYYTSNKKII >CAK8542894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:556172101:556193797:-1 gene:gene-LATHSAT_LOCUS11692 transcript:rna-LATHSAT_LOCUS11692 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTNSIFHGPATFHRQSQPSKFLLSKPLLFPRLPRVVNFGKLKFNSLFSVKNSFRRFDVKSSVNSSSEVLESIDVGVPHSSLQKHSVKIPVGDRHILVETGHIGRQASGSVTVTDGETILYTTVCLNDTPSEPSDFFPLSVNYQERFSAAGRTSGGFFKREGKTKDHEVLICRLIDRPLRPTMPKGFYHETQILSWVLSYDGSHAPDSLAITAAGIALALSEVPMSKAVAGVRVGLIGDKYIVNPTTEEMENSELDLMLAGTDSAILMIEGYGNFLPEEKLLKAVEVGQDAVRAICNEVELLVKKCGKPKMIDAIKLPPPELYKHVEEIAGDELVKVLQIRNKIPRRKALSSLEERVLKILTENGVVIDESAPRSNAETIAEILEDEDEEVIVDGEVDEGDVHIKPTPRKPTPLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPKEIRPINSSCSILPRAHGSALFTRGETQALAVATLGDNKMAQRVDNLIEDDDYKKFYLQYSFPPSCVGEAGRIGAPSRREIGHGMLAERSLETILPSDNEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKCSIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVAGITLPIMREALLQARDGRKHILGEMMKSLPPPAKRLSPYAPLIHIMKVRSDKVNLIIGSGGKKVKSIIEQSGVEAIDTEDNGTVRIFAKDLASLEKSKAIISSLTMVPNVGDIYRNCEIKSILPYGVFVEISPGREGLCHISELSSGWLAKAEDVFKVGDKIDVKLTEINEKGQLRLSYRALLPDADSDKSKSTDKSSLEEVSSPANAGLFEEKIEQPNDKVNTPKGTASSKRSSEDNSALPSKKFSRRSVSPSQEKPVANKDEIKKSSNKAVSSVSSKDESSLVGEEA >CAK8560307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13839254:13839662:1 gene:gene-LATHSAT_LOCUS13991 transcript:rna-LATHSAT_LOCUS13991 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKAYKACVPIAYSPNLYITLVRGIPGTRKQHRRTLEALRLGKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYKGRKQKEENHRALRPPLVINHQPAPAEGAL >CAK8566576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438098291:438100932:-1 gene:gene-LATHSAT_LOCUS19697 transcript:rna-LATHSAT_LOCUS19697 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTIGAVKTPKSLNGSVVAGPSIPSSSFFGTSLKKITSRLPNTKLSSGSFKIVATAEIEPEKQTDGDRWRGLAYDISDDQQDITRGKGLVDSVFQAPQNAGTHYAIMSSYEYISTGLKQYNFDNTMSGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGVWGGKGQGKSFQAELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRHDKIATEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWISGIGVEGIGKRLVNSKEGPPEFDQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANQDAITRGAF >CAK8560396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17229193:17236133:-1 gene:gene-LATHSAT_LOCUS14070 transcript:rna-LATHSAT_LOCUS14070 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQVGQPKSSNGYGRRKSEKDGASKSDNKIPPGKSNAGRLASTVTSSKGGSYESPSRDRLVYLTTCLIGQQVEVQVKNGSIYSGIFHATNTEKDFGIILKMACLTKDGSSQGQSSGAEFVSKAPSKTLIIPGKELVQVIAKDVAVSTGDLASESHYDVHQEIMVDSVISQPRHVDLGRELHRWVPDEDVPQCPELDNIFDGPWNSSRGWDQFETNKMLFGVKSTFDEELYTTKLEKGPRMRELEMQALRIAREIEGEETQDIHLAEERGLYPDDFDIDEETRFSSVYRGKGVDDEYDENEDNFLDSHNSETFGDMSDSVIKRPGKSSQSKTGGDICRSGSYDHAKQLSSEVSGQSYSFSDVESRIQDNSVCDLHGANGNTKEENQTQAEDVQLSKYQDLRPAFNLKKDGSDKVGLSPTNASSYTSSTHISSKVDEKTGSHGDLTGGSESVKASWETKSLNSRGRSETRISSGSDFIAGVATYSGPSLSPSSSLGSLSSDKSTLNPYAKEFKLNPNAKSFVPSQAPVRPRSPVSDGSFYYPANASTVPSMPTMPMGVGVGTSFAGPQPIMYNPQVAQMPSQPYFHQNGPQYGQIHGHPRQVLYMPNYLPETPPYKGRNY >CAK8560394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17229193:17236133:-1 gene:gene-LATHSAT_LOCUS14070 transcript:rna-LATHSAT_LOCUS14070-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQVGQPKSSNGYGRRKSEKDGASKSDNKIPPGKSNAGRLASTGAVTSSKGGSYESPSRDRLVYLTTCLIGQQVEVQVKNGSIYSGIFHATNTEKDFGIILKMACLTKDGSSQGQSSGAEFVSKAPSKTLIIPGKELVQVIAKDVAVSTGDLASESHYDVHQEIMVDSVISQPRHVDLGRELHRWVPDEDVPQCPELDNIFDGPWNSSRGWDQFETNKMLFGVKSTFDEELYTTKLEKGPRMRELEMQALRIAREIEGEETQDIHLAEERGLYPDDFDIDEETRFSSVYRGKGVDDEYDENEDNFLDSHNSETFGDMSDSVIKRPGKSSQSKTGGDICRSGSYDHAKQLSSEVSGQSYSFSDVESRIQDNSVCDLHGANGNTKEENQTQAEDVQLSKYQDLRPAFNLKKDGSDKVGLSPTNASSYTSSTHISSKVDEKTGSHGDLTGGSESVKASWETKSLNSRGRSETRISSGSDFIAGVATYSGPSLSPSSSLGSLSSDKSTLNPYAKEFKLNPNAKSFVPSQAPVRPRSPVSDGSFYYPANASTVPSMPTMPMGVGVGTSFAGPQPIMYNPQVAQMPSQPYFHQNGPQYGQIHGHPRQVLYMPNYLPETPPYKGRNY >CAK8560395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17229193:17236133:-1 gene:gene-LATHSAT_LOCUS14070 transcript:rna-LATHSAT_LOCUS14070-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQVGQPKSSNGYGRRKSEKDGASKSDNKIPPGKSNAGRLASTGAVTSSKGGSYESPSRDRLVYLTTCLIGQQVEVQVKNGSIYSGIFHATNTEKDFGIILKMACLTKDGSSQGQSSGAEFVSKAPSKTLIIPGKELVQVIAKDVAVSTGDLASESHYDVHQEIMVDSVISQPRHVDLGRELHRWVPDEDVPQCPELDNIFDGPWNSSRGWDQFETNKMLFGVKSTFDEELYTTKLEKGPRMRELEMQALRIAREIEGEETQDIHLAEERGLYPDDFDIDEETRFSSVYRGKGVDDEYDENEDNFLDSHNSETFGDMSDSVIKRPGKVSGGKGKNGAQTWSNFSTMSSQSKTGGDICRSGSYDHAKQLSSEVSGQSYSFSDVESRIQDNSVCDLHGANGNTKEENQTQAEDVQLSKYQDLRPAFNLKKDGSDKVGLSPTNASSYTSSTHISSKVDEKTGSHGDLTGGSESVKASWETKSLNSRGRSETRISSGSDFIAGVATYSGPSLSPSSSLGSLSSDKSTLNPYAKEFKLNPNAKSFVPSQAPVRPRSPVSDGSFYYPANASTVPSMPTMPMGVGVGTSFAGPQPIMYNPQVAQMPSQPYFHQNGPQYGQIHGHPRQVLYMPNYLPETPPYKGRNY >CAK8565582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283867733:283868170:1 gene:gene-LATHSAT_LOCUS18781 transcript:rna-LATHSAT_LOCUS18781 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHIKVYDHLSVLNGIVSELETIGVKIDDEDKTLRLIWSLPSSYEHIKPVLIYGKETLSFEEVASKITSEERRLKGEENTSSNSVLVARGRSYVKKNNEMGVTCWKCGKLGHIKYKCIDGAASEKDSESNASNVSLTMREDGLL >CAK8564569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539816:540149:1 gene:gene-LATHSAT_LOCUS17865 transcript:rna-LATHSAT_LOCUS17865 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQQGTVPPQEVPSATKTSWPELVGVSADEAEKKIKEDLPEAYIQVLPHDQIVASTDFAPSRVPLFVDESNKVTRTPITG >CAK8543547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618918317:618919320:1 gene:gene-LATHSAT_LOCUS12297 transcript:rna-LATHSAT_LOCUS12297 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEPTTVAVEQPIVEEPAAVDTLPPVVNEAEEPAAKPKKAPKEPKAKKAPAKPRTHPTYEEMVKEAIVALKEKSGSSQYAIAKFIEEKHKQLPSNFKKLLLVQIKKLVASGKLVKVKASYKLPAKSTAAKPAKKPAASKSKAKPKAKAATKSKAKPAAKAKPAAKAKPAAKAKPAAKAKPAAKAKPAAKAKPAAKPAKTVSAKPAAKAKPAAKPKAAAKAKPAAKAKPAAKAKPAAKPAKAARTSTRTSPAAKAAAPKPTVKKAAPVKKTPVKAAAKAKTAKSPAKKAAAKRGKK >CAK8570379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41550743:41551351:-1 gene:gene-LATHSAT_LOCUS23130 transcript:rna-LATHSAT_LOCUS23130 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTEHSNDPTATALSQPNVQDKEDTKPQLEVKLSHVASGTDSSTSSQTAETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPARLREDLESAFEDDLENVFDITNLQLSLGQQKGDMEIELKRINRLKDKFRMIHEQLIQRQVV >CAK8531330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101113411:101114595:1 gene:gene-LATHSAT_LOCUS1130 transcript:rna-LATHSAT_LOCUS1130 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCDFCGDQRSLVYCRSDAASLCLSCDRNVHSANALSKCHSRTLVCEKCNLQPAYVRCVEEKVSFCQNCDWSAHGTDPSSSTHKRQSINCYSGCPSASELSSIWPFSSDIPSTGEACEQKLGLMSINENCDNKARVSPESKNVSGSAQVADLPSKDKSAAGKSSVTESRAEPRILDQPPQPSDECMPKLQCLGSMASALCEDDNLYDGFNIDDMDLELENYKEVFSYALNNSEEFFEKGSIDSLFERKDMSASAGDSNCRGAIAASSDSMLSTKTEPILYFTEMQSQSNVSFSGVINSASASDNQECGGVSSMLLTGEPPWCPPCPENSIQSVNRSNAVMRYKEKKKNRKFDKKVRYASRKARADVRKRVKGRFVKAGETFDYDPLSETRSC >CAK8540388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:556986659:556988100:-1 gene:gene-LATHSAT_LOCUS9394 transcript:rna-LATHSAT_LOCUS9394 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLEELLAPRKDTWNTLSTGLNDLLLPNGWNFDSFDENLLINPSLNPSFASFSTPLDHRFECSYGTDAVSYPFVDGFTVPELDDSTPLLPQEGVEEFGFVGSESKGLEEGKISCKVEEHVSEIPVFDMGLCGGGGGQKKGKSKRVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEMDEGTDQINVLGISKELKPNEVMVRNSPKFNVERRDQDTRISICCATKPGLLLSTVNTLEALGLEIHQCVISSFNDFSLQASCSEVAGQRNCMNPEEIKQSLFRNAGYGGRCL >CAK8544873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709740573:709742826:-1 gene:gene-LATHSAT_LOCUS13518 transcript:rna-LATHSAT_LOCUS13518 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFSSVFYNILYYEEKQLFTKEIKHGKASSHKVNCCHFSLDGKLLVTGGHDNKASLWCTELLNLKSTFDEHTKWITDVRFCSSMLCVAMSSADKTIKVWGVNNPSHSIRTFTGHTRTVMSLDFHPNKNGLICSCDKREIRYWSIENGCCVGIFEGGATQVRFQPVLGKLLAAAVNNLILILDAKNPSCRIKLQGHNSIVRSVCWDWSGKYLASASDDLVRIWEVGANGSGLCIHELNTAGSDDKFKSCVFHPIYHVLVLGCDVTLMLWDYIARNVMFLRGHGKLVSALAVSNFTGLVASVSHDNYFKIWK >CAK8566895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470673108:470677052:1 gene:gene-LATHSAT_LOCUS19994 transcript:rna-LATHSAT_LOCUS19994 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQYIGSSSSSSKVASLKRFDVFISFRGEDTRKTFTSHLYEALNKKVLTFIDIELEKGDEISSALNKAIEESDASVVIFSKDYASSKWCLNELVKILECKRDRRQIVIPVFYDIEPSDVRNQSGTYQQAFEKHERDLRHDKDKLQKWKDALTEAANLSGWNSQSYGMESNFVKDIVEDVLKKLYGRYPFEVNRELVGIDTKYEKMESLLKIGSNDVRILVLWGMGGIGKTTLAKHVYGRLCSQFERTCFIENIREESTKYGLKSVRNKLFSTLLEFPLNAPYAETPIFKNRLAHERSLIVLDDVATLEQAENVNIVNSCLGKGSRVIITTRDMQICSQFDKCEIYEFEEMNADESLQLFCWNAFGEKCPKDGYDNLSERAILFCRGNPLALKVLGANFRTKKSKEAWESELEKLKKIPNKRIHDVLKLSFDDLDSTQQAIFLDIACISEELLGYYISLVGKDYIRALWNACDFFAESGLEVLLYKALIYFNNDNEQRILMHDLLKEMGKEIVLKESVKYPGRRSRLWDQKDVYDVLKYKMGTEIVEVIEFNIDQLGNLYLSSDSFKSMTNLRHLHITTYNESRLHLLEGLEWLSDKLKHLHWDAFPLESLPSTFCAEWLVNLTLLSSKLKKLWDGIQRLDNLMILNLDYSKDLIEIPDLSRAPNLQVVSLSYCESLCQLHPSIFSAPKLIELQLDGCKKIESLKNNIHSKSLQILNLSKSSLVEFSVTSKEMMKLSLWDAVVRGFSSFMLCNEKFTELHLTGCTQINTSSLWFILDGTPSLKLLCLRNCHNLETLPDNIHKNSMLEILDLDDCQKLKYLPKLPVSLHSFTAKNCIHLKTNSFQRSILENMLHKLRSSENILHDRSHSWSNWNIPSYYMSYHMDSCFFLGAQVPNEFIFHTTKASIVIPPISRYGLYGFAFCIILSGGWDITTVGEISCTIYQHNEEVDRYWKWYWSGVLISDHVLLGCIECYNSDWVKIGSESGGYHYNLSFKFNYKELGVAKPEWIKGCGVIPVYDSKHSFVLDGRIGGVEIVEIQSNTQLSHHFDNIKVLDDCHGHSKFDMNESQDQEIGAENEDDQQQLIIPPTENMQLNDKSSCPCSIGLLLKHMLEESKRLSLLFLNHR >CAK8536994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51673363:51674896:-1 gene:gene-LATHSAT_LOCUS6315 transcript:rna-LATHSAT_LOCUS6315 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTNPFNDDPPSINKRIFQLSLYSLLPIFFLCLYFNLFSLTHSPTQLLHSTSIFTYNSSVSSSPPSTSYIDRDMGYDYEKSCDYSNGDWVSDMREALYNVTTCDTIKESEKCTTNGRPDLGYLYWRWKPTHCNLPRFEPNTFLKLIQNKHIAFVGDSLARNQLESLLCMLSTTSTPYLVYQNGEDKKFRRWHFPSHNVNFSLYWSPFLVHGVERSNEGQYYNTMFLDLVNERWARVIDQMDMIVISTGRWFLLPSIYYENGVVLGSLNCPEFNHTQMDFYAPLRKALRTTLNSIIERKVAKGNGIDVIVKTFPPDHFEGDWNKGGSCSKTKPYRKEEKVVGGMEGEIRRIEIEEVESAKVKANQFGGIRFEVLDVTKLALLRPDGHPGPYMNPFPFVNGVQKYVQKDCVHWCLPGPIDTWNEIFSEVIKKWRVKYP >CAK8566178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388511258:388515012:1 gene:gene-LATHSAT_LOCUS19335 transcript:rna-LATHSAT_LOCUS19335 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQLNLLVTLITLFHSWNSFLVTQADPQTRLINKGCSQYNATNLSNFYQNLNATLSDLNSQVGNENKHFATAQSAKGANPVYAFFQCRNYLSDSDCAACFTVAAAQIRNCSAGSNGARVIYDGCFLRYESNGFFDQTTLPGNSMICGTQTANGAAAFTAAAQQVLLNLQTATPKIPGFFAATKTPVSGTGNEIYAIAQCAESVTQSGCLDCLTVGFNNIQSCFPNTDGRAFDAGCFMRYSETSFFADNQTTDITPFLKQGSSNKGAIIGGIVGGVALIVIILIALFVWLRLYKKPKRHHRGDILGATELKGPLTYRYNDLKSATKNFNDENKLGEGGFGDVYKGTLKNGKVVAVKKLILGHSGKMDEQFESEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANNSLDRFLFGEKKGSLNWIQRYDIILGTARGLAYLHEDFHVCIIHRDIKTNNILLDDDLQPKIADFGLARLLPEDQSHLSTRFAGTLGYTAPEYAIHGQLSVKADTYSFGVVVLEIISGQKSSEIRDDADGEFLLQKAWKLYEEERHLELVDKTLNTSDYDAQEVKKVIEIALLCTQGTAATRPTMSEIVVLLKSKNFVEHMKPTMPVFVNTNLRPRTDTSTSTTSSKSNATVSTSILSAR >CAK8530674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39260841:39261194:-1 gene:gene-LATHSAT_LOCUS520 transcript:rna-LATHSAT_LOCUS520 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRPPKVVSTPSSSISNKDDDTPSEKNSNPTIEPNHHAIEKPTEESVAIQEITESENLISPKGATEPRRLWVDVISGNRNPENGLALEFIAPKIVNGIAEVVIEEADTVEEVKF >CAK8576503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:485481706:485482665:-1 gene:gene-LATHSAT_LOCUS28685 transcript:rna-LATHSAT_LOCUS28685 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKFTSRILFLHLLLVTSFYGNRARDLVETENKLLDISQNGTNEPQKEHKHHEHNHNHNHMDPSVMVFFTLQDLKVGKRMQIYFPKRNPSTSPKFWPKDKADSLPFSLNKLQTLLKIFSFSHDSPQAKAMVDTLTECESKPIQGELKYCATSLESMLDFTQRTLGFSNDLQVYATSHLTKSSVTFQNYTIIENIVRISAPKMVACHTMPYPYAVFYCHSQDSENRLYRVSLVGDNGDKVEAMVVCHMDTSHWGHGHVSFQVLGVAPGSSNVCHFFPADNFIWVPKLQVRGVSGM >CAK8540243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546764821:546765198:-1 gene:gene-LATHSAT_LOCUS9268 transcript:rna-LATHSAT_LOCUS9268 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSRRPRRQRRNIERNREEGHERLFKDYFSETLIYTNEQFHRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTIGIWNIC >CAK8563233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584481554:584484731:1 gene:gene-LATHSAT_LOCUS16664 transcript:rna-LATHSAT_LOCUS16664 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKFTHKTNEALAGAHELASSSGHAQITPLHLSSVLISDPTGIFFQAISNVGGEESARAVERVIKQALKKLPSQSPPPEDVPGSTSLIKVIRRAQAAQKSRGDTHLAVDQLILGILEDSQIGDLFKEAGVAVSRVKSEVEKLRGKEGKKVESASGDTSFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLVALDMGALVAGAKYRGEFEERLKSVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLDEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKERYEGHHGVRIQDRAIVVAAQLSSRYITGRHLPDKAIDLIDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVDVRRELDDLRDKLQPLKMKYSKEKERIDEIRRLKQKREELLFALQEAERRYDLARAADLRYGAIEEVETAIKNLEGSTDGNTDENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKQRLVGLGDRLHTRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHTSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLSGKCTMQAAHDRVMQEVRRHFRPELLNRLDEVVVFDPLSHEQLRKVARLQMKDVAGRLAEKGIALAVTDAALDLILAESYDPVYGARPIRRWLEKKVVTELSRMLIREEIDENTTVYIDAGPKGSDLAYRVEKNGGIVNAETGVKSDILIQIPNGPKSDAVQAVKKMRIEEIDDDEMEE >CAK8533612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641518583:641521795:-1 gene:gene-LATHSAT_LOCUS3227 transcript:rna-LATHSAT_LOCUS3227 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRIPNLAQIYLIGFHEEREFALYVSSISNELKLPVRYLREDKPHGSAGGLYYFRDIIMEDSPSHIFLLNCDVCCSFPLPSMLEAHIKYGGMGTMLVIKVSAESANQFGELVADPDTHELLHYTEKPETFVSDLINCGVYIFTPDIFNAIEDVSTNREGRANLRRLSSFEALQSATRTLPKDFVRLDQDILSPLAGKKKLYTYETNDFWEQIKTPGLSLKCSELYLAQFRYTSPHLLANGDGKKNAKIVGDVYIHPSAKVHPSAKIGPNVSISANVRVGAGVRLIGCIILDDVEIKENAVVINSIVGWKSSLGRWSRVQADGDYNAKLGITILGEAVTVEDEVVVINSIVLPHKILNVSVQDEIIL >CAK8577068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535292311:535292589:-1 gene:gene-LATHSAT_LOCUS29210 transcript:rna-LATHSAT_LOCUS29210 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDSSEQERFDAFEFIVLIPLPTHSMLFMISTHDSIAMYLAVEPQSLCFYVMAASKRKSEFSTEAGSKYLILGAFSSGILLFGYDRTTTDI >CAK8577069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535292311:535292511:-1 gene:gene-LATHSAT_LOCUS29210 transcript:rna-LATHSAT_LOCUS29210-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMISTHDSIAMYLAVEPQSLCFYVMAASKRKSEFSTEAGSKYLILGAFSSGILLFGYDRTTTDI >CAK8576904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523255229:523255517:1 gene:gene-LATHSAT_LOCUS29061 transcript:rna-LATHSAT_LOCUS29061 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMQTALPVLGIVAAAVATFYVVSFNEIREKSLPDLDESESENGSFRRPSSSRERRARRQASKNNKT >CAK8542698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538729052:538729877:-1 gene:gene-LATHSAT_LOCUS11513 transcript:rna-LATHSAT_LOCUS11513 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNRYVDYDSLDCVSQLPVGYRFDPTDDVLMSFYLRKKIFNQPLTLHGILQFDVFQTEPWMLPFDTRNSFSDRRYYFFDMRNHRFENMEIRKAGNGEWRVVEKRKEVSLKSLYFIGRKNTFEYWKMEGTQAVKSEWMMEEFHITPILHPNMKSKLGAYRVFKMKVAKGEKKVSTPSEIDLTMEGEDESANPSSP >CAK8575155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41425891:41426250:1 gene:gene-LATHSAT_LOCUS27441 transcript:rna-LATHSAT_LOCUS27441 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAMIRKRFGDEQSTLLDQFERLSFETQLNKAMLQRSLSEPRYLRSVSQQPRLVSVAPTTIPLVNQVKQGRRCRGSGFRKVVKKLLKPFLGRKNGARKDVEFQNPLSWKAFSRSLRF >CAK8533522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630975988:630979971:-1 gene:gene-LATHSAT_LOCUS3144 transcript:rna-LATHSAT_LOCUS3144 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPFTIEAYKHRMVADPNYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGDKLYSGLVTTMTSHLRQISQSIESAQGEVFLEELNRKWIDHNEALQMIRDILMYMDRTFVPSKNKTHVHELGLNLWRDVVIHSSKTRARLLDTLLDLVLRERNGEVINRGLMRNLTNMLMDLGLSVYQKDFEKHFLEISSNFYCRESQKFIESCDCGDYLRKAERRLNEEMERVSHYLDPRSESEITRVVEKEMIENHMQTLVRMENSGLVNMLMDDKYEDLGRMYNLFRRVPSGLITVKDVMTSFIRDTGKQLVMDPERLKDPVDFVQRLLDLKDKYDKVITMAFNNDKTFQNALNSAFEYFINLNARSPEFISLFVDDKLRRGLKGVGEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDEAERSLIVKLKTECGYQFTSKLEGMFTDMKTSYDMMQGFLAFHGAELGDSPTLSVQVLTTGSWPTQSSPQCNLPSEILGVCEKFRAYYLGAHSGRRLSWQANMGNADLKATFGNSRKHELNVSTYQMCVLMLFNNADRLTCKEIEQATAIPMSDLKRCLQSLALVKGKNVLRKEPMSKDIGEDDAFFFNDKFTSKLFKVKIGTVVAQKESEPENVETRQRVEEDRKPQIEAAIVRVMKSRRVLDHNNVITEVTKQLQARFYPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >CAK8533176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588181237:588181603:1 gene:gene-LATHSAT_LOCUS2823 transcript:rna-LATHSAT_LOCUS2823 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSKRFCRSISKLGNIGNKVIAPSPIEKDCSDEERAKKERAIKVMKKLSQLEFQQFLSGMIFPLKPLQLLEN >CAK8530153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3243401:3243886:-1 gene:gene-LATHSAT_LOCUS43 transcript:rna-LATHSAT_LOCUS43 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLMHLAYEAYLGGPVQYRWTYPFERFMGDSKRSVKNKAKVEGSICAHYLHQETSHFCSHYFNNLMLTLRIIRNEFDVNKRSQFTLSIFGLLGRPSGKENVHGLTQKELQSAHVHVLIFFLNVIPSHISLGDLVFLSRLPSHNLGGLVFFSSIPSRRSL >CAK8578465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630537598:630539438:-1 gene:gene-LATHSAT_LOCUS30474 transcript:rna-LATHSAT_LOCUS30474-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVEKTTAGREYKVRDLSQADFGRLEIELAEIEMPGLISCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKTGEVPDPTSTDNAEFQLVLTIIRDGLKTDPKRYRKMKDRLVGVSEETTTGVKRLYQMQASGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVGVVCGYGDVGKGCAVALKQAGARVIVTEIDPICALQALMEGFQVLTLDDVVSYADIFVTTTGNKDIIMVGDMRKMKNNAIVCNIGHFDNEIDMSGLENYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTQSQADYISVPVEGPYKPAAYRY >CAK8578464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630536204:630539438:-1 gene:gene-LATHSAT_LOCUS30474 transcript:rna-LATHSAT_LOCUS30474 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVEKTTAGREYKVRDLSQADFGRLEIELAEIEMPGLISCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKTGEVPDPTSTDNAEFQLVLTIIRDGLKTDPKRYRKMKDRLVGVSEETTTGVKRLYQMQASGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVGVVCGYGDVGKGCAVALKQAGARVIVTEIDPICALQALMEGFQVLTLDDVVSYADIFVTTTGNKDIIMVGDMRKMKNNAIVCNIGHFDNEIDMSGLENYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTQSQADYISVPVEGPYKPAAYRFVWILKWQSNVIISLRLVSLLSRWPVPHQRV >CAK8569809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12185476:12187892:1 gene:gene-LATHSAT_LOCUS22618 transcript:rna-LATHSAT_LOCUS22618 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKSPKFAVMKKLVTSKAIKSYKQDVLNPEKKKTPDEKLPRNVPKHSSALFFQYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLLCNHKGTYADDCLVERVTKNKCFIVATCDRDLKRRIRKIPGVPIMYITRHRYSIERLPEATIGGAPRI >CAK8571581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:443237430:443237807:-1 gene:gene-LATHSAT_LOCUS24226 transcript:rna-LATHSAT_LOCUS24226 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGWMSANRLCTEYEHVLFLCPCVRCANEEPKLYKKEIRDHLICEGICQSYTQCIWHGEVVAKPNVSQRNNASVEMDDHLEDMMCHIGQDSFKRAHAYDTLCSDKDKPLYHGFDSSNSLTTKGT >CAK8543485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610698386:610699127:-1 gene:gene-LATHSAT_LOCUS12240 transcript:rna-LATHSAT_LOCUS12240 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSNMMWLSMFFVVVSLWGVTVAQTDSSCTNVFISLSPCLDYITEQTSSPSSGCCSQLASVMGSQPQCLCEVVNGGAASSIAASFNINQTRALALPATCNVQTPVNTCTGSTSSSSPPTGVSISNIPNSPSGSFASTTGSSESIRGSSSSYRTSSSSGNFQCSLLVLVIFAKLTFIFITT >CAK8576940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526616927:526617415:1 gene:gene-LATHSAT_LOCUS29094 transcript:rna-LATHSAT_LOCUS29094 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLKINTWKSCLYEVRIEESFMNTTASFLTCKKDALPFTFLGLTVGGNHRRVSFWKSIISCFMARLSPWNGRLLSIGGKVTLINYVLMNLIIHHLSFFDAHAKMIQYIASIHRNFLWSGSMEKRSMAWVSWKTLCKLKVNGGFGIKDIGLFNRALLTKWL >CAK8561573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:303249262:303249879:-1 gene:gene-LATHSAT_LOCUS15151 transcript:rna-LATHSAT_LOCUS15151 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNKSKTVKLRSHLGKYLVADEEHNNLRQSKKGATKTALWLIEPVETKPHHIRLRSHNGRYLTATDTPFLLGMTGDKVIQADYDAGLSWKYEWEPIKQGFNVKLRSWCGKLLRGNGGTPPWRNSITHDDPYTAATNNWILWGVEAVDNKMNVELLNRVSFASNASFGSGEDEVDDSESVSSKNKSWSSLKIRRNSKLQPEVRF >CAK8578257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615504197:615504649:-1 gene:gene-LATHSAT_LOCUS30284 transcript:rna-LATHSAT_LOCUS30284 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLPFKCFIFFFFLLMISTTPSSSSSSLSSSSSSSSQYSSNNNHKIQPQNPSSTSPQISHYHQVFYLKNANPPSRQERIKKRWMNRNKNKKHRKHRKKIVKNMMKSPPRAFSVMLPKGFVPPSASSPCHNDQPNSMSFHCHLSSSEP >CAK8578662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645280592:645282867:-1 gene:gene-LATHSAT_LOCUS30653 transcript:rna-LATHSAT_LOCUS30653-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLVVLPTVLALFVLILHFITRIVKLNKLNLPKGTLGLPIIGETLKLFKANLEEKQIRFIQERMKKYDSRVFKTSLFGENIAVFCGTAGNKFLFSNENKNVQLWWPSSVTKLLRLSLVNEAGDEAKITKRLLMSFLNPETLRNYLPKMDRIAQHHINKHWKGKEQVVVYPIVQLYTFELACCLFLSMEDPIQISYLLSYFEEFLKGVISFSINFPGTRFHTAMKAADVIKKEIKLIMKKRKKDLEEKKASPTQDLLSHLLVTSDTSGRFMSEAEILDNMLLLLFAGHDTSSSLISLIMKYLGNLPEVYEQVLKEQLEISQGKEEGELLQWEDVQKMKYSWNVASEVLRLSPPVNGAYRNAIKNLTYGDYNIPIDWKLHWNTRTTHMDPTLFTNPEKFDASRFEGEGPIPYSYVPFGGGPRMCLGQEFARLGILVFMHNIVKRFKWDLVNPDENFKYDPMLEPEKGLPIQLHPFHYTS >CAK8578661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645280592:645282900:-1 gene:gene-LATHSAT_LOCUS30653 transcript:rna-LATHSAT_LOCUS30653 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFVPSLEMIKLVVLPTVLALFVLILHFITRIVKLNKLNLPKGTLGLPIIGETLKLFKANLEEKQIRFIQERMKKYDSRVFKTSLFGENIAVFCGTAGNKFLFSNENKNVQLWWPSSVTKLLRLSLVNEAGDEAKITKRLLMSFLNPETLRNYLPKMDRIAQHHINKHWKGKEQVVVYPIVQLYTFELACCLFLSMEDPIQISYLLSYFEEFLKGVISFSINFPGTRFHTAMKAADVIKKEIKLIMKKRKKDLEEKKASPTQDLLSHLLVTSDTSGRFMSEAEILDNMLLLLFAGHDTSSSLISLIMKYLGNLPEVYEQVLKEQLEISQGKEEGELLQWEDVQKMKYSWNVASEVLRLSPPVNGAYRNAIKNLTYGDYNIPIDWKLHWNTRTTHMDPTLFTNPEKFDASRFEGEGPIPYSYVPFGGGPRMCLGQEFARLGILVFMHNIVKRFKWDLVNPDENFKYDPMLEPEKGLPIQLHPFHYTS >CAK8570517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55056588:55057436:1 gene:gene-LATHSAT_LOCUS23260 transcript:rna-LATHSAT_LOCUS23260 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSSVESPRSRVHCNLGNFPNSQGFNPQIQSFKEYGYLDRLSIPAAKKADIKTKIALLQDQVRKAQKHVAEENKRKAVLLTAEKADLAVSDGKSFCISHVNVGLDVAAVREAVTKVIDQKGLSVMVFSTDESTNKAVVCAGVPEKGDKVSWMYQSG >CAK8575644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227858494:227860128:-1 gene:gene-LATHSAT_LOCUS27887 transcript:rna-LATHSAT_LOCUS27887-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKMGAMEALLKSMYMQQNPHLSEEEVNDKMREALHNDNIPTPRSSTSTYAPAHQKVINEDDPQDEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQNDDSHDSQYNEYNEDLH >CAK8575643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227858494:227860155:-1 gene:gene-LATHSAT_LOCUS27887 transcript:rna-LATHSAT_LOCUS27887 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDGKISDMNQKMGAMEALLKSMYMQQNPHLSEEEVNDKMREALHNDNIPTPRSSTSTYAPAHQKVINEDDPQDEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQNDDSHDSQYNEYNEDLH >CAK8543476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610383595:610384251:-1 gene:gene-LATHSAT_LOCUS12231 transcript:rna-LATHSAT_LOCUS12231-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMDFVKQEWISIQVEGSVSHIILEKFKALSNRLRWWNTNIFGWVDLKVELSVERLNELEEDLLDEDGFVAEEKVISIGKAQVEVWNNFYLKESLIKQKYRAKWVKEWDCNTKYIHSQLKARVRSNPITALKVGSTIITYVFSIKHAARDHFLDKFSSSCSVRPSMDLSGLISLPIEESLQLEEPFSSEEIHFAVFDCEGNKSPGPDGFNLYSIKTC >CAK8543475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610383595:610384305:-1 gene:gene-LATHSAT_LOCUS12231 transcript:rna-LATHSAT_LOCUS12231 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHWPKPFKAFRCWFEDMNFMDFVKQEWISIQVEGSVSHIILEKFKALSNRLRWWNTNIFGWVDLKVELSVERLNELEEDLLDEDGFVAEEKVISIGKAQVEVWNNFYLKESLIKQKYRAKWVKEWDCNTKYIHSQLKARVRSNPITALKVGSTIITYVFSIKHAARDHFLDKFSSSCSVRPSMDLSGLISLPIEESLQLEEPFSSEEIHFAVFDCEGNKSPGPDGFNLYSIKTC >CAK8570166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27333825:27337200:-1 gene:gene-LATHSAT_LOCUS22943 transcript:rna-LATHSAT_LOCUS22943 gene_biotype:protein_coding transcript_biotype:protein_coding MSMICSTCFHVFLLFAATLTLLCLQHKNTASALGNDTDKLSLLRFKETIVEDPFDILKSWNTSTYFCNWHGVTCSLKHQRVTSLNLQGYALLGFIPPEIGNLTFLRHVNLQNNSFYGEIPQEIGHLFRLQELYLTNNTLKGQIPTNLSSCSNLKRLSLTGNKLVGKIPKELGYLTKLEILSIGMNNLRGEIPASIGNLSSLRVLTLGINNLERNVPEEIGYLKSLTHISIASNKLYGMLPLTLFNMSSLTFFSAGVNQFNGTLPANMFLTLPNLQQFGIGMNKISGPIPISISNATQLMLFNIPRNNFVGQVPTGIGNLKNIWSIAMEYNHLGSNSSKDLDFLTSLTNCTNLQVLDLNLNNFGGSLPNSVANFSGQLNQFYIGGNQIIGTIPSGLGNLINLIGLDLEFNLLTGSIPTSFGNFEKIQSLTLNVNKLSGKIPSSIGNLTQLFQLDLSNNMLEGGIPPSIGNCQMLQYLGLSNNHLSGTIPWQVIGLPSLSLLLNLSHNSFSGSLPFEIANLKSINKLDASKNFLSGEIPAIIGQCISLEYLNLQGNFFQGAMPSSLASLKGLQHLDLSLNNLSGSIPKGLDSLPVLQYLNISFNRLDGEVPTEGVFRNESAISVKNNSDLCGGITGLHLQPCVVKDKAQKDQKDWKIIVIAICVGVFLLLSSFSIAIFWNKKTHLRASNFPSTIDHLPKVSYKTLYQATGGFSSNNLIGSGGFGVVYKGFLESEERVVAIKVLNLRVKGAHKSFIAECNALKSIRHRNLVKILTCCSSMDYSGNEFKALVFEYMEHGSLDKRLLPGSEIGDQPNLNLLQRLNILIDVASAVHYLHYESEQPIIHCDLKPSNILLDNDMVAHVSDFGQARLLFAINGISDLQTSTIGFNGTIGYAPPEYGIGCQVSAEGDVYSFGIFLLEILTGRKPTDEMFTNGMNLYSFVEVSLPDKVLDIVDSTLLPRELKQTTVSTTRDQNLSHLHPNDLKKCLHELFHIGLACSVVSPRERINMKNVTKELDVIKIALSRK >CAK8571029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:271842685:271844369:1 gene:gene-LATHSAT_LOCUS23726 transcript:rna-LATHSAT_LOCUS23726 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDKDPSIKVSVCISKIGSEYNFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKFVLGTVLEIETLPMYTTDGTIFEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLITLAKDDNSNIFPVAFALVEGETAEGWGFFQRNLRRHVVPQPGLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMRYALNQPTFHYYQSEIGMENANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTHHLPITALARATYYRLGSLFAEQGSKWSVVLNSGETFTNNCLKVMKEETTKSSIHQVRIFDYANNVFSAKETMDHGEGKPMGHYKVGLLNGWCDCGKFQAFRVPCSHVIVACSNVRHDAYALLSDVYRVINLFGVYSNSFLVMSYDEYWPVYEGDQICHNPRIRRNKKGRPVSTRITTEMDNFDKFERKCSMCRQTGHNRTRCPNMGTINR >CAK8541321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:119946475:119946789:1 gene:gene-LATHSAT_LOCUS10250 transcript:rna-LATHSAT_LOCUS10250 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRFHHLSLLCILSVAMILLPAASVNFQDPPGRTCIHKCKDVTDGVCYKSCYAMGFKSGGDCFSDNPDNSVCCCIKNN >CAK8574014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654060590:654061411:1 gene:gene-LATHSAT_LOCUS26406 transcript:rna-LATHSAT_LOCUS26406 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTTKSGGGGGSGGTTRFLVKTYQMVEDSYTDNIVSCSQSNNCFIIKDPDECVSNLSKYFRHNNFSSFVRLLNTYGFHKINMIDGSFLMSIFLKDQYHLLGNIHRKKTVHSHSLGEVERLAFEEEIEKLANEKASIELDISSFNQYMPTKKLHVVNLVQRLEASGYKHNNLKNSFELVLQYPKFVKKNK >CAK8577754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586577579:586578256:-1 gene:gene-LATHSAT_LOCUS29828 transcript:rna-LATHSAT_LOCUS29828 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPSSPLCLRHVHTNNRIFRHQTSFRTPSRNNKLPLTIVAMAPKNKVNKYNDNWKKEWFGAGIFYEGSEEVEVDVFKKIEKRKVLSNVEKAGLLSKAEELGVTLSSIEKLGLFSKAEELGLLSLLEKLATVSPSVLASLSLPTLVAAVATVVLIPDDSTGLVVVQAVVAAVLGVGAVGLFAGSVVLGGLQEAD >CAK8568482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610479920:610483219:-1 gene:gene-LATHSAT_LOCUS21436 transcript:rna-LATHSAT_LOCUS21436 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCSRSRRYRDSDPEENAQAAEIERRIESETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTPVIFANVYQTIKVLHDGAKELAQNDLNSAKYVISDERKEIGEKLSEIGGRMDYPHLTKDLAKEIETLWEDAAIQETYARGNELQVPDCTKYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKRSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDESKNRMMETKELFEWILKQPCFEKTSFMLFLNKFDIFEKKILNVPLNVCEWFKDYQPVSSGKQEIEHAYEFVKKKFEELYFQSSAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >CAK8530691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41116340:41117329:1 gene:gene-LATHSAT_LOCUS536 transcript:rna-LATHSAT_LOCUS536 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIYREGKHLKIHSIIKWKLLQDRVDRAMDYSNTELMSLGREIVDFHGEMILLENYSALNYTGLVKIIKKHDKRFGALLRLPFIQEVLNQPFFETYVLNSIVKECQMILNILFANNDEPTFPCSSTSEETEEGEDEDRCGSNVIEDENKEKLMQAPNELAEEKLMQAPKEKPALYSNFSINSHVQKYVQYTFFSLISSP >CAK8577708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584543860:584545034:-1 gene:gene-LATHSAT_LOCUS29789 transcript:rna-LATHSAT_LOCUS29789 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSRSRVTRYLPVGFRRELSRQKLQQFADNNLVDVEFEFLDDSDVNLGNSSSSSDDFHLDIEDDDDECSQKVHGGSSNVEENRSFWDNQLQILQTNVYKISASELSIRNATKEAIEEIDRSEIECSCSRQIKGSSARECRNCFMRQVSRCLQNAGFNSAICNTKWTSSHNLPSGEHTFLDVIHSTSNQKSDVRVIIELNFRSQFEMGKASEDYNNLVRKLPEVYVGKVERLSNIIKIMCMAAKRCLKENKMHMGPWRKHKYMQAKWMGPCKRNTSTNSLSMEYSQTISPKQKVKASMLTVDLLDKISNMHCTAVEVV >CAK8542692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538167033:538170489:-1 gene:gene-LATHSAT_LOCUS11510 transcript:rna-LATHSAT_LOCUS11510 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQIIPQSEAAEKNEHFGVVSTGSPQFSDASPSAISSMSNVNTHGYAACTVGSSFDGFPKNASLERSIVSDKTFYRGAMEVTSNVHGLKIDTTDMSTLPASKSERRVHTPVSRVVGFESGRTSSLADGFTDVPSGNIHSSFASISANDTESATSLVRKRLLSPLSSMLSPSHFKGDSLDIGRRNIEPFSLVKSDNIRMSIAQDNKKANIGCKSSYTLPFWPVTSCLEQKTMAQSGESVFQTDGPLLDYRGLRIQGSLPTLRSEHLRESSIVARPQNGVISVSPVSSPLSMSPLGPRFSERMKMAERCRRSVTEEIKDCNIALRSTDESLDNSNSRFMSNRKDDGLEISCKSFEDVEFLFKDFCPSSLDDISYMNPPLSQESSAPISNITRFTRSLSGPSVRRSLVGSFEESLLSGRFVSGNYSKKIDGFLAVLSITGGNFSPKSQKLPFSVTSVDGDRYLLYYASINLAGNSSPNIFRGHFVKRGLRNDDSQIVKSRFRIPMKGRIQLVLSNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKITLESSSTTSPQSKNGSTGLDSGNDKGIPLVQKNHGISCNEEVMQTDAVDVVVNKTKSTDQRNTKGSSSLGSLLNKEDSSKILKVPSSVKLDHGSLTEECERNERKESWEKTCDESGKPSKNSSSAGPLRYALHLRFICPFPKKTSRSGQKCRYNSLSEKVGSDTEGERRFYLCNDLKVVFPQRHSDADEGKMNVEYHFPEDPRYFDLN >CAK8539882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530159958:530166329:1 gene:gene-LATHSAT_LOCUS8940 transcript:rna-LATHSAT_LOCUS8940 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDCLELVPNFNGKIEAIESYGSKLLLGCSDGSLRIYAPETDSSDRSRPYVLDKNYAGFAKKPVVSMEVVESRELLLSLSESIAFHRLSSLETIAVITKAKGANVFCWDDRRGFLCFGRQKRVCIFRHDGGRGFVEVKEFGVPDVVKSMSWCGENICLGFRREYVILNASNGALSEVFTSGRLAPPLVVPLPSGELLLGKENIGVFVDQNGKLIPEGRICWSEAPLEVVIQKPYAIALLPRFVEIRSLRQPYPLIQTIVLRNVRHLCQSSNSVILALDNSVQGLFPVPLGAQIVQLTASGNFEEALSLCKLLPPEDSNLRAAKEGSIHMRYAHYLFDNGSYEEAMEHFLEAQVDITYVLSLYPSIVLPKTTIVHEPEKLDIERDASYLSSVSDDMEPSPYDENAALESKKTNHNMLMALIKYLQKKRGYFIEKATSEGTEEVVLDAVGDNFASYNRFRKANKGRGNVSVSSGAREMASILDTALLQALLLTGQSSAALELLRGVNYCDMKICEEILRKDNLNVALLELYKCKSLHRQALELLHKLVDESRSSQSEITQRFMPEDIVEYLKPLCGTDPILVLEFSMLVLESCPSQTIELFLSGNIPADMVNSYLKQHSPNMQARYLELMLAMNENAISGNLQNEMVNIYLSEVLDWHADLDAQQKWDEKTFTPTRKKLLSALEGISEYNPGALLKRLPQDALYEERALLLGKMNQHELALSLYVHKLRVPELALSYCDRVYESLHQPSLKSSSNIYLLLMQIYLNPRKTTASFEMRIANLLSPQSTSISRASATSVKSKGGRGSKKIAEIEGAEDTKVSLSSTDSSRSDDDTDENEGGSTIMLDEVLDLLSRRWDRINGAQALKLLPRETKLQDLLSFLGPLLRKSSEMYRNCSVIRSLRQSESLQVKDELYSQRKGVVKITSDNTCSLCRKKIGTSVFAVYPNGSTLVHFVCFKDSQNMKAVTKGSQLRKRSN >CAK8567682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535535140:535535385:-1 gene:gene-LATHSAT_LOCUS20711 transcript:rna-LATHSAT_LOCUS20711 gene_biotype:protein_coding transcript_biotype:protein_coding MERPMGQKAAKRKGKASEIPNATQDAKNKRAIAMDRLAQAKEDELELRVVQMMMKDTSTMNDSQRDVHEKYCNKMEKKYGM >CAK8579300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690382635:690383540:1 gene:gene-LATHSAT_LOCUS31255 transcript:rna-LATHSAT_LOCUS31255 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPSNIIKHTHHCFKQTNLTNNIHTMNLHYPKTLRIRYTDEDATDSSSDDHQPPRRRVKTFVNEITIASRNIPRKNNRRKIKTNTKTRAPAIQRKPVINSGKKYRGVRQRPWGKWSAEIRDHKMSVRLWLGTYNTAEEAAKEYDKAAIKIHGANAVTNFIQPVHNVTNSDNISGEQCVSSNNNVVSANSVVGQCSTSESEHETVKDDVVVVPVPTENDKKMKSDSESVFPPPCDSLFDGFERNCVFGNEREMENMFSFPDDDFSGKFVDTMSPSLLNWKRDCDMFQDIGDLFGSDFGTSV >CAK8567774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543870914:543872330:-1 gene:gene-LATHSAT_LOCUS20797 transcript:rna-LATHSAT_LOCUS20797 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRRLRLRFRIERREDRISDLPNSLLEHIVSFLPTKDAIATSVLSKRWKPIWRSQIIIYLDDSPFPDTFSFHQFFNSFITMRDNTLPILSLHLKCRRRRLHYNYDFVYAAITEGIETLIIDLLQPTTLPSIVLSTKTLSVLKLRRINLNEDFQSVDLPSLKVLHLEYVIFKFIPYLHKILSGCPILQELECKDLRMEMLTKMPPLGIAISNLVRASVTRKTFVGLEWLHNVEHLHMYMDRMPPTIRGVFHNLTHLELIFEDRLYADYTWTWLKNLLQNTPNLQTLIIHNLYMVYGDVRCLSIKEWSDSEIVPECLLSHLTTCSLKNYRFINSELQFAKYIMQNSRLLNTITIQTAKDIFIDTDTKLQVSIELSSCPRISPTSKLFFI >CAK8534566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742937797:742938432:-1 gene:gene-LATHSAT_LOCUS4104 transcript:rna-LATHSAT_LOCUS4104 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSIGDMVKCWEAMNDNLKLQLSNIRASFQKSFYEVEHAHVSPFYNNLCGSVSREALRRIAQELKRVDYVGTNKERCRCTLRTTYGLPCACELTGYRIDGLPIPIDVVHVHWRKLSMEVKLDEDVDDRSEVDMNSAINELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSSRLGVGNNT >CAK8538101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462873242:462877419:1 gene:gene-LATHSAT_LOCUS7336 transcript:rna-LATHSAT_LOCUS7336 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGIGVELAATRSSIDMRNSDDDEDLECKDSFLRIVETFLRLFTIGLCVAALVIMLKNSEENEYGSVAYSDLGAFRYLVHANGICAGYSLFSAVIVAMARPSTMPRAWAFFLLDQVLTYIILAAGAVLSEVLYLTEKGLPTAAWSSVCGSFGSFCHKTKASLAITFVAVICYILLSLISSYRLFSKYDAPSSQVNSSNKDIASFNG >CAK8577561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574698368:574699583:-1 gene:gene-LATHSAT_LOCUS29659 transcript:rna-LATHSAT_LOCUS29659 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIDPAFVQEQEHRPKLSIIEAEGIPEIDLSPLFHHEAPNPSAIEGLVKEIGSACKEWGFFQVTNHGVPLSLRKKLEEASRLFFAQSLEEKKKVARDAINPTGYYDTEHTKNVRDWKEVFDFISQDPTLVPVTSEEHDDRVTQWTNPSPQYPPQFSAIIEEYIQEVEKLAYKLLELIALSLGVEAKRFEEFFKDQTSFIRFNHYPPCPSPHLALGVGRHKDAGALTILAQDEVGGLEVKRKSDQEWVLVKPTPDAYIINVGDIIQVWSNDAYESVEHRVMVNSERERFSIPFFFFPAHYTEVKPLEELTNEENLPKYRPYNWGKFLVTRKGSNFAKKKVENIQIYHYKIA >CAK8579790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722630269:722630486:-1 gene:gene-LATHSAT_LOCUS31706 transcript:rna-LATHSAT_LOCUS31706 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVLPPVPPSPKDDVVQLHSAFKGFGCDASVVINILAHRDAA >CAK8543796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640270655:640270906:1 gene:gene-LATHSAT_LOCUS12531 transcript:rna-LATHSAT_LOCUS12531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTETRQGIPLITGRFDSLEQLDEFSRSF >CAK8579719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718490179:718493038:1 gene:gene-LATHSAT_LOCUS31643 transcript:rna-LATHSAT_LOCUS31643 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIHPHLQSLVAKMTLFDTILFYVIHFVDKVLLWHRLPVLLGLAYLGIRRRLHYRYNLLHVGGENGVNYDKQHYAYRTAAGTCNDPDDHLVGSQGTCFGRNMPPTALNYGLLDPHPAVVASKLLARKSFVDSGDQFNMIACSWIQFMIHDWIDHLEDTEQVELSVPDEGFASGCPLKTFKFFKTKKFQTGSSQTKFGFQNTRTPWWDGSVIYGNNEKGMSRVRTFREGKLKISEDGLLEHDEKGIPVSGDVRNTWAGYSLLQALFIKEHNAVCDMLKKHYPDFDDEQLYRYARLVTSAVIAKIHTIDWTVELLKTDTLQASMRINWYGFFGKKFKDLFGNIFGPELSGLVGLKKPRDHGVPYSLTEEFTSVYRMHTLLPEELVLRKLKPATGANKSLPIHEKVPMAELIGKHGEKRLSKIGMEQMLVSMGHQSCGAVTLWNFPSWLRNLISHDIDGEERPDPVDMATMEVYRDRERGVPRYNEFRRNLLMIPISKWGDLTDDVEVIEALKEIYDNDVEKLDLIIGLHAEKKIKGFAISETAFFIFVIMASRRLEADRFFTTNFNAKTYTNEGLEWVNKTESLKDVIDRHFPEMTESMMSSSSAFTVWDAKPDPKKLLPIYLR >CAK8574019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654471851:654472287:-1 gene:gene-LATHSAT_LOCUS26411 transcript:rna-LATHSAT_LOCUS26411 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFVYTVHDPGIRSLGGISGVGSMLRLLKK >CAK8544053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660308571:660310523:-1 gene:gene-LATHSAT_LOCUS12762 transcript:rna-LATHSAT_LOCUS12762 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSISYSLPSSSISTTPISKLHTNNFMAKCTNSLLTFPILFLFLSFPQPLLSQENNFVRQAASQLVITPHHRSNSEPQQVHVSLVGKDKMRVSWITDDKEAKTVVEYGKKPGVYSEKTVGEKTSYQYFFYKSGKIHNAVIGPLEANTTYFYRCGGLGPEFSFKTPPSKFPIEFVIVGDLGQTEWTASTLKHVDRNDYDVFLLPGDLSYADSQQPLWDSFGRLVEPYASKRPWMVTEGNHEIEIFPFIYPKGFEAYNTRWPMPFQESGSNSNLYYSFDVAGVHIIMLGSYADFNVDSEQYEWLKLDLAKIDRAKTPWVITLVHAPWYNTNEAHQGEGEPMREAMEELLYNARVDLVFAGHVHAYERFTRIYDNKADSCGPMYVTIGDGGNREGLAMKFKSPPSPLSLFREPSFGHGRLRILNETHADWSWHRNNDRDAVVADRIWIESLSKVKACSDIPNSQQVVNEEL >CAK8532155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213845494:213847827:1 gene:gene-LATHSAT_LOCUS1888 transcript:rna-LATHSAT_LOCUS1888 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTVLRSFRDKRDRAFSMTNAQERFRNISLQEEYDTHDPKGPSALILSFLRKRSKIIEIVATQDIVFALAQSGLCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRGKHDSGFPLFESESLKWPGFVEFDDVNGKVLTYSALDSVYKVFDLKNYSMLYSISDKNVQEIKISPGIMLLIFAKASSHVPLKILSIEDGTVLKSFNHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELREVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGEFVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDLLSADSINVNNILSGKCLTKIRASNSSSKDDKRSCCDSSSNENCNSKKVKAVCTPVLTTSNIIGTPETRNGLLEVQKAFREAGLDFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQTIDIREMLLGASLMDEANRSTVIKNNPAALLALCWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEYDLDGNRVNQGLSVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNDRESITVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTIEEVAEHCHAPEDIEVIYKIIAHMAANDRALIAEGNCGSPRSVKAFLGECNVDDLFA >CAK8532156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213846334:213847827:1 gene:gene-LATHSAT_LOCUS1888 transcript:rna-LATHSAT_LOCUS1888-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAFIFLYENQLFLTFRNRTVAVWNFRGEFVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDLLSADSINVNNILSGKCLTKIRASNSSSKDDKRSCCDSSSNENCNSKKVKAVCTPVLTTSNIIGTPETRNGLLEVQKAFREAGLDFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQTIDIREMLLGASLMDEANRSTVIKNNPAALLALCWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEYDLDGNRVNQGLSVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNDRESITVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTIEEVAEHCHAPEDIEVIYKIIAHMAANDRALIAEGNCGSPRSVKAFLGECNVDDLFA >CAK8570932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:176836792:176837103:1 gene:gene-LATHSAT_LOCUS23640 transcript:rna-LATHSAT_LOCUS23640 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRSSNYKQNKDKGKQVQTYNTSNVRTIVPFQDLTNVNLASRLFLMAHDSEVGPSNAHVSRIPFLG >CAK8532264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:233109409:233109642:1 gene:gene-LATHSAT_LOCUS1991 transcript:rna-LATHSAT_LOCUS1991 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRYGNTSSSSVWYVLAYCEAKGRIRKGDRIWQIAFGSGFKCNTAVWRALRNVDPIKEINPWSDEVHEFPVDVSI >CAK8539088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503467257:503467798:1 gene:gene-LATHSAT_LOCUS8220 transcript:rna-LATHSAT_LOCUS8220 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNFKKGPGRPKKLRWKEHDETGSRMRRPGVAYRCTRCDQFGNNYRKCQSTLQDTNALKRKRKTHRTKGETSSNTNTAEPSVEKTPVESTKIAAEPSVEQAIVDPTVEPIVEDPFYDPKIDDIIENTFASQLLPTEVPFQPKNTQQS >CAK8542180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486320254:486321327:-1 gene:gene-LATHSAT_LOCUS11042 transcript:rna-LATHSAT_LOCUS11042 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALLRFTPSSGKTNSHAIPFSSPLSSSSPPQLNNRSLYLTKPTHLPQRLKLSFAGGDGVGRGGGGSGGGGGGGGNWGGADKDDGNSNSNSKDSSFGILGLFLNGWRSRVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTLGSASATLPAIFASCPKSHMFEPGAFSLLDRLGTLVYKGTIFSAVGFGAGLAGTALSNGLIKLRKKMDPTFETPNKAPPTLLNSLTWAAHMGLSSNFRYQTLNGAEFMLERALNPLVFKASVLVLRVANNVLGGMSFVVLARLTGAQSSGEKKPSELEVGLVEKAEREREEEALESSSQTTHTK >CAK8570591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64209353:64210273:-1 gene:gene-LATHSAT_LOCUS23328 transcript:rna-LATHSAT_LOCUS23328 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLEITGFAHIAKINSLKIDLSLVVALLEKWRPETHTFHFLTGECTITLEDANMLLGFRVDGKAINGPTEVTNDVYMENLGVESTEADKNRGSVRITWLENLYEILKNNSAPTQQEIVLQAKVYILLVIATILFPDKSQNLLHSSWIPFVGDLEKCGTYSCGFACLAKLYREICKAAVKDVRSMSGCVLLLTSWAFTRIPLFAPVSTMQPSYPYAQRWTQKRRNYDANPRFHLQGYRNALDHM >CAK8561010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72237625:72241097:-1 gene:gene-LATHSAT_LOCUS14638 transcript:rna-LATHSAT_LOCUS14638 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGPPPTLFVNDGSFMERFRQLQQEQEKGKNAKPEESKPVKVVSGPVNPKPPISKGNDAKKSSQGGSSGKLAFSLKQKSKLVPAPVKLADDDEDEIDAGYVSNDAPTKRQKLVQDDGIEQVSRQLDVAPPPPSDPTVKKVADKLASFVAKNGRPFEDVTRQKNPGDTPFKFLFDVKCPDYKYYEHRLAQEEKALSQSKEPQANQNVGTSIPYSRPTNGPQRSSQQHSTYQIPASALYDSVEASRASGSSAFSVGSSDEPGGSTNANSLALMEFYMKKAAQEEKFKQPKHSKDEMPPPPSLQVSTFDASASGKKGHHMGDYIPQEELEKFLASCNDAAAMKAAKEATEKAKIQADNVGHRLLSKMGWKEGEGLGGSRKGISDPIMAGNVKKDNLGVGAVQPGEVTPEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >CAK8539008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501562841:501564472:1 gene:gene-LATHSAT_LOCUS8148 transcript:rna-LATHSAT_LOCUS8148 gene_biotype:protein_coding transcript_biotype:protein_coding MQASERHRSMSMYYQPIQQIESYCLPQYRNLNHQLYNNDGVNGTQFSAPNSSDFYCTLESSSAAGSFVVYNSPSTVSFSTNDSPMSQQDCQTYPQDRYHSPDDTYDSPMSGSCVTEDLSSFKHKLRELENVMLGPDSDNLDSYDSAISNGTGFASLEMDSWRQTMIAISSKNLKHILTACAKAIADNDLLMAQWLMDELRQMVSVSGEPIQRLGAYMLEGLIARLSASGSSIYKSLRCKEPESTELLSYMNILYEVCPYFKFGYMSANGAIAEAMKNEARVHIIDFQIAQGSQWISLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLHIVEKRLSKLAQHFKVPFQFHAAAISGCDVQLHNLGVRPGEALAVNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFLETMDYYTAMFESIDVTLPREHKERINVEQHCLARDLVNIIACEGVERVERHELLGKWRSRFAMAGFTPYPLSSLVNGTIKKLLENYSDRYRLEERDGALYLGWMNRDLVASCAWK >CAK8534542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740036739:740037101:1 gene:gene-LATHSAT_LOCUS4082 transcript:rna-LATHSAT_LOCUS4082 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIPPHSAPLHPILTFSNNGMTLIPSHTTPFHPIPSIQTYLGDFYNLTDIFQFFLLIFIPVPEVCLYKDFTFRVLVGTGGKRYYNSINTLDCYTGYIAIFFTTTNKLTFIFADPVSLVT >CAK8570667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83959655:83960518:-1 gene:gene-LATHSAT_LOCUS23403 transcript:rna-LATHSAT_LOCUS23403 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLNYLKHMPQPFTIKVTTKTDTTFLTYPLINTIQTPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDMISILGLDEVSEEDRLTVARARKMERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEATAKATNLT >CAK8532087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200995064:200995547:-1 gene:gene-LATHSAT_LOCUS1824 transcript:rna-LATHSAT_LOCUS1824 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSANTMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8575298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:73272090:73273726:-1 gene:gene-LATHSAT_LOCUS27572 transcript:rna-LATHSAT_LOCUS27572 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILALSLFITSLTTASIFSPNPNPNQKQQQPNTIIKEGHRVVVVEYDQDGHQNTKISISPEQDFTNIDSSFIENTKDRIKETASVLPNIGQGISQTQDSSSSHYNLHTPNARDAKELICDAYGKCKQKISNAMEKAKDKTSETIDKKKSLDNDVVEAFDKAKERVYDKANEAKERVYDKANEAKDRVYDKANDVQEYTRDSLEKAKETGQTFKEHVVRNVTEAKDGVKKFASLSLERIESAMRVANLLGFASGYGMCVWITFVSSYVLSRVMPRQQFAVVQSKIYPVYFRAMVYCLGVALLGHVLGGGIRHGKSGGVFQSWNLLGSLLAVLVNSLYLEPRATKLMFERMKMEKEEGRGREDITSVERNRTEEHLSSPDPKKSYATTTTNRVTEETESPSQRKDYEVVRTKIMKLNNKLKKLNSYSSFLNILNLMSLTWHLVYLAQNVHQIC >CAK8543535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617291299:617293392:1 gene:gene-LATHSAT_LOCUS12286 transcript:rna-LATHSAT_LOCUS12286 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSEMELKLQAINNKKTAISRSWILLDRDGRDMVLDVDKYAIMRLVEIHARDLRIMDPLLSYPSTILGREKVIVLNLEHIKAIITAEEVLVRDPMDEDVVPVVEELRRRLPVKVSAGGQGQGEEESCAQDGGEEDEFPFEFRALEVVLEATCSFLDARTRELETNVYPALDELTSKISSRNLDKVRKLKSAMTRLTNRVHKIREELENLLDDDDDMAELYLSRKLSVSSSPSPSSSDGPNWHYSPNQGSKIHRSSRGSAATLHGENDVEELEMLLEAYFIQIDGTLNKLTTVREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWREGHGYVFKWVVILTGMACGSFFLSIVSYARRKGLVGS >CAK8543550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618987367:618987627:-1 gene:gene-LATHSAT_LOCUS12300 transcript:rna-LATHSAT_LOCUS12300 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADRYKIIEEFCCRMTGMVKEWYHNLGSFKQDDLHCLENTANILGVLYREFIGDMDIFDRKNRQEFFEMKCCSLKTKDLDKQ >CAK8532430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260110530:260111697:-1 gene:gene-LATHSAT_LOCUS2136 transcript:rna-LATHSAT_LOCUS2136 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQRCPRHSIIQYRNLIDHLGPDDFVWMPYEGLDHEPNPDDAAVWTSKTPIIRFTTVEIHQSDRMKLQFGMHQDIPDAPVDLGQWHQKRVNAEWSVSDWKEFAKELCIHWKRRRQYVLIDRIVHGARPSLQYMTWFRSVTTSQPFLSQPTYLADPRERGSSSNPWQQFSAQTQPYENPYMPTNTPYQQRQPYMPPTQSQPQPPYHYSPDTSFEPTPPPIAQTTHLTLPPLTTPPTTPPTTPPTTPQIIHYSTTTPCNNQLDQPNSMYTFGQSYRPYSTQPPRQSFENMGIGLDYGSVVDSGPPGYWGQMMQTLSDTPGPSQPYPPPQLNTQIPDTPQQPRRNARPPQCGTGGHLDRAGH >CAK8532431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260110530:260111528:-1 gene:gene-LATHSAT_LOCUS2136 transcript:rna-LATHSAT_LOCUS2136-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHEPNPDDAAVWTSKTPIIRFTTVEIHQSDRMKLQFGMHQDIPDAPVDLGQWHQKRVNAEWSVSDWKEFAKELCIHWKRRRQYVLIDRIVHGARPSLQYMTWFRSVTTSQPFLSQPTYLADPRERGSSSNPWQQFSAQTQPYENPYMPTNTPYQQRQPYMPPTQSQPQPPYHYSPDTSFEPTPPPIAQTTHLTLPPLTTPPTTPPTTPPTTPQIIHYSTTTPCNNQLDQPNSMYTFGQSYRPYSTQPPRQSFENMGIGLDYGSVVDSGPPGYWGQMMQTLSDTPGPSQPYPPPQLNTQIPDTPQQPRRNARPPQCGTGGHLDRAGH >CAK8538251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473602949:473605443:-1 gene:gene-LATHSAT_LOCUS7471 transcript:rna-LATHSAT_LOCUS7471 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDHFNLDSVAHSNVVKDEHSPKFLPTLEIWKHPNSENFYNCMGRTKNDKRNGSSTNGYLLVHANGGLNQMKTGISDMVAIAKIMNATLVVPTLDHNSFWTDPSEFKDVFNLKNFIEVLNDDVQIVESLPPELASIKPVLKAPVSWSKANYYQRDMLQLLLRNKVIKFTHTDSRLVNNGLANSIQKVRCRAMYEALRFATPIEELGNKLVNRIRTNNTPYIALHLRYEKDMLAFTGCSHNLTKAEAQELKQMRYQVKHWKEKDIDGRSKRLKGGCPMTPREVSVFLEALGYPLDTKIYVAAGVIYGSEGMKPLQNKFPNLLWHSSLATKEELKPFEGHQNQLAALDYYITVKSDVFVYSHDGNMAKAARGHRKFEGFKKTINPDKHRFVKLIDQLDNGLISWEDFSSKIKSIHSKNIGAPQTRKIKRHPKLEESFYANPFPGCICIKPQLEMMTEVTSHHNDFRLF >CAK8574852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11787968:11788309:1 gene:gene-LATHSAT_LOCUS27152 transcript:rna-LATHSAT_LOCUS27152 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDAAEKFQTAFEKLEGENVGYVEWFGRPDPPCCSDWEKVRAFVKFLKIFYDATEVFSSSQQVSLHTDFHQLSSVFCELQEASMNLNSDLASVGYEMKRKYDKYWGEEKNIN >CAK8569270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:689877609:689878367:-1 gene:gene-LATHSAT_LOCUS22145 transcript:rna-LATHSAT_LOCUS22145 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLHKQKTAKSNKVQKHSQLRKITDLLRYVEMCVVLVLISRVSFQQVPLALKSSTEYFRGFEVSPGLVFLIGNVIIITLIAQSGHFSNDSTKRKSSEQDLYLEFLQNSNMYQRIQGSDERKLSVKVENSIKGRRIIDGSKVKISEKDVKKSDEQRMKLKTEMDLEVKEYRRCQSEIELVRGVDSDNEKDQKVLQRCESENEKRKKRSIDTDKEKKKMVKNSSLYPEDGMSNDEFRRTVEAFIARQQKLR >CAK8564127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653294801:653295498:-1 gene:gene-LATHSAT_LOCUS17461 transcript:rna-LATHSAT_LOCUS17461 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTFTTPIAGPTLVGFKSNSSNLWPNTHPIDWKKKTVSNGSRIHCMKTWNPINNRKFETLSYLPPLSDESIAKEIDYMLKKGWTPCLEFDEVGSIHRANSQMPGYYDGRYWTLWKLPMFGCVDSSQVINEIHECKKTFPNAYIRCIAFDNKRHMQSMAFLVHKPTTTTT >CAK8570784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:114836163:114837963:-1 gene:gene-LATHSAT_LOCUS23507 transcript:rna-LATHSAT_LOCUS23507 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVDTSGVRHYEGKVTAFVLITCFVAAMGGLLFGYDLGITGGVTSMDEFLVKFFPSVYKKMKDETHQTSQYCKFDDQLLTLFTSSLYLAALVASFFAATTTRAWGRKPSMFLGGFFFLVGALLNGLAVNIEMLIIGRLLLGFGVGFCNQSVPVYLSEMAPANIRGALNIGFQMMITIGILAANLINYGTSKLKNGWRISLGLGAVPAIVLCIGALCLDETPNSLIERGDHEKAKSMLKKIRGTQNVDEEFQDLVDASEEASKVDHPWKNISQPQYRPQLTFCSFIPFFQQLTGINVIMFYAPVLFKILGFGDDASLMSAVISGGVNVVATLVSVFTVDKFGRRILFLEGGTQMFVCQVIIGILIAAKFGLTGQGSFTKTEADILLFFICAYVAAFAWSWGPLGWLVPSEICSLEVRPAGQAINVAVNMFFTFIIAQVFLTMLCHLKFGLFFFFAGFVIIMTVFIALLLPETKNVPIEEMNRVWKSHWFWTRFVPDQVVVGGNNKKSSP >CAK8530777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49251612:49251914:-1 gene:gene-LATHSAT_LOCUS619 transcript:rna-LATHSAT_LOCUS619 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAPKIIDGEMEIQIKEEDVEKEVKFWESALIMYVLGVDLSMNAVKQFMSKSWNFVKLLDMFYNKEGFFILRFHSFQDKDLVLMKGSYSIRNRPMMLC >CAK8570886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:149610473:149612866:-1 gene:gene-LATHSAT_LOCUS23598 transcript:rna-LATHSAT_LOCUS23598 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGETFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFYNNEVKYFQEKILDNNDVDQMFDSHEQSGLDYIEVYLLLCQTEHEVGETTNIDEIDVVDEEEEDPETMVDQMVNLFGSGDYTAMTPLQDINEEALPLNQVYCPPQHMTNLQCSGDDTFSDYFYNPSQQIESVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGANPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPTYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLRSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFCMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSK >CAK8531464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112178472:112181216:1 gene:gene-LATHSAT_LOCUS1254 transcript:rna-LATHSAT_LOCUS1254 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRVKQHLAGVVGNVEICKSVPTEIRFRINQHLNERSKKRKTPDVAESESFSAEGGELQMQMHPRIGASKKNDARIGTYFLPRTTPGAQPTLKSVMQSKEVVEKCDLAIAKWFIDASIPFNAANSPYFQPAVDALCCMGAGYKVPTMHALRGNLLNKWVDDVKIQLEQYRSIWKDTGCTLMADGWTDRYRRTLINFLVYCPKGTVFIKSVDASGASKTADTLFKLFKEVVLYVGPENVVQIVTDNAANYVAAGKLLEKEFPKLYWSPCAAHCINLMLQDMGKLEEVSGAVSHASKITKYIYNHCFALYLMRQNTGGREILRPTPTRFATNFIALQSILSHKDALRSMVTSKEWTTTTYSKDVKAKQFVEQVLDSSFWSTCVDIVKITEPLVRVLRIVDSEDKPAMGYLYRAMYKAREEIEKRFRRNKLKVEPYLRILDNRWDAQLRKNLHAAGYWLNPSCRFSQEYEKHKSTTQGLLDVIEKYAYDSKELRTKLTVEMSLFKNCEGSFGRTTAVENRDEVLPDQWWETYGTEAPSLQKLAIQILSQTCSASGCERNWSVFEHIHSKKRNRLEHQKLNDLVFVRYNLRLQNRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSDDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVANAIDFTADGFDIEEGDPNIEIILPPWN >CAK8538848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497728481:497732876:1 gene:gene-LATHSAT_LOCUS8003 transcript:rna-LATHSAT_LOCUS8003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPIPIGSCSKDHHNIYQTWFNLADSDADGRITGNDATKFFAMSNLSRQDLKQVWAIADSKRQGYLGFQEFIVAMQLVSLGQSGHPITHDLLSSDVDLKNLKPPIMEGLDVLLAKKKHKQKEVDVNDSSQLQPSLSSSWFSSKSTKKIPLSSVTSIIDGLKRLYIQKLKPLEVTYRFNDFVSPLLANSDFDAKPMVMLLGQYSTGKTTFIKHLLKCSYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFGCSQMPHPLLEHITFVDSPGVLSGEKQRAQRAYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFNRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNDAVSGPIGKELFEKEQEDLLSDLKDIPKAACDRRINEFVKRARAVKIHAYIISHLKKEMPAMMGKAKAQQKLIDNLAGEFGKVQREFHLPPGDFPNVEHFRESLGGYNIDKFEKLKPKMIQVVDDMLAYDIPNLLKNFKNPYD >CAK8567294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502177227:502177810:1 gene:gene-LATHSAT_LOCUS20359 transcript:rna-LATHSAT_LOCUS20359 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIAPEYLSTGKSSEKTDVFSYGVMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREKKLDAIVDSNLKKEYNIEEVEMIVQVALLCAQGMPEDRPAMSEVVRMLEGEGLTERWEEWQHVEVSRRQDSERLQRRFAWGEDSIHNQEAIELSGGR >CAK8568359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598516837:598517571:1 gene:gene-LATHSAT_LOCUS21321 transcript:rna-LATHSAT_LOCUS21321 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEALNSPTTATPFTPFEEPNISYLDTPWTKGKRSKRSRMDQSSCSEEEYLAFCLIMLARSGNNNNNSNNNSTAKQILSDTESAPLTAVKLSHKCSVCNKAFSSYQALGGHKASHRKLALMSTTEDQNQNQTTTTSSAVTTSSASNKIKTHDCSICHKSFPTGQALGGHKRCHYEGGAGGGAGSSAVTASEGVGSSHSQHRDFDLNLPAFPDFSKKCFVDDEVSSPLPAAKKPFLFKIEIPHY >CAK8559972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2769490:2770551:1 gene:gene-LATHSAT_LOCUS13697 transcript:rna-LATHSAT_LOCUS13697 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMSYMGGTGEGIASQTLSLVSGALYDQFMKKDIKNFDSFHTAILDIFNNINMALPGKHYDAPSNEEIEEFFKLWNKSEEEDKNKIFTDFMIKNVNISKVDDSMMITGIVAPPAAMVAKRSGQSLPQLSLMKSIPDVIFVPTATILALIAVKVTKRMTLKKLNPI >CAK8532473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:268808934:268809446:-1 gene:gene-LATHSAT_LOCUS2175 transcript:rna-LATHSAT_LOCUS2175 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDWIKVRRKKPVDRSNRFSVWSEKRGKGLEAEKEFPSFFFTEFPESHNVNDMVIVFKDFGIVKEVFIPSWRDKRGRRYGFVRFRKVKNVRLMATKLDSIQIAGTKLHLNVPRFQRDNGAMKRGNIEVEKAGHRKTDTLLKSCINKGRTIAQSRGRSYAQVVGSSQGI >CAK8532452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:265124418:265128092:-1 gene:gene-LATHSAT_LOCUS2156 transcript:rna-LATHSAT_LOCUS2156 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIFGYMDGDFEVSLTDSTMMSIVHRAMNKAQEKMKTKTGVLERLNEISKFYELAVMQLEGCLTIVHTETDSSCLESNHEKLLDDLREIKDRLQGRLEESELIISEKDRELSQRLKKNQTTSENKVKSGGDLGELRSSMDQQMLHIKQRLEPQLDMVENVVTHTHNVVVNDTKKIEEMGSDIDVLKQTMDLAFCKMQSALFSCEMRPKEMQWKLKIEKDMISILITSFIKEFRENIEVEARRNENHVHKCWQEHWSQMMNEVTSLKNELVTTHDSFPDEYDSSALSSPTKSSSEEATHETFHKFLQKADERDPKERELQEEEESEKKSLVAKMIKNHESIIRQKNEELNRIKHQKKVSSSRKRKELSIVKEKIHIISERFDNLIKRNEKQGESLFNQKAIHHKETLLTKKLSSQDEIEFEKLIQENVHKCYLKEMMNELNEKIETNKIKRRIREDINFLVFFEIKEDEIEFEKLIQENVHKCYLKEMMNELNEKIETNKIKRRIREDTNFLVFFEAIKDVKSNHEFVLAKENLEDEIEGTIKEDICMLVVKKTIEELDKMVTNCKVETIIREQIDHIVFEETLEVFVNISNSHHRKNINIQENFSSMVLNQMQKFQGHENLTVILLESLLGCFEAEENLMLSARSEINEHSKQLDLGSERGDLHEYELFEDLITGEEQTFSSLTSKVENVLRQLGISKALLKELGTSLGHSLRDSESFYRQTFDYEQEQLKVSSFESTTFVEFEEMVHQKLEMLTMRLEKMNCCVDSVIKMVACLRRNELLYQKAFIRRCQNLQNAEAEVDLLGDQVEALLTLLEKIYATLHQYAPALKQHLEVFNLLELIKTELINGAFQAATVVT >CAK8560998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70021580:70024892:-1 gene:gene-LATHSAT_LOCUS14627 transcript:rna-LATHSAT_LOCUS14627 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDADDPLKTTDQTRSLGLIVCRGTAVMLVSPTDGTDEIANPFIEADGA >CAK8536675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6810123:6810860:-1 gene:gene-LATHSAT_LOCUS6013 transcript:rna-LATHSAT_LOCUS6013 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNIFVILSLFILLLSIQNIVQAYGEDPTYGFTSVPLTEANFAVQKPYNIPIDQRYSFIDGVHRFWVYAHDKPYSPGSPTQPRTEIRIKGLDYHSGVWQFEGYGYVPKGTSGATIAQIHGAAHGATTLILRIYSGDMRYYDTDLVAKNLYDKWFRLNIIHDVDGGIVTVFIDGEKKFQTKDQGPGDLYFKCGVYAAPVDISNYMESRWRDIKIYKK >CAK8570153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26296252:26297558:1 gene:gene-LATHSAT_LOCUS22931 transcript:rna-LATHSAT_LOCUS22931 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQTCHSVKTLPLTWNIPKTMNNPTHRHLLPPPKSTVSAAPPPLKLSKTHSMPPEKLEIFKSLETWASESVLPLLKPVEQCWQPQGFLPDPSLPFDEFTDKVKALRDRTKELPDEYFVVLVGDMVTEDALPTYQSMINGLDGVGDESGASPSPWAVWTRAWTAEENRHGDLLRTYLYLSGRVDMEKIEKTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHENAYSKIVEKLLEVDPSGAMVAIGDMMEKKITMPAHLMYDGQDPKLFEHFAAVAQRTGVYTANDYADILEFLVERWRLEKLEGLTSEGQKAQDYVCGLAPRIRRLQERADARARKMKPHGVKFSWVFNKEVIL >CAK8544597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694864358:694867164:1 gene:gene-LATHSAT_LOCUS13257 transcript:rna-LATHSAT_LOCUS13257 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLSSDSSSHRRRRHHRNRRDRDKDSLKIRKKTKSHSHTKRRRKHHRYSSDSDSYSSSSLSDYSRSESSSDSEHETSHRTKKHKKSDKSKKNKEKERSKSHRHKRQKHNLKEKQSGERSSSPVQLSKFLGRNKDDGVRRSAVSGKKILLKLDKTKEDKEAESKRNELLNFLNASFD >CAK8577974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599602273:599602617:1 gene:gene-LATHSAT_LOCUS30028 transcript:rna-LATHSAT_LOCUS30028 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSIKVEARHLLQTTTLSNPTIPSLPKSSLLDSQDSSIIPSLPKESLPPFSSFPSNDPSLSIPPVSSPAPTPVSTTPNSPPSFFSFPFFSKTLSNSKP >CAK8544836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708079359:708080932:-1 gene:gene-LATHSAT_LOCUS13484 transcript:rna-LATHSAT_LOCUS13484 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFVIFFCVIISLVASVSAQQPRAFFVFGDSLVDSGNNDFLATTARADAYPYGIDYPTHRPTGRFSNGLNIPDLISLELGLEPTLPYLSPLLVGEKLLIGANFASAGIGILNDTGFQFLHIIRIYKQLKLFQVYQKRVSAHIGSEGARNLVNRALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVRYLISEYRKVLRKLYDLGARRVLVTGTGPMGCAPAELAMRSRNGDCDVELQRAASLYNPQLVDMIRGLNQEIGSDVFVAADAYRMHMDFISNPQAYGFTTSKVACCGQGPYNGIGLCTPASNLCPNRDLNAFWDAFHPSEKASKIIVQQILRGSTQYMYPMNLSTIMALDSMT >CAK8565364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:152248006:152248506:1 gene:gene-LATHSAT_LOCUS18585 transcript:rna-LATHSAT_LOCUS18585 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEELLAAHLEQQKIHHDEPVVEEDDDVEGLEGDAFGRSKQTRNEKKSRKAMPKLEMKPVTGVSRVTVKKSKNILLVISKPDVFKSPNPDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNLSNVCVKPEHQICITLSIGLHPWMVEERDLQLAQKHEVMMDQN >CAK8573434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611960462:611960938:-1 gene:gene-LATHSAT_LOCUS25881 transcript:rna-LATHSAT_LOCUS25881 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGGNVSFSRVNAPIELQQLFLDGSVEGRHFRQHIRSYNHVLSFTSIGVHVDENILASGRGIYTFRAQGAFYHNIGGFYPNEGARPRFLQLYIYDTDNELHNRMQENPQLHQNVVQKLQKMLHQFNPFVIRFKQLSQLPNIGECSFILKERPSNHH >CAK8537164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:119463821:119466741:1 gene:gene-LATHSAT_LOCUS6474 transcript:rna-LATHSAT_LOCUS6474 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCTVQPLTLSKLPDSFAFRHKPSFTPNRILSSRSIFLRNLRATASEETSSGAGTFFNEKRDGVITLEAGKNGYNGTVENEEPKEELPSDGESLPLDLLEKLNLKIDLDDTASLAVYGGGAIVTLWLTSAVIGAIDSIPLIPKLFEVVGLGYSLWFTYRYLLFKRNRDELSSIIEGLKEQVLGSED >CAK8543046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571133015:571135880:-1 gene:gene-LATHSAT_LOCUS11833 transcript:rna-LATHSAT_LOCUS11833 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPEIETMMPMEAVPPVSADVSFISNSFPKYKLGADHQVFQEPAEDNHGPSLKDVIEEEASNLSDQNKRISVRDLASKFDKNLSAAAKLSNEAKLRELPSLEGHVLLKKLRDALESLKGRFTGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKKLVNQEKSFACAEIESARAVVLRIGEALEEQEKAIQSSKPQDVDGLVEEVQEARRIRLLHQPSKVMAMEYELRALRDQIQEKSIFSIQLQKELTMSKWDKENKSHSYKLDGSEALGSCLQVKPCSNEVLQVSKCSFQWYRLSSEGSWREVISGADKSIYAPDPLDVGRMLQVDIVSNGKKLTLTTNPIQTVAGLGSHVETLLRKSHTDFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPTMQLCGVRSDVGNAAKTLFWQARKGLSFVLTFESEKERNVAIMIARKHALDCNVVLAGPDDLV >CAK8544992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717060812:717066074:1 gene:gene-LATHSAT_LOCUS13628 transcript:rna-LATHSAT_LOCUS13628 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFIATCILLFNATTATDPTDVASVKAIFQSINSPPQLGWPPNGDDPCGQSWKGITCSANRVTDINLSNLALNGSLAYGLDRLTSLTNLDLSNNNLVGPIPYQLPPNLQRLNFAHNNLTGTLPYSISNLTSLTDLNLNHNQLQQPLNLNFQNLSALSKLDLSFNSLTGDLPQTMSSLSGITTMYLQNNQFTGTIDILANLTLSSLNVENNNFTGWIPERLKNIDLQTGGNAWSSGPAPPPPPGTPPIPKSKQHHKSGGGTTTGQDAGSGTKDEGKKSGIGGGVIAGIVISIIVVVAIVAFFLVKRKSKKSSSDFEKSGNQSTAPLPSNEAHEVTSVQTSSVLDLKKFDTSASINLKPPPVDRHKSFDNNEFSISSPIVKKTVTPPADVQSYSIADLQIATGSFSMDHLVGEGSFGRVYRAQFEDGKVLAVKKIDSSVIPNDFTEDFIEIVSNISHLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHVSDEFSKPLIWNSRVKIALGTARALEYLHEVCSPSVVHKNIKSANILLDAELNPHLSDCGLASYIPNAEQILNHNVGSGYDAPEVALSGQYTFKSDIYSFGVVMLELLSGRKPFDSSRERPDQSLVRWASPQLHDIDALAKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSRRTTFGSDHGGSLRGSDEPAIRDIQIGT >CAK8532329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247817391:247817894:-1 gene:gene-LATHSAT_LOCUS2049 transcript:rna-LATHSAT_LOCUS2049 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLIACIIFVWITSLFKIFLLAHIRSTKHFTLNGRAFRKRNVLLVIAHPDDESMFFAPTINFLTSRGHNVQILCLSNGDGDGKGNVGKQELYQACVSLKVPMQQVKIINHPDLQDGFGKVWNHSLLAKIIEEEITSHCIDMIITFDKYGVSGQCNHRDVHYGVW >CAK8570718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:95907018:95910373:-1 gene:gene-LATHSAT_LOCUS23451 transcript:rna-LATHSAT_LOCUS23451 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIVLMYVYASNTDNTLHKFIGKKSYIEYPKDTQKPPPPEELREMAREIARKRNNP >CAK8536790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20618155:20618370:-1 gene:gene-LATHSAT_LOCUS6123 transcript:rna-LATHSAT_LOCUS6123 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEENKKNMKCHVKGQRGASSFELPLVKSSFELARNFSIKMEERKTKHGKIYAPISEVETEVEALRNKKK >CAK8560464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20780846:20783176:-1 gene:gene-LATHSAT_LOCUS14133 transcript:rna-LATHSAT_LOCUS14133 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPHSLPILFSFIFFLSLSLSHSSNSPQTFIIHVSKSPRSSLFSTNHNHFSSILNSLPSSPNPTPILYTYTSAIHGFSARLTPSQADHLKSHPDVLSIQPDQVRHLHTTHTPDFLGLAESSGLWPNSHFASDVIIGVLDTGIWPELQSFSDQSLSLSSLPSSWKGACETSHDFPKSSCNGKIIGAKSFYKGYEAYLQRPIDETLESKSPRDTEGHGTHTASTAAGSIVANASLFHFARGVAKGMATKARIAAYKICWKAGCFDSDILAAMDEAVSDGVHVISLSVGSNGYAPHYYRDSIAIGAFGAAQHGVVVSCSAGNSGPGPYTSVNIAPWILTVGASTIDREFPANVVLGDGRVFGGVSLYYGDDLPDYKLPLVYASDCGSRYCYLGSLDSSKVKGKIVVCDRGGNARVEKGSAVKSAGGLGMIMANTEDSGEELLADAHLVAATMVGQIAGDKIKEYIRSSENPMATIEFKGTVIGGSDSPSAPRVASFSSRGPNYRTAEILKPDVIAPGVNILAGWTGKVGPTDLDLDPRRVEFNIISGTSMSCPHVSGIVALLRKAYPNWSPAAIKSALMTTAYNVDNSGEAIKDLGTGKESNPFVHGAGHVDPNKALNPGLVYDLNSNDYLAFLCSIGYDARKIQVFTREPTSYNVCENERKFSSPGDLNYPSFSVVFDANNGLVKYKRVVTNVGGSVDAVYTVKVNAPFGVGVSVSPSKLVFSSENKTQAFEVAFARIGYGGSQSFGSLEWSDGVHFVRSPIAARWSNGFSSASF >CAK8574701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6808467:6811479:-1 gene:gene-LATHSAT_LOCUS27020 transcript:rna-LATHSAT_LOCUS27020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGSSLAGLQEHVKLARDYALEGLYDTSIIFFDGAVAQINRHLNSVDDPLIRAKWMNVKKALSEETEVVKQLDADKRAFKDNPIGRRPPSPPISTKSSFVFQPLDEYPTSSNSSFDDPDVWRPPSRDTGSRRPGRGGQVSNRKSQQDSKWARGATTKTGTTGRGGAKAGGATSKVNTGTRTSTPVKKGGSSGKSSKTDAVNGDAEEGKSNKGQYEGPDPELAEMLERDVLETSPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVSNSGTNEDGSKKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAPDVNIDDVARRTDGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKSMSKDDISKDPVAMCDFEEALAKVQRSVSPADIERHEKWFHEFGSA >CAK8562501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501689190:501689471:-1 gene:gene-LATHSAT_LOCUS15993 transcript:rna-LATHSAT_LOCUS15993 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTEKETKPTNENEPGKESSTEGVPFNKDSPYLKYDNLEDYKKQGYGTQGHQQPTEGRGAGSTEAPTLSGANVSSEAEFKAADAVNRKGVP >CAK8574760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8719877:8722137:1 gene:gene-LATHSAT_LOCUS27071 transcript:rna-LATHSAT_LOCUS27071 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPLMLPKLNLMILVLCLLLSFDQHKRTTTNNNTTTFNFINTIPLHSFSSGPVSLSVINNHYSQSLLQYDFYRNSCPGAERIVRSAIHWLHKTNPSLIPALIRLAFHDCFIQGCDASILLDDDDYIDSEKDSPPNGNLKGFDVIETIKSNLEEACPGIVSCADIIVLAARDCVVLAGGPFYPLNTGRRDGSNSFADIATDELPSPYSDLSQILATFKSRGFDEREMVTLLGAHNVGVIHCNFFKNRLYNFNGTNEPDPSLDTEFLNVLRSRCNETDALSTSTSAYTSPTSPSSLIKEQQKITMDSEKSVSNFRMYVSPSSLIEEQHEITKDYKKSVSNFGMLYYRNLLHGKGILYADQQLMEGEKTKYWVHEYASNPSLFHQDFAMAMMKLSDLQVLTTPMGQIRRSCSKVA >CAK8574761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8719880:8722137:1 gene:gene-LATHSAT_LOCUS27071 transcript:rna-LATHSAT_LOCUS27071-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLMLPKLNLMILVLCLLLSFDQHKRTTTNNNTTTFNFINTIPLHSFSSGPVSLSVINNHYSQSLLQYDFYRNSCPGAERIVRSAIHWLHKTNPSLIPALIRLAFHDCFIQGCDASILLDDDDYIDSEKDSPPNGNLKGFDVIETIKSNLEEACPGIVSCADIIVLAARDCVVLAGGPFYPLNTGRRDGSNSFADIATDELPSPYSDLSQILATFKSRGFDEREMVTLLGAHNVGVIHCNFFKNRLYNFNGTNEPDPSLDTEFLNVLRSRCNETDALSTSTSAYTSPTSPSSLIKEQQKITMDSEKSVSNFRMYVSPSSLIEEQHEITKDYKKSVSNFGMLYYRNLLHGKGILYADQQLMEGEKTKYWVHEYASNPSLFHQDFAMAMMKLSDLQVLTTPMGQIRRSCSKVA >CAK8542476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518815690:518819064:-1 gene:gene-LATHSAT_LOCUS11315 transcript:rna-LATHSAT_LOCUS11315 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVLQTYKRKRKSQSGSFIHRNRCQNSVCEDSHDCSLAKRVKHNKLTDEKTSEKHEEKSMDTSDGKKSCFESIKQQEESSTLPVQKSGDLEAGQLGNHDEIERVVTMKESSSGQLGNHDETERVVTMKESSSTRHPCDDNSKEDVDELPLIKKPLQNDCNTQKNSSGGENSGIECDNGSNKNNIPPSAELNARNDFNVINSEASITREISAACGDESLVLNKSTDRFTESPSKDNFGNQSECKVTKTNLTRPLITYQCYKRKKCMNGIDKKSNSLHEKENIPVLAKWSMLANANPSSSNGSSCDECPVNSVPDLNQTQTQDDTSSRSCSRIFPTDLNLSAELSERGELHQTREKVRNADAPCTSGVVSETRMAHVGEHLHHGEDTAKTNSHMAGTEVPSQSSLVHKEVQHLDKDCQGISIILDSRDLCPGSATADRELEEFQPSVKEAIQNVMFNDLKKSGGHQPQSSDLPPNFAEEHTVELNLGADKDSLHLGTKTLVDKSDSTSSRSAIVENQVTQLEFLSSSNTKLISDGKTIDDVCSSITQSQSGGCMMLDERTNVQQTKTNQLKHMPIVSLSLGLSLPTELETGGCDSSNCLPTLPLFNLTAGTRDIVQDGLCHSSTNRKPLLLRHKAVLDNIVSKTRALNERGNFQENLKLHPTMWSEENWIFSGLV >CAK8570804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:117697552:117700472:-1 gene:gene-LATHSAT_LOCUS23525 transcript:rna-LATHSAT_LOCUS23525 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFTSLINSCLNSSLPFIIFLLFFSCFSLLLQWWKHHRHKDKHLPPGSMGWPYFGETLKLYTQNPNSFFSSRQKRYGDIFKTHILGCPCVMISSPEAARTVLVSQAHLFKPTYPPSKEKMIGPEALFFQQGAYHSMLKKMVQASFSPSTIKNSVSLVDQIVQKMVPSWTNRTINTLEEMKKYAFEVAANSAFGEIKEMEMEEIKELYHCLEKGYNSYPLNLPGTSYWKAIKARKVLNEIIRKIMERRKESRNYGGGLLGILLRGGRGDQQQQQLTDSQVADNLIGVIFAAHDTTASVLTWVLKYLHDNLNLLQTVTKEHEGIKNNLVKENRGLSWEDTRQMPLTTRVVQETLRSASILSFTFREAVTDVELEGYTIPKGWKVLPLFRSIHHSPHFFPQPHKFDPSRFEVPPRANTYMPFGSGVHSCPGSELAKLEVLVLLHHLTLKYRWEVVGNGDGIQYGPFPVPKNGLPLKITLNKR >CAK8572637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552726651:552727394:-1 gene:gene-LATHSAT_LOCUS25179 transcript:rna-LATHSAT_LOCUS25179 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHSVTPQITFVPRRFSVLGFSHIIHRRKSSLFSDHRSPFIWNMKKVARIESEMVASLVLEMVASLVMEVLICKE >CAK8577215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545062751:545066926:1 gene:gene-LATHSAT_LOCUS29342 transcript:rna-LATHSAT_LOCUS29342 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKYMVVIKLSFCVLLVFHLVAAVSFVVKKERPDGFVRELFDSASGLFDEHTAKALWTTCCEDFIHLKEEVGYSDLCLPLEFYASTNKLSSTIQPLSQTEIQKLHGAFHPHVRENFLHCLRKNNLQLRVSGEKDDSKIGHVTNTGYLFSTSSILRRNPDRVLLQHISEPPSSGPAAGSPEPSLTPPPEPSPTPSPGPSISPSPGPSISPSPTPVPLPPQPPSPPSSPSPFFQKLTPPEADEISAPPSPDTNGEDDKSSKKRTVVLSVVITLLVIFIAAALFFLCFRKNARLRRNDERPLLSLSMNDYSFGPSNHAFGNSTKAEKHGFQSSSNNLVDNKNTILQENQSIGAFATSGSPFELKPPPGRVGTIHSGMPPLRPPPGRMNPLPPEPPSFRPSSNTAVAAAAAPPQQSSVGSARPPAAPSPPQATLAGPTPGPPRPPLPPGPTPGPPRPPLPPGPPGAKPRPPPSPTPPGAKPGPPPPPPPAPLGGKPGSRPPPPPPKSGVAPPRPPIGPKASGPKASLNAEAAGADSSKAKLKPFFWDKVQANSDQSMVWNEIKSGSFQFNEEMIETLFGYNAVNKNNGQRQKESSTSQDPSPQYIQIVDKKRAQNLLILLRALNVKMEEVCDALYEGNELPSEFLQTLLKMAPTSDEELKLRLFSGDLTQLGPADQFLKALVDIPFAFKRMETLLFMGTFKEELTTTKESFAVLEVACKELRNSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIKAARAAKESQALSGIKTDELLEDKDSAREIEEDHYRELGLEMVSHLSSELENVKRGSVLDADSLTATAAKLGHGLLKAKDLLNKNLKNMEDDRGFRETVRSFVQDAEAEVMKLLEDEKKITALVKSTGDYFHGNAAKDDGLRLFVIVRDFLIMLDKVCKEVRDAQKKPAKPRKQEASRGLSPPDTRPSPSDYRQRLFPAIAERRIDDLSSDDESP >CAK8565104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38198552:38203632:-1 gene:gene-LATHSAT_LOCUS18341 transcript:rna-LATHSAT_LOCUS18341 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGRVKSGISLCNKLGLLTSQRSTLQRSLIAPSISQASRNYADVPGQKETKIKVPIAMFGGSGNYASALYIAAVKVNAVDKVDSELIQFVEGVKGSPITSQFIKDISVAKDVRVKIIQDIASQAKFSDVTKNFLVLLAENGRLKNVDTIAKRFAELAMAYKGEVKATVTTVIALPPEEENALKQTVQEMLGSGAKVKLEQKIDPSILGGLVLEFSQKVFDMSIKTRAQQMERILREPVNYSI >CAK8561935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:414511650:414513043:-1 gene:gene-LATHSAT_LOCUS15480 transcript:rna-LATHSAT_LOCUS15480 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRNDIDEMVKAVTLEGDVNLLYTLIQEDPHVLEYIDSIPFVDTPLHIAASMGHIHFATEIMRLKPSFAWKLNPQGFSPIHLAMQNHQKKMVLRFVDINKELVRIKGREGITPLHLACENGEVDLLAKFLFVCPNSIEDVNMRGETALHIAVKNKQFEALDVLVGWLNKTHQRGSMKLEKSILNSKDEIGNTILHISALNNDSKALRLLVKTKINLKAKNFENSTALDIEASGEIKGILLSAGVKPSSKVKDSPTLAERLRSKVTLMEKILIHILRIRKDISEEQRNAFLIVATLIATATYQSALSPPGGVYQGDDATGSSHGKVGKSVISEGDFFTLSILNTLSLLLSTMTIYLLTPSGLVGGLLFTPIFWFAYCYVYNMKLISPTSATKTYNFVMVQVFNFLYSFVYWSFFIMYKRLKFNGENRKVTMVNRIGGSIW >CAK8574301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674484473:674484721:1 gene:gene-LATHSAT_LOCUS26659 transcript:rna-LATHSAT_LOCUS26659 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVRRGGQIWVCIFLDKPVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFIFSK >CAK8574628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4292270:4292530:-1 gene:gene-LATHSAT_LOCUS26956 transcript:rna-LATHSAT_LOCUS26956 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFNPFVIRFRQLSQLPNIGECSFILKERPSNHHQYNLSTAEQVATVIVGGDSDSMEYGRNINVIRRDGNLKKVQETKGYYDLC >CAK8568574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:619586922:619589938:1 gene:gene-LATHSAT_LOCUS21519 transcript:rna-LATHSAT_LOCUS21519 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNDDWSRAAMKDDSILVEFLLQLKHGVNTKSQPVPFTWGNKQPRSRSRLTASVSRCDRAFSTRCSPTTPLSWSGGASPSLTADGYEDSSRYQNHHAVRSKATATSGYTSNSASTKRGRKKKSFAELKEEESSLIKEKIYLEREIATKNANFEVMRTTNESLKRMKLGFGSKSHNKPSSTSVELRGTVAGQPHQRIVSSEVHDAPDNTRPQASESRPNRIESTGESFFMIPDLNMMPSEDGMS >CAK8562618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516505118:516505756:-1 gene:gene-LATHSAT_LOCUS16103 transcript:rna-LATHSAT_LOCUS16103 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLSLLAVLLLATFSMFSMKNVGATDCSGACSPFDMQPCRSTDCRCTAFTLFAGLCTNPSSPSVMKMIEEHPNLCQSHADCIKKKSGRFCARYPNPDIEHGWCFASNIEAQDIFFNISSNSEISKTYLKIHSST >CAK8531420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108844564:108848760:1 gene:gene-LATHSAT_LOCUS1213 transcript:rna-LATHSAT_LOCUS1213 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGGREKVESGGVSYELKNSEGDEVFEEAIDPVKDFDEQGDVAAATTVSTLPSDLVDEIADNAEEPDNFHESIGVSHEDAGHLNEEIVEVIATQEEVPEAKAGQLYSSCLDVTEETKDGVVSCDESNRTRDDFSGGKELADLNTDGSMVFQEGRDLVNGNSGLLSEKGEDEDLEYVTPRQNGGVVLEIASTDKVDYAIDELNTELGSDEEMRNQASDAGYLKEGGLDPDLKDEKIEEQCNDSGDPYNEIQDDPVERSIEMVDETIDIDIIDSDTNGQEMGISDTTKDDHAGSNSEHLETIGEAGGSLAVDERKVIETAGSSFLSEISFANEMPAVQATAADSEEGNAKIYQSQISKEDNQGKYENLSVVERSKVIETGGSSPSLDERTVTETIRSSSPSENSFGNETLTVQATAAETGGSSPAFDERTVTETVGISSTSENSFANEMPTVKAAAADPEEGSTQVYPSKISNEESQGNYKKSFVVQEPEKIPENNVKEKQSTQIAKEHELDSLSGKPVATSTPLDHPVGLGSAAPLSKPAPRVVQQTGVDSTKEKQTNQIINKQNSEFDSSSGKSVAASTPLDHPVGLGSAAPLLKPAPRAVQQPRMNNTKEKQTYQITKEQSNELDSSSGHSVATSTPHVRPVDLGPATSLSEPAPRVVQQPRVNNTVSNTQSQKIEDSSTVEAEEYDETREKLQMIRVKFLRLANRFGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGSFSFDRASAMAEQLESAGQEPLDFSCTIMVIGKTGVGKSSTINSIFDEVKFKTDAFRVGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQQHNVKILHSVQHFIKKTPPDILLYLDRLDMQSRDFSDMPLLRTITDIFGPPIWFNAIVVLTHAASPPPDGPNGTPSSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRINTAGQRVLPNDQVWKPHLLLLSFASKILAEANALLKLQDNPHEKPYTARARAPPLPFLLSSLLQSRPQLKLPEEQFNDEDSLNNDLDEPSDSGDETDPDDLPPFKPLTKAQIRNLSRAQKKAYLDEVEYREKLFMKKQLKYEKRQRKMMREMAESMKDLPSDYGENVKEEIGGAASDPIPMPDMSLPASFDSDTPTHRYRYLDSSNQWLVRPVLETQGWDHDVGYEGLNVERLFVLKEKIPVSFSGQVTKDKKDVNLQMEMASSVKYGDGKATSLGFDMQTVGKDLAYTLRSETKFCNFRRNKATAGLSFTLLGDALSAGVKVEDKLIANKQFELVIAGGAMTGRDDIAYGGSLEAILRDKDYPLGRSLSTLGLSVMDWHGDLAVGCNLQSQIPIGRYSNLVARGNLNNRGSGQISIRLNSSEQLQIALIGLIPLLQKVVGYSQQLQFGQ >CAK8573526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617874257:617878806:-1 gene:gene-LATHSAT_LOCUS25963 transcript:rna-LATHSAT_LOCUS25963 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWSKKNKIKAVFKLDFQATQVPKMKKSALMVSLVPDDVGKTTVKLEKTAVQDGTCSWENPIFEPVKLVRDSKSGILHEKIYHFIVSTGSSKSGYLGEASVDFADFLAETEPVTVSLPLKFANSGVVLHVTIQNVEGYAAERNGEDNGAAGLHNDGSLRHQLSYGSTDESYNLDENENLAKSRSEYSEQNASNGVSPKVASWDDPHSFRQNSMPPRGSIEANATQNQVHKRSNTGWSIGSASDGSLGDWTNSAEENIPRERLQEPSGNATENLKSEIVSLKRQAELSELELQALRKQVEKESSRGQNLSRQINGLRDERDSLKTKYEQLRSQQNFNNTNNETKTSKTLKSDVENTRIQLEALKEELVYEKETSGNLQLQLLKTQNSNSELLLAVTDLEEMLEQKNKEILDLSSKMKSQKIAKERDIDTELDVLRQKIAEQNSEIESCGKQREELSTLLKELTLEYDILENENEDISLRLKKEEEQHIMLRNEHSASLVTIQQLESQVKRLEEKIENQEDEFSSSLVSIKELENEVKSLEKELRIQEDKYKEDLDAMQCAKIEQEERAIQAEESLRKTRHNNAIASERLQEEYKSLSVEMACKVEENEKMIAKEVAEADELRNHNKLMEETLQKCNQELRLITDQHESKVEELLKQVNSKEKTIEQMSQELEVKNKELEDAQRLRDEKDATFSKKIEMLEIQHNELKNSLQREHLDKENMKKHISQLEGELKKKEAELSAMEKKLKNNKGRGGAVHMNLTSRDNEVAKGNVKKSKSEMHKGNDAANTSISKSEESDAERLSKELLKEVEILKGKNTTMETELKEMEERYSEISLKFAEVEGERQQLVMAVRNLKNGKKF >CAK8560017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5003082:5003333:1 gene:gene-LATHSAT_LOCUS13737 transcript:rna-LATHSAT_LOCUS13737 gene_biotype:protein_coding transcript_biotype:protein_coding MLHETLETVGGNAGSGYWLKEYMDRLSASISSRTASFRSSGRFFSGGGGGGSSRRIEPVEYDVDGNRIGEEITEMFRWLSSGV >CAK8534132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697745184:697752737:-1 gene:gene-LATHSAT_LOCUS3700 transcript:rna-LATHSAT_LOCUS3700 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTATNSGTINIAASAQKLDVDNRIALRFYYRIADNILRQADIFRAEKNIIDLYVMLLRFSSLASETIPRHREYRSSPQTKKQSLKKRLLISLNELEKLKPLAQQKINEINSRNAHRQNGRGNFHSNNNVDFSSVQKQTLASNGQIKPVRATLMEFAYQGSSGQHFSYVKPVEEHVRRLSLTLPPPKEETLSRHSILGPNGLNGQWRSPTIDTGVRYPSNIDLSPVELPSLQHHLEDVSPSIKDSSIAELHKLDLNSIPTESEDSQPQHTQESPSLISFEATEETSAQIEILRQPSPPPVLAEVHDLVPSMSPHINEAGCKAEIPSSDSSVHAESPLQLHISTALMESFMNVAKSNTKKNLETCGVLAGLLKNRKFYITALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPESVAIVMAPTDSSRKHGIFRLTTPGGMSVIKQCDQRGFHPHNQPPDGGPIYDTCTDVYMNPDLKFEVIDLR >CAK8544775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705243292:705244911:-1 gene:gene-LATHSAT_LOCUS13428 transcript:rna-LATHSAT_LOCUS13428 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSNLLSDEASPEWMNKGDNAWQLTAATMVGLQSIPGLVILYASLMKKTWAINSAFMAFYAFAAVLLCWVSWAYRMSFGDKMVFFLGKPGVALDEKFLLGKAFLGNFPNATMVIYQGVFAGITLILIAGALLGRMNIRAWMLFVPLWVTFSYTVVAFSIWSPNGWLAKLGVIDFAGGYVIHLSAGVAGFTAAYWVGPRSEKDRETFPAGANNMIMMVAGAGLLWMGWSGFNGGGPFVASTIASLAVLNTHVCTAASIVVWIMLDTFYFGKPNMFGAVQGMITGLVCITPAAGIVQGWAAILMGLISGSIPWYTMMILHNKINFLKHIDDPMAVFHTHAIASALGGILTGFFAVPKLCRLFYMVPDWEKYIGLAYGLQNNGATRAGLKQMVIQIEAIVFVICYNVVVTSLICLLVRVLVPLRLNSDELAMGDKAMHGEVAFAMNSEVVKFENIKHNKVYDTQDFSSIQESRPLGELQMV >CAK8571812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478202601:478207362:-1 gene:gene-LATHSAT_LOCUS24441 transcript:rna-LATHSAT_LOCUS24441 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEHFEGEDVGYVPEDMEFPQNHLEEHEGDVIDDSGFHQLQHDIDDGDNDDGGDDEQVGSLGEDVEINFPENQFDGNDVEDFTEIGDDIADGVPQEHTIEEQIDGGGDVNENFGSPENQEPREDSKGVEIKKWPGWPGENVFRMLVASQKVGSIIGRKGEFIKKITEETRARVKILDGPPGTAERAVMVSAKEEPDRPIPPAVEGLLRVHKQVCNVDRDPADSASGAGRPGVTRLLVADTQAGSLIGKQGATIKTFQDATGCSIRILGSEHLPIFALRDDSIVEIQGESAGVHKAVELIALHLRKFLVDRSIVGVFETQMQRPDVRVNHNVPPHQSWGPSQGFSAPGGGAPAYPPNPQYMPPQHNYDNYYPPADLPPIDKHMHQGPPSAYARDASLGIHSSGGQPQQTGGTKVTQHMQIPLSFADAVIGASGANISYIRRASGASITIQETRGVPGEMTVEISGTASQIQAAQQLVQNFMAEAANAAAAAAQDHMGGSINQGYNSYPTNAPVYASPPSSAAGHAPSADYGSVYGTNYGY >CAK8534552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741563337:741567465:1 gene:gene-LATHSAT_LOCUS4092 transcript:rna-LATHSAT_LOCUS4092 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEADMSAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKTVDRKTWLQEAIVSTAIAGAIIGAAVGGWINDRFGRKISILIADTLFLLGSIIMAAAPTPVILLVGRVIVGVGVGMASMASPLYISETSPTRVRGALVSLNSFLITGGQFLSYLINLAFTKAPHPWRWMLGVAAAPAVIQIGLMLTLPESPRWLYRKGKEEEAKLILKKIYEVEDYDDEIQALKESVDMELKNTEKVSMMQLLKTTSVRRGLYAGVGLAFFQQFIGINTVMYYSPSIVQLAGFASNRTALLLSLITSGLNAFGSILSIYFIDKTGRKKLALISLIGVVFSLTLLTVTFREAEIHSPMVSVIESSSFNSTCPDFKTATMNNEKWNCMRCLKATSTSCGFCAAHDKLSPGACVISNGSINGMCANDHRAWYTRGCPSNFGWIALIGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTTIWVSNLVVSQSFLSLTEAIGAAWTFMLFGIIAFIGIFFVIVFVPETKGVPIEEVEDMLDKRSVQIMFWRKRDSEENDNKG >CAK8534434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726118932:726120489:-1 gene:gene-LATHSAT_LOCUS3980 transcript:rna-LATHSAT_LOCUS3980 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSQQQTQKNNASNNNNVNATNTKVKRTRRSVPRDSPIQRSSIYRGVTRHRWTGRYEAHLWDKNSWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGPDTILNFPLCSYENQLKEMEDQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNHNDNNNKLIHNSSNNPISDTKFISNMIMTNQNESFGGEEELVQPRPAGTTSALGLLLQSSKFKEMMEMTTAADLSTPENSCTFPDHIQTYFEPSTEHEHGDDLFNVPFFFHSLDFDHGFKV >CAK8579685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716365781:716366088:1 gene:gene-LATHSAT_LOCUS31612 transcript:rna-LATHSAT_LOCUS31612 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNDNIIDVENVANAIDFTTDGFDIEEGDPNIEIILPPWN >CAK8540540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10342702:10343142:1 gene:gene-LATHSAT_LOCUS9537 transcript:rna-LATHSAT_LOCUS9537 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPQNSDETFPPADLAGELNPGNNMEVQVDPISSEVATTKEMEEEVAATEEKKEREKKECLDKLKSAIIISGIIVAVVGAAFAITKKLKEK >CAK8532433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260672204:260673006:1 gene:gene-LATHSAT_LOCUS2138 transcript:rna-LATHSAT_LOCUS2138 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKLVVASDFALSFMWVCSGVLLKSFVIKNMTFSHSHLAEIVKFSFSIANMFFYAFLSKLFHGGANNPLATLVDAISGDFHNFLFCIGSRIPAQVVGYIVGVKLLIDTIPEVGRGPRLNVDIPLGALTEGLLTFVIVIISLGLAATKIQRNFFMKTWISSLMKIILHKLGSGLTGGCMNPASVMGWAYARGDHITKEHFFVYWLAPIEATLLAVWTFKLLVRHMRKDKTSSKVKSD >CAK8560304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13803463:13808385:1 gene:gene-LATHSAT_LOCUS13988 transcript:rna-LATHSAT_LOCUS13988 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKLNVLQLVMILATSLIAQHEASVSYDYKAITINGQRRILLSGSIHYPRSTPQMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVQQAGLYVHLRVGPYACAEWNFGGFPVWLKYIPGISFRTDNGPFKFQMQRFTTKIVNIMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYTQWAAHMAVGLSTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTGFGGPVPHRPAEDLAFSIAKFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLKQPKWGHLRDLHRAIKLCEPALVSADPTVLRLGNYEEAHVFRSKSGACAAFLANYNPRSYATVAFGNQHYNLPPWSISILPNCKHTVYNTARVGSQSTEMKMSRVPIHGGLSWQAFNEETTTTDDSSFTVTGLLEQINATRDLSDYLWYSTDVVINSNEGFFRNGKDPVLTVLSAGHALHVFINGQLSGTVYGSVEFPKLTFSEGVKLRAGVNKISLLSVAVGLPNVGPHFETWNAGVLGPISLNGLNEGRRDLTWQKWSYKVGLKGEALSLHSLSGTSSVDWLQGYLVSRKQPLTWYKTTFDAPLGVAPLALDMISMGKGQVWLNGQHLGRYWPAYKASGSCEYCNYAGTYNEKKCGTNCGEASQRWYHVPHSWLKPTGNLLVMFEELGGNPNGVFLVRRDIDSVCADIYEWQPNLVSYEMQASGKVTVPVSPKAHLACGPGQKISSIKFASFGTPVGSCGNYREGGCHAHKSYDAFQTNCIGQSSCAVTVSPEIFGGDPCPNVMKKLSVEAICT >CAK8578259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615589586:615594154:-1 gene:gene-LATHSAT_LOCUS30286 transcript:rna-LATHSAT_LOCUS30286 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNSGENEKAALLLLDRASRATRGKRLSKLLDDEVQQDELFWGQDALKDEEEDDNYQEEAEIADEFDSDFDQDEPEPDEEQPQVDANERMNKKKRLIVPGKTPAKKKKKKKVLSNLENSPKNDDQEDNNNDNNKPSVSGEHHDDAKEIMVRKSTRTSVIVRQAEREAIRAAIQATTKPVIKRKKEGEEKKMSQEEMLLEAAQTEIMNLRNLERVLAREEEVKRRAIVHKAVYNGPQIRYISQNGCNYLEFAKGASFHSDIATTPQEYPEQPVCVITGLPAKYRDPKTGLPYATKEAFKIIRQRILDESANSRKETSMGGLYDSVSGCGFPTKRKRSIMPDKNIHPHDRSLARFRRIPTFEDEDSD >CAK8560896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:54093361:54097062:-1 gene:gene-LATHSAT_LOCUS14535 transcript:rna-LATHSAT_LOCUS14535 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMRRLKSIASGRTSISSDPGGDSNSKRAKLDQETEKVFEGKNTLSRSVREQPISRSVREQPISRSVREQPISRSVREQRVDASKEATVGTSNVATVTKTEKSGFDELPKELHEMKIRDEKRTNNNEKEIEATTVSGNGTETGQIITTTISGRDGQPKQTISYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQVMRMVDHPNVVKLKHCFYSTTEKDELYLNLVLEYVPETVYKVSKNYSRTHQHMPIIYVQLYTYQILRGLNYLHEVIGVCHRDIKPQNLLVNQQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSAGCVLAELLLGQALFPGESGVDQLVEIIKVLGTPTREEIRCMNPNYNEFKFPQIKAHPWHKLFHKRMPSEAVDLVSRLLQYSPHLRCTALAACAHPFFDDLRDPKASLPNGQPLPPLFNFTPQELVNVPDELRQRLIPEHARS >CAK8533732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655030720:655031454:-1 gene:gene-LATHSAT_LOCUS3333 transcript:rna-LATHSAT_LOCUS3333 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSYLIFTAFLALASCAFAFDPSPLQDFCVAINDTKTGVFVNGKFCKDPKLATANDFFFSVKEGNTSNSVGSKVTPVTVNEILGLNTLGISLARIDFAARGLNPPHTHPRGTEILIVLEGTLYVGFVTSNPQNRLITKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANAVFGSNPKISAEVLTKAFQVDNKIVDNLQKQFWLDNN >CAK8531762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148381668:148382039:-1 gene:gene-LATHSAT_LOCUS1528 transcript:rna-LATHSAT_LOCUS1528 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASAPSQSGLNTLSTKTKGRGCTRMKKLQLLTARDERIPIDFNSDGHPIGELAKDFKYHVACLAREKISILIDEWDKVGSEDRKEIWKRLEQI >CAK8570263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31918148:31923095:-1 gene:gene-LATHSAT_LOCUS23027 transcript:rna-LATHSAT_LOCUS23027 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTTQQEKFVRFHDSNSEKVSERIHPALKPTQSGKFRSTLSSFSEKFQRGLESGSERMMRFRTTFDSFHHNSALSRNFGSKRKILDPQGSFLQKWNKTFVLLCVIAVSLDPLFFYVPVIDGEKKCLSLDRGMEITATFLRTFSDVFYIIHMIFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYLSSYFLVDILAILPLPQVVILVIIPKMSGFESLNTKNLLKFVVFFQYMPRLLRIIPLYKEITRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQEACRSNVMCNKADMYCNDHGGGFKTISTYLNTSCPIQEEDKKQFDFGIFLDALQSGVVESRDFTQKLFYCFWWGLKNLSSLGQNLATSTDFWEICFAVFISIAGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDSLRERIRRYDQYKWQETRGVDEDRLIHDLPKDLRRDIKRHLCLDLLMRVPMFEKMDGQLLDAMCDRLKPILYTEQSYVVREGDPVDEMLFIMRGKLLTITTNGGRTGFFNSEFLKAGDFCGEELLTWALDPHSSSNLPISTRTVQTILEVEAFALKADDLKFVASQFRRLHSKKLRHTFRFYSQQWRTWAACFIQAAWRRYCKKKLEESLVEEENRLQDALAKAGGTSTSLGATIYASRFAANALRLLRRNRTARKIRVPERIPALLLQKPEEPDFTEEEQ >CAK8576225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:429983518:429986335:1 gene:gene-LATHSAT_LOCUS28431 transcript:rna-LATHSAT_LOCUS28431 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEKGYLDPQLWHACAGGMVQIPQVNTKVFYFPQGHAEHANTNIDLRVSVPPLILCKVVAVKFMADPETDEVFAIMKLLPLRNSEVGYSEESGGDGLENSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAAEPPVQTVVAKDVHGELWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSVVFLRSENGELCVGIRRAKKGIGSGFDGSSCGWTSGSGSNSVNCGIGPFGAFSFFLKEENKTLRNGCVGVGGDVSGRVKVRHEDVMEAVKLAANNQPFEVVYYPRASTPEFCVKASSVRAAMRIQWCSGMRFKMPFETEDSSRISWFMGTIASVHVVDPIRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSNIPVLHFTPFTPPRKKLRFPQNLDFPLGVQFPSPTFSGNQLGPNGPFFGLSDNAPACIQGARHAQFGGISLSDLNLSNNKLQLGLFPTTNVQHVDVRTRISNGNNMINNDKNKESLSCLLSIGKKSDKSLEKSQSDDVVVVKKHQFFLFGQPILTEQQISKNCSKDVVDESKNKEKWFLDAFSAGKASDAAEFSWQLGLDIGHCKVFLESEDVGRTLDLSLLGSYEELYKKLANMFDFEKSEMLTRVFYRDATGALKQTGEEPFSDFMKTAKRLTILTDSGNKNVRGAWITGTRNGEHGLDASNKTGPLSIIA >CAK8568027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565625743:565628003:1 gene:gene-LATHSAT_LOCUS21027 transcript:rna-LATHSAT_LOCUS21027 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNNPPPPKPTWVLPYVTENLRDLYTLGRKLGQGQFGTTYLCTHNPTGRTYACKSIPKKKLLCKEDYDDVWREIQIMHHLSEHPNVVRIHGTYEDSFSVHLVMELCEGGELFDRIVKKGHYSEREAAMLIRTIVEVVENCHSLGVMHRDLKPENFLFDTVDEDAVLKTTDFGLSAFYKPGEIFSDVVGSPYYVAPEVLHKHYGPEADVWSAGVILYILLSGVPPFWAETEQGIFRQILQGRLDFQSEPWPGISDSAKDLIRKMLDRNPKNRFTAHQVLCHPWIVDDNIAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMLDADSSGTITLDELKEGLKRVGSELMESEIKDLMDAADIDNNGTLDYGEFIAATVHLNKLEREENLLSAFSYFDKDGSGYITIDEIQVACKEFGLDDIHIDEMVKEIDQDNDGQIDYGEFAAMMRKGNGGMGRRTMTSRLNFRNALGIIGNGSS >CAK8566934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473887554:473889512:1 gene:gene-LATHSAT_LOCUS20029 transcript:rna-LATHSAT_LOCUS20029 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFVNGNNSPAEGKDAKKLHKFNNGNGHHDPEAALADLRHEFGEHGGVNMSIEPSASYTVMEPDHLRQMFVGELGPHTDSYLYSRQFNPTVLNLGRKLAALEGTEAAYCTASGMSAIACVLLQLLDCGGHLVASSVLFSGTHYLMQHYLARTCNITTTFVDISDLEAVENAIVEGKTNVLYFESMGNPCLKVSNTPELARIGHKKGVTVVVDNTFAPMMISPARLGADVVIHSLTKYINGGGDIIAGAVCGSKSFVNSLMGFQQGGVMLLGPTMDAKVAFEISERIPHLGIRMKEHGRRALEYATRLKKLGIKVHYPGLEDHPQHELLKSIYNKEYGFGGVLCIDVESAAKADQLMNYLQNYSQFGFMALSLGYYETLISCYGNSPRGELDEEQQKRDGITPGLVRISVGYVGTLEQKWSQLEKAIVKFNVENEKNEE >CAK8566644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444993501:444994597:-1 gene:gene-LATHSAT_LOCUS19761 transcript:rna-LATHSAT_LOCUS19761 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSIAAKEAEVESTMLPNWLDLPRDITSNILQMLGTVEVVTSACLVCPLWWNICKDPLMWHTIHITYLKSLSHNNYTDYVRILGPFYKNHSEYQKVCRYAVDRSCGHLIDINIEYFCTDDLLESIAENAYNLRTMRLLNCASISDKGFSEAVKKFSQLEKLDISYCKLSKDSLEVLGRSCPLLKSLIFKRFGSLNRGVADNEARVISETMTGLSHLDIQGNSLTKVGLVSILDKCTLLEYLDIQRCYNLSLTEDLKKRCLEQIKVLLLPICDDKDWDEYSRHYYFDYYYYEDFDNLDYDDIVEGY >CAK8575392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106568419:106568727:-1 gene:gene-LATHSAT_LOCUS27661 transcript:rna-LATHSAT_LOCUS27661 gene_biotype:protein_coding transcript_biotype:protein_coding MWMILLSWLLKSTKCFYIQDPFDANKHYVMKSIPRDFFNMNEQSNSNPHQPYISDLSDHVVNLASSDEICEVEFVSNGIPPTIVDNFASAPNVIESDDDSNL >CAK8543808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640838716:640842002:1 gene:gene-LATHSAT_LOCUS12540 transcript:rna-LATHSAT_LOCUS12540 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSDSSLRNNDVNDRRLSIIDFLSADDSLLDPVSSNHQNSENEAWYTPNSKKFEDAATKIEQWEDEPQTSEKKSMRNPKCNLRKSLAWDSAFFTCAGVLDPDELSSIIEGVEKETLPKIEEDVYKSCDSVSTLGSDSLTFESVDLEGDLFEDVRASIQKSSRKSKLATAPTRMSSASGLPGLQTPSRKVGVVARNKMKAPPTPRNPTTPVVRGTGKATNKNTFTQIPQPLATRRESSISKLSKLPAKPIASSTISAKRASLSGLHAKSEKDRAKHIIGDRVSSMSKASVIGSSRGTEPKPTILSKLTSGQSVSTKAKSVSSTSSGSNLSANIAKSPFNSARRKVIAGNSKPPSSRPPVKTPSGFASRNKIESVNSSLSSLISANKLSSSISPASSVSDWSSEASVSASVHKHMCDSSRSSIDSCSSSYSQILQSDLSLEGREALRSGIISPSARTASMAAVIPSALAKPSGLRLPSPKIGFFDGVKSSVRTPRGGAQPHSSAVLQGLLNHGARSPSEGQNKAKLGNLQAVRSITPIGNKKVDNQKNPHPSHFDESLDIAVKAFSAEENIKTSTEMLKGAFKNVEYTSLSHEMESTNHDLRTLTRVNHQENVYPDNQIDCLIKQVGLMDINSGTQEKINGNSLSFCETDVSFQDKSNGMELSKHGEFFNHPKNQEFLKGSSTPGLCVAPTSVDLAASARRPFAAKDSFLNMDYPVFTEPSISEVKLTNILDSESHITKDSI >CAK8570447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46862894:46866834:1 gene:gene-LATHSAT_LOCUS23194 transcript:rna-LATHSAT_LOCUS23194 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSRSSKRKLQEAPLHVVSKKLRSKIPRRRRRQISPVIIASPRFNGENRNVSVISVDSSSGSDFAGDEVSCNSSRISAVMSSKRRTCSRNEIDCVQNEECVSLLSNPRLEKRSENQVEVSETSCVDSNSGVRERSRSLILKFNSGKENDEISEVTCAENSKSSNGNLNILSKITRNDVVSISSDARETSFTDLKAQSSMKQLPDNSGYDSDLACSEQFQFSYYEDDSEYCSSLGTTFSDLHSEMFGECSDCSPSLLIESGSEFSQGSVGETPSYTYSLLLQYRKEFTTLLAYPVNHTPSVEEDASLRCNFSRFEDLDDEDSYQILRKRERKQVFMWNYAERYFSTTDFTELVFQQRCRMVHWIVEHSYRKQLRQETIFLAINLLDRFLSKGYFKAEKTLQIVGIACFTLATRIEENQQCNRVGQKYFYIEKSVYSRCEVVAMEWMVQEVLKFQCFHPTIYNFLWFYLKAANADAVMEKRVTCLALLALSGHDQLCYWPSTIAAALVILACLEHNENASNKVIGIHIRSKDENLHECMESLEWLLRYT >CAK8567757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541790187:541793378:-1 gene:gene-LATHSAT_LOCUS20780 transcript:rna-LATHSAT_LOCUS20780 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREIEEGVVDSNSTIPLKQHFVFVHGLGGGSWCWYKICCLMENSGYKVSCIDLKSGGIDQSHADSILTFDDYNKPLIDFLSGLPDYEKVILVGHSAGGLSVTEACHKFPNKIRLAVYVAATMLKFGFSTDQDLKDGIPDLSEFGDVYELGFGLGQDKPPTSALIKKQLQRKILYPLTPHEDSTLAAMLLRPGPLLALTSAKFRENAEEVEKVGRVYIRTKQDNVIKPKQQEAMINKWPPSSVYEVDSDHSPFFSAPFLLFGLLIKVAAFDVGCN >CAK8578281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616944979:616946187:-1 gene:gene-LATHSAT_LOCUS30306 transcript:rna-LATHSAT_LOCUS30306 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWRQATGILKDKNSIWNAKFSRKGPWRNPDLETIIIKATSHDENHIDCKNVQRVFKWLRTSPLYLNHLIWALSIRMQKTKSWVVALKGLMLIHGVFCIGIPMVQKMGRLPFDLSNFNDSYLNPSKAWGVNAFVRSYFAYLDQRSAFVSSEVKSLRKNKNDNKGVEVEDTLMEELEKLQKLQTMIDMLLQIKPKHMNMSVGLVLEAMDCVVVEVFDVYSKFCNKIAEVLMRIYDIGGKMEAYVGLKVLQKAIVQGDELAYYIEYCRDIGVLNASQCPKIERISQEDIQDLERIISGAYYTKKNMDGVNDVVENEKNQDKAIVGRDYLHHKKLSENGLTTLVTHQWEVFFDETFVDDVKGNSVTIDEKGNNVITNNPFEESYSLVPYNHVHVNQILPDLISL >CAK8539984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533874320:533877709:1 gene:gene-LATHSAT_LOCUS9028 transcript:rna-LATHSAT_LOCUS9028 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFFLILLLCAPFLSYADRSAITVTEIQALTSFKLNLHDPLGALNGWDTSSPEAPCDWRGVACNNDRVTELRLPRLQLGGRLSERLSELRMLKKISLRSNFFNGSIPSSLMKCKLLRFLFLQDNAFSGNVPPEIGNLTGLQILNVAQNHLSGIIPSELPLSLKYLDLSSNAFSGEIPSTVANLSHLQLINLSYNEFSGEIPVAFGELQKLQYLWLDHNFLGGALPSALANCSSLVHLSAEGNALGGVLPSAISALPNLQVISLSQNNLTGSIPASVFCNVSFHAPSLRIVQLGFNGFTGFVVLETSTCFSLLQVLDIQHNGIRGTFPLWLTNITTLSVLDLSSNALSGEVPPYIGNLAALTELKMANNSFTGVIPVEIKKCGSLTVVDFEGNNFAGEVPSFFGDMKGLKVLSLGGNSFFGSVPVNFGNLSLLETLSLKSNRLNGTMPEMIMRLSNLTTLDLSDNKFTGEIYDSIGSLNRLIVLNLSGNGFSGKIPSSLGNLFRLTTLDLSKQNLSGELPFELSGLPNLQVIALQENKFSGTVPEGFSSLMSLEYVNLSSNAFSGHIPENYGFLRSLVVLSLSHNHIRGTIPFEIGNSSDIEILELRSNSLSGRIPTDLSRLTHLKVLDLGGNNLTGDMPEEISKCLLLTTLFVDHNHLSGAIPGSLSNLSKLAILDLSTNNLSGEIPSNFSMIPGLVYFNVSGNNLEGEIPQTLGSRFNNPSVFSDNQGLCGKPLESKCEGVDNRDKKRLIVLIIIIATGACILVLFCCFYIIGLWRWRKKLKEKVSGEKKRSPARASSGASGGRGSSENGGPKLVMFNTKVTLAETIEATRQFDEENVLSRTRYGLVFKACYNDGMVLSIRRLPDGSLDENMYRKEAESLGKIKHRNLTVLRGYYAGPPDMRLLAYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFIHQSSMVHGDVKPQNVLFDADFEAHLSDFGLERLTVATQGEAASSSSSVGTLGYVSPEAILTSEVTKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMSDIVFMLEGCRVGPDIPSSADPTSHHSPA >CAK8567910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556481313:556481699:-1 gene:gene-LATHSAT_LOCUS20920 transcript:rna-LATHSAT_LOCUS20920 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKYKNNPSITLQEGLKHYITIQIVKQNNQVSRFISSICPKVEQVIEKLKQTAGGWSPTWRGDDEFNILSVTNGIDTYKVNLQRHYYACRKWALSGIPCVHAMVCILHNKTEVDKYISQYYRYFRN >CAK8579450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700134036:700135534:-1 gene:gene-LATHSAT_LOCUS31397 transcript:rna-LATHSAT_LOCUS31397 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIQQQCVQLSNIALSDTHGENSPYFAGWKAYDENPYHYLTNSSGVIQMGLAENQVSFDLVEKYLKEHPEDYNGFRENALFQDYHGLISFRTAMATFMEQIRGGRAKFDPQRIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNSSNNFQITPQALHAAYKEAQSMNMKVSGVLITNPSNPLGITIQRSILEQILDFVTKENIHLISDEIYSGSVFSSNEFVSIAEILEARSYKGAERVHIVYSLSKDLGLPGFRVGTVYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKEFTEKYIKINRERLKKRYEMIVEGLKSVGIECLKGNAGLFCWMNMSPLLKESSKEGEIEVWNEILKEVRLNISPGCSCHCSEAGWFRVCFANMSEQTLEIALERIRKFMAKRIRTKKI >CAK8574680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6387702:6391881:-1 gene:gene-LATHSAT_LOCUS27004 transcript:rna-LATHSAT_LOCUS27004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGQCLFEKLWRCVRTVFFVIALVVSLVVTSLPVVVAVVDVLVPCVLISNFTCVKCYGFKELFRRYSFKSSLTDIPLVSLIRSFIIICVYSICDGPALSHGPYLGTVTLCSFSSIVLLSVKACVFTVNFQIEAEASVASLKRQRLHLKKSWGMPVLFLSSVVFALGHIVVAYRTSCKARRKLLFHRIDPESALSCKSAFCGYPKVPRSPTPSGGRTPKSDSEMRRRPFGTARDEELQARLLADSESFFVTCQGLPLHYKLSLPGSPPHTLSSTSHVEPNSSYCTSSMAGGLEKLNRHWLDMSPKIEPHLCRSYSNNLKGSSLYAPLLDSTTTSGFSEDIPIFHLDGICEEEETSKLDSLSLGQSNGQLGVVLIHGFGGGVFSWRHVMGSLAREINCTVAAFDRPGWGLSSRPRREDWEEKDLPNPYKLESQIDLLLSFCSEIGFSSVILIGHDDGGLLALMAAQRVQTSMNYHNVTIKGVVLLNVSLSREVVPSFARILLHTSLGKKHMVRPLLRMEITQVVNRRAWYDATKLTTEVLNLYKAPLSVEGWDEAVHEIGKLSSETILSTKNAESLLQAVEDIPVLVIAGAEDSLVSLKSCQAMASKLENSRLVAISGCGHLPHEECPKALLAAISPFINRLLSASDSQSQ >CAK8568233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583991917:583993253:1 gene:gene-LATHSAT_LOCUS21212 transcript:rna-LATHSAT_LOCUS21212 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTESITNQTEVSLAIAKHLFSKEKYKETNIVFSPLSLQIILSIIAVGSEGSTQHQLLEFLRSESTDQLKTLCSQLVSSVLADNTPAGGPLVSFINGVWVQQSFSLQPSFKESVATEFKANIASVDFVTKASEVTKEVNSWAEKETKGLIKNLLPPDAVNSLTRLIFANALYFKGAWWDEFDESKTKDCDFFLLNGTSVKVPFMTSQKKQFISVFDGFKVLRLFYKHGTLYEQQDKRRFSIYFYLPDAKDGLLALIEKVASESDFLERTCPRATAPVGDFRIPRFNISFGLETFDILKELGVILPFTEGGLTKMVDSPMDQNLHVSGIYHKSFIEVNEKGTEVAAVTCTDVRVTCGRRTNPPPPPIDFVADHPFMFLIREDGSGTVLFTGQVLNPLVI >CAK8570405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43523165:43533985:1 gene:gene-LATHSAT_LOCUS23154 transcript:rna-LATHSAT_LOCUS23154 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKEKLQEESVIRRFYRIILSWNCVTLLTESEKHNEKTKGSALKLVKVKDKYKDVDDYISTYEPLIFEEAKSQIIRGIEEEEDGVDWKFGVVNSYTESDDFHFLEFPCELEEGESISQNDLLLISKEKYVDDKTTHAFALVENVKKFSEPRLVRVRLYLAGEFSHFNTNKVKSSPRLFNMRSYICETGRQLYFMKACNLSTIAREYVAIQTISILPFKDLILNATGEDFGTETEGWKIPSALKEYVEGSFNQYQRQAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKSGTYELKQGLQLPIEEKHRHWRLASPWLHSINPRDSLMPKDGDDGFFPTTGNELKPEAITSTRKYRVRVLVCAPSNSALDEIVLRVLSGGIHDENDRAYCPKIVRIGLKAHHSIKAVSLDELVKKKRASANKSSTDKQSNASAGSNEDSIRAAILDEATIVFSTLSFSGSHIFSKLSRNFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMQAGYPIKMLKTQYRMHPEIRSFPSEEFYENSLEDGDGVKLQTVRDWHEYRCFGPFSFFDIHEGEEAKPTGSGSWINVAEVDFVLLLYQKLVTLYPGLKSGNQVAIISPYSQQVKLFQQRFEETFGVSAEKLVDICTVDGCQGREKDVAIFSCVRASKDRGIGFLEDIRRMNVGITRAKSAVLVVGSGSTLRRSVQWNKLVESAEKRNCLFKVSKPYPSFLSDGNLASMKARMDKPHQVTDVVNNDLPIDNYAQQIDQVQPEDNDYGDADADMGYGGDDD >CAK8561904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:408157832:408158164:-1 gene:gene-LATHSAT_LOCUS15452 transcript:rna-LATHSAT_LOCUS15452 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVFQFILSFLTVSSSKSKATKWLLVSKLIHKGVITCEDNSEIANELQCVEASLSSLISEANNGEKLQAAHERLEALENAIESVEIGLENLFRRLIKTRSSLLNITSQ >CAK8542064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:466799012:466800049:1 gene:gene-LATHSAT_LOCUS10936 transcript:rna-LATHSAT_LOCUS10936-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPDPNDVAVWTSRTPIIRFTTVEMHQSDRVKLQFGMHQNIPDAPVELGQWHQKRVDSQWSVSDWKEFAKELCKHRKRRRQHVLTDRIVHGARPSLQYMTWFRSVTTSQPFLSQPTYLVDPRQRASSSNTQQQSSAQNQPYHNPYMSPNAPHQPPYHDPYMQPTQSQPQPPYHYSPDTSFEPTPSAYSPDNSFDPTLSNYPSNYSPNNSFDPTLSNYPSNYPLFDYHTPQQPTHYFQPNSMYEFGKPYRPYTTQPPRQSFENMGIELNYGSAVDSGPPDYWGQMLQNLSDTSGPSQQNPPQQLNTQRPDTPQQPRRRPRRNAHPPQCGTGGRLDRADH >CAK8542063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:466798667:466800049:1 gene:gene-LATHSAT_LOCUS10936 transcript:rna-LATHSAT_LOCUS10936 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFAPINRNSFTFLYANKWSVPGMNYQRCPRHSIIQYCNLIDHLGPDDFVWMPYEGLDHQPDPNDVAVWTSRTPIIRFTTVEMHQSDRVKLQFGMHQNIPDAPVELGQWHQKRVDSQWSVSDWKEFAKELCKHRKRRRQHVLTDRIVHGARPSLQYMTWFRSVTTSQPFLSQPTYLVDPRQRASSSNTQQQSSAQNQPYHNPYMSPNAPHQPPYHDPYMQPTQSQPQPPYHYSPDTSFEPTPSAYSPDNSFDPTLSNYPSNYSPNNSFDPTLSNYPSNYPLFDYHTPQQPTHYFQPNSMYEFGKPYRPYTTQPPRQSFENMGIELNYGSAVDSGPPDYWGQMLQNLSDTSGPSQQNPPQQLNTQRPDTPQQPRRRPRRNAHPPQCGTGGRLDRADH >CAK8533243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:596016205:596019313:1 gene:gene-LATHSAT_LOCUS2888 transcript:rna-LATHSAT_LOCUS2888 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFALCYRVPYKNTCIVLGRDSLHKSATKLRVQCSLESSSVKKKLRSLDSYFGKLQHDTKLHDSDTFNEVTKVHQGNGLEPLDEYLGKLNNGINQEPLGASYVENLKDEILAPKQNLSQDTQRTNFRKPNTYVNLRRTKGVSGSVSAIDSQQLNDTSSLYLIGILASVNIAVFLFEIASPVKSNDLELFSLPLLYGAKINDLIMVGEWWRLITPMFLHAGIFHLGLSCWALVSFGPQVCKSYGSLTFFLIYILGGVSGNIASFLHTPDPTVGGTGPVFAIIGAWLIYQFQNRDVIANGDSENLFKKAIIITTLGFILCNLGPVDEWSHSGATFTGMVYGFLTSSTLQLDNTLPQTGEEGLKLVKKYGGSFKSLIIFTISIGVLTSLLLFMDPPLDALASVDEAAVVALEYMSIFC >CAK8565698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:308593492:308597852:-1 gene:gene-LATHSAT_LOCUS18888 transcript:rna-LATHSAT_LOCUS18888 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQNLKDLQWFLQAINKENQNLHSISFYLSQPASSCYQETNNSININISKENHHHFSNLLTSLASSFNNTTSTLRNLEFHRVEWESQQVKNLGTLLSNHQTIKQILFKRNRFNGKTMLDLSEILRENKVIKEIMFSESCIGSIGAGLIASSLMVNHSLEELQIWEDSIGSKGAEEISKMIEVNPSLKLLTIFDSSFITATPLISSVLARNRAMEVHVWCSERNGERSSKVVEFVPGNNTLRIFKLNLSGTCRVACSLGMNLTVKSLDMTGVKIKLKCAKEFRWVLEQNRTLKEVNFSRTCLKDKGIVYIAAGLFKNHSLQKLYLTGNLFGGIGVEHLLCPLSRFSSLQMQANVSLKCVTFGGGGTRIGRDGLAAITRFLMTNETVTRFGIHDDESLRPDDFVKIFKSLEKNASLKCLSLQGCKGVQGDTLLEIIMETLQINPWIEEVDLSRTPLHNSGKTIGIYQRLGQNENPEPEIDLIKDMPLTEPKSCRVFFCGQEYAGKTTLCQSISQNFSASPALPYLDQVRTIVNPVEQAVKTVGMKIKTFKDEDTKISIWNLAGQHEFFSLHDLMFPGHGSASIFIIISSLFRKPGNREPKSTAEVEEDLQYWLRFIVSNSKRAVQQCMLPSVAVVLTHFDKINQLSQNLQQTVDSIQRLRDKFQGYVEFYPTVFTVDARSSASVSKLTHHIRKTCKTILQRVPRVYQLCNDLIQILSEWRSENYNKPAMKWKEFGEVCQVKVPYLRIRSRHYNKEAVEVKRKAIATCLHHIGEVIYFDELEFLILDCEWFCGEVLGQLLKLNVKKQHSSENNGFIGRKELEKILRGSLQSSIPGMGSKVFENLEASDLVRMMLKLELCYEQDPSDQNSLLLIPSILEEGRGKPQRWQISSPDCLYVGRHLECDDSSHMFLTPGFFPRLQVHLHNRIKSLMNQHGATYNLEKYLISISINGIYIRVELAGQLGYYINILACSTKNLTETLRVIQQLIIPAIQSVCHGITMTENIIRPECVRNLTPPRYRRTQFASLQQLKQALLSLPADSMYDYQHTWSSVLDSGRPILQEGFDFARDLLSDDDFREVLHRRYHDLHNLAQELQIPPENNTEGQNQAITSSNEAEKVEPSFGGIAKGVEEVLQRLKIIEQEIRDLKQEIQGMRYYEHRLLLELHRKVNYIATFNSQVEERKVPNMFYFVKAENHSRKLITTVVSGMTALRLHMLCEFRGQMHVVEDQMGCEMMQVDNMAVKALAPYMKKFMVMVTLALKIGAHLAAGMGQMIPDLSKEVAHLAGSSLLFGAAGATAAGVVGAAAIGGRNRSTEGSRGIQQDMKAAQQWMVDFLRERRCSTGKDIAEKFGLWRVRYRDNGQIAWICRRHMYARSAEIIEVPI >CAK8538186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468054968:468060569:-1 gene:gene-LATHSAT_LOCUS7414 transcript:rna-LATHSAT_LOCUS7414 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSANGDLLSASVIIKTAAFFIGAFTFFYLGKHWSNYYQQLIFFTTTPTSHDVSASPNFRTIFNITSIINKQTLNPSEPLEIKRLGVLNDDGTMSDEFEIGEFDPENADEDESANETRADASYSELKLKLNVKKFARCSLNMSEYIPCLDNVEAIGKVESVERGERFERHCPVEEKRFNCLVQPPKGYRLPIPWPRSREEVWYSNVPHTRLVEDKGGQNWITRDKDKFKFPGGGTKFIRGADQYLDHISKMVPDITFGQNIRVSLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEQNLEEQWEEMLNLTTRLCWKFLKKDGYVAIWQKPSDNSCYLNREAETKPPLCDPSDDPDNVWYVNLKACISPLPKNGYGANLTKWPARLQTPPDRLQSIKLDAFKSRKELFKAESKYWNEIITNYVNALHWKTMRLRNVMDMRASFGGFAAALIDQNLDSWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHASYLLSVEKKRCNVSSILLEMDRILRPGGQVYIRDALSIMNELVEIAKAIGWEVTLRDTTEGPHASYRVLVCYKHTIWL >CAK8563494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610034381:610038611:1 gene:gene-LATHSAT_LOCUS16894 transcript:rna-LATHSAT_LOCUS16894 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFTPKPHNKPCFSPQTQILRTNSHNPFIKTPFQRFHFTPSKLIHRHRIVLVSCNNTQQKDTKESHNKVTKAKSNTLTDLDNDVEEQLHLDGFSGNWPPWKNLPLRYKLIGTTSLAFVICNMDKVNLSIAIIPLSHQFGWSSSTAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLEIGVLVWSVATALVPLLAGYLPGLLLTRILVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVLGLLFAPPLIQNLGWESVFYLFGLLGVAWFLGFQFLEDGETQLAAKSYSSQGNTTQSWQTSLTEMNSSLKDVPWKAFFRSRAVWAMIYAHFCGSWGHYTCLSWLPTYFSQELDLNLTEAAWVSILPPLASIFVTSIASQLADSLISKGVETTTVRKICQSIAFLSPAVCMTLSSLDLGLPPWEIVGLLTGGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLYAPSIFFYLSGTIVWLVFASSKPQSFSEEN >CAK8538072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461525281:461527755:1 gene:gene-LATHSAT_LOCUS7310 transcript:rna-LATHSAT_LOCUS7310-3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNVLPTDNTYGMLVDCYAKAGLAKEALLWIKHMRMRGLFPNEVTMGTVVTVLKDVGEFNRADRFYKNWRDGKVDLDDLDFDYSTLNTNGSRSSLPLSFKQFLLTELFKTGGGIRDSNSTPSLDMQNTPQKPRLSTTYNALIDFYAKAGRLKDAADVFADMMKSGVAMKTDTFNTMIFISGSHGNLVEAEALLVKMDEKGVSPDTVTYNIFVTLYVNAGNMDAALSYYRRLREAGLFPDAATYRVLLRGLCAENMVQAVESVIDEMEKSSVSVEEHSLPGIVKMYIHEGDLDKANDLLQKFQMNRELSSDICAAIIDAFGENGFWAEAETMFYRPRHMARQARDILEFNVMIKAYGKAELYDKAVFLFEEMKNQGIGADHCTYNSLIQMLSGAKLVDQARDLIVEMQEMGFKPDCQTFSAVIGSYACLGQLTDAVDVYEEMLKTGVKPNEVVYGSLINGFAEHGSLDEALHYFDLMKESGFSANLIVLSTLLKSYGKAGDLEGVYSIYKQMQNMEGGLDMVACNSMITTFAELSLVSQARRTFENLKKAGRADSTSYEIIMYLYKEIGMFKEAIEIAEEMKMSGLMRDCNSYNKVMSCYAVDKQFHECGKLLHEMLSKKILPNKRTLFLLFSILKKGRFPIEAVEQLQSCFMEGEPYAIQATYASLYSLLGMHALAFESVQTFIESELENPESAAAYNVAIYVYASAGDVSKALNIHMKMRDKHVEPDIVTQIFLVRCYGKARMVEGVKKIHSLLEYGEVERSLTLFRAIQVAYKHCNRNVGMRFEFDSEEYSDAESEYSEAESEYSEYDIGSETEYDSNSD >CAK8538071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461524705:461527755:1 gene:gene-LATHSAT_LOCUS7310 transcript:rna-LATHSAT_LOCUS7310-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLNPSSSYSFSSLLHQPLHFSTTPQFHVNIQSNMSCADKFPVSSSSLSSSSSKFVANTVTKPLLGHFPPLNTRWVCPPLTCFRSNTPPLPTNVSSFTNNGYDSDFNSFLKTLKLSDDVEGVLDSFHENLGPRGITVILRQQRKNWERLVRVFKWFKSQEDYVHNVIHYNVVLRALIRAQQWDQLRLCWIDMANNNVLPTDNTYGMLVDCYAKAGLAKEALLWIKHMRMRGLFPNEVTMGTVVTVLKDVGEFNRADRFYKNWRDGKVDLDDLDFDYSTLNTNGSRSSLPLSFKQFLLTELFKTGGGIRDSNSTPSLDMQNTPQKPRLSTTYNALIDFYAKAGRLKDAADVFADMMKSGVAMKTDTFNTMIFISGSHGNLVEAEALLVKMDEKGVSPDTVTYNIFVTLYVNAGNMDAALSYYRRLREAGLFPDAATYRVLLRGLCAENMVQAVESVIDEMEKSSVSVEEHSLPGIVKMYIHEGDLDKANDLLQKFQMNRELSSDICAAIIDAFGENGFWAEAETMFYRPRHMARQARDILEFNVMIKAYGKAELYDKAVFLFEEMKNQGIGADHCTYNSLIQMLSGAKLVDQARDLIVEMQEMGFKPDCQTFSAVIGSYACLGQLTDAVDVYEEMLKTGVKPNEVVYGSLINGFAEHGSLDEALHYFDLMKESGFSANLIVLSTLLKSYGKAGDLEGVYSIYKQMQNMEGGLDMVACNSMITTFAELSLVSQARRTFENLKKAGRADSTSYEIIMYLYKEIGMFKEAIEIAEEMKMSGLMRDCNSYNKVMSCYAVDKQFHECGKLLHEMLSKKILPNKRTLFLLFSILKKGRFPIEAVEQLQSCFMEGEPYAIQATYASLYSLLGMHALAFESVQTFIESELENPESAAAYNVAIYVYASAGDVSKALNIHMKMRDKHVEPDIVTQIFLVRCYGKARMVEGVKKIHSLLEYGEVERSLTLFRAIQVAYKHCNRNVGMRFEFDSEEYSDAESEYSEAESEYSEYDIGSETEYDSNSD >CAK8538070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461478700:461527755:1 gene:gene-LATHSAT_LOCUS7310 transcript:rna-LATHSAT_LOCUS7310 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLNPSSSYSFSSLLHQPLHFSTTPQFHVNIQSSMSCADRFPVSSSSLSSSSSKFVTNTVTEPLSGHFSPLNTRWVCPPLTCFRSNTPPLPRKVSSFTHKKTNNKNYVSDLNSFLKTLKSSDDVEGVLNSFYGNLGPRGITVLLREQRNWERLVRVFKWFKSQEDYVHNVIHYNVVLRALIRAQQWDQLRLYWIDMANNNVLPTDNTYGMLVDCYAKAGLAKEALLWIKHMRMRGLFPNEVTMGTVVTVLKDVGEFDRADRFYKNWRDGKVDLDDLDFDYSTLNTNGSRSSLPLSFKQFLLTELFKTGGGIRDSNSTPSLDMQNTPQKPRLSTTYNALIDFYAKAGRLKDAADVFADMMKSGVAMKTDTFNTMIFISGSHGNLVEAEALLVKMDEKGVSPDTVTYNIFVTLYVNAGNMDAALSYYRRLREAGLFPDAATYRVLLRGLCAENMVQAVESVIDEMEKSSVSVEEHSLPGIVKMYIHEGDLDKANDLLQKFQMNRELSSDICAAIIDAFGENGFWAEAETMFYRPRHMARQARDILEFNVMIKAYGKAELYDKAVFLFEEMKNQGIGADHCTYNSLIQMLSGAKLVDQARDLIVEMQEMGFKPDCQTFSAVIGSYACLGQLTDAVDVYEEMLKTGVKPNEVVYGSLINGFAEHGSLDEALHYFDLMKESGFSANLIVLSTLLKSYGKAGDLEGVYSIYKQMQNMEGGLDMVACNSMITTFAELSLVSQARRTFENLKKAGRADSTSYEIIMYLYKEIGMFKEAIEIAEEMKMSGLMRDCNSYNKVMSCYAVDKQFHECGKLLHEMLSKKILPNKRTLFLLFSILKKGRFPIEAVEQLQSCFMEGEPYAIQATYASLYSLLGMHALAFESVQTFIESELENPESAAAYNVAIYVYASAGDVSKALNIHMKMRDKHVEPDIVTQIFLVRCYGKARMVEGVKKIHSLLEYGEVERSLTLFRAIQVAYKHCNRNVGMRFEFDSEEYSDAESEYSEAESEYSEYDIGSETEYDSNSD >CAK8534691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755649553:755652135:-1 gene:gene-LATHSAT_LOCUS4211 transcript:rna-LATHSAT_LOCUS4211 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLKEADLKGKKVFVRVDLNVPLDDNLNITDDTRIRAAIPTIKYLTGYGAKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGTEVKIAGDSIGEEVEKLVAQIPEGGVLLLENVRFHKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMVFTFYKAQGYSVGTSLVEEDKLDLATSLIEKAKAKGVSLLLPTDVVIADKFSADANDKIVPASSIPDGWMGLDIGPDSIKTFNEALDKSQTVIWNGPMGVFEFPKFAAGTEAIAKKLAEISGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >CAK8567614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528869006:528869444:-1 gene:gene-LATHSAT_LOCUS20651 transcript:rna-LATHSAT_LOCUS20651 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQIKIFLLVTLLVFIPFPISSGLADEGFRENMLPTHHYFYKDGIKTNSRKLLSHDFVLDYDEAGPNPKHSKKPGNGKGP >CAK8540102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539804599:539805867:1 gene:gene-LATHSAT_LOCUS9136 transcript:rna-LATHSAT_LOCUS9136 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGRNCLKYCSHFKRNSSNENLLLQKFPELFVLNDSIGKYSYTQFPVLFPLISFNSHHYSTRVPSRSYRRRVRNRILKSSKPFLDQAQFQFVQSQLLPRFTPEELCNVIALQRDPLVCLELFHWASHQPRFRHDLSTFHVTIKKLGDAKMYQEMDDIVNQLLAVPSIGSEAVFNMVIYYFTEARKLTKAVNVFKHMKSSRNPNFGFRPSIKTYNILFGALLSRGHNAYINLVYMETMRCLFRQMVNDMIEPDIFSLNSMIKGYVLSLHINDALRIFHQMGVVYDCEPNSLTYDYLIHGLCAKGRTENAKELYHEMKTKGFTPNSKSYNSLVNSLALVGEIEDAVNYLWEMIEKQRSVDFITYRTVLDEICRREKVQEAMRFLQDLQEKDLVDGHTYRKLLYVLEDDYGNSKDRIDSGVQP >CAK8562611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:515531963:515535173:-1 gene:gene-LATHSAT_LOCUS16096 transcript:rna-LATHSAT_LOCUS16096 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKTAHLHSPEDPPTDLPDSKKPDPGDDGGDGDQENQIPAFKEYGLNELRRATHEFSTGYIVSESGEKAPNLVYRGKLENNRLVAVKRFSKQSWPDAQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHVAQALDHCSMENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRIIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNALLLMDSSLEGQYANDDATKLVELASKCLQFEARERPDTKFLLTAVTPLQKQKEVASHVLMGLTKTAAVLPLPTMLSPLGKACARMDLTAIHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDKDFKNAIEYYSKLVVMMSVPSATVFARRAFSYLMNEQAELALRDAMQAQVCIPDWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKRSNSWRN >CAK8564769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9076967:9077791:1 gene:gene-LATHSAT_LOCUS18039 transcript:rna-LATHSAT_LOCUS18039 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHQGNHSILKLCCSSNRAITLIQKVIAEVIGTYFLVFAGCGVVAVDKIYGSITFPGICITWGLIVTVMCYSVGHISGGLFNPAVTITWAIFRRIKFIEAPLYIAAELLGSTLASLTLSLMFDITPKSFFGTVPVASSGQSLAMEFIISFLLMFVISAVTTDHRAVDDSASIAVGMTITLNLFIAGPVSGASMNPARSIGPAIVVHIYNGLWIYVVGPIVGAVAGALAYNFLRSVYKPRAEIAAETTPSEITKENPNSELIAEEPLRSISIS >CAK8574611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3872788:3877068:1 gene:gene-LATHSAT_LOCUS26940 transcript:rna-LATHSAT_LOCUS26940 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDVFIGSIDQGTSSTRFIIYDKSANAIGSHNVEFTQFYPEAGWVEHDPVEILESVKVCITKAVDKATADGFNVSKGLKAIGITNQRETTLVWSKSTGAPLHNAIVWMDVRTASVCRRLEKELSGGRTHFVESCGLPISTYFSALKLLWLMENVDDVKEAIKKKDALFGTIDTWLIWNLTGGVKGGLHVTDASNASRTMLMNLKTLNWDESTLKTLEIPPEILPKIISNSEIIGNIATGWPIAGIPISGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGKEVVQSTHGLLSTIAFKLGPNAPTNYALEGSVAIAGAAVQWIRDGLNLISNASEIEAMALEVESNGGVYFVPAFNGLFAPWWRDDARGVLIGITRYTNKGHIARAVLESICFQVKDVIDSMRKDSGFNESNKEEFLLRVDGGATVNNLLMQIQADLSATPVVRPADVETTALGAAYAAGLAVGVWKEDYIFDSKDKMKNASIFRPLMNEEMRKKKADSWLKAVNKSFDLADLAL >CAK8531249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92858126:92861089:-1 gene:gene-LATHSAT_LOCUS1055 transcript:rna-LATHSAT_LOCUS1055 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSLSAHNFKDLLNSVEAFLFDCDGVIWKGDELIDGASQTLDMLRSKGKKLVFVTNNSWKSRIQYAEKFKSLGISVSPDEIFSSSFAAAMYLKVNNFPSQNKVYVIGGDGILDELQLAGFTAFGGPGDADKTIDWKQSGFFEHDKSVGAVVVGIDPKINYYKLQYGTLCIRENPGCLFIATNRDAVGHMTASQEWPGAGCMVAAMCGSTQKEPIVVGKPSTFMMDFLIEKFNLTCSKMCMVGDRLDTDILFGQNAGCKTLLVLSGCTTQSDLQDPSNIIQPDYYAAKISDMLDLSGS >CAK8571791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473462471:473466837:-1 gene:gene-LATHSAT_LOCUS24421 transcript:rna-LATHSAT_LOCUS24421 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMFTRSSDASAFSIFKWKHQRESSVTEGLLQDVIPEIELSDYRRIPSPGSESPSGLLNDESHNVEPISDLDIFFERLYGYYCEKGLWCIIIKWIVELLSLGFTICFSAFFLLYVDWNGLRNARCGMDAVESGMKPCDLAKEALHQNPLTPLTLSKAVIVGYLCIFSIYWIFCFLRFFAQLKYTLEIREFYYNSLHITDSEIQTMLWATVLEKTILVQSTRKLCVVKDLNAHDIVMRLMRKENYLIGMLNKGVLAFPISKWIPGAGPTVKSSTNGTQYRLVLTKTLEWTLNWCILQSMFDSNFCVRRDFVSNPRTLKKRLILVGLAILLLSPFIVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWVFRGFNEVDHLFRHRMNNSVVHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAIVNELLVIDPEGAMSMVVQHTHYMPKRWRGKQSTETVRTEFETLFQYTGMMLLEEMASIFLTPYLLLFVVPKRVDDILQFIADFTVHVEGVGHVCSFSVFDFQKHGNSSYGSPSDSPRDQRSSQGKLEKSFLSFQSSYPSWEPNAEGKQLLRNLRTFREQKLSSGHVNRQGFSPLRLWRGSPNTRTNGDNRNRFISREMPNNTFVTGNHLGSLWLIEANNQNNHPYLLDWYYTSRPHDATSRDVPTDLFENTPHDSRDWMHYTSRPHDATTRDVSIDLFEETPHHSRDWMPSSSTHNEPGYGEYMNEYHDDRVNTHLGASISAPIFRESIIRNQDSDDLHRSTRSHWWARSRHLEQSGHDQSSFYEPPDFNHGRAFNSFDDKSSEIGSENQDQEQHLNWRDSYFPKSFHTAHNTYDSESGEINLHFGDIYRRPPENSIVNP >CAK8571792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473462471:473466828:-1 gene:gene-LATHSAT_LOCUS24421 transcript:rna-LATHSAT_LOCUS24421-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSSDASAFSIFKWKHQRESSVTEGLLQDVIPEIELSDYRRIPSPGSESPSGLLNDESHNVEPISDLDIFFERLYGYYCEKGLWCIIIKWIVELLSLGFTICFSAFFLLYVDWNGLRNARCGMDAVESGMKPCDLAKEALHQNPLTPLTLSKAVIVGYLCIFSIYWIFCFLRFFAQLKYTLEIREFYYNSLHITDSEIQTMLWATVLEKTILVQSTRKLCVVKDLNAHDIVMRLMRKENYLIGMLNKGVLAFPISKWIPGAGPTVKSSTNGTQYRLVLTKTLEWTLNWCILQSMFDSNFCVRRDFVSNPRTLKKRLILVGLAILLLSPFIVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWVFRGFNEVDHLFRHRMNNSVVHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAIVNELLVIDPEGAMSMVVQHTHYMPKRWRGKQSTETVRTEFETLFQYTGMMLLEEMASIFLTPYLLLFVVPKRVDDILQFIADFTVHVEGVGHVCSFSVFDFQKHGNSSYGSPSDSPRDQRSSQGKLEKSFLSFQSSYPSWEPNAEGKQLLRNLRTFREQKLSSGHVNRQGFSPLRLWRGSPNTRTNGDNRNRFISREMPNNTFVTGNHLGSLWLIEANNQNNHPYLLDWYYTSRPHDATSRDVPTDLFENTPHDSRDWMHYTSRPHDATTRDVSIDLFEETPHHSRDWMPSSSTHNEPGYGEYMNEYHDDRVNTHLGASISAPIFRESIIRNQDSDDLHRSTRSHWWARSRHLEQSGHDQSSFYEPPDFNHGRAFNSFDDKSSEIGSENQDQEQHLNWRDSYFPKSFHTAHNTYDSESGEINLHFGDIYRRPPENSIVNP >CAK8531661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:136541771:136541983:-1 gene:gene-LATHSAT_LOCUS1436 transcript:rna-LATHSAT_LOCUS1436 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLRKIGLEGFDLIEKFYGPTRRSNMEDLASGATKDSTIHFVGISNVSYPKGKLPLNRWGRPIKF >CAK8537524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:385345911:385347254:-1 gene:gene-LATHSAT_LOCUS6813 transcript:rna-LATHSAT_LOCUS6813 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETEAKENHEKETPRASQTTPLTQDQFLSWKRHKDAAASARKAEASRKRAEDIAAGTVQMNGRELFLHEPWVFDDSRF >CAK8565210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:69945107:69945442:1 gene:gene-LATHSAT_LOCUS18443 transcript:rna-LATHSAT_LOCUS18443 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLFNGEEYAYWWILCFEKFFKEHGTPKSLKVLKAVGALRGSALKWWIWWSRVHRRYSWDTFTTTLLWIFKPEWREIFPKDEEDDPALKLTYETMESMDPISKTVEDDV >CAK8565942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356898516:356902767:-1 gene:gene-LATHSAT_LOCUS19115 transcript:rna-LATHSAT_LOCUS19115 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRNLSKFSNIKSSQRPNKTDPFLLLPSFTFFSHFSSQPIEEKPYVKPVEHFSGLEPTKPHEKPRVVVLGSGWAGCRLMKGLDPHIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISREPGSYFFLANCTAIDAHNHMVQCETVTDGEQTIDPWKFTVSYDKLVIALGSHPATFGIQGVNEHAIFLREVRHAQEIRRKLLLNLMLSDVPGIPEEEKQRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYTHVKDYIRVTLIEANEILSSFDDRLRLYATKQLTKSGVRLVRGIVKDVKAQKIILNDGTEVPYGLLVWSTGVGPSPIIQSLDLPKAPGGRVGVDEWLRVPSVKDVFSIGDCSGFVESTGRPTLPALAQVAERQGKYLANMLNKIGKAGAGHANSGKELELGDPFVYKHLGSMATIGRYKALVDLRQSKEGKGIALAGVLSFFIWRSAYITRVVSWRNRFYVFINWITTLVFGRDISRL >CAK8575938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:367421777:367422756:-1 gene:gene-LATHSAT_LOCUS28163 transcript:rna-LATHSAT_LOCUS28163 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKRNQAPKIGTGSESQTQFRQNRVFGTAWNTNVNIPKKPVSDNIFTKPKPAIPQRQSKQPTQVITETTTLTQPTDTKSPENLNLIKNKKSDTFPQENDSAEAKTPVTSSHARGKSKMQATPFYSAVNCSKCRFDRLETSSYWVGQIKLAETVGKHFVACGFFELAFESQAEPISNLRIELKRYLSRHGHLYEEKEWKKVAAKYGLLKDESITSEMNS >CAK8562225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:458911720:458912184:-1 gene:gene-LATHSAT_LOCUS15741 transcript:rna-LATHSAT_LOCUS15741 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPKICVDTSDVFSTTKKFGTREEVIMWIKEVGIQNKVTIIITRSDTEISKRGRSNKLMFGYDKGGKHKDIDSGTQIETKKCGCPFKIRSTPAKDGSGWKVDVKCEVHNHGLPDRLEGHFFVGRLTIDQKHHVVDLTKRHVPPRNVLLSLKE >CAK8572926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571808811:571817866:1 gene:gene-LATHSAT_LOCUS25430 transcript:rna-LATHSAT_LOCUS25430 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGNENPYEGGTGGKFRKRPLRRTQTPYDRPPTALRNPNRNNNGWLSKLVDPAHRLITHGAHSLFSSLLRKRLPPPPPPPISSGMEQETRQDSLQEEARQVANESPGNQQGAVGESNVQINCSDSDQGGLTELEKLLKQKTFTRSEIDHLTALMQSRTVDAPIRGEEKSPEVIPSEPMLSSRQKEEHPKTPTVENGIENNLVLSQQVTPSVPIEDVASPAELAKAYMGSRHSNVSSAMLGMRYHAHGEDSTLLKSENFPYKSPSMSIVPRATRHTAAHENGFVTPRSRGRSAIYSMARTPYARIYPTSTLKGGGRAVQDEPSSSTQSAIDHGMLSGSTQGGIKRRNLAVDNNIGSVGPIRRVRHKSNLLYSKGSSSPLSGSALSLYRNGLGIDAAQQPSSSSRKPVMLDEVKHKSEENVNGTKPSTSFPPFSSKSSEMATKILQQLDKLVSPKEKSSESRLPVVQGNKLDGTFGNLSPSSQNQKSISPRGKGENGPLKLLAPSNEVVHVVTTTDTTKPRNQVLSSENCFMMKSISSPSQKKKAFHISAHENSPDLDDNAYRAISSPAEKETRSSTAMVDKISSGSDVIAHKSPSTLSMVLPSTSFTKVGEGPNADEKFEVSPISDPNNNAATIATTAVTVTAFGSDKPASPNGSISNPLFNLENKIISPAEISTSVASSKETAKSASVFGLEKAVPSKEGGPDASPVNFDTNQNVFKVPPIPFTTSSIVGGESSLKFGASFGSQPGGSTSFTTVAGSTGSMQKVRESDGGDAETTTNTGFSVRASELAVSSAAPASLSTPPNSIFKFGVSSNQNNGSLASGPSFSSSFPSLISNNFSNSSNQNTGSLASGPSFSSSFPSLVSNNFSNSSSSLSAIGGISATAASTGVSMATSSTPVMATSSTSFFKFGASPVTSSGLSVSSSVSKPLETRSQQDAGIGNFSSTAFGSSSAAGGSTGSAIFGFSSSAMTTGASPSQSSFGAGSGSMFGAHSSPAGGFAPSNQTQSVQSPASSPLFGLTGKTDFSSGSSFLPSSSSATNISFSSGSSMFPSSSTTNIFNSSATLGLGTSASSSAVSTISSNSGTNSTLFGASSRQPSNSAFGSTFSSAPSSGFSFGTPSASVASTTSSPTMFASTTTASAPQFSFTSAAASTSTQPAFGNPNPAFSFGSAPVNNDQMSMVDSMAEDNVQATPPSSPMFGQQPAPVQSNFVFGASTHSGTSPFQFASQQNVVPQNPSPFQATGSLEFNAGGGSFSLGSGGGDKSGRKIIKVKHRNRKK >CAK8567693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536935431:536936741:1 gene:gene-LATHSAT_LOCUS20721 transcript:rna-LATHSAT_LOCUS20721 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQAAFREELDSMKRKIDQILEAILAARREEEQREAAPVVNNNGQGQGSTATPLVPIPNPHGMPLNFNNAAEGNTSQPIPAPGVTIGAIPQAQPNDVQIPVPHIEDTLMDQYDDVQNYHVAIPIASPVAAQDSEAMKMCRDLAEKLRAMEGHSSNSFSALELCLVPNVVIPPKFKVPEFSKYKGLSCPNIHLKMYCRKMAAYARDEKLMIHCFQDSLSGASLEWYMQLERNSVRTWAELANAFVKQYKYNTDLAPNRTQLQSMTQKDNKSFKEYAQQWRELAARVHPPLVDRELIDIFMGTLQGQYYEKLIGSVSAGFSDLVIVGERIEEGLKSGKIPGGSNSQANAKKPFNGYKKKEGETNSISLQKGQASQQAPAPMPYQVPYYQYPYVAVVQYAPMPYQPAIQAVQAQMPQQQAPQQQAYCRTLIFDL >CAK8540638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13895988:13898785:1 gene:gene-LATHSAT_LOCUS9624 transcript:rna-LATHSAT_LOCUS9624 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSVHTTLNFPLLSEKNLVDEEKLISSDAPNKNEVSFFRTCINGLNSIAGVGILSVPYALASGGWLSLVLLFSIAAVAFYTCLLMIRCMEKHSNIKTFPEMGELAFGKTGKLIVEISMYTEIYMASIGFLILEGDNLSNLFSIQEFQLFGISIGAKQFFVILVSLIILPTICLENLSLISYVSASGVFASVIIILSISWTATFDGVGFHQKGDLVNWNGIPTAVGLYTFCYSAHPIFPVLYTSTKNKRHFSYVLYVCFMLATVIFASMAIIGYLMFGSKLESQVTLNLPINKISSRIAIYTILVTPMSKFSLMILPVTNALKDLLPRTYKNNQMANIFLSTILLISMVIVTWTLPFFGSLMSLIGAFLTVTVCILLPCLCYLKISGTYRKFEFETMAIVAIILVAIVMGISGTYASVVELVQKYYNK >CAK8570610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71619613:71620092:-1 gene:gene-LATHSAT_LOCUS23347 transcript:rna-LATHSAT_LOCUS23347 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKDAYEYFVLKAQDMALSKNWTPVNWEETFNAFPTKLHPQTVIHNWWSSGVCPKVVTKGFRCIFSNQHVWYLDHLDVPWDVVYNTDPLEGIHEAS >CAK8562214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457076576:457082300:-1 gene:gene-LATHSAT_LOCUS15731 transcript:rna-LATHSAT_LOCUS15731 gene_biotype:protein_coding transcript_biotype:protein_coding MARHNTTSLRVFCVGTLDTKLHELRYLSDSLRSNLRRFSDHTSPKIDVVVVDVSTGPNEPESLPDFTFVSRNDVISCIDADSNKANLLPQDRGEAVSVMSKALQRFLQKSNSDQSVAGVIGVGGSGGTSMLSSSFNSLPLGIPKLIVSTVASGQTEPYVGTSDLVLFPSIVDVAGVNSVSRVVFSNAAAAFAGMVVGRVRSLSDSSRVNDKPTVGITMFGVTTPCVDAVRDRLHREGYESLVFHATGVGGRAMENLIREGFIQGVLDITTTEVADYIVGGVMACDSSRFDVIIEQKIPLVLSVGALDMVNFGAKDTIPQTFQQRNIYEHNKQVSLMRTTVDENRKFADFIANKLNSSSSKICVCLPEKGISALDAPGKPFYDPEATGTLLHELQRLIQTDGLRQVKVYPHHINDLEFANALVDAFLEVREKKGSTHPQVAIPESVEHIHEDSASNKSSFGAIVYAPNEFPDAKPETLKKTQLILQQFKYQIDKGIPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKKVPVLAGVCATDPFRRMDHFLKHLESTGFSGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIQKAHKLGLLTTPYAFNQREAIEMAKVGADIIVAHMGLTTTGSIGAKTAVSLDESVVLVQAIADAAHRINPNTIVLCHGGPISGPEEAEFILKRTKGVHGFYGASSMERLPVEQAITNTVKQYKSISFR >CAK8575914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:360162446:360165422:1 gene:gene-LATHSAT_LOCUS28139 transcript:rna-LATHSAT_LOCUS28139 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGVLNFLTFLLSIPILGGGIWLSSRANNTDCLKFLQWPLIIIGISIMVVSLAGFAGACYRNTFLLRLYLVVMFCVIAVLIGFIIFAYVVTDKGSGRSLTNKGYLDYYLEDYSGWLEERVASDGYWGKISSCIRDSKVCRKLARNYNGQPETGDMFFQRKLTSVQSGCCKPPTDCGFIYQNETVWMPGTGLIANNPDCPKWNNDQQELCYSCDSCKAGVLASLKKSWRKVSVINIIVMIILVIVYIVAYYAYRNNRRMDNDEPYGEARMTKSQPSAFHL >CAK8543127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580771527:580772606:1 gene:gene-LATHSAT_LOCUS11909 transcript:rna-LATHSAT_LOCUS11909 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFCSPEFSYSNMGWLLQELDPPQSLNISHKEKNYATNLEYSLPQYHQFSSLKQHHVEIETPPPSPKLMTKKLNHNASERNRRKKVNSLISSLRSLLPGEDQTKKMSIPVTIARVLKYIPELQKHVEGLTKKKEELLSRISRQEYAVNKDSQRKIIPNYNSSFVVSTSRLNVNELVVHISSYEAYKIPLSEILFCLENSGLFLLNSSSSKTFGGRLFYDLHFQVEKTQSLECDVLIEKLLAIYEKQP >CAK8537172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:122070703:122071047:1 gene:gene-LATHSAT_LOCUS6482 transcript:rna-LATHSAT_LOCUS6482 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPKKSQVETGLENSEKNKKWLINLRTPLNLKPIYTIPLKKSEQEESVVMEEECSTTPRGEGSRIPTCLICPPAPRKRKSSLKWNYRGKAREFFTPPELETVFIRHVERAIAN >CAK8569320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694302752:694303388:1 gene:gene-LATHSAT_LOCUS22183 transcript:rna-LATHSAT_LOCUS22183 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHISELFDCSGGSSHHKKRKQLQTVEVKVKMDCEGCERKVRRAVEGMKGVNQVDIERKANKVTVVGYVEPNKVVARIAHRTGKRAEIWPYVPYDAVEHPYAQGTYDKKAPAGYVRNNYGDNQYSGSHLARASSTEVRYTTAFSDENPAACAVM >CAK8534093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:693706344:693707631:1 gene:gene-LATHSAT_LOCUS3663 transcript:rna-LATHSAT_LOCUS3663 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAEIVIFQTQPKKVCSQIGMCTFDGTHGVSMGIQSVVPQTDRISPGGHQDATCSVCEMAIVWMQSQLKQNQTEEQIINYADALCDKIPNPMDAFYTHYNG >CAK8565213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:70461934:70462371:-1 gene:gene-LATHSAT_LOCUS18446 transcript:rna-LATHSAT_LOCUS18446 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIKDINEAAWKDMIELPPSMWTRSLYRTDSHCDLQVNNMCEAFNMAILEYRDKPIITLLEGLKHYITVWIVKQENLMSRFRSNICPKVEQVVEKLKRAAGGWIPTWHEDDEFSIFSVTNGIDTYERETNPMMNPLRAMCYLEI >CAK8578983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665972550:665974943:1 gene:gene-LATHSAT_LOCUS30960 transcript:rna-LATHSAT_LOCUS30960 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGETFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFDNNEVKYFQEKILDNSDVDQMFDSHEQSGLDYIEVYLLLCQTEHEVGETMDIDEIDVVDEEEEDPETMVDQMVNLFGSGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQCSGDDTSSDYFYNPSQQIESVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVDADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSKSSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSIARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8560880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51841525:51844196:1 gene:gene-LATHSAT_LOCUS14520 transcript:rna-LATHSAT_LOCUS14520 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSLSTTTTVTETPSVKIYPEMDPKHVSETLKFAKLMSFGVPVPENCNTNGFFDSFLRNFIKVDQIKPGRISCTVIAKPPICNSYGTLHGGTVGSLVEVLATACARTVVAEDKELFLGEISISYLSATPANEEVVANASVVKSGRNLTVVALEFKLKKTGNLLYLTHATFYNMPLSSL >CAK8578365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624007101:624007586:1 gene:gene-LATHSAT_LOCUS30382 transcript:rna-LATHSAT_LOCUS30382 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHSQQAFFNYMQNSQNPNPQNSQIPLVPTNPAIFLLSPNNPNMYPIPQMNSNSMEFSTQVPPFSTQVPPFSTQVGTEKEERVVVKKRSREQFTRKEDILLIQSWLNVSKDPIVGVDQKAESFWLRIAASYNQYRGQLREKLGGQLKCRWHRINGMV >CAK8540155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542488841:542494842:1 gene:gene-LATHSAT_LOCUS9187 transcript:rna-LATHSAT_LOCUS9187 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDTPPSGAQSVRTHRFSFGSSIGNEGTPLHSYGSITNGDDYDSDGSNFAPPTPSTLPMAIPAELAGAAPLIERLQVEVFLKLMQKQIQSAGKRGFFSKRSVGPQVREKLTIEDMLCFQKDPIPTSLLKLNGDLASRAIKLFQMVLKYMGIDSSSLSSEDRVELVGKLYKQSLKRSELRDELFIQISKQTRNNPEREYLIKAWQLMYLCASCMPPSKDIGGYLSEYIHNVAYGATVDPMIQGIALNSLNALKHSLKAGPRHIIPGPAEIEARITGKKLTNIVFFLDETFEEITYDMSTTVADAVEELAGIIKLSTYSSFGLYECRRVVTSSKSSDSGNEEYIGLDDNKHVGDLLAEFKAVKDRNKGETLHCKLVFKKKLFRESDEAVIDPMFWQLSYVQLQHDYILGNYPIGRDDASKLSALQILAEIGFVRRPESCADWNSFLERFLPRQIAMTRARREWELDILACYHSLEHVTKEEARQQFLHIIRTLPYGFSVFFNVRKIDDPIGLLPGRIILAINKRGVHFFRPIPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKTRSSPTGSLDKEISSDFKPQNPEFNEKRVQELTQAVEDSQRKTDQLQEKLHEKQKQIDEVLQELECSKESLTTLEHSLVEVTNDRDRLLSLCDEKDKELQDKIIDKRSLEAKMAKLNSLMIDGISNKDPIGANDPALRKLEDDLKLCREECIEAEETIKSLTNEKLTLTHKLSELEKKNAKEISTIQRKLDQERKTQNSQVQDLERKLDVLRQELVAAESTLSSKDSELAALKNNLKELEDLREMKEDIDRKNEQTASILRMQGAQLAEMESLYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLSEREIAEKERDALTAADEFTIEHPWKDDKAKQHIYDRVFNCDTSQEDVFEDTRYLVQSAVDGYNVCVFAYGQTGSGKTFTIYGSESNPGLTPRATAELFRILKRDGNKFSFSLKAYMLELYQDTLVDLLLPKNAKRLKLEIKKDSKGMVTVENVTTVSISTVDELNSIIQKGSERRHTSGTQMNEESSRSHLILSVVIESVNLQSQSSARGKLSFVDLAGSERIKKSGSEGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPIESSLDETHNSLMYASRVRSIVNDPSKNISSKEIARLKKLVAYWKEQAGRRGEDEDLEEIQDKRPTKERNDGHGHGHGRHTM >CAK8569955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17326246:17330856:-1 gene:gene-LATHSAT_LOCUS22751 transcript:rna-LATHSAT_LOCUS22751 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRSIAFSAFLILLFINGSLCFYLPGVAPQDFQKGDALQVKVNKLTSTKTQLPYTYYSLPYCTPKKIVDSAENLGEVLRGDRIENSRYVFKMREPQMCSIVCKRKLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPIKRVDQDSTVYQLGFHVGLKGQYSGSKEEKFFIHNHLAFTVRYHRDLLTESARIVGFEVKPFSVKHEYEGKWEEKTRLTTCDPHAKHTVVNSNTPQEVEEGKEIIFTYDVEFQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPNNSDLLCVYVGTGVQFFGMILVTMLFAVLGFLSPSNRGGLMTVMLLLWVFMGLLAGYASARLYKMFKGSEWKKISLRTAVMFPASVSAIFFVLNGLIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGGYVGFRKPAIENPVKTNKIPRQIPEQAWYMNPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILVITCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAILYFGYMFIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >CAK8571830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482191128:482192341:-1 gene:gene-LATHSAT_LOCUS24456 transcript:rna-LATHSAT_LOCUS24456 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHSSLVHRNDVETPRNTDIDGGWQLVYKSTDDAMGGKREGLQRVYLHADPSAAVVSQAPHISFVSTSGYEIPIDGGEAFQAAGIVSRPVLGTSYALSMTEVAAIGLQILQQAAGINGFIFYAPHILEQEGVGVLLSNLGISSISASFLVNIIISFCMLPCIAIYVRLMDVAGRRSIMLYTISILIVCFIVLVLRQFFQLSPVLNASISAISVVVYESVFCMGLGIIPSIICSEIFPTSVRGICISLTSLTYWACILVVTLTFPYLLQLLSLSGVFSLFIGGCIISWIFVYLKVPETKGMPLEVIIEFFALGSKPGTDPAEFGMKD >CAK8544891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710586442:710590749:-1 gene:gene-LATHSAT_LOCUS13536 transcript:rna-LATHSAT_LOCUS13536 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVSDHGGGAGNNWASGYHQGKNVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQAKNIMVSSYARTKDASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYDKLRRKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNTMTGEGSAAGSLDPKSVV >CAK8537875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444379961:444380566:-1 gene:gene-LATHSAT_LOCUS7127 transcript:rna-LATHSAT_LOCUS7127 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKSPFLLFYLPDDCWEHVFKFLIICGEGETENKQKLYFKSLSLVSKRFLSITNKLVFSITIFDHSSRFLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKFLSIFNIELNDANGITSQYIDSLVTLKGLNILKFCYSHISDDLLYSIAKEVLPLKNFVLKNCIGYSYDGIYYLLSKCPKIQHLDLHQADFLR >CAK8565455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:217816993:217820597:-1 gene:gene-LATHSAT_LOCUS18672 transcript:rna-LATHSAT_LOCUS18672 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHSRDSLPARVTAGLSGISSLNIPNKVGSSAWRIPNATDVFQDSNDVSLFSTSLPVLPHENLNLTDSEQYGQPVDDNLLTVDKVPKEDEGHDPFDNFEINAIGNMLPDDEDELLAGIMDDFDLSGLPNQLEDLDENDLFVNGGGFEMDFESQEGLSFGVSKMSISDGIASNGIGPYAIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEVRTFRTQVGSPIASSPPGSWAQFSSPVEPNPLGSYSKSPGLGHASPININHLSGLAAILSPHASTSPKIAPIGKDPGRVSNQMFASSGGSTHGVAFQHSISFPEKNVNASPRTISAFGESNSNSSSVGTLSGPQFLWGSPTPYSENSNTSAWSPSSLGHPFTSSGQRQGFPYTGHRNPFLGSQHHHHHVGSAPSGLPLERNFRYFPESPDASLMSSIGFGNLNHGDGNFMMNMGSRPSVGHGIGLSATSTDIGSPNFGMMSVPGQGSLFIGNSLYAGPGLSSIEGFAERGRNRRPDNNVNQIESKKLYQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIVAFYKAFNGKKWEKFNSEKVASLAYARIQGKAALVMHFQNSSLMNEDKRCRPILFHSEGQDTSDQEHFLSSNLNICIRQPDGSYSGDMLESPKGNSDENLEKD >CAK8565735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:315736645:315736917:1 gene:gene-LATHSAT_LOCUS18922 transcript:rna-LATHSAT_LOCUS18922 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTKFQKCGLPHMHMLLILDTDDKLREPEEYDSVVKAEIPQHESEPELYEAVLKNMIHGPCGVLNQKSPCMKNGHCKKRYPKEFCEETR >CAK8530150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3013733:3022805:-1 gene:gene-LATHSAT_LOCUS40 transcript:rna-LATHSAT_LOCUS40 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMMSQIRFPLYVKSGTHCCYASASISEPQVLNGNPGGTVSSRQEIRLGLPSKGRMASDTLELLKDCQLSVKQVNPRQYVAQIPQLSNLEVWFQRPKDVVRKLLSGDLDLGIVGLDIVSEFGQGSEDLIIVHEALDYGDCRLSIAIPQYGIFENVNSLEELAKMPQWTEEKPLRVATGFTYLGPKFMKDNGIKHVAFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGTVLESQAALVASRKSLISRTGVLETTHEMLERLEAHLRAMGQFTVIANMRGSSAEEVAERILSQPSLSGLQGPTISPVFSKRDGKVAADYYAITICVPKKALYKSIQQLREIGGSGVLVSPLTYIFDEETPRYRQLLSKLGR >CAK8568928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655374097:655374432:1 gene:gene-LATHSAT_LOCUS21835 transcript:rna-LATHSAT_LOCUS21835 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERNEKVRDQTHERIGNIPVYII >CAK8537195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126854124:126855470:1 gene:gene-LATHSAT_LOCUS6501 transcript:rna-LATHSAT_LOCUS6501-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFQLANESSPLSLTPKFILPESKRPNLSEVKSLDSIPIIDLSYCHDTNHSSLEVIHKISKACEEFGFFQIVNHGVPEQVCHKMMKAITNLFELPSEERESLYSTDHTKSVRFLNDSIKVEGGEKVKCWSECFNHPWYPIDDAISLLPEKIRTQYREALTEYAKEIGSLVRRLLGLISIGIGLEEDCFLKKLGEQPRERAQANFYSPCPDPELTIGLTEHTDFNALTVLLQSEISGLQVNKDGKWISVPFVPNAFVINLADQIQVLSNGRYKSVLHRAVTNKMSPRLSMAMFFGPNADAMIGPIQELVDEEHPPKYRNYRFSEFLEEFFNQEGTRRMVKEAFELPNEIKIAV >CAK8537194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126854094:126855470:1 gene:gene-LATHSAT_LOCUS6501 transcript:rna-LATHSAT_LOCUS6501 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDLKFSNKMKSFQLANESSPLSLTPKFILPESKRPNLSEVKSLDSIPIIDLSYCHDTNHSSLEVIHKISKACEEFGFFQIVNHGVPEQVCHKMMKAITNLFELPSEERESLYSTDHTKSVRFLNDSIKVEGGEKVKCWSECFNHPWYPIDDAISLLPEKIRTQYREALTEYAKEIGSLVRRLLGLISIGIGLEEDCFLKKLGEQPRERAQANFYSPCPDPELTIGLTEHTDFNALTVLLQSEISGLQVNKDGKWISVPFVPNAFVINLADQIQVLSNGRYKSVLHRAVTNKMSPRLSMAMFFGPNADAMIGPIQELVDEEHPPKYRNYRFSEFLEEFFNQEGTRRMVKEAFELPNEIKIAV >CAK8544569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693103534:693106516:-1 gene:gene-LATHSAT_LOCUS13234 transcript:rna-LATHSAT_LOCUS13234 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGKVSSKGSFRKRVRSKGGGSDDSDEDYVVSDDAGNVSDCPEDDCFSLDGCAPEDSFDGFIEDEDAEVEIRRVTKFNRSRAKTGVRRQGKNVSKTARKRQRITYAEEIDEEEEEEEEDEEVEEEDDDDYNEEEEEEEDEEKEVEEVEEEEKEELEEVEEEEKELDEVEEVEEVEVDGNDEDEDFNYDDDEFIPEEEDYTDEEEEEIRGRKEKKEDFSYEDEEFIPMEEERKGRKKKKEDFNYEDEEFIPTEEDYTDEEEEETRGITKKNNGSKMVKKTTLKKRVSAASSRGRKRSGSRVSKKPKRKRRRKSGGSRRKVKCDDDDADDLFDNGSAIRTNGRKKSGHKRRRVLLEDANSGSDCETRQSDYEFTISEEEKEQVREAMELCGNIRRNLRRASLRMKNEEVGIHEDLHQQRKPPAQKGKEKIEEPQGRKGKEKVEELKIEATKQVESRCPLCKQRFKTISKPVRSTTAQEAVIQVPERDQVYQPTEEELRSYIDPYESVICSECNLGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCDGCRPVALASLNSQAQERSADIRDTTQSQPSRPSPVHIRESIDLNLMSSSPYTSFGQGFGHLSSFRFSGRSAEGGSPMSGGGAPTVSERRRIHRQIQQLRSMDRMTSTTGRINGVSATSTISNLHSSEIDQSREPTSQYTMTQDVGTSYHTFFEERLSNNVSPLMQNGDHFSTRINNSRRSLLPDSTMFSNATMNAVIWPGFSGTRTLSDIEPIHPFSSRSNIVTERSSIPPTIIEEDNLHIVKEQLQSMVKSHLKRLSQDTDLDYSTFKDIARSSTHTILAACGLEHKTSEVCTAPPPSVCPHVELIAGGQTSMIKGCCSSCFDSFVGNVVKRILDTRMSSQWLRLGI >CAK8544568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693103534:693106516:-1 gene:gene-LATHSAT_LOCUS13234 transcript:rna-LATHSAT_LOCUS13234-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGKVSSKGSFRKRVRSKGGGSDDSDEDYVVSDDAGNVSDCPEDDCFSLDGCAPEDSFDGFIEDEDAEVEIRRVTKFNRSRAKTGVRRQGKNVSKTARKRQRITYAEEIDEEEEEEEEDEEVEEEDDDDYNEEEEEEEDEEKEVEEVEEEEKEELEEVEEEEKELDEVEEVEEVEVDGNDEDEDFNYDDDEFIPEEEDYTDEEEEEIRGRKEKKEDFSYEDEEFIPMEEERKGRKKKKEDFNYEDEEFIPTEEDYTDEEEEETRGITKKNNGSKMVKKTTLKKRVSAASSRGRKRSGSRVSKKPKRKRRRKSGGSRRKVKCDDDDADDLFDNGSAIRTNGRKKSGHKRRRVLLEDANSGSDCETRQSDYEFTISEEEKEQVREAMELCGNIRRNLRRASLRMKNEEVGIHEDLHQQRKPPAQKGKEKIEEPQGRKGKEKVEELKIEATKQVCGICLSEENKRRVRGILNSCTHYFCFACIMEWSKVESRCPLCKQRFKTISKPVRSTTAQEAVIQVPERDQVYQPTEEELRSYIDPYESVICSECNLGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCDGCRPVALASLNSQAQERSADIRDTTQSQPSRPSPVHIRESIDLNLMSSSPYTSFGQGFGHLSSFRFSGRSAEGGSPMSGGGAPTVSERRRIHRQIQQLRSMDRMTSTTGRINGVSATSTISNLHSSEIDQSREPTSQYTMTQDVGTSYHTFFEERLSNNVSPLMQNGDHFSTRINNSRRSLLPDSTMFSNATMNAVIWPGFSGTRTLSDIEPIHPFSSRSNIVTERSSIPPTIIEEDNLHIVKEQLQSMVKSHLKRLSQDTDLDYSTFKDIARSSTHTILAACGLEHKTSEVCTAPPPSVCPHVELIAGGQTSMIKGCCSSCFDSFVGNVVKRILDTRMSSQWLRLGI >CAK8566101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379885788:379886102:-1 gene:gene-LATHSAT_LOCUS19264 transcript:rna-LATHSAT_LOCUS19264 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLRARRAENERKRRQNMNLEQRENYLSMRRDNYSRRKEKDKQAQTSRTMNSRKRVPFQNFTNMRSPMSHFQGTHDNEAGPSRITHVNDVALGW >CAK8544028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658787897:658789333:-1 gene:gene-LATHSAT_LOCUS12739 transcript:rna-LATHSAT_LOCUS12739 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQSNTNNQLVVQNSGSLSFSSHLSKEDEEMSRSALSTFRAKEEEIEKKKMEVREKVQFQLGRVEEETKRLATIREELEALADPMRKEVSVVRKKIDSVNKELKPLGLSCQKKEKEYKDALEAFNDKNREKVQLITKLMELVSESERLRMKKLEELSKNIDSMQMQQ >CAK8544029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658787897:658789333:-1 gene:gene-LATHSAT_LOCUS12739 transcript:rna-LATHSAT_LOCUS12739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQSNTNNQLVVQNSGSLSFSSHLSKEDEEMSRSALSTFRAKEEEIEKKKMEVREKVQFQLGRVEEETKRLATIREEFQELEALADPMRKEVSVVRKKIDSVNKELKPLGLSCQKKEKEYKDALEAFNDKNREKVQLITKLMELVSESERLRMKKLEELSKNIDSMQMQQ >CAK8543175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585373843:585374352:-1 gene:gene-LATHSAT_LOCUS11951 transcript:rna-LATHSAT_LOCUS11951 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRDDIPLLDPDMYIDEVDWDTCVDPELYLDLEREEEARHILMEKRQQESEIVDNPFDHGWEIKPTGWGDEDEKVTKPQEASYGVEGWIFNNHANNETNSWEQNDYHFDDLQNKYQEKYDEYDRRKNSYRHGNQYKMNRGRRNKEKRGGRRENITYVAKAATPSSQ >CAK8565804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336675338:336685269:1 gene:gene-LATHSAT_LOCUS18985 transcript:rna-LATHSAT_LOCUS18985 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNQPQPPTPFDMHSFFNPPNPNLNPSPNPIISSQFPPSAPSPPPSSSSSYPPPAGPFPPQPFPHHNNNHNNNYPYDHHNNFPIHHRSLSFPTPPLQPQPIPPPSNPNAGARLMALLSAPPQQQQHQQQQPLSLSVPFSSDYGAAANLPSPSPLVTPSASAASITAANAAAAALIRLPSSKVPKGRHLIGDHVAYDVDVRLPGEVQPQLEVAPITKYGSDPNPILGRQIAVNKSYICYGLKQGNIRVLNIHTAVRSLLRGHTQRVTDLAFFAEDVHLLASVGTDGCVFVWKISEGPDDEDKPQITANIVIAIQIVGEEKVEHPQICWHCHKQEILIVGMGKHVLRIDTTKIGNGEVFVAEDPPKCPLDKLVDGVQLVGTHDGEVTDLSMCQWMTSRLVSASQDGTIKIWEDRKTQPLAILRPHDGLPVFSATFFTAPHQPNHIVLITAGPQNREVKLWVSSNEEGWLLPSNTESWKCTQTLELKSSAGPSLKDAFFNQVAALPYAGLLLLANAQRNAIYAVHLGYGPNPESTRMDYIAEFTVTMPILSFTGTSDILPHGEHIVQVYCVQTLAIQQYALDLAQCLPPPLENVGLDRLDSNVSRDAIIAEGFASLDSSAGRTSEMPLPSSTTKITMQASSTESGHVARYPLSSGHIEAPISREISSSNIEAKTVTLVPSSSDANIVCVSSPPLPLSPRLSRKLSDFRSPQSNLGDHVGDQAVNDYSVERQMDTIHRNLSDQLNSDSKNDENKIKQDDISSVLNPSVMFKQPTHLVTPSEITKASSSSETNMIDRMSEVETKIQDVGNAEVEVKVVGEARPNQNDEFGRQGPQQNPVSDGKEKFFCSQASDLGIEVAREFCAIGGETYITEEPGQVDSTGRDSLAQPSNAGEDGLQDLPKDVNEKVSDSSTSAVVPQPPSSNTKGKRQKGKNPQPTGPSSPSPSVCNSTDSSNEPNGISNLPSIENGLPQIMAMQDSLNQLLTMQKEMQKQMTMTVAVPVTKEGRRLEAALGRSMEKAVKSNADALWARIQEENAKNEKLLRDRIQHVTGLITNFTNKDLPAILEKTIKKEMASVGQAVIRSLSPTIEKIISSTIVESFQRGVGDKAVNQLDKSVNSKLEATVARHIQAQFQTTAKQALQDALKSSFETSVVPAFEISCKTMFEQVDATFQKGMAEHSNAVQQRLESGPTSLAMTLRDSINSASSVTQTLSREVLEGQRKLMSLATSRTSSGTLSTLPIQLNNGPLLHEKVEAPLDPTKELSRLISERKYEEAFIAALHRSDVSIVSWLCSQVDLHRLLTMVPLPLSQGAVLSLLQQLACDINNDMSRKIAWMTDVATAINPSDPMITMHVRPIFEQVYQILNHQRSLPTITGADLSSTRLLLHVINSMLTTCK >CAK8533534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633207000:633208507:1 gene:gene-LATHSAT_LOCUS3155 transcript:rna-LATHSAT_LOCUS3155 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLVSQIGFAHFTPPSSLSSPSITHFRYNPCSSSMSSSSWTPSENKDGRKSKKVWIWTKNKQVMTAAVERGWNTFIFPSNLPQLANDWSSIAVICPLFLGEGEILDAQNKRVATVFDVSTPEELEGIRPEDEQAENIVVNLLDWQVIPAENIIAAFQNSQKTVFAVSDNTSEAQIFLEALEHGLDGIVLKVEDVEPVLELKEYFDRRTEENNVLNLTKATVTNIQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAVSRAFEYQAQTKHIHNNGMKMYDESF >CAK8536493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946700192:946701703:1 gene:gene-LATHSAT_LOCUS5856 transcript:rna-LATHSAT_LOCUS5856 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGKYTDKIEARRGIRQGDPLSPLLFVIIMEYLSRLLFRMQKNPDFNHHAKCERLQITHLTFADDLLLFSRGDYGSMDILHLTVNKFLESTGLKINPSKSRVYFSNVPANVKCAILQLTSYQEGTFPFRYLGIQVTSKRLPIIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITSYWMQCIWFPKTVINRINAICRSFLWTGGTTISRKSPVAWDNVCKPHVKGGLNVMNLEIWNRMFMIKLLWNIFEKSDDLWVRWIHAYYLRHEGIMTRTAKASDSGIFKTILLQRDNLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLILHNRARPRAIITLWMICQDKLPTKARLFRLGMLQNNICEFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWVLINYGGKGWKSDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIIYRGWTSPKLRPHIARLLLP >CAK8572221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521768974:521777141:-1 gene:gene-LATHSAT_LOCUS24810 transcript:rna-LATHSAT_LOCUS24810 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSSPFGSSQSVFGQQPNNSSNNPFAPKPFGSPTPFGSQTPAFGGTSTGVFGASQSSSPFSSNTTFGASSSPAFGSSMPAFGASSSPAFGSSAFGQKPAFGGFGSTTTSSPFGGATQPSQPAFGSNTQQSQPAFGSSIFGSSTPFGASSQPAFGSTGTSAFGATSTPAFGATSTPAFGATSTPAFGAASTPAFGATSTPAFGSTSTSAFGNTGGAFGMSSTPVFGGGGAFGASSSPVFGSSSTSAFGAASSPAFGASSSPGFSFGSSTQAFGQSSSAFGSSSPFGSTTSAFGGQSSAFGSQTPTPAFGSTGMGQSGFGGQRGGSRVASYSATTETDSGNTPPGRLESISAMPVYRDKSHEELRWEDYQLGDKGGPLASAPQPTGMAGFNSSTTQTNAFSPSPVFGQSSANPFSSTTPNSNPFAPKTSTFSSGFGTSAPAFSSSAFGSSTSAAAPSIFGSSTSPFGANSSSQAFGSSSSLFNTAAQSTSSPFGSSIFGNTQPSQLFSSAAPSNSQSSSVFGQNTSPFGQTAGFSQSSLFSSPSSGLVGSIFSNSAPLTSNAMTGFGQTAPSMSTPFQSAQPAQSSGAFSFSNFGQTQPAGASSFGGTPGMFGQNNFGLQAVPQNSVVAQAAAITNPFGTLPALPQMSIGRVGTTPSVQYGISSMPAQDKPAPVRISSLLTSRHLSQRRIKFPLRKYKNEGAKVPFFTDDEDTPTTPKADALFIPRENPRALIICPMEQWPGRASEKASTFKDRYASVNGNGISSREAVTAPNDRTSSEDKEKTAAENGVVKEQVQQPIPTKHASNGSNEDHSPQKADMYKTLGGHRAGEAAIVYEHGADVEALMPKLRRSDYFTLPRIHELAAKERAEPGFCSHVKEFVVGRQGYGSIRFLGVTDVRGLDLESIVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGQQYTEGPRIEKYKEMLKRKAEDQGAEFVSYDPNKGEWKFRVNHFSVYKLVDDDSWIQVDA >CAK8572222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521768974:521777141:-1 gene:gene-LATHSAT_LOCUS24810 transcript:rna-LATHSAT_LOCUS24810-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSSPFGSSQSVFGQQPNNSSNNPFAPKPFGSPTPFGSQTPAFGGTSTGVFGASQSSSPFSSNTTFGASSSPAFGSSMPAFGASSSPAFGNSSPSFGRSSAFGQKPAFGGFGSTTTSSPFGGATQPSQPAFGSNTQQSQPAFGSSIFGSSTPFGASSQPAFGSTGTSAFGATSTPAFGATSTPAFGATSTPAFGAASTPAFGATSTPAFGSTSTSAFGNTGGAFGMSSTPVFGGGGAFGASSSPVFGSSSTSAFGAASSPAFGASSSPGFSFGSSTQAFGQSSSAFGSSSPFGSTTSAFGGQSSAFGSQTPTPAFGSTGMGQSGFGGQRGGSRVASYSATTETDSGNTPPGRLESISAMPVYRDKSHEELRWEDYQLGDKGGPLASAPQPTGMAGFNSSTTQTNAFSPSPVFGQSSANPFSSTTPNSNPFAPKTSTFSSGFGTSAPAFSSSAFGSSTSAAAPSIFGSSTSPFGANSSSQAFGSSSSLFNTAAQSTSSPFGSSIFGNTQPSQLFSSAAPSNSQSSSVFGQNTSPFGQTAGFSQSSLFSSPSSGLVGSIFSNSAPLTSNAMTGFGQTAPSMSTPFQSAQPAQSSGAFSFSNFGQTQPAGASSFGGTPGMFGQNNFGLQAVPQNSVVAQAAAITNPFGTLPALPQMSIGRVGTTPSVQYGISSMPAQDKPAPVRISSLLTSRHLSQRRIKFPLRKYKNEGAKVPFFTDDEDTPTTPKADALFIPRENPRALIICPMEQWPGRASEKASTFKDRYASVNGNGISSREAVTAPNDRTSSEDKEKTAAENGVVKEQVQQPIPTKHASNGSNEDHSPQKADMYKTLGGHRAGEAAIVYEHGADVEALMPKLRRSDYFTLPRIHELAAKERAEPGFCSHVKEFVVGRQGYGSIRFLGVTDVRGLDLESIVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGQQYTEGPRIEKYKEMLKRKAEDQGAEFVSYDPNKGEWKFRVNHFSVYKLVDDDSWIQVDA >CAK8575905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:353309072:353313002:-1 gene:gene-LATHSAT_LOCUS28130 transcript:rna-LATHSAT_LOCUS28130 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSNSKFSVYQNPNLSAVLTSNSLQPSNYTLISILSFFSASAFAFLAIIFRENGFVDIFKLQSVSPFTAYWLVKTLQTLLGFVFIGTMLALFKVVFLRKTRYSGGVVAPNSNQANKNQMCLTKHQLELLGVKPKVDLVQSESSKKPPKSKPQSASASSELLVPLHQPVSSPSRRVDSDGSNSNRGVPGRSIGNLSRSPGSASLYLSRGVVSSAQGSAGMDSVVSSPWSNRRGSSANKITSEESLEQFLAEVDERITESAGKLSTPPPSVPGFGIASPNTVTGSANNSGAKRRTPLRPVRMSPGSQKFNTPPKKGESGDLPPPMSMEEAIEAFDHLGVYPQIEQWRDQLRQWFSSVLLNPLIHKIDTSHVQVMQAAARLGISITVNQVGNDTLSTGTASTLPSIDKTQDWQPTVTLNEDGLLHQLHSTLVQAIEASKSNPQQPPQQSHLIPIMQDCVDAITEHQRLQALVKGEWVKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTLELPSDSHLLLYLFCAFLEHPKWMLHADAMSYAGAQSSKNPLFLGVLPPKERFPEKYISVVSSVPSVLHPGACILVVGKQGPPIFALYWDKKLQLSLQGRTALWDSILILCHKIKVGYGGIVRGMHLGASALSILPVMETETED >CAK8572802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564289964:564290796:-1 gene:gene-LATHSAT_LOCUS25324 transcript:rna-LATHSAT_LOCUS25324 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKYKNSRPKVRVGLPKKNPKVLKPAFTIPPKLLQSLAEDPKWDEKGSVTQNYNSFGVVNDPNSLTDSLQAPSVSDDPNDSGSDLEEDDLKSALGKRRRDGKSALPQPLTSIQRLYISRLVEKYGADFQRMMMDIKLNPMQHSVATLEKLCMSYYIYKNKNPLIVGR >CAK8539429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512602193:512605600:-1 gene:gene-LATHSAT_LOCUS8526 transcript:rna-LATHSAT_LOCUS8526 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFGWLISFFLLVALLVLITYQLMCLADLEFDYINPYDFAGRINSVVLVEYILQGVLCCFYLVTGHWFMSVLCVPYLYFNIRLYRQKKHLIDVTEIFSMLSWEKKQRLVKLFYLVTTLFLSVFWLIYTTLDEHDA >CAK8575486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:134971842:134972417:-1 gene:gene-LATHSAT_LOCUS27745 transcript:rna-LATHSAT_LOCUS27745 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVLFSLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLAGLPPLAGFFEKLSLFWCGWQAGRYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPITSNNSIEVSMILCVIASTILGISMNPIIKMTQDTLF >CAK8544101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662948442:662949104:1 gene:gene-LATHSAT_LOCUS12807 transcript:rna-LATHSAT_LOCUS12807 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPSFHRRFPSNSDRLLGAFNFSPPSSVTTSAGDELNEAELFWSSDSSESENQNQRPPPQPTALTRHRSFDLSQDSGILAVLSGPDNRDSPVFRGKSPVSSSRMIPSFPRPRPSSGYNSEQLVQSMPARKFQQSAPVKVPVLPPSQFSRRRNVDALAVLDDDDDDGEELLPPHELVARGSGVSPRTTFSVLEGVGRTLKGRDLRQVRNAVLRQTGFLD >CAK8537035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64802788:64804790:-1 gene:gene-LATHSAT_LOCUS6353 transcript:rna-LATHSAT_LOCUS6353 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQLILICLFILFSSIIVTIAGDAVAGDKNPFTPRAYVNRYWDKEIRNGLPKPSFLFSKASPLSTVEAATFAKLAAGNTLSTRLPEFCSAAKLLCIPEVAASLEKHDKDANFAVYKDKNFTNYGTSRPGGVDLFKSYSDGENIPVNDFRRYSRNSAGHKDSFTSYATDSNVADQSFHTYGTGATGGTGDFKQYTKETNNPTLVFSSYSDNSNGRVQSFSSYTENGNAGDQSFSSYGKNGNGPTDDFTSYGTSTNVVGSSFSNYAETSNAGNDSFTNYGVDMNNPTNNFNNYANEGNGAVQTFSNYREKANVGADSFTSYAKTANAAKVDFNNYGKSFNDGTDTFTSYAKTSTGETKVNFKGYGVKNSFKEYTKEAVSFAKYTNVSSTLSASVEEKKNAVSGNLVKKWVEPGKFFREKMLKEGTVMPMPDISDKLPERSFLPRSILSKLPFSTSKISEMKQLFKASDNGSMEKMMRDTLGECERVPSKGETKRCVGSIEDMIDFATSVLGRNVVVRTTQNLNGSKKSVMVGRVNGINGGKITRSVSCHQSLFPSLLYYCHSVPKVRVYQADLLDPKSKDKINQGVAVCHLDTSDWSPTHGAFMSLGSGPGRIEVCHWIFENDMSWTVAD >CAK8572596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550333286:550336592:-1 gene:gene-LATHSAT_LOCUS25147 transcript:rna-LATHSAT_LOCUS25147-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEVKEELKPIIQWKKQKGGFRASMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYFNRLTTCLLFGSLEVIALVMITVQAALKNLHPEACGESSCVKGGVAVMFYTSLCLYALGMGGVRGSMTAFGADQFDEKEPNEAKSLATFFNWLLLSSTLGSVVGVTGVVWVSTQKAWHWGFFIITLSSSIGFVTLALGKPFYRIKIPGESPILRIIQVIVVAFKNRKLPLPETNEQFYEVYKDVTLEKISHTNQMRFLDKAAILQENSEPQQPWNVCTVTQVEEVKILTRMLPILASTIVMNTCLAQLQTFSVQQGNIMNLKLGSFTVPASSIPVIPLIFLCTLIPIYELFFVPFARKITNHPSGITQLQRVGVGLVLSAISMTIAGIIEVKRRDQGRKDPSKPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPSTMKSLSTSFTFLSMSLGYFLSTIFVNIINSVTKRITPSKQGWLHGFDFNQNNLNLFYWFLAILSCLNFFNFLYWATWYKYKTEDSNNSEMNSKELGETPLLMVGGGRKHDGKAKESSQTSEANTEGPSSSDETDDGKEKERNSKEWKHR >CAK8572595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550333286:550336767:-1 gene:gene-LATHSAT_LOCUS25147 transcript:rna-LATHSAT_LOCUS25147-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYQRTEPNSGDKEVKEELKPIIQWKKQKGGFRASMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYFNRLTTCLLFGSLEVIALVMITVQAALKNLHPEACGESSCVKGGVAVMFYTSLCLYALGMGGVRGSMTAFGADQFDEKEPNEAKSLATFFNWLLLSSTLGSVVGVTGVVWVSTQKAWHWGFFIITLSSSIGFVTLALGKPFYRIKIPGESPILRIIQVIVVAFKNRKLPLPETNEQFYEVYKDVTLEKISHTNQMRFLDKAAILQENSEPQQPWNVCTVTQVEEVKILTRMLPILASTIVMNTCLAQLQTFSVQQGNIMNLKLGSFTVPASSIPVIPLIFLCTLIPIYELFFVPFARKITNHPSGITQLQRVGVGLVLSAISMTIAGIIEVKRRDQGRKDPSKPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPSTMKSLSTSFTFLSMSLGYFLSTIFVNIINSVTKRITPSKQGWLHGFDFNQNNLNLFYWFLAILSCLNFFNFLYWATWYKYKTEDSNNSEMNSKELGETPLLMVGGGRKHDGKAKESSQTSEANTEGPSSSDETDDGKEKERNSKEWKHR >CAK8572594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550333286:550336779:-1 gene:gene-LATHSAT_LOCUS25147 transcript:rna-LATHSAT_LOCUS25147 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRMEDKYQRTEPNSGDKEVKEELKPIIQWKKQKGGFRASMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYFNRLTTCLLFGSLEVIALVMITVQAALKNLHPEACGESSCVKGGVAVMFYTSLCLYALGMGGVRGSMTAFGADQFDEKEPNEAKSLATFFNWLLLSSTLGSVVGVTGVVWVSTQKAWHWGFFIITLSSSIGFVTLALGKPFYRIKIPGESPILRIIQVIVVAFKNRKLPLPETNEQFYEVYKDVTLEKISHTNQMRFLDKAAILQENSEPQQPWNVCTVTQVEEVKILTRMLPILASTIVMNTCLAQLQTFSVQQGNIMNLKLGSFTVPASSIPVIPLIFLCTLIPIYELFFVPFARKITNHPSGITQLQRVGVGLVLSAISMTIAGIIEVKRRDQGRKDPSKPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPSTMKSLSTSFTFLSMSLGYFLSTIFVNIINSVTKRITPSKQGWLHGFDFNQNNLNLFYWFLAILSCLNFFNFLYWATWYKYKTEDSNNSEMNSKELGETPLLMVGGGRKHDGKAKESSQTSEANTEGPSSSDETDDGKEKERNSKEWKHR >CAK8560772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41359678:41361892:1 gene:gene-LATHSAT_LOCUS14422 transcript:rna-LATHSAT_LOCUS14422 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRTILRTTTLSQPSSLLTHLRLMSNLPENTVYSGPTPQNQRVTLSQLRQKHRNSQPITMVTAYDYPSAVHLDMAAIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRSVARGAKTPLLVGDLPFGTYECSSKQAVDTAVRILKEGRMDAIKLEGGSPSRIVAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASAVKVVETALALQEAGCFAVVLECVPAPVAAATTAALQIPTIGIGAGPYCSGQVLVYHDLLGMLQHPHHAKVTPKFSKQYARVGDVINKALLEYKEDVTNGSFPDAKHSPYKISETDANGFLNELQKLGFDKAVSAASEAVQKMVTKSTK >CAK8564772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9370691:9374347:-1 gene:gene-LATHSAT_LOCUS18042 transcript:rna-LATHSAT_LOCUS18042 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRLSQFSLKICFLHITLFSTLCFSGDPTVYEELHVTYTTVSPLGVPQQVIAVNGKFPGPVINVTTNNHVAVNVFNQLDEELLITWPGIQMRRDSWQDGVLGTNCPIPPKWNWTYEFQVKDQIGSFFYFPSTNFQRASGGFGPFVINNRVVVPIPFAQPDGEIFIMIGDWYTQNRTALRTALDGGKGLGIPDGVLINGKGPYQYNNTLVPNKLPFETITVEPGKTYRIRVHNVGVSTSLNFRIQNHNLLLAETEGYYTMQTNFTSFDIHAGQSYSFLLSTDQNASTDYYIVASARFVNESLWQKVTGVAVLHYTNSKGTVTGPLPPPPDDFYNKGASMNQARSIRQNTSASGARPNPQGSYRYGSINITDTYLLNVTAPVKIKGATRAAINGISFLKPDVPFLLADKNQLRGIYKLDFPSKPLNRTPVVDRSIINATYKGFIEIVLQNNDTTVQNFHLDGYSFFVAGMDYGDWTENSRNSYNKWDAISRSTTQVFPGGWTAILVSLDNVGLWNLRSENLDRWYLGQETYLRILNPEESADSGTTVPENVLYCGPLKHLQKEQKSSASSALGHSFILTLFLGILCVIFTAS >CAK8572716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557771747:557772145:1 gene:gene-LATHSAT_LOCUS25246 transcript:rna-LATHSAT_LOCUS25246 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAGMSLSTPRVVFKAPESLQKSQTIRFAPVFMLNQRWASTASSGRMVSIRPVRASPDSITGKVEESIKNAEETCAGDATSGECVAAWDEVEELSAAASHARDKNKGSDPLEEYCKDNPETDECRTYDN >CAK8534802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769826985:769832155:1 gene:gene-LATHSAT_LOCUS4315 transcript:rna-LATHSAT_LOCUS4315-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILVGNVQGTMSSLASPVSLGSFIGASCSHSVVRRVSLSRGNCVKGKKRWHCVSLSICRYSITTTDFVADHGNPIPLDSSNNRDSGKGDGGFGLKPPPRPVLKSSDNDAVLGSGSGVGGSLRNNQGSDNVEERNKVIESLGDVLEKAEKLGNSKLDGERSNGSVNKPGRPEMNDKPKDVKPVRPEINDKPKDVKPVSSLKKHTSKTLKSIWRKGDTVASVVKVKEIPRPSVKSSEVGESLIAGGEKVTSKTRDPQPPLKPLPTLQSRPSIAPPPLPPPPPPAPPIKNDVILKDDKGQGETPVKSKAKKGPILIDKFASKKPEVDPAKAQAVPGPSKPGKALPQGRFNNDYRKRGASSGVGPRRRKVVSKDGTLDDGTRRAVRKGRKLNKASRRAARLQAAKDAAPVKVEILEVSDKGMLVKELAYNLAIPEGEILGSLYSKGVKPDGVQTLDKNMVKMICKDYEVEVIDADPFKVEGLVKRREILDEDDLDKLKDRPPVITIMGHVDHGKTTLLDYIRKTKVAASEAGGITQGIGAYKVQVPFDGKTLPCVFLDTPGHEAFGAMRARGASVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIIVAINKIDKDGANPDRVMQELSSIGLMPEDWGGNTPMVQISALQGENVDDLLETIMLVAELQELKANPDRSAKGTVIEAGLDKSKGPIATFIVQNGTLRRGDIVVCGGAFGKVRALFDDGGKRVDEATPSIPIQIIGLNNVPVAGDTFEVVESLDFAREKAESRVMSLRDERLLAKMGDGKVTLSSLASAVSSGKLSGLDLHQLNIILKVDLQGSIEAVKQALQVLPQDNVTQKFLMETTGDVCKSDVDLAAVSKAIIFGFNVKAPGAVKSYADNKAVEIRLYKVIYELIDDVRKAMEGLLDSVEDQEPIGTAELRAVFSSGSARVAGCMVTDGKLTKGCGIQVVRKGKVVHVGTLDSLRRVKEIVKEVNAGLECGLALDDFDEWEEGDILEAFNTIEKRRTLEEASASMAAAVEGVRA >CAK8534801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769826880:769832155:1 gene:gene-LATHSAT_LOCUS4315 transcript:rna-LATHSAT_LOCUS4315 gene_biotype:protein_coding transcript_biotype:protein_coding MTISFPVGNVQGTMSSLASPVSLGSFIGASCSHSVVRRVSLSRGNCVKGKKRWHCVSLSICRYSITTTDFVADHGNPIPLDSSNNRDSGKGDGGFGLKPPPRPVLKSSDNDAVLGSGSGVGGSLRNNQGSDNVEERNKVIESLGDVLEKAEKLGNSKLDGERSNGSVNKPGRPEMNDKPKDVKPVRPEINDKPKDVKPVSSLKKHTSKTLKSIWRKGDTVASVVKVKEIPRPSVKSSEVGESLIAGGEKVTSKTRDPQPPLKPLPTLQSRPSIAPPPLPPPPPPAPPIKNDVILKDDKGQGETPVKSKAKKGPILIDKFASKKPEVDPAKAQAVPGPSKPGKALPQGRFNNDYRKRGASSGVGPRRRKVVSKDGTLDDGTRRAVRKGRKLNKASRRAARLQAAKDAAPVKVEILEVSDKGMLVKELAYNLAIPEGEILGSLYSKGVKPDGVQTLDKNMVKMICKDYEVEVIDADPFKVEGLVKRREILDEDDLDKLKDRPPVITIMGHVDHGKTTLLDYIRKTKVAASEAGGITQGIGAYKVQVPFDGKTLPCVFLDTPGHEAFGAMRARGASVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIIVAINKIDKDGANPDRVMQELSSIGLMPEDWGGNTPMVQISALQGENVDDLLETIMLVAELQELKANPDRSAKGTVIEAGLDKSKGPIATFIVQNGTLRRGDIVVCGGAFGKVRALFDDGGKRVDEATPSIPIQIIGLNNVPVAGDTFEVVESLDFAREKAESRVMSLRDERLLAKMGDGKVTLSSLASAVSSGKLSGLDLHQLNIILKVDLQGSIEAVKQALQVLPQDNVTQKFLMETTGDVCKSDVDLAAVSKAIIFGFNVKAPGAVKSYADNKAVEIRLYKVIYELIDDVRKAMEGLLDSVEDQEPIGTAELRAVFSSGSARVAGCMVTDGKLTKGCGIQVVRKGKVVHVGTLDSLRRVKEIVKEVNAGLECGLALDDFDEWEEGDILEAFNTIEKRRTLEEASASMAAAVEGVRA >CAK8570952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:190068098:190069963:-1 gene:gene-LATHSAT_LOCUS23658 transcript:rna-LATHSAT_LOCUS23658 gene_biotype:protein_coding transcript_biotype:protein_coding MMWNLTIKSHVDMGLFHSAFAFYKQMRLMGVQHDTFTFPIINRALSSFRTDSMCGKMIHCVAIQMGLGLDLYFCNTMIDVYVKCGCLDYGRHLFDLMSQRDVVSWTLMIAGYVNVRRVCVSVAFDLFNKMRMEMEPNSVTLIVMLQACCASATLNEGTQIHGHAVKSGLLIDWSVKNSVLKMYVSKGSDEKVGLLFSEINRKDVASWNILISFYSSKGDLMRVACLFNEMQSLELRLWNIETLTIVVSAFAKSGSLFEGEGVHCLVIKTGFFDDVLLTSLLDFYSKCGKLEVSVQLSKEIRFKSNITWGAMMSGFIQNGYFIDAIFLFQQMQTDDDLDIAPEIWKYVLEAYANLGALKLGKVVHGYLIKQLFNESFQSNVHLDTSILNMYLRGGSISSAREVFDRILVKDVVAWTTMIEGLGSHGFGYEALDYFNLMIEQRVHPNSITFLSLLSACSHSGLVSEGCKIYHTMKWGYGIEPGLDHHTCIVDLFGRCGMLKEALVVILKMKILPDSRIWGALLAASRVYGNKMIGEYAAQRVLELESDNAGYYTLLSNVKASVGRWDEVEELRRVMSEKNLKKKPGWSCIEVKGVIQGFVSGDISHSEADEIFEILCRLSRAT >CAK8571821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479193098:479193967:-1 gene:gene-LATHSAT_LOCUS24448 transcript:rna-LATHSAT_LOCUS24448-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVAVEPVPEPAVTEPTVTEPQVPEKEDPKAEVEKTKKAKETKPKKASKPRNPASHPTYEEMIKDAIVSLKEKNGSSQYAIAKFIEEKQKQLPANFKKLLLQNLKKKVASGKLIKVKGSFKLSAAAKKPAVAKPKTKPAAKAKAVKAKPAVKPKAKAVLKPKSTSKSKAVTTKPKAAAAKPKTVTKAKAAVKAKPKAKAAKVAKTSTKKTPGKKVAVAKPAPKKVAAAKKAPVKSVKSPAKKASGVKRGGRK >CAK8571822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479219843:479220721:-1 gene:gene-LATHSAT_LOCUS24448 transcript:rna-LATHSAT_LOCUS24448-3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVAAESVPEPIVTEPTTVTEPQVPEKEEPKAKGKKTKKTKESKPKKSSKPRNPASHPTYEEMIKDAIVSLKEKNGSSQYAIAKFIEEKQKQLPANFKKLLLQNLKKKVASGKLIKVKGSFKLSAAAKKPAVAKPKTKPAAKAKAVKAKPAAKPKAKPVVKPKVASKSKSVTTNPKTAAAKPKASAKPKAAAKPKTVAKAKAAVKPKPKAKPAKVARTSAKTTPGKKVAAAKKAPVKSVKSPAKKASGVKRGGRK >CAK8571820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479193098:479220721:-1 gene:gene-LATHSAT_LOCUS24448 transcript:rna-LATHSAT_LOCUS24448 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVAAESVPEPIVTEPTTVTEPQVPEKEEPKAKGKKTKKTKESKPKKSSKPRNPASHPTYEEMIKDAIVSLKEKNGSSQYAIAKFIEEKQKQLPANFKKLLLQNLKKKVASGKLIKVKGSFKLSAAAKKPAVAKPKTKPAAKAKAVKAKPAAKPKAKPVVKPKSTSKSKAVTTKPKAAAAKPKTVTKAKAAVKAKPKAKAAKVAKTSTKKTPGKKVAVAKPAPKKVAAAKKAPVKSVKSPAKKASGVKRGGRK >CAK8560952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62401724:62402053:1 gene:gene-LATHSAT_LOCUS14587 transcript:rna-LATHSAT_LOCUS14587 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGPLALIDEILPIVVIATHNACFSERQSVIQQLHARRGRLIVMCSEGDAASVCPGNSCRTIEVPLVEDCLQPIINVVSLQLLAYHLSVLRGFNVDQPRNLAKSVTTQ >CAK8565079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33786037:33793082:1 gene:gene-LATHSAT_LOCUS18319 transcript:rna-LATHSAT_LOCUS18319 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVIDAGSSLLKAGFAIPDQTPAMIIPSQMKRMVDDKGSVGDNGNGQLVVDDVAVEPVVRGYVRDWDSMEDLLNYVLYSGLGWEIGNEGQILFTDPLCTPKANKEQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVNHIASRRFEFGGTDLTNFLAQELSKSNPQVNISVSDVEKIKQLYSCCAEDDYAYLKTKDYCPVETHTLPDGQVITIGRERYTVGEALFQPSLLGLEAHGIVEQLVRTISTVTSDNHRQLLENTVVCGGTSSMNGFEDRFQKESLLSSSAVRPTLVKPPEYMPENLTMYSAWVGGAILAKVVFPQNQHVTKADYDENGPSIVHRKCF >CAK8565080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33786037:33793082:1 gene:gene-LATHSAT_LOCUS18319 transcript:rna-LATHSAT_LOCUS18319-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVIDAGSSLLKAGFAIPDQTPAMIIPSQMKRMVDDKGSVGDNGNGQLVVDDVAVEPVVRGYVRDWDSMEDLLNYVLYSGLGWEIGNEGQILFTDPLCTPKANKEQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVNHIASRRFEFGDDYAYLKTKDYCPVETHTLPDGQVITIGRERYTVGEALFQPSLLGLEAHGIVEQLVRTISTVTSDNHRQLLENTVVCGGTSSMNGFEDRFQKESLLSSSAVRPTLVKPPEYMPENLTMYSAWVGGAILAKVVFPQNQHVTKADYDENGPSIVHRKCF >CAK8572442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537280130:537283820:1 gene:gene-LATHSAT_LOCUS25012 transcript:rna-LATHSAT_LOCUS25012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGNDPRQPSAAKPYVAPVIAPQDLPIDYAGFIAVILGVAGVMFRYKLCSWLALIFCAQSIANMRNVENDLKQVMMAMMFSLMGLMTNYFGPPRPGGKQS >CAK8542507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521145211:521147454:1 gene:gene-LATHSAT_LOCUS11344 transcript:rna-LATHSAT_LOCUS11344 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCYLGYCRKFIDYVSFTNFHSRWRHPCSFLYSTTSNASPPPLGALHCHYFKNGSLQNTNLANYLLSLYVKSSNMNHAHKLFDEILHKDTQTWTILISGFARAGSSELVFSLFREMQAKGACPNQYTLSSVFKCCSGVSNLQLGKGVHAWILRNGVDGDVVLENSILDLYLKCKAFEYAECFFELMVEKDVVSWNIMMGAYLREEDVDKSLDMFRNFPYKDVVSWNIIIDGLMQCGYERLALEQLYSMVKHGTEFSAVTFSIALILASSLSLVEVGRQIHGRVLKFGLNSDGFIRSSLVEMYGKCGRMDKASRILKDVPLNFLRKGNFGDTCKEPKAGIVSWSSMVSGYVWNGKYEDGIKTFMSMIRELVVVDIRTVVTIISTCANAGALEFGKQIHAYIQKIGHRVDAYVGSSLIDMYSKSGSLDDARMIFRQINEPNVVLWTSMISGCALHGQGREAISLFEGMLNKGIIPNEVTFVGVLNACSHVGLIEEGSRYFRMMKDTYHIIPEVEHYTSMVNLYGRAGQLIEAKNFIFENGISHLTSVWTSFLSSCRLHKNIEMGKSVSEMLLQSAPSDPGAYILLSNMCSSNNRWDEAATVRSLMHQRGVKKQPGQSWIQLKDQIHTFVVGDRSHPQDNEIYSYLDSLIGRLKEIGYSYDVKLVMQDVEEEQREVLISHHSEKLALVFGIINTMHRTPIRIMKNLRICTDCHNFIKYASQLLEREIIVRDTHRFHHFKQGRCSCGDYW >CAK8543211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588715592:588717218:1 gene:gene-LATHSAT_LOCUS11984 transcript:rna-LATHSAT_LOCUS11984 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRETGGKKKAWRKKRKYELGRQSANTKLSSNKTIRRIRVRGGNLKWRALRLDTGNFSWPSETVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQIDATPFKQWYLQHYGVEIGRKKKTAGKKDSEEAEAVTEEVKKSSHVQRKLEQRQKDRQLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGRELEFYMKKLQKKKSKGAA >CAK8576860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:520970967:520973013:-1 gene:gene-LATHSAT_LOCUS29023 transcript:rna-LATHSAT_LOCUS29023 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFTRSQGALVCFWLSVVFLVIGSCHSTVEVVGLGECADCKQNNIKTSQAFSGLKVTIDCKEASGHFKTRGAGELDKNGNFKVSLPQDIVKEGELKEECYAQLRTASAIPCPAHDGLQGTKIVISSKSDEKHTLSTAAAGKLKFSSATCASAFFWPFYKHPLFPKLPLPQLPHFPPKVFPPFPPKFFHKHHPLFPPIPIYTKPPFPHIPIYNKPLPPPVPIYEKPLPPPTPVYEKPLPPPVYEKPLPPPVYEKPLPPPVPIYHEPPTPVYHAPPTPVYHEPPTPVYHKPPTPVYHAPPTPVYHEPPTPVYHKPPTPVYHAPPTPVYHKPPTPVYHEPPTPVYHKPPTPVYHEPPTPVYHEPPTPVYHKPPTPVYHKPPTPVYHEPPTPVYHKPHPPQVPVKKPCPPKVEHPILPPVPIYKPHPSPVPKPLPPPVPVKKPCPPKVEHPILPPVPIYKPPVVIPKPPVVPIYKPPVVVPKPPVVPIIEPHPPLVPIYKPPVVIKPLPPFPKFPPFNKPPFPPLPKFPPVHDFFHHPKYGKLPPKSFFHHPKFGKWPPTHPKSFFHHPKYGKWPPIHKSFFHHPKYGKWPTDPKSFFHHPSLGNGHH >CAK8544285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676456170:676458851:-1 gene:gene-LATHSAT_LOCUS12978 transcript:rna-LATHSAT_LOCUS12978 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPEGEIFSAEDADSAETEGATRKKEGSFYIWTSKEVEDLLGEHAALFEEHYYIKQMGNCDLSEMSDPHHEFKEKNVLIERNDPSEIASKYGLSVETYQEILGECRRKLFEVRLRRPKPHLDDKVTVSWNGLAISSFARASKILKGEAEGMKFNFPVVGTEPKEYLRIADKAASFIRNQLYIAETRRLQHSFRNTPSKAPGFLDDYAFLISGLLDLYEFGGGINWLLWATELQETQDSLFLDRDGGGYFK >CAK8577995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601169314:601170537:-1 gene:gene-LATHSAT_LOCUS30046 transcript:rna-LATHSAT_LOCUS30046 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLQKDCKSLIKNSWNTMVVGCPMYILNSKLKTLKSNLKDWNKASFVNVHEKVKIGGLNLDVVQKNIDSLGHSDTLVEQEIMLYWSWNMLSMLRIFFWKEKSKIKWALEGDITTSYFHKLAKINHSIKRIMALRVEDNIITNQLDIAFHVVNRFTNLFYSSSLLLDNDLIQRVIPNLITDDINHILALMHSAEEIHQVVFALNPSSAPGPDGFGGCFYQTHWDIIKEDVINDVLEFFSTSWLLPNFNSNTLVLVPKVDNADRVDQFRPIALANFKFKIITKIQAYRLGTIMLSLISKEQNKFIHGRLIRDCIAITSEAFNILLNKSINGNLCLKIDMAKAFDTLDWCFLLKVLRQLGFCNTFCDWISTILHSAKIFLYQWFPSWSLFLQKRGQIRSSLVPSSFLHC >CAK8544398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682259055:682266839:-1 gene:gene-LATHSAT_LOCUS13084 transcript:rna-LATHSAT_LOCUS13084 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRNTNGRSPLVNPQRQITAFFTKTNSLSPSPSPTLSKTNPKLNPNPNPNPNPSPSLTTPSPLNSKPHKPRLVIGASPTSTPPSHSPFIGKRIKVYWPLDNAWYEGVVKSFDSVTSKHRIRYDDDEEECIDLSNEKIEWLPDPSSKKLKRLRRGSSPIRKMVIEDNEVEGSPKEETEELDDDDDSGDEDWGKNAVLEDAGDDEEEDMELEEENEVVESAKGKSKSSGKVEPKKRKLGEALKLEPVKKSKSGNDVNSVAVKHSPLEPVKNLEVKKTLDVADNVATDDVAERSERFALREAQKFRFLGEDRRDAKRRRPGDENYDSRTLYLSPDFMRSLSDGQKQWWDFKSKHMDKVLFFKMGKFYELYEMDAHIGTKELDLQYMKGDQPHCGFPEKNFSVNVEKLARKGYRVLVVEQTETPEQLELRRKENGSKDKVVRREICAVVSKGTLTDGEFMATHPEAAYLMALTEYHENRPNKTSERTYGVCVVDVATSRVILGQFNDDSECSALCSILSEIRPVEIVKPAKLLSAETERVLLKHTRNPLVNELVPIVEFWDADKTLDQLKRIYGHSNDVSVEDGGLDCLPAVLLELVKTGHDNSSALSALGGAIYYLKQAFLDEKLLRFAQFELLPCSVFSGLASKPYMILDAVALENLEIFENSRNGGLSGTLYAQLNQCVTAFGKRLLKSWLARPLCHVESIKERQEAVAGLKGVNLPHALEFRKALSKLPDMERLLARVLSSSDASGRNANKVVLYEDSAKKQLQEFISALRGLELMAQACLALSGILDDVESRQLSHLLTPGKGLPDVSMDLNHFKCAFDWGEANSSGRIIPHDGADIEYDSASKAVKEIESSLSNHLNEQRELLGCPSISYVTVGKDTYLLEVPENLSQNIPHDYELRSSKKGFSRYWTPDIKIFLKELSHAESERETLLKSTFQRLIGRFCEHHTQWKQLVYATAELDVLINLAIVSDYYEGPTCRPSFAGTLCKDDAPYINAKGLGHPVLRSDSLGKGAFVPNDITIGGPDQASFILLTGPNMGGKSTLLRQVCMAVILAQVGADVPAESFELSPVDRIFVRMGARDNIMAGQSTFLTELSETATMLSSATRNSLVALDELGRGTSTSDGQAIAESVLEHLVRRVQCRGLFSTHYHRLAINHLKDPKVCLSHMACQVGSGNEGLDEVTFLYRLTPGACPKSYGVNVARLAGLPTSVLQKAAAKSREFEASYGKYRNVASETNCLNQSWVDNIIGVVQKLNNAATNLSCDEAVSDSSLMKLQHKARKLLQQC >CAK8567248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497777645:497780672:-1 gene:gene-LATHSAT_LOCUS20317 transcript:rna-LATHSAT_LOCUS20317 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELETWTALVAILCLLVFILQEILMGGSASKGSSSPRRRHVPSYESSGSSSSSWNNNYDGYPPQSPYPQQSPYHTPHHQFSSASAPFYDNSQQKKKLDKRYSRIADDYHSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKSSFNRKSLHHIGTGQNPYEQAISIIGKTLSTFDEDNLIPCFGFGDASTHDQDVFSFYSEERPCNGFEEVLARYREIVPHLKLAGPTSFAPIIEMAITIVEQSAGQYHVLLIIADGQVTRSIDTEYGHLSQQEQKTINAIVKASEYPLSIVLVGVGDGPWEMMEEFDDNIPSRAFDNFQFVNFTKIMSKNVNPSRREAEFSLAALMEIPSQYKATIDLGLLGSRRGHSPDRVPLPAPLYNRTSSNISGKSFRSNSFQPNVHRGTGYEYDSGVHNHTEPSTSSLHDNKVCPICLTDAKDMAFGCGHQTCCGCGEDLEFCPICRSTINVKIKLY >CAK8573037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578649473:578658693:1 gene:gene-LATHSAT_LOCUS25531 transcript:rna-LATHSAT_LOCUS25531 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSPQAASPHVDDHRISTTGYRDAESLFRSKPIAEIRNTESATRKQIDDKKEELRQLVGNRYRDLIDSADSIVNMKSSCNAISANITAVHDHIRSLSQSQSQSQTKLHSQSRAWTYGIACRVKYLVDTPENIWGCLDEGMFLEAASRYSRAKHVHQRLFIDSDEHKIKILSNFPLLQHQWQIVESFRAQISQRSRDRLLDRGLPIDAYADALAAVAVIDEFQPEKVLDLFLESRKSWITQVLGNAGAGDDSSLVVSVLCDVLGIIQVSVGQVGESFLQVLNDMPLFYKVILGSPPASQLFGGIPNPDEEVKLWKSFRDKLESVMVMLDKRYIADTCFAWLKECVNKISGRNLIDAIGSGQELASAEKSIRETMESKQVLQGSLEWLKSVFGSEIELPWSRIRELVLEDDSDLWDEIFEDAFLGRMKAIIDLRFMELTDTVDVVNTISAVVDSLTRPIDVQLHLTRPYAAGGVWFLESNAKKTGVASGFKVHPEENEFLSCLNAYFGPEVSRIRDAVDISCQSILEDLLSFLESPKASQRLKDLAPYLQSKCYESVSAILMALQKELDSLYGSMESGDKEVPTTVTVEKSLFIGRLLFAFQNHSKHIPLILGSPRFWASGNASTVGKLPSLVKHSRFGSDSAICDSPGRQTSLGSKRQNSSATAALFGAREGASPELEELNKTIGDLCIRAYNLWILWMSDELAAIVSQDLKQDDALTLSMPGRGWEDIAVKQDQSDENQSEMKISLPSMPSLYIISFLFRASEEVHRVGGHVLDKKILHKLASRLLEKVVGVFEAFFSTEVGNAHQVTEKGVLQLLLDVKFVIDVLSGGDSNSVGELSSNPKAKTSLRRKQGQSLTISAIRERSNQLLNRLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNTLRCSTVPRFKYLPISAPALSSRGPKKSFTPSSNEISSRSSWNSITNGELSQKINLDDSSSLGVAAPFLKSFMQVGSRFGESTFKLGSMLTEGQVGIFKDRSMSTFGDILPAQAAGLLSSFTAPRSDS >CAK8538318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476960391:476962739:-1 gene:gene-LATHSAT_LOCUS7530 transcript:rna-LATHSAT_LOCUS7530 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRLSISLDPFDPTSIPTRTITSISKPHLRPSKTASHNPKTDSKSLNTTLRALTKKQDWETAEELVRQIIISSDSSQPLSHDVFNSLIDASSKRGLLKLASKWFRMMLDCGVTPNTTTFWMLMRLYQKCLNVEEAEFAMSMMRRFGVVCESAYSSMITIYTKLGFCEKAESVIELMKCEVRVWNVENWSVVLNFYCQQGKMMDAERVFEAMHKEARCEMNIVVYNTMITGYGKGSNMDGAESVFWNLSMRGIEPNEKSYAAMIEGWGRGGNYEKVRWYYEEMKRLGYKPSSSNLFTMLKLYANEGDLDGVVGILDETVRCGIHYSSIIGTLLSVYEIAGKVHELPRLLKGSFYRYVLVNQSCCSSVVMAYVKNKMVDDAARVLRDKKWKDSRYEDNMYHLLICSCKEEGLLEDAVGIYKQMPKSDEDDKLNKHIICTMIDIYSMMGNFNDAEMLYLKLKNSSSHSFDVIAVSVAVRMYVRAGSLKDACSVLDEMDKRPDIVPDMLLLRDMLWIYQRCNMVDKLAQVYCKISKDRLNWDQELYIRVINCCAQALPIDELSRLFDEMLQRGFMPNTITYNAMLNAFGKAKFFRKLRKLYYMAKKQGLVDVITYNTIIASYGKNRDFTNMARTVHNMQSEGFSVSLEVYNSLLDAYGKDGLINAFRSVLQKMKESNCAPDLYTYNTMINIYGEQGWIKEVAVVLAELNECGLRPDLYSYNSLIKAYGIAGMVQEAVDVIKEMRKNGIEPDQKTYTNLIDALKRNDKFLEAVKWSLWLKQLQL >CAK8565713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311447370:311453365:1 gene:gene-LATHSAT_LOCUS18901 transcript:rna-LATHSAT_LOCUS18901 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDALEESLLKGETSRRIENSDSTKAGGNEILTSYLNAGFFSILTFSWMTPLITLGSKKTLNHQDLPLLPANDTAYGSFSTFRKNLELACGNVRTVTTIKLAKVLFLSTWKGILISGIFAFLYICASYVGPYLIDNLVQYLNDENKVKNEGYILATTFVMAKLVEFLSQRHLMFKFRQVGVRMQSMLVSMIYAKGLTLSCQSKEGHSSGEIINLMTVDAQRVGEFCWYLHDPWMDVLQISLALFILHRNVGVASLAAFVATVIVMLLNLPMASLQEKFQAKLMEFKDKRMKVTSEVLMNMRILKLQAWEMKFLSKIIRLRKLEEMWLKKFLVVSAIVRFLFFSAPTFVAVVTFGACVLLGIPLETGKILSALATFRILQMPIYNIPDTISMIAQTKVSLDRIVTFLRLDDLQTDVVEKLPRGSSDIAVEIVDGNFSWDISSVNTTLKNINLRVCHGMRVAVCGTVGSGKSSLLSCIIGEISKISGNLKVCGTKAYVAQSPWIQSGKIEENILFGREMDRENYEKVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRVQIARALYQDADIYLLDDPFSAVDAHTGSHLFKECLLCLLKAKTVIYITHQVEFLPDADLILVMKDGRITQSGKYNDILTSGTDFMELVGAHRAAFSSVKSLERGPTFKSSSITGEDTSSLSDFELEQEVENIDDRNSNLDETIVPKGQLVQDEEREKGSVGFKVFWKYITIAYGGALVPFLFLSHILTVVLQIASNYWMALATPVSATAEPGIGSFTLMVVYVSLAIGISFSTLVKAFLAAIAGYKTATMLFNQMHLSFFRAPMSFFDSTPSGRILNRASADQSTVDMNISNLVWEVTYNLVQLVGNIAVMSQAAWQVFVVLIPVVAACIWYQRYYSASARELARLTGIYQAPVIQLFSETISGSTTIRCFEQESRFNEMNMQLIDKYSQPKLYSSSAMEWLNFRLGILSSTIFAFCLIFLVSFPSSIADPSIAGLAVTYGISLNAVQFRLIRFLCNLENNIISVERILQYTSIQSEAPLVIKDNQPDHSWPSFGEVHIQDLQVRYAPHLPLVLRGLTCTFTAGAKTGIVGRTGSGKTTLVQALFRLVEPVAGQILIDNVNISLIGVHDLRFRLSIIPQDPTMFEGTIRSNLDPLEEYTDEQIWEALDMCQLGDEVRKKEGKLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDMAMDNIIQQTVKQHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAQLVAEYTRRSKTGFGS >CAK8573022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577751839:577752054:-1 gene:gene-LATHSAT_LOCUS25517 transcript:rna-LATHSAT_LOCUS25517 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEKNFFDNVFNTVMDVQGKTKDNEKARKDLELLCNRKDLELKPQPNGKLLKPKACYTLTPQEAKAICRW >CAK8538298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475790449:475790883:-1 gene:gene-LATHSAT_LOCUS7512 transcript:rna-LATHSAT_LOCUS7512 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAATALIENPIDHDGTEFWSNPERTGWLMKQGEYIKTWRRRWFVLKQGKLFWFKESTITRVSKPRGVIPVASCLTVKGAEDILHKPFSFELSTRADTMYFVADSDKEKEDWINSIGRSIVQHSRSVTDSEIVDYDNMKR >CAK8576469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481225515:481226842:1 gene:gene-LATHSAT_LOCUS28652 transcript:rna-LATHSAT_LOCUS28652 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTPVSSKFGAKYRALAPFKVVRGLICLLVLVSSAFVTLVFFGFITSVLVRFFSIGYSRRTTSFFFGAWLTLWPFLFEKINKTKVVFSGDIVPSRERILLIANHRTEVDWMYLWDLALRKGCIGYIKYVLKSSLMKLPIFGWAFHILEFIPVERKWEADESTMRKMLSTFKDPQDPLWLAIFPEGTDFTEQKCLRSQKYAAEQGLPVLKNVLLPKTKGFCTCLQELRDSLIAVYDVTIGYKHRCPSFLDNVFGVDPSEVHIHICRIPIERIPTSEDEMSTWLMDRFRFKDKLLYNFQFQGKFPDQAKETDLPAVKTILSCIIVILWTGLCMYYIFSSVWFKLYVSVVCAYLVPATYFSIRPQPVLGFFKM >CAK8575985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380094480:380096790:-1 gene:gene-LATHSAT_LOCUS28206 transcript:rna-LATHSAT_LOCUS28206 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDNKAEPTTIKINQDSAAGAGQAAQKSACCG >CAK8570320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36323680:36347944:-1 gene:gene-LATHSAT_LOCUS23079 transcript:rna-LATHSAT_LOCUS23079 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAKTKVFDSEEALATVKKLRETFESGKTRSYEWRVSQLKVLLEITEEHEQEILDAIFSDLSKSEAEAFIQEIAMLKNSCKTAIKGLKHWMTPEKVQTPLTTFPASAEIVSEPLGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPASSALLAKLLGEYMDNSSIRVVEGAVDETSALLQQKWDKIFYTGSGRVGRIVMAAAAKHLTPVVLELGGKSPVVVDSNSNINLQVTTRRIIAGKWGCNNGQACISPDYVITTKDYAPKLVDALKTELESFYGKNPLESKDLSRIVNSNNFARLIKLLDDDKVSGKLVHGGEKDETKLRISPTILLDVPRDSLIMSEEIFGPLLPIVTVDKIEESFDVINSGPKPLAAYIFTNSKNLKEKFVKTISAGGLVINDTTIHLAVHTLPFGGVGDSGVGAYHGKFSFDAFSHKKAVLYRSFFGDASIRYPPYTKTKFRLMKALMTGSIVGIIRAIFGKS >CAK8570321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36323680:36327995:-1 gene:gene-LATHSAT_LOCUS23079 transcript:rna-LATHSAT_LOCUS23079-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLDSHVFAAEEAASTLVNELRVTFSSGVSRSYKWRVSQLKQLYKIVENHEAEIIDALHDDIGKPPLETVAYEIAMLKNSCKTAIKGLKHWMTPEKVQTPLTTFPASAEIVSEPLGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPASSALLAKLLGEYMDNSSIRVVEGAVDETSALLQQKWDKIFYTGSGRVGRIVMAAAAKHLTPVVLELGGKSPVVVDSNSNINLQVTTRRIIAGKWGCNNGQACISPDYVITTKDYAPKLVDALKTELESFYGKNPLESKDLSRIVNSNNFARLIKLLDDDKVSGKLVHGGEKDETKLRISPTILLDVPRDSLIMSEEIFGPLLPIVTVDKIEESFDVINSGPKPLAAYIFTNSKNLKEKFVKTISAGGLVINDTTIHLAVHTLPFGGVGDSGVGAYHGKFSFDAFSHKKAVLYRSFFGDASIRYPPYTKTKFRLMKALMTGSIVGIIRAIFGKS >CAK8542806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549213885:549216720:1 gene:gene-LATHSAT_LOCUS11611 transcript:rna-LATHSAT_LOCUS11611 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIKAALGDAVLTFMWVFSSSMLGIVSKAITQALGLQDVSYNGFPYPSLIITTTVVFLLVFLFTLIGAAMGGASFNPTGTAAFYSVGIGSDTLFSMALRFPAQALGAAGGAMAIAELIHPKYRHMIGGPSLKVDLHTGAVAELVLTFVITFVVLCIFIKGPRNDLLKIWLLAMSTVTLVMVGSTYTGPSMNPANAFGWAYLNNWHNTWDQFYVYWICPFTGAILAAWLFRAIFPPPEVKQKKA >CAK8534256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710421795:710422322:1 gene:gene-LATHSAT_LOCUS3816 transcript:rna-LATHSAT_LOCUS3816 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMEEFHRFIGDNAYIDVPCEGGKGDFMLKEKLRRLKVILITWNKEVFRWINLKVKEVVWDLNDLDAQVSSLGFSCYEDMKKKRLLA >CAK8567046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482291356:482296317:-1 gene:gene-LATHSAT_LOCUS20134 transcript:rna-LATHSAT_LOCUS20134 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVTLPTIVSSITSSSLYFLKSQTRTPFAFASLRSPTPALFNRKFTTLAVATRPSPTAVSESDDQNRIVLPTNESSNNLLRIRHTCAHVMAMAVQKLYPKAKVTIGPWIENGFYYDFDMEPLTDKDLKRIKKEMDRIISKNFPLVREEVSRDEAHRRILALNEPYKMEILEGIKEEPITIYHIGGEWWDLCAGPHVESTGNINRNAVELESIAGAYWRGDERKPMLQRIYGTAWENEEQLKAYVHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIVRHIIEDFWKKVHIERGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMSIEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEETLSQFGFEKYEINLSTKPEKSVGDDDIWEKATSALKDALDDKGWRYRIDDGGGAFYGPKIDVKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSNSEKQRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQARILPVTDAQLEYCKDVSNKLKKHGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGSKEIETQTVTVRSRFGGELGTMPVDDFISRIKLGIENPTSL >CAK8567317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503335550:503336089:1 gene:gene-LATHSAT_LOCUS20381 transcript:rna-LATHSAT_LOCUS20381 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTNVTESKTDSVSLENRPEILIIGSSTVGKRTLLSRLLSVDSEDAFNSASEVNVHGWTINTKYYTTDVVVWMAHLQDGFSVDNVPGFNQMTALVMVFDMNDLSSLTALQGWVSHTDIQNFEILLCIGHKVDLVPDHPAHIEHKRRLLKLEDSSANEFSEYGISEYEGTSLLGSEELS >CAK8573033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578486655:578487801:-1 gene:gene-LATHSAT_LOCUS25528 transcript:rna-LATHSAT_LOCUS25528 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSTGTVVVSTATTTQQPQQEVVETPKNPFIPFFPNFSFNFKFPPFFFPPKRHHHQLHHQGVKKEKAPNVVTFPKTEQSAVVVPEPLQAQPDSQLLSAKTSDPFKLYQIYAVGAFLVSSWIWARWNERKARGRSPNDGDADGTGSQGNE >CAK8560074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6378605:6378808:-1 gene:gene-LATHSAT_LOCUS13787 transcript:rna-LATHSAT_LOCUS13787 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQGRPKQLVDQLSPHRCREWHSDQTSASDCLRLRLMPSLGGEHPSKKQKSEKVQKEKANPRMDLN >CAK8572091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508964986:508965558:-1 gene:gene-LATHSAT_LOCUS24693 transcript:rna-LATHSAT_LOCUS24693 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSIFLIIITSFILFLFWLTKKIKARSAIHKFPPGPWKLPLIGNLHQLALGGSLPHHTLGKLSHKYGPLMHLQLGEISAVIVSSPDLAKEIMKTHDLSFVNRPQLLCPKILAYESTDIAFAPYGDYWKQMRKICTSELLSAKRVQSFCSIREDEVEKFIQSIHHFSVSSQPLDLTKTVKSIAIISINY >CAK8540822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23632484:23635577:-1 gene:gene-LATHSAT_LOCUS9789 transcript:rna-LATHSAT_LOCUS9789 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRQYYGRDRISGLPDSLLLQILSNLKVKQAVQISIVSTRWKDMWKHISILYIWGDYRNLESIESFSNFVSQFFSFRNDKTSVQALTFRGLHRFDPELLKRILQYLFSHNIQQLNMMVACSLEHFPLSTNFSCHTLTSLKLFPCPELGEYGRLPPVFPNSLQFPALNYLFLRCFTFGCTTNDGYADPFSVFESLKTLTIQFCQLLNQKTLLISSVSLVNLTILLPVKNKSYKLKLSTPNLCSFDFSGHSLQNLCGHNFISNTNFSYIKHVRINLSRLQPQLSPSILFNWLVELGLMESLTISSKTLEVLSLIPDSWKVDFPYLHNLKLLKIETHEFFPSPPDGTEDFLLQNAPSAKKVILPRPTLDDYFKS >CAK8574790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9500824:9502263:-1 gene:gene-LATHSAT_LOCUS27098 transcript:rna-LATHSAT_LOCUS27098 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYLSTNVKLDGVNIDPIFAQATTAVSSIIGKPEQFVMVLVKGSVPIMFEHNKEPAAYGELVAMGGINSKVKKDLIHAIGTILENNLSIPRTRFFLKVFDTTLLKHESNHSKL >CAK8569860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13785097:13785543:-1 gene:gene-LATHSAT_LOCUS22667 transcript:rna-LATHSAT_LOCUS22667 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLRRYSNDTVHLDLGSTTTTTTMITTTTSSSSSSLSMDIDESAETKIQRLISEHPVIIFTRSSCCMCHVMKKLLSTIGVNPTVIELDDNEIASLPHEDDHLASVLRNRSPAVFIGGVCVGGLESLVALHVGGHLVPRLVQVGALYV >CAK8560365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15800608:15804064:-1 gene:gene-LATHSAT_LOCUS14044 transcript:rna-LATHSAT_LOCUS14044 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEVKSWINRGQKVKGTVLLMQKNVLDINVLTSATSARGLFIGGVNAAAGVTNALIDTYTAHWGRSVAFSLISATSTDGSGKGKVGEKTYLEGLVTSIPILGAGQSAFDIHFDWNSEMGIPGAFYVENKMQGEFFLVSLTLEDIPNHGTIHFVCNSWVYKHTKYNTKRIFFANKTYLPSNTPAPLVYYRQEELKNLRGDGRGERVESERIYDYDVYNDLGNPDRKASLARPVVGGSSNMPYPRRGRTGRNPSKKDPKSESRGDFFYIPRDESFGHLKSSDFLVYILKSASQNIIPQLRSVVTLQLNNSEFNTFEEVRSLYDGGIKLPTDVLSKISPIPLFKEIFRNDGEQALKFPPPKVIQVNQSAWMTDEEFAREMIAGVNPHIIKRLQEFPPKSKLDRQLYGDNTSTITKEHLEPNMGGVTVEQAIQNKKLYILDHHDTIYPFLRKINATDTKTYATRTIILLQNDGTLKPLAIELSKPHPQADSFGPVSNVYLPVSEGVEASIWFLAKAFVVVNDATHHQLCSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLGGACSVEMSSFVYKDWVFTEQGLPHDLLKRGVAVEDPTSPHGVRLLIEDYPYAVDGLDIWAAIKLWVEEYVNFYYKSDTAVSQDTELQAFWKEVVEVGHGDLKNATWWFKMQTRAELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGSPEYDELSKNFQKAYLKTITPKKDALTDLTILEVLSRHASDEQYLGQRNEGELWTSDSLPLEAFKRFGRKLSEIEQKLVGRNKDESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >CAK8535204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824641035:824641579:-1 gene:gene-LATHSAT_LOCUS4677 transcript:rna-LATHSAT_LOCUS4677 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVQEHVGVISNPSHIDVKLLNCWSFDDLTDVSLSAYIGVAATKIPRTVGRYFVKRFRKFQCPIVVRLTNSLMMYGRNNGKNTKVVSVTKHAMQIIHFLTDQNLIQAIVDVVVNRYASVDPKQIFVLWLCVLMSGKS >CAK8543866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645304780:645305190:-1 gene:gene-LATHSAT_LOCUS12591 transcript:rna-LATHSAT_LOCUS12591 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDVARVLVRTSCNMALNGAFDVNINGDMLCIKMVEDFYGPLRISVPSFLSKQWGVPEDEADFDDSVEESDEDVSKGSSKLSWKVEGLVFPREEEPQSDKLFHKRGHVFVHADNVGKIYSLLNMKDLFDRAAENL >CAK8538641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490542762:490549151:-1 gene:gene-LATHSAT_LOCUS7821 transcript:rna-LATHSAT_LOCUS7821 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLGFKEVPDLEDDTKSSHSRKLVPWLNWNEWLFVRDALFSDSHHSLSSALKRVSSWRSRGSLPVLIDVTASIVEIQHMDPFFRQDQLNDGPGSVSEEVLANLYCMAIVRLVNGAVEKTRKKELVSIAVAAEEIGIPRMLIDIRHEGSHRELPSLKVVRSASVKALDWLKSYYWEPQSKAIPFQGEGNANVKKEIKSLIRELAICLKVSGSPESSASLPKGKRPKKQITKILKSVLRLYSSFSSEIVSVLLNYLLKSLSSSEFKKNVENACVGPTTDNVLADWKPIILKLYNKEPELLLNLLKEVLHMIETQADMKCGEDYPSIGISHSGEEFYRSSYLSSLLAWLVRILCKKPSAAANMPKNVLHELVRKCLLISQPCNKQVMDSALHLAELMEDISLLKKVQLLSGLALSNVFDNADDESSLLISTNISHFEESIREAYKKLELVKQQVVRNKESSEIDCQTEESQVWTLAKSWNPCPIGMLPRTVGSTGCLPVLDVFNNETVLEVNDNGKQNQVSGRKDNWRLIKHGAKRDAPSDLQLLDNSAVKKMRETEEFGEFNDESPMEDDEFSTEEAKGYLMVGGVWKRINEEELLAIQSSVRILI >CAK8541212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89404224:89405973:1 gene:gene-LATHSAT_LOCUS10147 transcript:rna-LATHSAT_LOCUS10147 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVNGSAVVGCTNVNYELVEQLLGVPLERGDRKGQSLKITWLKRIYGDLTITNESPEEQKIYKTRIYLLLLFGCFLFPDTNGNTIHLQYLPLLEDFRELSNYSWGAATLAHLYRNLCRCAMKNVHNFAGCGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSAASDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQPQFPFYPSQNFTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAAIPADDDFNDDLVAQFMNPNNDAGPSTQTQNAEVDRRRSTRNVQAPACGTHQRLRRPGRN >CAK8579575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708660462:708661272:-1 gene:gene-LATHSAT_LOCUS31509 transcript:rna-LATHSAT_LOCUS31509-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYYGFPQMNTMTNSFEEVKMESVVCPKPRRYPSINRPFRRPISYQSEIEDSGVGAELLDIIHPKGYTERPVSAADKLLGSSPPYFIGSPPSRASNPVIQDERFGNGNGNFSSPFTMASVSPSARGCGVPMEFGNTQAAVRIEGFDCLRRDRRSISAVA >CAK8579574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708660462:708661498:-1 gene:gene-LATHSAT_LOCUS31509 transcript:rna-LATHSAT_LOCUS31509 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHQAPNNILSLSTSASFLGVFVKICDFFLSQVKMNCYYGFPQMNTMTNSFEEVKMESVVCPKPRRYPSINRPFRRPISYQSEIEDSGVGAELLDIIHPKGYTERPVSAADKLLGSSPPYFIGSPPSRASNPVIQDERFGNGNGNFSSPFTMASVSPSARGCGVPMEFGNTQAAVRIEGFDCLRRDRRSISAVA >CAK8570201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28462719:28463909:-1 gene:gene-LATHSAT_LOCUS22974 transcript:rna-LATHSAT_LOCUS22974 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNEKVRNYIPNELVFFITLSLPLKSLNRFKCVHKSWALLFDTSYFMDMYRQNFISNNSYCDDTCLLLKQTLLDFENHSLLYLFSGEGFDNKVKIDWPPPFQEDDCDINILGSGINGIICLYVECISSKIVLWNPAIQEFKVIQSNHVPPYVWFEDQLHGFGYDCVRDDYNVIRYVEFSVNKNYYLNPKLNEALSKVVCEPLWEMYSLKSNSWEKIDLDMANLYLSRPGVLEQVYLNEVCHWLGGNETDIDSLYLVSFDLGNKVFFLTPMPSIMDYEINNLESVSTHLLLLNESISLISSYALVNIFHIFVLGEIGVKESWNKLFVVGPLPYIGRPIGVGKNYDILLALEDEELARCDLNTYTIQKLDIKRIFFCCQIVMYKKSLLSIAEEMID >CAK8564128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653296810:653301760:-1 gene:gene-LATHSAT_LOCUS17462 transcript:rna-LATHSAT_LOCUS17462 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAFEGIALDPSKCSKLSMEEKRALVYEISNDGASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLRIVSEKKSGGHDIATDSEPHSFPATGQKPAKRQRKTENPSRLPVLANNVTANNGDVSNNTTYCKNSACKATLNQGDAFCKRCSCCICHQYDDNKDPSLWLICSSEAPFPGVSCGLSCHLECALKHDDSGIGKGGERTKLDGDFYCVSCGKVNDLLGCWRKQLMVAKDARRVDILCYRVSLSQKLLQGTEIYLELYKIVDEAVKKLEPEVGPLTGSPLKISRGIVNRLSSGPEVQKLCGVALESLDSMLSRRILPPLPNPTIQDASLLAPNMVKFEDVTATSLTVLLCLEDSMGEHNAGYTVWHRKADDLNYPLDPTCTTLLPNRKLGIRDLLPATEYSLKFISNDLSKSLMCEVQVSTEHCEDEVPNCSATERSQSPVTNCSSLSNPSSVEDETNHSDQTDNRSDNYPSYHKDSDQLASGNLSNDVINCSNLGGVGLPTDTDSLSDKQATVGMTSTIPSSDILKLENKHSQEEQVTEDMSTDDGSVPTGRECVPLVGCSEGSLPNTPSRLEILKDGLGKKGRSKFSGKDMEKGFGKKGGPRDGSKPKKRSGERKNEGCEANGFPEQDFEYYVKVIRWLECEGHIEKNFRQKFLTWYSLRATPEEIRIVKIYVDTFLEDPASLAEQLADTFSECISNKRSSVPAGFCMKLWH >CAK8577872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595094718:595099319:-1 gene:gene-LATHSAT_LOCUS29940 transcript:rna-LATHSAT_LOCUS29940 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKEASSSKEKRRLSCTAHFDALWFCYSPVHQMQQYYRLGVLDNCSGKWKAMVDCLMLKTKPSSQVEEILEAQEKSKPHIWNFRTRYEASQYWRRRYGHLDRPE >CAK8536256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922731539:922732297:1 gene:gene-LATHSAT_LOCUS5643 transcript:rna-LATHSAT_LOCUS5643 gene_biotype:protein_coding transcript_biotype:protein_coding MINFNYPLFTLSLVVLTILFHSTTTTSAADSPAPSPSSSAPTDIIRILKKAGGFTTLIRLLQTTQVATQINAQLLNSNNGLTLFAPNDNSFSSLKPGFLNSLNDQQKNELIQFHELPSFVSLSNFDTLSNPVRTQAGDDPTRLALNITSSGNQVNLTTGVVNATVGGSVFSDHQLAIYQVDKVLLPRDFFVPKSPPPAPAPEKAKDSKKKSAEGPASADDDTKSSAMSLKDKTGVMFVVAVASVCVATVFSL >CAK8531437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110510093:110510835:-1 gene:gene-LATHSAT_LOCUS1230 transcript:rna-LATHSAT_LOCUS1230 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPESPRWLYRQSKEEEARVILSKIYRPNEVEEEMKAIHDSIEAEKADESLIGHSLGQKLKSAWPNNVVRRGLYAGITVQVVQQFVGINTIMYYSPTIVQFVGIASNSTALSLSLVTSGLNTIGTIVSMVSIDRFGRRKLMLVSLVGIFVSLVVLSVTLNQASHHALAVNKLDSLNFGGNST >CAK8569908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15079555:15083460:1 gene:gene-LATHSAT_LOCUS22709 transcript:rna-LATHSAT_LOCUS22709 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKGSPTDTKLGSPNKAALNPNAAEFIPFSLRSLPSGSTSSVDATARLTTAGSLGKAVLDRSESSVSNNSDDEAHNYWRCQLPDDITPDFKVIMEEEEPQGLNNLSLAGLSIHDENESSMFPSTNGSRYILNEQQELSQQLLNGNTIADKLRFSNSTYREEPSSASFLNSFAKPWDRPVGNNNLHSSSQEALAYDDNARHGFINDVLTENAIVDETDFNPLDFLASLFPGFASESLAEVYFANGCDLHLTIEMLTQLEIQVDSNFIQNPTPKTLSSPNLTGMDFPALASTNGQTTSAKYAGDNVQQSGSPYIPSGKDLLMFKSGSSFPSRGATDFASAVRKLASQDSGIWKYDRNGSGDASTGSSRSLNVLASAYNGGQGRTNLGDRFQNRGSGRPAPVWLETGDAVANMYSEFREEARDHARLRNAYFEQARQAYLIGNKALAKELSAKGQLHNMHMKEAHGKAQDSIYRQRNPVGPEMQVNGRGHERMIDLHGLHVSEAIHVLKHELSVLRSTARAAEQRLQVYICVGTGHHTRGSRTPARLPIAVQRYLLEEEGLDFTEPQPGLIRVVIY >CAK8566994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478489341:478489814:-1 gene:gene-LATHSAT_LOCUS20087 transcript:rna-LATHSAT_LOCUS20087 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTEPSSSRSVFLGVDVGTGSARAGLFDEEGKLLGSSSSPIQIWKDGAFVEQSSTDIWLAVCAAVKAACSKAEVAPTEVKALGFAATCSLVAVDSDSSPVSVSPSGDSRRNVIVWMDHIASLSLSSRSTVLFSFARLHLRLPSRILQPQPENADF >CAK8543791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640125423:640127581:-1 gene:gene-LATHSAT_LOCUS12527 transcript:rna-LATHSAT_LOCUS12527 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIEEVERVVVAKPVASRPNCSTFKSFSELLAGAINATPPIASSSQTTTSAIRPKTVRFKPTLNLPPSQADVFRGELSNSSDMDPKPDTNQSSLIYKPMAKFVSQTTVSLLANMGICNTNQLQPQQSMEANLQNLNHDKFRSNKSSNFHQNITTLPTETHQATEPCKTAQQNMEEDQKTLTPSTVNADKPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSFDGEIAEIVYKGEHSHGKPQLLKRNAGATQGSGMVSDGMVQDTLWSNNNNQNEGRIENHVKALQPNDSTLENSCGLSGDCEEGSKGFEADEDDPKSKRRKSENHSNEAAVSEEGLVEPRIVMQNSADSEILGDGFRWRKYGQKVVKGNPYPRSYYRCTHIKCNVRKHVERAIDDPKSFVTTYEGKHNHEIPLKNTTTVASSEKDSLSKDKPY >CAK8543792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640125423:640127578:-1 gene:gene-LATHSAT_LOCUS12527 transcript:rna-LATHSAT_LOCUS12527-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEVERVVVAKPVASRPNCSTFKSFSELLAGAINATPPIASSSQTTTSAIRPKTVRFKPTLNLPPSQADVFRGELSNSSDMDPKPDTNQSSLIYKPMAKFVSQTTVSLLANMGICNTNQLQPQQSMEANLQNLNHDKFRSNKSSNFHQNITTLPTETHQATEPCKTAQQNMEEDQKTLTPSTVNADKPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSFDGEIAEIVYKGEHSHGKPQLLKRNAGATQGSGMVSDGMVQDTLWSNNNNQNEGRIENHVKALQPNDSTLENSCGLSGDCEEGSKGFEADEDDPKSKRRKSENHSNEAAVSEEGLVEPRIVMQNSADSEILGDGFRWRKYGQKVVKGNPYPRSYYRCTHIKCNVRKHVERAIDDPKSFVTTYEGKHNHEIPLKNTTTVASSEKDSLSKDKPY >CAK8530348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15901177:15908398:-1 gene:gene-LATHSAT_LOCUS217 transcript:rna-LATHSAT_LOCUS217 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSVSSTRNKFIEVGCDTVGELSVHDYKGNNYTTACVALCNRLDDIVANEACSGTGCCEISIPQGHVLTEVIYTSGSIFNNHSAVHNFNPCGYAFLVENGAYNFKSTDLLKLEKEFPVLLDWAVGNQTCQQAQKDRSNYACKDKKSTCYDSTKRSGYLCRCFQGYWGNPYLIHDCQDINECMDTNDCVEGATCINTPGSYHCLCPARYEGNGKMNGTRCSQKSNTKSRKEIILINALSASISLVALLVGSFYAYLT >CAK8562484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500269585:500272116:-1 gene:gene-LATHSAT_LOCUS15976 transcript:rna-LATHSAT_LOCUS15976 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHSMLFLTFLVQFSSLQFPSLGLYNLQDTYFINCGSDTDVTESNNDYIGESNPSYPKTIFTKSSIETNQSALLSPLYQTARIFNSESSYEFTTVPNNTYTVRLHFLSISSPTDLSTAKFNVSVPGFSLLQNFDAKNTTNSPLIKEYFVKIIRKRFKITFTPHTSSFAFVNAIELFMLPIYFIPDSIARFNYISSYGQALSSYTGGLLSRALETKHRLNVGGSVVDRENDNLSRNWLPDDSYITNPQNAKNSSFSGDIKRTANDESDGPNSNKYIAPDVVYQTARESENGSIGLNVSWSVPLQENTDHFVRLHFCDLLNPQTGLTAFFLFIYDTYVININDDSRLSSELHDPYYYDFVVRSDGTGLLKITVRPNVTDYVPNAFLNGLELMKVIESSGLIPLDDLDSNSKFSLPVVVGSAVGGLVLVSFVVVVFLWIIKIRKQRPVENSSWLPVRAAAGWSSHSRLTDGTTVQGSPLPNINLGLKISLLDLQLATENFNADKIIGKGGFGIVYKGVLRNGKSVAVKRSEPGSAQGLPEFQAEIMVLSKIRHRHLVSLIGYCDERFEMILVYEYMEKGTLRDSLYNTNLSSFLTWKQRLEICIGAARGLHYLHKGATGGIIHRDVKSTNILLDENLVAKVADFGLSRTGPLDQHSYVSTGVKGTFGYLDPEYFRSQQLTEKSDVYSFGVVLLEVLCARPAIEPTLPREQVNLAEWGVFCKDKGILEDIIDPSIKGQIDQNSLRKFSETVEKCLQDDGSDRPSMGDVLWDLEYALQLQRGAIHREPHEDSSSSASVSIQLPNVRRFPSLSTLSEIDDMSIGRVTDESVNAEDLVFSQLKTDDAR >CAK8569948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16990005:16992895:-1 gene:gene-LATHSAT_LOCUS22744 transcript:rna-LATHSAT_LOCUS22744 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDALQYDANSVRYISSELATDIIIAVAEVKFDLHKFPLLSKSNRLQKLVAKANEDNADEIYLDDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEFLEMTEDMDRGNLIFKIDVFLTSSIFRSWKDSIIVLQTAKSLFPWSEDLKIVGRCIDSIASKTSVDPVNITWSYTYNRKLAQVDKIVEDKVTAKEKFESVPKDWWVEDICELDIDLYKRVMITVKSKGRMDGVVIGEALKTYAVRWLPDSVDALVSDAHARRNKSLVETIVCLLPRDNGVGCSCSFLLKLLKVAILVEADESSREELMNSISLKLHEASVKDILIPARSPQITTYDIDLVQDLLNRYMTNEKKNRGVDIIDKNDKVFGESILGNRSILNVGKLVDGYLGEIAHDLNLSLSSFVDLSQSIPEFARPNHDGLYRAIDIYLKEHQGLTKSERKNICGLMNVKKLTADASAHAAQNERLPVRVVVQVLYFEQVRAAASTRAFASPRSLLNGEAEYEKTLGGSCHSLIRQTSRMKIKDDEFRRSVRLSKKSSKNSRSGMQLLPSRSRRIFDKIWNVGKGQGENRSSETSGGSNSPTSVVVGDTKSSGSSLRHQRHSIS >CAK8543234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590927348:590927506:-1 gene:gene-LATHSAT_LOCUS12005 transcript:rna-LATHSAT_LOCUS12005 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPECFFCVMKEADPSKRRTCVLKFFRELPCQDDDGQVLPISGL >CAK8561828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:390038996:390039832:1 gene:gene-LATHSAT_LOCUS15382 transcript:rna-LATHSAT_LOCUS15382 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADTNGNIDLTIFRQYIFDTPNKLNFNTDIQKNDFILGFASEDYIEGKGTGNFKPTWNGNDLSLENLKTFKDNNPQVRVMISIGGVGHEFPFNPFHKDTWVVYAVNSIKQIIVRYNQIHKSQNLIDGIDIHYDVIKTEENDFTNFVGEVIKQLKNDAPLAIKVVSIAPTKLVESYYEKLYSENKEIIDLVDYQFYNQEFSSEDEVVELYKKLVVTYTPSKVLAGYKNPPDPLVMGAINYLIKNKLIPGVFYWNPKHSTTASNSFFLEKMLLADQKS >CAK8568717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635143114:635144502:1 gene:gene-LATHSAT_LOCUS21649 transcript:rna-LATHSAT_LOCUS21649 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMNKMLVKMQRDPNFNYHAKCEKLKIINLTFADDVLLFCRGDDISLQMILRTFRDFSNSTGLILNPNKCRIYFGGLDNEKRRMMKELSGFQEGTLPFKYLGIPLSGRKLNINYFMTMVDRIVARIHHWSSQLLSYAGRIQLVKSIAAAMVQYWLHCIPLPKSVIRKIDSICRSFIWTGKDTVSRKCPVAWKRTCCPTAQGGLNLINLQFWNNVLLLKCLWNLCNKNDTLWVKWIHIHYLKGDHVMNYATKNHNSWIMRGILKQRDIMDLIRNEWDQLLTTHKFKASVFYKVMIDDGTRIVWRNLIRSNKSRPQAVSCLWQACHGRLATKDRLKRFGMIKDDTCRLCHTEEETMNHLFFCCQGTRHIWKKVLQWFNIYHEPQPWEAELIWISNMTKGKGWKVDVLKMLVAETIHSIWGYRNNIIFGNTVDNTTMDTNIIDNVIYRGWQNLKIRKHLVSFMM >CAK8561559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:293610724:293611881:-1 gene:gene-LATHSAT_LOCUS15139 transcript:rna-LATHSAT_LOCUS15139 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHHGESFVVPSTISPSTTQNMVGDTINFEDPIHNMINDAFGVDMSHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMTMILELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTTEDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNMRLALASDGFNPFGMGALSGWNTYTGLAC >CAK8537229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:168772108:168774036:-1 gene:gene-LATHSAT_LOCUS6534 transcript:rna-LATHSAT_LOCUS6534 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLESPVQTQMAVSLMRSPLGREYHGSPSQSQRQPAGRRRVFIQTDKGCVFGMELDRGDNVHTVKRRLQLALNVSVEESSLTFGDIVLKNDLSAIRNDSPLLLTRNLIHRSSSTPCLSPNGRDIQQRDKSGPIEILGQSKRLDIMKHMVMDIVKAINMGIDPVPATGGLGGAYYFRDNNGDSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDHDHFANVPPTALVKVTHSIFNVNDEVNGNCFRRKRLVSKIASCQQFIHHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHAGNLLVRKFGDGIGTFGQVELIPIDHGLCLPETLDDPYFEWIHWPQASIPFSEEELAYIRDLDPVRDCEMLRMELPMIREACLRVLVLCTIFLKEAAESGLCLAEIGEMMTREFHRGEEEPSDLEVVCLEARKMLAEREEISPRTEVVDDEFLFDIDCDEGGPDFTPKMSLSMDDALLRATFQHTLGNRQTRSPLSKLDESIEEEEEGSDEDSPQEYGTFSAQEKVPSIPGITVSPKTTMLAEKKQNPSGGKPESGYSVNTSSSHRSANEQLPASISFVKVDNMTEDEWTLFLEKFQELLYPAFAKRKSITLGQRQRQRLGTSCQF >CAK8560222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10844815:10848956:-1 gene:gene-LATHSAT_LOCUS13915 transcript:rna-LATHSAT_LOCUS13915 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRHPWIYDVFINFRGQDTRSSFVSYLHAALSNAGIKTFLDDKDLPKGKELGPELKRAIEGSQICIVVLSVNYTKSSWCLNELLHIMDCRKNYGQLVLPVFFEIDPSFVRRQTGDFGKALKVSARRIEFFSINKKKGDLLKKWRTALTEVANLSGWNSSSFGTESNLVKKIVGDILRKIDVSLLSITEFPIGLDSRLQNMTDFIDHQSSKACMIGIWGMGGSGKTTTAKALYNQIHRKFEGRTSFIESIRETCENDTRGIIHLQQQLLLDLLKIKQEIHSIALGITKIETRLRGQKVFVVLDDVTKSEQLNALCGNPKLFGSGSVLIVTTRDVRLLNSLNADHVFTMTEMDNNQSLELFSWHAFRQPSPRKDFSELSKNVVAYCGGLPLALEVLGSYLSKRTKQEWRSALSKLEKIPNNQVLQKLRISYDGLEDYKEKDIFLDICCFFIGKNRADVIEILNGCGLHADIGIAVLIECSLVKVEKNNKLQMHDLLRDMGRAIVGESSEKEPAKHSRLWFREDVLDVLSNNTGTKTVEGLILRLQQTGRIHFSTNAFQEMKKLRLLKLDGVDLKGDYGLISKQLRWVDWRQSSFKFIPNDFDQGNLVVFELKYSNVKQVWQETKLLEKLKVLNLSHSKYLKSTPDFSKLPNLEKLIMKNCQSLSEVHKSIGDLKKIHLINFKNCTSLANFPREIYQLISVKTLILSGCSKIDKLEEDILQMESLTTLMAANTGVKQVPFSIVRSKSIGYISLCGYEGLSRDVFPCLIQSWILPTRNSLPRVFPFGGNSLCLVSLDVSSNNTDYQSAMLASLSKLRCVWVQCRSKNQLTQELQIFIDDLYEVSLTDSKTTSDGPTTSNHSLRSLVIGMGSSEIVMDALENSLSQGLTANSSDSFLPGNNYPFWLAYTCEGSSIYKRDTVMSFNDEDWQGVISNLRVGDNVRIFVAIEHGLTVKETAVYLIYGQSTAMEVEPKIEMKVRPSSDVKMESSSGVDAQPSPDAKAEPSPRAEAQPSPDMEVETLPKPKEKILIRLAKRIGKCLCLNQT >CAK8560221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10844815:10848956:-1 gene:gene-LATHSAT_LOCUS13915 transcript:rna-LATHSAT_LOCUS13915-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRHPWIYDVFINFRGQDTRSSFVSYLHAALSNAGIKTFLDDKDLPKGKELGPELKRAIEGSQICIVVLSVNYTKSSWCLNELLHIMDCRKNYGQLVLPVFFEIDPSFVRRQTGDFGKALKVSARRIEFFSINKKKGDLLKKWRTALTEVANLSGWNSSSFGTESNLVKKIVGDILRKIDVSLLSITEFPIGLDSRLQNMTDFIDHQSSKACMIGIWGMGGSGKTTTAKALYNQIHRKFEGRTSFIESIRETCENDTRGIIHLQQQLLLDLLKIKQEIHSIALGITKIETRLRGQKVFVVLDDVTKSEQLNALCGNPKLFGSGSVLIVTTRDVRLLNSLNADHVFTMTEMDNNQSLELFSWHAFRQPSPRKDFSELSKNVVAYCGGLPLALEVLGSYLSKRTKQEWRSALSKLEKIPNNQVLQKLRISYDGLEDYKEKDIFLDICCFFIGKNRADVIEILNGCGLHADIGIAVLIECSLVKVEKNNKLQMHDLLRDMGRAIVGESSEKEPAKHSRLWFREDVLDVLSNNTGTKTVEGLILRLQQTGRIHFSTNAFQEMKKLRLLKLDGVDLKGDYGLISKQLRWVDWRQSSFKFIPNDFDQGNLVVFELKYSNVKQVWQETKLLEKLKVLNLSHSKYLKSTPDFSKLPNLEKLIMKNCQSLSEVHKSIGDLKKIHLINFKNCTSLANFPREIYQLISVKTLILSGCSKIDKLEEDILQMESLTTLMAANTGVKQVPFSIVRSKSIGYISLCGYEGLSRDVFPCLIQSWILPTRNSLPRVFPFGGNSLCLVSLDVSSNNTDYQSAMLASLSKLRCVWVQCRSKNQLTQELQIFIDDLYEVSLTDSKTTSDGPTTSNHSLRSLVIGMGSSEIVMDALENSLSQGLTANSSDSFLPGNNYPFWLAYTCEGSSVIFQVPEDNDCGMKGIALCVLYSSTLENLTSECLTNILIINYTKFTIQIYKRDTVMSFNDEDWQGVISNLRVGDNVRIFVAIEHGLTVKETAVYLIYGQSTAMEVEPKIEMKVRPSSDVKMESSSGVDAQPSPDAKAEPSPRAEAQPSPDMEVETLPKPKEKILIRLAKRIGKCLCLNQT >CAK8532439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:262684889:262686331:-1 gene:gene-LATHSAT_LOCUS2144 transcript:rna-LATHSAT_LOCUS2144 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLLSRLKTAFSNSFDSVRPSNKTTPSSTTNPKRIAVLAFEVAGVMSKLLHLWQSLSDANIVRLQNHVVSLEGVLKLVSNDKSFLIKLAAAEFADSLRLVADSVSRLSMNCSNSTLRSFHRVFTEFADSGFDSLGWTLTSPKEIESKHRRMERYVSLTLTLHKEIDELSLLQSALRKSLLNNSNSSNKNSRSKISDLEQKIFWQKQEVKNLKEKSLWNKGFDNVVLLLARFVFTLLARIKLVFGIGIGHNLPYLSRSLSASAAVYPSDHQNNQKVESFVSGPLKSFNLDERKVDLGNGFFESNCQVLKPPQGSLGDSALSLHYANLIIVMEKMIKSPQLVGVDARDDLYTMLPNSIRSSLRLRLKGSIGFCACDPQLASEWRNALGRILCWLLPLAQNMIRWQSERSLEEKSLVPKKSNVLLLQTLFFADKVKTEAAITELLVGLNYIWKFEREMTAKALFECNNDFNGFLSLYKPK >CAK8578243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614240210:614241902:-1 gene:gene-LATHSAT_LOCUS30270 transcript:rna-LATHSAT_LOCUS30270 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSLLSYTLFYLVLFYTFNLLFKSRKFKNLPPGPLSLPIIGNLHHLKRPLHHTFKGLSKKYGDVISLWFGSRLVVVVSSPSLVQECFTKNDVVLANRPRFLSGKYIFYNYTTLGSSSYGEHWRNLRRITAIDVLSNHRISSSSEIRRDETQRLVKKLAQDSSEGFVEVELRSRFFDMTFNNIMRMISGKRYYGDDCDMTDIEEAKEFRDMVSDLLQLSGANNKNDFLPVLRLIDFENLEKRLKKISSKTDAFLRGLIQEHRNKNQHTNTMIDHLLSLQESQSEYYTDQIIKGLALGMLLAGTDSSAVTLEWALSCVLTYPGVLEKARQELETHVGQDRLLDESDLPKLPYLKNIIYETLRLYTPAPLSLPHSSSENCIIGGYKVPADTIVLINAWAIHRDPETWSEATTFKPERFEKEGELEKLIAFGKGRRACPGEVLAMRAISLTLGLLIQCFEWKKVGDKEIDMTEESGFTLSRSVPLKAVCKPRPVIKNLVK >CAK8570810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118782300:118792201:1 gene:gene-LATHSAT_LOCUS23530 transcript:rna-LATHSAT_LOCUS23530 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRGANKAKANANLRLGDLVLARVKGYPPWPAVISRPEDWEKTPDPKKFFVQFYGTEEIAFVVPADIQAFTNEVKTKLTARCHGKTKGFTRAVKEICAAYDELEKQRAGGLTDDTDDAHVGSEAPSFDGVVGGIKDATDAVVLNVEKAETIMEDAGSNLEHYERRCGESDCPEDEKPSTSGRPTDSSSPVLSPVLESKSSIYTELNKHTIKSDSEDQSCLKNKVPDFMDVCDVSDFKQANNVQSVSTDRNKTRKLVTDSRRRSEAAADKCIAFSKAEKSAGSANLSRSKETVKDGKKRKHALFVDSADDPTSDPVINSGNKNKNLLRAKTSLKVKNELQEKFFDSEESDRKNSIKKNKNQIQGKRNLGTNQTLHAAKKLKRMDVKDNKTLKPLPEDTKSAFPVVKDKALKKTELNRSLSCLKAEKGLSSRDQTAILDSSDSVCEVLNGTKHHSQVRKAMPHSASLSSGKHAEMCSLRLKGEADNLAVKQVRRRRRAVCLFDDDDDDDNDESKTPVLDGASKNIRSSSHVSEVVKSNDPLLENSDLAQPLTKEKPNALEDSHLEGHFTILRNDSLNSGHPQKENADEVVAVNSPRSPEQLDPKRFPSNVEKLSSISPVNSPQSLRTTKSNVERHNSSKALPKISSTSLVNSPQSLTTKSNAERHSSSKATPKIKSISPVSSPQYLLTTKSNAERHNSSKAMPKISSISPGNSPQSLPISKSNSERHKSPKSLPKIFSNATHKKADNGSSKSLISMSTLQSQVITPKKKSVSYAERSKTTPKTLSQSVKVHTTTESLKELDANHVDGLELDTEEKSSLYVDYGSPENAKTMKHLIAVAQAKRRLVAQFQCHPFNLHNPQVGTPSPSTVQPFLSVSGNNGQADMQGVYEQPTLASPSINGYHSTSQNQPDAEENEERRVGSGQRAAEGSLSGGTEAAIARDAFEGMLETLSRTKESIGRTTRLAIDCAKYGIANEVIELLIRKLESETSFHRKVDLFFLVDSITQCSHNQKGIAGASYMPAVQAGLARLLGAAVPPGTSARENRRQCLKVLRLWLERKIFPESVLRRYMNDIGGSRDDMAVSSSFRRLSRTERSVDDPVREMEGMLVDEYGSNATFQLAGFLSSHLFEDEDNDFSNNASPTDPTHIPVESETSTVTPSDKRHCILEDVDGELEMEDVSGHPKDEMPVLLNSTSEMDFQLQSSDRTLDPASNISGELHVFPEGSPPLPLGSPPTPPPLPSSPPPPLSQSPPPLLPPTLLQPSPPPLPPTGPTPPLIPQSSGTAQSSIFTQALVPSHQSSPLSGYQPLHNCNGTTSGIQIVQMEDNSFPGGQNSSVVKKELLPQPSACFPPMAGCNSQEPSDLDPTRQLEYGQSDMHLNSQIPQPNQQFHLSNPHFAPRHMHPTPPQNPSNQYSFAKPSIQQHLPHSFCPPYALTSVPDGQRQFVANEQWRMPTSEFKLNNQHGLWRGINPSCPGLTFGQEDYFQPPLERPPMSNVGFQHANPNNIPVPPSKSGYGVPQMFPCRPDIPALNCWRPT >CAK8567231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496377974:496380062:1 gene:gene-LATHSAT_LOCUS20301 transcript:rna-LATHSAT_LOCUS20301 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCIIGKLKSEPLWFLLLFSLGLFIILRFALTFLNWVYVNFLRQPKNLKKYGSWALVTGPTDGIGKSFAFELARKGLNLILVGRNPDKLKDVSDSIIAKFGKTEVKTVVVDFTGDLDEGVNRIRETIEGLDVGVLVNNVGISYPYARFFHELDEELLKNLIKVNVVGTTKVTHAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYAKAGVKWIGYEPRCTPYWPHTLLWAVACSLPEYVVDTWRLGFCMGIRKRGQLKDSRKQE >CAK8569769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10431034:10432106:-1 gene:gene-LATHSAT_LOCUS22582 transcript:rna-LATHSAT_LOCUS22582 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPSNQPKQERSRTRWTASLDKIFADLVVKQIQLGNRQNDVFDKKTWNHIRDEFNKQTDLNFNNNQLRKHLDVLRTRFNNLKPTSDQKNGFVIDDPLYIGFDQWEDIGTQPRNETVKGKECPIYEQLCSIFTDSPADGKYAQSSHYEELDKTVAIDAAGLTSCPENGVSHYKNPSSSKSIPGNISNVEKVTKNSLDRKRKRPNETQTTSLDQDACNAMADALLQMVSVSRLRAVVSSAIDDKFSITNCIRALDEIQGIDQHLYFSALDLFEDPSLRETFISLKSVKIQLAWLQGKCSKSSFR >CAK8569450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1309475:1311335:1 gene:gene-LATHSAT_LOCUS22299 transcript:rna-LATHSAT_LOCUS22299 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLNLNDSSSCSISFSDFPEDVQLCILSFLGPTEIASFACTSKQFGSLCSNDGKLWFTLCDRRWGSKTQITKWGEGKIPYKVIYNTLHEWENLIGFWRRSGPGSAVISSPSLVFFEWGTSFISGFRVSPVETQSEICAYQVKKVPFLKMGISEEGQIVNLLDPDGRADLDLDLNLNFGNGNELIPVNVCFMGKTHFVLEENVFGRNSSPIHVSGEDCGFGGEDGIGIGVGSGSPPDLLMLEIYQHLANRTSPGSDRSRRQRRKEKGRMARRRWEPEHFVKIVNCSPTVLRPLQGLWKGICADMSLAFYLVAYDDIGGIACRRLGDPPERFSTYAPVFWTSNATFMESPFPLEEESLYGSRIHLQPVQPHNENHEQFPMSDIEGAGHIQQFHLSENEVVNRILLINASYDLVIPDLVAGTINPRSAEGRIWQYRDGTFGFGFVRDNFVIDMKNIVCDGCIIDVVNPSAD >CAK8536458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943025648:943028938:-1 gene:gene-LATHSAT_LOCUS5825 transcript:rna-LATHSAT_LOCUS5825 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDHQETEDLNPNPSNAGTSNDASEGFETASDTDLGSDVDANDGSTIKQEEREHLQQKNQHTEQEQEQSDPQRIISSDDALINDEELKQKALIQANEAKVEGNKFFVDAKYEEALTQYELALQVAPDMPSSVEILSICHANRGVCFLKMGKYDNTVKECTKALELNPTYVKALVRRGEAHEKLEHFEEAIADMKKILEIDPSNDLAGKAIRRLEPLAAIKREKMKEEMIAKLKDMGNSLLGRFGMSVDNFKAVQDPNTGSYSVSFNQ >CAK8561210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117355321:117355569:-1 gene:gene-LATHSAT_LOCUS14819 transcript:rna-LATHSAT_LOCUS14819 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVRRGGQIWVCIFPDKLVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFIFSK >CAK8567251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498074329:498077720:1 gene:gene-LATHSAT_LOCUS20320 transcript:rna-LATHSAT_LOCUS20320 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDYATEFSMDRRPRKKPKLAWELPQTHSKAHSGMYCGQEFANVTTSYGTLRVLPDQAGLSIKGLAEKGSPQWREDDKDGHYMFALGENLTSRYKILRKIGEGTFGQVLECWDREMREVVAIKVVRSIKKYREAAMLEVDVLQLLGKYDRNGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNSYHPFPVDLVRELGRQLLESIAFVHDLRLIHTDLKPENILFISPEYVKVPDYKVMFRLPKEVTSFKRLPKSSAIKVIDFGSTAYEHQDHNYIVSTRHYRAPEVILGLGWGFPCDIWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPMPQHMLKRADRPAEKYVRRGRLNWPEGAVSRESIKAVLKLPRLPNLVMQHVDHSAGDLLDLLQGLLRFDPASRMKAHEALRHPFFTGEHYQRY >CAK8577674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583086893:583088266:1 gene:gene-LATHSAT_LOCUS29760 transcript:rna-LATHSAT_LOCUS29760 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8577675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583086893:583088266:1 gene:gene-LATHSAT_LOCUS29760 transcript:rna-LATHSAT_LOCUS29760-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8530486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23826680:23829693:-1 gene:gene-LATHSAT_LOCUS345 transcript:rna-LATHSAT_LOCUS345-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKSWRWLMRKKSSLPDGGKSSFKMKQLPFMAVVCTVMLFIVYRTTKYQYHQEEIDKKWTLWGKTVVKTHEYPAISEKLKGLPRGIIQDNSDLELRPLWSRSNSRSKSRDYSNRNLLAIPVGIKQKHNVDAMVQKFLPENFTIILFHYDGNVNGWWSLDWSDKAIHIVAENQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVENFSPSRYVKIVKEEGLEISQPALDPNSTEIHHRITIRARNKKFHRRVYERRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCTWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSQFVVHKGIQTLGGSGHGATKVSRLKKTTTKQLKQGGATVDVRTEIRRQSTWELEVFKERWDQATAKDKTWDDPFKRHIRRRRDSH >CAK8530485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23826680:23829693:-1 gene:gene-LATHSAT_LOCUS345 transcript:rna-LATHSAT_LOCUS345 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKSWRWLMRKKSSLPDGGKSSFKMKQLPFMAVVCTVMLFIVYRTTKYQYHQEEIDKKWTLWGKTVEYPAISEKLKGLPRGIIQDNSDLELRPLWSRSNSRSKSRDYSNRNLLAIPVGIKQKHNVDAMVQKFLPENFTIILFHYDGNVNGWWSLDWSDKAIHIVAENQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVENFSPSRYVKIVKEEGLEISQPALDPNSTEIHHRITIRARNKKFHRRVYERRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCTWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSQFVVHKGIQTLGGSGHGATKVSRLKKTTTKQLKQGGATVDVRTEIRRQSTWELEVFKERWDQATAKDKTWDDPFKRHIRRRRDSH >CAK8579536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706398377:706400058:1 gene:gene-LATHSAT_LOCUS31476 transcript:rna-LATHSAT_LOCUS31476 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSKAFSRLTSRLHSLLTKPPPLSSHLKPKLQSATLPRVSLTSRLPVELGSLESMLPLYSAVASARLVSSLSIESLGWGLVPQGISMPL >CAK8577409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561917859:561918331:-1 gene:gene-LATHSAT_LOCUS29522 transcript:rna-LATHSAT_LOCUS29522 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTFNRILVEKIVPPSKTSAGILLPEKTSQLNSGKVVAVGPGSRDRSGNLIPVSVKEGDHVLLPEYGGSQIKLDDKEFHLFRDEDILGILHD >CAK8535415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846462519:846463087:1 gene:gene-LATHSAT_LOCUS4880 transcript:rna-LATHSAT_LOCUS4880 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKVKKGAGGRKGGGPRKKSVTRSVRAGLQFPVSRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESASSSAKEPKSPSKAKKTPTKKTPTKKA >CAK8567569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525971915:525974025:-1 gene:gene-LATHSAT_LOCUS20610 transcript:rna-LATHSAT_LOCUS20610 gene_biotype:protein_coding transcript_biotype:protein_coding MYRENGLLFPWPYQHNFSQELHQLEEYCKTQKLNASMSDIVQFSAMSEYDFAAEGDLFKAPEPIIEESEIDLDPMTAAISMISCDEDIKSTDISILQNEQLLSDVFYECKKDLLEMTAMESPLSEIMEIKIPLLNIDENTVQENRPLPDMPLLPKSISSGSLSSMDWMHGSAMKPALFGVSGIDFDAVYGMRRSLSEGDIKTLGNGNNVNAVQSSRERPFSCNSEERLQKLSRYRNKKTKRNFGRKIKYACRKALADTQPRIRGRFAKNEECEAKRE >CAK8577911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596977798:596979823:-1 gene:gene-LATHSAT_LOCUS29974 transcript:rna-LATHSAT_LOCUS29974 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLPSHLFPFLLLLTLVFIYLFSSFISLHTPSSSTSQSLAFSNCNFFKGNWVFDPNHNPLYDDTCPFHRNAWNCIRNQRENLSLINSWKWVPHGCDLPRIDPFQFLGVMRNKNVGFVGDSLNENFLVSFLCILRVADEGARKWKKKGAWRGAYFPKFNVTVAYHRAVLLSKYQWQPKRSESGIQDGSEGIHRVDVDVPADEWAKIAGFYDVLLFNTGHWWNFDKFPKEKPLVFYKAGKPIVPPPEMLDGLKIVLDNMMAYIEKEFPRNTLKFWRLVSPRHFYGGDWNQNGSCLFNKPLEENELDLWFEPRNNGVNKEARRMNFVIQKVLQGTNIQLVDFTHLSEFRADAHPAIWLGKKDAVAIWGQDCLHWCLPGLPDTWVDILSQLILDGIGRAGKL >CAK8568314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:593422315:593424761:1 gene:gene-LATHSAT_LOCUS21281 transcript:rna-LATHSAT_LOCUS21281 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNRSPIAVILIVFTIFTVTLASDMSIISYDQTHPDKSSWRTEEEVMSIYDEWRVRHGKLNNNNLAENKKRFEIFKDNLRFIDQHNAENRTYKVGLNRFADLSNEEYRSMYLGIRTDPNRVLKAVTKSNRYAASVGDNLPESVDWRNKGAVAQVKDQGSCGSCWAFSAIAAVEGINKIITDELVSLSEQELIDCDRTVNAGCDGGLMDYAFEFIINNGGIDTDKDYPYRSVDGKCDQNKKNARVVTIDDYEQVPVNDELALKKAVANQPVSVAIEAGGREFQLYVSGIFTGRCGTSLDHGVAAVGYGTENGVDYWIVRNSWGKSWGEAGYIKMERNLATSVSGKCGIAMQSSYPIKKGQNPPNPGPSPPSPVSPPSVCSRSYSCASGTTCCCSFGFGKLCFSWGCCPLEAAVCCKDKSSCCPHDYPVCNVRQGTCLRSKDNPFGVKAMKRTRAKPHWTFEGQNMIHVA >CAK8564459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675560215:675561695:-1 gene:gene-LATHSAT_LOCUS17763 transcript:rna-LATHSAT_LOCUS17763 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKLANPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQI >CAK8565232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:77779308:77781404:1 gene:gene-LATHSAT_LOCUS18463 transcript:rna-LATHSAT_LOCUS18463 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSFSHFVEKEMGKFPHFVIYMLLEWILILILFLDGFLAFIANEFARFFELKIPCWLCTRFDHVMVHRNPDFYYNESVCEAHKKDMSSLAFCHNHKKLSDIRKMCEGCLLSFATEKESDCDTYKSLVGILHKDLECFVEDGQPIQLSLKDDDGSMMQVVDRNSPQKCSCCGQPLKVKSSSTYNVAKGRNSESFARAPTPSPRAFPYSTSESHSLQLPHIGYTPLRFMSQNDSELLEEDSKSATAPLLPELGDEDSSKLTPTFTRGNKFFGIPLSDSTNNSPRWSYKFNKKTTLEKTEFATSEPNEVQNDFEDAILNNLKRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDEEALEATNEMLLKREEELRTLEAELEFYRNKYGCLIEESSGGNTPSFRINEEENSGISSNQPVKGFKADKTYLLGRMKKIENRPSFSEKGTYSDPTINNIDSDTEKGGEV >CAK8560111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7998503:8000647:1 gene:gene-LATHSAT_LOCUS13822 transcript:rna-LATHSAT_LOCUS13822-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYARNIHQRITNAKSNLTHATNFTLNLTNSAKSTTNFVTHCNVNISQNGRNGNVKAAETIFHRMARKNTVTWTAMLTVYAQNGQIANARKLFDEMPQRTTASYNAMVSAYIHNGCNVAKAYEIFTTIRDRNAVSYAAMITGFVKARKFNLAEKLYLEAPCEFRDPVCSNALISGYLKMGETKEALRVFENVRESERDVVSWSAVVGGLCRDGKVSYARILFDRMPERNVVSWSAMIDVYMEKGLFENGFCLFLDMRREGVVEVNSTTMTIMIKGCGNCGGVKEGMQIHGLVLRLGFEFDSVLSNSIITMYSLFGCTDMSKKVFCAMANKELVAWNSLISGYVYNNEVDLAYGVFERMPEKDLISWTAMIRGLAKDGRIGKAIELFNMLKEKDDFVWTVIISGFVSNEEYEEALRWYVQMNQEGCRPNPVTISSVFASSAALVALNEGLQIHSHVLKMNLERDLSIQNSLISFYAKCGDITDAYKIFIDVDEPNVVSYNSVINGFAQNGFGKEALNMYKRMQSEGLEPNRVTFLAVLSACTNAGLIEEGRNLFNTMKSHYQIEPEADHYACMVDLLGRAGLLDEAIDLIRSMPLKPHSGVWGALLAASKAHLRLDLAKLAAQHITALEPANATPYVVLSNMYSASGQKIERDQSHMNIEEIKAIILTIDKGMQWLFYCGF >CAK8560110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7998503:8000647:1 gene:gene-LATHSAT_LOCUS13822 transcript:rna-LATHSAT_LOCUS13822 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYARNIHQRITNAKSNLTHATNFTLNLTNSAKSTTNFVTHCNVNISQNGRNGNVKAAETIFHRMARKNTVTWTAMLTVYAQNGQIANARKLFDEMPQRTTASYNAMVSAYIHNGCNVAKAYEIFTTIRDRNAVSYAAMITGFVKARKFNLAEKLYLEAPCEFRDPVCSNALISGYLKMGETKEALRVFENVRESERDVVSWSAVVGGLCRDGKVSYARILFDRMPERNVVSWSAMIDVYMEKGLFENGFCLFLDMRREGVVEVNSTTMTIMIKGCGNCGGVKEGMQIHGLVLRLGFEFDSVLSNSIITMYSLFGCTDMSKKVFCAMANKELVAWNSLISGYVYNNEVDLAYGVFERMPEKDLISWTAMIRGLAKDGRIGKAIELFNMLKEKDDFVWTVIISGFVSNEEYEEALRWYVQMNQEGCRPNPVTISSVFASSAALVALNEGLQIHSHVLKMNLERDLSIQNSLISFYAKCGDITDAYKIFIDVDEPNVVSYNSVINGFAQNGFGKEALNMYKRMQSEGLEPNRVTFLAVLSACTNAGLIEEGRNLFNTMKSHYQIEPEADHYACMVDLLGRAGLLDEAIDLIRSMPLKPHSGVWGALLAASKAHLRLDLAKLAAQHITALEPANATPYVVLSNMYSASGQKIESELVRKTKNLKGIKKSPGCSWITIKDKVHLFHAGDQSHMNIEEIKAIILTIDKGMQWLFYCGF >CAK8561662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:343681494:343684787:1 gene:gene-LATHSAT_LOCUS15231 transcript:rna-LATHSAT_LOCUS15231 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKISRLISRSISSSTSLFSRGRNGGYRGGLLAKYSTAAIVDEQPIKPSVQVEHTQLLINGKFVDAASGKTFPTLDPRTGEVIAHIAEGHSEDIDRAVAAARKAFDLGPWPKMTAYERQKIMLRAADLIEKHNDDIAALETWDNGKPYEQARQVEIPMLVRLIRYYAGWADKIHGLTVPADGAYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYTARLFHEAGLPPGVLNIVSGFGPTAGAALASHMDVDKVAFTGSTATGKVILQLAAQSNLKQVTLELGGKSPFIVCEDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKVRAFNRSVGDPFKAGIEQGPQIDAKQFEKILRYIRSGVETGATLETGGERHGSKGFYVQPTVFSNVLDDMPIAKEEIFGPVQTILKFKNTEEVIQRANNSNYGLAAGIFTQNIDTANTLTRALKVGTVWVNCFNTYDAAVPFGGYKMSGQGREKGEYSIKNYLNVKAVVTPLKNPAWL >CAK8565145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:46535283:46538916:-1 gene:gene-LATHSAT_LOCUS18381 transcript:rna-LATHSAT_LOCUS18381 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALFTFAVTILAFICAIASFTDTLNSPSPTVEVKVLNINWFQKQPNGNDEVSLTLNISTDLQTLFTWNTKQVFVFLTAEYVTPKHVLNQISLWDGIIQSKEHSKFTITTSNKYRFIDQGSNLRGKDFNFTLHWHVMPKTGKMFANKIVLPGYRLPEEYR >CAK8535586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864925133:864926063:1 gene:gene-LATHSAT_LOCUS5030 transcript:rna-LATHSAT_LOCUS5030 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSCTWLNLLRYFSVAIGKDRHAMKTRRMQGTLRYQILELMMRFIYTGSVDLIVEIAQDLLRAADQYMEQGLANGFLNI >CAK8539832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528044489:528045544:-1 gene:gene-LATHSAT_LOCUS8892 transcript:rna-LATHSAT_LOCUS8892 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSMVITIFLLLLFSTHHHHHITCTTTTTTTTQRFKQAPKFYNSPNCPSQPTDATCSDEAVHVAMTLDVKYLRGSMAAILSVLQHSSCPENIIFHFVTAVSKSTTSNIILNTTLTNSFPYLKFQIYPFDDNTVSGLISTSIRSALDCPLNYARNYMANLLPDCVQKIVYLDSDLILIDDIAKLAATPFTNGAVLAAPEYCNANFSNYFTPTFWSNPSLSITFANRKACYFNTGVMVIDLERWRIGGYTEEIQEWMELQKRMRIYELGSLPPFLLVFAGKIVPVDHRWNQHGLGGDNFRGLCRGLHPGPVSLMHWSGKGKPWVRLDANRPCPLDALWDPYDLLETPFALET >CAK8579571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708505862:708506287:1 gene:gene-LATHSAT_LOCUS31506 transcript:rna-LATHSAT_LOCUS31506 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKENYTNEKEEIKVSGKRIDYKKYRITYIDPDATDSSSDDEIGQTNGSSVSKRITHEIVIPNIQELAKFPLKEGKNKMRSTSKFKGVRRRQSGKFSAEIRDPFAKKRIWLGTFDTEIQAAAAYSQKRDEYEERKLAESE >CAK8532072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:199609498:199614923:-1 gene:gene-LATHSAT_LOCUS1809 transcript:rna-LATHSAT_LOCUS1809 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNGYLPNSGEGGERKTINSELWHACAGPLVSIPPVGSLVVYFPQGHSEQVAASMQKEADFIPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVNKYDKEAMLASDMGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRSSRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRTSPCEFVIPLAKYNKALYTHVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPEDESEIENAFKRAMPWLGDELGMKDASSSIFPGLSLVQWMSMQQNNNNSQFSSQSALLPPSMLSSNTLHGNGNLNTDDPSKILNFQSPAALSAPSLQFNKPNIPNQVNQLQQSPTPWSQQQQQQQKMQSLLQTPLNQLQQQQQQRQMQLAGPQNLPQSQPQQPQLNQHNTQQPQQQQQQQQPSQNATMNNGTVGSNQISNQCVQQQVAYSQLQQQLLSGNISTQQNFQSGGKNGLIMTSLPQDSQFQQQIDPQQASLFQRQQQQQTQLQQSSLQLLQQSMLQRAPQQSQVSQILPQNISDQQSQMQLLQKLQQQQQQQQPLSTSSPLLQSQLMQQQNTHQQLPQLPMSQHQPQQLGNNAFSMEKLLNNNYSSSPLMHSQQLPANHPQNTQKSPTNTRPPSAFTDGDAPSCSTSPSTNNCQTSPPNPLKRNQPDTFGGPSLVETTNTMMQELQSKPDMQNKHELHGVKGPDKQKHKGAINDQMEASSGTSYNIDPVNIHQNFPLSNFYMDGGDVHSQQPRSNLPFAPNLDGLTPDPLLSRGYDSQKDLQNLLSNYGGGAPRDIETELSTADISSQSFGVPNMSFKPGCSNDIAIADTAVLNNGLWSNQTQRMRTYTKVQKCGSVGRCIDVTRYKGYEELRNDLARMFGIEGQLEDPLRTDWKLVYVDHENDILLVGDDPWEEFVNCVQSIKILSSVEVQQMSLEGDLGYNIPITNQACSGSESGNAWRAQYDDNSAASFNR >CAK8569821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12659953:12665647:1 gene:gene-LATHSAT_LOCUS22630 transcript:rna-LATHSAT_LOCUS22630 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPPPRHSSFPTPPPSYITHLPIAPSSPSRSSDKVLEEEEPRVPIHVVTKAFQLPVDFLEPSPQSKIVIGFDCEAVDLCRYGKLCIIQLAFPDAIYLVDAIVGGDVLIQACKPALESDYITKVIHDCKRDSEALYFQFGIKLNNVVDTQIAYSLIEEQEGQKRLPDDYISLVALLADPRYCGLSYVEKEEVRVLLRQDPKFWTYRPLSEQMIHSAADDVRFLLYIYHQMMAKLTERSLWHLAVRGALYCRCFCVNSNDYADWPALPPIPDMLKVDENGPEEEILSVLDVPKGKMGCIIGKRGASIMAIKESCNAEILIGGARGPPDKVFILGPEKQVKKAEAMLRGRLLYI >CAK8574877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12982695:12983870:1 gene:gene-LATHSAT_LOCUS27176 transcript:rna-LATHSAT_LOCUS27176 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRSYLGLEAFHQVERQDSAVWSAKVPIINFTTFEMHNSDRVKLQFGMLQDIPCPLKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPTQPHFQPPSQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQSFTPIPPYDQAGYRPDIASSSQPPQTNYEGMGNSFDLDDFTDMDPSAWAEVMQMLDDDTVDPTPPQRPPRNVRNRGCGTCGHLNRPSRRN >CAK8544719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701983660:701990781:1 gene:gene-LATHSAT_LOCUS13374 transcript:rna-LATHSAT_LOCUS13374 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRMYDRQQSSTGTPSSPSSPVIMSPIHRHVRTGSAGGVAMSKRAQNTSTKAAAQRLAHVMSHQPIGEEEEEDDDIALDYTSISGTGGIGLAGRRPIRPRSPMPVRSTQDQPPPPTRQRSPMTVRSSQEQASSARSRSPMSFRSSQDQPPSARSRSPAPPPVSVRSVQDQPQSLRTVSSVRSSFSGADQTPPRISTHNVNQGDQQPPSARSTSGNRALDMSPSARSLAFPRSSQSTNASNDQPPSARANSGRPSGLSKVVPLVPASVPITLRPAASLGIQPSESIPEITKRDRRLSLDLGSMKVRENVNQMPRQTTELEDELDMLQEERDNLHEKLRLAEERCEEAESRVRQLEQQVANLGEGVTLEARLLSRKEAALQQREAALRNASKHGGFHGNITLQTDAENAMEETASALEKLRLMTQRMILTPEEMEEVVLKRCWLARYWNLCLQHGIHAEIAETKCKYWSTFAGNPVDVVLAAGEKAKEETDLDLEDTEDQRDLNELSGEGNIENMLFVEQGLRELASLKVEEALAVALAQHRRPSLLKAGFSDDLKLPHEGQCDAFQLSKEEAEDVSFKQAWLTYIWRRAKRHEIEPEIADDRLQYWISHNSKTPSSQDAVDVERGLAEVRKLGIEAQLWDESRKELEHDIENSKVPSRSDF >CAK8532696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:486410632:486410958:-1 gene:gene-LATHSAT_LOCUS2378 transcript:rna-LATHSAT_LOCUS2378 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFNSFVIRFRQLSQFPNIGECSLILKERPINHHQYNLPTAKQVAAIIIGGGSDYMEYGRDINVIRHDGNLKKVQETKGYYDLLQYPILFPFGTQGWDVNITNYNG >CAK8542171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485534866:485537222:1 gene:gene-LATHSAT_LOCUS11035 transcript:rna-LATHSAT_LOCUS11035 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNLNALSSSFSIVPFHVNYSLFTQTQLLVPTNLNYPFLNTATRKFRTFQLKAGFWESIKSGLTKNNTTQVIDSELTDEEDEEPLPQEFVLVEKTEPDGTIEQIMFSSGGDIDVYDLQALCDKVGWPRRPLSKLAAALKNSYMVACLYSIRKSPGSEGNEQKILIGMARATSDHAFNATIWDVLVDPGYQGQGLGKALVEKLIRALLQRDIGNITLFADSKVVEFYRNLGFEADPEGIKGMFWYPTN >CAK8542666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536074130:536074513:-1 gene:gene-LATHSAT_LOCUS11486 transcript:rna-LATHSAT_LOCUS11486 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGRGRPRTMVPPSPVNHPLPKNQSEVASVNLKEKERTEDHEDASDEKADRNEKEQHTPETLIAKERETETLIVKEQHEERKLWVDAINDNRNLAKGISMEYVAPKIINGEVEIEIEKEDVETKI >CAK8577296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553071399:553071773:1 gene:gene-LATHSAT_LOCUS29417 transcript:rna-LATHSAT_LOCUS29417 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGNTDSDLVKNAKETAASAKTAAGEQTDKVTTAAGEAKKTVGDYVDKAADHVHSKPDPPADQGVFSGVAKAAGDAQKTVGEFVTKAVDYVTPTPKPEGPVAKTEAAVADATKAAGDAIKK >CAK8530452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22469437:22474355:-1 gene:gene-LATHSAT_LOCUS315 transcript:rna-LATHSAT_LOCUS315-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMDILRSSHVSAVRFSDGGGTRSRSFTSRRFNIRANLPFPKENAKYHKELEAAIDVVHSACRLCVQVKSSLFSTDGKVLEKIDQTPVTVADFGVQALISLELSKLFPSIPLVAEEDSAFVRSRNLGGTVFDAVKAEVSSTSKTLTQDDVLKAIDRGGKDAFVFGPKPATYWLLDPIDGTRGFLKDNSALYVVGLALVVEGETEIGIMGCPNWQEGVSGIAPAEIEEDSETLPRSGIMMIAHKGHGTWTKTLNSKPESSGVWTRCFVDGFNLVHKARFCLIDSQTWDSLPLSATLDATSDANDAGSNQVLLLVVCCGSLIKHFMVASGRASVFIQRKKEKTIMAWDHAVGMLCVHEAGGKVTDWEGTEMDLAADETSRRSIFPKGGILATNGNLHNQIVQLISDQAAVV >CAK8530451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22469437:22474358:-1 gene:gene-LATHSAT_LOCUS315 transcript:rna-LATHSAT_LOCUS315 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFSMDILRSSHVSAVRFSDGGGTRSRSFTSRRFNIRANLPFPKENAKYHKELEAAIDVVHSACRLCVQVKSSLFSTDGKVLEKIDQTPVTVADFGVQALISLELSKLFPSIPLVAEEDSAFVRSRNLGGTVFDAVKAEVSSTSKTLTQDDVLKAIDRGGKDAFVFGPKPATYWLLDPIDGTRGFLKDNSALYVVGLALVVEGETEIGIMGCPNWQEGVSGIAPAEIEEDSETLPRSGIMMIAHKGHGTWTKTLNSKPESSGVWTRCFVDGFNLVHKARFCLIDSQTWDSLPLSATLDATSDANDAGSNQVLLLVVCCGSLIKHFMVASGRASVFIQRKKEKTIMAWDHAVGMLCVHEAGGKVTDWEGTEMDLAADETSRRSIFPKGGILATNGNLHNQIVQLISDQAAVV >CAK8569310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693028944:693029357:1 gene:gene-LATHSAT_LOCUS22174 transcript:rna-LATHSAT_LOCUS22174-2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSFVVQESQSSSDSLTKSKVNELLDIVKDIESAHLRVAWLRNTLDEIAENIELIRKHQDMEIEKANYDLEMESLRENLESELVTLAQKEQEIADIHMRIPEIRDCLKNLEQLMSSGLEDEQTMLPIKSKIDQLL >CAK8569309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693028749:693029357:1 gene:gene-LATHSAT_LOCUS22174 transcript:rna-LATHSAT_LOCUS22174 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIVDARGKYWDGEIDSVISESYIQIGKYNVKESFSSILHTILDKYGDIGASCDLESYVMRSYYMECVSFVVQESQSSSDSLTKSKVNELLDIVKDIESAHLRVAWLRNTLDEIAENIELIRKHQDMEIEKANYDLEMESLRENLESELVTLAQKEQEIADIHMRIPEIRDCLKNLEQLMSSGLEDEQTMLPIKSKIDQLL >CAK8572190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518377584:518379393:1 gene:gene-LATHSAT_LOCUS24782 transcript:rna-LATHSAT_LOCUS24782-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDDSIEQAVTSRRERLLALRTAKELLLSSNPEASDTNQDEDPQSPPTVSEDDEEQEEGKLSMKFRNYVPHDKDLQEGKLAPAVLPKFEDPVSVPEPEPQPTEDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKALYQLMLEQEKQNQLAEGDDTNGVKD >CAK8572191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518377584:518379393:1 gene:gene-LATHSAT_LOCUS24782 transcript:rna-LATHSAT_LOCUS24782 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDDSIEQAVTSRRERLLALRTAKELLLSSNPEASDTNQDEDPQSPPTVSEDDEEQDREGKLSMKFRNYVPHDKDLQEGKLAPAVLPKFEDPVSVPEPEPQPTEDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKALYQLMLEQEKQNQLAEGDDTNGVKD >CAK8542970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563348706:563352561:1 gene:gene-LATHSAT_LOCUS11764 transcript:rna-LATHSAT_LOCUS11764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSFNSFILSLGVFLFISTCHYVVNASEITSKLVVDAGSGRLIPNTFFGAFFEEINHAGAGGLWAELVNNRGFEAGGFTNGTSNIDPWTIIAENQSSISVSTELSSCFERNKIALRMDVLCHRKSCPRDGVGISNPGFWGMNIEEGKKYKVVFYVRSLDRITLKVSFVGSDDGVELATGKIRASKVNVTNWSKMEIILEAVGTNHHSNLQITTKKKGVLWLDQVSAMPLDTYKGHGFRNDLFQMVADLKPATFRFPGGCFVEGDFLKYAFRWKNTVGAWEERPGHYNDIWKYWTDDGFGYFEGLQLSEDLGALPIWVFNNGISHHDEIATSAIAPFVEEALDGIEFARGSPESKWGSLRASMGHPKPFDLRIVAVGNEDCGKLNYEGNYLEFYKAIKQRYPDIQIISNCDGSQYPLKHPADFYDFHIYTNAMDMFSQYTKFDKAPRSGPKAYISEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMFAYAPLFVNTNDKYWKPDAIVFNSYQNYGTPSYWLQQFFTDSSGATFLNSTLQNSSSTIVASAIQYKNSQDGKNYLKVKVVNFGNSTENLEILINNLKSNVQQSGSSKMMLTSSNKMDENSFSEPRKIVPQRTSLENASNDMNVELPPYSVTSYDLLI >CAK8542969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563348706:563378036:1 gene:gene-LATHSAT_LOCUS11764 transcript:rna-LATHSAT_LOCUS11764 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSFNSFILSLGVFLFISTCHYVVNASEITSKLVVDAGSGRLIPNTFFGAFFEEINHAGAGGLWAELVNNRGFEAGGFTNGTSNIDPWTIIAENQSSISVSTELSSCFERNKIALRMDVLCHRKSCPRDGVGISNPGFWGMNIEEGKKYKVVFYVRSLDRITLKVSFVGSDDGVELATGKIRASKVNVTNWSKMEIILEAVGTNHHSNLQITTKKKGVLWLDQVSAMPLDTYKGHGFRNDLFQMVADLKPATFRFPGGCFVEGDFLKYAFRWKNTVGAWEERPGHYNDIWKYWTDDGFGYFEGLQLSEDLGALPIWVFNNGISHHDEIATSAIAPFVEEALDGIEFARGSPESKWGSLRASMGHPKPFDLRIVAVGNEDCGKLNYEGNYLEFYKAIKQRYPDIQIISNCDGSQYPLKHPADFYDFHIYTNAMDMFSQYTKFDKAPRSGPKAYISEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMFAYAPLFVNTNDKYWKPDAIVFNSYQNYGTPSYWLQQFFTDSSGATFLNSTLQNSSNTTVASAIQYKNSQDGKNYLKVKVVNFGNSTENLEILINNLKSNVQQSGSSKMMLTSSNKMDENSFSEPRKVVPQRTSLENASNNMNVELPPYSVTSFDLLI >CAK8536472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944383029:944385158:-1 gene:gene-LATHSAT_LOCUS5838 transcript:rna-LATHSAT_LOCUS5838 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFAAAAAAAATTTNVSLLRLPSLSNKDLIPERRVTFYRKRNFAIKALAGSSLGKSATRLTSVSSPLSTDNHGHLSLGVSLSRRGSSSTRPQAYIYHWSGFRIPANAEKPEWWWRTLSCVPYLIALQMSATGYYIEPLLDKYKLFENLIFYIPGAVNRFPTWFPILYCYLAIVVVVKNRDFPVIFRFHVMAGMLLEIALQIIWVASNFLPLIHFKGTLGMYYWAGVALAYIFITMHSIRCALLGTFSNIPFISESAFLHSLFSLGGFQRPF >CAK8561745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:372161786:372167054:-1 gene:gene-LATHSAT_LOCUS15306 transcript:rna-LATHSAT_LOCUS15306 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGYTDKNAVFRKLKTKSENKSCFDCNAKNPTWASVPYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWTPEQLKMMSFGGNGRANVFFRQHGWNGGGKVEAKYTSRAAELYKQLLSKEVAKSMAEEAALSPSPAASSQSAQEDNGLPDVRTNEVPIEKTLEKPEKTESTSSPRASYTAASNNLKKPIGAKKITKSGGLGARKLTRKPSDSLYEQKPEEAPAPVSSSTNNNLPSGPPPTSRFEYTEDVQTSELNSGGHVSAPKSSNFFSDFGMGSGFPKKSGPSSSKVQVQETDEARKKFSNAKSISSSQYFGDQSKADVDAQATLSKFSGSSAISSADLFGDSRDNSVDLAASDLINRISFQAQQDISSLKNIAGETGKKLTSLASSLMTDLQDRIL >CAK8538195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468408814:468410363:1 gene:gene-LATHSAT_LOCUS7422 transcript:rna-LATHSAT_LOCUS7422 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEALVNSSWELFKQNPGYSVLFYTIILKKAPAAKEMFSFLKDSTSVVDSHKLQAHAAKVFGMVHDSAVQLRALGEVILGDATLGAIHNQKGVVDPHFVVVKEALLETIKEASGEKWSVELSTAWEVAYEELASAVKKAMS >CAK8564694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7101291:7108759:-1 gene:gene-LATHSAT_LOCUS17971 transcript:rna-LATHSAT_LOCUS17971 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSERGKTKVVIRHLPPSLTESDLIQHIHSHFASRYRWFAFRPGNTSHRNQRFSRAYIDFNSPDDVFEFAEFFNGHVFVNEKGVQHKALVEYAPSLRVPKLSIKKDGREGTIYKDPDYLEFLKFIAKPQEHLPSAEIQLERKEAEQAGTSKEAPIVTPLMEYVRQKRAVDSGPLVSSAATKVGRRARAALQGKPSPVNTRRGSEKKRYVQKDNVKNTNRKDSKDKSAFTVVPRHEDQSAESSIKGIYEIESLHGIEGSVSGIPLTSDSGKKKILLLKGKQREIPKGTEGVVKQQSAQSANSAISTTSKQNQRRDAGGRLIRNILLNNDSRQSQSTSAGQHKIQILTSENGKRLPRPFGSRSGVSDQVSSHDAGQVNSEGDSKRDLSEKFVRRDLHGLSISGDKTEKRTRRPDRGVWTPLRRSDSSHSGNELSSSSVAQPTPSNPESVEGEVQENVYSGNRSGEFSASAVVRSSPSVENGSKRIFTRRGAPYIVKDGGAVILSEVKLSKKGVVGPSTHEKQVWVQKPSSGT >CAK8564693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7101291:7108759:-1 gene:gene-LATHSAT_LOCUS17971 transcript:rna-LATHSAT_LOCUS17971-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSERGKTKVVIRHLPPSLTESDLIQHIHSHFASRYRWFAFRPGNTSHRNQRFSRAYIDFNSPDDVFEFAEFFNGHVFVNEKGVQHKALVEYAPSLRVPKLSIKKDGREGTIYKDPDYLEFLKFIAKPQEHLPSAEIQLERKEAEQAGTSKEAPIVTPLMEYVRQKRAVDSGPLVSSAATKVGRRARAALQGKPSPVNTRRGSEKKRYVQKDNVKNTNRKDSKDKSAFTVVPRHEDQSAESSIKGIYEIESLHGIEGSVSGIPLTSDSGKKKILLLKGKQREIPKGTEGVVKQQSAQSANSAISTTSKQNQRRDAGGRLIRNILLNNDSRQSQSTSAGQHKIQILTSENGKRLPRPFGSRSGVSDQVSSHDAGQVNSEGDSKRDLSEKFVRRDLHGLSISGDKTEKRTRRPDRGVWTPLRRSDSSHSGNELSSSSVAQPTPSNPESVEAGEVQENVYSGNRSGEFSASAVVRSSPSVENGSKRIFTRRGAPYIVKDGGAVILSEVKLSKKGVVGPSTHEKQVWVQKPSSGT >CAK8567346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507038394:507043972:-1 gene:gene-LATHSAT_LOCUS20410 transcript:rna-LATHSAT_LOCUS20410 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDSSPLVPPIPLSDPSSIDLEAGPSEQIQCRICLETDGRDFIAPCMCKGTSKYVHRECLDHWRSVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFMAVQLIITSLAYLVYLIDGYQQSWLRILWGFESQLSFYYLCGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCMWTDCTACFESCGTMATECGGCLGGAGEAGLPLLFIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPSLPPEHVQQLKTLGLL >CAK8542316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501283938:501284237:1 gene:gene-LATHSAT_LOCUS11163 transcript:rna-LATHSAT_LOCUS11163 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSEGIETEKQNPTGSSDPNASMVELVNLLHQQRVYREVTPALTAGLPDARAEFSFLRLCALHSILNFLNSNADSDSTIYLFNLTQSIPPTLR >CAK8573805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638200243:638200728:1 gene:gene-LATHSAT_LOCUS26210 transcript:rna-LATHSAT_LOCUS26210 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVTSVQYSVVVNIDSVGPIQLGRDLRQGNTLSPYRFILISEGMYAFIEERSLGGSSWIPDLKGASSCVPYAFVDDCFLFCRANIFEVSHLMEVLKTYADAFGQEINLTKSEVFFSRSISRLAQDDIARVMGVRHVLGTRLYLGLSSMNGKSKKGNFCFY >CAK8539397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511621349:511622947:-1 gene:gene-LATHSAT_LOCUS8497 transcript:rna-LATHSAT_LOCUS8497 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLSSSSSSVSTLYETNNNQTNISTTKLKKPDMFTPLIPKSPTFKQQETHTKKTHFSLAINEAKHIANIALPMVLTGLLMYSRSIISMLFLGRVGELALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKRFKLLGLTMQRTMILLLVTSTFISFLWLNMKRILLLCGQQEDIANVAQSYILYSLPDLVAQSLLHPLRIYLRSQSITLPLTYSATLSILLHLPINYFLVSVLNLGIKGIAIGSVWTNFNLVASLIIYIWVSGTHNKTWNGFSYSCFKGWKSLLNLAIPSCISVCLEWWWYEIMILICGLLINPHATVASMGVLIQTTALIYIFPSSLSFGVSTRVGNELGAENPQKAKLAAIVGLCFSYVLGFSALLFAFSVRNIWASMFTNDPQIIALTSMVLPIIGLCELGNCPQTTVCGVLRGTARPKLGANINLGCFYLVGMPVAVWLSFVAGFDFKGLWFGLLAAQGSCMVTMLFVLFRTNWENQVERAKDLTSSDSSEEQEQEKGLVSSCDIKECSNSLV >CAK8565381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156484501:156484836:1 gene:gene-LATHSAT_LOCUS18601 transcript:rna-LATHSAT_LOCUS18601 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGGFSHSVESGAGKRRVFAIGNYVNQRLLRPLQPRNEAIFLLYDHRLKLEDPPEIVLSLTYLDFSGSTNPRRDKSASDQASSQDRSNPPFKPTYKTSFH >CAK8572028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502811257:502812633:-1 gene:gene-LATHSAT_LOCUS24637 transcript:rna-LATHSAT_LOCUS24637 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRVLFASLFILSAWQMFNEFDATGGPFSKELVPKLTIVRKNLSSKLGIAIPDFNATYVRQVVATIIFLKGVGGILFVFGSTFGSFLLLSHLALTTPILYDFYNYRPTKPEYGLLLNEFIQNAALFGALLFFIGMKNSIPRKQFRKKTTPKTKPKTKAV >CAK8570419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44327889:44336098:-1 gene:gene-LATHSAT_LOCUS23167 transcript:rna-LATHSAT_LOCUS23167 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVMPAAVLRNLADKLYEKRKNAALEVEGIVKQLASAGDHDKITSVITLLTTEFTYSPQANHRKGGLISLAAATVGLTNDAAHHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAINANPAEAFDVGAILSIARRQLSNEWEATRIEALHWILILLNRHRIEVLIYLNDIFDTLLKALSDPSDEVVLLVLDVHACIAKDPQHFRHLVVFLVHSFRLDHSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASIMIQALNLILLTSSELSEIRDLLKKSLVNPAGKDLYVSLYASWCHSPMAIISLCFLAQTYRHASTVIQSLIEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLDPGRYLWLFKALYGLLMLLPQQSAAFKILKTRLKAVPSYSFNREQLNRMPSGDYYEFLPQMPDEHEKKDGDVTVDGGSSYSRLNFTERLNEFQEMQKKHRVHTKSQRTSRSLSTSLPKEAQKEEEPQRHQSIDLNVPPSRSRRNSAQLQR >CAK8572947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572486090:572486534:-1 gene:gene-LATHSAT_LOCUS25449 transcript:rna-LATHSAT_LOCUS25449 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGSLQYWILVSSTDALRSFVADGVKLHALANDERYLDIKQQRNLVLVYREFAILMYFSWHEYFTGVAAFFRGGAQRIKSRKVWLSGGVKRVMNMIGCHG >CAK8568078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:570210505:570215015:-1 gene:gene-LATHSAT_LOCUS21074 transcript:rna-LATHSAT_LOCUS21074 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGFKFVRQPTLHLRSTPFQYVRKVVPKSVVAVKAAEKFTNENGGGSVHEEGIKKSPEWKRIGSKELGIHNSSISVTTRKVLNGLKKRGYDVYLVGGCVRDLVLKKTPKDFDIITSAGLKEVTKVFTWCEIIGKRFPICHVHMDGTIIEVSSFNTARRKKGVEFSHHTETPNGCDEKDFLRWMNCLNRDFTINGLMLDPYARIVYDYLGGIEDIRKAKVRTINPADISFQEDCARILRAIRIAARLGFSISKETAHFIKNLSCSILKLDKSRLLMEMNYMLAYGSGEASLRLLWKYGLLDILLPFQAAYFAHHGFRRGGKRTNMLLSLFSNLDKLFAPNRPCHGSLWFGILALHKALSDRPRDPLVVATFCLAVYNGGNLLEAVKIAGMINKPHDMRFPELVDPSGMDAEALVTGVNDLAESVRGTLLQMTDEHFVSQAMADYPQAPRSYLVVIPLGLYIKAFSIFECVKRSAGKKSLSKQGMKIDYEALATGNVQEIRHVFARIVFDTVFPLHQDQDQALMSSRVSQGG >CAK8577945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598406637:598407439:1 gene:gene-LATHSAT_LOCUS30003 transcript:rna-LATHSAT_LOCUS30003 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVEKLREEIKRLGEVQTDGSYKVTFGTLFHDDRCANIFEALVGTLRAAKKRKVVAYEGELLLQGVHDNVVITLNPSPAAVN >CAK8562831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:545282907:545284229:1 gene:gene-LATHSAT_LOCUS16296 transcript:rna-LATHSAT_LOCUS16296 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKRESTELPDCIISHIFSKLSLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCIPELPKTPLFPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGANRIELLFAYPKPLPDFENPKPFRYKESDFEIEPYNFFLSESHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLVSVEQNMLQDMCLKCIHLDNLTLNKCTFRSDHLKITSATLLHLNINCGDIIRNQINIDIIASNLSSIRYSSYFLPETLIHTLNIKSHKLSYFSYSGAKISNLVHFSALKNVTTIVLDGLMEGDVRIYGPMEGDVITHLFSKCLQLQHVTISKCWLTCECKIISAKLRHLSILGCFNTEVMDIVDSNGSLFEYRGPPEMRSILSIHALNLSSFEFRGGSLMRSIISIEAPKLLKDFWDAGLNKICI >CAK8535460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850160026:850162783:-1 gene:gene-LATHSAT_LOCUS4922 transcript:rna-LATHSAT_LOCUS4922 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTDAAASESSTAPQIDVFWHEGMLNHDTGNGVFDTGIDPGFLDVLEKHPENSDRVKNMVSILKRGPISPHLSWNLGRHALIPELLSFHTSEYINELVKADKEGGRMICVGTFLNPGSWNAALLAAGTTLSSMKHLLDRHGKLAYALVRPPGHHAQPSQADGYCFLNNAGLAVKLALDSGCKKVAVIDIDVHYGNGTAEGFYSSNKVLTISLHMNHGSWGPSHPQNGSVDELGEGEGYGYNLNIPLPNGTGDKGYVYAFNELVVPSIHKFEPDMIVLVLGQDSSAFDPNGRQCLTMEGYREIGRIVHGLATRHSDGRVLIVQEGGYHVTYSAYCLHATLEGVLNLPLPLLEDPVAYYPEDETFSVKVIEAIKSYVKDKTPLWKTG >CAK8572809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564612855:564615998:-1 gene:gene-LATHSAT_LOCUS25331 transcript:rna-LATHSAT_LOCUS25331 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNDFVFDFQAKSSTDLKRKMKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLNSTVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKSLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKVEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTVGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFVVIREKMRENNEDKEPPTQAEMFIATRQSRKEKELDQETNHAIIKLQDLIENHGKPSSEAFENVFGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8573680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629492789:629516671:1 gene:gene-LATHSAT_LOCUS26094 transcript:rna-LATHSAT_LOCUS26094-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRMRDFLNKCGGAAVIDGGFATELERHGVDLNDPLWSAKCLFTSPHLVRRVHLDYLDAGANIILTSSYQATIQGFEAKGFSKEEGETLLRRSVELAIEARDIYNDRCTKDSFDFIRDEKYGRSRPILIAASVGSYGAYLADGSEYTGDYGDSVTVETLKDFHRERVKILVDAGADIIAFETIPNKLDAQAYVELMEEEGIETPAWFSFSCKDEHNVASGESILDCASIADSCRQVVAVGVNCTAPRFIHGLISSIKKVTSKPILVYPNSGETYNPDNNQWVKSSVEAEEDFVPYIGKWRYAGASLFGGCCRTTPRTIRGIAEAIYEKPHGKSI >CAK8573679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629492789:629516671:1 gene:gene-LATHSAT_LOCUS26094 transcript:rna-LATHSAT_LOCUS26094 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMRDFLNKCGGAAVIDGGFATELERHGVDLNDPLWSAKCLFTSPHLVRRVHLDYLDAGANIILTSSYQATIQGFEAKGFSKEEGETLLRRSVELAIEARDIYSDRCTKDSFDFIRDEKYGRSRPILIAASVGSYGAYLADGSEYTGDYGDSVTVETLKDFHRERVKILVDAGADIIAFETIPNKLDAQAYVELMEEEGIETPAWFSFSCKDEHNVASGESILDCASIADSCRQVVAVGVNCTAPRFIHGLISSIKKVTSKPILVYPNSGETYNPDNNQWVKSSVEAEEDFVPYIGKWRYAGASLFGGCCRTTPRTIRGIAEAIYEKPHGKSI >CAK8573681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629492789:629516671:1 gene:gene-LATHSAT_LOCUS26094 transcript:rna-LATHSAT_LOCUS26094-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMRDFLNKCGGAAVIDGGFATELERHGVDLNDPLWSAKCLFTSPHLVRRVHLDYLDAGANIILTSSYQATIQGFEAKGFSKEEGETLLRRSVELAIEARDIYSDRCTKDSFDFIRDEKYGRSRPILIAASVGSYGAYLADGSEYTGDYGDSVTVETLKDFHRERVKILVDAGADIIAFETIPNKLDAQAYVELMEEEGIETPAWFSFSCKDEHNVASGESILDCASIADSCRQVVAVGVNCTAPRFIHGLISSIKKVTSKPILVYPNSGETYNPDNNQWVKSSVEAEEDFVPYIGKWRYAGASLFGGCCRTTPRTIRGIAEAIYEKPHGKSI >CAK8573682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629492789:629495722:1 gene:gene-LATHSAT_LOCUS26094 transcript:rna-LATHSAT_LOCUS26094-4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMRDFLNKCGGAAVIDGGFATELERHGVDLNDPLWSAKCLFTSPHLVRRVHLDYLDAGANIILTSSYQATIQGFEAKGFSKEEGETLLRRSVELAIEARDIYSDRCTKDSFDFIRDEKYGRSRPILIAASVGSYGAYLADGSEYTGDYGDSVTVETLKDFHRERVKILVDAGADIIAFETIPNKLDAQAYVELMEEEGIETPAWFSFSCKDEHNVASGESILDCASIADSCRQVVAVGVNCTAPRFIHGLISSIKKVTSKPILVYPNSGETYNPDNNQWVKSSVEAEEDFVPYIGKWRYAGASLFGGCCRTTPRTIRGIAEAIYEKPHGKSI >CAK8573683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629513774:629516671:1 gene:gene-LATHSAT_LOCUS26094 transcript:rna-LATHSAT_LOCUS26094-5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRMRDFLNKCGGAAVIDGGFATELERHGVDLNDPLWSAKCLFTSPHLVRRVHLDYLDAGANIILTSSYQATIQGFEAKGFSKEEGETLLRRSVELAIEARDIYNDRCTKDSFDFIRDEKYGRSRPILIAASVGSYGAYLADGSEYTGDYGDSVTVETLKDFHRERVKILVDAGADIIAFETIPNKLDAQAYVELMEEEGIETPAWFSFSCKDEHNVASGESILDCASIADSCRQVVAVGVNCTAPRFIHGLISSIKKVTSKPILVYPNSGETYNPDNNQWVKSSVEAEEDFVPYIGKWRYAGASLFGGCCRTTPRTIRGIAEAIYEKPHGKSI >CAK8531227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91503554:91506942:-1 gene:gene-LATHSAT_LOCUS1033 transcript:rna-LATHSAT_LOCUS1033 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGGRRGTSKLREVARKVAVAAVYACGSFSRRKSLVDPVSIDTSCALSATISNSSFVSPSTTKCCSGEIVEETDSTITTNINNNELHSKGLCAICLDPLSYHSKGSSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNNTLSGSFASSNQSDPILRILDDSIATFRVHRRSVLRTARYDDDDPVEPNESPNTPKLCFSLEPIPPNAATSFHPALQVSNHASCPCSSSSMLHSSPMQTPYITCPSSNRAYLSVKLAHERATDLVLVASPNGPHLRLLKQAMALVVFSLRHIDRLAIVTYSSAAARVFPLRRMTTYGKRTALQVIDRLFYMGQADPVEGLKKGIKILEDRLHKNPESCILHLSDNPTRPYHAISMELPSTPIHRFHVGFGFGTSSGFVMQEFEEFLAKMLGGIVREIQLRICGAGEEGGNGRVIRIGEIRGGEERRIVLDLGDCSHVYVEYSYIEGEIDECVRRTGESVVGVGDEHKGEEDEDGEENESERYMNTANTGGRNSSVECWDFHDPYMARRWAKYLHGYRL >CAK8539000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501355818:501356174:1 gene:gene-LATHSAT_LOCUS8140 transcript:rna-LATHSAT_LOCUS8140 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDDYPNSTSTMVKNSSIALLQERFRQLEKVKERREEKQLLRLLSSSETNTNTQQRLMVRSSYDHDSLSLGLNLTNKQGDKNKMKSNTSLREWSQGASSSTSRNFDASDVDTSLHL >CAK8560421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18758685:18764970:-1 gene:gene-LATHSAT_LOCUS14091 transcript:rna-LATHSAT_LOCUS14091 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRTVFNFDLNEPPTDDNDERDGVLCFQPQTAQPSTNSHASDLIVASTAAQGTDLIVASTAAAQGIMNNHAFSHASTVSGFQPFIRSKSASVSGADAGLMIARDQGAKAPSKSIKEEGANVMESQKSGSANAQSTEREEGEWSEEDGYVDANGGNNLPQKSQAPEEQATSGLVDGSVVASDSKSNNIKNSNSNTISDANYSQASVGLESNSSEQKNGVLNSASSIKSEASIDAQEEPSIVPKQKEVKGIEASHALRSANIPGKRRIDQRKEEMLGKKRSRQTMFLNLEDVKQAGPIKTSTPRRQAFTSPVISRTVKEVRTVPAQVERVGIAKDQNQSDSSFGEGVSQNETYEPKSDCNGDNSAPFGRLRRINSETETPMEVNLPPIPRQGSWKQQTELRQQKNAFVSNRKLGQSGQSSNDVRLVNKKHHSIKKQTPVSFQSQDSSVERLIREVTSEKFWHHPGETDLKCVPGKFESVEEYVRVFEPLLFEECRAQLYSTWEESTETVSRDTHIMVRVKANESRERGWYDVKVLPVHDFKWSFKEGDVAILSSPRPGSVRPKQNNSSLAHDGGESEITGRVVGTVRRHIPIDTRDPPGAILHYYVGDSYDPSRADDDHIVRKLQTGSIWYLTVLGSLATTQREYIALHAFRRLNVQMQTAILKPSPEHFPKYEQQTPAMPECFTPNFVEYLRRTFNEPQLAAIQWAAMHTAAGTSSVATKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKHVAPESYKHANELNSENPPTGSIDEVLQNMDQNLLRTLPKLVPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKTREEVSGWMQQLRNREAQYTQQLHCLHRDLNATAAAVRSQGSVGVDPDLLMARDQNRDVLLQNLASVVEGRDKVLVEMSRLAVLEGRFRPGSGFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLSDSESVIKLPDEPYYKDPLLRPYIFYDVRQGRESHRGGSASYLNTVEAQYCLRLYEHVQKAVKSLGLGKISVGIITPYKLQLKCLQREFEEVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRAGHGVGFVADIRRMNVALTRARRALWVMGNANALTHSEDWKALIADAKSRNCYMDTESLPKDFLVPKGAVYTPLPGKAPLNMRGMRSGGPRYNRSMEMHMESRVGAQSEDDERMSGASVSSRNGNHRPPRYLTENSLDDLGDKSRDAWQHGIQKRQGSTGTMTKRDV >CAK8533798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661119477:661123111:-1 gene:gene-LATHSAT_LOCUS3395 transcript:rna-LATHSAT_LOCUS3395 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDDNVIKHKAYARVGLLGNPSDVYYGKTIAFSLSNFYATVQLRPSDELVIQPHPTHDLVSFDSHHQLVDRLKSEGYYGGVRLLMAIYKVFYNYCNDNEIYLHHTNFTLSYDTNIPRQSGLSGSSGIVCAALNCFLDFYKVRHLIKVEVRPNLILAAEEELGIVAGLQDRVAQVYGGLVYMDFNKESMDKLGHGTYIPMNLSLLPPLYLIYVANPSDSGKVHSKVRQRWDDGDELIVSTMLEVANIAEEGKTALEEKDYSKLASLMNKNFDLRRLMFGDEALGDVNIKMVEIARKVGAASKFTGSGGAVVAYCPEGTSQVKLLEDECHEAGFVLIPLEPFPSRLNEIDLKTMQM >CAK8564254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662777640:662782870:1 gene:gene-LATHSAT_LOCUS17577 transcript:rna-LATHSAT_LOCUS17577 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAIRREGKRLAPLMSSQPINNTLRSTIVSPLDQSSIGGARSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRAENSRGLWQPFTALLGDAIAVDVKKNVVITVSSDKGLCGGINSTSVKISRVLSKLNSGPDKETKYVILGEKAKAQLIRDSKKDIVLSLTELQKNPLNYTQVSVLADDILKNVEYDALRVVFNKFHSVVQFIPTVSTVLSPEIVEREAEAGGTLGELDSYEIEGGETKSEVLQNLSEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALTG >CAK8543978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653124850:653125868:1 gene:gene-LATHSAT_LOCUS12695 transcript:rna-LATHSAT_LOCUS12695 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPAPSEDNLEMKAIKAMGAMEDGDAMFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHAGYEWNKYNQTHYDHDNPPPRIVQGYKFNIFYPDLVDKIKAPNYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCRFERGILHVYFNFKRHRYRR >CAK8577175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542986269:542989062:1 gene:gene-LATHSAT_LOCUS29304 transcript:rna-LATHSAT_LOCUS29304 gene_biotype:protein_coding transcript_biotype:protein_coding MKRECHPLLRGVRDNNKYKHGFSVAEMDSLTSICEAVFPSLPMDDDDESSKDVKSFFKLSASQYPFPREVAETVGKRGLTEAVILIRVILWLLSTRFGTFLICGFLCVSKKWPFINNFSSLSLGQREKIVQRCLKLRFLTPIRLAFVYIKVVCLFSIFSRVDEKGENPTWKAIGYEVSSSDEEMSNLFDKKRPLEKGIIETMHEHDTTLQQSLSNKGLNVTFDSKNNILKIKCDAVIVGSGCGGGVAASVLSKAGHKVIVLEKGHYFVPKDYSLLEGPSMDQEYESGGMLSSADSRMIILAGSTVGGGSAVNWSACIKTPKDVLKEWSKEHKLSLFESFEYLSAMETVCKRIGVNENCTQEGFQNQVLRKGCQNLGFKVDYVPRNSSGNHYCGSCGYGCRKGEKQGTQITWLVDAVENGAVIITGCKAERFLFESNNRNGSIRKKKCLGVSGKILNSRVTMKLQIEAKVTVSAGGAIMTPPLMISSGLKNKNIGRNLHLHPVLMTWGYFPESNSNLKGKVYEGGIITSVHEVQSSSDGSNSETRAIIETPLLGPSSFATLCPWESGHDFKQRMLKYPRTSHLITIIRDKASGQVTREGRISYKLNSIDKANMREGLQQAVRILIAAGAVEVGTHRSDGQRIKCWNENTSEEEIEKFIDSVCPVEGALSPGENWNFYTSAHQMGSCRMGVNEKEGGVDENGESWEAEGLFVCDASLLPSAVGVNPMITIQSTAYCISNRIVDYLGKGTQLS >CAK8534714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757190975:757193122:1 gene:gene-LATHSAT_LOCUS4234 transcript:rna-LATHSAT_LOCUS4234 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCFFNPWVVVSLVLVLPVLLSLRNPRGEPENTITRTTTSFGNVKLANTFRFQFNQRIRDGSNLEYDFYRDTCPQAEDIVRSAVTDIYFDHRDLSPSLLRLFFHDCFIQGCDASLLLEDNGDRNSSSEKQAIPNQTLKGFDKIDMIKEEVEQACPGVVSCADILALAARDSVLLAGGPFYPVLTGRRDSHQSFFEDATDQIPKPDDNITRTLHLFNLRGFSARETVSLLGGHNIGRIGCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRLNCPDNKSISSSSTDKLSTTFTISKPTNVHHSNKKGMSYMEALSAVSSGASFDTHYYQSLLRGRGLLFADQQLMAEEKTARLVSAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSQLVSS >CAK8574580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3031614:3033317:1 gene:gene-LATHSAT_LOCUS26910 transcript:rna-LATHSAT_LOCUS26910 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDECWESIFKFLLHDGDSDGKDIHRYFESLSLVSKHFLSITNHLRSSLTISDPTLPFLPRLFHRFPNLTSLDLTRITKQTDLHALLCQISTFPFNLKSLKISNQSTIPANGLRALSKTIPSLTSLTCSHIDSLHDNDLVLISDCFPFLEELDLSNTQKDVNVVLNAMVLDLPNLRKVNLSGHYNINDSMLLHLCMNCEFLQEIVILRSSFITHDGIASSIRERPGLQSLSVRLCLNGSHSNFIDSLVSLKSLTCLDFSYSTVSDHLLSSLADTGLPLRKLILQGCRGYSYVGIFNLLSKCRFFQYLDLQEAHFLNDLNVLELSSFLGDLVSINVSQCISLTNFALFSLLRNCASLSEVRMEYTDLGKVSEESYSTLINPVVNSQLKSLYLAHNRWLRDEHIYMFASVLPKLQLFDLRDCWGISEEGIGHILRKCSKIRYLNLTNCVGLEILRMNFKLSSLEVLNLSECGIDDTSLYAISKSCFGLLQLDLGGCCGITEKGVRKVVESCTQLREINLKECRKVAADVVDSMVFIRPSLRKITAPPYFPCSESKRKLFLRHGCLVC >CAK8535198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823435255:823436311:1 gene:gene-LATHSAT_LOCUS4671 transcript:rna-LATHSAT_LOCUS4671 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLEDVNKIKTYSWGSATLAHLYRSLCNNSIENSGNWTGCGVLLQAWGWSRMTNLAPIQQNNFEFPFAKRRSSLGMNYDNCPYYSITRYRNLINHLGQDDFIWRPYLGLEAFHEVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPEKYHTGKVSDQWEYNPWTKYAKHVCREWRHRNNYVLSDTVFPYEMKQSIQYMTWYKSVSIGFISHPRYLVDPHQQDSSSRPQQLTQPYFQPPTQPHFQPPTQPHCQPSTQYHFQPPTQPHFQPSTQPHF >CAK8535488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853214474:853217278:-1 gene:gene-LATHSAT_LOCUS4946 transcript:rna-LATHSAT_LOCUS4946 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPVLLEENETKSEAHLTSAAAFVEGGIQEACDDSCSICLESFSDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHLPVGANDADLEERIIQHLAAAAAMGRARHIARREGQRNRSSAQSRPQYLVFSAHPNSPPMAPASSSPSQRGDGEPTHATGEDTPQLTLMPPVQTDQVSASGSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRYKESISKSTRGWKERWFSRNSPMSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIQGSNDQHLTDSERDSLLRDNDIKTSCTAGSSSN >CAK8540304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:550157060:550161709:1 gene:gene-LATHSAT_LOCUS9319 transcript:rna-LATHSAT_LOCUS9319 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADIGVSAAINILSALAFLIAFALLRIQPINDRIYFPKWYITGGRTNPRTSANFVGKFVNLNFKTYLTFLNWMPQALRMSETEIINHAGLDSAVFLRIYALGLKMFVPVTIVALLILIPVNVSSGTLFFLRRELVVSDIDKLSISNVPPKSLRFFVHIGLEYLFTIWICFLLYKEYDNVALMRLHFLASQRRRVEQFTVVVRNVPHMSGHSVSDSVDSFFQTNHPDHYIGHQAVYNANKFAKFVRKRDRLQNWLDYYRLKFQKHPHTRPTIKTGCLGLWGRKVDAIEYYEQHIKALDKKMTLERQKIIKDPKSILPVAFLSFNSRWGASVCAQTVQSKNPTLWLTDWAPEPRDIYWRNLAIPFVSLTIRKLIITLLVFALVFFYMIPIAFVQSLANLDGLEKVAPFLTPVIELKLIKSFLQGFLPGLALKIFLYILPSVLMIMSKVEGYIALSTLERKTAAKYYYFMLVNVFLGSIITGTAFEQLHAFLHQSPTQIPRTIGVSIPMKATFFMTYIMLDGWAGIASEILRLKPLVIYHLKNVFLVKTERDREKAMDPGSVDYPETLPSLQLYFLLGIVYAVMTPILLPFILIFFAFAYLVYRHQIINVYNQQYESAAAFWPQVHSRIIASLLISQLLLFGLLSTKKAVKSTPLLVMLPILTFAFHKYCQRRFEPAFRKYPLEEAMAKDLLEKTTEPNLNIKAYLADSYLHPILRSFEEIEEELVELEKVEVRVDKNQTHHVAIPILSESSSSSSSPPHHIHQHQPSPHEYYYNPHSPHYNYQYQDQP >CAK8532357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249444125:249446197:1 gene:gene-LATHSAT_LOCUS2073 transcript:rna-LATHSAT_LOCUS2073-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSSSSFVKHTFHFLLSLFLLLLNQTYSQSHSLVHDHEQKVLLNIKQYLQNTSFLNHWTPSSNSNHCSWKEIICTNGFVTGITLSEINITKTIPPFICNELKNLTHVDFSFNFIPGDFPTLFYNCSKLVYLDLSMNNFDGIIPNDIGNLSSYLQYLNLGSTNFHGGVPDGIGKLKELRELRIQYCLLNGTVSDEIGELLNLEFLDLSSNAVFPSWKLPLSLTKMKNLKVLYVYGSNLIDEIPERIEDMVSLEKLDLSDNGLTGEIPRGLFMLKNLSILYLYKNKLSGEIPSSVQALNLTSLDLAENKLVGKIPQDFGKLQKLTWLSLSINSLSGEIPESVGLLPSLVDFRVFSNKLSAGVSSWTNVIVFDASNNLLNGSIPQEITSLPKLTTLLLDQNQLNGPIPTNIISWKSLVTLNLSRNQLSGQIPDTIGKLPVLSQLDLSENEFSGEFPSQLPRLTNLNLSSNHLTGRIPSEFQNSGFARSFLANSGLCADTPMLNITLCHSGFRSESKGSSWSIGLIIILVMVTILLAFSAAFLIINVFKKRKQGLDNSWKLVSFQRPLK >CAK8532358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249444125:249446197:1 gene:gene-LATHSAT_LOCUS2073 transcript:rna-LATHSAT_LOCUS2073 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSSSSFVKHTFHFLLSLFLLLLNQTYSQSHSLVHDHEQKVLLNIKQYLQNTSFLNHWTPSSNSNHCSWKEIICTNGFVTGITLSEINITKTIPPFICNELKNLTHVDFSFNFIPGDFPTLFYNCSKLVYLDLSMNNFDGIIPNDIGNLSSYLQYLNLGSTNFHGGVPDGIGKLKELRELRIQYCLLNGTVSDEIGELLNLEFLDLSSNAVFPSWKLPLSLTKMKNLKVLYVYGSNLIDEIPERIEDMVSLEKLDLSDNGLTGEIPRGLFMLKNLSILYLYKNKLSGEIPSSVQALNLTSLDLAENKLVGKIPQDFGKLQKLTWLSLSINSLSGEIPESVGLLPSLVDFRVFSNKLSGAIPPELGRYSKLKTFLISSNSLIGKLPENLCYHGELLNLTVFKNNLSGELPKSLGNCNSLMDLKIHNNEFSGAIPSGLWTSFNLSNFMVSNNKFTGVIPERLSSNVSRFEIRNNQFSGGIPAGVSSWTNVIVFDASNNLLNGSIPQEITSLPKLTTLLLDQNQLNGPIPTNIISWKSLVTLNLSRNQLSGQIPDTIGKLPVLSQLDLSENEFSGEFPSQLPRLTNLNLSSNHLTGRIPSEFQNSGFARSFLANSGLCADTPMLNITLCHSGFRSESKGSSWSIGLIIILVMVTILLAFSAAFLIINVFKKRKQGLDNSWKLVSFQRPLK >CAK8532894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546037895:546039328:-1 gene:gene-LATHSAT_LOCUS2556 transcript:rna-LATHSAT_LOCUS2556 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIVLYPALESGHLMSMVELGKFILTQNPSFSITILILTPPNNKHTPLSPQEQYIASVKAAFSSIHFHYIPPISFTATLPAHLETIDLSHQSNDHVHNILHSISKTINLKAVILDFITYSAYQITTKLEIPTYFYFTSNATALFTLLYFPTIHQKATKSIKDLHMPLEFPGLPKNLSTDDYPDEVNDSQSKEYKVLLDSAKTMRECVGIIVNTFDAIEGKVIRTLNEGLYFLDGTTPSIFSVGPLITSSYGGDENGCLSWLDSQPSQSVVFLSFGSMGRFSKSQLNEIAIGLEKSEQRFLWVVRSELDSDNLNLDELLPEGFLERTKEKGTVVRNWAPQGAILSHDSIGGFVTHCGWNSVLEAISESVPMIAWPLYAEQRLNRLILVEEMKVALKVTRLEDKFVTATELGERIKELMESNKGKEIRERILKIKASAKEAREGGGSSLANLKKLGDLWREHASSNNLSPNSPFIFR >CAK8568084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:571617896:571620900:-1 gene:gene-LATHSAT_LOCUS21080 transcript:rna-LATHSAT_LOCUS21080 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFSRVQKELVECRKDAAGSGIQVSPKSDNLVNLIGTIPGPTGTPYEGGVFQIEIKIPDGYPFEPPKMKFTTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAKQYLKDYQTFVNTARYWTESFAKTSSRGVEDKVQKLVEMGFPEAQVRSILEAVGGDENLALERLL >CAK8573386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607199310:607200521:-1 gene:gene-LATHSAT_LOCUS25838 transcript:rna-LATHSAT_LOCUS25838 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQSSSPSSLTQFGRSILSFRREQVHSMEGSTLETELDAFQEHVADRFLDLSSVGQDDLLSLKWIGKLLDCFLLCQEEFKAILYTHKGQASKSPLDRMVSDYFERSVKALDVCNAIRDGVEQIRLWQKLLEIVLYALDHERSIGEGQFRRAKKALIDLSISMLDDNKDSNGSVAQRNRSFGRSNGGRDKDHSHGNSHGNNNYQHRSLGHFRSLSWSVSRTWSAARQLQAIGNNINPPKANDLIATNGLAMSVYVMNSVLLFVMWALVAAIPCQDRGLQVHFTIPRSYTWAIPLLALHDRILEESKKRERKNACGLLREIQQIEKCVRQMSELADSAQFPLTEEKEVEVRQRVQEVSKVCDALKDGLDPLERQVREVFHRIVRSRTEGLDSLGSRPNNAE >CAK8561371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:172623336:172624208:1 gene:gene-LATHSAT_LOCUS14971 transcript:rna-LATHSAT_LOCUS14971 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYMNRGSLDQNLFGSAGQPVLEWQERLDVALGTARGLAYFHSGCEKKIIHCDIKPENILLHDQFQAKIFDFKLSKLLSPEQSGLFTIMRGTRGYLALEWLTNSAISEKTDVYSYRMVLLELISGRKNCSFRSETHSMDDEHNNSSGNNGNSSNSSTTELVYFPLFALEMHGQKSYMDLADPRLEGRVTFDEVEKLVRIALCCVNEDPTLRPNMVTIVEILEGGTPLPQPRMECLNFLRFYGRRFSEAFVVAKENEHGPVRVQQLRDSTGLVSGFSYISSQQISGPR >CAK8574470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:302370:304914:1 gene:gene-LATHSAT_LOCUS26814 transcript:rna-LATHSAT_LOCUS26814 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESKGKTKIDGGKVGGGFRSKLNHALYSGEKKHVFAGLVLITAVFSVPWFLMNRGSKHQSHQDYLEKADKARSQRLSSSPASAK >CAK8575337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:87150389:87156129:-1 gene:gene-LATHSAT_LOCUS27607 transcript:rna-LATHSAT_LOCUS27607-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPINLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPIIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGVGSAFPSAATFPHYAIQQGIPYNVYGYSSYSPDYSYPTSYYGVYGGATAQYPVYGSGPTGGMMTGGAAAAAAYYPYLYGEGTGGATTGGYTSGQGYGVNYPPHVFQYSPIASTGGYAQHYGTPISLAPSPAALPSVCFAVPQA >CAK8575336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:87150354:87156129:-1 gene:gene-LATHSAT_LOCUS27607 transcript:rna-LATHSAT_LOCUS27607 gene_biotype:protein_coding transcript_biotype:protein_coding MTPINLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPIIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGVGSAFPSAATFPHYAIQQGIPYNVYGYSSYSPDYSYPTSYYGVYGGATAQYPVYGSGPTGGMMTGGAAAAAAYYPYLYGEGTGGATTGGYTSGQGYGVNYPPHVFQYSPIASTGGYAQHYGTPISLAPSPAALPSGVTMALQAPIPHR >CAK8571142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:312462620:312463044:-1 gene:gene-LATHSAT_LOCUS23832 transcript:rna-LATHSAT_LOCUS23832 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHSYALPLLSTLSPFSSKPLSISPPHSLTTTTYFNNTPLFVSTPPKKLLRKPPQGKHVKDDYLVKKF >CAK8560465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20801338:20802244:-1 gene:gene-LATHSAT_LOCUS14134 transcript:rna-LATHSAT_LOCUS14134 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEYNFSSMSLASNPSLEKQMSPKSPLPGFVDFHGKRKQIVKIQALEREINLLQEELKSLEGLQSASTCCKELDDFVGSISDPFTLTGKHTISESHYFKKQISLPCCSCKCYLHKKVAKGCCSCCSSSNFKCFGSSCLKSTRLSKIVAKF >CAK8572417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535243242:535245432:-1 gene:gene-LATHSAT_LOCUS24988 transcript:rna-LATHSAT_LOCUS24988 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFPPNSLSPLLNIKPSPSLQPKPNSLFSCKPIVSSLKKNQTLSLKPPSLPSSTSWFAHAQQGLAALAITLALNFSPVLHSGNALASEFDVINERPAKDSYVVDDAGVLSRVNKSDLKRLLSDLESRKNFHINFITVRKLTSKADAFEFADQILERWYPSVEEGNEKGVVVLVTSQKEGAVTGGPAFIQAVGEKILDATVSENLPVLATDEKYNEAIYSTAKRLVAAIDGLPDPGGPVVKEDKRESNFKSKQETEEKRGQFSLVVGGLLVIAFVVPMVQYYAYVAKK >CAK8534949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:794795625:794798319:1 gene:gene-LATHSAT_LOCUS4442 transcript:rna-LATHSAT_LOCUS4442 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMNNIRSATKEALDRTAIIAKFLCCLHFTANYLCSPTHAYGPSMLPTLNIAGDVVLVEHLSPRIGKIVHGDLVLVRSPLNPNRNLTKRVVAMEGETVTFFDASGSDSSQTAVVPKGHVWIQGDNIYASRDSRHFGPVPYGLIKGKVFFRVWPPSSFGLLDN >CAK8564342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668957003:668961121:-1 gene:gene-LATHSAT_LOCUS17657 transcript:rna-LATHSAT_LOCUS17657 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTCFHAPSIFSSNACTRLNRNRYRSSMAASSVRIIVVGDVHDCWNFEQDSKALQFLQPDLVLFTGDFGEENLEVVQSVANVEFAKAVILGNHDAWFTKKFSESEKDKVQLQLECLGKEHVAYQRLDLPLIRVSVVGGRPFSCGGTSLFRKKLLSARYGVKDMDESAKRIQKAALGTPENHFLILLAHNGPTGLGSGLNDICGKDWEFKGDGGDHGDPDLACAISLLKENNEVSIPLVVFGHMHKELAHGNGFRKMIVVGADNTIYLNGAIVPRAKSLDNSNKRSLVNESPEVKGTARAFTLVELSEGRVTKVAESWVAVVEDRTTLIEEYVLFERN >CAK8575750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:304703128:304703460:1 gene:gene-LATHSAT_LOCUS27986 transcript:rna-LATHSAT_LOCUS27986 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSAAAVCGMNLCRIYWCRFVIWFGGCICVESSPQRRSISCKSPSTGLHLSRSVLGRQASVNIILCSANHSDVPRQFFIPNKLAPSYSNIPYSAFFRKKFFEFQQPSS >CAK8543238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591210330:591212921:1 gene:gene-LATHSAT_LOCUS12009 transcript:rna-LATHSAT_LOCUS12009 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLVYDIADSLLGKLASYVCEEVSRAYGVYEDLQRIKDTLSIVKGLVLDAEEKKKQQHALREWMRQIQNICSDAENVFDGFELKHKKKQVLEASSSTRLKVSHFLSSSNPLVFRSKMAHQIKEIKDRLDKVATDGTRFGLAATSVDPGFILQRREMTFSHVDALDVIGRENDREEIIKLLMQPHPQGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSASESIPSAPLAYQENINNLDIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKGGAIGSKIIVTTRSNSIASMMGTFHSYVLEALSHESCLSLFVKWAFKEGEEDKYPNLMEIGKQIVIKCRGVPLAVRTLGCSLYSKFDLNKWKFARDSEIWNLKQNEDDIFPALKLSYDQLPSHLRHCFTYFSLYPKGFLFVSVKITNLWMALGLLQSLDGNQSLESIARDYIDELHSRSFLQDFKDFGCFYCFKVHDLVHDLALYVAKDECVVVDSHTRNISQQVRHFSMVDNGSLDSALFPKSKSVRTILFPIKGVGLDSESLLDAWILRYKYLRILDLSDSSFETLPNSIDKLKHLRFLNLSDNCNIKRLPLSICKLQCLQVLLLGGCMNLETLPKGLGKLISLQKLQITTKQSVLSLDEFASMSNLQTLCFRNCDNLEALLGEVQLASLEVLAFDCCGSLVSLPLCSFSKLELLQVNNCKRLQLRLPENPQSKTKRLRMKYLRLENFPELHTLPEWIERAAETLQTLIIINIPKLWKLPDCLTRMTHLKILYIARCPLLDSFPSGMQHLTSLDELIIDGCPKLCQKCQPHYGEYWPMISHIKHVSSGEP >CAK8543239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591210801:591212921:1 gene:gene-LATHSAT_LOCUS12009 transcript:rna-LATHSAT_LOCUS12009-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSHVDALDVIGRENDREEIIKLLMQPHPQGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSASESIPSAPLAYQENINNLDIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKGGAIGSKIIVTTRSNSIASMMGTFHSYVLEALSHESCLSLFVKWAFKEGEEDKYPNLMEIGKQIVIKCRGVPLAVRTLGCSLYSKFDLNKWKFARDSEIWNLKQNEDDIFPALKLSYDQLPSHLRHCFTYFSLYPKGFLFVSVKITNLWMALGLLQSLDGNQSLESIARDYIDELHSRSFLQDFKDFGCFYCFKVHDLVHDLALYVAKDECVVVDSHTRNISQQVRHFSMVDNGSLDSALFPKSKSVRTILFPIKGVGLDSESLLDAWILRYKYLRILDLSDSSFETLPNSIDKLKHLRFLNLSDNCNIKRLPLSICKLQCLQVLLLGGCMNLETLPKGLGKLISLQKLQITTKQSVLSLDEFASMSNLQTLCFRNCDNLEALLGEVQLASLEVLAFDCCGSLVSLPLCSFSKLELLQVNNCKRLQLRLPENPQSKTKRLRMKYLRLENFPELHTLPEWIERAAETLQTLIIINIPKLWKLPDCLTRMTHLKILYIARCPLLDSFPSGMQHLTSLDELIIDGCPKLCQKCQPHYGEYWPMISHIKHVSSGEP >CAK8566907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471803535:471810011:-1 gene:gene-LATHSAT_LOCUS20003 transcript:rna-LATHSAT_LOCUS20003 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKIPRKPSKSSDRDSNGGGELVNGGSGSSLNSSYGISSSSVLKSNSTLTKSSSSGSGGLYSGNGSSAPLSKNSNKTNQAKKLTAAIGPHVDSVMAFGNGVYEALPGFREVSSSEKANLFIRKLNMCRVVFDFNDSNKHVKEKDIKRQTLLELVDYVSAVNSKFSEATMQEITRMAATNLFRTLPCSNHDGKLAEAYDPEEEEPALEPAWSHLQIVYEVLYRFVASPETDAKLAKRYIDHSFVLRLIDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYQFIFETEKHNGIAEMLEFLGSIINGFALPLKEEHKLFLIRALIPLHKPKCVSMYHQQLSYCVTQFVEKDVKLADTVIRGLLKYWPVTNSAKEVMFLGELEEVLEAIQVAEFQKCAVGLFHQIARCLTSLHFQVAERALFLWNNDHIRNMILQNRKVILPIIFPALEKNARGHWNQAVQSLTLNVRKIFSDADQTVFDECMIKFQEDEIKEREKQEKRESTWKNLEDVAIASTSLTNEAVLASRFASVATVQNNY >CAK8563696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625514420:625514941:-1 gene:gene-LATHSAT_LOCUS17078 transcript:rna-LATHSAT_LOCUS17078 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEHVIEDSYMTDKLDSGGDDDSCDERSRVIKFNEEDSLGKDFGFNVGMKFSSFRQFKDAILEHNILSGRDVKFEKNNANRCRVVCKDKKKCDCTILCGRVLTSTTFRVKTLFSKHKCGRQFFNKNAKTEWVDKVIIDGLKNNSRMRLSEVVADVRQRYATKIPGYRAFKAR >CAK8538300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475885823:475887586:-1 gene:gene-LATHSAT_LOCUS7514 transcript:rna-LATHSAT_LOCUS7514 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFIVHLEGKIYSCKHCRTPLAVSEDIVSKAFHSRHGKAYLFRKVANVTIGEKEDRPMITGMHTVADIFCVGCGSVVGWTYITAHEKSQKYKEGKSVIERFKVLGPDGSNYWGSHEAHGGSDADDA >CAK8576581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494943854:494944525:1 gene:gene-LATHSAT_LOCUS28757 transcript:rna-LATHSAT_LOCUS28757 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSFNLQAEKTNAILKHRKLQRVTTLLRLVEACVVLVLISRLSMKLPVVVRNSSEYLKDFSVFMNSPCFVFLIGNVIIITLFVQGLGKNVHEETEQDDIYEKFVRKEKEQIRKNDCSTEGGNRVVEEEKVKRGVKKGYCYRRCESEVLKKRRRVLRRCESENNKGRKSIEGGGGGEEEMVMRISYPEDEMSNEEFRRTVEAFIAKQQRVLRGEGEDCSYLV >CAK8543787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639858796:639859872:1 gene:gene-LATHSAT_LOCUS12523 transcript:rna-LATHSAT_LOCUS12523 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIEIFCASQASTAICLNTDHASSSSSSSSNTIQFGGRAIDRHNPIITDPKRTPARDFTLPASSSPSPINPKPPHDLKKTKKKKTTATKKTTTPNENSTEHKDIANNFSSKPFDSILRRSWLKPPSHSITPPSSRYLLDDITSLDGVLDYDPVLGLTKLDDSKKKAQVFDEDENNHSSKQHSSSSVPKPSSTNQVVVLRVSLHCKGCEGKVRKHLSRMQGVTSFNIDFAAKKVTVVGDVTPLSVMASISKVKTAKIWPETAAPNGSGAGETKKTNAVI >CAK8577042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533598379:533599770:-1 gene:gene-LATHSAT_LOCUS29185 transcript:rna-LATHSAT_LOCUS29185 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGECLLQLAQRCVKHSKQLKQIHSLVITNGYLLFHHNKWMPTLLYNAFIRAYHVQNHNKVLVIFTHMLSNNVSPNTHTFPPLLKSSPRSLVTSLHSQALKRGILSDPFVVTTLLVLYAKNNNLVCAQKVFEEVPLFCIVASNAMINAFSMNGNMECALLLFQSMPYRDVVSWTTMVNGYAVNGSFGAAIRCFGRMMTHKDVVGCLVKPNEATYVSLISSCAGLEGKAALDFGRQIHGYILVKGVDLGVFVGTSLINLYGKMGCLNYAVNVFRVMVKREVCTWNAMISSLASNGREKEALDLFKKMKKRQGLQPNSITFVAVLTACARGRFVRDGLDLFGSMTSEFQVVPVMEHYGCVVDLLGRAGHIQEAADIIRNMPFQPDESVFGAFLGACRIHGAIELGEEIAKEMLKLQTQHCGQYLLLSSMNAEKERWDHAADLRKEIMEAGIQKIPAFSMVHLT >CAK8559933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1906656:1907117:1 gene:gene-LATHSAT_LOCUS13665 transcript:rna-LATHSAT_LOCUS13665 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCRKHCRFGTLDVEKVKDKIVICLEDEYLGTFHAGAEAFSAGAVGMILVTEIDSFYDSTAYPHILPTSYVNYTDSQYIDSYIKSEKNPVAYITKAVTKTLIILAPVIASFSFYNTYKLVMLLT >CAK8569880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14092924:14096475:-1 gene:gene-LATHSAT_LOCUS22684 transcript:rna-LATHSAT_LOCUS22684 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDWLPWMDSDPDLFPLEPFINNFLHSHFLQSFIQNSRTFYVPGASAIEETFGRVSKIAGAVLFWASGGGSSVCGGSLRFGGGSGNVNMNMKVKPVIGNNVVGFGFPFGFKSKRKSSERVSLGKISSSVVRLFWREAKRIQSYPVLSLAAALVPPIQNLSSNLLSGPMQDPDMQMHGSMDQLPKDVERQGCPRLSISELNLANSTVEPKTGIEFPVVLDNLSAGEQNSRSDSEVLVGTGSRTMTIVKIKSLKIYAFGFYVHPNSLCEKLGPKYASISSDELNDHNDFYQDLLREDISMTVRLVVNCKGMRINSVKNAFEKSLRARLVKTNPSADFDCLCTFGSYFTENIPIPLGTVIEFKRTVDGRLITEIGGSYVGSVHSKDLCRAFFDMYIGDLPVCEQAKKEIGMNIANMIKSC >CAK8567870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:552210146:552210931:-1 gene:gene-LATHSAT_LOCUS20887 transcript:rna-LATHSAT_LOCUS20887 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACSYVMSGSDLNAKLISMPSVASPVGVSGSKLPSIKAQQARIPKANPSEGRRNALALLAATLFTTAVSASDSSANASVFDAYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISEDLELECEGKDKFKCGSNVFWKW >CAK8561697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:357771642:357772637:-1 gene:gene-LATHSAT_LOCUS15262 transcript:rna-LATHSAT_LOCUS15262 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCDEDVPKYLQECELGEECEELITTLPSEKGWITTRLHQYQGFWFAPKSLQGVLSCQKNFQALDTDIILVTCPKSGTTWLKALSFALINRNKYPNIHSNHPLLTTNPHELVPFWEIGLYYDKDFVPDLKTLPPPRIFSTHLSYESLPKSVKDSACKVVYLCRDPKDSFVSYWHFVNKLRSQSSGTLPLEEAFESFCRGVNPYGPFWEHVLGYWKKSLESSKNVMFLKYEEMKMKPYFYLIEIAKFLECPFSQEEESKGVVDDILDLCSFEKLSNLEVNKTGKISYDIENKSFFRLGQVGDWKNLLTTEMIEHINTITEKKLVKHGLSF >CAK8563238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584925827:584930062:1 gene:gene-LATHSAT_LOCUS16669 transcript:rna-LATHSAT_LOCUS16669 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDGETHDAPQSQRKIPPASSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQKKSAEAGTIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEQGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRREMAAGFVPMYCRIATHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICGGYRTLVETSGFIYVQLEGSNNINLRGYSCLNVTKHVLSLNKEQDG >CAK8572076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507653885:507654376:1 gene:gene-LATHSAT_LOCUS24680 transcript:rna-LATHSAT_LOCUS24680 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEEGDDDHDQGKKRSYECTYCKRGFTNAQALGGHMNIHRKDRAKSNKKPQISNKFFTNDETTSVAFPFVSTNSSPMRQMQQYLPTTTNYLVENYQPSNVHGFGYDQYPRSSSSNWPNLHFNQELQGPNLSLQIGPTRQIIRRGNQEEKEVDLELRLGHDP >CAK8578468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630918809:630919081:-1 gene:gene-LATHSAT_LOCUS30477 transcript:rna-LATHSAT_LOCUS30477 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAVREETKATNDSAKGNIEGLVIEHSPYLNYKDLEDYKNQGYGTHGHQQPKEGRGPGATEAPTLSGADVSSQGEVNAADGGHRKSVP >CAK8536777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:19313765:19314361:1 gene:gene-LATHSAT_LOCUS6110 transcript:rna-LATHSAT_LOCUS6110 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGASSEITDAQITDLISKLQQLIPELRATRSNKVSASKVLQETCNYIKNLHREVDDLSERLSQLLETTDSNSAQAAIIRSLLM >CAK8567372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509088197:509095387:1 gene:gene-LATHSAT_LOCUS20433 transcript:rna-LATHSAT_LOCUS20433-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLLTPSLPLPSRPSVSPTKMATITGGAAARIIPSATRATVSLSSSSRSFFSFSSTSSSVSSLKCLRSSPRISHLFLDQRRSEVRVSSGRFGTVSALASDPDQLKSAREDIKELLSTKFCHPLLIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEAELKHGANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKIPMKYGRVDTSGPEQCPEEGRLPDAGPPSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFKDIKEKRDEDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGIVIDGSPNVKGEKFVAAKYSSGKE >CAK8567373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509095557:509095691:1 gene:gene-LATHSAT_LOCUS20433 transcript:rna-LATHSAT_LOCUS20433-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKIQAEYEAVGGSPDKALKSNYFLNIIIVVAVLAILTYLFGN >CAK8567371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509088197:509095691:1 gene:gene-LATHSAT_LOCUS20433 transcript:rna-LATHSAT_LOCUS20433 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLLTPSLPLPSRPSVSPTKMATITGGAAARIIPSATRATVSLSSSSRSFFSFSSTSSSVSSLKCLRSSPRISHLFLDQRRSEVRVSSGRFGTVSALASDPDQLKSAREDIKELLSTKFCHPLLIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEAELKHGANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKIPMKYGRVDTSGPEQCPEEGRLPDAGPPSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFKDIKEKRDEDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGIVIDGSPNVKGEKFVAAKYSSGKRELSDAMRKKIQAEYEAVGGSPDKALKSNYFLNIIIVVAVLAILTYLFGN >CAK8567970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559700509:559701027:-1 gene:gene-LATHSAT_LOCUS20974 transcript:rna-LATHSAT_LOCUS20974 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGQTSVKDLVKSSVAQEAIWKNLHLKESILKHKSRLKWVQKDDLNSKHFHYILKCRTRRNFIISIQAGSGVVEEVIVVKKVIKDHFESKFQRKYIQRPKLDYSDFIKLYRDESLRLEKEFTENEIKEVILDFKGNKSPRPYLNMEFWKRCWEVVGIDMVNYVYNFHST >CAK8566665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446794321:446795997:-1 gene:gene-LATHSAT_LOCUS19780 transcript:rna-LATHSAT_LOCUS19780 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRRNYDKDRLSDLPDCLLLQILSHLNIMQAVQISILSTRWKNLWKHISVLSINYSHSFQTLESFTNFVYQFLSHRNGKTCLQALNFDCDEYIESRLLKRIMNYVFSHNVQRLDITVACNIEPFPLCSFPYHTLTSLRLFSCREFSCSNPSFPNSLKLLPALTYLCIEFFTFRDDYYAEPFSVFKSLNTLLIQFCDVFNENNFLHISSVSLVNLTIALPSHAYKFKLSTPNLSTFDFLGDPLQNLCGSTNNNCCNFSFVKHAKIALPVTGVENFPSILFNWLVELALVESLTISPRALEILNLIPESWKIDFPYLHNLKLLKIESYYPTPIPNGTWEFLLQNAPSAKKVRVIKRTT >CAK8542334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502459731:502460297:-1 gene:gene-LATHSAT_LOCUS11180 transcript:rna-LATHSAT_LOCUS11180 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCPIVSFLLLFTLILTSCVFSQTQKCRPNGRIRGKKAPSGQCNKENDSDCCVRGKMYTTYECSPSVSPHTKAYLTLNSFEKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWEALGVPKDQWGGLDITWSDA >CAK8574492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:725844:726212:1 gene:gene-LATHSAT_LOCUS26835 transcript:rna-LATHSAT_LOCUS26835 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNLDGSGNIEVSTRLNHEVVSMESNNEHKHGLSVIIRKGGSGGGGGGGRGGGGGGKGSGGGSGRGRAVGGGAAAGVLGAGVIGGSSVYHGTHHSNNSATLLSAGPQVCVSIFILCLSFWV >CAK8535834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884067881:884070152:-1 gene:gene-LATHSAT_LOCUS5254 transcript:rna-LATHSAT_LOCUS5254 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAAMAKLTPLFSILTHRPFLSSSNSHSYSLKHTINHPALPFTTSAINTLPPTPDGAIIKLSIKARRKAANESPEGVLQRKLNNCSKSGDVLQALHLYDEARNSGVPLNLHHYNRLLYLCSVQSGGDAAHLGLQRGFEIFQQMLNDKVIPNEATFTNAARVAAAKEDPEMAFELLKQMKSVEIAPKLRSYSPALYGFCKRGDAAKAYEVDADMIESGVMAEEPELCALLEVSVETRNEDKVYEILHRLRAVVRQVSESTLKVVEDWFKSEYAGKIGKREWEDEKIREGFVRGGGGWHGQGWLGSGQWKVVHTHVDEDGMCLSCNEKLVSIDIDTKETENFADSLTKLACEKEPMAKFNHFQKWLEKNGPFDAVVDGANVGLANIAEFSFRQLNYVVQQLRQLSPSKRLPLIILHVNRVTSGPARNASYKRLIENWKNSGVLYATPQGSNDDWYWLYAAVSCNCLLLTNDEMRDHLFQLLGSNFFPRWKEKHQVRVSASSRGVSLILPPRYSIVIQESANGSWHVPTVSSDDPDVQRKWLCVTRSHKNL >CAK8541713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:362379698:362380369:1 gene:gene-LATHSAT_LOCUS10609 transcript:rna-LATHSAT_LOCUS10609 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFASASAITDQRQKIEQYKHILSVVISSNDIGQARKFIDHILSDDVPLVVSRQLLQSFAEELGRLTPETQKEIAHFILAQIQPRVVSFEEQVLVIREKLAELYESEEQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIACLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLEYKVCYARILDLKRKFLEAALRYYDISQSPENAKWRHCRKTQDRSS >CAK8577658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581966983:581968922:1 gene:gene-LATHSAT_LOCUS29745 transcript:rna-LATHSAT_LOCUS29745 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRSNEDTFRMYMYDYFKKRGLHYTAEIFRMEGQVSSKPPIEINQNPHGFLHKFWSLLHDSYNPSLKMPPPPDKVENVGSKRDTSTSQICRDGYSMQLIGNFKGVNTLSSCDFSSDGKVLASGGLGKKAFICYMDTCECINTSDSHLGPISEVRFQPRTTIFATSSPDKTVKLWDSNKPRTKLFDLVGHKGVVKSLDFHPNEALLCSSDSFDVIEVWDLVKCIQLKNFMAGGQKIRFQPVFGKFLAVANGNAITILDIQTWKVQNRFQGHSKEIRSICWDAQGQRIASVTEDCARIWSIAVRGQYLHEYKANGKRFQSIIFHPRYPNVLVIGVFQDIELWIPETEQVYLIPAHTKATVTGLAACAQNQFIASCSSDRTVKIWK >CAK8561268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132262594:132264445:1 gene:gene-LATHSAT_LOCUS14877 transcript:rna-LATHSAT_LOCUS14877 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPMGVNNRDISNIFRITSPLVVDEAMVEKVLGPPKFDGRETAARVVTPGISVGLVWTTFGGEVQFVEASTMVGKGELHLTGQLGDDEMKQLAKSERLAVNVSNAVNLVLFAAKVYASIESRSLAVIAPTLDSLLDLLSGFILWFTTNAMKTPNHYHYPIRKKRMQPVGIIVFASVMATLGLPILIESGRQIISKTKAEMDHSELMWMIVIMVFVTIVKFILMVYCRRFTNEIVKAYAQDHCFDVITNSVGLVAAVFAVKFYWWIDPLGAIIVNSFLPLIVL >CAK8561269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132262594:132263297:1 gene:gene-LATHSAT_LOCUS14877 transcript:rna-LATHSAT_LOCUS14877-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPMGVNNRDISNIFRITSPLVVDEAMVEKVLGPPKFDGRETAARVVTPGISVGLVWTTFGGEVQFVEASTMVGKGELHLTGQLGDVIKESTQIALTWITNYI >CAK8577124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538580451:538582950:-1 gene:gene-LATHSAT_LOCUS29256 transcript:rna-LATHSAT_LOCUS29256-2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNLMEEKQLDFNQPILSVRRFSSTTSSENEGKRKTEKATSKRTRPPAYKSELKSGPVSNPGTVPFVWEKSPGRPKNESKLQTKEEPLVAPKLPPGRVLKVEQQDFDKSSKGASITQSRTDSTVSNSISVASLDSKEENQEGRKEVVLKKESSGSDNEDETYLDALETLSRAESFYMNCSVSGLSGYDDREAQPSESFLADQQARDFMIGRFLPAAKAMACETPHTQYASKKPFVRQEQPRPVPKVESVAKSRPLDQKWRNILPHYTQDTGQDESEDESDDNDKYESYAPKVCGLFPRFCLLNPLPGLRVEDDKNVNSAVHGVRRKSIASSRRTAKEHPRTVNYGEKSQSGFTKENDFLCNQEKSKHAIDPHRRGVDKSSGSDRNQLESTCESPVVEKTLYVDSVHKVSSEMKSRIDHREDDFNTLRKYSSIDKNPLIGSSNEDNKHMVAVNEKPALRPKGSVFLDSSLLVCSEKLSDDMQMKKMTNHSNKINTEKQGSNLDRNFSVVSRVELAEHKKIESKNEVSSNKTSSNSITQTPAPWRNLKLASDSDFCLKIQRAAKLADQDCAHVHESNENPSNLTSLKVVGGRKNGSENQFPMKLGQSVRSNTSSLKLPLVLPSPKGPSESWLKRTLPTASSKSMSSRSNLAASIHTAAQTPNAALPDPKWETIVKSSKAQHGHLRFPEELAPIPEA >CAK8577123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538580451:538583863:-1 gene:gene-LATHSAT_LOCUS29256 transcript:rna-LATHSAT_LOCUS29256 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLTNETEAKYMILKNLMEEKQLDFNQPILSVRRFSSTTSSENEGKRKTEKATSKRTRPPAYKSELKSGPVSNPGTVPFVWEKSPGRPKNESKLQTKEEPLVAPKLPPGRVLKVEQQDFDKSSKGASITQSRTDSTVSNSISVASLDSKEENQEGRKEVVLKKESSGSDNEDETYLDALETLSRAESFYMNCSVSGLSGYDDREAQPSESFLADQQARDFMIGRFLPAAKAMACETPHTQYASKKPFVRQEQPRPVPKVESVAKSRPLDQKWRNILPHYTQDTGQDESEDESDDNDKYESYAPKVCGLFPRFCLLNPLPGLRVEDDKNVNSAVHGVRRKSIASSRRTAKEHPRTVNYGEKSQSGFTKENDFLCNQEKSKHAIDPHRRGVDKSSGSDRNQLESTCESPVVEKTLYVDSVHKVSSEMKSRIDHREDDFNTLRKYSSIDKNPLIGSSNEDNKHMVAVNEKPALRPKGSVFLDSSLLVCSEKLSDDMQMKKMTNHSNKINTEKQGSNLDRNFSVVSRVELAEHKKIESKNEVSSNKTSSNSITQTPAPWRNLKLASDSDFCLKIQRAAKLADQDCAHVHESNENPSNLTSLKVVGGRKNGSENQFPMKLGQSVRSNTSSLKLPLVLPSPKGPSESWLKRTLPTASSKSMSSRSNLAASIHTAAQTPNAALPDPKWETIVKSSKAQHGHLRFPEELAPIPEA >CAK8562231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459386514:459389998:-1 gene:gene-LATHSAT_LOCUS15746 transcript:rna-LATHSAT_LOCUS15746 gene_biotype:protein_coding transcript_biotype:protein_coding MENLASLAASTGTFPTHQFPFKIQHSFFPLRFTSRTTFLRIPHLCCQTRQCRSNAFSTKQDLIALQQEEEEEDEEEQTASYSDDDTSFLSLSEKPDRNFGLLDDYETEELDYDCGPNHRSGYVALVGKPNVGKSTLANQMVGQKLSIVTDKPQTTRHRILCICSGSDYQMILYDTPGVLEEQRHKLDSMMMQNVRSAAVNADCVLFLVDASKAPEKIDEVLGEGMRNSKDKPPVLLIMNKKDLIKPGEVAKKLEWYTKFTDVDEVIPVSSKYGQGVEDVKNWILSKLPNGPAYYPKDIISEHPERFFIAEIIREKIFLQYRNEIPYVCQVNVKSYKTRPKAKDFIQVEILVERKSQKIIVIGREGKALKLLATASRLDVEHFLQKKVFLEIQVKIKENWRQDEGFLKHSGYGGQIGVV >CAK8574501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:977329:983004:-1 gene:gene-LATHSAT_LOCUS26842 transcript:rna-LATHSAT_LOCUS26842 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSIHSISDTHSLLHHHHHHTPSSIIAAIAGVTTRPSFLKIAQPSHSHSLVAAPLRLSALHAQLPSEEGDELNASALPSHPQENLSHSESAAADDNDDAHEPIQTGMSWSTITTPGGSGSGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHHQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKDYETLQPDKIAVDGGELYLKELNAIFSKPLKKLLSNEIEVDDAALISIDSKGTDIRVRQGAQFNIQRISFDEGQSVETLEEAKAALQKLIHIGKVCNLHK >CAK8572392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533899674:533900254:-1 gene:gene-LATHSAT_LOCUS24963 transcript:rna-LATHSAT_LOCUS24963 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPSVKGRPRFVQPGELEVNKKRLCSTTMCKSSIIPASIKTTITLLPIQTKITPLPIETTITHLPIQTAKDVVVEDEDEDDVVGDEAEDVVVGDEAEDVVVGDVTEDVVKVAKSVYWDVNVISK >CAK8565880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346443447:346443914:1 gene:gene-LATHSAT_LOCUS19057 transcript:rna-LATHSAT_LOCUS19057 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDETHMKRARHGIKCSRFHKDGHNKVTCKLPQPQEPTSQPPPQANSSQVQDTTSQQSSQGVTSQPPPPPVSTSHPPSQVVTSQPPPPVVTIQPSPPVVTSQPPQAVTSQPPPPVVTSHNKVTCKLPQPQEPTSQPPSQANSSQVQDTTSQQSS >CAK8543768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638156595:638157673:1 gene:gene-LATHSAT_LOCUS12506 transcript:rna-LATHSAT_LOCUS12506 gene_biotype:protein_coding transcript_biotype:protein_coding METPTTTAAATKPIQENPLETLDPTSPKPHTDTIPDDKDANDKKSIETPAETETETETDAPLSDVQKKMRRAERFGISVQLSEKEKRNSRAERFGTASVLQGPEGSKAEDLKRKARAERFGIPTPSTAADEDAKKKARLARFAPGSKIDPAEEDKKKARALRFSKSSSTTLSQVNAEANIEPAAITGNAGGGT >CAK8561629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:330522055:330523031:-1 gene:gene-LATHSAT_LOCUS15204 transcript:rna-LATHSAT_LOCUS15204 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSSLPLPHQKETHEVFLSFRGDDTRKKFTSHLNSALRRLDIKTYIDDNLERGDEISQALLKAIEEAKLSVIVFSKNYANSKWCLDEVVKILECRKNNRQVILPVFYEVDPFHVRHQRGSYAEAFAKHEERYSNKMNMVQKWRDALGETANTSGWDSLSNINRTESELVEEIAKDVLQKLNHVDVSDLDKQIMKLEQLAQLQLQYYKSITNYMNKESYDATVERITELKMIRSIRMLRLEPEMISFMEGSEAYERFF >CAK8578293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618089347:618096695:1 gene:gene-LATHSAT_LOCUS30318 transcript:rna-LATHSAT_LOCUS30318 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCLHNRMLSPVISSIIVLCLLSIHSSIAENLPRFQHHLKRQQQSLNFLVVGDWGRKGNYNQSFVAHQMGIVGENLNIDFVISTGDNFYEDGLEGVDDPAFYDSFVNIYTAPSLQKIWYTVLGNHDYRGDVEAQLSPILRQKDGRWVCLRSFILDAEIVEFFFVDTNPFVEKYFTDPEELEKHTYDWRGVLPRETYTAELLKEVDSALVQSKAKWKIVVGHHTIKTAGHHGNTQELEELLLPILKSNNVDAYINGHDHCLEHIIDKESGIQFLTSGGGSKAWRGDVKPWDEEELKLYHDGQGFMSVQMTETNADIVFYDVFGKVLHTWRMSKEFISAA >CAK8565709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311205022:311205366:1 gene:gene-LATHSAT_LOCUS18897 transcript:rna-LATHSAT_LOCUS18897-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLSLYLGSNSYTDQSINFEDGSFKNLKELTLFRLRPLDNILIDEGALPSLKKLCVTYIINLKTVPNSILHLKNLQVLHMERMSDEFMKSIAPREGKEHWIFKHATLTKISV >CAK8565708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311204965:311205366:1 gene:gene-LATHSAT_LOCUS18897 transcript:rna-LATHSAT_LOCUS18897 gene_biotype:protein_coding transcript_biotype:protein_coding MLILHESELGNGEFKLLKTMPNLLSLYLGSNSYTDQSINFEDGSFKNLKELTLFRLRPLDNILIDEGALPSLKKLCVTYIINLKTVPNSILHLKNLQVLHMERMSDEFMKSIAPREGKEHWIFKHATLTKISV >CAK8537219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:153521547:153522103:-1 gene:gene-LATHSAT_LOCUS6524 transcript:rna-LATHSAT_LOCUS6524 gene_biotype:protein_coding transcript_biotype:protein_coding MEANIHTMVDLIFLLSTLLVIWLIRFKLKSSYIKEFDNMRLSFLVVPYTILAILVHPYTKHIWIARVLCAFSVYLETISILPQLRYMQKAKIVETLTGYYVFSLGVSRFFALAY >CAK8538504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485278657:485279718:1 gene:gene-LATHSAT_LOCUS7693 transcript:rna-LATHSAT_LOCUS7693 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEATKFISSFLFLLLASKFFTVESKCRQTCDMALASYYLWFGSNLTYVSKIMQSNLVTKPEDIVSYNTDTIKNKDMVLSDTRVNVPFPCDCIHDEFLGHTFQYHVGTGDTYSSVANMNYSNLTTPEWLHSFNTYPSDNIPNTGTLNVTVNCSCGNSDVSKDYGLFITYPLRIEDSLELISSKTKVDAELLQKYNPGVNFSQGSGLVYIPGKDTNGNYVPLQTR >CAK8574992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20141625:20144998:-1 gene:gene-LATHSAT_LOCUS27285 transcript:rna-LATHSAT_LOCUS27285 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWDGLLKWSIANSDGTRPNRELSEEDRKWFMEAMQAQTVDVVKRMKEITLVMKTPEQELENQGVTPQDIEDMLDELQDHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAADVVTTIVQNNPRSQQLVMEANGFEPLVSNFSSDPDVNSRAKALGALSSLIRHNKPGVTAFRLANGYAALRDALNSENVRFQRKALNLIHYLLQENSSDCNIVKELGFPRIMMHLAATSEDVDVRESSLRGLLELARDAKDSSDSSSEDNEKIKQLLQERINCIGLMSVEDLEAIREERLLVDSLWSTCFDEPSSLRERGLLVLPGEDAPPPDVASKHFEPPLRASAGNPNSKKDSNNEKKKAPLLLGP >CAK8542190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487172598:487174662:-1 gene:gene-LATHSAT_LOCUS11051 transcript:rna-LATHSAT_LOCUS11051 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGVSRASTFKEEIHSSLDDDPKDSHQQNGSTPSLSSSDSSSPSLAAQAIKASAARRQPALSFAFDSSHPDHQRSTSFDAYGNDNNKTGFWGVLAHKAKSILDENNSDLQQHDTKLQGLKSHSFNTFSSPFSTQPLNQPPESNKRMDNASIRKGLDAITISLNNLGDTFEKAYEDGKTIVESKTADLRSQIRRKGDGTSQASSIRNPWQQSDQTQSNSPRESQLKASRDVALATAAKAKLLLRELKTVKADLAFAKARCAQLEEENKLLREREGSDKGLIRDDDDLIRLQMETLLAEKSRLANENETYSRENRFLREIVEYHQLTMQDVVQLDEGMEDVAELYPSAVNGITRLLSGSPQSLSPTPTSPDEVSLGSTKVMFPVPEVDDDENTSEENETPTSVSASQNAAK >CAK8577284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552692270:552700280:-1 gene:gene-LATHSAT_LOCUS29405 transcript:rna-LATHSAT_LOCUS29405 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPVAVSATPRGGLPTDSSDSIVTLDQVPRWNDTDQSLGYENGEAPFSNSYFPDPLASTSGADGSSSVSRFPVDHEINSRIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHAAAGSDLAEECATLGGCRTGMAKVTNAYDLPARRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLVDSGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKNNLTAVVFCTTSTTDTEIYKRLLPLYFPRDKHEEQVALSKLPADVGDENGETIIDERKIRIKPLPKKSVSRPSDVAVDLPVSDIGLVQKKSSNLDSFLDPAFMSLIKDPDQRRLEQWEKTAEAQRGFNCAKLLGYGDLEGPPLSASEEYSLHSRYLSKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEALIQKPYTIVYFHSAASLQVQPDLGWMKRLQQILGRKHQRNLHAIYVLHPSFGLKAAVLALQMVVDNEVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGVIADPRTKFVYDKP >CAK8533765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657986184:657987701:-1 gene:gene-LATHSAT_LOCUS3364 transcript:rna-LATHSAT_LOCUS3364 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSTPILCALFFFLFIIFKIHRRKKCWKYPIFSDYPFLGMLPTILWNLWNIHDFITEELKKHPRGTAEFMGPWFTNMNYLITSDPMNVHHMMSKCFDNYVKGSEFRDIFEAFGDGIFVADSETWKYNRSLFRSVFKQRGFELFQEKIIQNKQERSLIPLLDHVEQQGSVVDLQDVFSRFTFDNICSVVLGCDPNCLSIEFPEVACEKAFDQIEECIFYRHAMPKSFWMFQKLLQVGHEKKMTKAYKEFDQFIYANIESKREELKRGLKNIETVDLLTTFMKVEKESQVVLHDDKFLRDVAFNLFVAGRDTITSALTWLFYLIATHPLVEAKILEEIRENFGAIKIGKKLGVDEVKKLVYLHGAICEAVRLFPPIPFERKQAIKDDILPSGHFVNPNTTILFSLYSMGRVEETWGKDCLEFKPERWISERGGIVHEPSYKFISFNAGPRTCLGKDLSFIQIKMVAVAILCNYHVHVVKGHIPIPNLSIVLLMKNGLKVRIRKRES >CAK8573213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593811762:593813429:1 gene:gene-LATHSAT_LOCUS25686 transcript:rna-LATHSAT_LOCUS25686 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSWFSSVRKIFVSDSKKDQKPHQPTKSKLGCFGHHEHYVDPELESREVVSVPSLPRRKTVVKQKKTNTEDERNKQALSLVLATAVATGAAVAAAQATRLATLPPCYIGKPAQEIAAMKIQCAFRGYLARRTLRGLRGLARLKALVKGKSVQRQAATTLQCMQTLSRLQSQVSARKVRMSEENQSFQRQLQQKREKELDKLQAAPIEEWDYSSKSKEQIEARLLQRQIAAMRREKALAYSSTHQQTWRNTPKESVDTTIMDPNNPHWGWNWLDRWMDSRPWEGQNTKDEKNHQSGKGAACNTMSVGEISKLYALRDQNQDSKKSHTSQKPSHQTLASIPIKGKAKKSSSGSWGGEGDSKSMLNKNSESNRRHSIAVSPGKEDEILVNSPTKVSKTKTKVQSTPAKKQLSLTASGAGSGTRRHSVPSKMGMNSNKNGVATIPEGKVKVRNGGSR >CAK8579364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694227545:694228366:-1 gene:gene-LATHSAT_LOCUS31316 transcript:rna-LATHSAT_LOCUS31316 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDQWLQSQFTQVELRTLKSKYVSQRTLSGRATVGDLPHVFKKLKGSSELFTKDEIKDVLAESYQSMDDEIAFESFLRAHLNLQARTIAKDGGSKSSSSFWKAAATTIHHAINESEKASYVAHINSYLVEDKFMKQFLPIDPAANALFDLAKDGVLLCKLINIAVPGTIYERAINTKRNLNPWERNENHTLGLNSAKAIGCTVVNIGTQDMVEGRPYLIHGLISQIIKIQLLADLNLKKTPQLLELVEDDKDVEELISLPPDKFY >CAK8560046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6141826:6143667:-1 gene:gene-LATHSAT_LOCUS13763 transcript:rna-LATHSAT_LOCUS13763 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLAPNVVQQLSLSFSKSFSCFEWQNKSKNGLFLTVTVAKPPSRSTVIRMGGGPRTFPGGVSKWQWKRMQAKKAKQLMKARLCRERQIYEMRKRAELKAAVSELEKPWEVVEKPPMLFSIKADEQLKVLADRFQKPGGFDLWTENDGPQLFQTPDELPSARFFPKGVVHSIKPYMKVTSDDLLEEPDVLEDDGGEGYWLENVADDEGDSSSPSNYGDNGMNVGVQFRNNGNGRRYLSESVDRSNDGERYSHLSSGRNELNGRGGLRKNRNGRRYLSEDVDQSHYEERSSHLSSGRNEPNVRGGLRKNGRGRRYLPEDVDRSHDGEHSSHFSSGRNDLNVHGGLRKNGNGRRYLSEDADRNHDGERSSHLSSGRNGLNVRGGLRKNENGRRYLSGDVDRSHGGERSSHFSSGRNGLNVRGGLRKNGNGRRYSLGDVDLPDNEEHYSSLNSGRNELDVGASMRKHRNGRNFISKGVDGPDGAERSSPSHVRNGVSFNGKFGNKGSARVISKDGHTDRSNGTGDVRSRRKESGRGSMSKDVSGPNGMYAGRDGSVRTQRGGSSVAGRSYGKYTQRTSKNASQRVRDADSEVYDMGLQQDGSYKFLESEQSDSTGW >CAK8537966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:451663695:451666228:-1 gene:gene-LATHSAT_LOCUS7212 transcript:rna-LATHSAT_LOCUS7212 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVSSSKQMKRLESRKSHSWWWDSHIGPKNSRWLSGNLEEMDRSVKRMLKLIEEDADSFARKAEMYYKKRPELIALVEEFYRGYRALAERYDHVTGELRKNVQTDLQSQGSGFSDTGSEPFSTLPSPNVAHTKSSNRAAGFDFFLGPGGNASDINQKDGDDSSSVTDSEEESDDSSVNNYSAFSRNGSDPGMNRRISELENELREVKEKLWTQEGEHAEVSVSSSETRIENVEDAYAKINAYEQDLMIVNEKLRMSEEEIAKLKTELENYRSLDLGNTDAGVDKELEVQGSIDGADRELFESSNDNVALIEELRIVKEKLKASETQVASLKTEVNKSKERIQQLQEQLDLARKDISSWKTKFSVEKRESTKFQERLARLKSSLLDRDNEIRELKTAVSDAEEKIFPEKANLKLEMSKLLEQQTHLKELIREWECRGRSFEEEIRNIQSERIEMEAEFKDRIELLKAEINQRENNIKELNVSLDNLNILIGSLKEDVNSRDGRIESLDRHLNELHMEHVQLISGMEESRRQAEEIKTKAKKLEELVERQKSEILETAEEKREAIRQLCFSLEHYRNNYHMLKQHFIGHKRVPILAA >CAK8561400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:195730568:195736372:1 gene:gene-LATHSAT_LOCUS14998 transcript:rna-LATHSAT_LOCUS14998 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKIQLKKDSKELEFFTEYGEANRYKILEIIGKGSYGVVCAAIDTHTGEKVAIKKIQDAFEHISDAVRILREVKLLRLLRHPDIVDIKRIMLPPSKREFRDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHSANVYHRDLKPKNILANANCKLKICDFGLARVSFSDAPTSIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAEVLLGKPLFPGKSVVHQLDLITDLLGTPSPETITGVRNDNARKYLSGMRKKPPVPFEHKFSNADPLALHLLQRLLAFDPKDRPTAEQALADPYFKGLAKVEREPSCKPISKLEFEFERRRITKDDVRELVYREILEYHPQLLKDYMNGTETANFLYPSAIDQLRKQFAYLEENDGKSGPVPAPERKHVSVPRSTVHPSSILPSMQSTHALYDNKQMAEETSNKSFKGVGENSGNQMRMVQSPPRVPAKPGRVVGPVLLYEYERIAKSSNDPRMVYPSTTQSVSTHNVFSTHSSNPKTTSNTRKDFSQDKNEFQSQQYNLQVAPTTDLNNYPYRRRGNNNNLNDHVTSMDAKLLQTQSHLDVVGAAAVAVATQSQRYSRGIQYSLS >CAK8575055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25386894:25390283:-1 gene:gene-LATHSAT_LOCUS27347 transcript:rna-LATHSAT_LOCUS27347 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVEVINAHDLMPKDGEGSASTFVEVDFENQLSRTRTVPKNLNPAWNQKLVFHLDTSKPYHHKTIEVSVYNERRQPIPGRNFLGRVRIPCSNIVKEGDEVYQILPLESKWFFSSVKGEIGLKVYIASESKAEDFFTPTFTSELEKLSPSDPKQALESVDTNLLSATETLEADLKGEDPALDIPKVTREEEEKVYPVAASNYSIEEDQSSSIGIEIESKEALQRIYPQLDKHQVHQQPRISIKKRPQDNLFTMRSVDPHQVQSNHAENYNHNSDSNMQPRISIKRRPRPQGTPPSTTYSVKPQVQLGYDERYNLKGTNQQPRIIVETPRNVSSPPRHHVDPQVHTSNDEDYDLKETSPQIGEKWPSSGRKWMSGAERSTSTHDLVEQMFYLYVRVVKAKDLPPGTITSSCDPYVEVKLGNYRGRTKHLEKKLNPEWNQVFAFSKDRIQSSVLEVFVRDKEMIGRDDYLGRVIFDLNEIPTRVPPDSPLAPQWYRLQHLRGEGMARGDIMLAVWMGTQADEAFSDAWHSDAATVYGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVIPNDRNRLPEVSVKAQLGCQVLKTKICSTRTTTPLWNEDLVFVAAEPFEEQLTITVEDHVQPSKDEVLGKINLPLNLFDKRLDHRTVNSRWFNLEKYGFGVLEGDRRNDLKFSSRIHLRVCLEGGYHVLDESTLYISDQRPTARQLWKQPIGILEIGVLGAKGLLPMKMKDGHGSTDAYCVAKYGQKWIRTRTLLDTFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGEKAPSGSSIKDSRIGKVRIRLSTLEANKIYTNSFPLLVLHQHGVKKMGELQLAVRFTALSLANIFHIYGQPLLPKMHYLQPFTVNQIDNLRYQATNIVATRLGRAEPPLRKEVVEYMLDVDSNIWSMRRSKANFFRVMSLFSSLITIGRWLNDVCHWKNHITSILVHILFLILTWYPELILPTFFLYMFLIGLWNYRFRPRQPPHMDTKLSWAESVHPDELDEEFDTFPTSRSHDTVRMRYDRLRTVAGRIQTVVGDIATQGERFMSLLSWRDPRGTTLFVLFSLCAAVIFYATPFRVVVLVTGLYHLRHPKFRNKLPSVPSNFFKRLPARTDSLL >CAK8533366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:609937876:609940198:1 gene:gene-LATHSAT_LOCUS3000 transcript:rna-LATHSAT_LOCUS3000 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLISFLCVLVLVSYAVAYDPLDPNGNITIKWDVMSWTPDGYVATVTVNNFQMFRHIMNPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNIPHCCKKVPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSQSVSAFQVSVGQGGTSNKTVKLPKNFTLLAPGPGYTCGPAKVVPSTVFLTTDKRRKTQALMTWNVTCTYSQFLARKNPSCCVSLSSFYNETITPCPSCACGCQSKKNCVKSDSKILSMVGVHTPKKDNEPLMQCTHHMCPIRVHWHVKTNYKDYWRVKIAVTNFNYRMNYTLWTLAIQHPNLNNVTQVFSFNYKPLLPYESINDTGMFYGMKYFNDLLMEAGPKGNIQSEVLLQKDKKFTFKQGWAFPHKVYFNGDECMLPPPDTYPFLPNSSPATIVTFKALIFSLLLLLAVS >CAK8563248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585765512:585766357:-1 gene:gene-LATHSAT_LOCUS16678 transcript:rna-LATHSAT_LOCUS16678 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNPSWSEMTLELFPFQTLQDRPDLLIRIFRSKFEQLKDDVINKRVLGKVKSYMYVTEFQKQGLSHVHMLLVLESNDKLHGPEEYDSVVRAEIHKLESEPQLHEAVLKHMIHGPCGVLNRKSPCMKDGHCKKRYPKQFLDETRQGTDSYPEYRRRFDEPISLGIGRSVDNRWVVPYNPWLLLKYDCHINVEICSSLKSIKYLYKYVYKAPEHVAMEVHKGSYMDEAQQYVDARWICAPEALWIIFCFTLYLLYPSVERLHIHLPNRHKCAFMIISELWIC >CAK8544177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670702421:670704483:-1 gene:gene-LATHSAT_LOCUS12878 transcript:rna-LATHSAT_LOCUS12878 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSIYGVSVTVFLLFILPIHSALPHNSSAVQINSNSILVALLDSHYTELSELVEKALLLQKLEEAVGKHNITIFAPRNQALERDLDPEFKRFLLEPRNLRSLQTLLMSHILPSRIASHHWPNTDLHHHTLSHHRLQLTTNPSGKKTVDSAEILKPDDVIRPDGVIHGIERLIIPRSVQEDFNRRRNLADISAILPEGAPVVDPRTHRLKKPVSVPAGAPPVLPIYDALAPGPSLAPAPAPGPGGPRHHFNGERQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVVAQESDGSVKFGHGDTSAYLFDPDIFTDGRISVQGIDGVLLPPEEEKEDESPVHRAKTPLVKVVTKPKRGKLLELACSMVGTLGRVCS >CAK8563452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607180355:607182962:-1 gene:gene-LATHSAT_LOCUS16862 transcript:rna-LATHSAT_LOCUS16862-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNPSYTFPSPLPINTKLPFHSLQQRIHNSPAILYLKNKNQLSISEFTNQYLKPTFPLISSFKRRDVEFEEMTIKAAVRVSDGKLMVKDRIILNGVSDNVTETSAERTGPVDGVFLGVEMEKEESRHVVSLGKLTDVRFMACFRFKLWWMAQKMGDKGSEIPLETQFLLVETKTGSHLDSDIIYTIFLPLIEGSFRACLQGNAYNDALELCLESGDVDTKTSSFSHALFISAGTDPFATIHNAFAAVRKHLNTFRLRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEDGLQSLVTGGTPPKFVIIDDGWQSVAGDGDDSSSLQRLTGIKENANFQNKEDPELGIKSIVNIAKEKHGVKFVYVWHAITGYWGGVRPGLEMEEYGSVMSYPEISKGVRENEPTWKTDPLAVQGLGLVNPKKVFSFYDNLHKYLSGAGIDGVKVDVQCVLETLGAGLGGRVEITKQYHQALDASISRNFSDNGCIACMSHNTDALYYSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEIMQPDWDMFHSLHPAAEYHASARAISGGPVYVSDKPGNHDYDLLKKMVLPDGSVLRARLPGRPTADCLFNDPARDGVSLLKIWNMNAYGGVLGVYNCQGAAWSGTEKKNAFHQKDSAAITGYVRGRDVHLISEAVVGDGDWNGDCAFYAHHSGELVILPHNVAMPLTLKVLEHEIFAVAPIKVLGGDGHRFAPIGLVNMFNAGGAVERLVYDDGIVRFEIKGCGKFGAYCSIRPTRCLLKDNVVDFEYDNDSALLSFAIDYLPQDGHSVHQVQIEL >CAK8563451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607180355:607182971:-1 gene:gene-LATHSAT_LOCUS16862 transcript:rna-LATHSAT_LOCUS16862 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMVFNPSYTFPSPLPINTKLPFHSLQQRIHNSPAILYLKNKNQLSISEFTNQYLKPTFPLISSFKRRDVEFEEMTIKAAVRVSDGKLMVKDRIILNGVSDNVTETSAERTGPVDGVFLGVEMEKEESRHVVSLGKLTDVRFMACFRFKLWWMAQKMGDKGSEIPLETQFLLVETKTGSHLDSDIIYTIFLPLIEGSFRACLQGNAYNDALELCLESGDVDTKTSSFSHALFISAGTDPFATIHNAFAAVRKHLNTFRLRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEDGLQSLVTGGTPPKFVIIDDGWQSVAGDGDDSSSLQRLTGIKENANFQNKEDPELGIKSIVNIAKEKHGVKFVYVWHAITGYWGGVRPGLEMEEYGSVMSYPEISKGVRENEPTWKTDPLAVQGLGLVNPKKVFSFYDNLHKYLSGAGIDGVKVDVQCVLETLGAGLGGRVEITKQYHQALDASISRNFSDNGCIACMSHNTDALYYSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEIMQPDWDMFHSLHPAAEYHASARAISGGPVYVSDKPGNHDYDLLKKMVLPDGSVLRARLPGRPTADCLFNDPARDGVSLLKIWNMNAYGGVLGVYNCQGAAWSGTEKKNAFHQKDSAAITGYVRGRDVHLISEAVVGDGDWNGDCAFYAHHSGELVILPHNVAMPLTLKVLEHEIFAVAPIKVLGGDGHRFAPIGLVNMFNAGGAVERLVYDDGIVRFEIKGCGKFGAYCSIRPTRCLLKDNVVDFEYDNDSALLSFAIDYLPQDGHSVHQVQIEL >CAK8568263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587901933:587903580:-1 gene:gene-LATHSAT_LOCUS21237 transcript:rna-LATHSAT_LOCUS21237 gene_biotype:protein_coding transcript_biotype:protein_coding MARENCLWNFSSNNTTLFLCFFNLAIVALFVFHSLYASLSFHSNNVSSKVVVSYSPDQIRKMEESVQIRMEYKPAELIESMKALEREFSIENSVVELPQNMKQKIVDELLYRLRSLNSSSTDIAKEPEVIESWRKEKLEEVRLAHVKGSSNSTISHEENDMLLRALEFDWDVFCEELGLWIPAQIVNEEHDAKPEGREDFEEEKVLPGRPVPSECNAELHTDYDGTAVRWGLTHHKDSAAECCRACLDHARFAKEGEKKCNIWVYCPSEFGCHSPDIYQHKHQECWLKYDEKPRLNYKDKYPESYRNSYPSAPVVVPWVSGVVSL >CAK8536935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40923798:40926978:1 gene:gene-LATHSAT_LOCUS6259 transcript:rna-LATHSAT_LOCUS6259 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAANGSGTRCSSAATTVCARCEAVAYCSLSHRIAHWSHHKTECDRLQQQMESVDVLNDFPFTFSHEAIIQVCANQETRCSFLSKRGLHQVGMWMCECHCGASSSSFDLLGLNNGWDLPSVLCPCRGPGSLVSEQLYSWRDYYKWRSIRLDSPVALLLHWPLTIYHAAQLVGITTLNLEVGDKLYIHCLGPEKELLQLAVFGELQALFPGVHIHIELVGPAIPPQRDGEKISISKYPCCNEAKCVCKLASENVILGTQTCMSSALTLQLWRGFYHDRYKDIGSFPHLIIAPNGGIAAYSSWLPSIELIKKIDVPAVFTDYCEEACHLAASCIKTVTGRPLRLPVQLNPFRQPIAVEDSVLLLPCYSNCFLFGM >CAK8565899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:348800438:348801246:-1 gene:gene-LATHSAT_LOCUS19075 transcript:rna-LATHSAT_LOCUS19075 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLFGKQVSSKQLSSHTNAANKTCGICFDVKTDSDIFKIRSKLFQRRKCKHLFCVDCICKYVTVQINDNAHKVMCPSQNCCVKFKPKHVQHILPKQLIAKWENLIYKSSAPTEPKTYCPYQNCSVLLVKGNGVRGDFANSSKCPSCHRNFCARCKVPWHAGTNCQNFQQLKRNDKNDLDNKFLELARKAKWQRCPNCSMYVKRSSGCSYMKCRCGCKFCYRCGKKRQFSHSCGRS >CAK8565900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:348800590:348801246:-1 gene:gene-LATHSAT_LOCUS19075 transcript:rna-LATHSAT_LOCUS19075-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLFGKQVSSKQLSSHTNAANKTCGICFDVKTDSDIFKIRSKLFQRRKCKHLFCVDCICKYVTVQINDNAHKVMCPSQNCCVKFKPKHVQHILPKQLIAKWENLIYKSSAPTEPKTYCPYQNCSVLLVKGNGVRGDFANSSKCPSCHRNFCARCKVPWHAGTNCQNFQQLKRNDKNDLDNKFLELARKAKWQRCPNCSMYVKRSSGCSYMKCRFIV >CAK8567182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492874104:492874337:-1 gene:gene-LATHSAT_LOCUS20255 transcript:rna-LATHSAT_LOCUS20255 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFYNQYAKVNEFAVCKSKILRSKKGEILQRTFVYHRQGFREDRGLKIENLKRECKPETRCGCEAKFRVHIDMVS >CAK8532631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:335203823:335209738:1 gene:gene-LATHSAT_LOCUS2317 transcript:rna-LATHSAT_LOCUS2317 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFWKMICDDSSCSSLGGNPLCFGFEVLKDPSSCINHLLIIVLDVLFLIMLTFVIIQKTLLRPIQGQNRMEKCSKLQLVSAITSCGSLGLLHLCFGIWVLDENLKKNITVFPLSLWLLELLQGLTWMLVGLSVLKQLAKTWLRPFSILMFFVSSILCAFSLSYAFKSKELSHKAALDVLSFLGAFLLLFGSVYTPLDSHVHDKDFISHKSVTPFEKAGIFSRMSFWWLNPLMKTSQEKTLQDEDIPKLREEDRVKVCYSLFIDQLNRQKQKGSSSRSSILLTIVLCHRREILISGFLAFLKVLTLSSCPVLLNAFILVVEGDKSSKNKGYLLAISILFIKILESLFQRQWYFRSRLIGMKVRSLVTALIYKKQLRLSNATKLIHSSGEIMNYVNVDAYRIGELPFWFHQTWTTVLQLSIALVILFHVIGLATIASLVVIVLTVLLNSPLAKLQHRFLSNLMLAQDERLKASSEALVNVKVLKLYAWEIHFKNVIESLRIVELKWLSSVLLQKAYSLILFWFSPILVSTATFMACYFMKVPLHANNVFTFIATMFLVQEPISTTGDVIGVIIQAHVSFSRVVKFLEAPELHTTNVRKSCYDEKLKGSILIKSANFSWEDDLSKPTIADINLKVSDGQKIAICGEVGSGKSTLLAAILGEVPNTKGKIEVYGKLAYVSQTSWIQKGTIQENILFGSSLDVQRYQESIRRSSLMKDLELFPYGDLTEIGERGINLSGGQKQRIQLARALYQNADVYILDDPFSAVDADTAKKLFNEYILEGLARKTVILVTHQVDYLPTFDYIMLMLGGKIQQAATYHDLLTFSQEFKNLVNAHKKAGGSYHLANVTLSPIHSKSRMEMKQYFTETRLNIISSDKLINEEEREMGDMGLKPYIQYLNQKKGYIYFFISSLSHLMFIICQILQNSWMATSVDNPQINTLRLITIYLLIGTTSTIFVIMRCLFVAALGFQSSKNLFRQLINSLFCASMSFYDTTPLGRILSRVSSDMSIVDLDVGFNLTYYVASTINYCASLIVLTSIAWQVLFLCIPMAYVTIRLQRHYYACAKELMRMSGTTKSSIANHVVDSVVGAWTIRAFEEEDRFFNKNLDLIDVNAGVYFHNFASNEWLIQRLEVIYAVVLASATLCIAMLPIGTFTSGFIGMVLSYGLSLNKDVVYLAQYQCIIENYIVSVERISQYTHIQSDAQEVIEGNHPPLNWPSTGKVEIKDLKIQYKPNGPFVLHGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLMEPTRGEILVDGINISSIGLHYLRSSFGIIPQDPTLFIGTLRYNLDPLSQHSDQEIWEVLRKCQLQEVVKDKGGLDSSVVENGTNWSIGQRQLFSLGRALLKRSRILVLDEATASIDNATDLILQNTIKKEFVGCTVITVAHKIPTVMDCDMILSMSDGKLAEYDEPLKLMKREGSLFGNLVREYWSHF >CAK8571885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:489636142:489643090:1 gene:gene-LATHSAT_LOCUS24508 transcript:rna-LATHSAT_LOCUS24508 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLLLRRDAATRIKRNLTCFNCHVWTRAFSEQSNKPERINKILIANRGEIACRIARTAKRLGIKTVAVYSDADRDSLHVASSDEAIRIGPPPPRLSYLNSSSIIDAALRSGVQAIHPGYGFLSESADFAQLCHDKGIAFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIDKMKLEADQIGYPVLIKPTHGGGGKGMRIVHTPDEFVESFLAAQREAAASFGVNTILLEKYITQPRHIEVQIFGDKHGNVLHLNERDCSVQRRHQKIIEEAPAPNISAEFRAHLGQAAVSAAKAVNYYNAGTVEFIVDTDSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGDPLPLSQSQIPILGHAFEARIYAENVPKGFLPATGVLHHYHIPDSSGVRVDTGVREGDAVSMHYDPMIAKLVVQGENRAAALVKLKDSLSNFQVAGLPTNVNFLLKLANHWAFENGNVETHFIDNYKEDLFADAQNSESAKEAYEAARRSASLVVACLIEKEHFISAKRNPGGSNLLPIWYASPPFRVHHQAKRTIELEWDNEYDSGSSKILKLTVTYQPDGRYLIETEENGSPVLEVKTTYVKDHHFRVEADGVINDINLAAYLKDQMRHIHIWQGSFHHYFREKIGLKLSEDDESQHKPQSESSAIPQGAVVAPMAGLVVKVLVKNETRVEVGQPVLVLEAMKMEHVVKAPTSGFVHGLQVTVGGQVSDGSVLFNVKDQ >CAK8560965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64882483:64883437:-1 gene:gene-LATHSAT_LOCUS14599 transcript:rna-LATHSAT_LOCUS14599 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDAHDNDICVVVPTTFMEVFGERLTVVHTYTISNFKVHQNDLVFKPSNHNYMVKFTGGTSINDVDKHEIPLKLANFTSFSDIMTEQFRNDMLLDKIGMVDTIGFSQAQSGGKKIKSTLYSETLETTQSIVLYGSHMHPSSSNSTRNE >CAK8572837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566785647:566785946:1 gene:gene-LATHSAT_LOCUS25355 transcript:rna-LATHSAT_LOCUS25355 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMRLATEKGVVIFTKSSCCLCYAVNILFQEIGVYPVIHEIDKDPEGKEMEKAITKLGCNAPVPAVFIGGKLVGSTNEVMSLHLSGSLVPLLRPYQI >CAK8579764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721400497:721404030:1 gene:gene-LATHSAT_LOCUS31682 transcript:rna-LATHSAT_LOCUS31682 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLRNNNRNDYKLLRLRDANSCINQRVNIIAIVFEFGLPKTTRGTDQCCTLRLIDETHHQTGMAVNIFTENAESLPHVAASGDIIQLCNVTLRTHIKEVNAVFYKNSSSFALYRGNGDDDDFVPYQVYSKVFLRDEDKMHINMLRKWLVNFQIPAESSDFPMFREIKEGHFNLAGKILHCWEALKDNWFVYVWDGTDAPPNAITSMLEDEINHPLPLQLESLPLPRDVLCTLPTVGSILRIAFELPIEKNHLNVLKSGKWAQFINIRLKVYAGLWYGVFTSHSKLRHTPSEDRLITERQRLYDDRILLKSGNMPIGSMPQSIDSLPESLRITKVNHNRVRHLTLMDVLTHSEVTAKFKCVVRVVAAAPYQAEKFCTPAGKYMMRLTLEDPTARIHAFVVDEDGETLFDGYPGTANVKRKLNRLLGVTECDDSVVVNDRPRNPPWVSVCIKSYYVSKTDVWGSRNFRIFDTTIVDGP >CAK8544226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673188108:673209253:1 gene:gene-LATHSAT_LOCUS12925 transcript:rna-LATHSAT_LOCUS12925 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEECSSVKSGSPPSSSSSSSPRYYLSKCVLRGSAVLQVLYANLRSPSSNDVVFGKETSIELVVIDEDGNVQTVCDQPVFGIIKDLSVLPWNEKFRARRPQTQGKDLLVALSDSGKLSLLTFCNEMNRFFPVTHVQLSSPGFKRDIPGRMLAVDSSGCFIAASAYEDRLALFSMSTSMTGTDIIDERIIYPSEIEWIASTFGTIEKTSINGTIWSMCFISLDFRQQSNEHNPVLAIILNRRGAALNELLLLEWNLKAHTISVISQYVDAGPLAHNIAEVPNSSGFAFLFRAGDVLLMDFRDPHNPLCIYKTCLNVLPFAIEEQTYMDDSCKLHDLDDENFSVVACALLQLSDFDPMCIDSDSGNTNSGPKYICSWSWEPENHRVPRMIFCVNTGEFFMIEVFLDSDGPKFSLSECLYKGLPCKELLWVKGGYLASMVEMGDSVVLKLRDGRLSFTNLIQNISPIFDVAAGDYHDEKHEQMFACCGVTPEGSLRIIRSGINVEKLLRTPSTYEGVAGTWTVRMKITDSYHSFLVLSFLGETRILSVGLSFTDVTDSVGFQPNVCTLACGLVSDGLLVQIYQSTVKLCLPTKDGHSEGIPLSSPICTSWSPDNLNISLGAVGHNFVVVSTSNPCFLFILGVRVLSGYQYEIYEMQHLELQNEVSCISIPRPMYGRKQSNSENNSSIASFVSGVDINKTFVIGTHRPSVEIWSFDPNGGVTIVACGTISLKSTMGTAKSFCIPQDVRLVSVDKYYVLAGLRNGMLLRFEWPTESCHSSSINVVDTALSSINLVNSMAMAFNVRNNLPCMLQLIAIRRIGITPVFLVPLDDALDADIIALSDRAWLLHSARHSISYTSISFQPSSHVTPVCSIDCPKGILFVAENSLHLVEMVHSKRLNMRKFHLEGTPRKVLYHNESRMLLVMRTELSIGACLSDICCVDPLSGSVVSSFRLRLGETATSMELIRFGSEHLLVVGTSLYSGPPVIPSGEAENAKGRLLVLCLVHVQNSDSGSMTFCSKAGSSSQKTSPFNEIVGHVPEQLSSSSLGSSPDDNSSDGIKLDENEMWQFRLACATTWHGVVQAICPYLDRYFLASAGNTFYVCGFPNDTPQRVKRYAAGRTRFMIKSLTAYVSRIAVGDVRDGILFFSYHEEAKKLEQLYGDRSLRLVANCLLMDDNTAIVSDRKGSIAVLCSDHLEAPNNVRSECNLSISCAYFMAEIAVSIQKSSYSYRLPADDVLLGGIGFGPKTNVDDTLQNTILACTLLGSIMIFIPLSREEYELLEAVQARLVVHHLTAPVLGNDHNEFRSRENPAEVPKILDGDMLTQFLELTNMQQNSILSLELPDDMVKPSLKPLLPQYSVNQVIQLLERVHYALN >CAK8544630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696541371:696546201:-1 gene:gene-LATHSAT_LOCUS13288 transcript:rna-LATHSAT_LOCUS13288 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLDLGDVWKNKARSLQLQLRDRFRVAVDRHWRRRHHHTVFLHADGYFSSTIQRWLNRFRDFRRDALPSSTSFYRKRVSRDFSSEEDSIILRMMQAVAVPILGNVCHVFMNGLNRVQVYGLEKLYSALMERPKGKPLLTVSNHVASMDDPLVIASLLPPQVLLDARNLRWTLCATDRCFKNPVTSAFFRSVKVLPLSRGDGIYQEGMDMALSKLNSGGWVHIFPEGSRSRDGGKTMGSCKRGVARFILDGDSMPVVIPFVHTGMQEIMPIGASIPRIGKRVTVIVGDPINFDDIINMEKGPDVPRKRLYDAVAARIGDRLQELKAQVDSIAMETEMRLKDLSPHSMERATGILQQVDWELFGMDNFISSADEDSMQTPETVVLLNSSVSQQHQQSPCKKVSFSYRMRGYMDQMELMSFAARGLFMNNNETKDSAGFRETRPLNAWKQFFDANVLNQWNYSL >CAK8540971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37237378:37240610:-1 gene:gene-LATHSAT_LOCUS9925 transcript:rna-LATHSAT_LOCUS9925 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGSYAFVFFLLVLSWSVNIIDASLGDADPNYRGCISQCQETGCIGPKCFPHCTFSSDGELVGRPWYMQEPLYLQWKKWDCQSDCRYHCMLDREKEKELLNIGPVKYHGKWPFKRIYGMQEPASVAFSALNLAMHFHGWVSFFILLYYKLPLKDGKKAYYEYAGLWHFYALLSLNSWFWSAVFHSRDVDLTEKLDYSSAVVLLGYSLILSILRSFNVRDEATRVMVSAPLIAFVVTHVMYLNFYKLDYGWNMIICVAMAATQLTIWATWAGFSHHPSRWKLWLVVIAGGLAMLLEIYDFPPYEGLLDAHAIWHATTIPLTYIWWSFIRDDAKFRTTKFLNKAK >CAK8578612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641657908:641663992:1 gene:gene-LATHSAT_LOCUS30608 transcript:rna-LATHSAT_LOCUS30608 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIFFTLSIIAFTCFTYAQSKLPRQELDALEEITSTMGSRYWKFDGDSCQIKMLGLTQKPPDGCESSIGCDCSSQNDSFCHVVRIIFKGYNLPGALPPQLVKLPYLKQVDFALNYLNGTIPKEWGSIELTSISLLANRLSGEIPKEIGNITTLAYLNLEANQFSGLVPAELGNLFNLQSLVLSSNKLSGKLPVTFSQLQNLTDFRINDNSFNGKIPSFIQNWKQLQRLEMHASGLEGPIPSNISLLTNLSRLRVSDINGPSQDFPNLSNMTGMIRVVLRNCNITGEIPTYFWTMKNLDMLDLSFNNLFGEIPARAHVGHLRFLLLTGNKLSGNIPDSVLMDGSNVDISYNNFTYQGPEKSSCGDNLNLNLNMFRSSLGANALQGILPCKTAFNCPRYSTCLHVNCGGKDIHVREKGDSVLYVGDGYVVGGAAKLFNDNENHWGFSSTGDFMDDGDFQNTRYSRSLSSSSLPELYTTARASPITLTYFHYCLENGKYTVHLRFAEIQFSNDKTFRSLGKRLFDIYVQGVLVWKDFDIEDESHLAQKPRLLSTYNVTVTDSILEIRFYWAGKGTTRIPVRGVYGPLISAFSIVSDSKPCSDQKTGRRKLVVGVGFGVTALCLVLVMVGIFWRKGYIKGIKRREKVIKGQDFQMRTFTLKQIRAATDEFSPANKVGEGGFGPVYKGQLSDGTWVAVKQLSSKSRQGNREFLNEIGMISCFQHPNLVKLHGCCIEGDQLILVYEYMENNSLARALFSSENELKLDWPSRLRICIGIAKGLAFLHEESRLKVVHRDIKATNVLLDGNLNPKISDFGLARLDEEDKTHIITRVAGTIGYMAPEYALWGYLSYKADVYSFGVVVLETVSGKSNNNYMPSDNCVCLLDKALYLERTENVMQLVDERLESEVNPTETKNTIKVALLCTNPSPSLRPTMSEVVNMLEGRISIPDVIPEGNDFTEDLRFKAMRDVHQNKEGHSLSTSQTDDSTAILTHSTPSMLGNDIHEISSEL >CAK8578927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662384856:662385143:-1 gene:gene-LATHSAT_LOCUS30905 transcript:rna-LATHSAT_LOCUS30905 gene_biotype:protein_coding transcript_biotype:protein_coding MILYFVTSEKSFPAQIEAPLLRRTFAGSGAKLGVRVAGAVLFGLSSAIGYFLMLSVMSYNDGVLIAIVVGLAVGYLLFRSDDEDSVVVDSSCGCA >CAK8578677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646312546:646313409:1 gene:gene-LATHSAT_LOCUS30667 transcript:rna-LATHSAT_LOCUS30667 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDELLWSRVLTARYGDLKHHILKGEFGARKPTSAWWKDLVNSGTVMANLGFCFAGCINFDFGDGRSVPFWTTNWCNNIPSAALFSDMYCISNTKSYCVDQRRSWSDESWNWGEFGIDTEAIRGIWNRLQSMKEFLADCRPTAGEKVAVRWMSHEVDIFTISEAYRLMANQTDPERLLDDQRKAFRCLWQIKAPSKIVVFDWKILWNRVATRDLLIKRGMSRINPNCVLCDSEVESVHHLFYSCEISSLIWENLRNWVGNNAVFVYHSCNFLLDYCGSSGLEMGLL >CAK8569239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686262240:686265326:1 gene:gene-LATHSAT_LOCUS22117 transcript:rna-LATHSAT_LOCUS22117 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRPAFALLPLFLLLIVGIVESRKDLGEYWKLVMKQQDMPEVIQGLLDENTKKNFKTLKHSFDDREKKKVVKDFEPIPNISAYGENDIDVKKKKRAIKDFEPRPNISAYGKNDIDVKQKKGVNEDFEPRPNISAYGRKNIDVKEKNRAIDDFEPRPNISAYGENDIDVKEKKGEIEDFEPRPNISAYGGNDIDVKEKKGAIEDFEPRPNISAYGENDIDVKEKKGEIEDFEPRPNISAYGGNNIDVKEKNGAIEDFEPRPNISAYGENDIDVKGKKGEIEVFEPRPNISAYGGINIDVKEKKRVIDDFEPRPNISAYGKNDIEVKEKKGEIEDFEPRPNISAYGNNEIDGEIKGF >CAK8560996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69841097:69843403:1 gene:gene-LATHSAT_LOCUS14625 transcript:rna-LATHSAT_LOCUS14625 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGMNPGVTVIGAEAPSAYHVAPRTEAPNQVHNPEAVVAASTAAAVAAVDAAAVGVSPVSVGLDGSVKKKRGRPRKYGPDGSVNMPLSPLPISSSAPPNTNDFSSVKRGKPQGMEYKRAKKVVMDHLGEMNGHADGTNFMPHFITVNAGEDVTNKVISFSQQGPRAICVLSANGVISNVTLRHPDSSGGTLTYEGRFEILSLAGSFMPTDNQGTRSRAGGMSVSLASPDGRVVGGGVAGVLIAATPVQVVVGSFLPSGQEQKLKKPKSVDYAPATFTQATEVSAEPPPAQTNNAEKEDVMGGGGHGGGHVLQNSGTLNSNFTSPLPPTAFRRENWVNMHAMPDTRKSPTDINISLPDS >CAK8531979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186357857:186358600:1 gene:gene-LATHSAT_LOCUS1725 transcript:rna-LATHSAT_LOCUS1725 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKFTYFTQFFWSCLFLFTFYIPICNDGDGVLGISRILKLRNQLVSNRGNKIRSNDPNSLEDIFRKGFSTGVSYMYSSLFEVSQWCNAVDLLGNRRKITFSYSLFSYSLSAGVPTKISSNSLLRRVRNNKLVFKTRMERFRRVLGEILTYLQHSPNASPQIKHHTAHILNREIEEQLSSLFRSAQFPLPPATNMEQVVNLLTANSTSLPDLFETADSLLVQGAASPHFASACTIVAQLWSGGGF >CAK8537947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449688781:449689875:1 gene:gene-LATHSAT_LOCUS7195 transcript:rna-LATHSAT_LOCUS7195 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKFKFSDMIPNAWFYKLKDMSKSSRKRNGSSHFMKNKAITSSPTSTSQRSQHSRYSSHYFSNEPMRVGKLFNTPNHTKDLNFPFNDSPRMSSKRRVGRKTIYKPSHSSQPFDQVQVQVQVQSQEHDLSSIENSSCESYHNQCVSSESEFGDSFSVPDLLNCSCRVSSSTNDIIIDMNNESFQGNSKHLEGFESFDAFSQLGLAPILTKQVNDKIVEPTISRCSTESDEREIDHSFSIKVNQRRRKKSQIGKKASPNSSQGVRLRVNSPKLVNRKIQASGRKSVSRNEAGFVDGFAVVKSSIDPISDFKESMVEMIVENNIKGSKDLENLLACYLSLNSSEYHDLIVKAFEQIWYDMAQLRM >CAK8579490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:703856226:703858883:1 gene:gene-LATHSAT_LOCUS31434 transcript:rna-LATHSAT_LOCUS31434 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAVGAAVVCTAAVCAAAALVVRHRMINSRKWTRGLAILKEFEEKCGTPIGKLRQLADAMDVEMHAGLASEGGSKLSMLISYVDNLPTGDEEGLYYALDLGGTNFRVLRVHLGGKDKGVINQEFDEVSIPPHLMTGSSEGLFDFIAAALAKFVESEPEGFHPPPGRQRELGFTFSFPVKQTSISSGTLIKWTKGFNIDDTVGEDVVGELTKSLEKIGMDMRVSALVNDTIGTLAGGRFYNQDVIAAVILGTGTNAAYVERAHAIPKWHGLMPKSGDMVINMEWGNFRSSHLPLTEYDQDLDAESLNPGEQIFEKLLSGMYLGELVRRALLKIAEEAEFFGDTVPPKLKIPFILRTPDMSSIHHDTTPDLKVVGTKLKDILEVSNTSLKQRKIIVKLCDIVAYRGARLAAAGILGILKKIGRDTVKAGEKQKSVVALDGGLFEHYTKFRVCLENTLKELLGDEAAETVVIEHANDGSGIGAALLAASHSLYLGVEES >CAK8579463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701065732:701069706:1 gene:gene-LATHSAT_LOCUS31409 transcript:rna-LATHSAT_LOCUS31409 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARKFRKNSEDDKFSLPVHDEDSRPLDSQEQEELVRSLENNQAQQSRFWRTVFVALVICYILFLLYSIFHQVSSPWELRHHAYFMKEIYSWMIICADWVAVLAFSFAIIGLHHESMLHRRWIWYSWYISTVLAVFWLYYMSRLAKFRWDIIWLPLGPLGASTICLYVDHLLTESSEEVRKLHGYMYAYKAS >CAK8536156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912534513:912535937:1 gene:gene-LATHSAT_LOCUS5552 transcript:rna-LATHSAT_LOCUS5552 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSVLFGFTIMHLCFLIWKLFDLRRDQECYILSYQCYKPRDERKLGSDLCGKIIANNEYIGLNECKFFLKAIVNSGIGEETYAPRNFIEGRAVNPILEDEITEMEEFCHDSIAKLLTKSGISPSEIDVLVVNVSLFSSTPSLVSRITNHYKMREDIKAYNLSGMGCSASLISLDVIQNIFKSQKNKYALLLTSESLSTNWYSGNNRSMILANCLFRTGGCAILLTNKRSLKHKAILKLKCLVRTHHGARDDAHNCCSQKEDEQGRLGFYLAKDLPKAATRAFVDNLRVLSPKILPARELLRFLFMSLIKKVSKLHVPKSAAGAGATMNKSPLNFKTGVDHFCLHTGGKAVIDGIGMSLDLSEYDLEPARMSLHRFGNTSASSLWYVLGYMEAKKRLKKGERVLMISLGAGFKCNSCLWEVMRDVGDRNVWDDCIDNYPPHSLANPFMEKYGWINSIQDAKIFREKLAEYFLN >CAK8534421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724867324:724867815:-1 gene:gene-LATHSAT_LOCUS3968 transcript:rna-LATHSAT_LOCUS3968 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVHDHLCSKGFLKGYTEWIYHGEDENLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDVPDDIFEIPLSELHNQKSIESDHSDTSYESDDEIDYDSSTD >CAK8562756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533511008:533511592:1 gene:gene-LATHSAT_LOCUS16226 transcript:rna-LATHSAT_LOCUS16226 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPSSKSKAIIFIFKIVMLNLITPMMIHACGPCIPNPPPYHHHRPSHPKHPPHHGGGKGRPIVTPPPVVVVPPIIVTPPLLPPPTIIYPPPLLPPPTIIYPPPIAQPTCPIDALKLGLCLDVLGGLVHVGIGNPEKNACCPVIQGLVDLEAAVCLCTVIRAKVLNLNIFLPLALQVLITCGKTPPPGFVCPPL >CAK8562757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533511014:533511592:1 gene:gene-LATHSAT_LOCUS16226 transcript:rna-LATHSAT_LOCUS16226-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKSKAIIFIFKIVMLNLITPMMIHACGPCIPNPPPYHHHRPSHPKHPPHHGGGKGRPIVTPPPVVVVPPIIVTPPLLPPPTIIYPPPLLPPPTIIYPPPIAQPTCPIDALKLGLCLDVLGGLVHVGIGNPEKNACCPVIQGLVDLEAAVCLCTVIRAKVLNLNIFLPLALQVLITCGKTPPPGFVCPPL >CAK8577999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601384779:601385810:-1 gene:gene-LATHSAT_LOCUS30050 transcript:rna-LATHSAT_LOCUS30050 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHRKLLSCEFFCHDVESCTTNCDDCINYCYSHLPPPPPSFNHTKHKTSTYLIIGFSIVAAVFSVLCCYAIYVKFFSRRNSSRRRTLLTRQQTEHGFAVDEQHDDGSVVDHPIWYIRTPGLQQSVINAITVVKFKKGEGLIDGSDCSVCLSEFEEGEDLRLLPKCNHAFHLPCIDTWLGSHTSCPMCRAPIVVDPLRVPSMEPNVFVESSRMEDSGNNGRNGNGIEDSVVDQLRNVEEEEEEAEVEENESSRLEMVNVQPRRSVSFDSSSAAKISHALSNKQEVGEVNEQIVSKRVGGNGNMVNMHSAPSSMDRSRSFSGKHLLSLYSSNQKKLNVPPRSF >CAK8534016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681761383:681763061:1 gene:gene-LATHSAT_LOCUS3592 transcript:rna-LATHSAT_LOCUS3592 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVLAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPCYLQLYIYDTDHELQNRMRKNPILNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVINCDGNLTKVQETIGYYDPLQYPILFSFGIYGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSDRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNAYNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPDIFLTMTCNPSWIEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKGVLGRVRSYMYVTEFQKHGLPHVHMLLILDTDDKLREPEEYDSMVKAEIPQHESEPELYEAVLKHMIHGPCGVLNQKSPCMMNGHCKKRYLKEFCEETHQGNDSYPE >CAK8538740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494751680:494757723:-1 gene:gene-LATHSAT_LOCUS7909 transcript:rna-LATHSAT_LOCUS7909 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMGKSNFNKPPQDLEDINLLKSEIASFASSIGLASSGSNSGFNDVDFRKAKPKKQQEKTLEKSTQKPIPTDKSKSKSEPKPKPEVKPKPPVLSLNDASKEKGFNKFKNLPKLPLIKASALGVWFEDAAELEGKVIGEGKKVEMKNLEEWKGFVEKKREIGERLMVQLAQDYESTRGRSSDIKMLISTQRSGTAADKVSAFSVLIGDNPVANLRSLDALLGMVTSKVGKRHALSGFEALQELFIASLLPDRKLKTLIQRPLNHLPETKDGYSLLLFWYWEECLKQRYERFVVALEEASRDMLPALKNKSLKTIYVLLSRKSEQERRLLSALVNKLGDPDNKAASNADYHLTNLLSQHPNMKAVVVNEVDTFLFRPKLGPRSQYHAVNFLSQIRLTNKGDGPKVAKRLIDVYFGLFKVLITGPSSNEKVDKSGKENPKEKKSEGMSESQVEMDSRLLSALLTGVNRAFPFVSSNEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAVMNTSKAEMFIALILRAMKRDVNIKRVAAFSKRLLQVALQQPPQYACACLFLLSELFKARPPLWNTALQNESFDDDELEHFEDVIEETDKESVTVSDKKSDEPVTISDKKSDEIVPVQNGEVVHSDSDSSGSDDDDDDDDDDQPASSEEDDDFDDALEDEDFSLAKSKKNHNKSKSESDNEGQKLQEPTKKPLLPGGYDPRHREPSYCNADRVSWWELLVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPVKQLDVNNLLIGPEILSLAEADVPPEDLVFHKFYTNKKSSSSKPKKKKKKTADEEDAEDYFDVGDDDVDGGDESDNEEIEDLLDSADPSLGPDGDFDYDDLDKVANEDDDDLIGDVSDGEIDIDIPSDMEEDDADDGSDDNNDLQIGDIDEEEDVVEDQVDKRKRKRKVGGKSGASPFASYEEFEHILEDDSTEDKKKSKKRKKKEDESTEKKPSEDKKKSKKRKKKSGK >CAK8538741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494751680:494757723:-1 gene:gene-LATHSAT_LOCUS7909 transcript:rna-LATHSAT_LOCUS7909-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMGKSNFNKPPQDLEDINLLKSEIASFASSIGLASSGSNSGFNDVDFRKAKPKKQQEKTLEKSTQKPIPTDKSKSKSEPKPKPEVKPKPPVLSLNDASKEKGFNKFKNLPKLPLIKASALGVWFEDAAELEGKVIGEGKKVEMKNLEEWKGFVEKKREIGERLMVQLAQDYESTRGRSSDIKMLISTQRSGTAADKVSAFSVLIGDNPVANLRSLDALLGMVTSKVGKRHALSGFEALQELFIASLLPDRKLKTLIQRPLNHLPETKDGYSLLLFWYWEECLKQRYERFVVALEEASRDMLPALKNKSLKTIYVLLSRKSEQERRLLSALVNKLGDPDNKAASNADYHLTNLLSQHPNMKAVVVNEVDTFLFRPKLGPRSQYHAVNFLSQIRLTNKGDGPKVAKRLIDVYFGLFKVLITGPSSNEKVDKSGKENPKEKKSEGMSESQVEMDSRLLSALLTGVNRAFPFVSSNEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAVMNTSKAEMFIALILRAMKRDVNIKRVAAFSKRLLQVALQQPPQYACACLFLLSELFKARPPLWNTALQNESFDDDELEHFEDVIEETDKESVTVSDKKSDEPVTISDKKSDEIVPVQNGEVVHSDSDSSGSDDDDDDDDDDQPASSEEDDDFDDALEDEDFSLAKSKKNHNKSKSESDNEGQKLQEPTKKPLLPGGYDPRHREPSYCNADRVSWWELLVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPVKQLDVNNLLIGPEILSLAEADVPPEDLVFHKFYTNKKSSSSKPKKKKKKTADEEDAEDYFDVGDDDVDGGDESDNEEIEDLLDSADPSLGPDGDFDYDDLDKVANEDDDDLIGDVSDGEIDIDIPSDMEEDDADDGSDDNNDLQIGDIDDDEGGSDDDNNDIEIGDIDEEEDVVEDQVDKRKRKRKVGGKSGASPFASYEEFEHILEDDSTEDKKKSKKRKKKEDESTEKKPSEDKKKSKKRKKKSGK >CAK8561022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:75807227:75807631:1 gene:gene-LATHSAT_LOCUS14650 transcript:rna-LATHSAT_LOCUS14650 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLSRMQDLQEARVSGCVAASDAYQFIKQRRTKEVEQGGQIGTSGKTLQRPKFPKVELGSSPYDAKDTPTTIQAITITIEEWDISDFEGVELSSESEIKPCNEIRLLPPHLQGPTINNKGATLIRNHKQQFF >CAK8563948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641261081:641261617:1 gene:gene-LATHSAT_LOCUS17302 transcript:rna-LATHSAT_LOCUS17302 gene_biotype:protein_coding transcript_biotype:protein_coding MNILEDSPLEALAFNYLSFDFFNNLWTWLAVIFWRIRTPNPELLPPSIHDSPSDKPDAVPELLVEPCHDNDNVPVRVHSNGVVNDVDGVTKGKMKFTSYYYEDDVDVVNRKCNETLTLTAELWEDREERLEWWEKLLKTRTGENENGWYTCQDLTALNGNVVRFWEESSSSFRYVSLW >CAK8565588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285406757:285413092:-1 gene:gene-LATHSAT_LOCUS18785 transcript:rna-LATHSAT_LOCUS18785-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLHSQNLPADVAHVVDQLERHCLAPDGSLISKPLYNDLQLAREEMCRERHRYLEAMAIYSEAIAMVEEYHQAISVSSIGGMRDTGGLYPQFGLRNSPQVYQTLEHQMTVAEAAQRLRLPLISKDGEVHDEEIEKLSVVSRSSLDSTSTGAVNNSSMNSSNYATPNSSVSGANYSLASMDQVEPVVGGVPNRFLGITPAYLWQTQHLKTPLSVDMTEYRMALSREVDARLKMKCDKLSDAFVLDDNADTLFLDSDSSSSGSQSSTSRLPERVKLLIEEIEREEAALRDDLYSADRKFAEYYSVLEQILGVLNKLVKDLKLDHQHKYDETQKTWLCKRCQTMSAKLRVLENVLLLGTYTKESIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDDIARQYHDIVKKLENMQWTIDQVEMDLKRMSDSSTS >CAK8565587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285406757:285413092:-1 gene:gene-LATHSAT_LOCUS18785 transcript:rna-LATHSAT_LOCUS18785-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLHSQNLPADVAHVVDQLERHCLAPDGSLISKPLYNDLQLAREEMCRERHRYLEAMAIYSEAIAMVEEYHQAISVSSIGGMRDTGGLYPQFGLRNSPQVYQTLEHQMTVAEAAQRLRLPLISKDGEVHDEEIEKLSVVSRSSLDSTSTGAVNNSSMNSSNYATPNSSVSGANYSLASMDQVEPVVGGVPNRFLGITPAYLWQTQHLKTPLSVDMTEYRMALSREVDARLKMKCDKLSDAFVLDDNDSDSSSSGSQSSTSRLPERVKLLIEEIEREEAALRDDLYSADRKFAEYYSVLEQILGVLNKLVKDLKLDHQHKYDETQKTWLCKRCQTMSAKLRVLENVLLLGTYTKESIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDDIARQYHDIVKKLENMQWTIDQVEMDLKRMSDSSTS >CAK8565586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285406757:285413092:-1 gene:gene-LATHSAT_LOCUS18785 transcript:rna-LATHSAT_LOCUS18785 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLHSQNLPADVAHVVDQLERHCLAPDGSLISKPLYNDLQLAREEMCRERHRYLEAMAIYSEAIAMVEEYHQAISVSSIGGMRDTGGLYPQFGLRNSPQVYQTLEHQMTVAEAAQRLRLPLISKDGEVHDEEIEKLSVVSRSSLDSTSTGAVNNSSMNSSNYATPNSSVSGANYSLASMDQVEPVVGGVPNRFLGITPAYLWQTQHLKTPLSVDMTEYRMALSREVDARLKMKCDKLSDAFVLDDNDSSSSGSQSSTSRLPERVKLLIEEIEREEAALRDDLYSADRKFAEYYSVLEQILGVLNKLVKDLKLDHQHKYDETQKTWLCKRCQTMSAKLRVLENVLLLGTYTKESIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDDIARQYHDIVKKLENMQWTIDQVEMDLKRMSDSSTS >CAK8573927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648084981:648087316:1 gene:gene-LATHSAT_LOCUS26321 transcript:rna-LATHSAT_LOCUS26321 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPSSPSYRVAPVPGSFRHSFLEKGRERLLAKKDYPGNDFSFNEPLQQPFYKRAFDLDALSQRFANIRNDSLEFFHKLHHMGRSDPRKVIFAMKAALSLAIVSLFIYIKEQQVNKYSIWAILTVVVVFEFSIGATLNKGFNRGLGTISAGVLALGIARLSVWIGGAFDELLIIVAIFIAGFCATFLKMYPAMKPYEYGFRVFLLTFCIVLVSGRHGMQFFTTAFYRLVLIGVGAGVSLSVNICIYPIWSGEDLHKLVVKNFHGVATSLEGCVNGYLQCVEYERVPSKILVYQASDDPLYDGYRTAVQSSSQEETLVDFALWEPPHGPYKMFNYPWRSYAKLSGALRHCAFMVMAMHGCILSEIQAPPEKRSVFFQELQKVGIEGAKVLRQLGSKVEKMEKLSTRDILIEVHEAAELLQMKIDSHSFLLVNSENWEAVRQHQSKENERSRNSTNENTKQSVINEAGEDSQLNISIQHSMSELSFAQSMNKSLVSWPHLSFCNDAIVNEAESKVYESASTLSLATFASLLIEFVARLQNLVDEFQDLSEKANFKDPFDQPILK >CAK8533303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601287537:601288217:1 gene:gene-LATHSAT_LOCUS2943 transcript:rna-LATHSAT_LOCUS2943 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWVVDYLGVSQRVAQQQVRDCRGSYYKLEWLYDQFVEHRAASRWHYATRAYLLMLVDSTIFADKTFTLVEARYLSPFIDLDGLSGYSWGAAALVTLYRYLGDTSMFSCKQLGGYPTLLQFWIHEYFPTLGKKERIGYQLIMWVSLER >CAK8579195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682990661:682991785:-1 gene:gene-LATHSAT_LOCUS31161 transcript:rna-LATHSAT_LOCUS31161 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIAATSILGYRPVCGGNLIRDVSGKRKSFNTCRFPPAEFLGGKVVVSLPLIKSKQDGFLLSSSIKALALELTTEVNAYREDRLPKKGNGKIDGGFDRRPDLWPPENRADKPSLRNPLLRQERMGCGWLGAIFEWEGVLIEDNPDLEKQAWLALAQEEGKPSPMAFVIKRIEGMKSEQAISEVLCWSRDRSELRRMADRKEEIYQALQGGIYSFMPGSKEFVGVLMHYKIPMALVSTRPRKVIESAMGEIGIVGNFNVIVAAEDVHRGKPDPEMFAYAAQLLNFIPERCIVFGNSNLTVEAAHDAQMKCVAVASKHPVYELRAADLVVRRLDELSVVDLKKLADIETSEFGSPQPQMELEEDDDTSSDDSFW >CAK8538009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457909961:457911655:-1 gene:gene-LATHSAT_LOCUS7252 transcript:rna-LATHSAT_LOCUS7252 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIMFLFTCLVVCITSHQIDRMHNTLVDDMEIERQLKVINKVPIKSIHTEFGYIVDCIDINNQPAFSHPLLMNHTLQRHPKHPSFQTTNNTKISTTKAIFGLQKNRCPTGSVPIKRTTKDDLIRGKSYFNNGLVDQIHGNHYAEVISNPHNYKSYNGVSGTTSVYNLNVKNDQSSSAVIYIRNGPDSTSYIGMGWHIAPELYNDHDTHLYAVWTADNFKNTGCFNVQCPGFVQTSKEDYLGGKIGSTSVYGGAMVEMTLSISQDPETKNWVLSNEKEKLGYYPASLFPNMGEAHQVGWGGRTSTRKGGPSPQMGSGYFPDNVFTHASYFRDVSFQVESRGSIFPFVKDVDAVADKRNCFFAEFYGLQAETGYALQFGGPGGDCGD >CAK8571681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461203262:461204638:-1 gene:gene-LATHSAT_LOCUS24320 transcript:rna-LATHSAT_LOCUS24320 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYDVGQYMGFSEPTLPYLSPELIGQKLLLGANFASAGIGVLNDTGVQFVGILRMFQQFSMFEQYKQRLSVEAGANEANRIVSGALVLITLGGNDFVNNYFLTPVSARSRQFTVPQFCTYVISEYRNILMRLVELGARRVIVTGVGPLGCLPSQLATRSINGECVKEIQQASQIFNPLLIEMTKDLNTKLGSDVFIVANAYQMNMNFITNPQNFGFVTSKVACCGQGRFNGVGLCTPLSSLCPNRDVYAFWDSFHPTQRALGFIVEAIFNGTSDLMSPMNLSTILAMDNNI >CAK8571593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:445993962:445994423:-1 gene:gene-LATHSAT_LOCUS24238 transcript:rna-LATHSAT_LOCUS24238 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYRYYRSWMYDMLYLGRRGLKPNFEEGVKGFITWAFSQECCRSEGGVRCPCLKCGCRSIISDPEEVERHLKRRGFIENYWVWTYNGEELSSSVPETSNTHASSSQSLMEHGENFNLISEMVGDAFGVNVTYDKPEDFDGEELQNEEAQRFY >CAK8568647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626968614:626969876:1 gene:gene-LATHSAT_LOCUS21587 transcript:rna-LATHSAT_LOCUS21587 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHDTVVDINAMLDNAGPPLSAADCCIYKVPSDTRKHNRDAYTPKVISIGPFHHRFQHPSLQNMERHKLIFFKAFLKRTQPTLGTLIHYIESIIPNFRRCYSETLDFTDQELVKLILIDSVFIIEIFWKSYDHGRSEEDAFLLKPWLIGNIKFDLLLLENQLPFFVVEKIFNISFSANTNIPSFLELTFFYFKRFNKSKLDSDNSDITIRHFTDLIRIFHLQHPLERRPRRIRGTMGNFHSVTELFEAGVRFKVKTKSKCLLDLRFSGGVLEIPQLIVDDWTEILFRNMVALEQCHYPYESYITDYVDVLDLLINTGRDVDILVQNGILVNWLGENDSVANFFNSICKNISIANSNSDYSDLRKDLNGFYKDPLHKMKATLRRDYCSNPWQTAATVAAIVLLILSLLQSVCSVLQVVQG >CAK8568641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626186038:626188000:1 gene:gene-LATHSAT_LOCUS21581 transcript:rna-LATHSAT_LOCUS21581 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKIQLSHSKTDGFFSLSHEDYSYPGGLFASVGQMGIGFGVQQPNPSDSNENGDDPKLPFNELYIKYIQSLWKFEANGVKVKGEVEEGVKAKSKNGGFKLKIKIRNPSIRRLCSGAIAGAISRTTVAPLETIRTHLMVGSSGHSSTEVFNDIMKTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKNLSAKPGEQSKLPVPASLIAGACAGVSSTICTYPLELLKTRLTIQRGVYNGLLDAFVKIIKEEGPAELYRGLTPSLIGVIPYSATNYFAYDTLRKAYRKFFKQEKIGNIETLLIGSAAGAISSTATFPLEVARKQMQVGALSGRQVYKDVLHALVSILEKEGIQGLYRGLGPSCMKLVPAAGISFMCYEACKKILIDDDEKE >CAK8536341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932394450:932395361:1 gene:gene-LATHSAT_LOCUS5718 transcript:rna-LATHSAT_LOCUS5718 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDFSSTRMNEYGSSSSLVLDRESGELVEASVKLERKGVSPERTIEALKNHSEAERRRRARINSHLDTLRTVIPGANKLDKASLLAEVITHLKELKTKEAQASEGLMIPKDSDEIRVESQEGGLNGFPYSIRASLCCEYKPGLLSDIRQALDELQLMIIRAEIATLGGRMKNVFVIISCKEQNFEDAEYRQFLAGSVHQALRSVLDRFSVSQDILETRKRRRISIFSSSSSLGDFL >CAK8569503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3553906:3555853:1 gene:gene-LATHSAT_LOCUS22348 transcript:rna-LATHSAT_LOCUS22348 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSLKSEAIIDLMKQHFTTDAGKELVKKIGLVYQFQIAPKKIGIDEVVYTVDLKKGEVTKGPYEGGKPDATFSFKDEDFVKLALGKLNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPSKL >CAK8571361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390741133:390742424:1 gene:gene-LATHSAT_LOCUS24028 transcript:rna-LATHSAT_LOCUS24028 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIDVAESSNPRVNEKAALIASPQKPGGWKKGIAIMDFILRLGAIASSLGASITMATSDQILPFFTQFFQFEASYDSFSTFQFFVIAMAFVGGYLVLSLPFSIVTVIRPHANGPRLFLVILDTVFLTLATSCGASAAAMVYMAHNGNQDANWLAICNQFGDFCAQTSGAVVSSFVAVVVLLLLIVMSSLALGRH >CAK8576770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512870998:512874682:1 gene:gene-LATHSAT_LOCUS28938 transcript:rna-LATHSAT_LOCUS28938 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSFSFLFFIIHLLFLITPHSARSQNDDSKPLLALKSSIDIHNKLPWLQQVNDDVCTWVGVKDCFQGRVRKLVLEYFNLTGKLDSEILNRLDQLRVLSFKGNSLSGQIPNLSNLVNLKSIYLNDNDFSGEFPVSVSLLHRVKVIVLSGNKISGKIPVSLLKLSRLYVLYLQDNLFTGSIPSFDQTGLKYLNVSNNRLSGEIPVTTALIRFNASSFSGNLELCGEQIHRECKNSTLLSPPPLGVGPVGGNTKTTSSKSNRTKLVKIIGGSVGGFVLLLICLILLVWVICKNRRKRVGASGARRNNKGNADVAATEGENPGEGEGRGSNYEAKQGSFAWENEGIGKLVFCGAGDREMGYSLEDLLKASAETLGRGIMGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIDLLGKLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSNGGKPLHWTSCLKIAEDLATGILYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLNVFLNPDTMDEPSATSFFYRAPECRSFQRPQTQSADVYSFGVLLLELLTGKTPYQDLVQAHGSDIPRWVRSVREEETESGDDPASSGNESSEEKLQALLNIAMACVSVSPENRPTMREVLKMIRDARGETHVSSNNSSDHSPGRWSDTVQSLPRDEHLSI >CAK8577386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559370676:559374052:-1 gene:gene-LATHSAT_LOCUS29499 transcript:rna-LATHSAT_LOCUS29499 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSRETWNPHHGDNTCLHPVVASGRELEHEAVIIGGMVLDIHAAPSIHANPGTTTPGKVFYMQGGVARNVAECMSKLGAKPYMISALGFDMAGNLLLEQWKTTGLSKEGILKNKDIETPVVCNIFDVNGEVAAGVASVEALEKYLTPDWILRFKSTLLSAPVLMVDANLSGPSLEASCKMAADTGCPVWFEPVSVTKSRRISNIAKYVTFASPNENELIAMANALSGSGEFHSLKENHKKDNLSIGSLFQILKPAVLVLLEKGIKVVLVTLGSSGVFLCSKGGPSCFKIPVAKTNRNNFSGQLYNAVMHNCPPNCYSGFTEHDRSNRVFAVHLPSLPASVVRLTGAGDCLVGGTLSSICAGLDIMQSVSVGIAVAKAAVEVESNVPSAFSLAAIADDAKSVFCHAKVLFHQSML >CAK8561212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117369708:117370082:1 gene:gene-LATHSAT_LOCUS14821 transcript:rna-LATHSAT_LOCUS14821 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHVSFALVFFFGHIWHGARTLFRDVFAGIDPDLDVQVEFGAFQKLGDPTTKKQGV >CAK8541488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:199895127:199895721:-1 gene:gene-LATHSAT_LOCUS10405 transcript:rna-LATHSAT_LOCUS10405 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSISESETATKSQLIYRCKKCRRIVASEDITVPHERGKGESSFKWSKRNNESWEYKNQPADCTSIFVEPMKWMQPVQEGQVEEKLECMGCKARLGYFNWAGMQCSCGAWINPAFQLQKSKLDECYM >CAK8576997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530490556:530491743:1 gene:gene-LATHSAT_LOCUS29147 transcript:rna-LATHSAT_LOCUS29147 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAPCCDESGLKKGPWTPEEDRILVDHIQKHGHGSWRALPKLARLNRCGKSCRLRWTNYLRPDIKRGKFTEEEEKTIINLHAVLGNKWSAIAGNLPGRTDNEIKNFWNTHLKKKLLQMGLDPVTHRPRIDHLNLIANLQTFLAANVINNLSNTFNITSTNNNVLRLQSDAVKLQLLQNMLQIQALGANNTPSTSNLDLLNINNPFGQYSSSSQQESLFRNELFGLNNQSNFQGFEVPQMLNGVTNGFDYMNYDSNSSSCLKSDQKVDELFDAATNSSSTVPMNSLPNLVSVSPECCGVKEMMENNKVNQNECSTNPSSTSTTFEMLGDFMYEDVSDVYWKDLLE >CAK8560874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51729402:51730115:-1 gene:gene-LATHSAT_LOCUS14514 transcript:rna-LATHSAT_LOCUS14514 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSLNTLHGSLLRSKFLGQENLTHFYPHSNKASIFHSKPTYKTVRICAEFDLLQIMGGRGLCKGEEGLQKELKREIGFDDQNQPPSSEAANNNSSQSFESVAEEGFEKELMGLTGGFPGGEKGLRKFIEENPVPRPDTDEKRFKLSSSEKAKPPELPMLLPGMIAIVKNQNNPFYMYCGIVQRITDGKAGVLFEGGNWDKLITFRLDELERREKGPPMKNPKSCVLEPFLVKKS >CAK8571561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439994809:439996932:-1 gene:gene-LATHSAT_LOCUS24209 transcript:rna-LATHSAT_LOCUS24209 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPNWFSNVKKALSPVSKENKQQNSSGSKKKWFGKKKLTTSESYPETDQAPHFPQPEEENILTHVENENIHDRVEDVTGVDDDIAVHDVMTETAEVQVQVTPIVRIVGVPNDEVAATKIQTTFRGYLARRALRALRGLFRLRSLMEGPVVKRQAISTLRSMQTLAHVQSEIRSRRIRMLEETQALQKQLLQKHTKELESIQIGEEWDESIQSREQVEAKLLSKYEATIRRERAMAYSFTHQKNGRNSSKSINPMFMDPTNPAWGWSWLERWMAANQNPIEKEKNNNPSLKSSVYSITSSEISKSFARFQLNTETHSPTASQNPGSPNFHSNSKPPKPAVGKKLNKASPKESIILDDDTKSMMSMQSERVQRRHSIAGSIVRDDESLASSPSVPSYMVSTKSAKAKSRLQSPLAAENGTPDKGSIGNAKKRLTFPASPGRPRRHSGPPKVESSLNAEITVGNGVAG >CAK8560324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14435358:14439665:1 gene:gene-LATHSAT_LOCUS14008 transcript:rna-LATHSAT_LOCUS14008 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLILLLIKLHFGLCIQHVHEYSDTNLPHFRFSGHLSIAVPPTLSSSIALPPAKSLSGVPASIALPPSESTARAHTKKPKHGSLDSPISHHKHHYSGKHNRNPTLGPTSTIQAPTYSNQGPSFLKPQPPFSSPKSKFIHAPVSAPAPSPASRSGHLDVPSLPPRISPIGSSFNKIKTPPPAYALVLPPPPPNKDCLSVTCSEPLTYTPPGSPCGCVWPLQVKIRVSIATYKCFPLVSKLADEIAASAMLNHTQVRIVGADAANQQLERTNIIINLVPKGVKFDDTAALLIYKKFWHREILIDDSLFGTYEVLYVHYPGLPPSPPSIPSGVSNVDDGPNQGRDNNGMMMKPLGVAIPKKKKEGSNGRMVFIIVLSSVTVFVLFLGLAWLCLLKYSSCIHQHEKASDSLMSSSSKHLRAAGPLNHGIVSGSGSRSFGSGTIAFTRSAKNFALNDLEKATNNFDSSRILGEGGFGLVYKGVLNDGRDVAVKILKRDDQRGGREFLAEVEMLGRLHHRNLVKLLGICIEKKTRCLVYELVPNGSVESHLHGADKESDPLDWSARMKIALGAARGLAYLHEDSNPYVIHRDFKSSNILLEHDFTAKVSDFGLARTALEEGNKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVTWVRPLLTSNEGLQTIIDPNVKSNVSIDTVVKVAAIASMCVQPEVSQRPFMGEVVQALQLVCSEFEETNYIKSSNFHEDDLAITNMEGKFMEVSNERVEFSECQKSLYGYQSGEENVRLSASELLSTSGREFESFRRKSTSGPLTTEKKRHFWTNLRGLSKGSSTNDHGFSTKLWPGSH >CAK8578093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606289021:606289538:1 gene:gene-LATHSAT_LOCUS30134 transcript:rna-LATHSAT_LOCUS30134 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSNPKTNEGPVPVPLPVPATKEVKAERAMEETLIDEIKSLKNVLNENVEVGKKPEVKAEAKEVKAEPKKQKHNKEDSKVQEKAKTTEEAQIEAFFAKREG >CAK8534817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771587036:771587928:-1 gene:gene-LATHSAT_LOCUS4329 transcript:rna-LATHSAT_LOCUS4329 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVVLLDFWPSPFGIRVRIALAEKGIKYRYIEEDLMSLKKSPLLLEMNPVQKKIPVLIHNGKPIFESLIAVQYIDEVWNDRSPLLPSDPYQRSQARFWADYVDNKIYSIGRNIYTKKGEEQEIAKKEFIDTLKLLEEQLGEKSYFGGDKFGFVDISLIPFYSRLKVFETFGNLNLENECPKFIAWTKRCMQIESVSKSLPEQDKIYEFIVDMRKLLGIE >CAK8534903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789043886:789047492:-1 gene:gene-LATHSAT_LOCUS4404 transcript:rna-LATHSAT_LOCUS4404 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDKTKGGGRGIGRGISRGKRGRTTATLSQSIIGQPANTQLPHSTFIPRVSPERVRDTTTLSQTIIRKPTNNSTSHKVINTQLPTSTPIPHVSSERGKGTTTLSPSISVHPTNSSTSHRVTHSQFQHSIPSPHVSSEKGRGTTILSPSIVGQPTNSSTSHRPVDSQFQHTTPSPHISSSVSPPISSPPSSSHVPSANASGDDPHVSSSHVDSPPKIGSKDPTDGRVWIRPGPQKIFDPAIQPTREIAKIIKRKFLVSWTNYGEMKGKEEFKTVNELWLGEFKRKYKWLLEHEEEIKKIFDHKTSDAYSNTMYRVRKKIDPGDWIPTETLKILEEKWNDDKWKRKSEINTHNRRSSDGPLHTGGSIPTTEHYKRLKESSDANPTCWDLFQKTHRVKGNPNKWVSTKSEMVANEYDKRILERDSQEAPGDDVSSHQSDNNIFLDVVGGVDKKGRIYGLGPEAAKYKSYRSSTFDGISSSEYEQMRTVIFDLSAENNTLKEKLKTHEDLIHASQEDSRLLREQLFQFMKSFSAGHQPPRSDQNPSSPTS >CAK8571015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:264272991:264274541:1 gene:gene-LATHSAT_LOCUS23714 transcript:rna-LATHSAT_LOCUS23714 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSSCLRLITCGGGGGVDKEQDQQVVSEVKSLTDSEVKDSNDKRGWSFRKRSARHRVLSNTVIATETPTSANKEILEYSSVSFQSLAESIVVEKICTTNFCSEKPQLSSNAYSEVPETIVTENEDKVDVDIPESAVIVVQASIRGYLAQRALIKSKNVVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQLLVRARQAQQSHSDRKNEHQSAKSNAKHSSVEKLLSNKFARQLMESTPKNKSIHVKCNPSEGDSAWKWLERWMSVSAKDSAENKKPVSTTDQLDETRDSTRVSQLETDIPSEVILQLADSPLPSEDEEKTSTYDDTNSYFQASPSRSSLIKDKLEEGPPEKTVTDNAKEVSPEIDSFRNEKIDSSASVRQDPGSQDRDVDGEKCKQSVKAFASDQLETEGKKIASVSRKLSNPAFVAAQSKFEELSSNANSSRPSYLFDQDVSVESQADTAYISKEFISSENSTPYPSRIGDPESGTVLSISSTLDSPDRSETLESEHNAKEISGT >CAK8569743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9556426:9557220:1 gene:gene-LATHSAT_LOCUS22559 transcript:rna-LATHSAT_LOCUS22559 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKISAASARSHTRRANKSSSFHLPSGILRTTVAVLVIGFLAWAYQVTQPPPPNICGSPDGPPITVPRIKLRDGRHLAYKEHGVPKDEAKYKIISIHGFTSCRHDTVVADTLSPDVVKDLGVYFVSFDRPGYGESDPDPNRTLKSIALDVEELADQLGLGSKFYVVGVSMGGQVVWNCLKHIPHRLAGAALLAPVVNFWWPDLPANLADEAYSQYKLRDRWAIRVAHYTPWLTYWWNTQKWFPICSAISRSPDILSKQT >CAK8570514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:54098691:54102220:-1 gene:gene-LATHSAT_LOCUS23257 transcript:rna-LATHSAT_LOCUS23257 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVKWFLSLLLLFVLLVEEEAMASTSTQISQFSNVDTETHRLTSFNDSSMAARQKEAEKLNERAAVADPNEVASMVEMHIKNSTERRNLGYFSCGTGNPIDDCWRCDPNWQQNRKRLADCGIGFGRNAIGGRDGKFYVVTDPRDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVIQLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCVPTGNAMVRSSETHFGWRTMADGDAVSIFGSSHIWVDHNSLSHCADGLVDAVVGSTAITISNNHFTHHNEVILLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYNAPVNPFAKEVTKRVETAESQWKGWNWRSEGDLYLNGAYFTASGAGASASYARASSLGAKSSAMVGTMTSNAGALGCKRGRQC >CAK8562310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:470327657:470330019:-1 gene:gene-LATHSAT_LOCUS15817 transcript:rna-LATHSAT_LOCUS15817 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDNVSTPSGEASISSSGNNNNNQTTTPPKPTKKKRNLPGMPDPEAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGSREYKCDCGTVFSRRDSFITHRAFCDALAEENAKSQTQPVVKASSESDSKVLTGDSLPQPPPPPPLPVVTTTPQSNSGVSSALETQKLDLLEIPPQIVEETQPVVVATTTLNATTINATTTLNASGSSSSTSSTSNGCPATSSGVFASLFASSTASASTSLQSQTPAFTDLIRSMGCPDARPTDFSTPPSSEAISLCLSTNQGSSIFGTGGQECRQYVPTHQPPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSASTSTGQQDSLHWGLGQVEQESAGLVHAGLGLGLPCDSDSGLKELMLGTPSMFGPKQTTLDFLGLGMAAGGSAGGGLSALITSIGGSSGLDVTTAATSYVNGEYSGKDIGRSS >CAK8575211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:53873759:53875125:1 gene:gene-LATHSAT_LOCUS27495 transcript:rna-LATHSAT_LOCUS27495 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNNKSQASSSDSDIDNNDSGCCSSRSDASAAAELKLYRAFIFSVPIFFTLILVFLFYVFYLKPRRVDWSSIRMRSVSVLQHHNHNNAISNSDLGLKKELREMLPIIVYNESFSVKDTLCSVCLLDYQPDDRLQQIPVCGHTFHMSCIDLWLTSHSTCPLCRLSLLPTAKSSTEISNMQAASNEEIEMQPRIEETLAIEFSDSVSTSHLETTVIQNVSGEAGISANPHINVEEPNVRNNR >CAK8578705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648170228:648170533:-1 gene:gene-LATHSAT_LOCUS30693 transcript:rna-LATHSAT_LOCUS30693-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGNFNFKKNDSKRIVIRCDKEYSFQMRISKSLANQFWKLVSLNDDHIFHGTAKNMQAKIVWIAKKFIPILRHAPEMMPKGLIVKALEAECEVVLTSSI >CAK8578704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648170228:648170584:-1 gene:gene-LATHSAT_LOCUS30693 transcript:rna-LATHSAT_LOCUS30693 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTTNMFVRGADKSYAMEGGGNFNFKKNDSKRIVIRCDKEYSFQMRISKSLANQFWKLVSLNDDHIFHGTAKNMQAKIVWIAKKFIPILRHAPEMMPKGLIVKALEAECEVVLTSSI >CAK8572187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518352907:518354965:1 gene:gene-LATHSAT_LOCUS24779 transcript:rna-LATHSAT_LOCUS24779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFTSSPLPRPCTRECRFSSFSQGQITRGSNSLRVANRRRITAFFFNPAQDPIIKEVVKEPVAFLGGVFAGILRLDLNEEPLKEWVTRTVEASDISVEETNAEGSTTEAAPQEIQIE >CAK8534849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776495481:776497625:1 gene:gene-LATHSAT_LOCUS4356 transcript:rna-LATHSAT_LOCUS4356-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYATNIHQRITNAKSNLTRATNFTLNQTNSAKSTTNFVTHCNVKISENGRNGNVKAAETIFHRMPRKNTVTWTAMLTVYAQNGQIANARKLFDEMPERTTASYNAMVSAYIHNGCNVTKAYELFTTTRDRNAVSYAAMIMGFVKARKFNMAEKLYLEAPREFRDPVCSNALICGYLKMGETKEALRVFENVRESERDVVSWSAVVGGLCRDGKVGYARILFDRMPERNVVSWSAMIDGYMEKGLFENGVCLFLDMRREGIVEVNSTTMTIMIKGCGNCGGVKEGMQIHGLVSRLGFEFDSVLSNSIITMYSLFGCTDMSKKVFCAMANKELVAWNSLISGYVYNNEVDLAYGVFERMPEKDLISWTAMIRWLAKDGRIGKAIELFNTLKEKDDFVWTVIISGFVSNEEYEEALRWYVRMNQEGCRPNPVTISSVFASSAALVALNEGLQIHSHVLKMNLEHDLSIQNSLISFYAKCGDITDAYKIFIDVDEPNVVSYNSVINGFAQNGFGKEALNMYKRMQSEGLEPNHVTLLAVLSACTHAGLIEEGRNLFNTMKSHYRIEPEADHYACMVDLLGRAGLLDEAFDLICSMPIKPHSGVWGALLAASKAHLRLDLAKLAAQHITELEPANATPYVVLSNMYSASGQKIEGDQSHMNIEEIKAIILTIDKGMQWLYYSGF >CAK8534848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776495481:776497625:1 gene:gene-LATHSAT_LOCUS4356 transcript:rna-LATHSAT_LOCUS4356 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYATNIHQRITNAKSNLTRATNFTLNQTNSAKSTTNFVTHCNVKISENGRNGNVKAAETIFHRMPRKNTVTWTAMLTVYAQNGQIANARKLFDEMPERTTASYNAMVSAYIHNGCNVTKAYELFTTTRDRNAVSYAAMIMGFVKARKFNMAEKLYLEAPREFRDPVCSNALICGYLKMGETKEALRVFENVRESERDVVSWSAVVGGLCRDGKVGYARILFDRMPERNVVSWSAMIDGYMEKGLFENGVCLFLDMRREGIVEVNSTTMTIMIKGCGNCGGVKEGMQIHGLVSRLGFEFDSVLSNSIITMYSLFGCTDMSKKVFCAMANKELVAWNSLISGYVYNNEVDLAYGVFERMPEKDLISWTAMIRWLAKDGRIGKAIELFNTLKEKDDFVWTVIISGFVSNEEYEEALRWYVRMNQEGCRPNPVTISSVFASSAALVALNEGLQIHSHVLKMNLEHDLSIQNSLISFYAKCGDITDAYKIFIDVDEPNVVSYNSVINGFAQNGFGKEALNMYKRMQSEGLEPNHVTLLAVLSACTHAGLIEEGRNLFNTMKSHYRIEPEADHYACMVDLLGRAGLLDEAFDLICSMPIKPHSGVWGALLAASKAHLRLDLAKLAAQHITELEPANATPYVVLSNMYSASGQKIEGELVRKTKNLKGIKKSPGCSWITIKDKVHLFHAGDQSHMNIEEIKAIILTIDKGMQWLYYSGF >CAK8561766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:377928108:377928527:1 gene:gene-LATHSAT_LOCUS15326 transcript:rna-LATHSAT_LOCUS15326 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLKGVAKSTMSDQIRKELCEYKRDNPASTQKYLQRWLEGKFQLKVSQGTISNTLKWSDDYLSAEIEKGRAEIKRHKPAKYPDMEKVFYEWFLQHQERVNITRELILQKARDTMKLVYPHDDSDFNLSIGWLGKFKS >CAK8539471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513607282:513608661:-1 gene:gene-LATHSAT_LOCUS8562 transcript:rna-LATHSAT_LOCUS8562 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLLLLILSGSLHACSPRPITKKDSTKPHFDKLEENPVQQQKILNNEINICVGCSSASTLKIVIVGSSFHTGSSSLLLVAKDERRHARSMLGPARHHVEETMVTNTNENEEDIVEMDYAQPHRKPPIHNENP >CAK8561937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415264855:415266095:1 gene:gene-LATHSAT_LOCUS15482 transcript:rna-LATHSAT_LOCUS15482 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNSWIPVEDKRKAYGISRNHSSVFPDFDQLCNQTGSTKVGFSIDWRGCPEMEQIHNWLKEKILQIPNPVWQDRVSLSSVEIFLWTIAPSKEEYMNLETLTRRVQAVLGESNAQAHVTANYSMPKGGQSGPSTVHDLPCFPDDRSSVPSVANMDMRSNLPVYGDGSYLDANTRSISNYFPHQHTNNCMFTLDCNIFFVQYWFCLLQLCY >CAK8539964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532534345:532534614:-1 gene:gene-LATHSAT_LOCUS9011 transcript:rna-LATHSAT_LOCUS9011 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSSRSPMEHGENFDLISEMVDDAFGVNATYDKPVNFYREELLNEEAQRFYQLLNEMNTSLFEGSSDSNLSMCVRLLPAKSKWNVHD >CAK8564428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673898667:673900869:1 gene:gene-LATHSAT_LOCUS17734 transcript:rna-LATHSAT_LOCUS17734 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKRFKGKVAIVTASTQGIGFSIAERLGLEGASVVISSRRQKNVDVAAEKLRAKGIDVFAVVCHVSNGQQRKDLIDKTVQKYGKIDVVVSNAAANPSVDSILKTQESVLDKLWEINVKASILLLKDAAPHMQKGSSVVIISSISGYHPPATMAMYGVTKTALFGLTKALAAEMAPNTRVNCIAPGFVPTNFASFITNNAAMRKELEQQTLLRRLGTTEDMGAATAFLASDDASYITGETIVVSGGMPSRL >CAK8534378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721765339:721765857:-1 gene:gene-LATHSAT_LOCUS3928 transcript:rna-LATHSAT_LOCUS3928 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKVASHRVRPFDCHDYSFIVDETMDHNEGRPMGHYRVELHKNWYDCEKFQTFCMPCSHVISACSSARHDPFLQLSEVYKVVNLFGIYNNSFPMVASEEYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRNNCPNVGTSSRYI >CAK8575690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:252469403:252474107:-1 gene:gene-LATHSAT_LOCUS27932 transcript:rna-LATHSAT_LOCUS27932 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPGKWIRALVGLKRSEKRESLEKDGNASKFRLRRKHSIEIHSSKLQNEFGDDGATPIGDADHANSQSIIDAHYSPSTSQQAPDVAHNHQITSEEWAAICIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTDQQKLQQQLVNEARVREIEEGWCDSVGSVEEIQTKILKRQEAAAKRERAMAYALAHQWQAGSRQLAASSGFEPDKSSWGWNWLERWMAVRPWENRFLDINTKDGVKADENDVMDGSNGVRPQFKPTNAKSNLPNIHPSVVSHKTGPSLSDGCDSSSTSKSADLLETSNTQSVRPKSNANVQIPVEENNSKSSFPRSQSNPKERISQVDKDKQAKKRLSLPNNGVGSGTQTARYPTRTNVKGTRSTQKPIRNKPKLSEEGNRNLTKSVPQQTGV >CAK8575691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:252469403:252474107:-1 gene:gene-LATHSAT_LOCUS27932 transcript:rna-LATHSAT_LOCUS27932-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPGKWIRALVGLKRSEKRESLEKDGNASKFRLRRKHSIEIHSSKLQNEFGDDGATPIGDADHANSQSIIDAHYSPSTSQQAPDVAHNHQITSEEWAAICIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTDQQKLQQQLVNEARVREIEEGWCDSVGSVEEIQTKILKRQEAAAKRERAMAYALAHQWQAGSRQLAASSGFEPDKSSWGWNWLERWMAVRPWENRFLDINTKDGVKADENDVMDGSNGVRPQFKPTNAKSNLPNIHPSVVSHKTGPSLSDGCDSSSTSKSADLLETSNTQSVRPKSNANVQIPVEENNSKSSFPRSQSNPKERISQVDKDKQAKKRLSLPNNAGVGSGTQTARYPTRTNVKGTRSTQKPIRNKPKLSEEGNRNLTKSVPQQTGV >CAK8561292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:138258790:138259068:1 gene:gene-LATHSAT_LOCUS14899 transcript:rna-LATHSAT_LOCUS14899 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISIFANISRVSIYGSYEATLQQIFCFCSIASMILGALAAMPQTKVKRPLANSSIGHVGYIRTGFSCGTI >CAK8564524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679699408:679701032:-1 gene:gene-LATHSAT_LOCUS17823 transcript:rna-LATHSAT_LOCUS17823 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHTGQGNKNAYKALIAAEYASVQVQYAPNFEFGVSNKTPQFLAMNPLGKVPVLETPHGPVFESNSIARYVARSKDDNTLYGSSLIEYAQVEQWIDFSSFELDSNIQRWYYPRFGYGTYFPPAEEAAITAVKRALTALNTHLASNTYLVGHSVTLADIIMISNLYFAFTRILVKTFTSEYPHVERYFWTLVNQPNFRKILGQVKQVEAVLPVQSAKKPAQPKDSKPKDQPKKVAEKPKEPEAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYQDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLIIGSEPPFKVKGLWLFRGQEIPKFVMEECYDMELYEWTKVDISDEAQKERVSQMIEDFEPFEGESLLDAKCFK >CAK8576718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508813091:508813698:-1 gene:gene-LATHSAT_LOCUS28891 transcript:rna-LATHSAT_LOCUS28891 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8565960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:359244435:359245628:1 gene:gene-LATHSAT_LOCUS19133 transcript:rna-LATHSAT_LOCUS19133 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKTSCKKVSNHIPADLAVSILSKLALKSLFRFRCVRKSWSFLFQEPYFMNMYRINFASNHNYTYVDDSCIMLETLQPYCRDHHVLFSLSGDRLKNKVKLDLPPPFEEDDTGIEMLGSVVDGTICFYQGPVIPKIVLWNLSSKEFKVLPLSPLESVPPDYDRVYCQMYGFGYDHVNHDHKVVRHVAQRVDFANYEGYKGHIPPHDSMWEVYSLRSNAWKKVEVDMPTGYINTDIRFHTNGVCHWWDCTNDQDCLVSFDLINNKFFRTPPPDGRDNFDFILVDRRFVGLNGSAACILSYETSGDTPCMFYISILGELGVKESWTKLFTIESLPCLNHSIGAGNKGDIFFKSKEDELVQVDLITQMVKKLGIRDPLQFPTLFYKEVILPMGGEKYVS >CAK8531234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91730939:91732682:1 gene:gene-LATHSAT_LOCUS1040 transcript:rna-LATHSAT_LOCUS1040 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEKREPTQSEMFVETRKGSKGKELDVETGKVISQLQEMVEKEESDTEAFKSVFGKERPGRVRCYGRNITKTSLKRKEEINALKQAHNEEVSSLRHEFQDQIDRLQNAFKTVIQQCNPQINLESIEDLLGLSHRDANSSPKEMRPQIHSSTSTHAPCHGKQGINEDVEKDDINDEIFQEDDVDDGFQEDVAGDEFQEDDIDVDDEFQEDDIDSEFQEDEVDEEFLEDDICDEFQEDDMDDEFQEDKLE >CAK8574926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15981731:15984679:-1 gene:gene-LATHSAT_LOCUS27224 transcript:rna-LATHSAT_LOCUS27224 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQPQPQPLEEPKTIPLKILIDKENNKVVAVEAPKHFIDTLFSFLSLPLATIIRLLSTNNNDQQQQQPSSESSPFLGSIKSLYESVQSLTPNDVWNPVCKQMLLNPRNPCELLCKKLFLNIDDTEASSEFFVCDTCNMFTTFQNLDCTCGKPTNRRPKNLDSEGLGNSTSVAVDDALSGVFVKENGSLFLVFDDLKIVPSSLVTSMQMLMELGYSDLSQLEEVTQNIGKQEILNILKYALTSQEPLTKTILKSSSKNKAHPPSQFASAVKVKTCTSDIKMDVKLVRSKSQKKIIFAETGENFVDFIFSFLTIPLGSIVKLLDGNSFVGCIDNLYKSVENLDSSWCTGSRSVLLNPGVIPQFGCPNQPLNIPHVQPPLATYYYGTGTPKLYNNNYGHRIVEVKVEGGVISKTKGLIYNPIHLIELDPRSPNKSKEGVVGFMKRPALYGVGDDLKVQSLSANFCLSYLKELNLPLDDLEVKVISIGEVEAISLFAASLTSKSTLTSGLEDFLNVPKQDSNLTSKYIQTTSRLDELAKEPKPED >CAK8574188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668238160:668240721:-1 gene:gene-LATHSAT_LOCUS26554 transcript:rna-LATHSAT_LOCUS26554-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFSERLRPLVGLNGWDYCVYWKLSEDQRFLEWLGCCCGGSESNQNGGGGEEHVFTISSCRDTMFSHPRTKSCDLLSQLNTSITTDSGIHAQTLLTNQPSWFNYPNTLDPNTLQETINGTHVLIPVPGGLVELFVTKQVGEDQQVIDFITSQCIVMVDQEGMMNNSIGFDSMSNMQSNQVIVDEIDGDKTNNHFNPSEPLSLPHDMSVMNFMQQFNYNYNYNQQQNRTKNDETTFSEEYHGSFLHDQENNNNNNSSILKSNKEEEHDNKSLMTDNNPYMFVDPLDSKRKHEQVVGRSDSMSDCSDQNEEEEDGKFRRRNGKGNQSKNLVAERKRRKKLNDRLYNLRSLVPRISKLDRASILGDAIEFVKDLQKQVKELQDELEENSDTGTESNCIVNGNNNQYIGGVQAQVDNNNNNSNVPKEEHGFHVGNGYVSKQKQEDVGTGADKQTQQMEPQVEVALIDGNEYFVKVFCEHRLGGFVRLMEAMNTLGMDVVHATVTSHKGLVSNVFKVEKKESEIVEAEDVRDSLIELTRNRSGCWSDEMTATSSENGVISKDQHDHQNHAAFHTHQFHS >CAK8574187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668238160:668240736:-1 gene:gene-LATHSAT_LOCUS26554 transcript:rna-LATHSAT_LOCUS26554 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFIMQNFSERLRPLVGLNGWDYCVYWKLSEDQRFLEWLGCCCGGSESNQNGGGGEEHVFTISSCRDTMFSHPRTKSCDLLSQLNTSITTDSGIHAQTLLTNQPSWFNYPNTLDPNTLQETINGTHVLIPVPGGLVELFVTKQVGEDQQVIDFITSQCIVMVDQEGMMNNSIGFDSMSNMQSNQVIVDEIDGDKTNNHFNPSEPLSLPHDMSVMNFMQQFNYNYNYNQQQNRTKNDETTFSEEYHGSFLHDQENNNNNNSSILKSNKEEEHDNKSLMTDNNPYMFVDPLDSKRKHEQVVGRSDSMSDCSDQNEEEEDGKFRRRNGKGNQSKNLVAERKRRKKLNDRLYNLRSLVPRISKLDRASILGDAIEFVKDLQKQVKELQDELEENSDTGTESNCIVNGNNNQYIGGVQAQVDNNNNNSNVPKEEHGFHVGNGYVSKQKQEDVGTGADKQTQQMEPQVEVALIDGNEYFVKVFCEHRLGGFVRLMEAMNTLGMDVVHATVTSHKGLVSNVFKVEKKESEIVEAEDVRDSLIELTRNRSGCWSDEMTATSSENGVISKDQHDHQNHAAFHTHQFHS >CAK8530367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16951471:16959068:1 gene:gene-LATHSAT_LOCUS235 transcript:rna-LATHSAT_LOCUS235 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKKKRSTTVSEQHEEEENGVVSQEEPLNKKPKNTESSATPSSMESKKKRKAFQKERRSAKSKSKSELADSDSKPAVVLTDSASGSGGDSLPEFHIGVFKDLAAAAESVREAAAKQLVTELKDVQNAYGGVQGKESGDAGFKLEAEKNDGLDECAPSVRYAVRRLIRGVSSSRECARQGFALGLTVLVGAIDKIKVESFLKLVVDLLEVTSSMKGQEAKDCFLGRLFAYGALARSGRLIEEWSMDKNTPYIKEFVGILIALATKKRYLQEPAVSIILYLVEKLPAEALANHINEAPGLDKWFESAAEVGNPDALFLALKVREKISTDSSIYGKLLPNPFSSSQLFSADHLSFLSNCLKEATFCQPRVHSIWPVLINILIPNTVPQLEDAATASNSLKKHKKSRKSCSSDEEIAKNLKSFCEIIVEESLLFSSHDRKRLAFDVMFLLLQKLSASLVPIVLSNKVVQCLMDILSTNNTWLYKVGQHFLKQLSDWVGDDDVKRVAVIVAIQKHSNGKFDSITRTKHVKNLMSHFKTEPGCMLFVQNLMNLFVDEDNVMEEPSDQSQTTDENSEIGSVEDKDSPRTNGNSDFLKSWVIESLPGILKFLKLDHEEKFRVQKEILKFMAVQGLFTASLGSEVTSFELDEKFRWPKTPTSNALCKMCIEQLQLLLANAQKGDGSRALVNSLEPNDLGLYFMKFFSTLCSIPSVSLFRTLDDEDEKAVKDLQAMEAKLSREEKIHDCSVDADRDHALRYLLIQLLLQVLLCPREYSEAASELIICCKKTFSTSDNPESSGEDDTEVDDAPELMDVLVDTLLSLLPQSSAPMRSAIDQVFKYFCSDITDDGLMRMLRVIKKNLKPARHPDAASADEDDDDEDDDLFNIEDEEIDQAETGETGESDGLSDDSESVVEAEETGQDHHEASDDSDSGMDDDAMFRMDTYLAQIFKEKKNQAGNETAQSQLLLFKLRILSLLEIFLHENPGKPQVLTVYSHLARAFVNPHTAEVSEQLSQRLCGILQKKIFKAKDYPKGDGVQLSTLESLLERNLKLASKPFRKQKSGTKTSKQSAEQQKRISSFPQTSTFWILKIVDSGNFAESELQGIIQIFQKTLVDYFDSKKSQIKAGFLKEIFRRRPWIGHAVFGFILERCGSAKSDFRRVKALDLVMEIMKSLATQSGEGLNASKKIVKNNLDKVSHVIKELVTNMPSKAARKTEVKKFCVKVSVILSEHSLTKYFLKSLAPDIQAALEAQFGDNLF >CAK8572206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:520025245:520036740:-1 gene:gene-LATHSAT_LOCUS24796 transcript:rna-LATHSAT_LOCUS24796 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPESHKQLQPSPEPESQNGLQPAPEPEAKQEPNTQPETEPEPVAAEQVESQAPTQSEPESGSKPDPEVTVADLKETAIHSNDASNISSPQPQLKKKDEGSRTFTMRELLHGLKNDSEPEREDVSSPYSQDSQQQQHIEQNNAAMDLINSVVGVDEESRSRQRILTFAARRYAASIERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAYYNWAIAISDRAKMRGRTKEAEELWKQATGNYVKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSVNAQEVSPNELYSQSAIYIASAHALKPNYSVYSSALRLVRSMLPLPHLKVGYLIAPPSGALVAPHNDWKRSEFFLDHEKLQQVPRGEQRQSSQNLSTRSDTVNGDKKTIKVEIADIVSVSACADLTLPPGAGLCIDTVHGSVYLVADSWESLDGWLDAIRLVYTIYVRGKSDVLAGIITG >CAK8564664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5771372:5785318:-1 gene:gene-LATHSAT_LOCUS17946 transcript:rna-LATHSAT_LOCUS17946 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSASSDASLTCPRCRHVSTVGNSVQALRKNYAVLSLIHSAAEAAAAAAGGGGRGSNFECDYTDDEEDGDGGDDGEVDDGDDEKRRRNSRGSQTSSSGGCAPVIEVGVHQDLKLVRRIGEGRRAGVEMWTAVIGGGRCRHHVAVKKAVIMEGMDLDWMLGKLEDLRRASMWCRNVCTFHGAMKVEESLCLVVDKCYGSVQSEMQRNEGRLTLEQVLRYGADIARGVVELHAAGVVCMNLKPSNLLLDANGHAVVSDYGLATILKKPSCWKARPECDSTKIHSCMECILLSPHYTAPEAWEPVKKSLNLFWDDGIGISPESDAWSFGCTLVEMCTGAIPWAGLSAEEIYRAVVKAKKQPPQYASVVGGGIPRELWKMIGECLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPASPDNDLVKGSVSNVAEPSPIPELEVPQDPNRLHQLVSEGDVTGVRDLLAKAASENGSNYISSLLEAQNADGQTALHLACRRGSAELVETILEYQEANVDVLDKDGDPPLVFALAAGSHECVRSLIKRNACVRSRLRDGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAIAKKFTDCALVIVENGGCRSMAILNSKNLTPLHLCVATWNVSVVKRWVEVATSDEIAEAIDIPSPIGTALCMAAASKKDHESEGRDLVRILLTAGADPSAQDSQNGRTALHTAAMTNDVDLVQVILAAGVGVNIRNVHNSIPLHLALARGAKACVGLLLAAGADYNLQDDDGDNAFHIAAETAKMIRENLDWLIVMLMNPDADIEVRNHSGKTLRDILEALPREWISEDLMEALTNRGVHLSPTIFEVGDWVKFKRTVTTPTYGWQGARPKSVGFVQTVPDRDNLTVSFCSGDVHVLSNEVVKVVPLDRGQHVQLKEDVKEPRFGWRGQSRDSIGTVLCVDEDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTSAKHGLGSVTPGSIGIVYCIRPDSSLLIELSYLPNPWHCEPEEVEHVPPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADASDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSLEEDGDMGVAFCFRSKPFSCSVTDVEKVPPFEVGLEIHVMQSVTQPRLGWSNESPATVGKIVRIDMDGALNARVTGRQSLWKVSPGDAERLPGFEVGDWVRSKPSLGTRPSYDWNSVGRESLAVVHSVQDSGYLELACCFRKGKWITHYTDVEKVPSFKVGQYVRFRLGLVEPRWGWRGAQPESQGIITSIHADGEVRVAFFGLPGLWKGDPSDLQAEQIFEVGEWVRLKENANNWKSIGPGSVGVVQGIGYEGDEIDRSTFVGFCGEQEKWVGPSSHLERVDKLFVGQKIKVKQYVKQPRFGWSGHTHASTGTIQAIDADGKLRIYTPAGSKTWMLDPSEVEVVEEKELCIGDWVRVRASVSTPTHHWGEVSHSSVGVVHRMEDDNLWVSFCFVERLWLCKASEMERVRPYKVGDKVKIRDGLVSPRWGWGMETHASRGQVVGVDANGKLRIRFRWREGRPWIGDPADIALDEN >CAK8537288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:204792495:204793490:-1 gene:gene-LATHSAT_LOCUS6587 transcript:rna-LATHSAT_LOCUS6587 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNHGQSQQSTRWYRLCFMPTSDSSDDSDTESVVIAPSTSTQTSTWQQPSPPHPEPDINWLTLPSPPPPPFFTLLTPLQRSSQMPSLSPPLSPPPNPEPQLNSNWYFQPVPHPLSLFTHLEAANSEPRINMQSLQPPLSPPPPPLSQDSRVTIYPFTDNVFPPLIDQVRPGINQSEFFRIPDSVINAIHMVTVSELHERDELSQCPICMEEFKLGDQACQLACNHRYKFECILRWLNNNTTCPVCRLQLEGFVGQDSCYNINDNGGDDDDDDSLDLEPQIPPPQINIILEDLFQFSPLSQVTEDGADHNSDEGAYDSACDDLGDAHEDGE >CAK8564131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653700884:653704348:-1 gene:gene-LATHSAT_LOCUS17465 transcript:rna-LATHSAT_LOCUS17465-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSQFDGNAAFSGGGFTTTQTTSGGDSPFNPSKNRDTQALLPLTIKQINDAFQASDDKTNLTIDGVDVGTISLLGRVCNKAGQITDVKFVLDDGTGMIECTKWLQEPADSIQVESILNGTYVRVYGHLKGFQGKKNLNVFSFRPVTDFNEIAHHFIHCIYVHLYNSRLRASNPNLQHVPNSTQITPTRGHQSQAFSANQQFSGNNGQKSVEELVLDILHLSTNRTKMGGTSLDVIRQHLGIPMDKLMLAITNLANEGTIYEGEQNHYKSIVNG >CAK8564132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653700884:653704348:-1 gene:gene-LATHSAT_LOCUS17465 transcript:rna-LATHSAT_LOCUS17465 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSQFDGNAAFSGGGFTTTQTTSGGDSPFNPSKNRDTQALLPLTIKQINDAFQASDDKTNLTIDGVDVGTISLLGRVCNKAGQITDVKFVLDDGTGMIECTKWLQEPADSIQVESILNGTYVRVYGHLKGFQGKKNLNVFSFRPVTDFNEIAHHFIHCIYVHLYNSRLRASNPNLQHVPNSTQITPTRGHQSQAFSANQFSGNNGQKSVEELVLDILHLSTNRTKMGGTSLDVIRQHLGIPMDKLMLAITNLANEGTIYEGEQNHYKSIVNG >CAK8541642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:324726955:324727697:-1 gene:gene-LATHSAT_LOCUS10547 transcript:rna-LATHSAT_LOCUS10547 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPQLVLRPFYGPPEFHKGNVTQKSNRKENPASYLLSRFSLPPLSPTSTKKTDLRFNKYIAALNQSKHGSNQSTNTNHREQDIGRVTNKGRRTARCLNGGSRSNNGDDELRRLRNDLYIRWH >CAK8568067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569080965:569083502:1 gene:gene-LATHSAT_LOCUS21063 transcript:rna-LATHSAT_LOCUS21063 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGENLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8571863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:484922908:484924146:-1 gene:gene-LATHSAT_LOCUS24486 transcript:rna-LATHSAT_LOCUS24486 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTMKIQPIDFHLDEEITRDEPAKPVVKSRLKRLIERQFSGVLRISAAEKIGSDLEPHSCKEGFNGGGDSEPSSICLAKMVTNYIEENNEKLSVSVKCGRNRCNCFHRNCEDNSDDESDARGGFSASNYSSSDASEILKGLVACRSVGERNLLADTAKIVDKNNKCKRKDSFCRKIVTDGLLALGYDASVCKSRWEKSSSYPAGEYEYIDVMMENERLIIDIDFKSEFEIARSTKSYKTILQNLPYIFVGKCDRLQSIVAIVSEAAKQSLKKKGMHVPPWRRVEYVRAKWLSAYTRTSVHVQKQKSLKENGVTESSGGWKPPELKPKGSLTGVKVVTGLAVVFKDDKP >CAK8530652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37950725:37951282:1 gene:gene-LATHSAT_LOCUS500 transcript:rna-LATHSAT_LOCUS500 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAVESTNSDLFTYTSDLVEDSGSSGRSRSTSTVNQPLLGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIKMARIQVEDLFEVKVDICQVMEGLHPSGDWMGRGARALDNPRTFTGEDSLDNLSRLRDGVVSGDATPITTLKQRMFWRRSGGDTESHA >CAK8542701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538874736:538877012:-1 gene:gene-LATHSAT_LOCUS11516 transcript:rna-LATHSAT_LOCUS11516 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCPCFGSRKKEKRKNFNGQEDEEDDVNNLKSVGTASVSGRSLEQRSEATSEEKIDSSNKARIFTFRELATATKNFRDETFIGQGGFGTVYKGKLDKTKQMVAVKRLDTTGLQGEKEFLVEVLMLSLLHHPNLVSMIGYCAEGDQRLLVYEYMPLGSLESHLHDLCPGEEPLDWNTRMMIAAGAARGLNYLHHEAKPSVIYRDLKSSNILLDERFNPKLSDFGLAKFGPTGDHSYVATRVMGTHGYCAPEYATTGKLTMRSDIYSFGVVLLELITGRRAYDDNRSHDKHLVDWARPLFRERSNYPKLADPHLQGHFPTSGLRMAVEMACMCLREEPRHRPGAGDIVLALDYLSAKQYVPKASGTINTGSMEIEDSPKESTMALPILPKDSLREQAVAEAKQWGETWREKRKQSYENSPEEIRS >CAK8577901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596490924:596492148:-1 gene:gene-LATHSAT_LOCUS29964 transcript:rna-LATHSAT_LOCUS29964 gene_biotype:protein_coding transcript_biotype:protein_coding MENISFIRGFPDQEIMEDPSLCLHQWYLNSIDESNSLPIASAFGDTLQHPSYIYPNFNLRASVETDQRPETQFVSYQNLLSYVDSNHFNQLGLVKPKDEMVCPQNSNTTSDAVSQGIMEAQRISTRNKVSLPQDHIIAERKRREKLSQRFIALSALVPGLQKMDKVTVLGDAIKYLKKLQEKVKALEEERNMKKNVEYVVVVKKSQLTNDVENSSAESGSPFDEELPEIEARFCDRNVLIRIHCEKSKGAAEKSIHEIEKLHLKVINSCVMAFGSCALDITVIAQMDIEFCMTLKDLVTNLRSAFASFI >CAK8577271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551058399:551059478:-1 gene:gene-LATHSAT_LOCUS29392 transcript:rna-LATHSAT_LOCUS29392 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGGCSPRIRSDHLKREGSDESRLRQAFELQPSDDHNYEIKSMNALDILRETIRILRFNSWGFMIITVLLICPVSAVLLSNVLVDESIVKNLTIRLMLVAETSGLPLRPMIKQSCQRFAETVISSAMCFPLYTTLLLLSKTAVVYSVDCSNSKKKFDSSKFCVIVAKFWRKILSTYMWACTIIVGCVTMFCVFLVAFCSALAVLGFSPNVLVYAALMIGLVFSVIFANAIIICNIALVISVLDDVSGAQAMLRSNILIKGQTQVGLLIYLGSTIGMAFVEGLFEHRVKILSYGDGSSRMWEGPLLVIMYSFVVLVDSMMSAVFYFSCRSSSMENSNGEGISILETMAISAETIGMQ >CAK8534700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756579093:756579578:-1 gene:gene-LATHSAT_LOCUS4220 transcript:rna-LATHSAT_LOCUS4220 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKSQETQSVTLKTSDDVLFEVKINVVKEMKTIQSFIDDSEDIATIPLANVSSQHLAMIIEYCKKHVSEEETDDAKDKFDVAFTKELNSDDMKLLLLAANYLNIKSLMDLLARTIADHIKNKSVEFVREFFNIENDYEPEEEAKLREEVAWAFENIDEN >CAK8574305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674488436:674488774:-1 gene:gene-LATHSAT_LOCUS26661 transcript:rna-LATHSAT_LOCUS26661 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKSQVQKGKCVKKRQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVIWDLG >CAK8565616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292945964:292946849:1 gene:gene-LATHSAT_LOCUS18813 transcript:rna-LATHSAT_LOCUS18813 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVVSKSFCWYRMRAPDRDILHEIPNAARIMNQEHSDDHPIHCPRERSRIAWKIIRESLMPYVENEKYTISRRYGLHPDNDKYKDQEQHKSRINTNEWQCGYCEKTFYKEKHIDQHSDNRLSNLLNLA >CAK8533990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679763158:679765263:-1 gene:gene-LATHSAT_LOCUS3570 transcript:rna-LATHSAT_LOCUS3570 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSDVASFDPSSPRSPKRTVYYVQSPSRDSHDGDKSSTMQPSPMDSPSHQSYVHHSRASSSSRISGGYNSSLCKKGNRKGNDKGWIQSKVIEEEGGDFYHESNRISRRFQIFMAIVGIVLVFGVFCFIIWAASLHYKPQLSVKSLTVHNFYFGEGSDITGVPTKMLTVNCSMRMTVHNPASFFGIYVSSKSVNLMYSEVTVATGELKKYHQQRKSRRTVSVNIQGSKVPLYGAGASFASLVDNGNVSTTLVFEVKSRGNVVGKLVRTKHIQHVSCSVAIDPNNNKSIKLKENECKYI >CAK8531220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91160810:91161145:1 gene:gene-LATHSAT_LOCUS1026 transcript:rna-LATHSAT_LOCUS1026 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKDGFGYLRSIPAISLDNPLISALVERNEKVRDQTHERIGNIPVYII >CAK8530697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41485068:41486604:1 gene:gene-LATHSAT_LOCUS542 transcript:rna-LATHSAT_LOCUS542 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYTSNRFLLFIIFTACLCIYFAIQMKKTNETKGIPISVYSSILGPKLDKLPNQDDVKKLFQLWKKEQGRVYRDQKEMEKKFDTFVSNVKYIVETNAKRHSPNSAFLGLTKFTDMSNKEYKKRYMTLKTYATDIWNDDDVQDVTCSDPPATLDWRLNGAVTSVKDQGGCGACWAFSTVAAIEGIVAINTGTLISLSEQEVLDCVLDGDCDEGGFVPEGFKWVVGNLGVASRADYPYTASKGVCKSAQFQNSANSRIDSHQAVPRSDRALLCAVAKQPISIGVYADSPTFKEYKGGIFRGEDCPADPKNVTHAMVIVGYNSLRVCLVRGRWRGGEGRGGKIFN >CAK8574637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4551064:4554916:-1 gene:gene-LATHSAT_LOCUS26964 transcript:rna-LATHSAT_LOCUS26964 gene_biotype:protein_coding transcript_biotype:protein_coding MKNESRSVAKDQLSPVQLSAPPSWKKLYFPKKAGTPRKGEIVFVAPTGEEITSRRQLERYLKSHPENPNISEFDWGTSDTPRRSSRISEKVKTTSPAEAEPPKKRGRKSIGSKKDDKVTETEAHSEEAEPPKKRGRKSIGSKKDDKVTETEAQSEKAEPPKKRGRKSSASKKHDKETEAESPSEEAKEKEKSSAEEPKAGPVDADDNIDDKIKSDDAEVIKQSNAEGELVQEPLKAVVEEEIVESAKEKSSAEEPKADPMDTDDNVNDKTRGDDAEEIKQSNAEGEHVIEASNAVVEEAIAESAKEKSFVEELKANPMDTDDNVNDKTRSDDAEEIKQSNAEGEHVIEASNAVVEEAIAESTKETSFVVELKADPMDTDDNVNDKTRSDGAEEIKQSKAEGELVQEASNVVSEETIVESKKENPSAEEPAADPMDADRNIDDKTNSNDAADEIKPSNTEGEFVQEALNAVVEEAIVESEKEVSSAEPKADPIDAVSNIEDKTKSNDAEEIKQSNTEGELVQASNAVFEEAIVECEKELFSAEELNADPFDADNIIIDNTKSDDAEEIKQSNVEAENLIVENPQVEETPIAGPEEQLVEEALNAVVAEKPLEEAPVELEKENGTVDISKQEQSGAEENEGAEKVSVNFEEVNGKNEIPASDEKQTIQGEEQVKMVDNESVIWSCAQ >CAK8541043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47371228:47372933:-1 gene:gene-LATHSAT_LOCUS9995 transcript:rna-LATHSAT_LOCUS9995 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMHSFWQLGDELRGHSKASEDHKWLMVASKLAEQRSKAERFNNLDLSKGPIETRPRDKFGFQEENKFDTLNLSMLNLESKFTENMNKSSLRNGVYNMNAVYQKSNANFVGNMNSNKYSSNVQLNKDPHSNNNNNNNENNTNATDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEATCFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKICKALEEDSFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGCAA >CAK8564335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668595109:668595492:1 gene:gene-LATHSAT_LOCUS17650 transcript:rna-LATHSAT_LOCUS17650 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDDFISKVDLVDLPLKGRCFTWSKLDGSSMSRVDRFLVSEGWMHQWPNRVQICLEKSIYDHCPIIICDKEVNWGPKPFHMIKCWRDLKGYHNVVEESWRPLEIQGRGGGYVLNEKFKLIKGNLK >CAK8568398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602425613:602428560:1 gene:gene-LATHSAT_LOCUS21357 transcript:rna-LATHSAT_LOCUS21357 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNDTNNSDDRNNNHNWLGFSLSPHHHHHYQQTQTSSVSNTLPTAFYLSPSHFNNSPICYGVPENHNFHSSLSVMPLKSDGSLCIMEALGRSQSQVMVSSSSPKLEDFLGGATMGTHDEYGSHERDAAMALSLDSIYYNNQQNADPHQQQQTHMTSQHPYYAALGFHGMFQTPLEVESKETTTTATTNHVDVCTSQIPQNWFSQRDYSSVSHVSQTLEQQMSTNMGNNNAGVGVGGCGELQSLSLSMSPGSQSSCVTAPTQISPSGTESVTMEAKKRGAAKLGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDQAALKYWGPSTHINFPLENYQTQLEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGANAVTNFDISKYDVEKIMASNTLLSGEHARRIKQNVPRTEVHEYNDTNVTSQNNNGEPAQARNSNESNDSKWKMVSNSCDQKNSAGNFKNSDFSMSLQDLVGIESVGLDDSTKIGTHFSNPSSLVTSLSSSREASPDKTAPSQLFPKPSMESKIGSNIGVSSSWFPSQVTTQMRPTSSINLSHFPVFAAWNDA >CAK8536401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938052209:938056781:-1 gene:gene-LATHSAT_LOCUS5773 transcript:rna-LATHSAT_LOCUS5773 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLPESMDEEETSQHLHYSRHVKHQPNNRDPNFIDQQHQLEQEEDEEDDDDQEEHDEEEEEQGEEDEDEEEAEDDDEDEDEEGQHHNDDKEKSQDSDGTPSSDSEEKPEYVYVELSDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPNIEKYEEEELEFREEEKNRNKQIQASIAKVFQRQSEALTKRRKDNTPSSFVTRSQRNQRNLQSRRQNQAADIQGSEENEEENGNNEKDSSSGDERGTELRQRKRKRWTRVRSSQPSSSMASPDGGSVESDMDISRENRGISRQVSKPRKLTWGRGGFRSNTRHGSGGGSNSKNSRSGRMSRLVDYLKSLNENTDEFDVHLMFVSPDKEAPTPSLEQLHLCCRPTLSVKNLYEYVASQTPLTVEEVEILAVKGCSSINHDKSADEKSVAECDELTSLVIDPLKDELELLQAHETVAEIRSKSISKRGHLILAYKRKV >CAK8570562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60922823:60924115:1 gene:gene-LATHSAT_LOCUS23300 transcript:rna-LATHSAT_LOCUS23300 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLSSVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >CAK8542545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525127356:525127913:-1 gene:gene-LATHSAT_LOCUS11379 transcript:rna-LATHSAT_LOCUS11379 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMNNHPATITPRHYNIHKLFLFCNYVLLGAASSCIFLTLSLRLIPSVCGFFLILLHIFTIAGAVSGCAAVGANRWYSAHMVATVLTAIFQGSVSVLVFTRTGDFLGELKSYVREEDGSVILKLAGGLAILIFCLEWVVLTLAFFLKYYASVEGGNNSRAIVLGSAKVQQDEDLKDWPWPFQV >CAK8567629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530812771:530813777:-1 gene:gene-LATHSAT_LOCUS20665 transcript:rna-LATHSAT_LOCUS20665 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHGAHASIGAYNLQLNGKQRSLSGIWVESGPPSNLNRIFLGGGVIPSLYGDSQLYLTARWTANGSECYDIRCPGFVQVYSDPYLGTVISPVSIIGTLNKQILVIKIKQDKLTGNWWLCIRLVDECFGYWPKELFPHLSSGASIIRYGGETYAPTGMVSPPMGSGRLPQEKFRNSNFVERVKIINSEYNEIDVNPINMKINKNANLSCYDLLYRGYEGSLRQQAFLYGGPGGKSC >CAK8562445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493305568:493314063:1 gene:gene-LATHSAT_LOCUS15940 transcript:rna-LATHSAT_LOCUS15940 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSENCSVKVALHIRPLIADERQQGCTQCVSVNPGKPQVQIGSHSFTFDHVYGNGGSPSSEMFAECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACNDNTGIGLIPQVMNALFNKIETLKQQTEFQLHVSFIEILKEEVRDLLDMVSMGKSDNSNSNGHSGKVTIPGKPPIQIRESSSGVITLAGSTEVSVSTLQEMASYLEQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKLHSFSSSNDTSDEDMGEEYLSAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINTEETLNTLKYANRARNIQNKPVANRDLISNEMQQMRQQLKYLQAELCSRGGGSVDEVLVLKEKIAWLEETNEELCRELHKYRSRSSLAERCDIHETDGHIYLMKNNRLERSFTSSELSDHLLAGSMSGEDSKEADEVEKELEHTLLLNTMDKEMHELNKQLEQKETEMKLVGVDTEALRQHFGKKMMELEEEKRKVQQERDQLLHEVENLAVNSNGLAHKTHDVRGQKLKALEAQILELKKKQENQVQLLKQKEKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLEALNQRQKMVLHRKTEEATMATKRLKGLLEARKASPRDHSVYSNGHLQPGQINEKSIQRWLDQELEVMVRVHEVRAEFDEQNQVHAALEGELAFLKQVDQFSDRQSIPTGNSRYSRLLSMSPDAKAARITSLENMLSTSSAALKAMTSQLTEAEERERALNNRGRWNQLRSMGEAKNVLPYLFNATAEARCQLWEKNMELKDLKEQLNELLTLLQQSEAQRKELEKEKAIGEQAVAITLHTPPSESSRSLKHLADEMSGPLSPMSLPAPKQLKFTPGVVNGSVRESVTFIDDGRKMIPIGELSMKRLAAIGQAGKLWRWKRSHHQWLLQFKWKWQKPWKLSELIKHSDETIMKSRPRAQALINVA >CAK8536503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947461152:947461860:1 gene:gene-LATHSAT_LOCUS5865 transcript:rna-LATHSAT_LOCUS5865 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQRERRVQHARDRRQRMSVEQRQQELARRRSNYRQNKDKEKQVQTYNTSNMRTIMPFQDLTNVNLASRLFSMAHDSEAGPSNAHVSHIPSLDQNFPCLNFQQTDNNEARPSIRNIYDNDCESPSQNMNSTVETHETQSVFDQGTIMYF >CAK8536859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29138546:29138896:-1 gene:gene-LATHSAT_LOCUS6186 transcript:rna-LATHSAT_LOCUS6186 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPKRGRGRPKSTVPPPPPLESLTSLKTHEIESRTTTPPDTSSKTPEIGARTNKEVTTTLENENKETLTGTTQAQPEERKLWVDIINDNRNPAKGLTVEYVAPKVVNGVIEIDI >CAK8565618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:293320480:293324079:-1 gene:gene-LATHSAT_LOCUS18815 transcript:rna-LATHSAT_LOCUS18815 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLTTFRRYYPNLLSSLHHLTTKTTTTHLLSNNSHLNPSFKPHLLELSTVIPDITRQFWRVPILKPQHVLQIILGFQSECTNIGIRVEKVRSLYKIFKWGVEKNNTHFSQSYEVMSLLLVHAGLFTEAENLITSLEGKGVFLGEIRSGIFDKLIEGYVREKELEKAVFVYEGMKERKMVPSRMCYRVLLDYLVKMKRTQTAFHVAFDLVELGESLCCDEMKNVEDVMVLLCVDGKIQEARSLIRKVLRLENYEVSSLVFDEIAFGYCEKKDFKDLISFFVEVNCVPSVIAGNRVMNSMCESYDVERASLFLNELESVGFRPNEVTYGMLIGWSCYEGKMKNALSYLSVMLSKSFVPRLCTYNALISGLFKVGALENAKDILDEMIDRGMIPDISTFKVLIAGYCKSRRFDKVKSLVCDMDSRGLVNLSLTESPLSKAFQILGLNPLNVRLKRDNSKKLFKAEFFDEMGNGLYLDTDVDEFENHVASILEESVLPNFNSSVMKECNSNNLKNALVLVEEMLCWGQELLLPEYSKLVRQLCSSRSQITSVIKLLEKMPRSARKLDHETLNMVVQAYSKKGLLFQAKTILDEMHQNKFYIENLTYTSLLVPLCKKGNMKDFSYYLKIACRNKWLPKLEEFKHLLGHICHQKMLPEALQFLEIMLLASPLQRLDIYHIFLEVLSSKRLTDTALAILKQRQFYSFFDRTGYNNLMRGLCNEGKFSLAFTILDDMLQRNFAPCLDVSLSLIPQLCKVCKYDKAIALKDIILKEQPSISHSADWALICGFCYSGNIEKVDSLFRDLLSKGLVLDDELCNMLIQGHCKTNDLRVVGELLGVAIRKSWELSLSSYRNLVWSMCMKGRVLFALSLKNFMLARCSFDDVIVYNILIFYLLSSGNCLVVSKILTEMEEKKVILDEVGQNYLVYGFLQCKDLSGSLHYLTTMISTGLKPSSRSLRKVISSLCDIGELQKAVELSREMGLRGWIHDSVIQTRIVESLLSHGLVKEAESFLDRMEDESLTPDNINYDYLIKRFCQHGRLKTAVHLLNIMLKKSNIPISTSYDFLIHGFCAQNELDTASCFYSEMQNWNLKPRIDTVETLVFSFCEHGRTEQAEQFLVDMIRGGETPTRKMYCAVIKNYHAEKNIKKASELVQAMQEKGYQPEFDIHWSLISNLSNAKEKNSDNGKKGFLSRLLSKTGFLQRR >CAK8575216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55585551:55587236:1 gene:gene-LATHSAT_LOCUS27500 transcript:rna-LATHSAT_LOCUS27500 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKREQYADDNASLSHKKPRVVWTPHLHAKFLDAVNFLGLHEAVPRKIAALMNVEGITKEHVASHLQKYRLSKKKANDKVSSRMILEPTQSQTYFNIHPQSYNFPVNQTSPLLLPNIKHVVHQSHTETYSNMSGVGVAPSTPIQSQNINIHPPPSTYPIYHDTSTLFPSPLGFPTPAKPVVHQSNMSGIGVAPSTLIQSQNINIHPPPSTYPIYHHTPTLFPSPLGFPTPANSSKSTSIWDYHWHITEPHSLLFPHSSLPVLESHNTNQNTQMSSQQTEPIIVESTSLEDTNIMDYNFYDDIGSIDFSVEETDSITLKDLANMSRNVEEEDLMNAWRCFEEKEDFTNVMQPLCYETF >CAK8535711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875826670:875828308:-1 gene:gene-LATHSAT_LOCUS5140 transcript:rna-LATHSAT_LOCUS5140 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMSLFYQSQTLLGCVSILNPNLNPRYLCLCKSRSWKVLSTPPPSSVILNADEGGRFSKKIHSSNDVSVRNDFVTPFGYQGTTVGIIGGMSVDATLNFLRKLVELSSQDGQSPIPFLLCSDPILNKELLSYERSLESFKLDSPDIVKSLRNKRVFLENSGARCIVMPCNVSHSWYEQVSNGCSVPFLHMADCVAQELKEAKLKPLEAGSPLRIGVLATNATLAAGFYKEKLQNEGFEVILPDRATMEHTVIPATEALSRKDMEGACNLLRIALQVLLVRAVNFVILASDEMRDVLPHDDPLLKKCIDPMEVLARSTIKWVRSSGENT >CAK8573603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624308421:624309350:-1 gene:gene-LATHSAT_LOCUS26033 transcript:rna-LATHSAT_LOCUS26033-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKVLNLILNPDGTVTRLEKIPQSPPSPDPNLPTPALSKDLTINQSKHTWARIYLPHKPHSKKLPLIIFYHGGGFIFYSAASTYVHDFCANLANKTQSVVVSLEYRLAPEHRLPAAYDDSVEILHWIKNSNDAWLTHHADYSRCYLMGESAGGNIAYTAGLRAAAIVDDIKPITIKGLILVQPFFGGTKRTPSEIRLEKDKNLPLIFTDALWELSLPVGVDRDYVYSNPMVNGGDKVLEKIRLFGWKVAVFGCDGDQLVDRQRELVKLLEGKNVNVVGQFYSGGRHGIFMGVPTMSEKVFDLVKSFH >CAK8573602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624308421:624309431:-1 gene:gene-LATHSAT_LOCUS26033 transcript:rna-LATHSAT_LOCUS26033 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPYIAATTLLSLHLLLFLVNANSSMDPYKVLNLILNPDGTVTRLEKIPQSPPSPDPNLPTPALSKDLTINQSKHTWARIYLPHKPHSKKLPLIIFYHGGGFIFYSAASTYVHDFCANLANKTQSVVVSLEYRLAPEHRLPAAYDDSVEILHWIKNSNDAWLTHHADYSRCYLMGESAGGNIAYTAGLRAAAIVDDIKPITIKGLILVQPFFGGTKRTPSEIRLEKDKNLPLIFTDALWELSLPVGVDRDYVYSNPMVNGGDKVLEKIRLFGWKVAVFGCDGDQLVDRQRELVKLLEGKNVNVVGQFYSGGRHGIFMGVPTMSEKVFDLVKSFH >CAK8574566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2340858:2345418:1 gene:gene-LATHSAT_LOCUS26898 transcript:rna-LATHSAT_LOCUS26898 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLNIAEGANDPYLFSTNNFVGRQTWEYDPEAGTEQERAQVEEARRNFYKNRFQVKPCGDLLWRFQVLRENKFKQTIDSVKIEDGEEITYEKTTTTLRRGTHHLAALQTSDGHWPAQIAGPLFFMPPLVFCVYITGHLDSVFPQEYRKEILLYIYYHQNEDGGWGLHIEGHSTMFCTALNYICMRILGEGPDGGQDNACVRARNWIRQHGGVTHIPSWGKTWLSILGVFDWLGSNPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILQLREELHTQPYEKINWTKTRHLCAKEDIYYPHPLIQDLIWDSLYIFTEPLLTRWPFNKLVRKRALEVTMKHIHYEDENSRYLTIGCVEKVLCMLACWVDDPNGDAFKKHLARVPDYLWISEDGMTMQSFGSQEWDAGFAVQALLATNLIEEIKPTLAKGHDFIKKSQVTENPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSLLPSEIVGEKMEPERLFDSVNLLLSLQSKKGGLAAWEPAGAQEWLELLNPTEFFADIVVEHEYVECTGSAIQALVLFKKLYPGHRKKEIENFIFNAVRFLEDTQTDDGSWYGNWGVCFTYGSWFALGGLAAAGKTYTNSAAIRRGVHFLLTTQRDDGGWGESYLSSPKKIYVPLEGNRSNVVHTSWALMGLIHAGQSERDPTPLHRAAKLLINSQLEEGDWPQQEITGVFMKNCMLHYPMYRDIYPLWALAEYRRRVPLP >CAK8532486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269452878:269455610:-1 gene:gene-LATHSAT_LOCUS2185 transcript:rna-LATHSAT_LOCUS2185-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGSYHLTASNSYSPNFKLQFKCQQFDKCRSTLPGIILCANRKLRPDEFVWRQNQSNQNVDFPPKLPKKKKKPFPIPFKEIKQATKLDKKLARKGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLSQLLHVIPIYGCSECSEVHVAQTGHCILDCEGRTSSTRHSSHAWVKGSINDILVPIESYHLFDPFGKRIMHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTNPIRMLGRRVLDRGGHLEEPEPFHFAESSSIMDFDTYRASERFPHPPLPDVPKIAQETINAYQIVRKGVRKLMKKYTVKACGYCSEVHVGPWGHNAKLCGSFKHQWRDGKHGWQDATIDEVLPPNYVWHVRDMNGPPITAALRRYYGKAPAVVEVCVQAGARIPGEYKPMMRLDIVIPDSDEAGMIA >CAK8532484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269452878:269455628:-1 gene:gene-LATHSAT_LOCUS2185 transcript:rna-LATHSAT_LOCUS2185 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYSMDFIGSYHLTASNSYSPNFKFKCQQFDKCRSTLPGIILCANRKLRPDEFVWRQNQSNQNVDFPPKLPKKKKKPFPIPFKEIKQATKLDKKLARKGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLSQLLHVIPIYGCSECSEVHVAQTGHCILDCEGRTSSTRHSSHAWVKGSINDILVPIESYHLFDPFGKRIMHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTNPIRMLGRRVLDRGGHLEEPEPFHFAESSSIMDFDTYRASERFPHPPLPDVPKIAQETINAYQIVRKGVRKLMKKYTVKACGYCSEVHVGPWGHNAKLCGSFKHQWRDGKHGWQDATIDEVLPPNYVWHVRDMNGPPITAALRRYYGKAPAVVEVCVQAGARIPGEYKPMMRLDIVIPDSDEAGMIA >CAK8532485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269452878:269455610:-1 gene:gene-LATHSAT_LOCUS2185 transcript:rna-LATHSAT_LOCUS2185-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGSYHLTASNSYSPNFKFKCQQFDKCRSTLPGIILCANRKLRPDEFVWRQNQSNQNVDFPPKLPKKKKKPFPIPFKEIKQATKLDKKLARKGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLSQLLHVIPIYGCSECSEVHVAQTGHCILDCEGRTSSTRHSSHAWVKGSINDILVPIESYHLFDPFGKRIMHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTNPIRMLGRRVLDRGGHLEEPEPFHFAESSSIMDFDTYRASERFPHPPLPDVPKIAQETINAYQIVRKGVRKLMKKYTVKACGYCSEVHVGPWGHNAKLCGSFKHQWRDGKHGWQDATIDEVLPPNYVWHVRDMNGPPITAALRRYYGKAPAVVEVCVQAGARIPGEYKPMMRLDIVIPDSDEAGMIA >CAK8565838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342856799:342857287:1 gene:gene-LATHSAT_LOCUS19018 transcript:rna-LATHSAT_LOCUS19018 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMIFHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAFKIVTGVPDAIPVIGSSVVELLRGSTSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >CAK8565839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342856856:342857287:1 gene:gene-LATHSAT_LOCUS19018 transcript:rna-LATHSAT_LOCUS19018-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANFGWLIRSVHRWSASMMVLMMIFHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAFKIVTGVPDAIPVIGSSVVELLRGSTSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >CAK8534253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710065147:710070598:-1 gene:gene-LATHSAT_LOCUS3813 transcript:rna-LATHSAT_LOCUS3813 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKFALFHVPRTQLLTTKLNHPFLHRRTSFFSSAATTATAAVTISSVDHPWPEWISFVDRLTAKGYLSQSSSDGSVYSNVKLLKDASLSFARDRYDVFKLLSSEDIQAVVEGGCPNLLRKAVNSAKRLRAHLRLEEGDVCSACNLRDSCDRAYVILKESEADARTVDIVRILLFYAVDPLVLSGGEKSPGREVIESSARQLLSQLIELSEASPAPPPVPAQSKSTSKDTVAKSKPLSFKADMLSKHVEMKRGDWTCPKCNFMNFSRNMKCLNCEEDKPNIIDPPAIEMKEGDWTCSECSFLNFSRNIKCLKCQAEGPKRVNKLNMNEVQMKKGDWTCSQCGFMNYASNIKCLKCPEPRPKKNSGDWNCPKCNFLNFATKGKCFRCEESNPNSKQYPGDWSCPKCDFYNYARNTKCLKCNIKQPKEQPNNEYEEHNWRRRN >CAK8565548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:266032595:266033487:1 gene:gene-LATHSAT_LOCUS18751 transcript:rna-LATHSAT_LOCUS18751 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESPSSYSHDSGDTVGTRITILPEGDGFDHHKLVVLEIAYIIRTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVTVRRNFEKRSAAKMSQLMQDVRRDLEYRPEWMGEEVWKKLTVHWNSPKFKNVSATNKRNRCSMDGASLHTGGSIPHRLHWKRMKKEKVADPSLTEFYFRTHRKKDQSWMGVHAESAYVSTPNQFHKE >CAK8530229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8604961:8607917:-1 gene:gene-LATHSAT_LOCUS112 transcript:rna-LATHSAT_LOCUS112 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVEDANFEDDQLANMTTDDIVRASRLLDNEIRILKEELQRTNLELESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >CAK8567703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537414584:537416842:-1 gene:gene-LATHSAT_LOCUS20730 transcript:rna-LATHSAT_LOCUS20730 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRFNRIERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTALYHNARKRCHISSENLPKHTTKKVLNRNMGDEVPQKHTTKKVLNRNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKKVLNRNMGDERERTQVPQKTSISICKYWMNDNCVHGDQCQNLHSWSYGDGFATLAKLQGHKKLVTGIALLNGSDKLYSGSIDGTLRSWDCHTGQCANLMNLGAEATSLISEGPWIFVGLPNTVKAWNTETASQFTLDGPKGRVLSMTVGNDTLLARAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLIVGVVKMLFSGSKDQSIKVWDLGTFECKMTLNAHTDEVTSLLCWDNFLLSGSSDCTIKVWYKTEAETLEVAYSHKLENGVVALSGMTDPKNKPILFCSTRDNSVLLYELPSFAERGRLFAKQEVGLIDIAPDGLFFTGDRTGLLTVWKWEEPKVEVVASSWL >CAK8567704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537414584:537416842:-1 gene:gene-LATHSAT_LOCUS20730 transcript:rna-LATHSAT_LOCUS20730-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRFNRIERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTALYHNARKRCHISSESAKTVSRRKTGNDMLPPKHTTKNMVWNRNLPKHTTKKVLNRNMGDEVPQKHTTKKVLNRNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKKVLNRNMGDERERTQVPQKTSISICKYWMNDNCVHGDQCQNLHSWSYGDGFATLAKLQGHKKLVTGIALLNGSDKLYSGSIDGTLRSWDCHTGQCANLMNLGAEATSLISEGPWIFVGLPNTVKAWNTETASQFTLDGPKGRVLSMTVGNDTLLARAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLIVGVVKMLFSGSKDQSIKVWDLGTFECKMTLNAHTDEVTSLLCWDNFLLSGSSDCTIKVWYKTEAETLEVAYSHKLENGVVALSGMTDPKNKPILFCSTRDNSVLLYELPSFAERGRLFAKQEVGLIDIAPDGLFFTGDRTGLLTVWKWEEPKVEVVASSWL >CAK8571168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:321422797:321423495:1 gene:gene-LATHSAT_LOCUS23858 transcript:rna-LATHSAT_LOCUS23858 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKQFNVPPVIFPISPNQRRPTAPFQPRPTTNPIPFMSYEIGSATTSSFPPAPNNFSFDQEPPLLEELGINTKQIWNKTTSLLNPLRIKNLDLHEDADLSGPVMLIMAFGLFQLLAEKIHFGIILGWVTVSALFLYVVSNMLAGRNGTLDIYRCVSLIGYCMWPMVILSVISLFLPQGELYISVIAVFFVAWSTKACTMLLVHVARWDQHPGLLAYVYFLIYSLFSLLVIF >CAK8573635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626397744:626398583:1 gene:gene-LATHSAT_LOCUS26064 transcript:rna-LATHSAT_LOCUS26064 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRSNVSLKVRSMAEGEQKEQPKVSVDPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVSAMGVEIARGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFLQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8539173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505960432:505960758:-1 gene:gene-LATHSAT_LOCUS8293 transcript:rna-LATHSAT_LOCUS8293 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKIESAKCECCDLKEDCTQDYISEVKSKFGGKWLCGLCSEAVRDEAIRGKRIFAMEEAIKSHMLFCRRIKSNPAVCVADGMRQMLRRRSTELSSSNKHSKKSTTTS >CAK8569855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13719377:13721863:-1 gene:gene-LATHSAT_LOCUS22663 transcript:rna-LATHSAT_LOCUS22663 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLSIDENMSNLTSASSEKSASSATKNEKANLEPQTKRKRNLPGHPDPEAEVIALSPQTLLATNRFICEICNKGFQRDQNLQLHKRGHNLPWKLKKRNSNEVIRKKVYVCPEPACVHHDPSRALGDLTGIKKHFFRKHGEKKWKCGKCSKRYAVQSDWKAHSKICGTKEYKCECGTLFSRRDSFITHRAFCDVLSHESAMSMSAVNPLFSHHSQFHSHGFQPPSLKKEQDFNSLRSSEIPSWLFPSHMSHYDQNPNPTNLFPSNFNSNNIITTSSPHLSATSLLQKASQIGVTVSKSDQEHCRSSQLMQTHVPFEYKTLYMNSSSPVSGIVMPSREEIATGFSHCLAPYGNKAAIASECFEGGVTVTATPTATNTTNTEESSLLHDVIFGNESSQFEGVVTTMRGMFDTQRGSNDNNNFEEFVSKSTQTQYSQFGKSNNDDEMTRDFLSLGAFSQRDLFNISGINDDPLGSLSYGKQNHNQNPWRG >CAK8532863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539167643:539174918:-1 gene:gene-LATHSAT_LOCUS2526 transcript:rna-LATHSAT_LOCUS2526 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFWNRQQALLPHSGLHKRPRSDYEMPATGLPPGSEMHNYLAREEDRSGHPMVKDTKTIGSAYDRYLQSGQLTSFTSAGEASTIGALGLQRGVGGLPNHSLGDPALMGRHGGGGPDFAPNGRGINYGFQPPVDTISRPGPETALLPPDASPTLYIEGLPSDCTRREVAHIFRPFVGYREVRLVSKEAKHRGDPLILCFVDFANPACAATALSALQGYKVDEINPESSYLRLQFSRYPGPRSGGGPRSGSGSRGGRR >CAK8579805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:723762611:723770504:-1 gene:gene-LATHSAT_LOCUS31719 transcript:rna-LATHSAT_LOCUS31719 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCSEGMTLSLAPPPPPPVGLFGNNKSGVFQFQKPFLKFKFNNSSLLVHRNPPLAAQLQASSSRDFVVVNFYHFVFIKNPQQLVAKHLSFLESEGLDINGRIYLNEQGINAQYSGPSKDAITYVNWIKEDTRFSDILLQITPSQTGHTFPSLKLRYKPSLVQFKGGISDLPLLDPSMRALPLSPSEWRDRLQAINKNDPHSKDYSNRNNIILDVRNGYEWDIGHFRGAQRPNVDCFRSTSFGLSQEEITASDPLSNVDKENANILMYCTGGIRCDVYSTILRQHGFQNLYTLKGGVSHYIKNEGAAEWIGNLFVFDSRLSLPPPVTIPEGRRTPVSRDDKFAKCYICNVEVSELRHRNCANLDCNLLFLCCRNCVEDLKGCCCLTCTTAPRLRPALIGEQRYKKWHIYRDMDLQGKGN >CAK8566492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428646423:428648771:-1 gene:gene-LATHSAT_LOCUS19618 transcript:rna-LATHSAT_LOCUS19618 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPERPQFVLFGSSIVQLSYSHSGWGSLLSDIYARKADILVRGYYGWNSRRALEVLTQVFPKDAATQPSLVIVYFGGNDSMGPHSSGLGPHVPLQEYIANMRKILVHIQSLSEKTRIIVLSCPPVHEEKVRENTSELVRTNDLCQSYSDACVKLCKELGVKVIDLFRALQSIDDWKNACFTDGIHLAVEGSKIVVKEILGVLKEAEWKPSLHWKSMHTEFSEDSPYDLVAADGKRTLNPSEWTFHREFQWD >CAK8566491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428646423:428648771:-1 gene:gene-LATHSAT_LOCUS19618 transcript:rna-LATHSAT_LOCUS19618-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPERPQFVLFGSSIVQLSYSHSGWGSLLSDIYARKADILVRGYYGWNSRRALEVLTQVFPKDAATQPSLVIVYFGGNDSMGPHSSGLGPHVPLQEYIANMRKILVHIQSLSEKTRIIVLSCPPVHEEKVRENTSAFFSELVRTNDLCQSYSDACVKLCKELGVKVIDLFRALQSIDDWKNACFTDGIHLAVEGSKIVVKEILGVLKEAEWKPSLHWKSMHTEFSEDSPYDLVAADGKRTLNPSEWTFHREFQWD >CAK8572622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551682832:551684436:-1 gene:gene-LATHSAT_LOCUS25165 transcript:rna-LATHSAT_LOCUS25165 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQICVLTYFIVSFIVQHGNCQTVKVGAGFVQRKGTHFILNGKPHYVNGFNAYWLMMMASDPSTRSKVTSTFQQASKHGLNLGRSFAFNDGDIKPLQISPGSYDENVFRGLDFVISEARKYGVKLMLGFVNNWKDLGGKMKYVQWARQHGQNIKDEEGFFINPLVKQYYKNHVKAVLTRKNTVNGLLYKNDPTIFSWELMNEPRFPNHSIQNWVTEMAAYVKSIDRNHLLNIGNEGFYGETVPIRKQLNPISINLGTDFIKNNQIPQIDFATFHIYDDRWLVNKTERAKSVYVDKWIVAHLKDAEILLRKPIILAEFGKSSRESPGYSIDKRDDYYKKIYNIISASATSGGSCAGGIFWQLLSQGMDSYGDGYEVILENSPSTAQIIKQQSTKMSNIKK >CAK8531167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86300657:86301310:-1 gene:gene-LATHSAT_LOCUS975 transcript:rna-LATHSAT_LOCUS975 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSKTILFSFALTLTSFLFVGNVESSKDLHVVEHDLRSDLVALCHKTTNPKLCLDTIKPHFLKREVTPLQALEVEVDATHEQTVKTIDVIGTSLAKHKGSKSLKDSLAICKDQYSSILDAIKQTKEAIKNQDFSTAKMQFSSVLSYQASCKDAFEGMEKEFSFSNDSDAVFQLGGNCLDIITDMEKTEGPPKTPQIVPTPTSFPTKFKNVIGTIG >CAK8541267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99718219:99719172:-1 gene:gene-LATHSAT_LOCUS10199 transcript:rna-LATHSAT_LOCUS10199 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDWKPKLKMIFDSIEDAWKFWVDYGGKVGFGVRKQYYNKNKNGIITSYRFVCCKEGLGNPDKRDYKTINPRPETRTNCQARLGLKNMDGKFMVIDFVEEHNQNLHLQETTHMLPSQRKVSQIQCHQIDLADDAGLQQRKSFDLMSKEVGGRTNLGFIHLDQKNYLREKRERSMVHGEAGYLLEYFRRKSMENPSFYHAYQMDAEEQITNVFWANAMMLIDYDYFGDVISPDSTYCTNSSHRPLTLFSGFNHHRKSVIFWASLLYDETVESYKWIFETFLEAHKQKMPQTIFTDQDHAMARALVEIMPRAYHGLCT >CAK8535991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895633308:895636061:-1 gene:gene-LATHSAT_LOCUS5402 transcript:rna-LATHSAT_LOCUS5402 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKQFPTYLLNTILFEVVVFSWLWLHVTSLGSMSSIAVSYGDKGSAFCGLKSDGSHTVTCYGFNSAIVYGTPSHFPFIGLTSGDGFVCGLLMSSNQPYCWGSSSHIEMGVPQPMVKGAQYLEISAGDYHVCGLRKPLTGRFRNISFVDCWGYNMTNNYVFDGQIQSISAGSEFNCGLFSQNRTVFCWGDEVSSQVIRLIPRGMRFQKVSCGGYHVCGILEGVNSRTVCWGRSLDMEEEISLIPNQGQGGSVELAPNDPMLSVVGGKFHACGIKSYDHGVICWGLSLKTSTTVPKESKVFEIAAGNYFTCGILAAKSLEPICWGVGFPTSLPLAVSPRTRKCFSEPCPASYYEIEKDQQKGLNCKDSNSHLCVPCSGVCPDEMYEKSRCDSKSDILCEYNCSVCSSPECFSNCSSSSSYPNGDNGKKSERFWSMQLIVVVSEIVFVVFIVSVVSITAVMYVRYKLRDCECSTTALNSATRLNVGSSAQKDNGKIRPDGEEFKIRRAQKFTYEELENATSGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIMSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMAHGSLHQHLHGKNKELKEQMDWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPTDSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVQWSVPLIKSGDIASILDPCLKQPSDIEALRRIANVSCKCVRMRGKDRPSMDKVTTSLERALAMLMGSPCIDQPILPTEVVLGSNRMHKKTSQRSSNRSASETDVVEGEDQRFEFRAPSWITFPSVTSSQRRKSSESEGEVEVKIEGRNFVGGGDVLRSLEEEIGPASPQERLFLQHNF >CAK8560415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18175782:18176522:1 gene:gene-LATHSAT_LOCUS14085 transcript:rna-LATHSAT_LOCUS14085 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAEYKSDLKKEKVLKALLEDPILSDVPKNPSLEDVETLLGLELGSAMRISVLKLDSSSFDVIVMNTATVKVLKLAIKKKVNYMEQSSMGHRHISWKSVWANYCLAFDNNKLLNDDDVLQDLGVRNNSQVHFVPYVMTKESRRHSKRRNHRFFHGLSKLF >CAK8566059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374223427:374227090:-1 gene:gene-LATHSAT_LOCUS19226 transcript:rna-LATHSAT_LOCUS19226 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEILRQKLRRKPKVAVRISRNSLRTSVDGNGFNKGEGFDRENGYKGSLKKRVNFNGVQSGEERSRGKFYERGKIDDRENGFNRKFKKNVNFREIESGEERGGGRFHDRGNLRTRKGESRFSSDESFDRENGYKGRMKKNVNFRGMESGEERRGEKFYERRKLRTSRDSSRTRNDEHRFNNDEGFDRENGYKGKLKKSSNFRDVESGEDRSGEKFYEKRKLSTLDSFGRKKRVYAKEGMDESGKIWSGVEISKKKISLKKGEKKVRDETLEEKIVEEKIDDDRTIWDLSKLKKAKSKDKFSNQSLKDNKEMNGTDDCGRKLKKKNVEKKTEFSYGKIKETALPSSSDMKEPGLDDDAKKLEDRRYKKKKRLIKIDPYDISNKRLDDAIGVDGNKEEKKKDSEKEREMSQNAQFRAIQPSPSILTFVEKNMLGRRRMIDIKRAGYNIELPSPLDNIPFSKSSERENIEENVFRNRLEFFAAAKVSSSFPPPNLPEIAFAGKSNVGKSSLLNALTRQWGVVRTSNKPGHTQTINFFSLGTKLSLVDLPGYGFAYAKEEVKEAWEDLVKEYVSTRVGLKQVCLLIDTKWGMKPRDHELIELMERSKTKYQIVLTKTDTVFPIDVARRAMQIEESLLPNKSVIQPLMMASSKSGAGIRSLRTVLANVARFVKI >CAK8574030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654777810:654780042:-1 gene:gene-LATHSAT_LOCUS26420 transcript:rna-LATHSAT_LOCUS26420-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKFLFLLFSQLMLLANGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLPIQNCDDAMKPKMIQFQNKGKWFVVGNVNSLEFRGSSNATFSFVFRDNNLYQLLQSKSCEALRYNYTLPPTSHFLSFHIETRATLFMCNRSLHVNPPTYMHNYTNCRLYDFYYRPFNNPDNASPTAFTACANVRLPAKDFADADDLFTFITADIPTQVNITEDCSNCHYNQRGRCQLDRDGRFYCANVMVAKQEGLTWIDTLGTIVTVIIGVVVLIIGAAMVQLVLWIVIKIFTTAFLLFKKEDSTHRIIEEYLKEHGPLSAVRYNYSDIKKITNSFKNKLGQGGYGSVYKGKLRDERNVAVKILSESKGEGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEYMSNGSLEKFIYEEKNPLQNDLQLLDCKTLYDIGIGIAHGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRNFGEVSHKSDVYSYGMMVLEMVGHRKNIKVEVDCSSELYFPHWIYKCLELNQDLGLKCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSVSVSATPLSSEIL >CAK8574029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654777810:654780045:-1 gene:gene-LATHSAT_LOCUS26420 transcript:rna-LATHSAT_LOCUS26420 gene_biotype:protein_coding transcript_biotype:protein_coding MMALVYKFLFLLFSQLMLLANGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLPIQNCDDAMKPKMIQFQNKGKWFVVGNVNSLEFRGSSNATFSFVFRDNNLYQLLQSKSCEALRYNYTLPPTSHFLSFHIETRATLFMCNRSLHVNPPTYMHNYTNCRLYDFYYRPFNNPDNASPTAFTACANVRLPAKDFADADDLFTFITADIPTQVNITEDCSNCHYNQRGRCQLDRDGRFYCANVMVAKQEGLTWIDTLGTIVTVIIGVVVLIIGAAMVQLVLWIVIKIFTTAFLLFKKEDSTHRIIEEYLKEHGPLSAVRYNYSDIKKITNSFKNKLGQGGYGSVYKGKLRDERNVAVKILSESKGEGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEYMSNGSLEKFIYEEKNPLQNDLQLLDCKTLYDIGIGIAHGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRNFGEVSHKSDVYSYGMMVLEMVGHRKNIKVEVDCSSELYFPHWIYKCLELNQDLGLKCIKNEIDEEMVRKMTVVSLWCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSVSVSATPLSSEIL >CAK8579690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716783025:716784821:1 gene:gene-LATHSAT_LOCUS31616 transcript:rna-LATHSAT_LOCUS31616 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGEIQKEQQHAIGIAPSASQMAYSHNQNDPSVASGTPFVAAVPTPAQPPAAFSNSVNQLAYLQAQHFHHQQQQQQDFGPQQQQQDFGHQLQQQKGFHDPLQQQQYFGHQQKQQDSGHQLQQQKGFCDPLQQQQDFGHQQKQQDFGHQLQQQKGFRDPLKQQQCFGHQQKQQDFGHQLQKQKDFHIPLQQQQYFGHQQKQQDFDHQLQKQLKVFWANQMQEIGQTCSFSRPSLPLQNIKRIMRMDEDVRMISAEAPVLFAKAAEMFILDLTLRAWIHKVNKRSTIQKNDVAGAIASSFVFDFLIDPKDELKEEERPGIAESTIPISEGLGTAQSTIPTQEELEFALSTIPISEELGIAQSTIRTQEKLEFAQCTIPIEEGHGFAQCTIPIEEGHGFTQSTPLTQEKLGTAQSTIPTQEELEFAQSTIPISEELGIAQSTIRTQEKLEFAQCTIPIEEGHGFAQCTIPIEEGHGFTQSTPLTQEELEFSQFTIPMEEEFEFPDFTIPIEEEFEFPDFTIPIEEELGFAQSNIPMMDPAADLPSYYVPPQHPVGSTGVTMGNPVDQAALYSTLQPQPPNPNPQPPQQQSTTLYSTQQP >CAK8565405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:170494043:170494360:-1 gene:gene-LATHSAT_LOCUS18623 transcript:rna-LATHSAT_LOCUS18623 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQKRKRVNGASIVSYGAREQHRTKRKNLDLVPNDMRSHISVEWDRNKKIVGAKHEQIGISWRHMKPFVNYVSNDHKVLAYVFRVPQEIFDLDNLSEVLSYEV >CAK8538760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495207648:495208947:-1 gene:gene-LATHSAT_LOCUS7924 transcript:rna-LATHSAT_LOCUS7924 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYPNNSFFLLLCVCLNFSTMVFGGNFNTDFNIIFGDKRANIQNGGNSMTLEMDKYSGSGIGSKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGPHHDEIDIEFLGNLSGDPYILSTNLYAYGNGGHEMQYYLWFDPTLDFHTYSIDWNSQRIIILVDNIPIRVMHNRQNIGVAFPTRQPMRLYTTLWNGDSWATRWGQVKIDWSKAPFIANFRNFNANACIPSSSNNCYGFNGGKNKGLNGETKIKLKEIHAKWNVYDYCRDFRRYAHGLPYECRKTNNRKGLQDE >CAK8577583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575881601:575882230:1 gene:gene-LATHSAT_LOCUS29675 transcript:rna-LATHSAT_LOCUS29675-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVASPPPQEILMHHDIHLISRCRKPWYQRAIDVTSLRKIISRSTQISSSNNSSSLWKTIPKPPQAPSTSPSDKAKLRKCKSLKAATSFTRVCLCAPMYSYNEILRNEVPPRRSNSYPRSSSSKPLQSASIERIHSARVSTEGSRRVFRGKSLNDDVLMRRFVIEEEAMMQIRRRNQMEVIRKRSMMRRKKIGPSPLSRMVMANDLIC >CAK8577582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575880897:575882230:1 gene:gene-LATHSAT_LOCUS29675 transcript:rna-LATHSAT_LOCUS29675 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAKDKCCVSIKNGKKGKKHVVKSQTEPKSTSSLLTSQMKILCSLPPSLSYFHFSLFMQDPKNDSNTRKPWYQRAIDVTSLRKIISRSTQISSSNNSSSLWKTIPKPPQAPSTSPSDKAKLRKCKSLKAATSFTRVCLCAPMYSYNEILRNEVPPRRSNSYPRSSSSKPLQSASIERIHSARVSTEGSRRVFRGKSLNDDVLMRRFVIEEEAMMQIRRRNQMEVIRKRSMMRRKKIGPSPLSRMVMANDLIC >CAK8564512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679083418:679084418:-1 gene:gene-LATHSAT_LOCUS17812 transcript:rna-LATHSAT_LOCUS17812 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNRDIDGDDECLHYSDEDIGIHCGCGLIGFKKNQVFDYSFNSEIEEDDDDLFEINLKKEEPLESIKEEEDYESSTVFSLDIHSNKLCDVVYVAVGESFSSMEALSWTLKHLVNPNSTIVYLVHVFPRVKRIPTPLGKIPRSRVNREHVNIYLTQVKNKRKILLQKFIDLCTKSKVKVEILLIEDDNVGEALVELVKNLNIRKLVIGTAESNLRKHASRKLNSTVEMVLKSVEEKCDVKIICKGRQVVDEMINGSTSQHDEMDGFVPIKRFMPNPFWLFRSR >CAK8563905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638222486:638224992:1 gene:gene-LATHSAT_LOCUS17263 transcript:rna-LATHSAT_LOCUS17263 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKYFLTLMFLWALFKSLPFVTCDGGLMRVSLKRRSLDVNTLNSARIKEVYNHRGLRDDNYLSTDIVYLKNYLDAQYFGEIGIGSPPQMFKVVFDTGSSNLWVPSSKCRLSIACYIHSKYRSKLSSTYTKNGTSCKIPFSRGNIPGFFSQDNLKVGNIIIRDQEFTEITKEGSLEFLAMHFDGILGLGFQDISVGQVTPVWYNMIEQGYMSQKIFSLWLNQNPDSNIGGEIVFGGIDWRHFRGDHTYVPVSQKGYWQIDVGDILLENNSTGLCQGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSYECKNLIHNYGDLIWEFITSGLRPEIICVDIGLCSRNGSHRTNEDIETMVDEENLGDSQRSRESPLCTLCDMIVFWIQVQLKQRNTRERILNYIDELCEKLPNPVGQSFINCDNIPTMPQIIFKIGNRSFPLSPEQYILRVEEGCSSLCYGGFVGIDVPPPQGPLWVLGDIFLGAYHTVFDYGNLRVGFAEAA >CAK8542723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541169204:541170654:1 gene:gene-LATHSAT_LOCUS11537 transcript:rna-LATHSAT_LOCUS11537 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHINVHDGQSWSSAPIHHSQWLQTTISDLDEKLDAMTTILEDGNSPNQEHMYCNWRKDLIQMLEEFGRSYRVLALAYNQLKSKTSHGSFHSGSLPSSARSKTICASCTRRETCNLESKKPEMGYNGHMKSVSKHSGVRSNGTNLDFEIQLEDKMTDFSTNENILMKIEDLELKHGTEDSLIISSKFESAWPALKYQMTKLTDDNLHYLEELVQRNDEKRETIRRLQLEVETLKHKNQALQNSSRNSNDDSEGSQSEISRPGRKSVSKLFRGCSP >CAK8579345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692748879:692754393:1 gene:gene-LATHSAT_LOCUS31297 transcript:rna-LATHSAT_LOCUS31297 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLSTSSFSPSLPHPSFKPSETCSNRHNPLLIFTTSSPHKPSKFLNLKASVSRNETSNSNLLDQQLLHRIAANAKDADEALRLIADYSSENSGVVSVSDCCSIISASLERNNHQLALSVFYSMRSTFHQVDENDPLVERWKWSRPNARVYTMLIQGLAASLRVSDALSVVKYICEVGVSPSEEVPFGKIVRCPSCRIAVAVAQPQQGIQIVSCAKCRYQYELISGNIISIQSEEISMDITAWEKGLRFLRLMKQSIPSAVHSIVVETPSGMARTHRFATETVDLPAQEGERVTVAVAAPSNVYKNLGPFKLSSRAPNFYPGEAMCITNHKDGRESRLVRAPRKDENSSLLKPSILFPLLALFATGDAASGFIDPSLPQFLSVVAVSSLAVGSALNSFVIPQLNQLPQRSVEVVAIKQRLLSQYDVLQSRINDLKEAAEKEVWMLARMCQLENKISAVGEPSYRTRISKVKRVRESLQNSLRGQIELIASFARISSMIEIEVEMETDVLAAETTSDVDGFTEQIEQIMELENLEERWKMQAEANDEAERLLSSQPLPLDDV >CAK8578614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641743297:641745309:-1 gene:gene-LATHSAT_LOCUS30610 transcript:rna-LATHSAT_LOCUS30610 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGKSSTEASTDNKSSLALVHVDVDQPLSVAPIVSSYNQKIRPVLDALENLRRLNIAKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQNHSLQQPELVLEYNGKNVSTDEANVSDAINTATEELAGTAKGISNNPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPDNIYDQIKDIIMEYITPEESIILNVLSATVDFTACESIRMSQTVDKTGLRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDETYEEARDEEQKLFESHSLLAKIDKSIVGIPVLAQKLVQVQAKIISKTLPEIIKNINAKLAYSLHEMENLPANLSSLADAMSAFLLILSLSRDSLRKILLIGEFEEYPDEKKMHCTARLVDMLNLFANELQNCDESNSTRDFLMDEIKVLEEAKFISLPNFMPRTAFLTLLQRKVKGISHMPTNFVDSVWNYLESVVTSVLSRHSANYYQLQVSTRRAAEHLISKKKKISIQHVMQAVEMEKHTDYTCDPEYLEEYYDLMLHQEVFVKEVLNVFRTSNTVNLEGVGNIEVNHLKMYTKNVLSQAFDLKARFIAYWKIVVRRVIDVIALHLMLSINELVNVDLQKEICNELGGGVERLLEESPSISVKREKLSRSVKVLRESKETVAKIMDRIEVYGDK >CAK8535547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:861420178:861421035:-1 gene:gene-LATHSAT_LOCUS4997 transcript:rna-LATHSAT_LOCUS4997 gene_biotype:protein_coding transcript_biotype:protein_coding MASENNNYNASNKGVFSHLAHGSAPGAHGPPPPGAYPPPGHGYPPHQGGYPPAGYPPAGGYPSTGYPPAGGYPSTGYPPAGGYPPAGGYPPASGYPPAGGYPTAGGYPPAGGYPPAGGYPGYPPTGYPSSHAPPHAPGSSGHAGNSGASMGAIGAMGGIGGLIAGAAASCANRVSHGRYGRGGYGQTGQGKFKRGKYGKGGKFKGGKGGKFKGRRNFAEGEGEGEEEEEEEEEEEEDDE >CAK8575605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202502964:202503566:-1 gene:gene-LATHSAT_LOCUS27854 transcript:rna-LATHSAT_LOCUS27854 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLIALVFEPRRKIILVDWMVRRYYNKDDVDALKANLDSYLKYIYEEYCAGFMPPQGNSDELQVFGSVSHPYGTAEFYLSEGCDSADNELNIYLGEKLEHDMEINVLEWWKVNFGRYPILANIARDVLAIPISTVASEAAFSTGERVLDPYRNSITPTTVEALICTQDWLKGTSSSLSTNEDFDIFERFKQGMITNYIF >CAK8575640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227443655:227444338:-1 gene:gene-LATHSAT_LOCUS27884 transcript:rna-LATHSAT_LOCUS27884 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMGDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8579419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697204895:697214686:-1 gene:gene-LATHSAT_LOCUS31367 transcript:rna-LATHSAT_LOCUS31367 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMSACKSLNSPSTVPVVTGLFTARGGFNKNSRSQCSFLSGTNKVKFPRQISRPCRQRRTPHSGALRVTCGVEKILIANRGEIAVRVIRTAHELGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSSQSYLLMSNVLAAATSRNCTMLHPGYGFLAENAGFVDMCTAHGLNFIGPKSDSIRVMGDKATARETMKKANVPTVPGSDGLLQSTEEAIRLANEIGFPVMIKATAGGGGRGMRLANVPEEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKYNNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVKAAESIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVALGERLRYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHLYPDYVVPPSYDSLLGKLIVWAPTREKAIDRMKRALDDTIITGVPTTIDYHKLILDIEDFKNGKVDTAFIPKHEEELAVPPQAKMALVNKVTNLNNATEPAALTA >CAK8566600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440279136:440280494:1 gene:gene-LATHSAT_LOCUS19720 transcript:rna-LATHSAT_LOCUS19720 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPFSPHVLLPLTIITFIMFLQIQTITSSSLSSSPQKQPFILPLKLQTLPHATVSLPSPSSRKLSFQHNVTLTVSLTLGSPPQNVTMVLDTGSELSWLHCKKLPNLNSVFNPLISSTYTPIPCTSPVCKTRTQDFPVPVSCDTKKLCHATISYADASYIEGNLATETFFAGGSAQPGTIFGCMDSGFSSNTNEDSKTTGLMGMNRGSLSFVTQMGLPKFSYCISGKDSTGVLLFGDANFNWLGPLKYTPLVKMTIPLPYFDRVAYTVQLEGIRVGKKLLQVPKTIFAPDHTGAGQTMVDSGTQFTFLLGPVYTALREEFIAQTKGSLTLLNDTNFVFQGAMDLCYQVKSGQNSGRVGLPVLPAVTLMFEGAEMSVSGERLLYKVSDDVAKWKNDLVYCFTFGNSDLLGIEAYVIGHYHQMNVWMEFDLVNSRVGFAETSCELASQRLGMVP >CAK8536100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906682590:906683027:-1 gene:gene-LATHSAT_LOCUS5500 transcript:rna-LATHSAT_LOCUS5500 gene_biotype:protein_coding transcript_biotype:protein_coding MRYMVDQPIKQSLFIALEESLLHENEYSAEENNNMLDHQLLFNLINETLFQIYEKSPTYFPRPFAFNHWLKPMPKGNYIVKEVWDNVSSYLSLRPELDQTLEDVVGRDLIKRSGGWMNLQQEEECVALDLEEMIIDDLLEEIIFS >CAK8532597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:313748505:313749107:-1 gene:gene-LATHSAT_LOCUS2285 transcript:rna-LATHSAT_LOCUS2285-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFGKRGHKWTKMLSPGKVFTDGCNKGMVDEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSIDLRNRLCDCGKFQAFQLPCSHVIVACSSIRQDYTIHIPEVFTVLNAFKVYKESFLGLPHEENWPKYEGFTLCNDDSMRRNKKERPTNSRIRNEMDDAEKEKRRCGICREIGHMRRKFLNVAGPSNRPNR >CAK8532596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:313748505:313749200:-1 gene:gene-LATHSAT_LOCUS2285 transcript:rna-LATHSAT_LOCUS2285 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEALNFVLKATRNLPIIALVQSTYYRMGSLFGKRGHKWTKMLSPGKVFTDGCNKGMVDEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSIDLRNRLCDCGKFQAFQLPCSHVIVACSSIRQDYTIHIPEVFTVLNAFKVYKESFLGLPHEENWPKYEGFTLCNDDSMRRNKKERPTNSRIRNEMDDAEKEKRRCGICREIGHMRRKFLNVAGPSNRPNR >CAK8534264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711222718:711224562:-1 gene:gene-LATHSAT_LOCUS3822 transcript:rna-LATHSAT_LOCUS3822 gene_biotype:protein_coding transcript_biotype:protein_coding MADHNQKAEIFELNNGTVQLLVTNLGCTITSFSVPGKDGVLSDVVLGLDAVESYQKGLAPYFGCIVGRVANRIKDGKFKLDGVEYSLPLNRPPNSLHGGNVGFDKKVWEVLEYKKGETPSITFKYESHDGEEGYPGDITVTATYTLTSSTTLRLDMEGVPKNKPTIINLAQHTYWNLAGHNSGHILDHSIKIPANHVTPVDQNTVPTGEILPVKGTPFDFTTERRIGDTINQVGLGYDHNYVLDCGEEKAGLKHAAKVRDPSSSRVLNLWTNAPGMQFYTANYVNNLAGKGGAVYEKHAGLCLETQGFPDAVNKSHFPSVVVRPGDKYQHSMLFEFSIE >CAK8577876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595266064:595266752:1 gene:gene-LATHSAT_LOCUS29944 transcript:rna-LATHSAT_LOCUS29944 gene_biotype:protein_coding transcript_biotype:protein_coding MARNYGFLVCIVVIVMDVVAGILGIQAEIAQNKVKDLKIWVLVCRDPSYQAFKLGLSASILLALAHATAHFLGGCVCTKSIEEYKRATSNRQLAMTFLVLSWIVLGVAFSMLIIGTLANSRSRESCGISNHRFLSIGGILCFIHGLFTVAYYVSATATRREECK >CAK8533767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658172797:658173295:1 gene:gene-LATHSAT_LOCUS3366 transcript:rna-LATHSAT_LOCUS3366 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFLKSCNDLISKWEELLSSNESCEINIWHSLQNLASDAISRTAFGSSYEEGKRIFELQRELAELIMKDIVKSFIPFWRFVPTTVHRNMDEIYRVPLCYETPVFMLCSLYVMQPLWTTILLMDYSLIYTYAYVF >CAK8541026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:45936692:45937201:-1 gene:gene-LATHSAT_LOCUS9979 transcript:rna-LATHSAT_LOCUS9979 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTLESQQVNEVENAFAQQVGRSLKKRFLDVDLDNLLVDPGERNQMACYHPNDPDEIRKAYLQKGPCQPKDHNFPQRQFGTSLRKFNPNWFLEFGSWLEYSVSKDDVFCLCCYLMRHEIGEHKGWDAFVTDGFSNWKKIDRLNVHLKVLIVLITKLGKNVMQ >CAK8535608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:868109773:868110837:-1 gene:gene-LATHSAT_LOCUS5051 transcript:rna-LATHSAT_LOCUS5051 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSIGTPPHKVYGVLDTGSNLIWLQCKPCNICYNQTDPIFNSSKSLTYKKVTCSSKTCKSTEGTSCSYNRDACEYTLEYDPGSKTQGDLSMDTITLHSTSGFIVSFPKIVIGCGRTNTWSTPYNGPSSGIIGFGKGPTSLIKQLGSSINGKFSYCLSANEYYRKFSLSSKLNFGDSSIVSGDKVVSTPMVKMIGKEKDYYYLNLKAFSVENKRIKYRGFKKKGVNASTHNIIIDSGTTVTLFPRHFYFKLESAVKKVVKLERFHDDTDSSRLCYNTTLSQQSNFLEITAHFSGADIKLDPRGVFTSILERIKKISFRPHRHDLGLFGNRAQANHLIGYDLKKKYRLVQTYRLF >CAK8539810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525974637:525977709:-1 gene:gene-LATHSAT_LOCUS8871 transcript:rna-LATHSAT_LOCUS8871 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKGGENPDNQVEASDKMKMDIDYVTEYAKAKNKPTPTSEVHGAMKQEILQLERRLQDQFEVRSTLEKALGYRSSLLVNSNEKMVPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQKLPQPAFVEPSVPEVLTKNESSTLQYNGHDELENLPKEHSRYEMETLGKEKHLDSGVYRCHSSLSHCTAFTRASPTELSLTKALRACHSQPLSMVEYVESSSSNIISLAEHLGTRISDHIPMEPNKLSEDMVKCISALYCKLADPPMIHPGLSSPISSMSSMSNFSIGDQGDTWSPRFKNNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEIPWIYKENQKSGDTKKLLQNYKSLISQLEEIDPGNLEHDEKLAFWINIHNALVMHAFLAYGIPQNSMKRVFLLLKAAYNVGGYIVSADTIQNTILRCRMSRPGQWLRLFFSSKTKFKTGDGRQAYALTHLEPLSHFALCSGNHSDPAVRVYTPKRVFQDLEVARDEYIRATLGVRKDQKILLPKLIESFAKDSDLCPSGVMDMILESLPESLRKKVKKCQLAKSKKCIEWIPHNFNFRYLVSKDVLK >CAK8568121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574603863:574604276:-1 gene:gene-LATHSAT_LOCUS21115 transcript:rna-LATHSAT_LOCUS21115 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQVMFNYMQNYQNPNPQNSQIPSVPTNSAIFFPSPNNPNMYLRPGMNSNSMKFSTQVPPFSTQVGTEKEERFVVKKNLESNLQGMRIYNLSNHGSMFQRIQLWELIKRLRVFLLRIATNYNQYRGQERE >CAK8530111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1389039:1390157:1 gene:gene-LATHSAT_LOCUS5 transcript:rna-LATHSAT_LOCUS5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRINGFDWVKGKIVGTGSFGSVHLAMNKSTGGLFVAKTANSDAGRDALQNEVNILNTLKNSSSQSPSPYIIQCLGSEYDHQDNNKLHVFMEYMAGGSLADALHNFGGSFDEHVVRLYTRQILLGLYHLHQQGIVHCDLKCKNVLLSSSGTVKLADFGFAKRISKDSSTDKSPSSVINNGGTPLWMAPEVLLATNVKDERAVDFAAADIWSLGCTVIEMATGRPPWVHDDLVSISNPMSAMFKIACGDGIPQFPSHFSQEGFDFLRKCLARDPNKRSTAKDLLNHPFLVANTLTYHKRYCNSSPAAVLDVHQFEDDYDGDDESYDYEEKLPSPEGRNKLLMGNDFVSPQGKTIWQQEDSASGNHWITIRSR >CAK8578668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645788385:645790793:1 gene:gene-LATHSAT_LOCUS30658 transcript:rna-LATHSAT_LOCUS30658 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCGGVTLVVLVFCLWGLFIPFSSSTRLGSSSRQKLELNKHLNRINKPPTKTIQSPDGDTIDCVPVSKQPAFDHPFLKDHKIQMRPDFHPEGLFEENKLDESKEKSSTPINQLWHAGGKCPEGTIPIRRTKEEDVLRASSVRRYGRKKHRSAAPKPRSADPGMENQSGHQHAIAYVEGDKFYGAKATINVWEPKIQQANEFSLSQLWVLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVSSDIAMGASISPISSYRDSQYDISILIWKDPKEGHWWMQFGNSGTVMGYWPSFLFSYLADSATMIEWGGEVVNSEPDGQHTSTEMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTYTEYPNCYDVQTGSNGDWGHFFYYGGPGKNANCQ >CAK8530118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1617850:1618230:1 gene:gene-LATHSAT_LOCUS11 transcript:rna-LATHSAT_LOCUS11 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISWNCRGLENPSTSRAFLRLAHLENPNVVFLMETRLKTIEVEGFILKCNFNNGFGEDCNVQGKDRARGLALMWRDTIDISIISYSLNHVGGNFVDEFSGGIVAFVGFYGHLEDHKKKDFLGSC >CAK8569333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695053477:695054563:1 gene:gene-LATHSAT_LOCUS22195 transcript:rna-LATHSAT_LOCUS22195 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLPFRYLGVPLTCKWLSTHHYTSLVDRIVSRIRHWSSKLLSYAGRLQLINSTITAITTYWMSCLPFPKHVTKTINSICKTFLWKGSEEKSRKSPMAWKMVCKPRRKGGLDVVDLSEWSATCLTKLLWNLCNKKDSLWLIINGMEDWNGMTEKYSVGKVYQYLKKDDPDVGWNHMLSNTIARPRALFTMWMACHCRLATRGRLKRLGLTTDDSCKFCDKEETIYHLLFDCPSFKTRWRQILVWLGYQHFPCDCREALEWLITHCKGKGWRKCILRSSVAKTIHEVWRYRNNVVFGNTVNMLEIRDLVISILANRGWVNTIMRHHITQLLLK >CAK8537708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422289231:422289506:-1 gene:gene-LATHSAT_LOCUS6972 transcript:rna-LATHSAT_LOCUS6972 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTWLWSISVLVLWRAWSLPELIWEGLLSLQTIDIVECGGLKSLLEGIRRLTSLEVLTIHGCSALKKRCEEETGEDWYKTAHIPKLLIW >CAK8538165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466383714:466385911:1 gene:gene-LATHSAT_LOCUS7394 transcript:rna-LATHSAT_LOCUS7394 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEDYLMEKSQESHNRQQLEKEVVQYQTQLKDEEALNRVLLCATLHGPVCCLQHIPSEFPPRVYELLEELAFVEEEIILLERKVKELKLRLNRERNETTDWKIRHGRQPKLCNQFQGSFSHDFEGFTKERKSKDRRASLGSSLDVHSLFSTPRTSKEYEVPRSKTGQIPRQNVIEKPNELSEELLNCLIGIFLELNQASLDIKESETTVPRLTLSCMKSKGFISKTNSSNCKPHSFLSNGNASCLDPYGISADLDCTARDVGPYKNFIQITSSSLDTEFFSHCLPAFRKLRVLMHKLCDVDLSFLSYKQKLAFWINIYNACIMNAFLDHGLPSTQDKLLTLMNKAAMNVGGIVLNALAIEHFILRHPCESKHGPVDEKEILVRHAYGVGYPEPNVTFALCRGTWSSPALRVYTSEEVVNQLGRAKVEYLEASVGITNKRKIIVPKLLQWHMHDFADEMESLVEWIYSQLPRSGSMKRAMMEHLIRETKYPMSKMVEIQPYESEFRYILPI >CAK8575723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:281389487:281392992:-1 gene:gene-LATHSAT_LOCUS27961 transcript:rna-LATHSAT_LOCUS27961 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVFIFLLFSLMMMITLCYGKTDPNDLRVLNDFRKGLKNPELLNWPENGDDPCGPPQWSYVFCNNGRVTQIQAKNLGLKGSLPSNFNHLSELQNLGLQRNNLSGMLPSLSGLSKLQYAYLDYNEFDGIPVDFFEGLDSLQVLSLEVNPLNATNGWLFPLGLKNSVQLVNLSLVSCNVVGPLPDFLGTLSSLSNLRLSGNRISGEIPGSFGQSSLQALWLNNQQGGGMTGSIDVIASMTFLTQVWLHGNKFTGTIPSNIGNLTSLRELNLNGNQLVGLIPDSLANMELQKLQLDNNMFVGPIPKFKAAIVSYASNSFCQSKPGLECDPNVNILLNFLSGLNYPSFVVSKWVGNDPCGGSWLGLSCIRNSVSIINLPRKNLTGTLSPSLAMLDSLLEIKLSGNNITGKVPSNFTELKSLRLLDLSDNNIESPLPNFHEGVKVIVDGNPLFDNQPRPTPAPILTPPPLGALPSPPHNVPKPQPKLPPSSDSPQSNQSRNVESNPQSSKSNRLKIVAIVAGGVVFGFVALSVIVFFVCCWKKNKKKVSIDASSSAVSHAQDPSDPKVMVKLAVSDSTTGSLSTNTGISSLTNNSGETESYHVTESGGNLVISVQVLRKVTNNFASENELGRGGFGTVYKGELEDGTKIAVKRMECGTISSKGLDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPLGALSRHLFHWKSLNLDPLSWSQRLVIALDVARAVEYLHSLARQIFIHRDLKSSNILLGDDIRAKVADFGLVKLAPDGEKSLATKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDESRPEENRYLAEWFWQIKSNEEKLKAAIDPSLEVNEETFESISIVAELAGHCTAREASHRPDMGHAVKVLSELVEKWQPVDEEFDYTGGIDFCQPLPQMLKIWKEAEGKDISYASSTNDSKGSIPAKPSGFADSFTSSDAR >CAK8542848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553505518:553514252:-1 gene:gene-LATHSAT_LOCUS11652 transcript:rna-LATHSAT_LOCUS11652 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPNQSQMALILGPNSSHLESLITNLMSSSNDLRSQAENLFNLCKQTYPDSLILNLAQLLHTSPNPETRTMSTILLRRHLTRHHDDSFIYPRLTVSTQTTLCSLLLSSLHQEPVKSIAKKLCDTVSELASALLSDDLASWPDLLPLLFQWVTSPDARLQEISLLVFAQLAHYIGETLIPQLSTLHSVFLRSLSAATSSSDVRIAALAASINFVQCLSNPSDRDRFQDLLPLMMQTLTEALNSGQEATAQDALELLIELAGTEPRFLRRQIVDVVGAMLQISEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFVKKLFGVLMNLLLDIEDDAAWHAAVSEDEDAGETSNYGFGQECLDRLSISLGGNTIVPVASELLPTYLAAPEWQKRHAALVAFAQIAEGCSKVMTKNLEHVLSMVLSSFSDPNPRVRWAAINAIGQLSTDLGPNLQINYHHLVLPALAGAMDDFQNPRVQAHAASAVLNFTENCNPDILIPYLDGIVSKLLVLLQGGNQMVQEGALTALASVADSSQEKFQKYYDAVTPYLKAILLNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQQSQLDADDPTASYMLQAWARLCKCLGQDFLPYMGFVMPPLLQSAQLKPDVTITSTDSDAEFDEEDDSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAFTLVPLLKFYFHEEVRKAAVSATPQLLSSAKLAIEKGQSQGRDAAYLKQLSDYIIPNLVEALHKEPEVEICASMLDALNECIQVSGPHLDEKQVRSIVDEIKQVITASSSRKHERAERAKEEDFDAEERELLKEENEQEEELFDQIGDCLGTLIKTFRASFLPFFEELSSYLTPMFGKDKTSEERRIAICIFDDVAEHCREAALKYYNSFLPFLLEACNDECSDVRQAAVYGVGVCAEFGGSVFKPLVGEALSRLNAVITHPNARDSDNVMAYDNAVSALGKICQFHRDSINAAQVVPAWLSCLPIKGDLIEAKVVHDLLCSMVERSDRELIGQNNQYLPKIVAIFAEILCAGTDLATEQTVSRMINLLRQLQQTLPPSTLASTWSSLHPQQQLALQSILSS >CAK8531218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91105045:91106063:1 gene:gene-LATHSAT_LOCUS1024 transcript:rna-LATHSAT_LOCUS1024 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKFIISRTISYHEDRNQRSMRKTSNSIPLLEDLIISTSFCNQLHSKSLSSNTTSKFSIEPESSEAIEKLEQEKFSGLEEKDELGSKDAVGNRSFHTVEEYDDMVNRIWLKESQIVQPSEFNNEDNDHDNGSIIKMDLQLSQDQDSSIEKTQPLWLTKNEEVFQSHKARMLEKGNKRKAVANKLESLRIPSDVESAAIGSLKEWLPADGIYSPGSYVTPKFGSYSSTKIRNENESGEESIFSPELVSTFEQCMQKLEAEEENILKQILETVEEEEIEEEIMQT >CAK8568859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648319438:648321412:-1 gene:gene-LATHSAT_LOCUS21771 transcript:rna-LATHSAT_LOCUS21771 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLMLPSATTIRPYCNCSIIPSSSSSSRRLTLFHLLTTGAIVLPPQSQFFGAKLSQANAAQNLFDWVQNDNRRFLHVVYSVADLDKTIKFYTECLGMKVLRKRDIPEDKYSNAFLGYGPEDSNFTVELTYNYGVDKYDIGTGFGHFGVVAQDVAKTVDIVKEKGGKVTREPGRVKGGSIVTASVEDPSGYRFELLERRLTREPLCQVMLRVGDLDRVIAFYEKAVGMKLLRKIDNPEDKNTVAVLGYGPEANSPVLELTYNYGVTNYDKGNGYTQIAIGTNDVYKTAEAIKLYGGKVIREPGPLPGINTKIVVCLDPDGWKLVFVDNVDFLKELE >CAK8568860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648319438:648321412:-1 gene:gene-LATHSAT_LOCUS21771 transcript:rna-LATHSAT_LOCUS21771-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLMLPSATTIRPYCNCSIIPSSSSSSRRLTLFHLLTTGAIVFWCCCCIWLFLSLLVLPPQSQFFGAKLSQANAAQNLFDWVQNDNRRFLHVVYSVADLDKTIKFYTECLGMKVLRKRDIPEDKYSNAFLGYGPEDSNFTVELTYNYGVDKYDIGTGFGHFGVVAQDVAKTVDIVKEKGGKVTREPGRVKGGSIVTASVEDPSGYRFELLERRLTREPLCQVMLRVGDLDRVIAFYEKAVGMKLLRKIDNPEDKNTVAVLGYGPEANSPVLELTYNYGVTNYDKGNGYTQIAIGTNDVYKTAEAIKLYGGKVIREPGPLPGINTKIVVCLDPDGWKLVFVDNVDFLKELE >CAK8560835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48829218:48831357:-1 gene:gene-LATHSAT_LOCUS14480 transcript:rna-LATHSAT_LOCUS14480 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWISFSSIIFILFVTSSLILEIDLTKTSTTILKTIKVFNNNKQQPQFPLNCNITTCSSNYPTTFLLNDYPAPSSSCPHYFKWIHEDLKPWEKTGITREMVESGQNLSHFRVVIVNGKLYVEKFAKAFQTRDVFTIWGILQLLRLYPGKIPDLELMFQCGDKTLVEKKKFQGSDVSPPPIFHYCGKANYYDIVFPDWTFWGWAELNIRPWETTMYKIQEGNKNVKWEDRIPYAYWKGNPSVSNIRKELIKCNASNEHDWNARIFGVRWKEEKSKSFNDTKLENQCSYRYKIYAEGATWSVSEKYIIACDSMTMFIEPIYYDFFTRSMLPLQHYWPISTQNMCEDIKFAVDWGNTHLDSAQAIGEGGTKYVRDNLKMNFVYDYMFHQLSTYAKLLRFEPKVPEGAVEVCAESMACSMRGARKHFLVESMVVSPSDAPPCTMPSPYTNESLHQFLHEKEELIRKVKTRAMNNKL >CAK8579565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707970809:707973380:-1 gene:gene-LATHSAT_LOCUS31500 transcript:rna-LATHSAT_LOCUS31500 gene_biotype:protein_coding transcript_biotype:protein_coding MNVATCSVLRFQFPIWNFTKPLISTRFNMCLLSVRNSSTSSIATTAIEPSKSKQNEPWLIVGLGNPGKKYAATRHNVGFEMVDAIAEAEGISMSSVSFKALFGKGFIGDVPVLLAKPQTFMNASGESVGAIVSYYKIPLKQVIVIFDDMDLPFAKLRLLPKGGHGGHNGMKSVINHLKGNSGFPRLRIGIGRPPGKMDPVSFVLRQFTKQEREELNFTLQHGLEAMRIILLEGFDKGAKFVNTAKKIEQIG >CAK8531390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107173803:107175110:-1 gene:gene-LATHSAT_LOCUS1186 transcript:rna-LATHSAT_LOCUS1186 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPPPLSPVVSTPVSQSQSVSRSNTGGKNLNTAEGAGIIYFGETSETSDAREDESIGKKRNNIELEKPNSNPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLQTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVIQPETNVLSKKVEDDNKRPNEIGTVNLDIVTSRKGNANSTAAEIPAIRNKEVEKVIEKWTEVIKSGRGRGKQIGKVGATGMSSHDNGFDALEILKDLLEAQDTGQ >CAK8575351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:91731557:91734380:-1 gene:gene-LATHSAT_LOCUS27621 transcript:rna-LATHSAT_LOCUS27621 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCLGVVGGSTKCLQLYDSSYRINNKRFLNLNNNNGFNFNTRWRFMALESDSSSFASSVDSDASDKNAAATGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGISQEEQENELPNFPSFIPFLPPLTSANLKQYYATCFSLIGGIILFGGLLAPSLELKLGIGGTSYADFIQNMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGTGYLACARCSSTGALVLIEPLSTFNGADQPLSPPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >CAK8539222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506943605:506944801:-1 gene:gene-LATHSAT_LOCUS8336 transcript:rna-LATHSAT_LOCUS8336 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAKIRPPKQKITDPTELGEYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFKRARSVWERALEVDYKNHSLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEVLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPRSRNVYERAVEKLADDEEAEQLFVAFAEFKERCKEAERARCIYKFALDHIPKARAEDLYRKFVAFEKQYGVREGIEDAIVGKRRFQYEHEVRKNPLNYDSLFDYIRLEESVGNKGRTREVYERAIANVPPILVSELTCQSLSWNKARSIKRSPLSE >CAK8534366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720607275:720609571:-1 gene:gene-LATHSAT_LOCUS3916 transcript:rna-LATHSAT_LOCUS3916 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8539696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522263921:522264832:1 gene:gene-LATHSAT_LOCUS8772 transcript:rna-LATHSAT_LOCUS8772 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVEFLVIDEAAQLKECESTIPLQLFGLKRCILIGDEKQLPAMVKSKIADRAEFGRSLFERLVMLGYKKHMLNVQYRMHPSISMFPCKEFYNKQLSDAEIVREKSYDKRFLEGKLFGSYSFINISKGKEQCNPDHSLKNVIEAAAISEIVGRLKREFVRTKSRVSIGIISPYKAQVHEIQEKVKQYMISDPKFSVNVHSVDGFQGGEEDIIIISTVGSVLDSLFLLSFSCFFRCFFR >CAK8568036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566016017:566017570:1 gene:gene-LATHSAT_LOCUS21035 transcript:rna-LATHSAT_LOCUS21035-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILPACAQLENMLVGKQVHAYIFRHPFLFEDASVGNALVSFYAKCGYIEEAYHVFSMISRKDLISWNSILDAFGEKRHHSRFISLLHLMLKIGIRPDYVTILTIIRFCASLLRVEKVKEIHGYSIRTESLFSATAPTVGNAILDAYSKCGNIEYANKIFQNLSEKRNLVTCNSLISGYVGLGSHHNANMIFRGMQETDLTTWNLMVRVYAENDCPEQALELFLKLQILGMKPDVVTIMSLLPVCTQMASVHLISQCHGYIIRSSFEDLHLKGTLLDAYAKCGIIGSAYKIFQSSADKDLVMFTSMIGGYAMHGMSDDALKIFSHMLNLGIKPDHVIFTSILSACSHAGRIDEGLKIFDSIEKIHAMKPTMEQCACVVDLLARGGRISDAYSFVTKMPTEANANIWGTLLGACKTYHEVELGRIVADKLFKIEDNDIGNYILMSNLYAAEARWDGVMEVRKMMRNKDLKKPAGCSWIEVERTNNIFVAGDCSHPQRSLIYSTLYALDQQLKQPVEF >CAK8568035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566014952:566017570:1 gene:gene-LATHSAT_LOCUS21035 transcript:rna-LATHSAT_LOCUS21035 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHSFKTWASIIRSLCVDSRHNALSLFHHCLKDSAAFKPDHQVLAAILKSCSALLAANLGKCLHGYVVKQGHVSCHVTGKALLNLYAKCGMLDDCHRLFDQLSHCDPVIWNIVLFGFSGSGKHDADVMRVFRTMHMSGKAMPNSVTVATLLPVCARSGNLNAGKSVHGYVIKSGFEEDAFAGNALMSMYAKCGLVSCDAYAVFDSIIHKDVVSWNAMIAGLAENGLLEEAFSLFRSMVKGPIQPNYATIATILPVCASFDDTVAYCCGRQIHSYVLQWPELFGDVFVCNALMSFYLKIGRTKEAESLFWAMNARDLVSWNAIIAGYASNGEWLKALYLFGNLASQEALLLDSVTMVSILPACAQLENMLVGKQVHAYIFRHPFLFEDASVGNALVSFYAKCGYIEEAYHVFSMISRKDLISWNSILDAFGEKRHHSRFISLLHLMLKIGIRPDYVTILTIIRFCASLLRVEKVKEIHGYSIRTESLFSATAPTVGNAILDAYSKCGNIEYANKIFQNLSEKRNLVTCNSLISGYVGLGSHHNANMIFRGMQETDLTTWNLMVRVYAENDCPEQALELFLKLQILGMKPDVVTIMSLLPVCTQMASVHLISQCHGYIIRSSFEDLHLKGTLLDAYAKCGIIGSAYKIFQSSADKDLVMFTSMIGGYAMHGMSDDALKIFSHMLNLGIKPDHVIFTSILSACSHAGRIDEGLKIFDSIEKIHAMKPTMEQCACVVDLLARGGRISDAYSFVTKMPTEANANIWGTLLGACKTYHEVELGRIVADKLFKIEDNDIGNYILMSNLYAAEARWDGVMEVRKMMRNKDLKKPAGCSWIEVERTNNIFVAGDCSHPQRSLIYSTLYALDQQLKQPVEF >CAK8576998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530590103:530591755:-1 gene:gene-LATHSAT_LOCUS29148 transcript:rna-LATHSAT_LOCUS29148 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSEEVSNYSKICKRCKQTYNPASNSSTSCRFHPSFFVCRRHDDQKRYYELGPNDPPYAAKFYDCCGAEDPEASGCTTYFHVSYDEE >CAK8571123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:307735522:307739955:1 gene:gene-LATHSAT_LOCUS23814 transcript:rna-LATHSAT_LOCUS23814 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNEATVDLFPIGPSGILGRAIAFRVLFCKSISHFRYQLFLSLLEFFHRFRRFWGPIISWLHPRNPQGILAMMTILAFLLKRYSNVKVKAELAYRRKFWRNMMTTALTYEEWAHAAKMLDKETPRLSASDFYDVELVTNKLEELKHRRQEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLHVPRQIKEYIDEVSTQLRMVCHSDSEELALEEKHAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLMPRIISGSSVGSIMCSIVATRSWPELQSFFEDSLHSLQFFDQMGGIFTIVKRVTTFGAVHEIRQLQIMLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLGPEEGSSQVRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAYGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAASATQSLPTNIKFSASKRIPSWNVIARENSTGSLEDFLADTAASFNHGVSSSSGATGKNSKYHRSMHDVSDSESESADLNTWTRSGGPLMRTTSADMFIDFVQNLEVDTDLNKGMGTNFSPREFQYQSPKFTTPERCSENSESDQRENGNRGVMNGSSIMVTEGDLLQPERILNGIVFNVVKKEVLTPSSRSCDYDDSNNNEVPECVQIECPGKEMDDAVSSASENGDDKSSTARTLTETPDSDPTDDSITDLDNDKRKVDSNSSNDKNTSPQ >CAK8568062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:568115033:568115991:-1 gene:gene-LATHSAT_LOCUS21059 transcript:rna-LATHSAT_LOCUS21059 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRESWEEEGKEDENLFPVYSERSQQDMSAIVSALTQVMGGNNNNELQEASSIHNINEQSQQPQQDQGSERKRHYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEAAAVAYDEAALRFKGSKAKLNFPERVQSSAEFGFHHLVSSTNNQQQSNSVPLPHFSQEAYSNPYQYAAAQAQLQGGGGSSNMNQDMLRYYGGDMYVSNPQHLSTASSSSSSSSGLSQQQQEFLRLSMQFGGSSSASQPPRNWRDDMDGRH >CAK8567582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526806540:526808035:-1 gene:gene-LATHSAT_LOCUS20623 transcript:rna-LATHSAT_LOCUS20623 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLTLSPMYENDEYTGCFSPHIRDSILIYLTVGGSMIPMHIMETNSIASVKLRIQTFKGFFVKKSKLIFEGKELAHNKSCVREFELSIEASSTPDTVPNLAADQLGPLSITTKFPKRNRLSSEFLLEPVFKNSNIKIPPLIQELVKITLEGLEKGRKPIRSSEGSGGAYLLHDSSGLKYVSVFKPTDEEPMAINNPRGLPISVDGEGLKRGTRVGQGALREVAAYILDYPRKGPRSYHNNEEQGFAGVPPTVMIKCMHEGFHHPKGYKNVSSNVKIGSLQMFMRNIGSCEDMGPGAFPVEEVHKISVLDIRLVNADRHAGNILVAKNGEEDPTVLIPIDHGYCLPESFEDCTFDWLYWPQAQEPYSPDTIEYIKSLDAEEDIKLLKSHGWELPPECARILSISTMLLQKGAEKGLTPFTIGSIMCRETPKKKSVIEQIIEKAEEAVLLGTSEAAFIDLVSVIVDNHLEGLFS >CAK8567955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559059275:559060897:1 gene:gene-LATHSAT_LOCUS20961 transcript:rna-LATHSAT_LOCUS20961 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTFSTLSHTTILFHKLTHASPSSPISIPNSTSSIYIHNSHRHTPCLSKRKFNLTLLLSPFLWSVLPNTLLLAQELITDLQRYTDSKEGFTLLTPSSWTKVDKAGATALFQEPNMGSNNIGVVVNPVRLSTLPDFGTPQFVADKLLQAERRKESTKEAEVIAVEERSGKGGLQVYEFEYKIDSTRGGIKRIFTAAFVASRKLYLLNIVHSDNPESPLDPDKRMMLEQVLHSFDSSI >CAK8560306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13826117:13829545:-1 gene:gene-LATHSAT_LOCUS13990 transcript:rna-LATHSAT_LOCUS13990 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMGCTTVFFTTFLILISSVHSFYLPGVAPRDFQTGDDLYVKVNKLSSTKTQLPYDYYYLKYCKPSKIVNSAENLGEVLRGDRIENSIYTFHMRTEQSCTVTCHQTLDAESAKNFKEKIDDEYRVNMVLDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGKYQGSKEEKYFINNHLSFRVMYHKDLETGSARIVGFEVTPNSINHEYKEWNDKNPQVTTCNKDTKNLLQGSTVPQEVDTNKDIVFTYDVSFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRPPINSNLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAIFFVLNALIWGEQSSGAVPFGTMIALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMTPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILLITCAEITVVLCYFQLCSEDYNWWWRSYLTAGSSAVYLFLYSIFYFFTKLEITKLVSGILYFGYMIIVSYAFFVVTGTIGFYACFWFVRKIYSSVKID >CAK8543678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630848026:630851249:-1 gene:gene-LATHSAT_LOCUS12422 transcript:rna-LATHSAT_LOCUS12422 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKTQFFIFFCYIVIFSFSCSFSAASNNEVSALLSIKSGLIDPLSTLQDWKLDSPHCNWTGIKCNSAGTVENLDLSRKNLSGIVSNDIQRLQSLTCLNLCCNAFSSKLPKSISNLTTLSILDVSQNFFIGEFPAGLGKASRLTALNGSSNEFSGSIPLDIGNATLLEMLDLRGSFFEGSIPKSFGNLHKLKFLGLSGNNLTGKIPGELGKLSSLEYMILGYNEFEGEIPLEFGNLTSLEYLDLAVSNLGGEIPYELGNLKLLDTLFLYNNNFEGRIPSQIGNMTSLQFFDLSDNILSGKIPDEISLLKNLKLLNFMGNQLSGFVPSGIGNLPQLEVLELWNNSLSGPLPSNLGKNSPLQWLDVSSNSLSGEIPETLCSKANLTKLILFNNAFSGSIPSSLSKCSSLVRVRVQNNFLSGSVPVGLGKLERLQRLELANNSLTGEIPDDIPSSTSLSFIDLSRNKLHSSLPSTILSIPNLQVFMVSHNNFEGKIPNQFQDSPSLTVLDLSSNHLSGAIPESIGSCQKLVNLNLQNNLLIGEIPKALANMPTMAMLDLSNNSLAGHIPENFGLSPALEAFNVSYNKLEGSVPENGMLRTINPNNLVGNAGLCGGILPSCNQNSAYSSRYGSSHAKHIITGWIIGISSILAIGITILLARSLYVRWYTGGFCFKERFYKGSKGWPWRLIAFQRLGFTSTDILACIKETNVIGMGATGVVYKAEVPQSDTIVAVKKLWRSGNDVEVGRGSDELVGEVNLLGRLRHRNIVRLLGFLHNDTDLMIVYEFMHNGNLGDALHGKQAVRLLVDWVSRYNIALGVAQGLAYLHHDCYPPVIHRDIKSNNILLDANLEARIADFGLAKMMIRKNETISMVAGSYGYIAPEYGYALKVDEKIDVYSYGVVLLELVTGKRPLDAEFGESVDIVEWIRRKIRDKKPLEEALDPSVGNCRHVIEEMLLVLRIAVVCTAKLPKDRPSMRDVIMMLGEAKPRRKISGNNETSLADNNNNKEMSVFSTSPVSGLL >CAK8565749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:320994914:320997218:-1 gene:gene-LATHSAT_LOCUS18936 transcript:rna-LATHSAT_LOCUS18936 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAASPAPPLGDHLCENGFECVEILPATNDAHGGVIVDLKDRMDPEVFASLLKSSLSNWKKQGKDGVWIKLPIELANLVEIAVKEGFWYHHAEPSYVMLVNWISKTGCTIPPNASHRVRVGAIVLNDKKEVLVVKEKRGRFHGIGAWKLPTGVVDAGEEIFEAAIREVKEETGIDTEFVEVLGFRQEHNSFFEKSDISFVCMLHPLSFDIKRQELEIEAAQWMPFEEYASQPFNQKHEPFKYINELCKSKMENVYTGFCPRLVSSFFSKNFSYIYLNTKDLDKSSYT >CAK8566633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444440702:444441013:1 gene:gene-LATHSAT_LOCUS19750 transcript:rna-LATHSAT_LOCUS19750 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKLSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHGTASYTNHARRKVVTAMDIVYTLKRQGRTLYGFGG >CAK8540588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11540124:11540745:-1 gene:gene-LATHSAT_LOCUS9580 transcript:rna-LATHSAT_LOCUS9580 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKGQGGIQMLLTAEQEAQHIVNTARNLRTQRLKQAKDEAEKEAAQYRTHMEEEYQKSISETTGNSGTNVKRLDEETDAKIKNLKKSGSKVSNEVVDMLLKYVTNIKM >CAK8533012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:565728138:565743844:-1 gene:gene-LATHSAT_LOCUS2664 transcript:rna-LATHSAT_LOCUS2664 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNKLQELCQKKSWRLPVYDTTRAGPPHDPLFTTTVTVNSVPFTSSTPSRTLKLSQNDAAFLAFNHFSEQNSVSSPFLPNLAAFPQPSFSGSLNAAVSQGSPVQSPNVGNTLPTNRVLQPSTEPACQTPQINIPVPTVTDVPEAQDLKIMIHLYKNQLQNYAQKRNLPLPEYAPEWEGPPHAMRFRCKVTIDGQTFQSPKFYSTLKEAEHAAAEVAFKSLSPNAVQEDDIGVYKNLLQELVQKEGFKLPVYSTNKSGEAHKPIFTSQVEVEGTVYTGQESKSKKQAEMTAAKVAYTSLRKRKAHDERAPEFSSNCSKENVISGLQHHSNGEASVSPGLVIQNLPNTVKVENTSPSSGNINGFSVVSSSTECKPIPSFFDRGNVEFGTSIMSTKVDDGSGTPSRPKKVIVYSKKTNVDIENGGALMQISDDKWVAYSYFHRDD >CAK8532309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:245040523:245045173:-1 gene:gene-LATHSAT_LOCUS2032 transcript:rna-LATHSAT_LOCUS2032 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQRATKLFSSIASRRQQLRNLSSTPSSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEALEAELDLVVCITEGIPQHDMVRVKAAINRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLTKFLADPQTEGIVLIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKISTLRDAGVTVVESPAKIGTAMFEIFKQKGLVQ >CAK8538990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501139254:501140172:1 gene:gene-LATHSAT_LOCUS8132 transcript:rna-LATHSAT_LOCUS8132 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRDKELRKTVVNMGYALTKITFNYYRGEIRRTNRADLEWIDNISREKWSRAFDEGLRWEHMTTNLVEAMNSVPKATRIVPITSLVKSMFYRRGSLFGKQGHDWTKMLASSQTFTENYNKGMADEASKSSRHNVIQFDRERFCFMVSESINQRDGRPLGTFSVDFRGWCNCGRFPAFHLPCSHVIAACASIRQDHNMHIPNVFKVLSVFKVYSESFLGLPHHQSWPTYEGFTLFHDETMRRNKKGRPNSTRIKTEMDDLEKEKRRCGIC >CAK8540454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561817588:561819337:1 gene:gene-LATHSAT_LOCUS9457 transcript:rna-LATHSAT_LOCUS9457 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIGCMLLALFFLGTWPAVLTLLERRGRLPQHTYLDYSITNFLAAVIIAFTFGEIGKGTHDEPNFLTQLAQDNWQSVMFAMAGGVVLSIGNLSTQYAFALVGLSVTEVITSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHSSNTADNSAKLKDSLREGNIVKSKDIESGSNSAEKLKAGSADFLIDLEKRRAIKVFGKNTLIGLSITFFAGMCFSLFSPAFNLATNDQWHSLKKGVPHLNVYTAFFYFSVSCFVIGIILNIIFLYHPVLNLPKSSLKAYFGDWNGRGWALLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVLFGEYRRSSRRTYVLLGSMLFMFIAAVGVLMASSGHRKH >CAK8565533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:262835575:262843439:1 gene:gene-LATHSAT_LOCUS18739 transcript:rna-LATHSAT_LOCUS18739 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKPQNQPTPSRSSSVSTHLQMVELKHKILTSLSKLSDRDTHQIAVEDLEKTILTLSPDAIPMILNCLYDAILDPKPSIKNESLRLLSFVCSSHQHSAGPHLTKIISNIVRRLKDTDSASRDACRDSIGAIAALYLRGENNGNGSGGSLVGLFVKPLFEAMGEQNKGVQAGAAVCMAKIVESTAAVNDVDAGVVPVGAFQKMCPRICKLINNPNFLAKAAILPVVAALSQAGAIAPQSLENLLSSIHDCLSSSDWATRKAAAEALSSLALHSSSLLTDKAAPTVALLEACRCDKIRPVRDSMTEALQLWTKIAGKEDGSSHDSKPLSSDGGNPDPAVSSETSDLKKVNTDERRIDPSVKELLTSSNTDSNSKAKAASVSEKAVVILKKKPPVLNDKVLNPEFFQNLEKRSSDDLPVEVVVPRRCLNSSSSNNEEESEASAKDLNERTNPVGNVPNDGFHRSVNNKYHGLEKGNDGNLRQRNYDDFAQDRYSERRMNAKELRTKPNDTNDRTENDQREGSSNIAGFSRADGQSEVPFSNNRGNLLAIQRQLTQLERQQVHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMLQDLSISSGRRFEGSSTRPSSKYNGFNDYSNAKYGRGGDGRIPYNERYTQTDGNALGMRGRGPSWRSDMPEGWDFSGYGASRNGQISSRRAFGGSSVDGRSPNSLHESDQGGSRRAWDKAAMPIRLGEGPSARSVWQASKDEATLEAIRVAGEDNGPSRATRVAIPEMTAEALADDSVGQERDAIWTSWSNANDALQAGDIDSAFAEVLTTGDDRLLVKLMDRTGPVINELSNDIACEILYAIGQFLPEQDLFNICLSWIQQLVEVLFENGPDTFGVPMEVKEELLRNLHDASTDTTEGWEGVPPDQLLLQLASGWEIDLQQPDK >CAK8544961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714801661:714802089:1 gene:gene-LATHSAT_LOCUS13598 transcript:rna-LATHSAT_LOCUS13598 gene_biotype:protein_coding transcript_biotype:protein_coding MWCWCSNGVISAHATPCFKARVVPSVSASSLPQSRRHRQEPITEQQVGELDRTLISKLSNSKPEKQEINGSDVLWALQRATASRKIMKNNKKKERGRDSSSSVSSMEESSVDYRVRPLCINDHWGPKLDELENRLRDLSDTT >CAK8536520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:949446711:949449215:-1 gene:gene-LATHSAT_LOCUS5880 transcript:rna-LATHSAT_LOCUS5880 gene_biotype:protein_coding transcript_biotype:protein_coding MFINMRKSWFWLSLLSLFFSLHLYHSLAALTTISTNQSLSGDQTLISKGGIFELGFFKPGNSSSKYYIGIWYKKVSQQTIVWVANRDNPVSDKNTATLKISDGNLVLLDQSSKQVWSTNMSFPQSDSVVAILLDTGNLVLRNRPNDDASDPLWQSFDHPADTWLPGGKIRLDNITKKPQYLTSWKNKEDPATGLFSLELDPKGTSAYLILWNKSEEYWTSGAWNGHIFSLVPEMRLNYIYNFSFVTNKNESYFTYSVYNPSIISRFVMDISGQIKQLSWLENIKEWNLFWSQPRAQCDVYAFCGAFGTCTEISKPYCNCLSGFEPKSQSDWDLEDHSGGCMRKTRLQCESSDRSNGVKDRFRPIPNMALPKHAQSVRSGNAEECESICLNNCSCSAYSYDSNGCSVWIKDLLNLQQISSDDSSGKTLYLKLAASEFSDAKNSNRLIIGIVIGAVVGIGLLLALLLFFVFRRRKRTVGTGKPVEGSLVAFGYRDMQNATKNFSEKLGGGGFGSVFKGTLADSSLVAVKKLESVSQGEKQFRTEVSTIGTVQHVNLVRLRGFCSEGTKRMLVYDYMPNGSLDFHLFMKKDTSEVLSWKMRYQIALGIARGLTYLHEKCRDCIIHCDVKPENILLDTDFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEVVSGRRNSEASVDGQVTFFPTLAAKVVIEGGSAITLLDPRLEGNGDVEEVARIIKVASWCVQDNENERPTMGQVVQILEGILEVNLPPIPRSLQMFVDNHEDMVFYTDSSSTQSSQVKSNISTSSQVKSNISSVSSNLSAEI >CAK8562846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547179162:547184513:-1 gene:gene-LATHSAT_LOCUS16310 transcript:rna-LATHSAT_LOCUS16310 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKLRELKHFIEQCKSNPSTLSDPSLSFFRDYLESLGANLPESAYSKSNPVESDDDIDDVEEEAVKVEEVEEDEIIESDIELEGETVEPDDDPPQKMGDSSVEVTEENRESSQLAKALAMEAISEGKLEEAIEKLTEAIILNPTSAIMYANRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARSMLGQWQEAAKDLHVASNIDYDEEISAVLKKVEPNAHKIEEHRRKYDRLRKERDEKKAERERLRRRAEAQDPDLMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFGGAQ >CAK8562845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547179162:547184513:-1 gene:gene-LATHSAT_LOCUS16310 transcript:rna-LATHSAT_LOCUS16310-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKLRELKHFIEQCKSNPSTLSDPSLSFFRDYLESLGANLPESAYSKSNPVESDDDIDDVEEEAVKVEEVEEDEIIESDIELEGETVEPDDDPPQKMGDSSVEVTEENRESSQLAKALAMEAISEGKLEEAIEKLTEAIILNPTSAIMYANRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARSMLGQWQEAAKDLHVASNIDYDEEISAVLKKVEPNAHKIEEHRRKYDRLRKERDEKKAERERLRRRAEAQAAYEKAKKQEQSSSSRNPGGFPGGFPGGFPGGMPGGFPGGMPGGVPGNVDFSKILNDPDLMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFGGAQ >CAK8532151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213603824:213604440:1 gene:gene-LATHSAT_LOCUS1884 transcript:rna-LATHSAT_LOCUS1884 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAFTSPGAKLDNHFNNGGGPPTLRIQGQSCHRIGSLLPPKGQPSKFSQLYIYDTKNEVHNRIQGLRDTKNINPLIVHQLSGMLYEHNPHAKKFQMAKQWLSGGNTQNVKLRLISDRITDGRIYNQPTMSEVATLVVGDIDTIEMRDIIMQTKGGQL >CAK8531480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114090642:114092699:-1 gene:gene-LATHSAT_LOCUS1269 transcript:rna-LATHSAT_LOCUS1269 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHEGSCAVGIDLGTTYSCVAVWQEEHCRVEIIHNDQGNRTTPSFVAFTENQRLIGDAAKNQAAANPQNTVFDAKRLIGRKFSDPIVQDDMLLWPFKVIAGDNDKPMIMVKYKDQEKQLYAEEVSSMVLTKMREIAETYLESNVKNAVVTVPAYFNDSQRKATIDAGAIAGLNVMRVMNEPTAAAVAYGLDKRTNCDGERNIFVFDLGGGTFDVSLLTIKGNVFKVKATAGNTHLGGEDFDNRMVNFFVQEFKRKNKVDISVNPKALRRLRTACERAKRSLSFLVVATIEADSLFQGIDFSSSINRAKFEEMNMDLFNECMKIVESCLMDAKMDKSMIDDVVLVGGSSRIPKVQQLLQNFFNGKELCKSINPDEAVAYGAAVQAAMLSADIKNVPKLEPQNNSQLVLHNIPQLVLQDVTPLSLGISGLGDIMHVVIPKNTCIPVNQTQPFVTAEDNQISALIKVYEGERTKASDNNLLGSFILSGIPPAPRGSLSSVVCFDIDENGILTVSAKNNASGSSNKITITNHRDRLSSEEIKKLIQEAENYHIEDKKFLRKATALNALDDYIYKMRNALKKEDIDTNLSSEEIEKIRSIIVVTTYLVDENNHVVEIDDLEDLLKELKTSTDHIIAKTI >CAK8537029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64246294:64248855:-1 gene:gene-LATHSAT_LOCUS6348 transcript:rna-LATHSAT_LOCUS6348 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGILLKLLNGINTGVKPTSEHRNSLLQVTDIVPADLDEKSLFPKQGFYIKVSDSSHSIYVTLPSDEHDFVLSNKMQLGQFIYVDRLEPGSPVPVLKGAKPLPGRHPFIGTPEPLLGLREKTQAQAQVQAQTCKPIRGSWGTGTGRKKKKNEEDADGDDGGAFSSSPMVFKPVNLDFDQCTPVRGRNFVGKDGTPVRCSVGGGLFGKMSDAKGESPALLRKSCVVGSSSSKITRSRSVSERENRMPSASPFKSNEKKGGTPPPRLRHTRVANSVGVTGGDAHKEDSNVTSQQKSQSTTNSAFDDSNNHSLPMNLPASLSSLGKEAVQQREVAQKIALQALRDASATETVVRSLKMFSNLCKSARADAPATCFERFLEFHNDIVLGVSAMMSMQAATSASELASKTDKQVEEEQPQVLHEVMLNSVDQSRNSIESNTSKRRCAYRAKVGKLLRSSSSNPKEILEKKGSTLEPIVENDENKKPISCSLSNTIKLGKQIETESGNWFMDFIEKALEAGLKKTKEASNGDVRKVSQSLIIKVMNWVEVEQYHSNKRPSHPKAAQIARKLRIKIKNP >CAK8540136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541187781:541194240:1 gene:gene-LATHSAT_LOCUS9169 transcript:rna-LATHSAT_LOCUS9169 gene_biotype:protein_coding transcript_biotype:protein_coding MTANKIRAIVFTLMKRKSFCFFPCMWVLYGGFSMAANGNDSNNNSRTLDGTPTWAVAAVCTVFILISIALEKSLHKLGMWLGKRQKKALLEALEKVKAELMILGFISLLLTIGQNYIVKICISEQVANKMLPCPHKYIVDNKVSSKEEKQIRKHTYLSVETTSYKCSTKGHEPLLSVNGLHQLHILIFFLAVFHVLYSAVTMLLGRLKIRGWKTWEEEISSNGYEFANVDATRFRLTHETSFVRAHTSFWTRVSISFYIGCFFRQFYRSVRKVDYRTLRNGFISVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASAVVFLLLNIDGWRTPLWAFLIPIIIILAVGTKLQVILARMALEITDRHVVVLGMPLVQSSDRYFWFNQPQFLLHLIHFALFQNSFQITYILWTWYSFGMENCLCLDHKLTIMKVVCGILMLCLCSYITLPLYALVTQMGSRMKRSIFDEQTSKALKKWHMTVKKKHGVVKLGKSKIVTMDGSSTIGSTMQSTSPALHRFKTTGHSTGSSTFEEQDEYELSDDLETNLIIKVDHDGE >CAK8538096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462440489:462442440:-1 gene:gene-LATHSAT_LOCUS7331 transcript:rna-LATHSAT_LOCUS7331 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCNKVSEESVDSTKEVRMKNSLHVKVSNPHAFDCCVCLQLLTIPVFQCDNGHIVCSTCCDKLGNKCDKCSKQISLKRCRSFEDQLQSTKMSCPNEKYGCRETISYRGKRKHGEECIYVPCYCPLSGCDLFAPLEVLYNHFNHEHCDSLIEFSYGHPFVVSLKSNDETVVLQEENDGRLYTLNNSIVMNMGNAVNISCIDPNSSSESGYSYDILAKSKFGSLLKLHSFPKNVQQATLATRSSEFLVIPIGYFSSSDPLKLEICITPKMKIFIETLTGKVITLMVESSDAIANVKERILDKEGFPVHKQHLHFDNQQLDDSQTLANYNIKEMSILHLTLCLP >CAK8576311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:453942057:453945196:-1 gene:gene-LATHSAT_LOCUS28509 transcript:rna-LATHSAT_LOCUS28509 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPMEFWGVEVKVGESVKVDPNDDFEGYIHISKVALGEVKKGKTSEPVVVYVKVKDQKIVLGTLNKDEIPQISLDIVLDQESELSHNSKNAAVYFYGYKVFRPDEESEGSDSSDSDEELAPLKEHAQEVKVEADKSVKPTAEVDALTKQVKVVDPVKHEDESDDESDSDFSEDEISDDEIDTDSGESESEQDATPKALDTDTTDTDGDTDSEEETPAKKVVTQGKNNKRGIGAGSQTPVPAKKAKNATPEKTDGKKGVHIATPHPTKQGGKFNQNAAKGQTSNSSKSGQQSKKSKQGRR >CAK8538133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465549205:465549588:1 gene:gene-LATHSAT_LOCUS7366 transcript:rna-LATHSAT_LOCUS7366 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLVSNLHNFLLYSSSMSYTTILFLVLAIFSMFSIITFLCGTKSMKNLYVEEEATAVSARNENKLICKLKRKINRRRVSMMKKLYWRKIEAEELEEGKQDDEEEALWKKNILMGEKCRPIDEDN >CAK8568743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638374104:638376538:-1 gene:gene-LATHSAT_LOCUS21674 transcript:rna-LATHSAT_LOCUS21674 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTLPSLRLFNPSGSYLAFSSTKFSATLHTASFSNRTCQLTSNSMSQPAATDSSTLSTPEVAPSPPENADVVVQYMVLRRDLIDTWPLGSVVTQGCHASVSAVWSNKDDPVTIDYCSPDKIDSMHKVTLEVKGEPQLKNLSEKLKSGGIIHKLWIEQPENIPTCLATKPYPKSIVSSYFKKLKLCK >CAK8570598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66687413:66687631:1 gene:gene-LATHSAT_LOCUS23335 transcript:rna-LATHSAT_LOCUS23335 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHIIRELVNSDASLKVKVIQAHIPEKYGYRISYRKAWITNIKAVESLYGNWETSYNDLP >CAK8568604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:623225001:623225732:-1 gene:gene-LATHSAT_LOCUS21546 transcript:rna-LATHSAT_LOCUS21546 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNISMKKKSNKKCAPLFSSSIQSLPRDLLLDMIINVTSQSFVDLYNMKLCCRDFLEVAEENYVFQKVSLNQFPLIQWFPNKKALSFLKRCKESGNIESLFREGLCEYFSYPNGNIDGLEMLKIATQKGHKEATYMYGMILLCSEDYELRKQGFVHMRSLRMSKCIMSSRKKVQCLANCLWKNNGVLSRNQIPLCNSKDTCKGWRVKNGRWLLFDDEDDDIESCEACRWDHELEFFYNLFNV >CAK8564229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659855515:659857224:1 gene:gene-LATHSAT_LOCUS17552 transcript:rna-LATHSAT_LOCUS17552 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCPLKGPLVEELTFLQGSAPFKSCHASTIVEVGKDHFLVAYFGGSSEGAPDVKIWLQTYKNGRWESPAIADEQPNVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGFVKRSYDKGITWTEREQLPPGILGPIKNKPILLENGQLICGSSVESWNSWGAWVEVTTDFGKSWSKHGPIYIENKPLSVIQPVPYQTADGKLRVLLRSFEGIDRVCMSESSDGGLTWGRAKPTQLPNPNSGIDGVKLRDGRILLVYNTTSRGVLKVALSNDDGDSWYEALTLEDSSGMEFSYPAVIQASDELIHITYTYKRTQIKRVILGPN >CAK8534929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:791541861:791544125:1 gene:gene-LATHSAT_LOCUS4426 transcript:rna-LATHSAT_LOCUS4426 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRLLLLLKPSVVSAQPSHTHPLVLHFLNNRHKVHHESINFCQEILRKKSVEWKAVLGNNLTEPISNVDLVVAIGGDGTLLLASHLMDDKIPVLGVNSDPTRIDEVEKFSGEFDAARSTGHLCAATVENFEQVLDGILENRIAPSKLTRIKISVNALHLPTYALNDILVANPCPASISRFSFRITKENQPILPLVNCRSSGLRVSTAAGSTAAMHSAGGFPMPILSQELQYMVREPISPGAMSDSMRGLIKRDETMNTTWACSKGVIYIDGSHINYAVKDGDFIQISPTAPSLKVFLPDHLLRLEKM >CAK8530797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50549032:50550843:-1 gene:gene-LATHSAT_LOCUS637 transcript:rna-LATHSAT_LOCUS637 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTKAIGIDLGTTYSCVAVWRNNRVEIIPNDQGNRITPSYVAFTETERLIGDAAINQLATNPHNTVFNAKRLIGRRFSDPSVQQDMKLWPFKVVPNNKDKPMIVLTYKGEEKHISPQEISSMVLSKLKDDAEAYLCHEVKDAVITVPAHFSNSQRQATKDAGKIAGFNVLRIINEPTAAAIAYGFDKIKWREGEKNVLVFDLGGGTFDVSLVTNDEGMFKVKATLGDTHLGGVDFDNNLVNRLVELFHRKYKKDLNISENSKALGRLRSACEKAKRLLSSTSMTTIELDSLCEGIDLHVTVTRALFEEINKDLFKKCMETVEKCLSEAKINKNQVHDFVLVGGSTRIPKIQQLLKEMFSVNGEIKEPCKSINPEEAVAYGAAVQAAILNEEGDKKIEDLLLLDVMPFSLGVETDDGVMSVLIPKNTIIPTKKERVFSTLSNNQDSVLIKVYEGEGVKTEDNFLLGKFELLGFSSSPRKVPNINVCFDVDVNGILEVTAEDKTQGLKKKITIINKEGRLSCEEMRRMVRDGERYKVEDEEVRKKVKAKNLFENYVYEMREKVKKLEKAVEETIDWFDRNQLAEIDEFEFKKQELEKNMKVL >CAK8568003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562250471:562279967:-1 gene:gene-LATHSAT_LOCUS21005 transcript:rna-LATHSAT_LOCUS21005 gene_biotype:protein_coding transcript_biotype:protein_coding MATEICGGSLLLSVKTELQRQKLFLHSQRTTTTARRFHSSKPRFSFRSSNASSVHHRNSSVLCRVASTDTLSDSDSTAEDGQDRLSKVPVSNIRNFSIIAHIDHGKSTLADKLLQVTGTVPKREMKEQFLDNMDLERERGITIKLQAARMRYVFENKPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALANNLEIIPVLNKIDLPGAEPDRVIKEIEEVIGLDCSNAILCSAKEGIGIVEILNEIVAKIPPPADTSKRPLRALIFDSYYDPYRGVIVYFRVVDGTIKKGDRVTFMASGKDYFADEVGVLSPNQLQAEELFAGEVGYLSASIRTVADARVGDTITHHFKKADSSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVISVNGDTVECSNPSLLPEPGKRKSIEEPVVKIEMLTPKDYIGPLMELAQERRGQFKEMKFITEIRASLTYELPLAEMVGDFFDQLKSRSKGYASMEYTVIGYKESDLTKLDIQINGECVEPLSTIVHRDKAYSVGRALTLKLKELIPRQMFKIPIQACIGTKVIASESLSAMRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKSIGKVDVPQEAFMAVLKLEKEVI >CAK8566761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460628917:460629273:1 gene:gene-LATHSAT_LOCUS19871 transcript:rna-LATHSAT_LOCUS19871 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAREDASDSDSPSQALPVELLIFTIGASSPTTSVLEIAFVAWLFGTENPQSRCKWPPLPLSGAPAAALEICSTFPLLFSSPSLKPINAQTY >CAK8535656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872578920:872579259:-1 gene:gene-LATHSAT_LOCUS5092 transcript:rna-LATHSAT_LOCUS5092 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIEQTGARYWPVFQRFKVLASLRELREIHSEFKVDIEVAVRSHGTDLFAELFDGGASMPREIINAPISSL >CAK8568284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589984605:589986827:-1 gene:gene-LATHSAT_LOCUS21257 transcript:rna-LATHSAT_LOCUS21257 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLSTSKALRSLSTRKSGFNQLIRSCKSSENFDGCRCFSTIVSRDTHQALPLASSGIRFFSFLRNLDNYSYNSIQSRRFIGFGGDGAESALSKTYEEKRVLGYSPEQLFDVVAAVDFYHGFVPWCQRSEIVKRNPDGSFDAELEIGFKFLVESYVSHVELDRPNRIKTTVSKSTLFDHLINLWEFSPGPVPGTCNLYFLVDFKFQSPLYSQVASMFFKEVASRMVGSFTERCRLIYGPEVRVLENSYRQRT >CAK8533760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657912581:657914705:1 gene:gene-LATHSAT_LOCUS3360 transcript:rna-LATHSAT_LOCUS3360 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIKKEKGKPSQHTPYQGGISFHKSKGQHILKNPLLVDSIVQKSGIKTTDVVLEIGPGTGNLTKKLLDAGKKVIAVEIDSRMVLELHKRFQGVPSSRLTVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLNHQPSFRCAIIMYQREFAMRLVALPGDKLYCRLTVNTQLHARISHLLKVGRNNFRPPPKVDSSVVRIEPRKPRIEVNQKEWDGFLRICFNRKNKTLGAIFRQKNVISMLEKNYKTVQALKISQEGGSSMEADNKVDFSNFTDFIDDQEMEVDDDGVDDEDEMEVEDGGANGVQSEFKNKVLGVLKEGDFDEKRSSKLSLQEFLYLLSLFNKAGIHFT >CAK8531917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:176416953:176418384:1 gene:gene-LATHSAT_LOCUS1674 transcript:rna-LATHSAT_LOCUS1674 gene_biotype:protein_coding transcript_biotype:protein_coding MYELQQFEFLHQDHQITMKMDDMRRKKKKETKKVSTKEDRARVVDLNCMSLDSLPKFSIDLAFIRKLNLSNNNLQNIPESLTARLLNLEKLDVHSNQLRSLPNSIGCLSKLQVLNVSGNFIESLPATIENCRALEELNINFNILSKLPDTMGFELIKLKKLSVNSNKLVFLPSSTSHLMALTVLDVRLNCLRSLPDNLENLINLETLNVSQNFQYLESLPYSIGLLLSLVELDVSYNNIKTLPDSIGCLQKLQKLSVEGNPLVSPPPEVVEQGLHVVKEYMCHKMNSGDKMPTKKRWWKVKLGTFNGHVRSGKHLKHEAFSPLQYQPMNGLASPGFMWKFSPLRLFSPRRSLS >CAK8536386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937208362:937211059:1 gene:gene-LATHSAT_LOCUS5761 transcript:rna-LATHSAT_LOCUS5761 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPLMSTRDESQREMEESLSIETCDFADLSEGTLLESINFEFDDDFFVCFDDGDVLPDLEMDPEMLAEFSLSGGEESEMNSSITVVDNNKFCEDGNIVCVEKKFEEEEKAGSSSDSGSSLGEEIVSRRDESVVVNPVSKESEKGRKSSSKNNHQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWTQRRQLSCKRDVNHWVAPTMGFPPMTPPVHHFRPFHVWGHHPMDQSFMQMWPSYSTHHPPPNWVPPRPAPPPNPSYWHQRAQNTPTAGTPCFPQPLTTTRFASPTVPGIPPPHAMYQVDPAIAVPAAQPSPPPHLDFHPSKESIDAAISDVLSKPYLPLPLGLKAPAIEGVMGELQRQGIPKIPPSCA >CAK8533910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670615031:670617968:1 gene:gene-LATHSAT_LOCUS3497 transcript:rna-LATHSAT_LOCUS3497 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTPLKQLKLSVPAQETPITSFLTASGTFHDGDLLLNQKGMRLISEEKESRPSDAKDLEFDFTLDDLETVKVIGKGSGGVVQLVRHKWVGKLFALKVIQMNIQEDIRKQIVQELKINQASQCPHVVVCYHSFYHNGVISLVLEYMDRGSLVDVIRQVNTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYGYSCDIWSLGMVVLECAIGRFPYIQSEDQQAWPSFYELLQAIVESPPPSAPPDQFSPEFCSFVSSCIKKDPRERSTSLELLDHPFIKKFEDKDLDLGILVGSLEPPVNFAR >CAK8578899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661181875:661182269:1 gene:gene-LATHSAT_LOCUS30878 transcript:rna-LATHSAT_LOCUS30878 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPNKRLLLILIAFLFIFFVSTTARARNLREIKGGEKGHSSEFKPNHEGTETQVKNDDLLDTMDYTPASKNPPIHN >CAK8565358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:150955867:150957258:1 gene:gene-LATHSAT_LOCUS18579 transcript:rna-LATHSAT_LOCUS18579 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTELPTTTTRTNQIKKISILPLIFIIFYEVSGGPFGSEDAVQAAGPLLTLLGFIIFPFIWSIPEALITAEMGTMFPENSGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPAVGSGLPRVLATWGLTIILTLLNYRGLTIVGFVAVFLGIFSLIPFIVMGFISIPKLEPSRWVEVDMHDVDWNLYLNTLFWSLNYWDSISTLVGEVENPKKTLPKGLFYALILVVLGYVFPLLIGTGAVPVDRAVWSDGYFSDIAKIIGGVWLRWWLQAAAAVSNMGMFVAEMSSDSFQLLGMAERGMLPAFFTKRSRYGTPIVGILFSASGVILLSWLSFEEIVAAENFLYCFGMILEFIAFILLKIKKPNAARPFKVPGGKVGAIVMCIPPTILIGFVLAFSTVKVMLISLIAMVIGLVMQPCLKLVERKKWIKFSVSPELPDLDNGESTQTFVQ >CAK8532126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210300750:210301295:1 gene:gene-LATHSAT_LOCUS1861 transcript:rna-LATHSAT_LOCUS1861 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMSYFSYFLVVFVLCVSSSYAAKEVDVDTICKEVSNSTYCSALLNSKSGESRDLISFAEYAIEAARVNVTNTLELIKNLIANSGSNVEAKRHYEMCLYHFDEEYALGLVNEALDYVKSGAYESARQNAWAIQSHATDCVSGESPGETPYPDPSQLPNYAQLVTQTSEIFAHIVKFITQP >CAK8566698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453711539:453716086:-1 gene:gene-LATHSAT_LOCUS19810 transcript:rna-LATHSAT_LOCUS19810 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTVVPMVKDECLMVKGRMILTRVPENIVVSPVSTGSAFLGATSPIPSSRHVFTLGTLLGQRLLSLFRVKIWWMIPRVGRSASDVPMETQLLLVEAREETEIKPDFSSDSQEHEQEDISYILFLPVLDGAFRATLQGTALDELQFCIESGDACVQSSQSHEALFVNSGDNPFELIKDSIKILEKHKGTFCHLENKDIPVHLDWFGWCTWDAFYTQVNPQGIEEGIQSLSSGGFTPKFVIVDDGWQEALNEFHKEGEPLVEGAQFATRLIDIKENRKFSSAGSNNSCNNLHDFIHFIKQNLNVKYVYMWHALVGYWGGLLPSSDAMKKYNPKLAYPIQSPSNLGNLRDIAMDSLEKYGVGIIDPSKLYEFYNDYHKYLASCGVDGVKVDVQNLIETLGSGYGGRVSLTKQYQEALETSIARNFKGNNLICCMCHNSDSIYSSKKSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIFVPDWDMFQSKHETAEFHAAARAIGGCAVYVSDKPGNHDFKILKKLVLPNGSVLRARYAGRPTRDCLFQDPVMDGKSLLKIWNLNKLTGVIGVFNCQGAGCWPMKSSEVTPTHLTISEKIRPLDVEFLEDIAGENWNGDCILYAFNAGLLSKLPSRGKLQVSLETLQCEVYTVSPIQVCGHDVQFAPIGLLDMYNSGGAVEELSCSMDVADCVIVKIKSRGGGRFGAYSNVRPKSCLVDMKEEEFCYNSEDELLTIKLDGEGSSKDIEFVFEELR >CAK8530711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43204582:43205470:1 gene:gene-LATHSAT_LOCUS555 transcript:rna-LATHSAT_LOCUS555 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTIFLVSTLCLLSFIGSAYSNKDRFSVEGVVYCDTCRIQFITKLTEFLEGAVVRVECKEDNGTVTFTKEATTDSTGSYKIEVDGDHEDEECQVMLVKSPRPDCAEVDSESHLEQAAKVSITNNNGIISPIRTTSPLGFLKKERLPGCTQVLKELGIKEDGTQDDDD >CAK8561497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:252315293:252315964:1 gene:gene-LATHSAT_LOCUS15084 transcript:rna-LATHSAT_LOCUS15084 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASLSGSAAVHVPSTKDELDDTTKTPMTLSESTSTDIRNVIGFEFKPNVIRKLHPSVISGLFDDFPHHCSICGLKLKFQEQFNRHLEWHDTREREHRGLTTTSRWYLKSTDWVAGQAECSPENEFTDSVDSQDKKTDESQEDAMVLADEKQCLCVVCGELFEDVYYPENSEWMFKGDVYVTGSDSDNEIGIKDTSSGRGPIIHTRCLSENSLSSVIKMEQD >CAK8573958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650348999:650354670:1 gene:gene-LATHSAT_LOCUS26351 transcript:rna-LATHSAT_LOCUS26351 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSGGEEEATALSRAAISTDGLYFLRDTYFPPNPNDRISKLQELSDLILNLLDSVPPEERKSPTQRAVFEYLKGKVLDVYPEYKKEAEDHLSKAVKLNPSYADAWLCLGNCIWKKGDLSAAKNCLNLALNKGPNKKILCQLSMLKRKMSQGADNQAELVDESIQHAKEAIALDVKDGDSWYNLGNAYLTSFFVTGGLDHTRLSHSLKAYQNAEKDEGIKSNPDFYFNSATANKYLENYERALSGFEAAALKDPGLNAAEEVQKIVNILDKVYNLLRVPVRAKRIDSLAASLAAVNLKSPYRTVTIDLLSEGLNKDLAVEGKVLFYISSEGVAPLYYLLCDSNKTCFVLSVYGVRQDVIKGGDQLTLLDPCFRDVDFTWKEKHYQFKSIRLDFYEQMLVNGKALTPQQAIRSSIIAQHKP >CAK8536622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2761901:2763569:1 gene:gene-LATHSAT_LOCUS5970 transcript:rna-LATHSAT_LOCUS5970-3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWNQMRWQLTGMDEKKGDLGATRDQKIPIQKTQSFKEKKRGQNWFQKQLPLKRSHDFDSSEIEHAAAVAAAAFSINLQEASEQTSERPETSSAKTNSKVGSSKSSKSLLASASKRLSGSFRYKDDQGDKVSISSVSEEKKPEKAITPAPSMKKASTLTDKKPVTTTPKAPPPLPPPPPPPPPPPIQKTSREPSPLRQTTTGTNIPETDADVWERTELNKIRQRYEKQKEMIDSWQDKKRMKAKRKLIKHESELERRRFKALEKFQNKMKYVNQVADGARAKADENRKNEELQAKGKAGAIRTTGKLPRIYFCF >CAK8536618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2761901:2763569:1 gene:gene-LATHSAT_LOCUS5970 transcript:rna-LATHSAT_LOCUS5970-5 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWNQMRDQKIPIQKTQSFKAEKKRGQNWFQKQLPLKRSHDFDSSEIEHAAAVAAAAFSINLQEASEQTSERPETSSAKTNSKVGSSKSSKSLLASASKRLSGSFRYKDDQGDKVSISSVSEEKKPEKAITPAPSMKKASTLTDKKPKTSREPSPLRQTTTGTNIPETDADVWERTELNKIRQRYEKQKEMIDSWQDKKRMKAKRKLIKHESELERRRFKALEKFQNKMKYVNQVADGARAKADENRKNEELQAKGKAGAIRTTGKLPRIYFCF >CAK8536620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2761901:2763569:1 gene:gene-LATHSAT_LOCUS5970 transcript:rna-LATHSAT_LOCUS5970-4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWNQMRWQLTGMDEKKGDLGATRDQKIPIQKTQSFKEKKRGQNWFQKQLPLKRSHDFDSSEIEHAAAVAAAAFSINLQEASEQTSERPETSSAKTNSKVGSSKSSKSLLASASKRLSGSFRYKDDQGDKVSISSVSEEKKPEKAITPAPSMKKASTLTDKKPKTSREPSPLRQTTTGTNIPETDADVWERTELNKIRQRYEKQKEMIDSWQDKKRMKAKRKLIKHESELERRRFKALEKFQNKMKYVNQVADGARAKADENRKNEELQAKGKAGAIRTTGKLPRIYFCF >CAK8536619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2761901:2763569:1 gene:gene-LATHSAT_LOCUS5970 transcript:rna-LATHSAT_LOCUS5970-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWNQMRWQLTGMDEKKGDLGATRDQKIPIQKTQSFKAEKKRGQNWFQKQLPLKRSHDFDSSEIEHAAAVAAAAFSINLQEASEQTSERPETSSAKTNSKVGSSKSSKSLLASASKRLSGSFRYKDDQGDKVSISSVSEEKKPEKAITPAPSMKKASTLTDKKPKTSREPSPLRQTTTGTNIPETDADVWERTELNKIRQRYEKQKEMIDSWQDKKRMKAKRKLIKHESELERRRFKALEKFQNKMKYVNQVADGARAKADENRKNEELQAKGKAGAIRTTGKLPRIYFCF >CAK8536621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2761901:2763569:1 gene:gene-LATHSAT_LOCUS5970 transcript:rna-LATHSAT_LOCUS5970 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWNQMRWQLTGMDEKKGDLGATRDQKIPIQKTQSFKAEKKRGQNWFQKQLPLKRSHDFDSSEIEHAAAVAAAAFSINLQEASEQTSERPETSSAKTNSKVGSSKSSKSLLASASKRLSGSFRYKDDQGDKVSISSVSEEKKPEKAITPAPSMKKASTLTDKKPVTTTPKAPPPLPPPPPPPPPPPIQKTSREPSPLRQTTTGTNIPETDADVWERTELNKIRQRYEKQKEMIDSWQDKKRMKAKRKLIKHESELERRRFKALEKFQNKMKYVNQVADGARAKADENRKNEELQAKGKAGAIRTTGKLPRIYFCF >CAK8563455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607343297:607349447:1 gene:gene-LATHSAT_LOCUS16864 transcript:rna-LATHSAT_LOCUS16864 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDSLPSSSPSTNNRDAPSAAAEVDDGLFALAKDAAMHYQSGKFVECLEVMNQLLQKKPEDPKVLHNIAIAEFFRDGCSDPKKLLEVIYSIKFVQRKYDEHTLTSGDQGEPVNNVANKVAFGSKGSNSTDTMHPDEFESTAAALNIAIIWFHLHDYAKTVSVLEPLFQRIDPITDSTALHICLLLLDASLACHDASKSADVLTYLERLFSGTAGQGDYGNTAQPQSANLTSKSAPVTISESAADPSSSDLGSSANTPENNLSRTLSEDGLDYEAMILDMGGQNLTRPMGPSSNVLSRALVDRFSSLDLKLKLQLYKVQFLILTRNLKIAKREVKLAMNIARGRDSSRALLLKSQLEYARGNHRKAIKLLMASSNNRTDTEFSSIFNNNLGCIYYQLGKYQTSSIFFSKALTNCSSLRKEQQSKLTTFSQDISLLIIYNCGVQHLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSCRVPSEKLEVGACVVGLEKWRQLVVKDQIPGNGHLESSRGNERLPSEDGGLKLSMSLARQCLLNALHLLDSYSTNRLKSGLPSNSSVENDTSEVLPSKNSSRKNSHVIDPKAFSVAAGLGQINSNGDTKEQKGGASQELFQNSLSYYEDVCRRDNQLVKQAVLANLAYVELELDNPVKALAAAKSLFELPECSRIYIFLGHVYAAEALCLLNRPKEAAEYLSYYLSGGNNVDLPFSQDDCEKLQVERTVEFEEVNVGSTAAKSCYQDTQSIVFLKPEEARATIYANFAVMSAMQGEFEKASMLVTQALSILPNSPEATLTAVYVDLLLGKQQEALAKLKSCSRIRFLPSGITLNKSS >CAK8563454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607343297:607349447:1 gene:gene-LATHSAT_LOCUS16864 transcript:rna-LATHSAT_LOCUS16864-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDSLPSSSPSTNNRDAPSAAAEVDDGLFALAKDAAMHYQSGKFVECLEVMNQLLQKKPEDPKVLHNIAIAEFFRDGCSDPKKLLEVIYSIKRKYDEHTLTSGDQGEPVNNVANKVAFGSKGSNSTDTMHPDEFESTAAALNIAIIWFHLHDYAKTVSVLEPLFQRIDPITDSTALHICLLLLDASLACHDASKSADVLTYLERLFSGTAGQGDYGNTAQPQSANLTSKSAPVTISESAADPSSSDLGSSANTPENNLSRTLSEDGLDYEAMILDMGGQNLTRPMGPSSNVLSRALVDRFSSLDLKLKLQLYKVQFLILTRNLKIAKREVKLAMNIARGRDSSRALLLKSQLEYARGNHRKAIKLLMASSNNRTDTEFSSIFNNNLGCIYYQLGKYQTSSIFFSKALTNCSSLRKEQQSKLTTFSQDISLLIIYNCGVQHLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSCRVPSEKLEVGACVVGLEKWRQLVVKDQIPGNGHLESSRGNERLPSEDGGLKLSMSLARQCLLNALHLLDSYSTNRLKSGLPSNSSVENDTSEVLPSKNSSRKNSHVIDPKAFSVAAGLGQINSNGDTKEQKGGASQELFQNSLSYYEDVCRRDNQLVKQAVLANLAYVELELDNPVKALAAAKSLFELPECSRIYIFLGHVYAAEALCLLNRPKEAAEYLSYYLSGGNNVDLPFSQDDCEKLQVERTVEFEEVNVGSTAAKSCYQDTQSIVFLKPEEARATIYANFAVMSAMQGEFEKASMLVTQALSILPNSPEATLTAVYVDLLLGKQQEALAKLKSCSRIRFLPSGITLNKSS >CAK8531373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105777472:105778791:-1 gene:gene-LATHSAT_LOCUS1170 transcript:rna-LATHSAT_LOCUS1170 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTRGRIVGRGSTATVYLAEHGNSDEVFAVKSTELHGSRFLKKEQEILSTVKCPQIVDYRGCDVTFENGVHLFNLFMEYASKGTLADAVRNGNGMEEALVGFYSRQILLGLNYLHSNGIVHCDLKGQNVLLMEHGVKIADFGCARRVEEELVISGSPAFMAPEVARGEEQGFAADVWALGCTVLEMITGKMPWQGVSDPAAVLYRVGFSGDVPEIPNFMSEQGKDFLRKCLKRDPNERWSVVELLGHEFVGKFKESVSDSETPTTVLEGGFWDWDSLETTQEVASTSDCCSSNSSTHSSSPRDRILGLCSNETVWEFDDDDEWITVRSHGQDLEAMSFGKLEEYESDIVLEFGDHEIGLSLIDFYEPKIVVELSTWNIIFDYWCFRSCKCGCYVSDFLSVFQSRKIVFYVIIDSQKKKEIFIIPCFLLCFLFNFSLDR >CAK8535389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845904125:845905144:-1 gene:gene-LATHSAT_LOCUS4854 transcript:rna-LATHSAT_LOCUS4854 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVVAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVCKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVNEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIQKEELKRVF >CAK8537972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452217253:452219286:-1 gene:gene-LATHSAT_LOCUS7218 transcript:rna-LATHSAT_LOCUS7218 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTIRKLSMKFYSSPLEVFLFIIVILSPLVMADLNSDKQALLDFVTSVPHRRNLKWDLATSICTSWIGITCNPNLTRVVSVRLPGVGLVGTIPANTLGKIDSLKTISLRSNLLSGNLPRDISSLPSLQYLFLQHNNLSGELPTSLSSQLNALVLSYNSFTGTIPKTLQNLTQLTHLSLENNSLSGPIPDLHVNLKQLNLSYNHLNGSIPSSLSSFSSSSFEGNSLLCGLPLKSCSLVPPSSAPPASAPVSHNSKHKLSKGAIIAISVGGAVLLFFVVLVIVLCCLKKKDNGSSRAVKAKGPSGGGGRTEKPKEEFGSGVQESEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEEATTVVVKRLKEVVVGKREFEQQMEIVGSIGNHPNVVPLRAYYYSKDEKLLVCDYFPNGNLSVLLHGTRTGGRATLDWNMRVKITLGIARGIAHLHSVGGPKFTHGNVKSSNVLLSQDNDGCISDFGLTPIMNVPATPSRTAGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPQQSPVRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMACVAKVPDLRPNMEEVVRMIEEIRQSDSDNRPSSDENRSKDLNVQTP >CAK8560338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14898280:14900116:-1 gene:gene-LATHSAT_LOCUS14020 transcript:rna-LATHSAT_LOCUS14020 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEDPGPMNNYVLYDQDNHVSSAVWDGQERGVLRCHEHTSMLDQWKLTPKQIKLVEKAGFGYLRLLPAMSLDNALIAALVERWRRETNTFHLTVGELTITLEDVALLLGLAIDGEPVIGPISAPSAACEKLLGRVPDDLNGGMVKLTWLKEFFSECPEDALLEETERCTRAYLLYLVGSTIFSTTTGNKVPVMYLSLLENFDEAGKFAWGAGALAFLYRSLGNASLKSQSTVSGCLTLVQCWSYSRLNVGQPKFNQDPDSNCFPFVLKWKGKSGCRTKYNVASYRKALDSLNPCDVQWLPYKDMDYAAIPEYIQTSLILRASRTMLLCFDKAERHLPDRCLRQFGMHQAIPKDVERWERKSRIVDHGADLMGKMDTALKEWSERWLHIVEGGNILDEDEYMQWYQKITRKYIGRVTSSVESEYQRAVTAMREIANLADIFSTEGLDSYNRGLIDEVKNIAHKCLTEQFEETPKEKVTKKGSRKRKQKDPPSMEYE >CAK8569663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7507799:7511550:1 gene:gene-LATHSAT_LOCUS22490 transcript:rna-LATHSAT_LOCUS22490 gene_biotype:protein_coding transcript_biotype:protein_coding METISVSKFLLLLFFSLFFLGSHFVHSSVTYDRKAIIINGQRRILISGSIHYPRSTPQMWEDLIQKAKDGGLNVIDTYVFWNVHEPSPSNYNFEGRYDLVQFIKTVQKVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVEMMKNEKLFQSQGGPIILSQIENEYGPQGRELGASGHAYSNWAAKMAVGLATGVPWVMCKEDDAPDPVINTCNGFYCDYFSPNKPYKPKLWTESWTGWFSEFGGPVPQRPVEDLAFAVARFLQSGGSFFNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLLREPKYGHLKDLHQAIKQCEHALVSSDPTVTSLGTYEQAHVFSSGTTCAAFLANYHANSAARVTFNNRHYDLPPWSISILPDCRTDVFNTARVRFRPSQIQMLPSNSKLLSWETYDEDVSSLAESARITASGLLDQISATRDSSDYLWYITSVDISSSESFLRGRNKPSISVHSSGDAVHVFINGKFSGSAFGTREQRSCTFDGPIDLRAGTNKIALLSVAVGLPNGGIHFESWKTGITGPVLLKGLDHGQKDLTWQKWSYQVGLKGEAMNLVSPNGVSSVDWVSESLASQNQPQLKWHKAYFNAPNGNEPLALDMSSMGKGQVWINGQSIGRYWMVYAKGNCNSCNYAGTYRQAKCQLGCGQPTQRWYHVPRSWLKPTKNLMVVFEELGGNPWKISLVKRIIHTPRTSESSLMINTTRV >CAK8579689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716650117:716652584:-1 gene:gene-LATHSAT_LOCUS31615 transcript:rna-LATHSAT_LOCUS31615-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMSIHVLQVHKENVTKVPNAKPGRESTDIEIYGMQGIPPDVLASHYGDEDDDIPSKTAKVEIPSSPFLGGMAPPPMGAGYPPRTMLGTIRPIYNPALPVPPNAWGVAPPRPQPWYPQPPAASIPPPVPYAHQPLFPVQNVRPPMPSTNSPALQTQITPPGLPTSTPSVPVSQPLFPVVGNNHTTAQSSPFSAAPLSSTVPSVTPVFSSNVPIDAHLGINSSVTSSYQAIGLQGGTASNSHSYASGPNTGGPSIGPPPVISNKAPATQPATNEVYLVWDDEAMSMEERRMSLTKYQVHDESSQVSHTTFLIHGVAITSNQMSRLYDFCFQIQLFTYI >CAK8579688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716649214:716652584:-1 gene:gene-LATHSAT_LOCUS31615 transcript:rna-LATHSAT_LOCUS31615 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMSIHVLQVHKENVTKVPNAKPGRESTDIEIYGMQGIPPDVLASHYGDEDDDIPSKTAKVEIPSSPFLGGMAPPPMGAGYPPRTMLGTIRPIYNPALPVPPNAWGVAPPRPQPWYPQPPAASIPPPVPYAHQPLFPVQNVRPPMPSTNSPALQTQITPPGLPTSTPSVPVSQPLFPVVGNNHTTAQSSPFSAAPLSSTVPSVTPVFSSNVPIDAHLGINSSVTSSYQAIGLQGGTASNSHSYASGPNTGGPSIGPPPVISNKAPATQPATNEVYLVWDDEAMSMEERRMSLTKYQVHDESSQMSSIDAAIDKRILESRLAGRMAF >CAK8575541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:157989966:157990683:-1 gene:gene-LATHSAT_LOCUS27797 transcript:rna-LATHSAT_LOCUS27797 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVNLFHTFHSTGPRGVQSPVPFRATPDVHLTPTPIHRVSASQEQTCLQTGPIFTQCEPQSFVAFHLTETLSNIHVSTATNIRSPIHITSRTSRGIPPSISSLPPIFPATISNSLSPYYTPVTSYIVASNPNLPRSTTQLNNMEQCSTTPPTNITHGMYDVYSSNDENSDLDSNNAWGDTSDSDDQAYEEPIDSYLQEYADIGVPI >CAK8544985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:716080581:716081860:1 gene:gene-LATHSAT_LOCUS13622 transcript:rna-LATHSAT_LOCUS13622 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIEITNAHLLRAPLPYYYHVKHLNWNIFSKRNFNVPRPRLPFLIKAVTATIVQPKSNDTEIAATIVEPKSNDTEIAAHNHQPEQPVDEKEKLRRSKISKANKGRSAWNKGIKHRPETLQKIKERTRIAMKNPKVKIKLINHPHAQNTETRLKIAAGIRQAWEKKRVMKMVQETCCSEWQNLIAEASRQGFIGQEELQWNSYETLDDQLKQEWLVIVEQRKLMPREPSSRRAPKSPEQRKKLQ >CAK8564549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680550823:680551809:-1 gene:gene-LATHSAT_LOCUS17847 transcript:rna-LATHSAT_LOCUS17847 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSQDGEIQIPSNNYVATGVSVSTNGHGHHHMIHHHHPPPPPPPPPLVHHHNNIVTSSSTAAVVLQSTNSTGVELDLEQSSYKKALSQVVVKYRECLKNHAAAMGGNATDGCGEFMPSGEQGSIEALNCSACHCHRNFHRKEIEGETEEENYQHKHNSPFGFNFNRQQQHHSMRKFMLPLPDQPLGLGYHHHHTTPNSTSAADILPSRAVAAPHGHGHIIMPFNYNLPSESDEQEEHGRTQSQQQQVMMKKRFRTKFTQEQKEKMLNFAEKVGWKFQKQEESLVQQFCAEIGVKRRVLKVWMHNNKHNLAKKQHIDITTDNLPTP >CAK8564306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667329828:667331698:1 gene:gene-LATHSAT_LOCUS17626 transcript:rna-LATHSAT_LOCUS17626 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSLSFAISNTTTTTWNTNTPQSNNTVPSLNTFSSITLNDSSFNKTHVFRIRCIKSESISPLEPLISDQPDIGGGGDNGDNFGGGRGGDGGDGGDGGGESEGDEEFGPLLNFEAVMKEVEARGATLPSDMEEAARITGIREMFLLRYLELQGSSGPLSFLMKHCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGEDFWAEFELYAADLLVGVVVDIALVGMLAPYARIGKPALSKGLLGRIQHACAALPSSVFEAERPGCKFSAMQRVATYFYKGALYGSVGFGCGIVGQGIANMIMNAKRSISKSEDDIPIPPLLQSAALWGFFLAVSSNTRYQIINGLESIVEKSSVAKKVPLVAMAFTVGVRFGNNIYGGMQFIDWAKLSGVQ >CAK8572435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536781988:536784150:-1 gene:gene-LATHSAT_LOCUS25005 transcript:rna-LATHSAT_LOCUS25005 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDSESVLSGGKLHQCVVLPVNVSIVADSLKRKNQNWIARASSANDLIIQVGDSSFHLHKLAMVSRSEYLNRLVFQRRSNNKEPNNIDTIQIDNLPGGKKTFELIVKFCYGWKFDVTPSNIASLYCAANFLEMSEDIESENLITKTESFFSFLILTSWKDTFRILKSCESISHLAKELQLVKRCSEAIAWKACAVPKLETRVDAWWFEDVSLLRIDHFIEVIQSIKKGGMRSEIIGSCIEFWTLEWFSKVALGLDSNARHEHVTIQLNKVSTECLIKMLPIEENSVSCNFLLHMLKAGEVLKINHELMYVLQRRVVLMLEQCCVQDLLVKNYGDEDCLYDVDVVVKVLQSYVCGMSSNPAAKVCAVGRLVDGYLSQVARDQKLKVESFKLLIEALPQNARECDDNLYRVIDMYLKAHPYLTEEDRENVCSVLEYHRLSQEARQHVMKNDRLPIKLSTQFVLLEQVNTSWTMASNGFNYQRKTQTNIRVSKDFEKRQMSREIRVMRKDVEMMKSQLLDLNTCKMKLQKQMKMCTS >CAK8566412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420714456:420715702:1 gene:gene-LATHSAT_LOCUS19544 transcript:rna-LATHSAT_LOCUS19544 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYFLLFVLVTAFALSEADDNELCTDFYCDSCPNLLSIVNQGVIKAIRNEARIGASLLRLHFHDCFVNGCDGSILLDDTNSFIGEKTAAANNNSARGFNVIDDIKASVEKACPSTVSCADILALAARDSVVNLGGPSWEVGLGRRDSITASRADANRSIPGPFLNLDKLKANFANQGLSEKDLVALSGAHTIGLARCLLFRAHIYNDSNIDPSFAKSLQSKCPRTGNDNVHQPFDFQTPTHFDNLYYKHLLAKKGLVHSDQELFNGNPTTDKLVQKYANDNEEFFEAFAEGMVKMSNLNPLTGNKGQIRINCRKNN >CAK8540376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555631165:555632139:-1 gene:gene-LATHSAT_LOCUS9384 transcript:rna-LATHSAT_LOCUS9384 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGAAQLVVGHPFDTIKVKLQSQPTPLPGQVPKYSGAIDAVKKTIAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMETLLRSSPGEALTINQQVVCGAAAGLSVSFLACPTELLKCRLQAQSALAGSGTATLAVKYGGPMDVARHVLRSEGGIKGLFKGLIPTMAREIPGNAAMFGVYEATKQLIAGGTDTSGLGRGSLMASGGLAGAAFWCMVYPTDVVKSVLQVDDYKNPKFSGSIDAFRRIKATEGIKGLYKGFGPAMFRSVPANAACFLAYEMTRSALA >CAK8569207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682230656:682231234:1 gene:gene-LATHSAT_LOCUS22087 transcript:rna-LATHSAT_LOCUS22087 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFRYLGELNSSSSDSPDSAVVDSDFVVILAALLCALICVLGLVAVSRCGCFRRLRLPSPALASQTTPPAAANKGVKKKVLKSLPKVTATEESAVKFSDCAICLSEFAAGDEIRVLPQCGHGFHVSCIDAWLRSHSSCPSCRQILVVSRCDKCGGIPAPATNAAGSSSTPAPESEVRLKVTEADGNSFLP >CAK8540089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539147415:539151694:1 gene:gene-LATHSAT_LOCUS9126 transcript:rna-LATHSAT_LOCUS9126 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKSLKERRVQEWQEYYINYKLMKKQVKQYAQQIELGTQDRQHVLKDFSRMLDNQIEKTVLFLLEQQGQLASRIANLGEQEQQDAAQQEREPEIRKMSEIREAYRTVGQDLLKLLSFIEINAVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGALSHNLHDLQDRQGSYLSIYDQPTLPFQDPVIISVKAAVDRLTHSTNFLDFLGQHALIMQEELPAPTDEKVDERYHFTSLVLNLVNTFLYMVNTYIIVPTADDYSMYLGAAPTVCGIVIGAMAVAQLFSSVYFSAWSNKSYFRPLVFSSIILFLGNAMYALAYDFNSIWILLIGRLCCGFGSARAVNRRYISDCVPLQIRIKASASFVSASALGMACGPALAGLLQTDFKIYNLTFNKDTLPGWVMTIAWLMYLIWLWIAFVEPSREFEEDHNTEKSNAEDSDALEKGLQQPLLITLEDKVDEDADQDNDDSEEATEESHRPATSIRSAYSLLTPSVKVQLLIYFMLKYVMEILLAESSVITSYYFSWSTGTVAIFLAVLGLTVLPINVVVGTYISNWFDDRQILLASEIMVLIGIVSAFHVIIPYSKLQYICSGLIMFVSAEVLEGINLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYMGVGSLLNITLAPSLFICIISIIATCYTYNSLY >CAK8533569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637291189:637291464:-1 gene:gene-LATHSAT_LOCUS3189 transcript:rna-LATHSAT_LOCUS3189 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNFVFKKNDKKIMVVKCLDGCPFHIRFSMRTTNQYWQLVSLTDRHGCHRTAKNIQAKTDWLSRQFVYTIRHTPEIKTKRLIAEAIKK >CAK8535769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880529731:880530405:1 gene:gene-LATHSAT_LOCUS5194 transcript:rna-LATHSAT_LOCUS5194 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFLHIRLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTLHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKVKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPKTWSLPQEIDPELSLIGI >CAK8536747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12755918:12756244:1 gene:gene-LATHSAT_LOCUS6081 transcript:rna-LATHSAT_LOCUS6081 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLSRMILLFFVGFLISIQPNKVSGLRSSEIALKQSQKEHRIMLQNQHTLKAPEKELLNTKKSSANVNNVSVPTKKSSANVNNRLDPNQSSKRRVRKGSDPIHNRA >CAK8563508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611244924:611245526:-1 gene:gene-LATHSAT_LOCUS16908 transcript:rna-LATHSAT_LOCUS16908 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRKSRTTVLRSLSPSPRFSNTEQSPSHYSSSAFASSSSSFTSRPTTFFRGSTSPTRVSVYSSPSVSSSSVRFSLDRSISPNRSISAVPRTGGKKQSSSQPKRTCMCSPTTHPGSFRCSLHKGFTSRASVTPYSQNRLNARRSAMTNSLVRIRGVEGDLVKRALAALIRPSSHQQKRRGDFHPRPSRLSVMTKAEDS >CAK8575806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329154292:329155167:1 gene:gene-LATHSAT_LOCUS28041 transcript:rna-LATHSAT_LOCUS28041 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTGIIGKICEIILSMRFFIYQYGVVYHLNVARGDKGIMVYALSWIVIVAVMVILKIVSLGRKRFSVNFQFMFRLLKVFLFIGAVVCLALMFTLLSLTVGDIFASLLAFLPTTWAIIQIAQACRPLVKGTGIWGSVKALDRGYEYLMEVVIFTPVAILAWFPFVSEFQTRLLFNQAFSRGLQIHRILAGGKKHKQN >CAK8544093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662494636:662495340:-1 gene:gene-LATHSAT_LOCUS12799 transcript:rna-LATHSAT_LOCUS12799 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDLKGNGFIGIQAFHRGNTLYFLNIYLPYLLTEKRRMWSEIVEWKGKLRVGEWIIGGDFNSVKHCGERVGSSNRSNRVEMEDFASLIDLMEVVDLPTIGNQFTWFNSDGKAKIMLDHFLVPKGILGSWKLVA >CAK8573483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615574322:615574709:1 gene:gene-LATHSAT_LOCUS25928 transcript:rna-LATHSAT_LOCUS25928 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVCETKTNESWRWFLILLLEDIGQENRWVFISDQQKGLILVFEKLFERVEHRLSLRHLYANFKKKFGEGTYRLKT >CAK8533316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602343834:602344439:-1 gene:gene-LATHSAT_LOCUS2956 transcript:rna-LATHSAT_LOCUS2956 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQIKEIKDRLDKVATDGTRFGLATTSVDPGFILQRREMTFSHIDALDVIGRENDREEIIKLLMQPHPQGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSTSESIPSAPLAYQENINNLNIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKGGTIGSKI >CAK8576604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497446682:497447725:-1 gene:gene-LATHSAT_LOCUS28778 transcript:rna-LATHSAT_LOCUS28778 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIKGNLMERWATNIAKIEDYSEFVLPRIKKVLERRQDIPRFFIAGLSGDMIYEVRHTSLTRDNFTVDLKRLECSYRIWMLIGFPCYHEITYIQSRSQDPAEYIPLCYRKKTYQACCQPFIYATNGENLWELTQHSDIVPPPSKRASGRPKRRRKKDVDEKIKDSTNVSRKGLPNKFSVCGISGHNKSSCPAKPSQAKTVTNVQPQPTIMDQSQTSICVKSQTTQSQTTLQVQIIQTQPTQIVQTRQSQTTTPFTIAQSQPTQRVQTRMSASSSRIQTRHSISVSQLLVMRRGRQVGTTTFQQPTTMRLKLEIRRKTR >CAK8562277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:464464108:464467121:-1 gene:gene-LATHSAT_LOCUS15787 transcript:rna-LATHSAT_LOCUS15787 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFLTLCFLSPILALTLFFFFIQRKKTKFYLPPGKMGWPFIGETIGYLKPYSATTMGEFMKNHIARYGTIYKSSLFGEPAIVSADAEFNKFILQNDGKLFEVSYPRSIGGILGKWSMLVLVGDMHRDMRNISLNFMSHARLKTHFLKDMENHTLLVLSSWKNNCTFSAQSEAKKFTFNLMAKQIMSLDPWNLETEELKKEYVSFMKGVVSAPLNLPGTAYRKALKSRNTILKFIEGKMEERVKRRQEGKKGLEENDLLNWVMKHSNLSTEQILDLILSLLFAGHETSSIAISLAIYFLPGCPQALQQLREEHREIARCKKKAGEVELTWNDYKNMEFTHCVVNETLRLGNVVRFLHRKAIKDVWYKGYDIPCGWKVLPVISAVHLDPSNFDQPQHFNPWRWQGNSKNGNCGNTSNYMPFGGGPRLCAGSELAKLEMAVFIHHIILNYNWELVDVVDQPIAYPFVEFPKGLKIRVQTQTQTQAQVQAQSVI >CAK8575146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:39092398:39095324:-1 gene:gene-LATHSAT_LOCUS27432 transcript:rna-LATHSAT_LOCUS27432 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPQQLLQPVLPHPLFHSYSPSNHTKISSFTKLNSTRKVKIHNGIKKAAITLEELPPNALRSKREAEWIGGFSLGVDLGMARTGIAISRGFSVRPLAVLELRGQKLEVQIMNIAEKEEADEFVIGLPKSSDGKETTQSNIVRTVAGRLAIRAAERGWRVYLQDEHGTTTDAIDRMVDMGLKKSTRQKKLDAYAAVMLLERYFSTSGQETELVWPKNLELQEKLRKGPSEDDDFFSEYDDFFSNED >CAK8568004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562383730:562387405:1 gene:gene-LATHSAT_LOCUS21006 transcript:rna-LATHSAT_LOCUS21006 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLLNVSEVQQLQDTNAKKNKSDEMEVVVVATSCRRPPRTRRVSPTTVERELPNGDVYSGSLSGNTPHGKGKYVWCDGCMYEGEWKKGKAWGKGRFSWPSGATYEGDFVSGRMEGSGTFVGVEGDTYRGSWISDRKHGFGEKCYANGDVYEGWWRFNLQDGEGKYMWKNGNEYVGEWKCGAISGNGMLMWKNGNRYQGCWDNGVPKGKGVFTWRDGSVSAGNWGNDEGRNVNKRVSVSVSVDGSKSVVFPRICIWELDGEAGDITCDIVDNVEACMFYRDGSESENGGGDAGYGYGPSPMLQKSPCYLQDGDVKKPGFTVSKGHKSYDLILNLQLGIRYCVGKHASVPRELRSGDFEPKEKFWTRFPPEGSKFTPPHQSVDFRWKDYCPVVFRHLRELFAIDPADYMLAICGNDTLREMSSPGKSGSSFYLTQDDRFIIKTLKKSEVKVLIKMLPSYYQHVSQYKNSLVTKFLGVHCVKPIGGQKTRFIVMGNVFCSEYRIHKRFDLKGSSHGRTTDKPRKEIDETTTLKDLDLSFIFRLEQSWFQELKWQLDKDCEFLEAEGIMDYSFLIGLHFRDDYLTDEMKNSPNELSPGKRDIQNDDMQDMRWIPIGRGPLIRLGINMPARAERVCKAGLDQQHTASSKFTSSKSSDEISDVILYFGIIDILQDYDISKKLEHAYKSLQVDPASISAVDPKLYSKRFRDFIHRIFVEDK >CAK8577268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551043953:551045098:-1 gene:gene-LATHSAT_LOCUS29389 transcript:rna-LATHSAT_LOCUS29389 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8538255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473719838:473722336:-1 gene:gene-LATHSAT_LOCUS7475 transcript:rna-LATHSAT_LOCUS7475 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEHAYSTRSLSAASEMGSSFMLESGFYITSFSATIFIAGFAALGLLLITLLVSMAMMLQSCQNNSAGILELRNVKDDYSYCKIHSLHAKFNHLEEHDVPEICKDLAVQYIKGGQYARDLDLTKSVIEEYFNGVKPSQDGFDVVLIDIDGIFPLSPRSSNLFQSISNCILEAKNLKRMAVLRLYMNLRASGWPIILLSRDPVKDQNVTINHLVSAGFRGWSSLMMREGENSTKTNEYISRQRNAIQTKGFRVISIISSHMDVLSVAEEDAGMRKFLLPDPICDMFEHQMKA >CAK8538256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473719838:473722027:-1 gene:gene-LATHSAT_LOCUS7475 transcript:rna-LATHSAT_LOCUS7475-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFMLESGFYITSFSATIFIAGFAALGLLLITLLVSMAMMLQSCQNNSAGILELRNVKDDYSYCKIHSLHAKFNHLEEHDVPEICKDLAVQYIKGGQYARDLDLTKSVIEEYFNGVKPSQDGFDVVLIDIDGIFPLSPRSSNLFQSISNCILEAKNLKRMAVLRLYMNLRASGWPIILLSRDPVKDQNVTINHLVSAGFRGWSSLMMREGENSTKTNEYISRQRNAIQTKGFRVISIISSHMDVLSVAEEDAGMRKFLLPDPICDMFEHQMKA >CAK8536555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954083561:954084375:-1 gene:gene-LATHSAT_LOCUS5913 transcript:rna-LATHSAT_LOCUS5913 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDFAQVGFYHCKSIIIAQCFGRFGNSVRLMRLWMKLWPAFHLVYAWFPFSAWSCGSILWKLWIVCMMRVGLEHGGCCLQIISHVLMRLQLWS >CAK8534375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721390007:721390604:-1 gene:gene-LATHSAT_LOCUS3925 transcript:rna-LATHSAT_LOCUS3925 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCNACQHINFKKRDACQNCGYPKIGGPDPETYRYNWTETLAGDWYCSGMNCGAHNYASRTSCYRCGALKNGYPSGFGGNMEASDCNYPPGWKTGDWICTRYGCGVHNYASRTECFKCKTPKSFGNSD >CAK8571298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366949501:366950416:1 gene:gene-LATHSAT_LOCUS23973 transcript:rna-LATHSAT_LOCUS23973 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNFPITTLVRATYYRLGSLFAGRGAKWSVVLNSGETFTDSCLKVMKEKTTKSSTHQVRIFDYANNVFSVKDTMDHGEGKHMGHYKVDLLNGWCDCGKFQAYRVSCSHVIVACLNVRDDAYALFSDVYRVTNLFGVYSASFPVLPYDEYWPVYEGDQICHNPRMRRKKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRIRCPNVGTSNR >CAK8571299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366949643:366950416:1 gene:gene-LATHSAT_LOCUS23973 transcript:rna-LATHSAT_LOCUS23973-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNFPITTLVRATYYRLGSLFAGRGAKWSVVLNSGETFTDSCLKVMKEKTTKSSTHQVRIFDYANNVFSVKDTMDHGEGKHMGHYKVDLLNGWCDCGKFQAYRVSCSHVIVACLNVRDDAYALFSDVYRVTNLFGVYSASFPVLPYDEYWPVYEGDQICHNPRMRRKKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRIRCPNVGTSNR >CAK8532876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:541291404:541291428:1 gene:gene-LATHSAT_LOCUS2538 transcript:rna-LATHSAT_LOCUS2538 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTEKL >CAK8564190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655910728:655911696:1 gene:gene-LATHSAT_LOCUS17517 transcript:rna-LATHSAT_LOCUS17517 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKSPKPNRKRFVLSCFISIFFLCVLASINEFRYKNLPTFGRCSTLSNKTTNTSSLFSFSNQEQQQKQDIRILIGVLTLPDQYLRRHFLRLVYGTQSPENAKIDVKFVFCNLTKEDQKTIISLEIMRYNDIIILNCTENMNKGKTSTFFTSLPEIFNETVNGPNYPPYHYVMKADDDTYVRLNSLVKSLKPLPSEDLYYGFVIPCGSMDPFKHYMSGMGFLVSWDIVEWIHGSDIPKKHVEGPEDKVFGDWMRWGRRGLNRYNAKWSMYNYPDPPSVCSHELVSDTIAVHLLKNQEKWIRTLKFFNHTAALKESNMYHMD >CAK8567618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529231313:529231561:1 gene:gene-LATHSAT_LOCUS20655 transcript:rna-LATHSAT_LOCUS20655 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGESFCWNGMASWIGMNLATAFFSSLERCSCINLSTSDDPDDALLVLPSDSHRFTDGSSHPASPPAPPPSNATIDAAAV >CAK8562669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524376087:524376473:-1 gene:gene-LATHSAT_LOCUS16147 transcript:rna-LATHSAT_LOCUS16147 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8576276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:442656048:442656680:1 gene:gene-LATHSAT_LOCUS28478 transcript:rna-LATHSAT_LOCUS28478 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKKDAIELIGNTPLVHLNNITEGCPARIAAKLEYLQSCCNVKNRISLSMIEDAENKGLITPGKTALVEPTSGNTGIGLASVAALRGYKLLVTIPSYASLERKIILRAFGADVYLTNPAKGVDGVFEKADKLSAKTPNSFTLNQFENPANPKSKLGSLLLRPAVIMVRHSATFSFNQNTISPYHNVLRKIMEEINKLERDIPDLR >CAK8575087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27994304:27995404:1 gene:gene-LATHSAT_LOCUS27377 transcript:rna-LATHSAT_LOCUS27377 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSRAMSKGVVMTVPVLILTVSAAAVFLFFLLSSLSSCSCPSQPSATPVNNAMNSDVGLSESSSGKGLLATRKEDVQWVKDQIQANGLHMQENVLRKGINPRTRVQQLEDLRQFKGISHYEGPDSNNHTAFPCPGELLVEQHHSNYGEPWAGGRDVFEFLAQTIQLRPDSQVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGITYDLIYASAVFLHMPDKLVWVGMERLASKLKPFDGRIFVSHNIKFCSRMGGDECTKRLTSLGLEYLGKHTHDSLLFNHYEIWFEFRRSKI >CAK8563004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565715715:565716322:1 gene:gene-LATHSAT_LOCUS16454 transcript:rna-LATHSAT_LOCUS16454 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDVVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVITK >CAK8568436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606058442:606063136:1 gene:gene-LATHSAT_LOCUS21393 transcript:rna-LATHSAT_LOCUS21393 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLMDLEWWFSIPITSVSLASTMFTLLSLVGGWFIYLYGPYWRVRKVPGPPSLPLVGHLHLLAKHGPDVFSILAKQYGPIYRFHMGRQPLIIVADAELCKEVGIKKFKDISNRSTPSPIKASPLHQKGLFFTKDSQWSTMRNTILSVYQPSHLSRLVPTMQSFIESATQNLDSEDEDVVFSNLSLKLATDVIGQAAFGVNFGLSEPHSVCNEIKNVGDNEVTDFINQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQILKRIPGTMDWKIERTNRKLGGRLDEIVDKRIKDRTRSSKDFLSLILNARESKAVSENVFTSEYISAVTYEHLLAGSATTSFTLSSVVYLVAAHPEVEKKMLEEIDGFGSIDQIPTSQDLHDKFPYLDQVIKEAMRIYIVSPLVARETSSEVEIGGYLLPKGTWVWLALGVLAKDPKNFSEPEKFKPERFDPNCEEMKRRHPYAFIPFGIGPRACIGQKFSLQEIKLSLIHLYRKYLFRHSPNMESPLELEYGIVLNFKHGVKLRVVKRTAPSSSNKPNMGG >CAK8568181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580090269:580091147:1 gene:gene-LATHSAT_LOCUS21170 transcript:rna-LATHSAT_LOCUS21170 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSINDQGVITHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSLLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWVHNKLKVSPATQPRALSIVQGRAVRVTHYLLGGIATTWAFFLARIIAVG >CAK8532408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257710848:257711375:-1 gene:gene-LATHSAT_LOCUS2117 transcript:rna-LATHSAT_LOCUS2117 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNVRGLNKAGKVREISSRLRNLDPAIIVLIETRVKKEKVVGIRKKLKMRGSYMDNYAQHDNGRIWIHWDDNSRQVEFVASTDQMIHCKVNDANDNFMFWMTAIYVQNQLHHRKKLWQDIEKICANQIGPWMLISDFNNVMKIEDWIGGNEVTENEYMDLTEMMSKTEDWNQ >CAK8537359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:302020839:302024657:-1 gene:gene-LATHSAT_LOCUS6655 transcript:rna-LATHSAT_LOCUS6655 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKDAVLFCFLALLFMWTSVTGLLSSKGVNYEVQALMGIKNSLMDPHSALNNWDAESVDPCNWAMVTCSPDHFVTALGIPSQNISGTLSSTIGSLPNLQTVLLQDNNITGPIPSEIGRLQKLHTLDLSDNFFTGQLPDSLSHMKGLHYLRLNNNTLSGPIPSSVANMSQLVFLDLSYNNLSGPVPRLNAKTFNIVGNHQICVTGVEQNCFRTTLVSSAMNNNTQDLQASNRPKSHKAALAFASSLSCICLLILGFGFLLWWRQRYNKQIFFDTNEQNREVICLGNLKKFHFRELQVATNNFGSKNLVGKGGFGNVYKGCLRDGTVIAVKRLKDGNAIGGEIQFQTELEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVASRLKGKPSLDWSTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLNDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQRALEFGKAANQKGAMLDWVKKIHQEKKIDVLVDKDLKNNYDRIELDEIVQVVLLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTRSRGNELSSSERYSDLTDDSSLLAQAMELSGPR >CAK8575080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27017832:27018667:-1 gene:gene-LATHSAT_LOCUS27370 transcript:rna-LATHSAT_LOCUS27370 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETALKSYIDTVKLKSSEKFKESGVAELLSSMAAGWNAKFIVECYSNCGPIAASIGLAVAARNTGARHVCIVPDEGTRLHYTKALAEMGVSPPPEIVRGEAETVIKSLDGLDFLVVDCRLRDFARVLKVAKVSTRGAVLACKNAWQRSNFSWFKWNMVLERGTRVVRSVFLPVGMGLDIAYIGSRINGGGGGSSSAGSSKGTTSRWIKHIDQQSGEEHLFRESSF >CAK8562580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511991339:511992566:1 gene:gene-LATHSAT_LOCUS16067 transcript:rna-LATHSAT_LOCUS16067 gene_biotype:protein_coding transcript_biotype:protein_coding MIQISSSNYMPEFGMEDTILFQEMDSFAFNYDDVSYFNTFSAGSTPESTNSSHNNNNKRFHSETTQNNSFPIESPDQSIVSATPPTMASSSSKIISFDNMDARIKKPKTESGYGENLNFGSEYDRRENKAATAIPNRNLIQARDHVIAERKRRQKLNQKFITLSSILPGLKKMDKATILEDAIIHLKQLKERVESLEEQVADTKVESAVFVKRSILFADDDSSSSFDENLDQSLPKIEARVSGKDMLIRIHCHKQSCRTSTAILNKLEKHHLSIQSSSVLPFGSKYIDITIVAQMSKEYCSTIKDLIRSISQVLKQLA >CAK8563956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642081292:642081699:-1 gene:gene-LATHSAT_LOCUS17309 transcript:rna-LATHSAT_LOCUS17309-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIGTVKHIVITKFKDDISQERIEELIKGFANLVNLIPTMKSFHWGRDISVDNMQQGFTHIFESTFDSLEAVAEYVAHPAHVEYANLLLPCLDKGVIVDYKPTFVSL >CAK8563955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642081292:642081747:-1 gene:gene-LATHSAT_LOCUS17309 transcript:rna-LATHSAT_LOCUS17309 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSYLISDKKGRRKMAEAIGTVKHIVITKFKDDISQERIEELIKGFANLVNLIPTMKSFHWGRDISVDNMQQGFTHIFESTFDSLEAVAEYVAHPAHVEYANLLLPCLDKGVIVDYKPTFVSL >CAK8561796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385795226:385796221:1 gene:gene-LATHSAT_LOCUS15352 transcript:rna-LATHSAT_LOCUS15352 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRVSINMLPQPESNIPLIGDWNTVYKGRKMQQLPASSAKKEDIASSSSNKTTSFKEVAVNNPPQEQMDYFENPVTEKIMYIDDEGIKINPNDGWSIKTRYLESRGYPGLHGKSRHNLEILPTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLTPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINQEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKNLSDNLITGQCPLLFFTKFQIPWIWRWPITISKNKFNIPILERNFFYKWWNKMSSKDVQNKIK >CAK8538448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482849778:482851553:-1 gene:gene-LATHSAT_LOCUS7640 transcript:rna-LATHSAT_LOCUS7640 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSLLHNLNFVGLFKPSTHQNPILSHQNQNPILSHQNPISSISLKPSPLRCSNSANVLAPSPSPPIRISRWMKIEARRGLLDYLHYTQSYTFVDAYFISHNSPHFIDSLIARVGVNDRDDEFAQDLKRYLMSHPINEFEPFLESIGIKQSELKLLLPKDVFSLRDDSVLVDNFHVLFNHGVPRNTMGKIYKEAREVFGYGSGVLSKKFENYEKLGLSKSYLVKLFVCCPLLLVGDEVDSQFVVVLDWLKRIGIDSQWFVSCMSSKRTYRWKTIIQCIEFLHQGGYSEKQMYDLFKAYPRLLLEGLGKKEYLVIGRLIKLGLEVNEICSCFREHPDMLSSLRMENLRLVIAFLYNIQMEQDAIAHVLYNYMHLLSIHSIKGYRTMSKELGVGKDSLCQMIQDDPLEFFTLALRPRQKKNVNEFYNDPHRHLEKTNFLRKLGYTDNSEEMEIAMKMFQGRGDKLLERFNCLVEAGLEYNTVVEMVKQVPSVLIFRKTVMQKKIDFFKNTLGYPIEHLVRYPRYFFQDSDKTFARFAMYEWMKERNGLNRELCMSTIISTTEKRFLKLVVNRHPEGPRAWRTIKSLSNKFEN >CAK8575698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:259231121:259233557:1 gene:gene-LATHSAT_LOCUS27938 transcript:rna-LATHSAT_LOCUS27938 gene_biotype:protein_coding transcript_biotype:protein_coding MTITQIFAAIFLLFALYCGLDPFNHSAIANFPNFEAKKVHMPAWSELPTDRDQHNLLQNSHVRFLNQIQGPESIVFDNLGRGPYTGLADGRILFLNDEHNWVDFAYTSPNRSLCNPLPIEAATPFSYVKTEHICGRPLGLRFHKQTGELYIADAYFGLMKVGPEGGLATSLTTEAEGVPLRFTNDVDVDAEGNVYFTESSEIYQRRSFIQLVFSGDDSGKVLKYNPTTKETTVLVRNVQFPNGISLSKDGSFFVFCEGLIGRLRKYWLKGEKAGTSEIIAVLPGAPDNVRVNKDGHFWVAIHCRRSMYAYLNGLYPRIRKVILKLPIPTKFQYLFQIGGKQQGVIVKYSPEGKLLQILEDSEGKVVRAVSEVEEKDGQLWIGSVLMPFIAVYKL >CAK8536995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:52180923:52182543:-1 gene:gene-LATHSAT_LOCUS6316 transcript:rna-LATHSAT_LOCUS6316 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTSKYVSISLSKTFLPYTLHLLLPIVLLPIVLLCLYFYPLYLTKSQTNELHYANVSSPPPPSYSVKDIHKVDDPSCDYFNGKWIHDKTGPLYNGTTCVTIKESHNCITNGRPDSNFLYYRWKPTQCNLPRFEPNTFLQLSKNKHISFVGDSLARNQFESLLCMLSSLSKPKPVHHKGAHWWHFESHNATLSVYWSPFLVQGNQRSELGPSLNMIHLDRVIGKWEKDMDGMDLILLSFGNWFNVPSVYYENGSVLGCLNCSGYGLNHTDIGFYVPLRKALRTSLNRIIARRVGKRNEVGVIVRTFSPSHFDGDWDKAGTCSKKEPYENGEKKIGEMESEIRRIEIEEVENAKVKARGFEGVRFEVLDVTELALLRPDGHPGAYMNPFPFGNGVPERVQNDCVHWCLPGAIDTWNEILLEMMKKWDLEGQEWSEL >CAK8564109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652344150:652345529:1 gene:gene-LATHSAT_LOCUS17446 transcript:rna-LATHSAT_LOCUS17446 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSKVILKSCYSIRPKEPTWRGCIPLSKWDQIGIITHVPTIYFYHPPQNWLTSPSKISTTLKDSLSKVLVPFYPLAGRLQWKENGHFDLECNSLGVQFIEAQSSLALSELNDFSPSSEYYRYLIPHVDYTVPIQDIPLIFVQLTNFKCGGVSIGLLVSHAVADGQSALHFTSEWARLARGETIKTTPFFDRSVVLLKSHCCNGVNEWEFNQPPLLLEESDIIEEKKQKSTVAIIKVSKAQLEKLRNTANEKSSNGRGFTRYEIMTGHVWRSACKARGHRNDQPTVLGVCVDWRNRVEPSLPKGYFGNATLDVMATSLAGDLVSKPLGYASSRIREAIEKVNDEYVRQVFDYFKKQEDLTKFQDLHAMIGNDNDDDDKGSFYGNPNLCVVSWLTLPIYGLDFGWGKEVHMGPGTHEIDGDSLLLPSHEDDGSLSVAICLQEVYMDAFKRHFYEDIV >CAK8531291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97688004:97690242:1 gene:gene-LATHSAT_LOCUS1094 transcript:rna-LATHSAT_LOCUS1094 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKLFIGGISWDTNEDRLRQYFQSFGDVVEAVIMKDRTTGRARGFGFVVFADPSVAERVVVEKHVIDGRTVEAKKAVPRDDQNVFSRSNSSSHGSPGPTPIRTKKIFVGGLASTVTESDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITFDSEESVEKVLHKTFHELNGKMVEVKRAVPKELSPGPSRGQLGGFSYGTMNRVSSFSNGFAQGYNPNLIGGHGLRIDGRLSPANVGRNGYTLFSPSYGSGLNFEPPLNENENLSNFVMGRALSSPYSASPSRYNNAMGYVGSNVGNNSNIGSTNQSLWSNGNLNYANNPANPDSFLGYGNESSSIGSFGNIGSLWSTSVGGTNQAGTIGSGYGKSNLNYRLGDVILGSKAVGYGKSSEGIVESDSSYAFSNGGYGEAYKSTYDAAPFYQDHTWNSTTSELEDPGSLSFGLENAVSDLMRKSSSGGHVGAYAVANRQSNRGIAA >CAK8579139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679174978:679175187:-1 gene:gene-LATHSAT_LOCUS31107 transcript:rna-LATHSAT_LOCUS31107 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIIAVVLFVILCPGLLFQIPGRGKIIEFGNMQTSGASILVHAIIYFGLITILLIAIGVHIYTG >CAK8564923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15657841:15660039:-1 gene:gene-LATHSAT_LOCUS18173 transcript:rna-LATHSAT_LOCUS18173 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFVLQELGQFVIKESCKFAVEERASVAGIERDFNYIKDELENIHAFLKDADRKASDDGGGGGGGSNGVKTWVKQVREASFRIEDVIDYYNMYLAEKASQSIFKSAIQMIPGLNTIMNTDHQIVSEIRDIKMSLARIKERSTRFEFRSDNEAGSHRGTKAPRIGDPRMAPYFIEETQVVGFESARDELVRCLVEGNKELMLVVVFGMGGLGKTTLAKHVFDNQIVKSSFDCRSFITVSQTYTIRELLTEMIKKFCKDSNEPIPRGLQKMDDEALINQVRQYLESKRYLVLFDDVWKDNFSDEIVHALISNNKGSRIIVTTRKMQVAEYSKSSFPVHVHELQPLSPNKAWELFCNKAFRGRCPTELEEMSREIVQKCGGLPLAIVAIGGLLSTKAKTMFEWEKVSRNLRMELDRNVHLTSLVKILSLSYDDLPYRLKSCMLYFGIYPEDYTINRKRLTRQWMAEGFVRHEEGRTLEEAAEECLTELIQRSLVNVSRGGFDGKVKSCQVHDLLREVIIRKMKDLSFCHLCHKDDEQVTVGITRRFSIAAISNNDVTNTSNSGIRAIFVFDKGESPKKLMDGLATKFKLLKVLDFENSLLNSIPDNLGNLFHLRYLNLSHTQVTVLPKSIGMLVNLETLDLRQTPVHELPKEINKLTKLRLLPAYYRKYEGHYAMLNFTIGVKMQKGIGSLKSLQKLYFLEADHGGNDLIQELKKLKQLRKLGIKHFSDG >CAK8572175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517202126:517202512:1 gene:gene-LATHSAT_LOCUS24768 transcript:rna-LATHSAT_LOCUS24768 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRYKEYDPFIMPHIVKQVYYVPYLSIQPCKRGWCVVIETKPLGHIEIDDLVEDVAYQDHEISQINDVGEVEQITNLCDTLAEGHQIDASVSLVENNVDEEQEKFGSEDNIELDDENNMDEEHEEFE >CAK8539200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506549156:506549965:-1 gene:gene-LATHSAT_LOCUS8320 transcript:rna-LATHSAT_LOCUS8320 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNEKNQSSLPTSNGNGIAIDAAENENENSKKPFKIFVGYDPREDIAFQVCRHSIIKRSSIPVEIIPIKQSDLRKTGLYWRERGQFESTEFSFTRFLTPYLANYQGWALFVDCDFLYLADFKELVDLIQDKYAIMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKILTPDTVNSQTGAYLHRFQWLEDDEIGSIPFVWNFLEGHNRVVENDSATLPKAIHYTRGGPWFEAWKNCEFADLWLNEMEEYLKQAKKESA >CAK8533998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681208715:681210985:1 gene:gene-LATHSAT_LOCUS3575 transcript:rna-LATHSAT_LOCUS3575-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSHNIKFAALSSTIPFSSPLNGVAYSSLLKLMAKYRVFSQMELVLESMKAQNLKPTFEALDNVICVYAEHGFVNRALQLFNTVRELHNCFPSVYANNSLLNCLVKNGKVDIALEMYDKMLRKGGYDGMDVVVDNYSTSIVVKGLCDLGKVEEGRRLINDRWGKGCVPHVVFYNVIIDGYCKMGNLRGATKVLKELKLKGFLPTVETYGALIAGFCKVGNFQVVDQLLKEMNVMGLNVNVLVFNNIIDAQYKHGLVEGASETLRRMDEIGCEPDITTYNILINSSCSDGGIKEAEEFIERAKERRLLPNKYSYTPIMHAYCKQGDYVMASDILFKIAESETGGKPDLVSYGAFIHGIVTIGEIDVALMVQEKMIQKGVFPDAQIYNVLMSGLCKKGRFPAAKQLLSEMLDQNLQPDAHVYATLVDGFIRNDELDKATTLFEVVMSKGIDPGIVGYNVMIKGLCKFGKMSDAVSYLNKMKVAHHTPDEYTYSTVIDGYVKQHDLDSAFKMFGQMMKQKCKPNVVAYTCLINGFCKKADMSRAEKVFIGMESFNLEPNVVTYTILIGGFCKAGKPEKAASFFELMLIRNCVPNDTTFHYLINGLTNITDNTFLIRKNEENGKSLILDFFATIISDGWSEVIAAYNSIIVCLCKHRMVDTAQLLQTKMLRKGFLMDSVCFSAMLHGLCQTGKSKEWKDVISGDINKIEFQTAFEYSLKLDKYLYQGRLSEASLILQTLIEDSKFSDQQDKDQWVTSLQ >CAK8533997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681208655:681210985:1 gene:gene-LATHSAT_LOCUS3575 transcript:rna-LATHSAT_LOCUS3575 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLHIVDQMNPPNFQNNEMIHSHNIKFAALSSTIPFSSPLNGVAYSSLLKLMAKYRVFSQMELVLESMKAQNLKPTFEALDNVICVYAEHGFVNRALQLFNTVRELHNCFPSVYANNSLLNCLVKNGKVDIALEMYDKMLRKGGYDGMDVVVDNYSTSIVVKGLCDLGKVEEGRRLINDRWGKGCVPHVVFYNVIIDGYCKMGNLRGATKVLKELKLKGFLPTVETYGALIAGFCKVGNFQVVDQLLKEMNVMGLNVNVLVFNNIIDAQYKHGLVEGASETLRRMDEIGCEPDITTYNILINSSCSDGGIKEAEEFIERAKERRLLPNKYSYTPIMHAYCKQGDYVMASDILFKIAESETGGKPDLVSYGAFIHGIVTIGEIDVALMVQEKMIQKGVFPDAQIYNVLMSGLCKKGRFPAAKQLLSEMLDQNLQPDAHVYATLVDGFIRNDELDKATTLFEVVMSKGIDPGIVGYNVMIKGLCKFGKMSDAVSYLNKMKVAHHTPDEYTYSTVIDGYVKQHDLDSAFKMFGQMMKQKCKPNVVAYTCLINGFCKKADMSRAEKVFIGMESFNLEPNVVTYTILIGGFCKAGKPEKAASFFELMLIRNCVPNDTTFHYLINGLTNITDNTFLIRKNEENGKSLILDFFATIISDGWSEVIAAYNSIIVCLCKHRMVDTAQLLQTKMLRKGFLMDSVCFSAMLHGLCQTGKSKEWKDVISGDINKIEFQTAFEYSLKLDKYLYQGRLSEASLILQTLIEDSKFSDQQDKDQWVTSLQ >CAK8533999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681208814:681210985:1 gene:gene-LATHSAT_LOCUS3575 transcript:rna-LATHSAT_LOCUS3575-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRVFSQMELVLESMKAQNLKPTFEALDNVICVYAEHGFVNRALQLFNTVRELHNCFPSVYANNSLLNCLVKNGKVDIALEMYDKMLRKGGYDGMDVVVDNYSTSIVVKGLCDLGKVEEGRRLINDRWGKGCVPHVVFYNVIIDGYCKMGNLRGATKVLKELKLKGFLPTVETYGALIAGFCKVGNFQVVDQLLKEMNVMGLNVNVLVFNNIIDAQYKHGLVEGASETLRRMDEIGCEPDITTYNILINSSCSDGGIKEAEEFIERAKERRLLPNKYSYTPIMHAYCKQGDYVMASDILFKIAESETGGKPDLVSYGAFIHGIVTIGEIDVALMVQEKMIQKGVFPDAQIYNVLMSGLCKKGRFPAAKQLLSEMLDQNLQPDAHVYATLVDGFIRNDELDKATTLFEVVMSKGIDPGIVGYNVMIKGLCKFGKMSDAVSYLNKMKVAHHTPDEYTYSTVIDGYVKQHDLDSAFKMFGQMMKQKCKPNVVAYTCLINGFCKKADMSRAEKVFIGMESFNLEPNVVTYTILIGGFCKAGKPEKAASFFELMLIRNCVPNDTTFHYLINGLTNITDNTFLIRKNEENGKSLILDFFATIISDGWSEVIAAYNSIIVCLCKHRMVDTAQLLQTKMLRKGFLMDSVCFSAMLHGLCQTGKSKEWKDVISGDINKIEFQTAFEYSLKLDKYLYQGRLSEASLILQTLIEDSKFSDQQDKDQWVTSLQ >CAK8572650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553439169:553439903:1 gene:gene-LATHSAT_LOCUS25187 transcript:rna-LATHSAT_LOCUS25187 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSTSSSSSSNSRRRTHNNSSNLSSSITHQNIDEQQHLHPDLNLGLSISTRGHWQPFQQQQGGEVNDCGDYGSFFVKVYMEGIPIGRKLNLLAHNCYQELVNTLEHMFDTTILWGTEMDGMQSERCHVLTYEDGEGDLIMVGDVPWEMFLSSVKRLKITRVDAFGC >CAK8534878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:781782780:781783937:-1 gene:gene-LATHSAT_LOCUS4383 transcript:rna-LATHSAT_LOCUS4383 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNKKVSIYVSDDIVLSILSKLPLKSVNRFTCVHKCWSLLFENSCFVNMFYKNMVSKYQSLGDEEKCLLTNYFDSTNWQWKLHVLSLETFESKMQLNFPHPFKPDLYRSPSPSTLVSYADGVLCIYDRYHSDIALWNHVTAELNIIPRSIAIRKEGSGMHEEFRFYKEFHINTIIHGFGYDQIKDDYKIIQYVKGRGCWEDRLPYPLWEIYSLKRKFWKRLYLDDMPTRSGMCDPLSFNGVCHWCGYIRDETYVVSFYFNTETHVTTPLPKNMQDLDCSEIYLILLNGYVAMISSYVLEDRVSIAILTELGVMESWIKLFDFIPSSNMYYPIGASKNGDLLYKHKDQLISVDLTTGIVLNIDLNKDIKDVLGEKESRSNMLVY >CAK8531093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80132934:80134055:1 gene:gene-LATHSAT_LOCUS906 transcript:rna-LATHSAT_LOCUS906 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNVAMLVSSKPSLPDASNLSFRSAFNPFQLPSQNSSSCTPSRPASIQCGLRDLRNRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYSINEQLQTEDVESPLTKLRPVKKVALVVCTGDRGLCGGFNNAILRKAEIRIAELKELGLEYTVISVGKKGNSYFNRRPFIPVDRFLVGGSLPTAKEAQAIADDVFSLFVSEEVDKVELLYSKFVSLVKSNPIIHTLLPLSPKGEICDINGNCVDAAEDELFRLTTKEGKLTVERDVIRTKTEDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMTAMSTAYDNASDLRDDLSMLYNRQRQAKITGEILEIVAGADALS >CAK8561458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:228648374:228648694:-1 gene:gene-LATHSAT_LOCUS15047 transcript:rna-LATHSAT_LOCUS15047 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGVASIIAGCFTHSLDLIKVRMQLQGENAPKPNPVQNLRPALAFGQTGTTSIHVGPTPVIQPRVIPISVGVRLVQQEGVRALFSGRHFTPPGWVSLTS >CAK8574392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679739437:679740789:-1 gene:gene-LATHSAT_LOCUS26745 transcript:rna-LATHSAT_LOCUS26745 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLKVLCVLCLLYSISKLFKMFVERRSESCYILAYECYQPKEERKLNTNILARKVFTKKNLGLEELRFLTKTMARSGIGEETYVSKNIIEGRETCPTLKDTHEEIDEVMFDTLDKLFKKTCFSPWEIDILVVNLSLFSPIPSITARIINRYKMREDVKVFNLSAMGCSASLIAVDLVQQLFKTNENSLAIVFSTQQIGSHWYSGKDRNMMLSNCLFRSGGCAILLTNKAELKNKAILKLKEMERTCFSSDEAYNCCIRMEDEEGIEGARLTKSLVQCASEALTLNLQSLVPRILPLWELLLISTGMAKLKFKRRIQHFCIHPGGRAVIDGVGKGLKLNEYDLEPTRMTLHRWGNTSSSGIWYALGYMEAKKRLNKGDRILMISLGAGFKCNTCVWEVMKDVADTNVWTDSIEKYPPPLHNNPFEETFNWIHDQQLNFVRFDFSTIKID >CAK8537528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:387264657:387281717:-1 gene:gene-LATHSAT_LOCUS6817 transcript:rna-LATHSAT_LOCUS6817 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEPTLVPEWLRSAGSVGGAGNSAQHFASSTNHIDSHSPSSVHNNRNRSSRTTSDFDNSRSVFLDRSSSSSYRRGSINGTAKHAYSSFNRNNRDKDRDREKDRSNFGDHWDRDGSDPLANLFSGRVERDTLRRSQSMVSRKQGESLPRRAAGDTKSGGSSNHNNGNDVLAGGSVGSSIQKAVFDKDFPSLGAEEKQVIAEMGRVSSPGLGATASQGLPVGSSALIGGEGWTSALADVPSISAQQTVTATSGSVSSSTSAGLNMAEALAQAPSRARSTPQVSVKTQRLEELAIKQSRQLIPVTPSMPKALALNSSEKSKSKTAIRNAEMNVATKSMPQQPSALHIASQSVRSVNAKVDVPKTSGKFIDLKSVVWENGASPTAKDVTNLTNYAINKLANQHAVASAAAPAPVKNPNNLKTPTERKPAPLDLKLGSTLDKKQSISQVKSRNDFFNLLKNKSATNSSTVLPDSGQMVSSPTLENSAEVNRESAMPSANPQSVGTGAELTSNGNAHAHEKPCKISDDEEKDSISRATIYPDEEEVAFLRSLGWEDNSDEDEGLTEEEINAFYQECKKLDPSTLKLCIEGMQPQLSKLFDSCASDLRGASSKLNSSDPRSEA >CAK8578994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666314853:666315723:1 gene:gene-LATHSAT_LOCUS30971 transcript:rna-LATHSAT_LOCUS30971 gene_biotype:protein_coding transcript_biotype:protein_coding MVGACCRAAPPISHLPLPNPKTNKLQNFQHTKSSPPPSLSMSQFPNRRLFLFSLPLSSLIFLPFPAFSEDNSVIATEYDPVTLSERDASALISQRVSRGVELLEKGRELQALGDFNGALQYFSQVIESYKDLAFSEYARVGRALALYEVGDREEAIAEMEDVSISLKGYPEVHAALAAALYSDKHAALLAENQFTIATLLDPHFTDLSYVRDTKHWPPSLISSLQHFITLS >CAK8568944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:658124267:658124820:-1 gene:gene-LATHSAT_LOCUS21851 transcript:rna-LATHSAT_LOCUS21851 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDSVEEETELQSYIAGKCLTFNEKNKEKFDILCWWKHNVGQYPILSQLVRDIMSMPVSTVTSESAYSTSGRVLEAYRNSLKSEMIEALICIQNWLRHVFYQFKDMEFNEEYEISEYALLGFTQTSAGSGAPSSSHTQSQTSLCA >CAK8566975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477203629:477204279:1 gene:gene-LATHSAT_LOCUS20069 transcript:rna-LATHSAT_LOCUS20069 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTMSDPPNDFFQFYHQNFPNQTPPNYTTIATNTTPATTLPPETINPTSPTSATNLGPDGRVSKPIRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPIAPFAAAASSSPPNFSTLAGLGLGPRGSSHPMNQTMMSHPLYQHPQQVQQYQQHYNNMYSGTGTGNVNTHVGGDENLFFQRLISNPRPQNNDSNINNADIHGGGGEGGGFYPSTSS >CAK8566801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464035719:464038054:-1 gene:gene-LATHSAT_LOCUS19908 transcript:rna-LATHSAT_LOCUS19908 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNKSTLASHFRSTSQNTESRRQFHVEPGTREKALLAEDSALKPFKSYKQSVKRLRKIGDVLTIVVVAGCCYEIYVKAATREEARKQ >CAK8572040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504017215:504017513:-1 gene:gene-LATHSAT_LOCUS24648 transcript:rna-LATHSAT_LOCUS24648 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPGSRAHVGLTGLTVAEHFRDAEGQDVRLFIDNIFRFTQANSEVFALVGRIPSVVGYQPTLLFCPVL >CAK8566104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380077557:380078504:-1 gene:gene-LATHSAT_LOCUS19267 transcript:rna-LATHSAT_LOCUS19267 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKIPKLPPGPKPWPIVGNLPEMLASKSPTKWIHKTMEEFNTDIACIRLGNVHVIPVNCPTIAREFLKKHDVEFASRPITMATDIISDGQLTTILVPYGEQWKKMKKIIVKELLSPFRHQWLQDKRIEEADNLMFYVYKKCNNSELVNVRIATRHYCGNVFRKLFFSTRYFGKGMKDGGPGVEEIEHVDAAFELLNHIYAFSASDYIPWLRLFDFDGHKGKVNNAIKIINKYHDSLIQQRITQWKGGSKNVEEDLLDVLMSLKDVKNNPLLTMKEIKAQIIVRFHSHFSIYFVPLPTLLYYFLFTDSLVYSNLN >CAK8542492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520360759:520362028:-1 gene:gene-LATHSAT_LOCUS11331 transcript:rna-LATHSAT_LOCUS11331 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPSLLSLTIDSAVHNLSDISDLSPIPDHILLDLFLRILKAGKLTEKVLKLFIATGKDEVISLVQALNIRHIITPVLPTRCSEKF >CAK8570131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24888023:24889912:1 gene:gene-LATHSAT_LOCUS22909 transcript:rna-LATHSAT_LOCUS22909 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKCYLDVILVPLSFLISIGYHLWLWHKVRTQPHTTIVGINASGRRNWVNAMMKDNEKKNILAVQSLRNTIMGATLMATTSILLCSGLAAVISSTYSVKKPLNDAIYGAHGEFMVALKYVTLLTIFLFSFFCHSLAIRFINQVNILINTPQDPMSLVTPEYIFEILEKGFILNAVGNRLFYAGLPMLLWIFGPVLVFLCSLTMVPVLYNLDFVYPTKKGNMNVDRKEDFV >CAK8564513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679090064:679092471:-1 gene:gene-LATHSAT_LOCUS17813 transcript:rna-LATHSAT_LOCUS17813 gene_biotype:protein_coding transcript_biotype:protein_coding MANASVVLAKEEEEQHNLTLYGYWRSSCSFRARIALNLKGLKYDYKSINLLKGEQTHPEFLQLNPVGFVPVLVDGDSVIFDSFAIIMYLEDKYPQHPLLPTDIHKRAINFQAVSIVSSSIQPLHNLNLLKYIEINVGPDEKLPWVQSVIRKGFTALEKLLKEHTGRYATGDEVCMADIFLAPQIHAATNKFNIDMNEFPILSRLHETYYDIPAFREASPENQPDAVG >CAK8564514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679090064:679092471:-1 gene:gene-LATHSAT_LOCUS17813 transcript:rna-LATHSAT_LOCUS17813-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANASVVLAKEEEEQHNLTLYGYWRSSCSFRARIALNLKGLKYDYKSINLLKGEQTHPEFLQLNPVGFVPVLVDGDSVIFDSFAIIMYLEDKYPQHPLLPTDIHKRAINFQAVSIVSSSIQPLHNLNLLVKYIEINVGPDEKLPWVQSVIRKGFTALEKLLKEHTGRYATGDEVCMADIFLAPQIHAATNKFNIDMNEFPILSRLHETYYDIPAFREASPENQPDAVG >CAK8564225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659542332:659544468:-1 gene:gene-LATHSAT_LOCUS17548 transcript:rna-LATHSAT_LOCUS17548 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLCFSCLLIIFVLVVQMQKVSPASKEEVIKLRVGVPKKDGFTQFLKVVWNSRENKYNVSGFCMDIFNAVLGKLTFKVSLQIEPYVNEFKSSAGSYDSLIQQVPAKYDIVVGDVTIVANRSQFVDFTLPFTESGVRMLVSAQHGRYQTMWIFVRPFSWQLWLSILLISMLIGSVILIMERNVKTLPDDHHDDHLSFKKQLSAITMLWFPLSQAVLPERKVVANNCSIFVLMVWLLLAFVLMQSYTANLTSILTLDQLQPSFMDVNDLKKGDHYVGYQDGSFVYNLLVQQLKFNPLKLKAYRTISSYHHALKLGSKNGGVTAIFGEEPYLNVFLQKVKSNYIITGPTYRTGGFGFAFPLNSNLTAHFSRAILNVTQNHEFMEKIEKKYFGKSIEGLQQQSSQISSVSQSLTFHSFAGLFLITIISTLLALFISETFYFWQRSISMMKEYCWRCFFHSPPPTQEINQQMTTPSRPYYKKSFTF >CAK8565479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233574358:233574825:-1 gene:gene-LATHSAT_LOCUS18693 transcript:rna-LATHSAT_LOCUS18693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8570268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:32085677:32093174:-1 gene:gene-LATHSAT_LOCUS23031 transcript:rna-LATHSAT_LOCUS23031 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTQPQFRYTQPPSKVLHLRNLPWECIEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADINQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTAADVAGNVLLVTIEGEDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPSYLLPGHVGPCTLKITYSGHSDLSVKFQSHRSRDYTNPYLPVAQSAMEGNGQSVMGLDGKRLEAESNVLLASIENMQYAVTLDVLHTVFSTFGPIQKIAMFDKNGGLQALVQYPDVQTAIVAKEALEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTMPTAPPPVMNAQPSISGQQQAPMTGPPAQQYNTTQYAPTNNQNFIPQSQAGWGAAPPPQHAMQRMHHNPYMPPGTMPPQAGPGMMQYPGHYQ >CAK8561699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:358201649:358203596:1 gene:gene-LATHSAT_LOCUS15264 transcript:rna-LATHSAT_LOCUS15264 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNVRKQALERSPSFKDTQKILNQSFFHNRNNHLKKVYPIGLQKSTSSLSLSSVSLSLSQNSNDSSQADSLTPLDEKISLALRLISASSHERRESTVAKTIHQQSSPVVITEPGELKRCNWITKNSDKLYIEFHDECWGVPAYDDNKLFELLAMSGLLMDYNWTEIIKRKEPLREVFAGFDPYIVAKMEEKEIIEIASNKALSLADSRVMCIVDNAKCIMKVVRECGSFSSYIWGFVNHKPIINKYKYPRNVPLRSPKAEALSKDMVKRGFRFVGPVIVHSFMQAAGLTIDHLVDCYRHSECVSLAERPWRHI >CAK8577388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559525016:559529047:-1 gene:gene-LATHSAT_LOCUS29501 transcript:rna-LATHSAT_LOCUS29501 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSLCVAKFPISVKSVKSQLPTVKTKESMAESTAPKWAQKTIALPPYKRGCHLVTPKIAKEIEQDLSGFKCGLAHLFLQHTSASLTINENYDTDVRDDTETFLNRIVPEGSSAPWKHTLEGHDDMPAHIKSSMFGCALTIPITNGKLNMGTWQGIWLCEHRDHPTSRRVVVTLNGI >CAK8567032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480997433:480999481:1 gene:gene-LATHSAT_LOCUS20122 transcript:rna-LATHSAT_LOCUS20122 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKGEALKAIENAEKRFAHRDFVGAKSYALKAKTLCPGLEGISQLVTTFEVYIASQVSCNGELDWYSIMGLKPSTNIEAVKKQYKKMAGLLHPDNNKCVGADGAFHLVSEAWSRLSGSYDMKRNAQVGAGHGFNHNGLSSARASGGNQETFWTICTSCKVQYEYLRKYINKKLSCKNCRGIFIAVETAPANGSFPYCPWSYGSSSGYGSHLYDGVSYPPSNGTYFNGNGVTGYHSAHGYEFVSNVPYQLNSAGYVNQNGSSTSPTCQANGNVKRGRPKVNSEADMKHCMTETLVNINSVVSFSQNEPQEVKPSRPEKKRKVLGASLRNGHEGKGSKCASESALANVNGSVGHGQKLSSTSEVPTKQYSMAPAFDARKLLIEKARTEIRKKLEEMKLASEAAAAANERKKSQADVDQVEGGAYIKAALNVSDNQLELRKTVPVTITVPDSDFHDFDKDRSEPCFKPKQIWALYDEEDGMPRLYCLVREVVSVNPFKINICYLSSKTDSEFGSVNWLVSGFTKSCGNFRAMTSDIVDQVNIFSHVLSRVKAGRGGCVRIYPKCGEIWAVYRNWSTDWNHSTPDEVRHQYDMVEVLDDYSEELGLCVSPLIKLDGFKTVYKRNADKSALRWIPRREMLRFSHQVPSWLLKGEEASNLPDKCWDLDPAATPDELLHAAIETNAS >CAK8571538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:436015487:436017261:-1 gene:gene-LATHSAT_LOCUS24189 transcript:rna-LATHSAT_LOCUS24189 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRLSTRASFLSSIANRYLSSELNSHAPLRLVPTRGEDQKNSHVRWVFLGCPGVGKGTYAGRLSNLLGVPHIATGDLVREELASSGPLSSQLSEIVNQGQLVSDEIIINLLSKRLVAGEAKGDLGFILDGFPRTINQAEILEGVTDIDLVINLRLREDVLLEKCLGRRICNQCGGNFNVASIDIKAENGSPEIVMAPLLPPVNCMSKLITRSDDTEVVVKERLRIYNELSRPVEEFYRNRGKLLEFNLPGGIPESWPKLLHALNLDDYEDKHSAAA >CAK8560773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41400981:41402227:-1 gene:gene-LATHSAT_LOCUS14423 transcript:rna-LATHSAT_LOCUS14423 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDWDLYAVVRGCTTATSTTTTTTTATTTAATTTSTIPSSSYFSIYPAEQSGQVLSISNPYESKSSVGELHELCKPFFINSQPLSSNSFSYSSPSPKSPNTQLKQQQQQLNKQHHHSASATTPRSKRRKIQHKKVCEVQAENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNKSDPTMFIVTYTGEHSHPAPTHRNSLAGSTRQKPSSPQTVTAEDSSQPFTKQVSPSTSEAEEGEATPSKSESREDLEDLMNDDEENEFELSDMVVTDDFFEGLDELTGFAGKTVASSGGDCFGDPFAASIALPACGGQ >CAK8560392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17137385:17140068:1 gene:gene-LATHSAT_LOCUS14068 transcript:rna-LATHSAT_LOCUS14068 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSASSTLPLTNFVPFTSQISTTPFGSSFLFNNRYTSNVSVFVCHAKKKISFVDRILDYIEGGPKLRKWYGAPSLFEKDATSTSDDASEDYPEDEVRDAVLVTDGDSEMGQMVILSLIVNKSRVKALVKDKRVALEAFGNYVESMVGDTGDNRFLKKALRGVSTIICPNEGFISSAGSLQGVKHVILLSQLSVYSGKIGIQSMMKSNAKKLAEQDESVLRSSGIPYTIIRTGELQDTPGGKQGFTFDKGCAGSERISKEDAAFVCVKALEFVPQTGFIFEVANGENKVSDWKECLAALMEKSSQQPLP >CAK8560232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11302402:11303022:1 gene:gene-LATHSAT_LOCUS13923 transcript:rna-LATHSAT_LOCUS13923 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKTTTSTTSSETTTTSNSSTSSSLSSSPHSTYHGVRKREWGKWVSEIREPRKKSRIWLGTFSTPEAAARAHDVAALTIKGKTAILNFPNISNMLPIPATSAPRDIQAAATAAAAMVEFDEPVVHVTEQCCSDEFEEEEEEELGQIVELPKINEECEDDHSAAAEFVLLDSADSDWFYHQTPTNGFEGIEFYATFSDDFSVPIWD >CAK8568297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591803274:591803579:1 gene:gene-LATHSAT_LOCUS21269 transcript:rna-LATHSAT_LOCUS21269 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEIASKDVYRKRKSSGLCQSDRVAWERMTGIQQMDKNNSLLFQFLWNGCLHALLALCFDESGCPWVMH >CAK8564414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672704522:672705760:1 gene:gene-LATHSAT_LOCUS17721 transcript:rna-LATHSAT_LOCUS17721 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLPLMMLTTMVIMAMPLSFVVEGNQLSYNYYKNSCPNLESLIKKELMRLFLTDITAPSAFLRLMFHDCQVQGCDASILLDTNYATDGSEMTSSKNFGIRHRETIGYIKSILEEECPGQVSCADILILAAKVSVSVSGGPLIQVPLGRKDSTTSNPKEADVKLPSPTITVDEFISIFKSKGMSIQESVSILGAHTLGVGHCLNIVGSLYNKDIRDNMDLKFKTLLTLACPTEIPLTNLTVVPIDITPIIFDNQYYRDILRGKPLFGIDSRITRDPRTAPFVMRFAMDQRYFFEAFSSAFVKLSSANVVTNMQGEVRRKCNQVN >CAK8577451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564779850:564780710:-1 gene:gene-LATHSAT_LOCUS29558 transcript:rna-LATHSAT_LOCUS29558 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSCDINKNKGTWSQEEDQKLIDYINKHGEVCWSTLPQAAGLLRCGKSCRLRWMNYLRPDLKRGNFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIRKKLNSKGVDQSNHGLNHKILPLQNSLMSNSSKSCGLKEICKNKTQVENYGEVSNASSGEDIESCALLDINLELSL >CAK8537801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436093789:436095405:-1 gene:gene-LATHSAT_LOCUS7055 transcript:rna-LATHSAT_LOCUS7055 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQMQVLNALDVAKTQRYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGATKPGTLPPNVSAAVNGVAFCGTLLGQLFFGWLGDKLGRKKVYGMTLLIMVISSLGSGISFGHTPKQVMTTLCFFRFGLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAAGIFAIIVAAIFESNFRSPSYAVDPLGSTVPQADYVWRIIVMVGALPAALTFYWRMKMPETARYTALVAKNTAQAVADMSKVLQVEIQADATPEKEEKEKFGLFSKEFLTRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAVGWIPPANTMNALQEVYKIARAQTLIALCSTVPGYWFTVALIDRIGRFTIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVLYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGAFGFLYLAQNEDKSKADAGYPAGIGVKKSLFVLGAVNIAGFFFTFLVPEANGKSLEEMSGENEGEVEANGELEQSPSRNNRTVSLV >CAK8540792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21741385:21741841:-1 gene:gene-LATHSAT_LOCUS9762 transcript:rna-LATHSAT_LOCUS9762 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNLLSITLFLALAFQAYGQPCSLSNIEVKQTKTSGSVWNVTVSNNCICTQTNVKFNTKGFKSSTPVDPAIFSQDGLLIQGAPFYGFKSVTFTYTSPSEFKFTPISSQVACS >CAK8559992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3119327:3120793:-1 gene:gene-LATHSAT_LOCUS13716 transcript:rna-LATHSAT_LOCUS13716 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKVCIAMIPCPGISHLIPLIEFAKLLVLHHKNFHVTFLIPTLGSPTPSTQSILNSLPPNIDFTFLPQINIQDLPPNIHIATQMKLTVQRSLPYLDQAVSTILSSTKSKNNLVALVFDVFSSDVIDIAKKFNLMTYFFAASSVIAHQFCLSLPKLDETVSSEFLDTTKTFDIPNSNVSFKVKDFPDPVLFKRSSETYKAFILLCQRLFLVDGVIINSFTDLEPDAVKSSQDFVPAYPVGPIIQRESKSRENELECIRWLNKKPSKSVLFISFGSGGTLTIEQINEIAFGLELSGCNFLWVIRVPNKISSSAYFSGSSQKGNSNSNSDFDDDPFNYLPLGFLERTKDQGLVVPSWAPQVEILSHGSTGGFLSHCGWSSCLEGLVYGVPMIAWPLYAEQRTNAATLTDVFKVAVRPRIDEQDGIIKREEVARVIKIIIDGESEGLKLRTRIEEVRDGAVAAWSEGGSSRMALSSLVIKWEEKVSQKVMD >CAK8567759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542159891:542160662:-1 gene:gene-LATHSAT_LOCUS20782 transcript:rna-LATHSAT_LOCUS20782 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRKNLKRASEEKHVTLGDGQCIMQVVSLRGSNLIEVMDASGEKSLALFPAKFQKSMWIKRGNFVVVDESGKKEALESGSKVGCIVSQVLFYDQLRALKKSAEWPEIFKDDLNERVAAQQENETDTDDDDDGLPPLEANTNRLRPFEPEDSESASDSDDHFVGSHSSTKTL >CAK8536507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948342891:948344697:1 gene:gene-LATHSAT_LOCUS5869 transcript:rna-LATHSAT_LOCUS5869-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLENPLENFHDLPHSQCVSSLFLIESDHIPPPTYFQTLKSNHFHASLRTHFISFISQLSCTFDPFVTYLAINYLDRFLANQGIMQPKPWANKLVAVTCFSLAVKMLKTDYSATDVQGLLNHDEDGFIFETQTIKRMEALVLGALQWRMRSITPFSFIPYFTNLFNLDDNITLKVLKDRASEIIFKSQKDVKVLEFKPSIVAASSLLYASHELFPFQYPCFLGTISNSSYVNKESFMECYNLIQDISKEEYESMFNANSSSGTPVNVLDENFLSLESEKTNGTNLDPTTMIQEKHFKRRKI >CAK8536508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948342891:948344697:1 gene:gene-LATHSAT_LOCUS5869 transcript:rna-LATHSAT_LOCUS5869 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLENPLENFHDLPHSQCVSSLFLIESDHIPPPTYFQTLKSNHFHASLRTHFISFISQLSCTFDPFVTYLAINYLDRFLANQGIMQQPKPWANKLVAVTCFSLAVKMLKTDYSATDVQGLLNHDEDGFIFETQTIKRMEALVLGALQWRMRSITPFSFIPYFTNLFNLDDNITLKVLKDRASEIIFKSQKDVKVLEFKPSIVAASSLLYASHELFPFQYPCFLGTISNSSYVNKESFMECYNLIQDISKEEYESMFNANSSSGTPVNVLDENFLSLESEKTNGTNLDPTTMIQEKHFKRRKI >CAK8565555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:276525462:276527972:1 gene:gene-LATHSAT_LOCUS18756 transcript:rna-LATHSAT_LOCUS18756 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKQKMVMDLIVLSLMLFALGASGWTGEIHGRVVCDICGDSSLGPEDHVLEGAEVAVLCITKSGDVLNYQAFTDAKGIYTVAETMPESDRWDACLSRPISSFHDRCTNLGSGSVGVKFSYNHPSGYSHTVRTFVYRPVDVPSYC >CAK8561849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:394044692:394045510:1 gene:gene-LATHSAT_LOCUS15403 transcript:rna-LATHSAT_LOCUS15403 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGYLKRQLSVTRAIGDWHMEGMKGKDGGPLNATFTT >CAK8530224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8406353:8409556:-1 gene:gene-LATHSAT_LOCUS108 transcript:rna-LATHSAT_LOCUS108 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGNQDVQQEEQRENNGDGCSRCSVVLRLFSFKCLFILFFSLAAFVSGVFWILPKHNSTELSFDAKDVIKHSATVQASFRLEKPASQLIPYIEKLQDDIFGEVALPNTKVAILSIHQSVAPSSSDVVFGVLSDPMNIPINPVYLSVLRSSLIELFLQQTNLTFTTSVFGNASLFEILKIPGGLTVKPVQSASIWQIPEILFNFTLNNSISEVLDKFDDFEEELKLGLHLRSDENVYVHITNAHGSTVARPVVVQASVMRGFESLLPQRLKQIAQTIRRSARKNLGLNNLVFGRVKEIRLSSLLKDTLHAHPPAPAPSPQLVDHSEPLIPPYHAPSHSPITPTTSEKPPCFDCEVFSPSPSTVTEYPADPCPYNCFKLLQDEEKSKKLSRILAPSSQSSAGGVFHTEILLMGFCLLLVSFVFINDITFQ >CAK8573759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635369878:635371479:-1 gene:gene-LATHSAT_LOCUS26167 transcript:rna-LATHSAT_LOCUS26167 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSQSRPFSIKLWPPSQNTRQTLVDKMITNLTTRSIFTQKYATLDREEAEENAKRIEDVAFVTANLHYEKEPDGDGGSAVQLYAKECSKLLLEVLKREPSKNSDNEVVLPDDTAVPRESVFDISKGKRAFIEAEEARELLKPLTEPGNSFTKICFSNRSFGLEAAEVAQPILTSLKGQLKEVDLSDFVAGRPEAEALDVMRIFSTALEGSILKYLNLSDNALGEKGVRAFGALLKSQSYLEELYLMNDGISEEAAQAVSELIPSTEKLKVLHFHNNMTGDEGALAIAEVVKRSPLLEDFCCSSTRIGEEGGVALSEALGNCIHLRKLDLRDNMLGVEGGISLSKALAKNAELREIYLSYLNLEDEGAIAIVNTLKESAPHLKVLEMNGNEITVDAVPAIVDCVASKKFLAKLILSENELKDEGAIQISKALEGLDQLKEVDLSSNEISSDGARQLALTVVQKADFKLLNINGNFISDDSIEELKVIFKKSPDMLGPLDENDPEGKGGDGESDEEGSVEDELESKMKNLVVD >CAK8566379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415278585:415280190:1 gene:gene-LATHSAT_LOCUS19512 transcript:rna-LATHSAT_LOCUS19512 gene_biotype:protein_coding transcript_biotype:protein_coding METQKSQVKLTRTQSSLLNLSSSPTIRSSFQSLSSINDFYDEEDDKNNKKKIKKPQKSSYYSNSSPRSGSTRITAPVIAVVSFGFLGACSFFFYFSFLGSDEVPTSENLLLALIFIAVALYFASRNKGLINHGISVVKQWYDGNVKRFGLTKTESKPVQWFIGGSTTTKATTAKKKSVREGVEFYSNGDFYEGEFHKGRSNGSGVYNYFVNGRYEGDWVDGRYDGYGIESWARGSRYKGQYRKGMRHGYGVYRFYTGDSFSGEWSNGQSHGMGLQTCSDASTYVGQFKHGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGAWHDGRRQGIGSYTFRTGDRRCGEWDAGNLKHSLPPPTDVVLRAIEAARKTAADAINLKSVDDQVNNAVIAANKAATAARVAAVKAVQNRMDGKFCETFV >CAK8575753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:308210146:308226021:-1 gene:gene-LATHSAT_LOCUS27989 transcript:rna-LATHSAT_LOCUS27989 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSDETVSEDADIPEDKTTTNDSPDPAAGIEDGATLDVSGKNLEFPAPENSKDDAVESLYMYKNVYSLIPKSVGCLVRLKTLKFFGNEINLFSPEFSNMTRLERLQMKVSSPGIGGLPLHKLKGLKELELSKGPSRPSAFPILTEIAALKCLTKLCICHFSIRYLPPEIGCLKNLEYFDLSFNKLKTLPSEISSLEVLKTMKVANNKLVELPLAMTSLSRLENLDLSNNRLTSLGSLVLSSMNRLQNLNLQFNKLPSIFQTPSWICCNMEGNAGDRSKDNYSSSSVEMDVYESNLQENEETFSHGPHNTSSSVLTNSSSNSRCFSAWKSGKRWKRRHYKQQKAHQEQKARQERLNISRKWKGVDQDQLLSKKIHRISEPENLDTLVSENCTETVSDNRSLDDNHKKIFSEEAVHDNLIDSVDNDEEIVEKQFSQEDCCTADGKDEIDASLCSLDNGRSEHDGASCSVFSKSSFKSKRHSDRDLDNPKPRKSRKPISDGSLLSSKYSKISFCGTEDHLSDGFYDAGRDRPFMPLESYEQNQCLASREVILLDRQRDEELDAIILSAQALVSNLKQSNRLNKPGSQGEVDNLQTASLLALFVSDHFGGSDRGAIVERTRKSVSGSNYNKPFVCTCSTGSSTSINAATEPVVNAIENIDLSKISEKSLDSIKKSRNSIIVPIGSVQYGVCRHRALLFKYLCDHMDPPVPCELVRGYLDFSPHAWNIILIKRGVTWVRMLVDACRPHDIREEKDPEYFCRYIPLRRTQIPLSTSIHPSPDYSFPSLSNCDELEKKALTTLVRCKYGSVEAAVKMRTLEVQESSADKIKNFECNSLGEIRILGAFKHPCIVEIYGHQISCKWTISADGNPEHRVLRSAIFMEYVEGGSLKTYLEELSKAGEKHVPMELALYIAKDVSCALSELHSKHIIHRDIKSENILFDCDRKRDDGTPTVKLCDFDSAVPLRSPLHACCIAHVGSPPPCVCVGTPRWMAPEVMRTMYEKNTYGLEADIWSFGCLLLELLTLQIPYFGIPDSHVHDSLQMGKRPQLTNELEALSSINEPTMIQSGEEQEKSDADTDTLEFLVDLFHRCVEENPNDRPTAEEIHEMLLGHTRHLQVQNVGEI >CAK8564928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15809235:15810254:1 gene:gene-LATHSAT_LOCUS18178 transcript:rna-LATHSAT_LOCUS18178 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKKLVDEVSGWLRIYDDGSVDRTWYGPPEVNFMIEPVAPHEHFIDGVAIRDVTMNTITDDNFIHRARLYLPEKTPKENEKLPILVHFHGGGFCISEPDWFMYYKVYTQFVKSTRSICVSPFLRRAPEHRLPAATDDGLATLRWLQYVAKGDTRDEWLEKHGDFDRVFLIGDSSGGNLVHEVSARASTTDLRPVRLAGAIPIHPGYVRSERSRSENEMPESPFLTLDMLDKFLSLSLPIGSNKDHPVTCPMGEAAPPLAGLKLPPYLLCVAEKDLLRDTQMEYYEAMKKANKEVDLFVSKEMTHSFYLNKIAVDMDPTVNAEMNALIGRVKDFIEKH >CAK8530787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49897425:49900361:1 gene:gene-LATHSAT_LOCUS628 transcript:rna-LATHSAT_LOCUS628 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPYILAKRYDWKGFLDFFLKRKELLSKQIDLHQSTPFHYAAHCGSSEMYDKMLAMVDPLEMQHVLRMQDDMENTPLHEVAFTGEVEMTKSILKREKESMSEQFPLPLLEMRNKLGETPVYRAAALGKTNLIKCFVEELGVDLRDHFHRSGDRMSILHTAVIDQFFGTALWLLKRYDELAYQREDNGLTTLQLLAKMPSAFKSQTQMGAFKNFIYPLLPDYQDYAYYLPDEDDTIEGQDLETGQKNLNEPYQTQWKPPPQIHHTKLSGFSWMWYTMWKVLSKEWKGIEKLWRKKEKHNLVQELVHLLAKNDKSWKYSSIARDRPVSMGRAHHIVEGKQKEKQEEQNVTGFKPIIYTPLLMAACNGIIEIVEVIIHFHPQSIEHVSEDEQNILYMAVKHRQLGIFLMLKKLNMVGRLAGKIDKESNTVLHNTADFKGGSQPGYAMQLQEELHWFERIEKQLPYHYIIHKNNNDQTARDLFEEKHGQLLKDARKWIKETAQSCSAVAVLVATVVFAAAYTVPGGTDDSGFPRLLHHPIFVVFTVMDVVALASSLASVVMFLSILTSPCELWDFRRSLPRKLMAGFAFLFFSMATTMLVFSATILVNIKLDKSKWTSSLTYCAAFFPVSIFAMMQFPLYVAMKGCVKTLLKRLKKLVPRFFLNLVKRSKRNRLWDI >CAK8576952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527716492:527716773:1 gene:gene-LATHSAT_LOCUS29106 transcript:rna-LATHSAT_LOCUS29106 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRYRPNDPFIPAQNARQVYYVPYPEMCRDMRRWCAAITTKPRGHVMIDNIEDEMPYQSDGMLPVLPTIEIESLSCLRDDTQVDVFEEIFDT >CAK8540157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542596409:542598648:1 gene:gene-LATHSAT_LOCUS9189 transcript:rna-LATHSAT_LOCUS9189 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARPLVTVQALEGDMATDTATTLPIPDVMRASIRPDIVNFVHSNISNNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASAVPSLVLARGHRIETVPEFPLVVGDSAEGVEKTKEAIKVLKKIGAFPDAEKAKDSHGIRPGKGKMRNRRYISRKGPLIVYSTEGAKAVKAFRNIPGVEITNVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFDKASEKKKGYVLPRAKMTNSDLTRIINSDEVQSVVRPIKKEVKRATLKKNPLKNLNVMLRLNPYAKTAKRAALLAEAQRVKAKAEKLDKKRKTVSKEEASAIKAAGKAWYNTMVSDSDYTEFDNFSKWLGVSQ >CAK8538583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487709274:487713730:1 gene:gene-LATHSAT_LOCUS7765 transcript:rna-LATHSAT_LOCUS7765 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLANSFSVLELDADDSDIQPLSLPSGSNGKKANGKALQVKNERHNLAAYLPEYRLPLVWIDLEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQTKECLDKMGEWCQSHHAASGLTKMVLESTISEREAEKQVIEFVKRHIGGTYAPLLAGNSIYVDFQYLKKYMPELAGIFSHVVVDVSSISALCIRWYPKDQKRAPSKEKRHRALDDIRESIEELRYYKENIFKPKIKK >CAK8562006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424814656:424814937:1 gene:gene-LATHSAT_LOCUS15544 transcript:rna-LATHSAT_LOCUS15544 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKRMVVKCVDGCPFHIRTAKNRQAKTDWLGRQFMYTIRHTPEIKTKGLIAEAIKKWG >CAK8544904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711184836:711185615:-1 gene:gene-LATHSAT_LOCUS13548 transcript:rna-LATHSAT_LOCUS13548 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNIPMLIREWRPGFKVKDEILRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTTNRLRVSYARILMKMDITKELPQIITIGDNEGEKIQQPIEYEWRPLFCSKCQKVGHSCDKPKVTQQWKPKHAPQHVDNVKTVMDNTTKRIPRTEGNNNIVGDKVNSPAVENNAKGNTLGECPTDLVSKAADPPLENGVNIIEQVEAVMEKWIEVIRSGKDRGKPQDNPNSINKIVCANGFEALEISKDLIESQNTGQ >CAK8559921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1218105:1221276:1 gene:gene-LATHSAT_LOCUS13654 transcript:rna-LATHSAT_LOCUS13654 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERDVPNYELQVSFTNTPQTIHEMGFVQFEDNQVLSFLSPSTQSLNSGGSSTTATAAAVSTTTAAAGFSPNDLVTRTPWTNEQVRTLDPKAVVSDENCTGNTSDGNNTWWRSGGSEKNKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTYNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >CAK8538006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457559614:457560225:1 gene:gene-LATHSAT_LOCUS7249 transcript:rna-LATHSAT_LOCUS7249 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKNKSVPPPPLSSVVSTPVSQSVLQSHNVSKNLSTEENVGIKYLGETSDATEDGSIAKKSNEIELEKPRSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEIKFWESSLILYAMGADLSMNAVKNFMTRTWNFVQLPDMYFNDEGYFILRFKSFTDRDEVMLRGPYMLRNIPLLIRE >CAK8565382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156497112:156497342:-1 gene:gene-LATHSAT_LOCUS18602 transcript:rna-LATHSAT_LOCUS18602 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDQASSHPLLLVFECWDPIFSRSIGRYLPIDRSHLRKSLRAQLALFVDVTRAGRGIARFDVGAAELPYLTNEA >CAK8530638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37114645:37118044:1 gene:gene-LATHSAT_LOCUS488 transcript:rna-LATHSAT_LOCUS488 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEKHFLFSFMQIIFIILSLLCFTKRAEANFNKKVSGFYVFGDSTVDPGNNNYIDTLFKSNFQPYGKDFINHVATGRFTNGKLATDFIASYLGVKKELLPAYLDPKVINNVNELMTGVSFASAGSGFDPLTPSISSVIPIPKQLEYLRECKKKLEKVIGKEGTKNHMKKAVFFMSAGTNDFALNYFTLPVRKSGFSLLGYQQFLIQRVKEFLQALLAEGAQKIAIAGVPPMGCLPVMITMNSPNAFLQRNCIERFSSAAKDYNQLLQQELSTMQFHLNSSNSPVKIYYIDIYEPLANMVQAHQKYGFEDINSGCCGSGYIEAALLCNKGCNVCSDPSKYMFWDSIHPTEKAYYNLFLAFRSTVDSIVNN >CAK8565775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:331297942:331298703:1 gene:gene-LATHSAT_LOCUS18959 transcript:rna-LATHSAT_LOCUS18959 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDERQETVHFDKITTRLKKLSYGLSTEHCDPVLVSQKVCAGVYRGVTTSQLDELAAETAAGMTANHPDYASLVARIAVSNLHKNTKKSVSETVKIMYNHFNTRSGKKAPLIADDVYEIIMENAARLDSEIIYDREFDYDYFGSKTLERSFLLKVDGTIVERPQHIIMRVSVGIHKHDIESAVKTYYLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSFEGIYDTLKECAVISKSAAGIFKTDD >CAK8530183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4736546:4739615:-1 gene:gene-LATHSAT_LOCUS71 transcript:rna-LATHSAT_LOCUS71 gene_biotype:protein_coding transcript_biotype:protein_coding MISILSAVVALFLAQPAHPLDYYAYAQQWPNGACMNPAKKCNAQLPTTFTIHGLWPSNIVKPHPDSCAKSFNSSLINSLVPQLSNVWPNIEKGNTNVRFWGYEWNKHGSCSPFSQYNYFNHAISLYNQNNLMSMLAAQNILPNGTSHPPQDFINAIQLDVHVQPLLVCVNRNYLAEIHLCFDAAASIHINCPRPSSPTCSNSVIF >CAK8564797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9721087:9722400:1 gene:gene-LATHSAT_LOCUS18062 transcript:rna-LATHSAT_LOCUS18062 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRPRKISVPPPPLSPVVSTPVSQSQSVLQSNTGDKNLNTAEGAGIIYFGETSETSDAREDESIGKKRNNIELEKPNSTPSPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVRSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKFPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVIQPETNVLSKKVEDDNKGPNEIGTVNLDIVTSRKGNANSTAAEIPAIRSKEVEKVIEKWTEVIKSGRGRGKQIGKVGATGMSSHDNGFDALEILKDLLEAQDTGQ >CAK8532195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218728479:218729975:1 gene:gene-LATHSAT_LOCUS1926 transcript:rna-LATHSAT_LOCUS1926 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLTLGDSSKPLSGLMEKHPHQASKELGLGFTTTLSIGPNLTTQIDQLQQEEQNTRTENLHQLDLLPQLSFPWNPPSQNEFGVSSRGLEVNVVPPLTVVAAAEDEAVLSSSPNSAASSFQMDLCIYSRGGSGGAGGGSLSASGGNKRDFSDGEGYDQRNSSRVSDEDDNSGGVGNTRKKLRLSKDQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLNKELQELRALKTSNPFNMQLPATTLTMCPSCERVATNSTATSSVTNNTSAINNNKTSDDSTSKADIGFPFGKSKIQPQQHLELN >CAK8573282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600157268:600159812:1 gene:gene-LATHSAT_LOCUS25750 transcript:rna-LATHSAT_LOCUS25750 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQRNNNNAPFSISTLFLFFAVISIFSLAFFFSSSTTTTTISHRSLPLQPLTSSGINVYVADLPKSLNYGLLNRYWSFDADSRIGSDADSEIRSTNLGKTLEFPPYPENPIIKQYSAEYWIIGDLMTPPRLRSGSFAKRVLDARDADVVFVPFFATLSAELQLGTAKGVFRKKAGNEDYQRQREVIDFVKKTQAWNRSGGRDHVFVITDPVAMWHVKDEIAPAVLLVVDFGGWYRLDSKSSNCSSSEMIQHTQVSVIKDVIVPYTHLLPSLHLSENQKRHILLYFKGAKHRHRGGLVREKLWDLLNNEPGVIMEEGFPNATGREQSIQGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYTEFSVFVAVGDALKPSWLVNHIQSFSKQQKDMFRQNMARAQPMFVYDNGHPGGIGPVPLDGAVNNIWKKVHQKLPMIKEAIIREKRKPPGVLVPQRCQCT >CAK8566736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:457021735:457024954:1 gene:gene-LATHSAT_LOCUS19848 transcript:rna-LATHSAT_LOCUS19848 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPQNSENSDSVFVWDERSHLYFHASSGFYHDPNAGWYYSTNDGVYYKFEDGNYVPLDSNKDNCEETNVWEETKPESPRQIHNINNNEDCPSFLGNEFETNQRTGTLAEEAVVDAPNSTNSPTCGNPPPPSEWLEDTLIDLYLSGYNNIALSAADIVTFPLETDGYNSTLEANAYSNTYEVEGEWSTGLEDENGKADDKRIVDEVVAYSDTYELEEGEWIPEEENHIADTSTIDEGMLLDEEKWKAQYGQVIESRKDLVLEFPVADLWDWEMVRASKKDGKDRVAKLVGKLVKQSAKRHPSISSGEKKFKSAPICEVHLDLVRVKTGQVYRLRNPSARYVASLSSYDSSNPTKHWNFPQLSSNTNSAHVSKSGESTPFTSKEISTEKDLPMLPSQLSASKQIKSQYRDRAAERRILHGGFGVGPGQKNLGGSYDMAPSPDASPQEAKEEALKMSFGPGSYARKLLEGMGWKDGEGLGNSTKGMVEPIQPVGNTGSAGLGWPRRN >CAK8566737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:457022176:457024954:1 gene:gene-LATHSAT_LOCUS19848 transcript:rna-LATHSAT_LOCUS19848-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRKPNPKALGKYITLITMRIALPFSETNLKLTNGLERWPRKLWLVSEVNVYTVLLYFNGTSMFMLSRIVLSTMLQYVDVFVIDAPNSTNSPTCGNPPPPSEWLEDTLIDLYLSGYNNIALSAADIVTFPLETDGYNSTLEANAYSNTYEVEGEWSTGLEDENGKADDKRIVDEVVAYSDTYELEEGEWIPEEENHIADTSTIDEGMLLDEEKWKAQYGQVIESRKDLVLEFPVADLWDWEMVRASKKDGKDRVAKLVGKLVKQSAKRHPSISSGEKKFKSAPICEVHLDLVRVKTGQVYRLRNPSARYVASLSSYDSSNPTKHWNFPQLSSNTNSAHVSKSGESTPFTSKEISTEKDLPMLPSQLSASKQIKSQYRDRAAERRILHGGFGVGPGQKNLGGSYDMAPSPDASPQEAKEEALKMSFGPGSYARKLLEGMGWKDGEGLGNSTKGMVEPIQPVGNTGSAGLGWPRRN >CAK8576798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515798887:515800634:1 gene:gene-LATHSAT_LOCUS28965 transcript:rna-LATHSAT_LOCUS28965 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKGVKAPVAASKKKPEKVTNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKEVNVQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEDKAEKKERLLKRAQAESEGKTVETKKPINVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTAAVLCLTTVKNEDKMEFSRVLEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERLVAKEAAQRMT >CAK8536041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901993589:901994359:-1 gene:gene-LATHSAT_LOCUS5443 transcript:rna-LATHSAT_LOCUS5443 gene_biotype:protein_coding transcript_biotype:protein_coding MASANESFIYTAKLAEQAERYEEMVEAMKKVVKLDVELTVEERNLLSVAYKNVVGRRRASWRILSSIEQREETKGNDVNMNRIREYRKKVESELSDICTDVISVIDEHLIPNSSGESNVFYYKMKGDYYRYLDEFKSGDEWKEAADQSLKAYQEASTAAETELPPTHPARLGLALNFSVFYYEILNSPERACHLAKQAFDVAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDIPEEGVEEQKPESARAPVGDNA >CAK8534144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698626955:698629195:-1 gene:gene-LATHSAT_LOCUS3711 transcript:rna-LATHSAT_LOCUS3711 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEIEEFEEMSEELQSIYLSNMDEAPARRLVREAFKDIQLAIDHCLFQFPADGVKMEEVYEVNSRGLEVFSKSWLPEKSPLKAIVCFCHGYADTCTFYFEGVARKLASSGFGVFALDYPGFGLSDGLHGYIPSFENLVDDVVEHFSKIKEQVEYQHLPRFLLGESMGGAVALKVHFKQPNGWDGAALIAPLCKFAEDMIPHWLVKQILIGVAKVLPKTKLVPQKEEVKENIYRDLKKRKMAPYNVLLYKDKPRLGTALELLKATQELEQRLEEVSLPLLIMHGEADVITDPSASKALYEKAKVKDKKLCLYKDAFHTLLEGEPDEVIFHVLDDIISWLNDHSNTKNKV >CAK8577670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582906686:582907528:1 gene:gene-LATHSAT_LOCUS29756 transcript:rna-LATHSAT_LOCUS29756 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLLKNSLGAKMKKGIRTFCNTDVSTSTLNQQNSTIGNGVGNHSQVDITTSKVSSPFLQCETSNSKQSTPTLEDLILQLEMEEEMARKEKLNEYSGIRGRMSSVNNSDILRSARNALNQYPRFSLDGRDSMYRSSFGTIEGRRSVCSERSLEPKVDEKVVCFPTTIAGESVVWCKPGVVAKLMGLEAIPVPVSRKRCDNKDKISSSVGLRRQNIRRRSFERHDLERKLAMDMQGYRDHIVRRRNRSGICSNNGYCIMKPVSLEAMAGGPASWQPRRYA >CAK8531931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178370433:178371480:1 gene:gene-LATHSAT_LOCUS1686 transcript:rna-LATHSAT_LOCUS1686 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKGSVWFLPLVVAILFVAASAGNFYQDFEITWGDGRAKILENGQSLSLSLDKASGSGFRSKNEYLFAKIDMQLKLVPGNSAGTVTTYYLSSLGPTHDEIDFEFLGNLSGDPYTLHTNVFAQGKGNREQQFHLWFDPTKDFHTYSILWNPQSIIFSVDGTPIREFKNLESKGVDFPKNQPMRIYSSLWDAENWATRGGLVKTDWAQAPFTATYRNFNAQVCIWTSSGSSCSSNKSPSSSSAQSWLRESLDSNGIAKVQWAQKNYMIYNYCTDTKRFPQGPPFECLA >CAK8543223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590265190:590265781:-1 gene:gene-LATHSAT_LOCUS11994 transcript:rna-LATHSAT_LOCUS11994 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIGECGRQDQRHKLEKKVLKFVQNYSKRVDNIGDRMWTVKHFELKSFSSLQNYSRCRQDWCCRDTSDISFCFFI >CAK8542907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557745389:557745868:-1 gene:gene-LATHSAT_LOCUS11705 transcript:rna-LATHSAT_LOCUS11705 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNLLTLLVLLIFLSFSSYVLSSSSSPATSKHFKIYLKGEEDSHVLPSLAKVDEKYGEEMVLGDVEEGLVERRVDLETQDYDGTGANRDHEPKPPRV >CAK8535291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834592967:834595126:1 gene:gene-LATHSAT_LOCUS4762 transcript:rna-LATHSAT_LOCUS4762 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIHIPNEDINNEDSNDIGTKPSSPNRRKRSFFDLNEEAVDDGDDDHVTLLVSDEDPNSDEISNTGRSSQEEILSSNDHNSSDQEGKARGSTVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDESGQVLSQKNREMQGKHHHILDMYGRFNVQGHFGVNNNNYFHTYNEIKAHSTSRFHQSGFFNNQVILRSNSVWDKDLYKRSSHIFDLKDAITRNNNGPINSTQINQLLAEGNNWPPRLQGSENHEFKSLFRDDHSTHHFSWSPIFTKVHDKKDEILEFKHQTRVSKKEYSPNFLELKLSRDSEISVKNQTNMNQADKEETHVVLSL >CAK8576285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443779665:443783979:1 gene:gene-LATHSAT_LOCUS28487 transcript:rna-LATHSAT_LOCUS28487 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGTNNAYSSEDEGTQHYRRGGYHAVRVGDTFKNGCYVVQNKLGWGHFSTVWLAWDTLKSRYVALKIQKSAQHYTEAAMDEIKILKQIEEGDPDDKKCVVKLLDHFNHSGPNGLHVCMVFEFLGDNLLTLIKYSDYRGVPLSMVKEICFHILVGLDYLHRELSVIHTDLKPENVLLLSLIDPCKDPRKSGAPVILPNTKDKAVSNNSIIQNSKIGNADPTKNQKKKMQGKAKRVAQGCIEKESSDEAGEDCKEPEQENCNNGVKSSVDSVENKSNSSFSEGESTKTSGKDVTRGNHGHSKSSRSVKKKLLAAVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVLLGSKYSTPADMWSFACICFEFATGDVLFDPHSGDSYDRDEDHLALMMELLGKMPTKIALSGRYSRDFFNRHGDLRHIRDLRFWPLNKILMEKYNFSEQDAKDMSDFLLPILDFDPEKRPTAAQCLSHPWLSAVPRTIEPSVVTSTQPDATSEELFEKRKREKEKAEQELVEIGVKNISINQTSEPIKESEPVMSSI >CAK8571271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:358995737:358996051:-1 gene:gene-LATHSAT_LOCUS23949 transcript:rna-LATHSAT_LOCUS23949 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQQRARRAKNERKMRQNMNLEQRENYLSIQRDNYRRRKEQDKQAQTSRTMNSRRRVPFQNFTNMRSPISHFQGTHDNEVGPSRITHVNDVALGW >CAK8568424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605422102:605424218:-1 gene:gene-LATHSAT_LOCUS21382 transcript:rna-LATHSAT_LOCUS21382 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTGTSSRFSHHASPQRVASLHTTLRSLSTTSPTPYAPPPPPSASSPGGLSKTAEYVISKVDDLMNWARRGSLWPMTFGLACCAVEMMHTSSARYDLDRFGIIYRPSPRQSDCMIVAGTLTNKMAPPLRKWM >CAK8564084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650218927:650219904:-1 gene:gene-LATHSAT_LOCUS17423 transcript:rna-LATHSAT_LOCUS17423 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSESEIPPNFWGHMPEEEYYTSQGVTNTKSHFETPNGKIFTQSFLPLDGKIKATVYMTHGYGSDTGWLFQKICITFATWGYAVFTADLLGHGRSDGLRCYLGDMDKIASTSLSFFLHTRRSPPYTTLPAFLFGESMGGLATLLMYFQSEPDTWTGLIFSAPLFVIPEDMKPSKVHLFVYGLLFGLADTWAAMPDNKMVGKAIRDPNKLKIIASNPRRYTGPPRVGTMRELLRVTQYVQDNFSNVTAPFLTAHGTADGVTCPSSSKLLYEKASSKDKTLKLYDGMYHSLIQGEPDESANLVLGDMREWIDERVRRYGPSNNSL >CAK8540200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544818795:544824643:-1 gene:gene-LATHSAT_LOCUS9228 transcript:rna-LATHSAT_LOCUS9228 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMKKGLGCCTRGRKISIDHDQERIMTYKGLESCILNNQSYEEESRTSRGDGCITDSFDEDDSSCSSSKDAFGSFSSKCLTMKRDDEQGLEEWELSESPQQFYVKEKPSYAIQHSDIETMKEKFSKLLLGEDVTGGTKSITTALALSNAITNLAVTVFGELWKLEPLSEERKCKWRRELDWLLSPTNYMVELVPAKQNNANGRIFEIMTPKARADIHMNLPALQKLDSMLIEALDSMVKKEFWYAEGGSRTEGRDTGDRHSKRWWLPSPQVPKTGLSDTERKRLVHQGRVVRQVFKAAKSINENVLLEMPVPTVIKDALSKSGKANLGQELHKVLTTESSCGEDILKSLNLKSEHMALETINKLEAVIFSLKERTMEQVTGKSPVRTSWSFVKGPLSEVDKIELLLDRAETLLQLLKTRYPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGNLAFSILTRIGDILQEDSLRNSDSLSSSPGTNISETWMTDSHIRQSLLQKMNKADGQCCDSASDLEHESFDSKSKDVITATPSRSSVWCISREACASLSAPNSP >CAK8573580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621738252:621738557:-1 gene:gene-LATHSAT_LOCUS26013 transcript:rna-LATHSAT_LOCUS26013 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGELNVGFITTSDKLISKSIKKGEVFVFPKGLVHYQKSSGDIASSVISAFNSQLPGAFSTASALFGSTTVVPDDVLAQAFQIDTKQVDEIKTKLAPKKT >CAK8575835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:333704210:333707876:1 gene:gene-LATHSAT_LOCUS28066 transcript:rna-LATHSAT_LOCUS28066 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHFNVFSLFLILSITIVKHIDASEPFPLHDVPYLNRSSFPPDFVFGTASSAFQYEGAASEDGKGPSIWDTFAHKYPEKIKDGSTGDVAADSYNRYKDDIRIMKYMNMDAYRFSISWSRVLPKGKLSGGVNRVGINYYNNLIDELLANGLEPYVTIFHWDVPQALEDEYGGFLSRHIVDDFRDYAELCFKEFGDRVKRWITLNEPRSVSKNGYANGRFAPGRCSDWLKMNCTGGDSGTEPYLTSHYQLLAHAAAAKLYKTKYQASQKGLLGITLNSDWFVPVSKEKSDRDAAQRALDFMFGWYMEPLTKGEYPKSMQSMVGKRLPKFSEKESEQLKGSFDFLGLNYYSSFYAANAPHLRGAKPAQQTDALVNVTNQHDGKPLGPMAASSWLCIYPRGFRELLLFIKKQYNNPVIYITENGYDEFNDPTLSLEESLIDTYRIDYFYRHLYYLQTAIKDGVNVKGYFAWSLLDNMEWDSGYTVRFGLAFVDFKNGLKRYPKLSAHWFKNFLKKS >CAK8534574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743551539:743552198:-1 gene:gene-LATHSAT_LOCUS4110 transcript:rna-LATHSAT_LOCUS4110 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNHYPHFFIITLILFTTFTTTCSSSTNTSSSTTPSPPMATQELNNILDALIGSGDTTINKWVSILSMSNPLISLTLFIPQQTLSPSSSTLDPFAFPYHIVPQRLPFADLLLLPRYTRLPTLLPGKTITITDNSLGNFTLDDVLLTHPDLYNTSSLAVHGVERLLDYSTIGDASIMPNSPNFLPVGETWKSAAAFSADVNIFLLVILFVLQQLVFFV >CAK8559919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:996653:997810:-1 gene:gene-LATHSAT_LOCUS13652 transcript:rna-LATHSAT_LOCUS13652 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKFVNVERAFAEKHYADLSAKPFFSGLVDYIISGPVVAMIWEGKNVVTTGRKIIGATNPAQSEPGTIRGDFAIDIGRNVIHGSDAVESANKEIALWFPEGAANWQSSLHSWIYE >CAK8574578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2802411:2804233:-1 gene:gene-LATHSAT_LOCUS26908 transcript:rna-LATHSAT_LOCUS26908 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEEIPSAPSTPATPGTPGAPLFGGFKSERHGNGRKNSLLKSLKCFNVQEWTLEDGALPKVSCSLPPPPVPLAKKVGAEFIGTYILMFAGIATAIVNQKTHNSETLIGCAGATGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKNVPLYIGAQVLASICAAFSLKGVFHPFMNGGVTVPTVEYGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPATGGSMNPVRTLGPAIAANNYKGIWLYIIAPILGALAGAGAYTAVKLPDEEFNSEVKASSAPGSFRR >CAK8564286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665916468:665918749:1 gene:gene-LATHSAT_LOCUS17607 transcript:rna-LATHSAT_LOCUS17607 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFRAVDNKEPPPITSLSHSLSPLTYLPDQSLYLDGCFSGLRMPLSGEAALRREIEKELIRREILRRSELEEEVRRELAMEKELGISLPRPLMNIQGLMSHWSNSSVMNPAAVGHIDALQSQPQSILPPAEINPSPETCDKGKVIVLDKPDPKLFNAKRKATTPPDSEIEPLAFSLKKKSKEEWSCALCEIKATSESGLNAHLNGKKHKAREAGQNRKIAKRNKKSRENVMVVETDVTTTKLVVHAEKDQQLLQLCTALEVKNETAVDQQLLQPCTALEVMNETAVDQQFLESCTALEVMNETTVDKGVGEIKKEEQLVKTVSDNDASATESKNEKFVAMMVDKNITELKNEEQLVETTADNSVMWKVKPINENELVEMMVSNDVVKFENRGLVVEKSQNVGSLESKKDAAMEEAEPISALTKKRKFEPLWCEICQISTSSKAVMECHVKGKKHMKKMKKFGQNSVSPPSTSSVSQKAPPMLIKDALTVNKETG >CAK8570745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106649235:106650086:1 gene:gene-LATHSAT_LOCUS23475 transcript:rna-LATHSAT_LOCUS23475 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSCLEEVKTYYQEYALKKGFGWRIRSSKKGDDGEFNYLILSCSREGSNISKISCTLKTLPSRAKNCPVKICIKLKQDDLWYTTQFETNHSHETSPIKVRLFRANKKMNLHVRRTIQINDDVGVRINKTFQSLVKDARGHENIPFCEKDVRNYINKERRAIGKEDLDDDFHVRNVFWAVAKSRSAYEYFGDVVTFDTTDLTNKYDMHFSAFVGVNHHGQSTLLGCGLLSGEDTNSFVWLFKSWLRCMLEKAPLGIVTV >CAK8574614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3931703:3932089:1 gene:gene-LATHSAT_LOCUS26943 transcript:rna-LATHSAT_LOCUS26943 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAATPSTITVVGSSATGSKSRTLKKCPNVQSIRRLYSFNGLKAQHSTVTSLGVPVSCEQGFAKVICSISQGGRRSRRGGGALSSSCNAAGEIFQIAAIMNGLTLVGVAVGFVLLRIEAAVEEAE >CAK8563019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566750565:566750879:1 gene:gene-LATHSAT_LOCUS16469 transcript:rna-LATHSAT_LOCUS16469 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFVNGQLKCKIRRERIDAARKRIKRITHPLNADLDETVPLGILDSTCNEKRKKRRGVVVDGIYSEDFIIETLILHQVKEEEIEKGHYNTLLGLHVFNFGVV >CAK8569237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686090859:686098365:-1 gene:gene-LATHSAT_LOCUS22115 transcript:rna-LATHSAT_LOCUS22115 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLVFLARVSGVAVAFLVLFWTLSFKSSFLNPSLPQQDLIYSILHPLLMVIGFILLSGEAILVHRWLPGSKGLKKLVHLWLQGLALSCGIFGIWTKFQGKDGFVANFYSLHSWMGFICVSLFGAQWLIGFSNFWHRGEVRRVRKMMLPWHVFVGLYTYALAVATAETGLLEKLTFLQTQRNVSKHNTESMLVNTLGLSLALFGGFLILAAVSPNNYQFLQTKILYSS >CAK8530396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18948254:18948733:1 gene:gene-LATHSAT_LOCUS264 transcript:rna-LATHSAT_LOCUS264 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSLMCVLGLIFIVGNYVAHAQNSQTDFVNAHNAARSQVGVSNIVWDNNVAAYAQNYANQRKDCQLVHSGGRYGENIAKSFGGDLSGTDAVKLWVSEKPNYDYNSNTCVGGECRHYTQVVWRNSIRLGCGKVKCDNGGTFVTCSYDPPGNYANQRPY >CAK8540236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546493701:546507632:-1 gene:gene-LATHSAT_LOCUS9262 transcript:rna-LATHSAT_LOCUS9262 gene_biotype:protein_coding transcript_biotype:protein_coding MENYEVMEELHNVEAEIEDVQEQIRALIEKQERLYERKSELNSILEACKESENEVNNASSSTVENWDGPFEWDSEAADLRLNIFGISSYRANQKEIINAILSGRDVLVIMAAGGGKSLCYQLPAVLRDGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTTSKENEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPMVALTATATQRVQNDLVEMLHIRRCVKFVSTVNRPNLFYMVKEKSSVSKVVVDEIAEFIQESYPNRESGIVYCFSRKECELVAKELRERGISAGHYHADMDVNDREKVHMRWSSNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPADVPRQSSMVFYENSGLQNLYDIVRFCQSKRQCRRSAFFRHFAEPLQECNGMCDICAFSSEVKEVDVSRHAKLMVSLLQDIQASDQRSTMLQLVEKMKTKQKEIGSDLKREEMEQLILHLLLERVLKEEFQHTAYSTNAYITLGPLAKQILQGKKTVKLEVSTEQKTKASERLKRSLGSSVLELKLDELRKELSSIHGGILPHSVLSTQQISLLCSQKPNSLEQLEKVIGKLKTEKYGNKILEQIVNYSDLKPTDEQASNGRAAKRSKTKKNLVIIETSEDEA >CAK8576073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399290723:399292423:-1 gene:gene-LATHSAT_LOCUS28290 transcript:rna-LATHSAT_LOCUS28290 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTHPSLEDTDFSETIKFISQILMEENVDHTPFYDSLTLQITEKSFYDALLHQNKPLSPNHHPLHIHNPNGRTSSLTDGLIDFDSVSLFKRGLEEANKFLPPQPQLSTGLDSSNFNMNREKENPFVLKSRKNHEREEEGRRSNKQSAISVVDEDELSEMFDKVLLNVEQDCLQNEQPSSSDGGKVDLRSLLLMCSQAMYGNDNRNANEILKQIRQHSSPFGDASQRMAHYVTNGLEARLVGDGAGAQTFYSAPSTNRITAAEFLKAYQVHFTSPPFKKFAYLFANEMIMKVAAKAETLHIIDFGILYGFQWPILIKFLSNREGGPPKLRITGIEFPLPGFRPTERNEETGRRLANYCKRFNVPFEYNAIASRNWEAIRVEDLKIKTNEVIAVNCLTRFKNLLDESIDENSPRNAVLNLIRKINPSIFTLSIINGSYNSPFFATRFREALFHFSAVYDLIGTVVPRENEWRMMIEREIMGREVMNVVACEGLERVERPETYKQWQVRITKAGFKQLPLDSELMDKFRTKMRKWYHKDFVFDEDNNWMLQGWKGRILYASTCLVPA >CAK8563227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584024418:584025821:-1 gene:gene-LATHSAT_LOCUS16658 transcript:rna-LATHSAT_LOCUS16658 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHQTQELKHSCKFCNKSFPCGRSLGGHMRSHINNSSPSSEKTEQKTEQKEKLDSINNGCIGSETNGSYGLRENPKKTWRKRIADSSEEGLVYDKFCKECGKCFQSWKALFGHMKCHSEKERVCNSLEDQDSWTNNAKDVQSDNEITAPSRKRRSKRTRTRCNMVAAATVTATTCSSVSEVEQEQEEVAMSLIMLSKDVSPWSGLAESSDNNSVYIERRSSVRTSLVTKFNASNKNLTSTSTMNIAKLMKQKNFNLKGKSSEILDTEKGFIKSTKFELDYVSTLVDSEGENGKNIVNGTESVTMGKFECTTCNKIFHSYQALGGHRASHKKNKGCFASKIDNNIENSIEFENDLSREPTTESKIVKISHSHNNNEHAQNMKIKGHHECPICFKVFQSGQALGGHKRSHMVVGSSGGGSESRVPQIRDFLDLNLPAAATEEGSSSHADSNRPWWIVESNHKQEALVG >CAK8542414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:511739754:511751054:-1 gene:gene-LATHSAT_LOCUS11255 transcript:rna-LATHSAT_LOCUS11255 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGAGSGGPGRIPAGRAASTSSAAASPSSSSSAASHMGLDSLQQQQQMIGSSRQSFQQQLRRKPEGNEAVLAYQAGLQGVFGNNNYSSSTAMQQPQQSRNFFDPAQHGPNQGQGIEQQMLSPVQQAYYQYALQSSQQKSSLVIQSQQQSKMEMSGPTSVKDQEMRMGNFKLQELMAMQAVNHGQGSTSRNSSEHFSHGEKRIEQGHQLAPDKKNGEKLSAHGPAIGHLMPGNIIRPVHALSTQQSMPNAMNNQIVMSAQVRAMEAWAHERNIDLSQPANANLVAQLIPLLQSRMIQQPKENITSIGAQSSSIPVSNQQVTSPEVASEGSARANSSSGVSEQVVSAKARLTTPPSHLGLPINAGVAGNSSDMAVQQFSLHSRDAQGSSKQSVVAGNGIPSMHPHQCSANMSLGADSSLNAKASSSGSGSEPAKMQYNQQLTQHASQAGGLTKEGGSGNYTKPQGGPSHTAQKRVGFTKHQLHVLKAQILAFRRLKKGESTLPQELLQAITPPPLHLQVKQPIHSAGGQNQDKSAGNTVVEQARWNDSNTKDSQPITSLDGNSSKQETFVRDQKSTGAAIHRQAMPSVTTVSVGKEEQLSVGCSAKSDQEIEYGINIAPIRTELALDKGKAVVSQASITDTAQINKPAQSSTVGQPKDTGPTKKYHGPLFDFPFFTRKHDSFGSSMMVNNINNNLSLAYDVKELLYEEGMEVFNKRRTENLKKIEGLLAVNLERKRIKPDLVLRLQIEEKKLRLIDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRVDLARQVQSSQKALREKQLKSIFQWRKKLLEVHWAIRDARTARNRGVAKYHEKMLKEFSKHKDDDRNKRMEALKNNDVDRYREMLLEQQTSLPGDAAERYTVLSTFLTQTEEYLQKLGSKITSAKNHQEVEEAAKAAAAAARLQGLSEEEVRAAAACAGEEVMIRNRFTEMNAPNDGSSSVSKYYNLAHAVNEKVLRQPSMLRAGTLREYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIFYVGMKDHRSKLFSQEVMAMKFNVLVTTYEFIMYDRAKLSKIDWRYIVIDEAQRMKDRESVLARDLDRYRCHRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFNDWFSKPFQKEDPTQNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEEVEGSLPPKVSIVLRCRMSAFQSAIYDWIKSTGTLRLNPEEEKLRMQKSPLYQAKQYKTLNNRCMELRKTCNHPLLNYPFFNDLSKEFMVKSCGKLWMLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTTLDDRESAIVDFNSPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDERRSRGTIDMEDELAGKDRYIGSIESLIRSNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERCQETVHDVPSLQEVNRMIARNEEEVELFDQIDEEEEWLEEMTRYDQVPKWLRASTREVNAAIAASSKRPSKKNTLSGGNVGLESSEMGSERRRGRPKGKKSPNYKELEDEIEESSEEISEDRNEDSAHEEDDGYCGADGAQPVDKVKSENVTPSDAEYEFPRSSEGARNIHVVKGIGSSASSADCQRPTQTVSPSVSSQKFASLSALDAKPSPVSKRMGDELEEGEIAVSGESHMYHQQSGSWTHDRDEGEEEQVLQKPKIKRKRSLRVRPRHTMERPGEKSGSEMASLQRGESSLLLNNKYQLQTRVGPESKSLGDSSGSKHDKKEPLFKNKRNLPSRKVPNASKLQGPPKSSRLNCTSSPSEDSGEHSRESWKGKPINLSGSAAHGTKMAEIIQRGCKHVISKLQRRIDKEGHQIVPLLIDLWKRIENSGFSGGSGNKLLDLRKIDQRIDRLEYNGVMELVFDVQFMLKSAMHFYGYSYEVRSEARKVHDLFFDIIEVAFSDIDFGEARSALSFSSQVSASAAASPRQVTAGPSCKRKRGKNDMETDPFPTQKPPQRGSTSNGESGRIKVQLPHKVSKTGSGSSSAREQLQHESPSLLVHPGELVVCKKKRNEREKPSGKSRVGPTGPISPPCLVLAMRSPTSGSGSTPRVDHGQKPNGSGRSVGWANPVKRTRTDSGKRRPSHM >CAK8531424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108959516:108960258:-1 gene:gene-LATHSAT_LOCUS1217 transcript:rna-LATHSAT_LOCUS1217 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSFLLSVLALSLSVVFAADPNTLQDFCVTNPIGQGNSVCKDPKLVEANDFFFSGLHITGNTTNLVGSKVTPVFASQLPGLNTLGISMARIDIAPWGVNPPHLHPRATEILTVLEGTLEVGFITSNPENRHFTKVLQKGDVFVFPIGLIHYQRNIGYDNVVAIAALSSQNPGAITISNAVFGATPEISSEVLVKAFQLDRTVINYLQSKF >CAK8531222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91173362:91173631:1 gene:gene-LATHSAT_LOCUS1028 transcript:rna-LATHSAT_LOCUS1028 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTVAPQNLPSQYKTWCSKKVHKVHKVLQQILPIP >CAK8576217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:427797585:427800310:1 gene:gene-LATHSAT_LOCUS28423 transcript:rna-LATHSAT_LOCUS28423 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFGVFIGISLPSLHLSKINFSPAPLGNSFDVSIAEIERFIADLEKAQSISESYGTKRIEFLGSMRLPKMYVSTNPTGAESLPAGIVVSKSDFYLRRLWGEPSEDLKKKPKYLVTFTVGYGQRKNIDAAVKKFSDEFAILLFHYDGRTTEWDQYEWSKNVIHISARKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGLEHFNGDKYIKLVEKHGLEISQPGLGANSGFTWEMTKKMDDGEVHKYTEERPGWCNDQDLPPCAAFVEIMAPVFSRKAWRCVWHMIQNDLVHGWGLDFALRRCAQPAHEKIGVVDEQWIVHQTIPTLGDQGQSDHGKDKYDAVKTRCKGEWAEFQTRLTNADIGYLKGLRQSMRS >CAK8531332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101316150:101320765:-1 gene:gene-LATHSAT_LOCUS1132 transcript:rna-LATHSAT_LOCUS1132 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIPSHQLSSGLYVSGRPEQPKERLPTMSSRSVPYTGGDPKKSGELGKMLDIPGLDPKSSNPSSSSQHNSGQVRSRPNSGPMGRNSGSGQIGRNSGSGNMSRKSTGSGPIALQPTGLITSGPVGSGPINVSRRSGQLEQPGSVGAGKAVYGSAVTSLGDEVKVGFRVSRAVVWIFMVVVAMCLLVGVFLMVAVKKPVILFALGGIIVPVVVLITWNCVWGRKGLLGFVKRYPDAELRGATDGQYVKVTGVVTCGSIPLESSYQRVSRCVYVSTELYEYKGWGGKSANSKHRCLTWGSRYSEKYIADFYISDFQTGLRALVKAGYGAKVAPFVEPTTVVDVTKENRELSPNFLGWLADRKLSTDDRIMRLKEGYVKEGSTVSVMGVVRRHENVLMIVPPTEPASTGCQWSRCLLPTNVEGLIMTCEDNQNADVIAV >CAK8578735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650597644:650598948:1 gene:gene-LATHSAT_LOCUS30721 transcript:rna-LATHSAT_LOCUS30721 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSWLETCSSAICATATHNSTVELLPSQKQQHAFDSSTSFSSALTSDDSTSSTLRSNYSIQTLPSVPSLQKLSPQSLNNFSISYHCLTSLTPHPSRPVTSLALQNNLLYAATENQINVYDRHTCTNLHTFNSEATSSGSTKTIIFSKDMVFTTHQDCKIRVWKNNEHRKLTTLPTVNDRLRRFLLPKNYVTVRRHNKRLWIEHADAVTDLAVSNGVIYSVSWDKTLKIWRVSDLRCVESVKAHEDAVNAVAVSNDGTVYTGSSDRRIRVWAKPVGEKKHVLVATLEKHKSAVNALALNDDGSVLFSGACDRSILVWEREDSANHMVVSGALRGHQNAILCLINVSDLLLSGSADRTVRIWKRAYDGSFCCVGVLDGHQKPVKSLAAIPEYGGDESSTNGVVSVFSGSLDGEIKVWQLSIGSLADQDQDFMIS >CAK8531055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76265998:76266624:1 gene:gene-LATHSAT_LOCUS871 transcript:rna-LATHSAT_LOCUS871 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHVFIRIVDALGNYDEYFQMRVDATGKMGLSPLHKCTSAIRMLAYGSPADLVDEYVRIGERTSIECLERFVKGVNVVFGAEYLRKPNNTDVEHLLQMGESRGFPGMLGSIDCMHWVWKNCPVAWKGQFCRGDHGKPTIMLEAVTSQDLWIWHTFFGIAGSNNDINVLNQSNVFNDILEGRAPNVQYTINGTPYNMGYYLADGIYPE >CAK8534252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710060160:710061782:1 gene:gene-LATHSAT_LOCUS3812 transcript:rna-LATHSAT_LOCUS3812 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRSRYPLSLPSSNLFLVRFFSHNHNPVAVDDALFTFNRMLNTNPTPPILQFNKILGSLVKVQHFQTAISLFRQMDLRGIRTNTVSLNILINCFCHLAQLNFAFSILAKILKLGYHPDTITMNTLIRGLCNSGKLHKALHFHDDVIANGFQLDHFSYGTLISGLCKIGETRAAMQVLKKIEGSLVKPNVVMYNTIFDSLGKDKLVNDAFALYSEMIVKGISPDIITYTTLVNSLCIVGQLKEAIGLLNEMLLKNISPNDRTFNTLVDGLCKEGEVEKARYVLAVMIKQGVKPTVVTYTSLMDGYFLVKEVNKAKHIFNSFARRGVEPNIHSYTVMIDGLCKSGMVDEAVNLFKGMHLKNMAPNTVTYTSLIHGLCKSGRISDVWDLIDEMHDRGQPADVITYNSLLDALCKNHKVDEAIALLAKIKDQGIHPSMYTYTILVDGLCKTGRIKDAQKVYRDLTIKGYHLDVRMYTVMINGLCKEGYLDEALSLMSIMEDNNCTPNVVTYEILVRAFFENNKNDKAVKLIREMIARGLLQD >CAK8538475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484339765:484341279:-1 gene:gene-LATHSAT_LOCUS7667 transcript:rna-LATHSAT_LOCUS7667 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPEKKLFLTQALQDETSTAEKMISKEKEPELETEPSEVTDVIKTCCEFHDQINLISEAYHLVYGGPKNLLNESSNLIEIETPMKNSVMHIAAWNGNDDIVALLIERAPKLLFNLNENKDSVLHVAARNGRISTIEKLLDGYINFQRHEIKRAWFEYHKWDGDSEDYKDYGAKSNMKDLLNFVKLENDQGNTMFHEAMLCHKRNIVGDTIFKVCEKYKIEDLSVKSLSNSCYDYAINIVNHEKKTVLFLAVENGNKDVVEAILQKCQKNDDRPKGLSPLIAAIMMHNQEMLRIIIKNKQSWIHSLDEHKRLPLHYSASIGYLEGVDLLLGICRCCTIKRDKYGYFPIHLASYGGHVEVVRKLLEYCPDPLEMVDTSHKRNILHIAANHGKHEVVCYILQSDIPEHQKLINQKDKEGDTPLHLAARSCHPTTVYYLVNQNNEKVNLNLVNKNNETALDIVNSLYEVEKSSLR >CAK8570799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115632018:115632703:1 gene:gene-LATHSAT_LOCUS23520 transcript:rna-LATHSAT_LOCUS23520 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSPSSYEKYDVRKRNPNPKSCALLVIDMQNYFSSMADPILANLNTTITLCRRASIPVIFTRHSHKSPSDHGMLHEWWSGDLIIDGTVEADLMTALDRKGDDMVVEKSTYSAFRKTGLEEKLVEMGVDEVIVTGVMTNLCCETTAREAFIRGFRVFFSTDATATSDIDLHEATLKNMAYGFAYLIDSHRLKQALN >CAK8571535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435674885:435694489:1 gene:gene-LATHSAT_LOCUS24186 transcript:rna-LATHSAT_LOCUS24186-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLSKRTLEVDSRDSYGFALRPQYAQRYREYSLIYKEEEVERSDKWRSFIEQIDKSSQASSSENKHKETLKVESSEVKEEKNPHRVSNGDDSSSRTFPESAEVEETNADRISVGEIEIKEGDSSSRTSSGETEIKEVTGLGKASEGDSSRRESFSDCSTRNNSPKELHHSEERKTRKVQRWAEIRSSLSTIEEILSSRVKKGKNMKHEKTNVSDGHLPSIEKSEPVEGDPEEGIQGKVGTNETLDGGNGSRAENNLMDQDLPELFFPWKELQSLVQGGVPKDLRGEVWQAFVGVNTRRVERYYDDLLAQETNSCEGKEQDVQSVASGKWRKQIEKDIPRTFPGHPALDENGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTFVGIIDDYFEGCYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWVSGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVTEARLQELRVKHRPSVLEVIEERSRKGRVWKDSKGIATKLYSFKHDPGSPLVKEKKDNDKGDNVADKDVQLGLESHSSSLDELLNSLNIDSKMDSLPDLQEQVVLLKVELCRLLEEKRSSILRAEELETALMEMVKEDNRLELTARVEQLEHEVAVLQQALTDKQEQEAAMLQVLIRLEQDQKVTEDARRRAEQELAAQKLEVHVLQEKYEKATASIAEMQKRVVMAESMLEATLQYQSGQSKAQSSPRAGRVENPSRKIGLLSFGLGWRDRNKGKQNTEESTESPHDNVTPRKESNIEEQQGR >CAK8571534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435674507:435694489:1 gene:gene-LATHSAT_LOCUS24186 transcript:rna-LATHSAT_LOCUS24186 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTFNLLHNFKSNRDSYGFALRPQYAQRYREYSLIYKEEEVERSDKWRSFIEQIDKSSQASSSENKHKETLKVESSEVKEEKNPHRVSNGDDSSSRTFPESAEVEETNADRISVGEIEIKEGDSSSRTSSGETEIKEVTGLGKASEGDSSRRESFSDCSTRNNSPKELHHSEERKTRKVQRWAEIRSSLSTIEEILSSRVKKGKNMKHEKTNVSDGHLPSIEKSEPVEGDPEEGIQGKVGTNETLDGGNGSRAENNLMDQDLPELFFPWKELQSLVQGGVPKDLRGEVWQAFVGVNTRRVERYYDDLLAQETNSCEGKEQDVQSVASGKWRKQIEKDIPRTFPGHPALDENGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTFVGIIDDYFEGCYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWVSGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVTEARLQELRVKHRPSVLEVIEERSRKGRVWKDSKGIATKLYSFKHDPGSPLVKEKKDNDKGDNVADKDVQLGLESHSSSLDELLNSLNIDSKMDSLPDLQEQVVLLKVELCRLLEEKRSSILRAEELETALMEMVKEDNRLELTARVEQLEHEVAVLQQALTDKQEQEAAMLQVLIRLEQDQKVTEDARRRAEQELAAQKLEVHVLQEKYEKATASIAEMQKRVVMAESMLEATLQYQSGQSKAQSSPRAGRVENPSRKIGLLSFGLGWRDRNKGKQNTEESTESPHDNVTPRKESNIEEQQGR >CAK8572278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526094410:526097159:-1 gene:gene-LATHSAT_LOCUS24861 transcript:rna-LATHSAT_LOCUS24861 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFNSNSGNYRNPCITMHQPWASLLVYGIKRIEGRTWPAPITGRLWIHAAGKVPEESTIKAMEYFYKEIYALHGITNIDFPQHYPVSRLLGCVEVVGCLNREELSSWDMVPESVRLEAQTDYCWLCERPQKLLIPFEMRGYQGVYNLERKIYEGAARGLVPVDAPMPVKFPLPDPSDPFSLRPGRASALTRNLKATEVDKSSSLSLAIAGARAAAVQFAKKDYNSQSIDRNNNLIKINANHNETQAARSDHLQPQQRSMGKDNIQSTELNEKFDDGLVSLDQEVKGSSKVNEGGSSYHQLPRTDRRQHFPPSTKGVLVYKPKFSCNKYEGSSMQDQSSGTEADLRQHPRPPSKIFAAAMKSISNDRGKSLTSQSPIVNL >CAK8572279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526094472:526097159:-1 gene:gene-LATHSAT_LOCUS24861 transcript:rna-LATHSAT_LOCUS24861-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFNSNSGNYRNPCITMHQPWASLLVYGIKRIEGRTWPAPITGRLWIHAAGKVPEESTIKAMEYFYKEIYALHGITNIDFPQHYPVSRLLGCVEVVGCLNREELSSWDMVPESVRLEAQTDYCWLCERPQKLLIPFEMRGYQGVYNLERKIYEGAARGLVPVDAPMPVKFPLPDPSDPFSLRPGRASALTRNLKATEVDKSSSLSLAIAGARAAAVQFAKKDYNSQSIDRNNNLIKINANHNETQAARSDHLQPQQRSMGKDNIQSTELNEKFDDGLVSLDQEVKGSSKVNEGGSSYHQLPRTDRRQHFPPSTKGVLVYKPKFSCNKYEGSSMQDQSSGTEADLRQHPRPPSKR >CAK8531841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:162529421:162536562:-1 gene:gene-LATHSAT_LOCUS1606 transcript:rna-LATHSAT_LOCUS1606 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDPAFQGAGQKAGLEIWRIENFNPISVPKSSYGKFFTGDSYVILKTTASKSGALRHDIHYWIGKDTSQDEAGVAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPLEGGVASGFKHAEAEKHKTRLFVCRGKHVVHVKEVPFARSSLNHDDIFVLDTESKIFQFNGSNSSIQERAKALEVVQYIKDTYHEGKCEIAAIEDGKLMADPETGEFWGFFGGFAPLPRKAASEDGKSADSRSPKLLCVEKGQTEPVEADSFKREFLDTNKCFILDCGLEMFVWMGRNTSLDDRKSASGVADELVSGIDQLKPQIIRVIEGFETVLFKSKFDSWPQTPDVTVSEDGRGKVAALLKRQGVNVKGLLKADAVKEEPQPYIDCTGHLQVWRVNGQEKILLPASDQSKFYSGDCFIFQYSYPGEDKDDCLIGTWIGKNSVEEERASANSLASKMVESMKFLASQARIYEGNEPIQFHSILQTFIVFKGGLGDAYKTYIAEKEIPDETYSEDGVALFRIQGSGPDNMQAIQVEPVASSLNSSYCYILHNGPAVFTWSGSNTNAENQELVERMLDLIKPNLQSKPQREGTESEQFWDLLGGKSEYPSQKISREAESDPHLFCCNFSKGNLKVSTPTNLSYLVTKFLILKLMEMQCNRIMKVCQSRSFILAFNNILL >CAK8571788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473328344:473329570:-1 gene:gene-LATHSAT_LOCUS24418 transcript:rna-LATHSAT_LOCUS24418 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLITSCWSDFQSVPHDYIFPPETRPGNLKIPFNSSIPVIDLSGDRTNAIQKMIKAAQEFGFFQVINHGVSVNQMNETMNVFSEVFKMPDEYKKNLFSNDPSKPCKMFTSSINYDSEKVHLWRDNLRHQCYPLEKWQHLWPENPTNYREYVGDFSTEVKKLGSRIMNLIGEGLGLKYGYFDNDLTGSILLSVNHYPPCPEPNLTLGITKHSDPNLITILLQDDVSGLQVFKDEEWIAVEAIPQAFVINVGYQLQIISNGKLKSAEHRVVTNSGHARTTAAFFLAPSDNCNVGPAEDITDEHNPPIFKSFKYKEFNSHYFNKYGDTDVVLKSFETPRN >CAK8532379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:252459035:252459841:1 gene:gene-LATHSAT_LOCUS2090 transcript:rna-LATHSAT_LOCUS2090 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIIREVLAYNLEYEFNLIHRAIHEGHFIISMDTEFPGVIHFPKTDRRHLQTVDQYRYLKVNVDNLKLIQVGLTISDSEGNLPDFGTNNCYIWEFNFRDFDVNNDLCNQESVDMLRRQGIDFERNFCHGVDSRRFADLMLSSILVFNKSVTWVTFSSGYDFGYLVKILTGMNLPNQLEDFLTVIEVLFGKNVYDMKHMMKFCNSLYGGLERVAATHNVSRAVGNSHQAASDSLLTWQAFNIMMKTYFKNDEASKHAGVLYGLESAV >CAK8567219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495844180:495849444:1 gene:gene-LATHSAT_LOCUS20289 transcript:rna-LATHSAT_LOCUS20289 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKVFGKIPKKSSKGSENRDQGGNARTHGVVASKNSDNVTLPGSSKTGNVSSSSSLNVGLGQGQYHNNHGNNHGNKSSLPLNENSNGNFNSYEALPAFRDVHNSEKPSLFIKKLRMCSVVFDFTDPTKHLKEKEVKRQTLVELVDYVSSVNAKFSETVMQEVVKMVSVNIFRTLSPQPRENKLIDGVELEEEEPSMDPSWPHLQIVYELFLRFVASPELDAKLAKRFIDQSFILRLLDLFDSEDPREREYLKMSLHRIYGKLMAHRPFIRKSINNTFLNFVFETEKHNGIAEFLEILGSIINGFALPLKEEHKLFLVRILIPLHKPKCLAMYHQQLSYCVTQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVLLFRRVAHCLNSPHFQVAERALFLWNNDHIVNLIKQNCKVILPIIFPALEKNTKTHWNQAVHSLTLNVQKIFQDLDPDLYKECLQKFEQDLSKEGEVTAGREATWKRLEEVAAKKAAGNEAVLISKTLPRKSAG >CAK8574429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681193209:681195402:-1 gene:gene-LATHSAT_LOCUS26777 transcript:rna-LATHSAT_LOCUS26777 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGKNTIEEEEQQQVLRRVKRERVNADDNPTEEQNSSIRRIIRSEFLKLKSLINEKKDDLLNVDSDKFDSILSEFDKLHDQVKKPREQVADAEALLDLTRSLVGSVKSLVNEGVTPSQFVSSLIQRYAPSPNSSVDWRKLGTSVSSIFLTVHGSSTMLGPMENQLKQRKTIVSRKRTSRSATTDRPEQLDDAVGGEKTDTDKNMSTMFNILRENKRVQLEHLILNRFSFAQTVENLFALSFLVKDGRAEINMDKNHSHYVSPKNAPAANAVMSKEVSYTHFVFRYDYNDWKIMKDLVPDGKELMPHRIQHSTVDASQAEMDGDDSKQALPVTPIRKISRNRGRVLQEETVVEESPECDDEDASREAAIRRCKRKLH >CAK8537896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444927835:444928164:-1 gene:gene-LATHSAT_LOCUS7146 transcript:rna-LATHSAT_LOCUS7146 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQLTSTDIASASNNSSIGSPQLVITAHISSMMEQLQSFMTALQHENSNFIQMLLEHIKIYVEEYSELRVPDPNLLMDAMKQKTIKGLEETSKIMINAGFEKDFSDV >CAK8576794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515604602:515605561:1 gene:gene-LATHSAT_LOCUS28962 transcript:rna-LATHSAT_LOCUS28962 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVHLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8533208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590735104:590738625:-1 gene:gene-LATHSAT_LOCUS2853 transcript:rna-LATHSAT_LOCUS2853 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSVSVKGSIFGAQSQKGLLGFGEFRDGSVLRPTRVCLTKNSNFCGSKLGSLTVKSELRSGRVGFGGVFVSSAKSRSFRVQASGLHSDGDAEHVVPAVPLGKPSGTVLPYVGVACLGAILFGYHLGVVNGALEYLAIELGIVENTVLQGWIVSSLLAGATVGSFTGGTLADKFGRTRTFQLDAIPLAIGAYLCATAQSVQTMIIGRLLAGIGIGVTSAIVPLYISEISPTEIRGALGSINQLFICIGILVALVVGLPLAGNPLWWRTMFGIAVVPSVLLALGMAIAPESPRWLFQQGKVVEAEKAIKTLYGKERVAAVMHDLRTASQGSSEPEAGWLDLFSSRYWKVVSVGAALFLFQQFAGINALVYYSTSVFRNAGIASDVAASALVGASNVIGTAIASSLMDKQGRKSLLITSFTGMAASMLLLSLTFSWKTLAPYSGPLAVLGTVFYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLALGTHWISNFVIGLSFLSVVNKFGISSVYLGFAAVCVLAVLYIAGNVVETKGRSLEAIERALSSQA >CAK8544536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690949212:690950978:1 gene:gene-LATHSAT_LOCUS13206 transcript:rna-LATHSAT_LOCUS13206 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGTGREQFTHIRSYGEELLKSNPNSTVKIKCADSDGGPVFERIYVCLEACKAAFATTCRLLIGLDPYFLKGDFGGQLIGDVGKDGNNKIYPIAYAVVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETSQHVEHRLCVKHLYGN >CAK8567675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535197915:535201002:1 gene:gene-LATHSAT_LOCUS20704 transcript:rna-LATHSAT_LOCUS20704 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVHHILLLCFLLHNFHFIICNNSNTDKDTLLSFKLQIADPNNALSSWRQDSNHCTWYGVNCSKVGERVQNLNLSGLSLSGKLPSNLSNLTYLHSLDLSKNSFHGQIPIQFSHFTLLNVIQLAFNNLSGSLPPQLGQLHNLVSLDFSVNNLTGEIPSTFGNLVSLKNLSLARNKFVGEIPIELGNLYNLSRIQLSQNNFTGRFPTSIFFNLSSLVYLSLAKNNLSGELPQNFGDAFPNLTTLALATNRFEGVIPNSISNSSHLQIIDLSTNRFHGPMPLFNNLKNLTNLILSNNHLTSTTSLNLQFFDSLRNSNRLQILMVDYNILAGELPNSVAYLSGNLLQFCVSNNHLNGSIPKEMNKLRNLTSLSLERNNFTGELPIELGTLKKLEHLWINQNGLSGEIPDIFGNFTNLYSLGMGNNQFSGTIPPSIGQCKSMNYLDLEMNKLVGVIPMESFQLSGLINLYLCGNSLNGSLPPELKMEQLQTMIVSDNKLSGNIPNIEVNGLMRLEMARNKFSGSIPNSLGDLASLETLDLSSNNLTGPIPESLENLKYMVSLNLSFNKLEGEVPMKGVFMNPNQVDLQGNKKICGLNNQVMHKLGVTLCVAGKKDKRNSLLPIILPIIGATVLFISMLSLLWMLYSFKKKKEEDKRSLSSTALKGLPQNISYGDIKLATNNFSATNLIGKGGFGSVYKGVFNISTSESQTTTLAVKVFDLQQSKASQSFSAECETLKNVRHRNLVKVITSCSSTDYKGDDFKALVMHFIPNGNLEMSLYPEDLESGSLLTLLQRLNIAIDVASAMDYLHHDCDPPIIHCDLKPANVLLDEDMVAHVADFGLARFLSQNASEKHSSTLELNGSIGYIAPEYGLGGKASTSGDVYSFGILLLEMFIAKKPTDEMFKEGLNMNNFVSEMDEKQLLNIVDQRLINHSELFSIQNFSSDTHSGGSGDISYSDGSNIYWTQRAEECIVAAMRIGLSCVAHQPKDRWTMREALSKLHGIKQSVLGL >CAK8537803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436596092:436598606:1 gene:gene-LATHSAT_LOCUS7057 transcript:rna-LATHSAT_LOCUS7057 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEESIKKPASVGPWGGNGGSRWDDGIYSGVRQLVIVHGAGIDSVQIEYDKKGSSIWSEKHGGSGGNKTDKVKLDYPDEFLTSIHGYHGSLNQWGNNLVRSLSFESNKKTYGPFGVEQGTYFSVPMTGAKIVGFHGKCGWYLDAIGVYMKTLKQPNLSKILAPSGHMTNTNESFGYSLIQGTVNQNYDIVVAVRQKDPKDDFSKPIPNKVSGKISTVKETNNIEHKEKITHSEKSTAKVDGVCTYGPWGGIGGSAFDDGTYKGIRQINLSRNVGIVWIRVLYDHDGDPIWGCKQGGTGGYKSDKIVFDFPYEVLTHISGYYGPLMYMGPAVVRSLTFHTTKKKYGPFGEEQGTYFTTKAKEGKIVGIHGRKGLFLDAFGVHVVEGKVVVPVATHPKEIIPRETNIGEIGSVHWPNKLLLSKPAAAEEVSCGLIKEPAPCGAGPWGGDGGRPWDDGVFSAIKQIYLTKVSEGICSIQIEYDRNRQSVWSTKHGGNGGDTMHRIQLEYPHEVLTCISGYYGSIGRDENHKIIKSLTFHTSRGQYGPYGEEVGKFFTSTTTEGKVVGFHGRNSLYLDAIGIHMQHWLGSQKTSRSSLFKMF >CAK8567604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528331760:528333750:1 gene:gene-LATHSAT_LOCUS20641 transcript:rna-LATHSAT_LOCUS20641 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETATSPYKTMISIPFKWEEAPGKPRRYHTQSELENTVRTTLELPPRLLFLEAKLDDPSPTTVLDGPYVGRAMSFSSSYRTPRENWNSNFGSSTWSGFKKINKEGDEGSFDFSDQTKVKIPRTAKGGSPISKAKLHLWASICDSLKQVVPWKRRKETQRKWVSITDTL >CAK8543045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571110955:571112115:1 gene:gene-LATHSAT_LOCUS11832 transcript:rna-LATHSAT_LOCUS11832 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPIATEKVSHYVSDDLTLSILSKLPLKSLKRFSCVRKLWSLLFENPIFMNVYRNNFISSDNSYFDEGSCFLLQKDLPYLPYVLDVPEFYLIFGKRFENKVKLDWPHLFQGDNQAVHILGPVINGVVCLYQGQTPCVLLWNPATQESKTLPSSPTESSIIYEGGTFFYHGFGYDYVRDDYKVIRHVSYRLYLSDFEDDMEGKPIKVSRDDTWEICSLKSNSWKKLDLDMPICIHAYVGVFVSMKGMCHWHGDKDGEPSLVSLDLHNEVFYTTPLPSNSWEILRHLMILNESIALISYYVGTTTFHISILGEFSVKESWTELFIIGHLPYLEHPIGEGNNGDLFFRKKDDELVLFNLSTQMIQELGIKGPRHFCQILIYKESLYPI >CAK8533339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:604823048:604824787:1 gene:gene-LATHSAT_LOCUS2977 transcript:rna-LATHSAT_LOCUS2977 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGSVRKNKAIVVENAPLLPKTQESDVKIDEFNGASFSSAVFNLSTAVVGAGIMSLPACVKKLGIVPGLITIILSSLFTEKSVDFMIRFSRAGNISSYGSLMGDSFGKYGKALVQMCITVNNIGVLIVYMIIIGDIISGTSSSGVHHYGILEGWFGVHWWTGRTFVLGFTTLVVVLPLTSFKRIDSLRFSSGLSVGLAGVYIVIVVGISVYKIINGGVVIPRLFPIITDASSVFELFTTFPVLISAYICHTVVHSIRNELKDSKQMRGIVKTSFVLCCLVYLITSFFGFLLFGEETLDDVLANFDTDLEIPFGFILNDIVRVSYAVHLVLIIPVLFYAVRINIDGLMFSSSSIRPLNVDNFRFFSITFSLNGIIFLGSNFIPSIWDIFQFIGATTAICIAFIFPVAITLSDKYNIATKTDKILSVFMIVISVSSSVIAIYSNVYALIDKK >CAK8577346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556318374:556322730:1 gene:gene-LATHSAT_LOCUS29460 transcript:rna-LATHSAT_LOCUS29460 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVSDENPCKGSIDKQEEALGRWYMSRKDIEENSPSRKNGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAVIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEMIHKKDPAAAHRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVEAIKKFNVAKNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRLPQSQGNEAEGATAGGVRASSKAPATSEEQVSKQIASHSAPQRTSVENNAAPLTGTENQSNDGSAEMGSDITDHKIDLETRDSQTSEKLPEKDNQREVANRSISGAERVVSGDQDKIVGTEEAAELGRSDDTSYKSSFNVGRNPELREGPLSPKEAIKMIDKDKVKAALEKRRKERGEMTIKEDAMDEDDLIERELENGVEMTNKVIDKDKVKAAIEKMRKVRSEMTIKKDIMDEDDLIEKELEDGVELAVENEKNKREKRRSWSEPDGEDNKELKGKLRKDRNECNAEEGDMIDDASSLLNNRKRKMDSPPASQPEMKRRLDSNYHNDLSE >CAK8570616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:74576658:74578283:-1 gene:gene-LATHSAT_LOCUS23352 transcript:rna-LATHSAT_LOCUS23352 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGVLNALDVAKTQLYHFTTIVIAGMGFFTDAYDLFCISLVTRLLGRIYYTEPDAPKPGTLPPNVQAAVTGVALCGTLAGQLFFGWLGDKLGRKKVYGLTLILMVVCSVASGLSFGSSPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVASAFDHKYNVPTYEENPAASLVLPQFDYVWRLILMFGAFPAALTYYWRMQMPETARYTALVAKNAKQVALDMSKVLQVEIEVEEEKVEKYTGSERNSYGLFSKEFAKRHGLHLVGTCSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKEMNAIHEVYKIARAQTLIALFSTVPGYWFTVALIDYMGRFAIQLMGFFFMTVFMFALAIPYDHWSHKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPAKTDKGYPTGIGIKNSLIMLGVINFAGMLFTLLVPESKGKSLEELSGENEVDGGVKAPGERDGSGLQTAATV >CAK8570920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:171318557:171329609:-1 gene:gene-LATHSAT_LOCUS23629 transcript:rna-LATHSAT_LOCUS23629 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHLLLSRLRLASSSSSSSRFTKPLHLFKFSFSTTSPPNPPPIQVSLTDSTGRAVFATRPIPTGDLIHTADPAVCHPSPSALHSVCYSCLTRLPAFPPQRSPFCSHHCHQRSKEYYDVEMKADWTDFDNYCRNQGLKYPFLVKRLACMIISGVSRSDSLDILQPANLTPEMILEMEKGFTLLRNAFTKILIADEQIAFLTKQWYIGVLARIRINAFRIELAGGGSYEDLLSSAFAFVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAKAKLKALRDIDKGEELRICYIDASMDRDARRELLFQGFGFQCNCSRCLHGD >CAK8565727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313554329:313555522:-1 gene:gene-LATHSAT_LOCUS18915 transcript:rna-LATHSAT_LOCUS18915 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVLGRSLTSSSLYKTSPFTQIPIQFKPIFLLPQKLQSLVPIQPSSSSMATCVDSSTPSLSRKPNVSQPDDCSFNFAKFFQPTFSDRVSSIPISTNHEKATVLEDFKHAVHDEVDVVDVKVNINVRFDLWLKIQEEARLDLDQEPILSSYYFSSILSHKSFENALANQLSVHLSNLSLSSTTLFDIFKSVIIDDEDIINAMKFDIEAVKERDPACISYVHCLLNFKGFLAIQAHRVAHKLWLQGRKALALLIQNRASEVFAVDIHPGAKIGRGILLDHATGLVVGETAVIGNNVAILHNVTLGGTGKACGDRHPKIGDGVLIGAGTCILGNISIGDGAKIGAGSVVLKDVPPRTTAVGNPAKLIGGKDNPIMLDKIPSFTMDHTSYISDWSDYVI >CAK8563960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642360714:642361498:1 gene:gene-LATHSAT_LOCUS17313 transcript:rna-LATHSAT_LOCUS17313 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRPSPMIGKLSELLVSGHRIMDTTGSPRGPLDTKMPPSPRGLKNYDLSSVGLKIVAALDNNKTCDVLPKHAVCTSNLNRSRPIQIQSVKSPDGLQMDYSSMEEDEEYTYVTCHVPNKTFTKVYYDGEVRRQQGYNNNNNNVVVRTSEPEPLFPTPNFLNSCHLCGKNLHGKDIYMYRGEKGFCSTECRSSQIMMDERKERCGSEAVELSSSPYTRGQIFSTGILAV >CAK8541455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:178826427:178826771:1 gene:gene-LATHSAT_LOCUS10375 transcript:rna-LATHSAT_LOCUS10375 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSHPSLASLEHGYTQIRKGATTCSNMFKKKRGPRLKTKKTDSCIHTQRPNREGSKGLRLIFRNYRHRRAAACVKALKLVGKRFWNLPTSTPHDVGPAYLFNSAADSQIIRP >CAK8533154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585760343:585762323:-1 gene:gene-LATHSAT_LOCUS2801 transcript:rna-LATHSAT_LOCUS2801 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPKKVSKTVENNEGGGTSTSSSTASNSVRSSYGNSSASTISGVDSNSSVGLTHGDTLVQAINSKLNLNGSLLPSYEALPSFRDVQNSEKPNLFIRKLQMCCVLFDYTDPSKNVREKEIKRQTLVELVDYVTSANSKFTDVMMQEIVKTVSVNLFRTLTTPPRENKILEAFDMDEEEPSMDPAWPYLQIVYELLLRFVTSPETDAKLAKRYVDHSFVLRLLDLFDSEDPRERDYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLLRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLDATQSAEFQKCMVFLFRQIRRCLSSLHFQVAERALFLWNSDHIQNLIKQNNKVILPIVLPALEQNTRNHWNQAVRSLTINVSKIFSDFDPAFYEECMIKFREDEAQENDVKSKCEARWKRLEEMGGMKAPTNEPVLVSPKAASHYATPGKASRPLLE >CAK8571313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:371003932:371005173:-1 gene:gene-LATHSAT_LOCUS23985 transcript:rna-LATHSAT_LOCUS23985 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVPQFFICPISLQIMKDPVTAITGITYDRDSIEHWLFRNKNTTCPVTKQPLPLDSDLTPNHTLRRLIQSWCTQNASLGVDRIPTPKPPLTKTHVEKLLKGIKDSKIRIKSLMQLELLAAENERNKKCLLESGVPKAMIMFVYDRYKKGDIVELEGIEEALSFLQFVKVPNDEAKNLLSENNEILDCLTWILDLPSEMKNSVAVKSHAVLVLKRFINNCDSILLERLKPDFFKSVVKALKTGVITQQGLCAALHVLLCCCPLGRNRLMMVEAGAVCELIEIELMSIPEKRITELTFGILFHLCSCANGRFQLLSHEGSIAVLTERILKVSMAVDDRAVFILSLISKFSATKAVLEEMLKVGTVSKLFVLLQADHAKYLKDKVMEIFKAHSEVWKNSPCFPQNSFYASLHR >CAK8534711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757149861:757156847:1 gene:gene-LATHSAT_LOCUS4231 transcript:rna-LATHSAT_LOCUS4231 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDESSVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISAKNIEIGIIGADKKFRVLTPAEIDDYLGEVE >CAK8535542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:861260930:861261503:-1 gene:gene-LATHSAT_LOCUS4994 transcript:rna-LATHSAT_LOCUS4994 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELEWESPSSSPPTPPSPLPISYGAGNNRYSFSASSTLSPPFSSPENLSFLHVPSVFSVDLQDPPESESKSTCLKDLLEWFIQRCCSCCCCNKFPSISPTPQSNGQDFVAIHYNSKTFCSNNI >CAK8535543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:861260930:861261503:-1 gene:gene-LATHSAT_LOCUS4994 transcript:rna-LATHSAT_LOCUS4994-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELEWESPSSSPPTPPSPLPISYGAGNNRYSFSASSTLSPPFSSPENLSFLHVPSVFSVDLQDPPESESKSTCLKDLLEWFIQRCCSLTKFPLNNNRLEWFIQRCCSCCCCNKFPSISPTPQSNGQDFVAIHYNSKTFCSNNI >CAK8542066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:466872021:466877609:-1 gene:gene-LATHSAT_LOCUS10938 transcript:rna-LATHSAT_LOCUS10938 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGSSSSATVAVEKATSDLLMGPDWTMNIEICDSINSNHWQPKDVVRAVKKRIQHKSSKVQILALTLLETMVKNCGDYVHFQITDRNVLEEMIKIVRKKTNMQVRDKILILLDSWQEAFGGAGGKYPQYYWAYEELKRSGVSFPPRSPDAAPIFTPPPTHPSIRNTQPGYGMSSSSSKTLDETMATEIESLSMSSLESMRHVLDLLSDMLQAVNPNDREAVKDEVIADLVDRCRTNQKKLMHLLTTTGDEELLGRGLELNDNIQSLLARHDAIASGTSFPIHGASPSSSTPVSPENVNQNEVKSSSPPESVSTPKASPLATVYSETKVESDEEEEDEFAQIARRHSKTQSVTSKDSTVVSSENLGLLNTSSTTPYVPESSTSSVPSNALALPDPPAPISTSSKDQDIIDLLSITLSLAPSSPRTGTYAPSSAPSQVGMHQIPVPSSADGYYNSPQTYPGNLPFNNYVAPWAQQPQPKSEYQSQNPQQTYQSQNPQQTYQSQNPQQTYQSQNPQQTYQHHPQPTTPPPPQQLHAHYESEQVLLQQHNRQPQSELPQSQLQNQHLQYSPHQHNEPQLSQYQPQQYQHLQHQPQPQLQIQSQHRPQHQPQQPMQIQSQQTQQQPQFQNQHGQYPARYPPPPWAATPGYANYQSHLSASNAISTSQGNNTAASQPPAQGVRPLQHTHSFPLPGVDPRGGNSGQRPFVPSYKLFEDLNVFGSSDGRVSGAPSNVSGTMGPGMVGGGRK >CAK8532397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:256199670:256200569:-1 gene:gene-LATHSAT_LOCUS2107 transcript:rna-LATHSAT_LOCUS2107 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHVPHEIGQDSRVAKILRVEGRDADRRSPLHSVYRMPLSSNDPQADHQIGTENRIESRHTSRGNTPVEVGKENPTTEERECLEAREAVVENKIDFKIEDRKRNDARHRDWGNMEKERSDHRNSTQVNNINGENTESAKEDKNAEKWERKDTPKNKENSKEREKENIKRESWNGMEKGVSCNEKELGGGSVKIPEHATVLPEQKKHDVDRRKERDTDLEGDRPDKRFKFDKQSQNEFADGEGSGEKGSEDHSCNVQQRKRIQRSRASPLVTNLEARFGPHAQDNEEYFLPLLFRLLIL >CAK8562779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:536327511:536330205:1 gene:gene-LATHSAT_LOCUS16247 transcript:rna-LATHSAT_LOCUS16247 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLFLFLINIFFLLTLTSAEDAFVPYNFEVSYITASPLGVPQQVIAINDQFPGPTINVTTNNNVAVNVRNKLDESLLIHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGFIINNRPVISVPFDTPQGDIVVFIGDWYTRNHTDLRKDLDDGKDLGMPDGVLINGKGPYRYNNTLVPEGIDFEQLDVQPGKTYRLRVHNVGISTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESRWKRVTGVGILHYSNSKGKAKGPLPSGPDDQFDKTYSMNQARSIRWNVSASGARPNPQGSFRYGSINVTDIYVLKNKPLVKIDGKRRATLSGISYTNPATPLRLADQFKVKGVYKLDFPTKPLTGSPRIETSVINGSFRGFMEIILQNNDTKMHTYHLNGYAFFVVGMDFGDWSENSRGTYNKWDGIARSTAQVYPGAWTAVLVSLDNVGIWNLRTENLDSWYLGQETYIRVVNPEPTNKTELPIPDNALFCGALAKKQKQQIISSAASFNGNGLKLLFTLVMFVCAIINILQ >CAK8571971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499091095:499115912:1 gene:gene-LATHSAT_LOCUS24582 transcript:rna-LATHSAT_LOCUS24582 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQSGRISAYFSASKPLVPKKRPYDSTTSSPYQIKGSSNVDRAASRVSFAKRVPLAEVSLNRLNSTGNGGEASCDAIHCSSIARTVVGKESLCQSNFETPRKIPGGVKTETLDYFSGSGLFDDDFDDSILEQIDVLCEEKSGVKAAGQVLDHSCQEKVSSEIGVVGNIVYKDIGNDDFDESILEQIDILCEEKSAVKAAGHGLDHSCQEKVLSETNVVGDSGIGSGTRALSNGVEDFDFDESILEQIDILCEQKSAVKAFWQGLDDSCHEKVLSESGVVGNFDLVSGTSSVSKGIGNGDMFSPGIALDHKEECVHSDSTRQGLLSGTMPEEYSKYLESLNDRQREAACTDVSTPLMIVAGPGSGKTSTMVGRVLMLLNEGISPSNILAMTFTSAAATEMRKRVGAITGKEIAKELTISTFHSFCLQLCRSHAEKLGRTSEFLIYGQWQQRNAIIEATRLLENEISKHENGELLIGEASDSLKAPKQFKDKAKKWQSFVTQAKASGRTSAEFREMGNEIGAEVLENYSNILKSCNALDYHDLISCSVKLLTDFPEVFRESQDSWKAVVIDEFQDTSAMQYKFLKTLASHHKITIIGDDDQSIFSFNGADISGFISFRNDFPNYKEIRLNKNYRSTRYIVEAASALIQNNAKRCQLKNVLTDNSSGSKVVMKECHNEDAQCAFIVDKISEVLSNHSADNCSYGNIAILYRRRVSGKAFQMAFRDRKIPFNIHGVAFYRKKVVKTIIAMLRTALPGCDDDSYSRVFKALLPFEKDMKKRVIDHISKISTSRKCSFLSAARDIFSAKISGTFKRSELTHGRKTLTTLEIISKLVHRENSISTIINSVANMIPEKYLLEQRATVDVDGGTLLNEDYDIRSVLQYLLDDVSEFLSTKFIEIRGEKEMSEDKGCIFVLKSFIDYLFEREKENFRARRKDNDNSVTLTTIHQAKGLEWDIVFIVKANESEIPLLHEYKGVVKDTAAIVEEERRLLYVAMTRARQKLFILYVTVDSNWQMLQPSRFLKEIPHHLLEFQSEINMQEVQIKRDGHQKETTNCTTDLLIKKQQSEADLIPVPNDLLNYHFSEASDELAEFAEANNGNDFIRRFNVEERSVVSHMFHKWAKKKAFQDPKRLLDKVGFVIDERLRQKKNKHKDLLNSLKSRLSCDEAMQYAQYVLRWEQIPADKRAHLMREKQEHFLKLKIENAMGSATPTDKQISYLKKLGCTTTPTSRLHASHLIEQYKSL >CAK8531114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82024726:82026018:-1 gene:gene-LATHSAT_LOCUS926 transcript:rna-LATHSAT_LOCUS926 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSFSSILSASNSSSSYSSERIIKSSFHVPKLSKIISIAPKTRPSRKLFEELNGQLTHTIIQQNDHHYSSNNSLSPSSKTKTISQLYAILESVSDRIEMHQNISEQRDNWNSLLLNSINMITLTASAMAGIAAISGSGASLLALKLSSALLFSASTGMLVIMNKIQPSQLAEEQRNATRLFKELKSHIETTIALGNPTEKYIKDAIKKVLALDRAYPLPLLGVMIEKFPQKYEPATWWPAKSSPRRSNEQNNEIKQKGWKKNGWNEGLESEVKDVLQVMKKKDMEDYERLGNLVLKVNKTLAIAGPLLTGIAAVGSTFVGQGSWTSIVPVMAGALATAVNSLEHGGQVGMVSELYRNCGGFFQMMENSIQENILEEDEEQRENGELFEIKLALKLGRSLSQLRDLARKSAYSRVEGTTVDEFASKLF >CAK8539317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509153060:509155798:-1 gene:gene-LATHSAT_LOCUS8426 transcript:rna-LATHSAT_LOCUS8426 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSINDTDISWLYVDTAYQDLKNRTHIVKTSEDTFICPYCPYRKQDNSYRELLQHAFMVDRTSSEKISARERANHLALLKYLEKDIVTMPGLLKPVEKDTQTSWLYIDRFYEELKKGSLKVRISDETFACPYCPKMKRPGYLYRELFEHASGVSQISSQKISVKKKATHMALMKYLKNDIILNVTAPSTSNRGETSTGHTSKEQDLMIMNVEHPTESANGGILVDSEKQFVWPWTGIVVNILTRMTEDGQCVGESGSKLRDEYRIRGFNPSRVRPLWNSSDHTRAAVVEFDKSWSGLYNALAFERAYELDHHGKKDWLSHTGQKSGLYARVARADDYQMNNAIGEQLQKMDVKTIPEIMEHDDRMFDTLVSSLNNTLQVKKNKIKEMEVECDQITLHMDVVTGEIDRLSQSHCEEMNKIQSSATQHFHSVLNGHELLKLQLESQKRELELRRIELEKREANNEIERKKLEEEINETSKKNGFLEMAAMEQQKASENVLKLAADQKRQKEQLHAKIIELEKQLNVKQKLELEIQQLKGKLNVMKHMKNDVDFDVPDKMYALHRDLREKELSLREMNTLNQTLVVKERKSNDELQEARKELITTIKEMPRRGNIGVKRMGELDSRPFLEAVKKKFNAKDAEDRASKLCSLWEEYLKDPDWHPFKIVIIQGKHQEVIDDEDEKLKELKNEMGEEVQGAVVAALKEINEYNPSGRYVTSELWNYAEGKEASLREGVKVLLKEWKLKRRKKGRR >CAK8539441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512891158:512891961:1 gene:gene-LATHSAT_LOCUS8536 transcript:rna-LATHSAT_LOCUS8536 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAFNRAILEYRDKSIISLLEGIKYYITVRISSQKEKLSRYTGVTSPSIQKVLEKTNTTAEGWIATWHADDNFVIFGVSNGVETYAVNLLQQKYGCRKWDLSGIPCCHAIVCIWYNKKEPEEHVSSFVKCIVLATYSRIIMPTNGPQLWPVNVANPISPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRMCKGKRAVERAIPKGDNKKSKKKGDKSGKEARQTVIDGGSQAPPPTQE >CAK8576284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443543511:443546330:-1 gene:gene-LATHSAT_LOCUS28486 transcript:rna-LATHSAT_LOCUS28486 gene_biotype:protein_coding transcript_biotype:protein_coding MISAVILFAVTVLSAAVVSSSPITLSLERAFPTNHGVELSYLKALDSVRHRRFLQATSYVVNFPVKGSFDTSKAGLYFTKVNLGTPPREFYVQIDTGSDVLWVSCASCIGCPQTSGLQIQLNYFDPGSSSTSSLISCSDQRCKNGVQSSDSSCSSRNNQCTYIFQYGDGSGTSGYYVSDLMHFASISEESSSSNSSAPVVFGCSNQQSGDLTKSDRAIDGIFGFGQQGMSIISQLSSQGISPRVFSHCLKGDSNGGGLLVLGEIVEPNIIYSPLVPSQPHYNLNLLSISVSGQILPIDSAIFATSNDRGTIIDSGTTLAYIAEQAYNPFINAITAAIPQSVRTVLSRGNQCYLVTTSLDMFPQVSLNFAGGASLVLGPQDYLIKQNYIGDGSVWCIGFQKIPGQDVTILGDLVLKDKIFVYDLAGQRIGWANYDCSLSVNVSASTGSGRSEFVSAGEISGSTSLRDGSHELIKRIWVFAVFMHIILICCFVFL >CAK8542686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537499038:537500034:-1 gene:gene-LATHSAT_LOCUS11505 transcript:rna-LATHSAT_LOCUS11505 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKDSFKMEPKSSSSSNTTTTTTSSSLKHHRTFMMAQNILRILVILLTAVSVLVTVTNNQTVTLFSFQFEAHFYYSSSLKFFVVENSVVCFLSVLLLIFNLLRRKQQTHQLKDYYFFLFVFDLVMTVLLIAGCAATTAIGFVGQYGEDHVGWTPVCNNVKKFCRTNLTSLLISYLAFFANLGLTILIAYKCTS >CAK8566856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467560425:467562488:-1 gene:gene-LATHSAT_LOCUS19958 transcript:rna-LATHSAT_LOCUS19958 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSVGDNLGLNEEVIKKTCSLSFKAHNSPKEPYISETFNTSSELIAYLVISFPGSWVESDWFVTKPFGETKKDLAHFPLLKSVGNDETALVNQAFLNRFDRLFKFSSIISEVKKGMAEGKQVVFTGHSSGAVLAILTTFWALEENLNQIQHKSPMCVTFGSPLVGNHIFSHASNRQNWSRRFVHFVMRYDIVPRIFLAPFSSIEKLFSPVLQLLTPENNNFKSQDSVRDSVSCEFYSTVMRNAATVTRHVACNLMGSTNLLLETMTNFVELSPYRPFGTYIFCNGNGQLTVVNNSDAVLQLLFHIAQLKDSTQLSEVANKSILQHLAYEAELEESLGMQNVVYLNKLHDLPLSSGDVSNTDIAAALDSLGLSVRARLCLRAAGELEKQKERNEEKIKKEIEEKAVASMRDLEEYKATCEINKGKGYYDAFRVQKKEKDFQANVKRLVLAGVWDEIIEMLKRYKLPDEFEGKREWIELGTRYRCLVEPLDIANYYRHSKDEDTGPYMNKGRPKRYRYTQRWLEHANRLAKEDITESSFWAEVEELCSWISNNKPCEDVKERVVKLEHDIKKWTDKGEVSKDVFSKDPTFIKLWETLPNQHKSTSCISTLFTVKG >CAK8562977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563240455:563242452:1 gene:gene-LATHSAT_LOCUS16433 transcript:rna-LATHSAT_LOCUS16433 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVPFHFLYFLLLLLHLLIPSTLALDFVFNSFNTTANLTLINDTRVEFSIIRMTNDANPFSLGRVFYSTKLPMKPSSNSSNITSFSTSFIFSILSDIPNSPGFGLAFVLCNTTSPPDALSSQYFGLFSNAKTSTVFPLLVVEFDTGQNPEFNDENNNHIGIDLNSILSKKQTSAGYLNSTGSFVPVQMNSGENIRAWIDFDGENLVINVTVAPVGVSRPSSPTLSYRDREIANYISPEMFVGFSASKTNWVEAQRVLAWSFSDSGTARELNTANLPVFKRKSASSLSGKAIAGIAVGCFVFALSCASMFILFFRWRKNKRKEEDEDDVEDWEMEYWPHRFSYEELSIATKGFQKVIGYGGFGSVYKGTLMNKTLVAVKRVKHDSKQGLKEFMAEISSMGRLQHKNLVQMRGWCRKGNELMLVYDYMPNGSLNKWVFDKPAQILGWEQRRRILVDVAEGLNYLHHGWDQVVIHRDVKSGNILLDADMRGRLGDFGLAKLYQHGQVPNTTRVVGTLGYLAPEAATAAAPTSASDVYSFGVVLLEVACGRRPLETDVVVEEIVLVDWVRDLYAGGRVVEAADSRIKGEYDADDMEMVLKLGLACCHPEPNRRPTMKEVVAFLLGEDVAEAPGKVLSDLAHGDDNGGGGDGRGRESNEEAPLHSSTPI >CAK8562976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563240455:563242452:1 gene:gene-LATHSAT_LOCUS16433 transcript:rna-LATHSAT_LOCUS16433-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVPFHFLYFLLLLLHLLIPSTLALDFVFNSFNTTANLTLINDTRVEFSIIRMTNDANPFSLGRVFYSTKLPMKPSSNSSNITSFSTSFIFSILSDIPNSPGFGLAFVLCNTTSPPDALSSQYFGLFSNAKTSTVFPLLVVEFDTGQNPEFNDENNNHIGIDLNSILSKKQTSAGYLNSTGSFVPVQMNSGENIRAWIDFDASKTNWVEAQRVLAWSFSDSGTARELNTANLPVFKRKSASSLSGKAIAGIAVGCFVFALSCASMFILFFRWRKNKRKEEDEDDVEDWEMEYWPHRFSYEELSIATKGFQKVIGYGGFGSVYKGTLMNKTLVAVKRVKHDSKQGLKEFMAEISSMGRLQHKNLVQMRGWCRKGNELMLVYDYMPNGSLNKWVFDKPAQILGWEQRRRILVDVAEGLNYLHHGWDQVVIHRDVKSGNILLDADMRGRLGDFGLAKLYQHGQVPNTTRVVGTLGYLAPEAATAAAPTSASDVYSFGVVLLEVACGRRPLETDVVVEEIVLVDWVRDLYAGGRVVEAADSRIKGEYDADDMEMVLKLGLACCHPEPNRRPTMKEVVAFLLGEDVAEAPGKVLSDLAHGDDNGGGGDGRGRESNEEAPLHSSTPI >CAK8570349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38575898:38623901:1 gene:gene-LATHSAT_LOCUS23103 transcript:rna-LATHSAT_LOCUS23103 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANDNSRIKEENVAPPSVKLESFESFPNYARALLYTPCRLLDRVTARSSDEIELVDIKKRSQHEMKKTLTWWDLIWFGMGSVIGSGIFVLTGLEVKNTVGPAVVISYLVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGEFVAFIASGNIILEYVIGGAAVSRSWTSYFATLCNQRSDKFVIVAHSLVHDYNKLDPIAVFVLAVTFSFAVFSTKGSSRLNYIASIIHVIVLMFIIVAGLSKADAKNFSDFTHYGVRGVFSSAAVLFFAYVGFDAVSTMAEETKNPGKDIPIGLIGSMTLTTFIYCMMGVTLCLMQKYSDVDENAAYSVAFEAVGMKWAKYVVAFGALKGMTSVLLVGAVGQARYLTHIARTNLLPSWLAKVNEKTKTPVNATIVMFIATGIVAFFTSLDVLANLLSISTLFLFSLVALALLVRRYCVRGVTSRFDVMKFLGFLFLILAASIGCSIYWSQTTTGWIGYTILVPIWFVGTFGIWFFVPLAKKPKIWGVPLVPFLPSASIGINIFLLGTLDKASFKRFGIWTAILVVYYLLVGVHASYDIAKAQKEKETLETKIESKTDEENGVSGNENHT >CAK8570350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38622037:38623901:1 gene:gene-LATHSAT_LOCUS23103 transcript:rna-LATHSAT_LOCUS23103-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANGNSRLGEGKAVRTPIKLESFENITNYGRALLNTPLRLLDRVTARSNDEVELVDVKKRSQHEMKKTLTWWDLIWFGVGSVIGSGIFVLTGLEVRNTVGPAVVLSYAVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRIELGEFAAFIASGNIILEYVIGGAAVSRSWTSYFATLCNQRSDKFVIVAHSLAHDYNKLDPIAVFILAVTFSFAVFSTKGSSRLNYIASIIHVVVLMFIIVAGLSKADAENYSDFTPYGVRGVFSSAAVLFFAYVGFDAVSTMAEETKNPGKDIPIGLIGSMTLTTFIYCMMGVTLCLMQKYSNVDENAAYSVAFEAVGMKWAKYIVAFGALKGMTSVLLVGAVGQARYLTHIARTNLLPSWLAKVNEKTKTPVNATIVMFIATGIVAFFTSLDVLANLLSISTLFLFSLVALALLVRRYCVRGVTSRFDVMKFLGFLFLILAASIGCSIYWSQTTTGWIGYTILVPIWFVGTFGIWFFVPLAKKPKIWGVPLVPFLPSASIGINIFLLGTLDKASFKRFGIWTAILVVYYLLVGVHASYDIAKAQKEKETLETKIESKTDEENGVSGNENHT >CAK8576569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493586628:493587533:1 gene:gene-LATHSAT_LOCUS28745 transcript:rna-LATHSAT_LOCUS28745 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIPFSPTSHHQPRSKSLPSKPHPLILQCNQHLASLESLDEINTSSLFARKLTDLQALHECVENLVLLPLTQQVLVQARQEKWIDELLDGSLRLLDVCSVAKDSLIHTKECARELQSIMRRKRGGEMEVTAEVRKFLASRKIVKKAILKALENLKTNVKKGKGKSLSPSNNKDHEKVTLVSLLRDVEVITLSMMESLLIFISGPAQSKPSNYWSLVSKLMMQNKKVSSTQEGDQNEFSNVDAALQSFVFNVTRKSENVNHLQNQLENLESVVQEFVEALEVLFKRFIRIRVSLLNILNH >CAK8573932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648372241:648378820:-1 gene:gene-LATHSAT_LOCUS26326 transcript:rna-LATHSAT_LOCUS26326 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSADVSKPPSVKVPNATHPAYEDDGQASAAVASGQDNSAPEAPSRLSPTGISSWAKNLKVSPSFSGSQDESSSGNVGKSAFARLTSNLGLRLSPKSPVDDSPNETAAQSNLFGTITKGLVDSSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETNHKGKYKVYNLCLERLYDASLFEGKVASFPFDDHNCPPIQLIVSFCQSAYSWLKQDIENVVVVHCKAGMARTGLMISSLLLFLKFFPTAEESMDYYNQKRCIDGKGLVLPSQIRYVKYFERILTYFNGECPPPRRCMLRGFRLHRCPYWIRPSITISNHDGVLFSTKKHPRTKDLLPEDFWFTAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKVLLTSDLDGFDKRKLPSPGFQVEVVLVDYNGNVVTSNSEATKKSDESSSRSPAPAETSTPAPNADKESGDGDRDKDDDVFSDGEAEHPASSRSKQTKAPSEAVVTVASTTKESEANKNSNQITNITHATEQVSLGSKISTPSHSTGEPKSDVGGKTVSSLDGPSSESEFKAMAADASVFTFGDDEDYESD >CAK8565644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296914418:296915002:1 gene:gene-LATHSAT_LOCUS18836 transcript:rna-LATHSAT_LOCUS18836 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGNAFMRLKTTNLQKFTYTKREFLHLPATPTSHICNILASGCDSNFEVVYILYLTVLETYDFRIFSGRNSDKNIGAIYG >CAK8560382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16805047:16805862:1 gene:gene-LATHSAT_LOCUS14059 transcript:rna-LATHSAT_LOCUS14059 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSSAIVRPIIYREYVLKHFPTTVSSTYNSVDFIIGFASENYNRNGEGTGNFYPTWDPATFSPEKVKQLKKNYPNVRVVISIGGDIGTYSPFNPNKKEAWIAAAVYSLKEIIHIYDDKYQRNMIDGIDIHYSSIKSDDFSYCIGEVIKSIKTDIQLTIKVVSITAGEYTQSDYLKLYVENKKYIDIVHYLFTNWRYCKEDLVDFYRKLLAWYSPAAVLPGYLNPSFSGDKAKETVMYLVKQYLAPGFFTYPSHDSPGPFSSEEDTSKNI >CAK8543048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571296822:571297805:1 gene:gene-LATHSAT_LOCUS11835 transcript:rna-LATHSAT_LOCUS11835 gene_biotype:protein_coding transcript_biotype:protein_coding MSERIFIRNAEDKKLKRKMIEESKSKLTEESKNITPSSEVDYEKGKRIEEAEAKLHEELKVITSSVESKDLLTLRFGNNNKLASILLQNDEHSSSSQNVIAKVLPEKEVKEFPCLFCNKKFSNPQALGGHQNAHKRERVSKKIERKRREEEMDSILRYRNSFPYPYPYSNPIHYQGYPYFYGNLQQPVDTLTNNTMSSWLSSPYGGYGGMYMSNTPSPPTPFVMSMPKSPLISQQFGMTNYLARNQTLPLSIPQRSNTVELRLSVQADRTPSSDEGAEGSSNAQFHSHNLPIETHDFIGGSNLLAEPDVSSSSKQSTLEELDLNLKL >CAK8540462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:4105542:4105694:-1 gene:gene-LATHSAT_LOCUS9465 transcript:rna-LATHSAT_LOCUS9465 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELLKFPKIEDLDQEMEF >CAK8566416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420882327:420884542:1 gene:gene-LATHSAT_LOCUS19548 transcript:rna-LATHSAT_LOCUS19548 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLAAQVSNYLCRRRVAINQQSRKFSSSSSKEELALEHEVERKFGWLLKSLFFGTAIYAGYQFFPYMGENLMQQSVSLLRVKDPLFKRMGASRLARFAKDDESRMKIVELGGDKELINMLSTAKDDRTRKAALNALAELSHSDEVLASLHRAGAIPIIRSAPSSLGDEDVEKFKSSLIKRFQDLKYDMSS >CAK8563487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609109305:609111732:-1 gene:gene-LATHSAT_LOCUS16888 transcript:rna-LATHSAT_LOCUS16888 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEMPPEKSIVEKVFGVSNSGSGSFKKRSESKGSLNEDNEGGVELMEIGGQRTKNVLILMSDTGGGHRASAEAIRDAFQIEYGDEYKIFVKDVWKEYTGWPLNDMEGQYKFMVKHVQLWKVAFHSTSPKWIHSVYLAAVAAYYAREVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLEKKVVFVTVITDLSTCHPTWFHPWVNRCYCSSQEVADKALQEGLLESQTRIYGLPIRPSFARAVLIKAQLREELEMDPDLPAVLLMGGGEGMGPVKKTAKALAESLYDKETEKPIGQIVVICGRNKSLVASVEAIEWKIPVKVRGFETIMAKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVNNGAGVFTRSAKETARIVAEWFSTKQDDLKKMSENALKLANPEAVFEIVRDIHELAKQREPGVFPYMLTSSFTSLI >CAK8563488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609109305:609111732:-1 gene:gene-LATHSAT_LOCUS16888 transcript:rna-LATHSAT_LOCUS16888-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEMPPEKVSYVFPEKSKVLSPKKLSPRKSIVEKVFGVSNSGSGSFKKRSESKGSLNEDNEGGVELMEIGGQRTKNVLILMSDTGGGHRASAEAIRDAFQIEYGDEYKIFVKDVWKEYTGWPLNDMEGQYKFMVKHVQLWKVAFHSTSPKWIHSVYLAAVAAYYAREVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLEKKVVFVTVITDLSTCHPTWFHPWVNRCYCSSQEVADKALQEGLLESQTRIYGLPIRPSFARAVLIKAQLREELEMDPDLPAVLLMGGGEGMGPVKKTAKALAESLYDKETEKPIGQIVVICGRNKSLVASVEAIEWKIPVKVRGFETIMAKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVNNGAGVFTRSAKETARIVAEWFSTKQDDLKKMSENALKLANPEAVFEIVRDIHELAKQREPGVFPYMLTSSFTSLI >CAK8543180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585598336:585599226:-1 gene:gene-LATHSAT_LOCUS11955 transcript:rna-LATHSAT_LOCUS11955 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRKNQGISDRQVGHRRSSSYYGKPPLSNRFSTVPSWEKKFCATVGQVPWRRFLEGKRYMESHSDVMKWDDSAVKHAFHDAKNRFWAEINGYPCDIPLPDPDMYIDEVDWDASFDPELYLNLDRELEATRIMMEKSEQESEIVDNPLDHVWEIIPTGWGDEDEEETKPQEPNFAAERWGSSNPENNDTNSWEQNNSQRWIPQEQNKYQARNDWNINGGGYNGRREKNHGYQHCNNDYKMNRGRGGGRGGGRGGKRGNYSYATKVL >CAK8541281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104099652:104101671:1 gene:gene-LATHSAT_LOCUS10212 transcript:rna-LATHSAT_LOCUS10212 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFIGTKYVLVILCILCITLIGSSNAASTTNFNVVKFGAKPDGRSDSTEAFINAWKSACSSLVPATIFVPKGRYLLNAINFRGPCKRKVTFLNGGTLVAPEDSKVVGNSGFWILFNHVDNLVVSGGKLDAKGVGFWNCRRSAKNCPVGARTMTFNWVNNLVVSGITSINSQLSHLVINTCNNVVVRNVKLMAPDKSPNTDGIHVENSNGVTINGCTMQTGDDCISIGDATYNLFMSNIKCGPGHGVSIGSLGQKVDEKGVENVTLTNAIFSGSDNGVRIKSWARPSNGFVKNVLFQNIIMKNVENPIIIDQNYCPNNQGCPGQTSGIKISHVTYKNIQGSSATTEAVTFDCSPSNPCQGIKLEDINLNFKNKATTSSCNNIGGTSNGTLVPESCLLN >CAK8567278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499963481:499964032:1 gene:gene-LATHSAT_LOCUS20344 transcript:rna-LATHSAT_LOCUS20344 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQKKIMGFKASHKPVGFDLMQNCDLPPPSKVFLGSDKTVILSMNKVCNISGREKERDSKHDGAYQLENGDEEKDKGELLKALKASQTRAREAEKMAAILNKEKDGLSVALLEEAMQLFACRQSVRLLELQVLKLQQKPAMLVPAEEAVGLPHEETVSVSWVLAIIFSLGIGVSTALAWGY >CAK8568066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:568743766:568749180:-1 gene:gene-LATHSAT_LOCUS21062 transcript:rna-LATHSAT_LOCUS21062 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMNQRGVTASSHLYDDVSYASERNGLRKPKSVHDDYAQGKSEIAASTGSILHTSSTLERNTKTGLPMSQTSLPGEISENLHFGGQAGIVDALKDSKESLNYHPRSWSEVHRQPASSSYGLIGNKIVTNAGSRESSLFSSSLSDMFSQKLRLLGNGVLSDQNIAAGLLPEEEPYKSLEEIEADTIGDLLPDEDDLFSGVHDELGSTVHARASDDFDDLFSSGGGMELEGDELLISGKRLGGLDEDPAYFGGSKGKSPFGEQSSRTLFVRNITSNVEDSELKALFEQYGDIRTIYTASKHRGFVMVSYYDLRAAQNAMKSLHNRSLNSRKLDIHYSIPKGNASEKDIGHGTLMISGLDPSVLKDELKHIFGLYGEIKEIYEYSEMNHIKYIEFYDVRGAEASLRSLNGICIAGKHIKLEPGHPKIATCMMQPSQKGPDEPDLGHNLNDILFLRQKGVSSGVIASGGSLENGYNQRFHSASQLPLNTFIDDTIFHSISNNTRGASAVPRFHPHSLPEYCDGLANGSPYNLSNTIKMAANIGTGSTEASDGRHIQGMSSTGSLADFNAGGNGSLPHHQLYHMWNGSNLRQQSPSNAVAWQKAPSFVNGACAPGLPQMPSFARTPPHVLRASHIDHHVGSAPVVTGSPWERQHSYLGESPDASGFRLGSLGNAGFHGSWQLHHPDLSSNMFSHVRGNVNDLTSSVGQGSPKQLSHVFPGRLPMTSMSKFDSTNERMRNLYHRRSEANNNNADKKQFELDLGRILRGEDSRTTLMIKNIPNKYTSKMLLTAIDESCRGAYDFLYLPIDFKNKCNVGYAFINMIDPGQIVPFHQAFNGKKWEKFNSEKVAVLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPLGANIRVRPGKLRNSVNEETRIQGNSSSLASAEEFASGIDSPPSSSRDTD >CAK8562028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:428913460:428916197:1 gene:gene-LATHSAT_LOCUS15564 transcript:rna-LATHSAT_LOCUS15564 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKISAASARSHTRRANKSSSFHLPSGILRTTVAVLVIGFLAWAYQVTQPPPPNICGSPDGPPITAPRIKLRDGRHLAYKEHGVPKDEAKYKIISIHGFTSCRHDTVVADTLSPDVVKDLGVYFVSFDRPGYGESDPDPNRTLKSIALDVEELADQLGLGSKFYVVGVSMGGQVVWNCLKHIPHRLAGAALLAPVVNFWWPDLPANLAAEAYSQYKLRDRWAIRVAHYTPWLTYWWNTQKWFPICSAISRSPDILSKQDKELISKLLNDKDNHVAQVRQQGEYESIHRDINIGFGRWEYTPLDIQNPFPNNEGSVHLWQGDEDILVPVTLQRYIAQNLSWIHYHELPGSGHLFPHADGVSETVIKSLLGVK >CAK8563080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572289061:572289439:1 gene:gene-LATHSAT_LOCUS16525 transcript:rna-LATHSAT_LOCUS16525 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLEEEAPANSVPAAAIYKGTPKAKAALWVLTDAGVQKHRERTGLDTLVVHAVNDECSPLVYVDQGPS >CAK8534621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749505825:749506058:1 gene:gene-LATHSAT_LOCUS4152 transcript:rna-LATHSAT_LOCUS4152 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGSSSSLCNVFKACFSSGNNDEYWEGSGSGRRIFASDEDRGCWVAEPGIDRKASDFIARYYSTRVTDSQSQIAS >CAK8535280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833850413:833850916:1 gene:gene-LATHSAT_LOCUS4752 transcript:rna-LATHSAT_LOCUS4752 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNSHVAIAQDYPEDYLNLHNEARSVLGWHNMPDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLFGENIAVSTGNMSGREAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8572398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534189940:534191714:1 gene:gene-LATHSAT_LOCUS24969 transcript:rna-LATHSAT_LOCUS24969 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQSVLSITNHGSDSDSSTRVLSKGFTDWIFNCHGFWHNAVLILSSFLFVLYLALQARKSFLRLTNGRSYIIISYYASLWLVSILNLAWCFSQAWECSVGKELTWNLLSLFTSSGMLFLEVSLLAFLLQGNNASGGEALTRTFGISGIIVGFDVLLKAIYLFAFGVPLFIDTDYSTPRVKWNLWVIHKLLLTVVYGFILFMYHSRWRERLPARPAFYKYVIIMFIFNAIALFACGLIGNGAGFAFWFYRVTVVCYHAFYLPLLYTTFLADFFQEEDFHMENVYYSEMKDAGFFESDWE >CAK8534028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:682103830:682109433:1 gene:gene-LATHSAT_LOCUS3604 transcript:rna-LATHSAT_LOCUS3604 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSTTTATFSLFLLFISLFKTLTLNPSFPPPPPPPNYIIGFTQYKTADHHRAYLESNLRSKGWQWIVRQNPAAKFPTDFGLVSVHELGLIEEIKKLGLVKYVSLDMSYKRGLLEHESDKVGSFVDGNKRPGKIFTKMSFCDADEQVEDSINRNGSIKWGRDLLSQRSQVTSMFGAEKLWTRGHTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVVAGMDSECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATDMDVLNLSIGGPDYLDHPFVEKIWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRVKPDIVAYGRDIMGSKISSGCKSLSGTSVASPVVAGVVCLLVSVIPEPDRKNILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKSYKPRASIFPSVLDYTDCPYSWPFCRQPLYAGAMPVIFNASILNGMGVIGYVKSPPIWHPFKEVGNLLSIHFTYSEVIWPWTGYLAIHMQIKEEGAQFSGNIEGNVTLRVSSPPAQGEKHPRISTCVLQLKLKVVPTPPRAKRILWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEEEYFAEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANNPALNDLLAPFGIAFGDKILSGDFSLFGEENRYASGTDIVRFPRGGYVHSFPFSDSSESGATQNVLLTSSTTKADSPILGLTVMGEGRIGVYGDSNCLDSSHMVTNCFTLLRKMLDFTSENVRDPVLFSNSNKQDAPLYEDDNQLPSRRTDVNFSSYSAVVGKELLCRNDSRFEIWGSKGYSLQVRGRNRRLPGYPVIDLGGGFNSTFDASYLTRKVNLRSKDDSLGNTYLGLFYGDEPDVPMLVRSHWLIPAAVAVTGVLLLSFWRIRQKRRRRRKGSSSTGRLTNL >CAK8574423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681154533:681158066:1 gene:gene-LATHSAT_LOCUS26772 transcript:rna-LATHSAT_LOCUS26772-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDSSPDWLRSFQVPTPDSPVTLSSDSESLRVSRGFSDEDRKSLQIDDQTPSRRKKTGKREDKKIKLDSDTDTDKETKHKEPIHSLWELSSDSESYRDHIPKTEDHIDQVETSKPQISPPPGEVECGDGLHDKDGKSPSKKVSKAKTSQKKIMGTPVKGKKTKVNVNGKGDDGDVEVKEEETVEKHVGPNVSSSMLPLMLSEKVHRTKALIECQGDSIDLSGDMGAVGRIIISDSHSGDPEMCLDLKGTVYKTSIVPCRTFCVVSFGQSEAKIEAIMNDFVQLNPPSDVYEAETMVEGTLDGFSFDSDEEAGKNQKTTHPSDQNEHAEEQTPGKSKRKADKTSGAEKKRGRSTGGKSQSKISKKKAPSSKRARTKK >CAK8574424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681154533:681158066:1 gene:gene-LATHSAT_LOCUS26772 transcript:rna-LATHSAT_LOCUS26772 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDSSPDWLRSFQVPTPDSPVTLSSDSESLRVSRGFSDEDRKSLQIDDQTPSRRKKTGTQKKKNGKREDKKIKLDSDTDTDKETKHKEPIHSLWELSSDSESYRDHIPKTEDHIDQVETSKPQISPPPGEVECGDGLHDKDGKSPSKKVSKAKTSQKKIMGTPVKGKKTKVNVNGKGDDGDVEVKEEETVEKHVGPNVSSSMLPLMLSEKVHRTKALIECQGDSIDLSGDMGAVGRIIISDSHSGDPEMCLDLKGTVYKTSIVPCRTFCVVSFGQSEAKIEAIMNDFVQLNPPSDVYEAETMVEGTLDGFSFDSDEEAGKNQKTTHPSDQNEHAEEQTPGKSKRKADKTSGAEKKRGRSTGGKSQSKISKKKAPSSKRARTKK >CAK8540429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559868427:559870403:-1 gene:gene-LATHSAT_LOCUS9434 transcript:rna-LATHSAT_LOCUS9434 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLVGPPEIYTLQSNPIPTTTSTATETITAAETTTVTTNDGFIDQMVANFNSLGSNRQPPMGLTENMSPTFLSTGNPCLDFFFHVVPDTPSQTLIERLQLAWSQNPLTTLKLVCNLRGVRGTGKSDREGFYTAALWFHQHHPKTLASNVPSLADFGYFKDLPEILYRLLEGSQIRKIRKEEWTQRKFGSKNKRSAAPSGERKTKKKQSKNNHEDSKGWKGTVKDSAMTEEMVARAKAEKETAHALKEEKRIALAKKLVERYNRDTDFRSLHDAISDHFADCLKKDLEFLKSGSSTKISLAAKWCPSVDSSFDRSTLLCESIAKRIFPREEYEGVEEAHYAYRVRDRLRKDVLVPLRKVLELPEVFIGANQWGLIPYNRVASVAMKFYKEKFLKHDKERFEKYLEDVKTGKTTIAAGALLPHEIIESLGDGDGGEVAELQWKRIVEDLLKKGKMSNCLAVCDVSGSMHGIPMEVSVALGLLVSELSEEPWKGKVITFSAEPQLHLIQGDNLKSKTEFVRNMDWGYNTDFQKVFDCILDVAVNGNLKEEQMIKRIFVFSDMEFDQASANSWETDYQAITRKYKEKGYGSAVPQIVFWNLRDSRATPVPSTQKGVALVSGFSKNLLTLFLNNEGDISPVEAMEAAIAGPEYQKLVVLD >CAK8537366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:309754012:309754581:-1 gene:gene-LATHSAT_LOCUS6661 transcript:rna-LATHSAT_LOCUS6661 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLMINEILYCAKKVNRSCMMVKVDFEKACDCVSWNFLRFLLVRMGFRARWRMYMEALIFNSSISVLVNGSLTDNFVVSKGLRQEDPLSHFLFLLVAEGLLERMRTTSLLGEVVGFRFNDLVHFEILQFADDNMLIDDGSWENLWIIKALHRGFKLVLGLRIKLYKSRLVGVNLDSVFVQVVVFRWS >CAK8533683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649318261:649320455:1 gene:gene-LATHSAT_LOCUS3288 transcript:rna-LATHSAT_LOCUS3288 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKEENLITNILKNGAAHFIAKPFCDEDFKNIWKYVMDAKEEKLFAKSEEQKSKNKHAKRKINDKDQVEGGFQVAKKTKLVWTPELHNLFMFAIRQIGFEKVGPKKILKIMNVPNLTRENVASHLQKYRKFLHDVEEKGMTGGISQRALRSTFASNLPVPLIKTMQEKRTNKFHTPTFEYLQTLSYQTENQNNDFNLFNRLPSHQVDNFPYAQQGLNLQYLDQMSLKKSNSETNVGSNNSIYDQNLVGIHSWNNSFYPEKNLLYDGGSSSSNFSSYGGGQGWTISPTTSSSNLLTRDLNFNDMNLVNKLFKGGDDISPQAEITSYVINESPINSNESSKKLQENNGISNEGSCDRFTWKKDSKESTSTNDLEFDMDVIEALFGTIDD >CAK8541005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:41704587:41706548:-1 gene:gene-LATHSAT_LOCUS9958 transcript:rna-LATHSAT_LOCUS9958 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSSSSSSASYIHMVQHLIEKCLIFHMTKEECMEALSKHAKIKPVITSTVWNELEKENKEFFEGYAKSKSKDERMSEEETNQMLQKIISDSSKGSTND >CAK8578229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613491425:613492256:-1 gene:gene-LATHSAT_LOCUS30259 transcript:rna-LATHSAT_LOCUS30259 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDTIPDLQVDTTQGKIKLHQFCSDTWTILFSHPGDFTPVCTTELGKIAQYASEFNKRKVLLLGLSCDDIESHKEWIKDIEAHTPGAKVNYPIISDPKREIIKQLNMVDPDEKDSKGNLPSRTLHIVGPDKKIKLSFQYPGSTGRNMEEVLRVVDSLQKASKFKIATPANWKVGDPVVISPDVTNEQAKDMFPQGFETADLPSKKEYLRFTHV >CAK8577620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578777960:578778370:1 gene:gene-LATHSAT_LOCUS29710 transcript:rna-LATHSAT_LOCUS29710 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8575232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57044506:57045423:-1 gene:gene-LATHSAT_LOCUS27512 transcript:rna-LATHSAT_LOCUS27512-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFDLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8575231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57044506:57045915:-1 gene:gene-LATHSAT_LOCUS27512 transcript:rna-LATHSAT_LOCUS27512 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVPDIVVDSSSLVVGLGCTVLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFDLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8542459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517069249:517073041:1 gene:gene-LATHSAT_LOCUS11298 transcript:rna-LATHSAT_LOCUS11298 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHAPPLPFLLTTLLHYQPRHYSRALSSLPLFATNRSFSQSCRSSILPMNGVTIVTDDSSSTSSPEHFTGDWLSAPSLRLRDHRFTVPLDYSRGITSSPKITVFAREVVAVGKEEQSLPYLLFLQGGPGYECRPPTESSGWIHKVCEQFRLILMDQRGTGLSTPLSVSSMSQFKSAVDLADFLKYFRADSIVNDAEFIRVRLVPNAGPWTILGQSYGGFCAVTYLSFAPQGLQQALMTGGIPPIGDGCTADSVYRASFEQVKHQNEKYYKRYPQDIKIVQELVTYLAEQEGGGVALPSGGILTPRGLQTLGLSGLGSGSGFENMHYMFERVWDPTLIPGSPKKISHYFLNSFESSISVDTNPLYALLHESIYCQGSSSRWSASRIRAEADDKFDAIKAAREGLPVFFTGEMIFPWMFDEIHALKSFKEVAHILSEKKDWPRLYDTKALNNNKVPVAAAVYYEDMYVNFNLSMETASQIAGIRLWITNEFMHSGLRDDGNKVLDHLLGMLNGKKPLF >CAK8534859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778560371:778561811:-1 gene:gene-LATHSAT_LOCUS4366 transcript:rna-LATHSAT_LOCUS4366 gene_biotype:protein_coding transcript_biotype:protein_coding METPYAIFAFIVLSITLVWAWSMLNWIWLKPKKLEKLLREQGLKGNPYRLLVGDIKDLLKIQKEASSKPMNLSDDIVPHVFPFAQQSVAKHGKNSFIWLGPIPRVILTNPELIKEVFNKIYDFQKANSNKLIRILATGLIVHEGEKWSKHRKIINPAFHLEKLKLMLPAFFQSCDDLINKWEGMLSSDGSCEVDAWPFVQKLASDAIARAAFGSSYEEGMRIFELQKEQAELTMKVLMTGYIPGWRFLPTVTKKRLKEIDRDVIVSLTDMINKREREIKAGEATKNDLLSILLESNHKEIKENNNKNVGMNLDDVIKECKLFYLAGEETTSVLLVWTIILLSRHPSWQVRAREEVLQVFGNNKPDFDGLSRL >CAK8559981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2868932:2869273:1 gene:gene-LATHSAT_LOCUS13705 transcript:rna-LATHSAT_LOCUS13705 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRDLNIEPEKGNQEMRNRVPNAMCEDECKYSKRTIMMKENLEEKQKIMVLNPAKETRYEMVDNCSLEVQVACMFGDPLTSPLPLHCRKSATKKIRELEFRTQNERPPENG >CAK8568290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590539841:590542292:1 gene:gene-LATHSAT_LOCUS21262 transcript:rna-LATHSAT_LOCUS21262 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKPVVGLSWQPQLPFPYDPSHAQFPAAKGQNNTIWKPNSELVGGLLVPPNDPRKLNKLLRQQVKDTSEKGWFGMPAQTMTPELQRNLKLQKLRGAIDPKRHYKKCDSNSKTLPKYFQIGTVVGSPLDYFSGRLTKKERKETFADELLADKKDSAYRKRKVLEIEEQRQPAGNAKWKIRGQSSLKRAKERRKF >CAK8539425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512520813:512525964:-1 gene:gene-LATHSAT_LOCUS8522 transcript:rna-LATHSAT_LOCUS8522 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHYHCPSTLVLRSNPSIHLVHNSKLTNPNNSWLGTKIRVQPCILIVRPPVSVLHRRPVAATAPFSLPTSNPELVSPGQEIPKWSSKAVKSFAMAAVEARKFKLTTTGTEALIMGVLVEGTNLANKFLRANGITLFMVRDEIVNMFGKPNMFSKIPECPPMTDDAQKALDWAVEKKLKSGDGGEITTAHIILGIWSEVDSPGHKILSNLGINDEKAKELESSISKAVANDE >CAK8536217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917987759:917988513:-1 gene:gene-LATHSAT_LOCUS5607 transcript:rna-LATHSAT_LOCUS5607 gene_biotype:protein_coding transcript_biotype:protein_coding MALISNLVFLSSIFLFNLIDIPFLITNAQSSCNGPCKTFNDCSGQLICINGKCNDDPDVGTHICTKPSPSPPSGGSGGGNCQSSGTLQCKTKSYPQYRCSPPVSSSTQASLTFNDFSEGGDGGGPSKCDNQYHDNSERVVALSTGWYNGGSRCGKMIRITARNGKSTTAKVVDECDSVNGCDPEHADQPPCHNNIVDGSVAVWNALGLNTDVGVEQVTWSMT >CAK8534797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769465007:769469248:1 gene:gene-LATHSAT_LOCUS4311 transcript:rna-LATHSAT_LOCUS4311 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWLFNQVWQWVRSRKDAYWRARTVVVCCRDRTAMFMERHWPTVCKGCSKLGSLLRLSLIFWKDSALRGFQSFIRFGPVMLLLIMWSCFLSLTSMYCLVYVLVSMVTAGVAIQYLGYTPGLFIVGLFAILILWMYANFWITGLLLIVGGYLFSLNHARLVVLIGTAYAMYSVQVKVGWLGVILAVNLAFLSNDILNFLLQLFDNVSESPHSEEQKQSEPIPEDDFVEACEYPIPPVESENLQSCKSSSKPPAVIASVVDKQKELLVNKVVREQTNSIDEMRRILKSLNHYEALGFNRHKKIDAAVLKKEYRKKAMLVHPDKNMGSSMSSESFKKLQCAYEVLADSVKKRDYDEQLKKEESMAKSVCQKSHSSSHQDNTEYRSEESRRIQCTKCGNSHVWVCTNRSKAKARWCQDCCQFHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSQRCNSSRFPWDLDAEMMMDEDEEAFDLWLQQALASGLFCESSKRRKSWSPFKLPQKKGKKQWRRTSC >CAK8578653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643966820:643969291:1 gene:gene-LATHSAT_LOCUS30645 transcript:rna-LATHSAT_LOCUS30645 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRRLEFGRPVSSKKRLKDLLVQKDNRFCADCGSPDPKWASANIGVFVCLKCCGVHRSLGTHISRILSVTLDEWSGDEIDAMMEVGGNASVNSIYEVNIPEGYTKPGPDATHDERAKFIRAKYEHQEFLKHSLRIVSTKSTKSNRQSSFAKKIMDSFRTTSGSKNMEGMVEFMGMLKVKVVKGTNLAIRDMMTSDPYVILKLGQQTVQTAVIKSNLNPVWNEELMLSVPQQFGTISLKVFDHDYFSADDIMGEAEIDLQPLITSAMAFGNAGMFDDMQIGKWLKSNDNALVEDSIVNIIDGKVKQNMLIKLQNVECGELNLELEWLSLDH >CAK8577753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586574192:586576159:1 gene:gene-LATHSAT_LOCUS29827 transcript:rna-LATHSAT_LOCUS29827 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGTAGRNRHSLLSSRRRREGSYSDGGSDSRDDDSDDELNYASRKPSGPQIPLKKRLDPSDRDDELGSHEEADDGRSDREGDTSDESNIGDDLYKDEDDRRKLSEMSELQREMILSDRASKKDDKSLLGKIASKREKGKAVMTGKQSPPLSSSRMRASARSADRSAKNEALNELRAKRLKQQDPEAHRRLREASRSSGPRHFSPPKRKSFTSANLSSSSHSDSESRSHSDDEGSTGDGGIADSDDDRALSGPEGPSFQDIKEITIRRSKLAKWLMEPFFEELVVGCFVRVGIGRSKTGPIYRLCMVKNVDSSDPDRQYKLENKTTHKYLNVVWGNESSAARWQMAMVSDSAPLEEEYKQWVKEVERSGGRMPSKQDVLDKKQAIRKTITFVYSAATVKQMIQEKKSASSRPLNIAAEKDRLRRELDRAQSKNDDAEVEKIKTRLVELEASRHTKVKDAKALKLAEMNRKNRVENFKNASELRPTKTGLKEGDAGYDPFSRRWTRSRNYYVGKPGEKAAAGNIGTVGVVADAGSNGTGVPVTAESGVVATAAALEAAADAGKLVDTSAPVDQGTESNTLHGFELPISLALLHKYGGAQGAQAGFMARKQRIEATVGFKIKENDGRRHVLTLSVGDYKRRRGLL >CAK8543920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649406392:649415609:1 gene:gene-LATHSAT_LOCUS12642 transcript:rna-LATHSAT_LOCUS12642 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRIMKGGQKKPSKSDSNDGYGPPANDVTQSAAVVVPPPSGKIEPLPLFRDVPVSERQNLFIRKLQICCHTLDFTDTMKSVREKEIKRQTLMELVEFIQSSSSKITEICQEEMIKMVSVNIFRCLPPAFHENTGQDATDPEEEEPCLEPAWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYVKTILHRVYGKFMVHRPYIRKAINNIFYRFIYETERHSGIMELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKPVSVYHQQLSYCISQFVEKDFKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVLLFRQIARCLNSPHFQVAERALFLWNNEHIVGLIAQNRTVILPIIFEALEKNVQSHWNQAVNGLTVNVRKMFLEMDADLFEECQRQYLEKEAKAKEVEELRELNWKRLADAAALNGPDMVTA >CAK8544206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671840524:671841069:-1 gene:gene-LATHSAT_LOCUS12906 transcript:rna-LATHSAT_LOCUS12906 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVLRPKLDKSNGENIGKLMDFHDFGQIEKALVPLLDEVCAQHPSLIECQQKRSQKFREWTFNALGRILYFLKTRKMKDMNDIACNELQIFWEELEHFGFDLSWLEPHVQSALGMKGYFKKLDEVEKPKDNEALLELEMMRLKAKMVALEVNRHAVKKLLEAEDFEEKDLDAELGFVKP >CAK8544207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671840524:671841006:-1 gene:gene-LATHSAT_LOCUS12906 transcript:rna-LATHSAT_LOCUS12906-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHDFGQIEKALVPLLDEVCAQHPSLIECQQKRSQKFREWTFNALGRILYFLKTRKMKDMNDIACNELQIFWEELEHFGFDLSWLEPHVQSALGMKGYFKKLDEVEKPKDNEALLELEMMRLKAKMVALEVNRHAVKKLLEAEDFEEKDLDAELGFVKP >CAK8532755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510752289:510753818:1 gene:gene-LATHSAT_LOCUS2429 transcript:rna-LATHSAT_LOCUS2429 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSRMAIFYTMQVIEAQVHTICMGLAASMASLILAGGGITKRLTFPHAWVMIHQPHSAPYEGPSGECMLEADEMVILRETITTIYSQRTRKPVWHISKDLERDHFISPEEAQAYGIIDSVSDSLPTEAIFDSGADSFS >CAK8532756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510752289:510752663:1 gene:gene-LATHSAT_LOCUS2429 transcript:rna-LATHSAT_LOCUS2429-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSRMAIFYTMQVIEAQVHTICMGLAASMASLILAGGGITKRLTFPHAWRQ >CAK8560380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16767431:16768093:1 gene:gene-LATHSAT_LOCUS14057 transcript:rna-LATHSAT_LOCUS14057 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVDINGGSVDPSRNEEAKKGWRKVLEQIESWMVHEDMEDKWLEQMRGNLGLIATIITTMTFQTGLNPPGGIRPVKDDGVTRCIFIQNVQQCPGETVLGVLHPSDYILYIYSNTICFISSLCVLFLLVSGIRLNHRFPIGILSVGMCLTLTSLVVTYITALRMLTPDVVWGEEKKLIKLLYIISAGMLLFLALFLTLRITIWGVNVFSKKKKNSQVLE >CAK8566102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379898061:379898447:-1 gene:gene-LATHSAT_LOCUS19265 transcript:rna-LATHSAT_LOCUS19265 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFTNYNFIHIGLVQITLKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYVDVSPNLNLSLSD >CAK8560352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15317811:15323103:1 gene:gene-LATHSAT_LOCUS14032 transcript:rna-LATHSAT_LOCUS14032 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRAKLRRSHFYTFGCLRPSTTEEGPHPLQGPGFSRTVHCNQSHLHEKRPLYYCKNDISTTKYNVLTFFPKALFEQFRRVANIYFLLAACLSASPISPFSALSMTAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNHHKGNGVFGHRSWQNIMVGDVVKVEKDKFFPADMLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLALDSDVAFKDFSGTIRCEDPNPNLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTEYIYGVVIFTGHDSKVMQNSTRSPSKRSTVEKKMDYIIYTLFTVLIFISVISTIGFVVKTEYQITKWWYLRPDNIESQYDPQKVGVAGLSHLMTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGAPYGACSSEVELAAAKQIASDLEEDSDLSNFPMPSKKRHVSWENIGRADEIELETVVTSKGDEDERITIKGFGFEDDRLMNGNWLQEPNSNDILLFFRILAVCHTAIPELNEETGGFTYEAESPDEGAFLVAAREFGFEFFRRTQSSIFTHERISASGEVIEREYKLLNLLDFTSKRKRMSVIVRDEEGQLFLLCKGADSIIFDRLSKNGKMYLEATTKHLNDYGEAGLRTLALAYRRLEEKEYSDWNNEFQKAKSAVGADREAMLEKISEVMEKDLILVGATAIEDKLQKGVPECIDKLAQAGLKLWVLTGDKMETAINIGFSCSLLRQGMKQICITTNSDSAPNDVKQFFCLTPQAIKDNILNQITNATQMIKLEKDPHAAFALIIDGKTLTYALEDDVKHQFLGLAVDCASVICCRVSPKQKAMVTRLVKEGTRKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLIVVHGHWCYKRIAQMICYFFYKNIAFGLTIFFFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMANGVYSSIAIFFLTVTIFYNHAFRIDGQTADMDAVGTTMFTCIVWAVNCQIALTMSHFTWIQHLFVWGSITTWYLFLAIYGTFSRSAHQLLVEALGPAPIYWSTTLLVTVACILPYLVHISYQRCFNPMDHHIIQEIKHYKKDIEDQHMWTRESSKARQETKIGFTARVEAKIRQLKGKLQKKQSFLTTMSPLRT >CAK8560353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15317811:15323103:1 gene:gene-LATHSAT_LOCUS14032 transcript:rna-LATHSAT_LOCUS14032-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRAKLRRSHFYTFGCLRPSTTEEGPHPLQGPGFSRTVHCNQSHLHEKRPLYYCKNDISTTKYNVLTFFPKALFEQFRRVANIYFLLAACLSASPISPFSALSMTAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNHHKGNGVFGHRSWQNIMVGDVVKVEKDKFFPADMLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLALDSDVAFKDFSGTIRCEDPNPNLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTEYIYGVVIFTGHDSKVMQNSTRSPSKRSTVEKKMDYIIYTLFTVLIFISVISTIGFVVKTEYQITKWWYLRPDNIESQYDPQKVGVAGLSHLMTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGAPYGACSSEVELAAAKQIASDLEEDSDLSNFPMPSKKRHVSWENIGRADEIELETVVTSKGDEDERITIKGFGFEDDRLMNGNWLQEPNSNDILLFFRILAVCHTAIPELNEETGGFTYEAESPDEGAFLVAAREFGFEFFRRTQSSIFTHERISASGEVIEREYKLLNLLDFTSKRKRMSVIVRDEEGQLFLLCKGADSIIFDRLSKNGKMYLEATTKHLNDYGEAGLRTLALAYRRLEEKEYSDWNNEFQKAKSAVGADREAMLEKISEVMEKDLILVGATAIEDKLQKGVPECIDKLAQAGLKLWVLTGDKMETAINIGFSCSLLRQGMKQICITTNSDSAPNDVKQAIKDNILNQITNATQMIKLEKDPHAAFALIIDGKTLTYALEDDVKHQFLGLAVDCASVICCRVSPKQKAMVTRLVKEGTRKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLIVVHGHWCYKRIAQMICYFFYKNIAFGLTIFFFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMANGVYSSIAIFFLTVTIFYNHAFRIDGQTADMDAVGTTMFTCIVWAVNCQIALTMSHFTWIQHLFVWGSITTWYLFLAIYGTFSRSAHQLLVEALGPAPIYWSTTLLVTVACILPYLVHISYQRCFNPMDHHIIQEIKHYKKDIEDQHMWTRESSKARQETKIGFTARVEAKIRQLKGKLQKKQSFLTTMSPLRT >CAK8540726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17367124:17369973:-1 gene:gene-LATHSAT_LOCUS9700 transcript:rna-LATHSAT_LOCUS9700-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTATPPTAPSAEMVGNAFVEQYYHILHQSPELVYRFYQESSVISRPDSKGVMTSVTTMKGINEKILSLNFKEFKAEIKTADAQKSHNEGVTVLVTGCLTGKDNLRRKFAQSFFLAPQEIGFFVLNDVFRYVEDREPSELHPVNENHDAIAVTVTTEPEPIHVADPPPPDPANSHVIERRVVAENAYEPSNHHETKIVAENEDIVEADFQSNENDDSQEASSLEDAPKKSYASIVKVQKGNLVSAKVYVPTKNAKKAANRTESQVVEAIESVAVAEAVPDSVGNPESNDSYEQVEGHSIYVRNLPLNMTVAQLEEEFKKFGPIKQGGIQVRNNKQQGYCFGFVEYLSLNSMTNAIQASPIAIGGRQAVVEIKRTTTRGGGGIGRPRIPSRSGFRNDSFRGRGNFGGGRGYGRNNDYGTRGEFSIRGRGPRGHEENYHRGRGRGADRSSVFKQNVVATE >CAK8540724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17367124:17369973:-1 gene:gene-LATHSAT_LOCUS9700 transcript:rna-LATHSAT_LOCUS9700-3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTATPPTAPSAEMVGNAFVEQYYHILHQSPELVYRFYQESSVISRPDSKGVMTSVTTMKGINEKILSLNFKEFKAEIKTADAQKSHNEGVTVLVTGCLTGKDNLRRKFAQSFFLAPQEIGFFVLNDVFRYVEDREPSELHPVNENHDAIAVTVTTEPEPIHVADPPPPDPANSHVIERRVVAENAYEPSNHHETKIVAENEDIVEADFQSNENDDSQEASSLEDAPKKSYASIVKVQKGNLVSAKVYVPTKNAKKAANRTESQVVEAIESVAVAEAVPDSVGNPESNDSYEQVEGHSIYVRNLPLNMTVAQLEEEFKKFGPIKQGGIQVRNNKQQGYCFGFVEYLSLNSMTNAIQASPIAIGGRQAVVEIKRTTTRVGGGGIGRPRIPSRSGFRNDSFRGRGNFGGGRGYGRNNDYGTRGEFSIRGRGPRGHEENYHRGRGRGADRSSVFKQNVVATE >CAK8540725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17367124:17369973:-1 gene:gene-LATHSAT_LOCUS9700 transcript:rna-LATHSAT_LOCUS9700 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTATPPTAPSAEMVGNAFVEQYYHILHQSPELVYRFYQESSVISRPDSKGVMTSVTTMKGINEKILSLNFKEFKAEIKTADAQKSHNEGVTVLVTGCLTGKDNLRRKFAQSFFLAPQEIGFFVLNDVFRYVEDREPSELHPVNENHDAIAVTVTTEPEPIHVADPPPPDPANSHVIERRVVAENAYEPSNHHETKIVAENEDIVEADFQSNENDDSQEASSLEDAPKKSYASIVKVQKGNLVSAKVYVPTKNAKKAANRTESQVVEAIESVAVAEAVPDSVGNPESNDSYEQVEGHSIYVRNLPLNMTVAQLEEEFKKFGPIKQGGIQVRNNKQGYCFGFVEYLSLNSMTNAIQASPIAIGGRQAVVEIKRTTTRVGGGGIGRPRIPSRSGFRNDSFRGRGNFGGGRGYGRNNDYGTRGEFSIRGRGPRGHEENYHRGRGRGADRSSVFKQNVVATE >CAK8570115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24317464:24342223:1 gene:gene-LATHSAT_LOCUS22896 transcript:rna-LATHSAT_LOCUS22896 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFQRSCCFFSSTTATTTPSPSLRHLMFQLRSFHYPVIPPHVNVTYNSVQRRNRFSFRSFSVSNFTTLKSVTPVSGFSFQFPANGVERSLPFSSVAPEKSKRKIIVKAVAVRGKDKDKGVSSKKPAAKEVNNVDLGKTGLSQESKSDKQDSKIIAAKKKKPVQSKKKDGKTSAATLSSQVVAKEVSRKASSKGKKTNLKDSSGSTSKEIQDDSASTRSKKKEVISSQVDLEKPLGKFTEKPLYPPGGKSVVVVESVTKAKVIQRYLGDMYVVLPSYGHVRDLASRSGSVRPDDDFSMVWEVPPSASGHLTSINVALNGAENLILASDPDREGEAIAWHIIEMLQQQGALHDNIFLARVVFHEITEQAIKTALQAPREIDVNLVHAYLARRALDYLIGFNISPLLWKKLPGCKSAGRVQSAALSLVCDREMEIDQFKPKEYWTMEAKFNMKERRLNKDLTFLAHLTRFDSNKLNQFSITSGGQARDIESKINSTEFRVISMKRDKFSKSPPTPYRTSTLQQDAANKLNFSASQTMMLCQKLYEGVELPNGISVGLITYIRTDGLHISDEAVGNIRSLIIERYGQNFVAQSPPKYSKKVKNAQEAHEAIRPTDICKLPSMLAGVLDEESLKLYTLIWSRTVSCQMKPAIFEKIQLDIGDADRSIMFRSASSRVEFPGYRAVFMDIVTEAGQDKDSDESNRELAFEVLNSFKTEDLLHLVQTEVTQKHTQPPRRYSEASLVKELEERGIGRPSTYASTLKVLQDRKYVTVKQRVLSPEFRGRMVSAFLSHHFSEVTDYSFTAGMETELDNVSAGTTKWKGLLGDYWIRFKSYCEQTSNVHIHQVEKMLEKEFEDYLFGSLLNKSRSCPCCTEGTLIFKVSRFGAGYFIGCDQHPSCKYIANTFNVNEEGEDTPQPNTTIEEPKLLGFHSSSNEKVLLKSGPYGIYVQLGENRKGNTPKRSSVPYVKDLNSTTLEDALALLQYPLTLGKHPKDGQPVILKVTKAGYYIKHKRTTTSIPKDMKASEVTLEKAVELLSSKDVRQFEHKDKPKVEVV >CAK8540047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537104683:537105033:1 gene:gene-LATHSAT_LOCUS9086 transcript:rna-LATHSAT_LOCUS9086 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLEVVSSNWKVPLLLGEAMHTVWKKMKNTPTIIRLKSKPISGIKLQHENEREALKEAEMELLEDRIDPRKIDKVKKCTGKVIKWNTIEEQALIQRAKIDWLRLGDGNNAYFQA >CAK8564007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645812288:645814594:-1 gene:gene-LATHSAT_LOCUS17356 transcript:rna-LATHSAT_LOCUS17356 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGIKSVDNAHDDSVWAVTWAPATATRPPLLLTGSLDETVRLWKSDDLILERTNTGHCLGVASVAAHPLGSIAASSSLDSFVRVFDVDSNATIATLEAPPSEVWQMRFDPKGAVLAVAGGGSASINLWDTSTWELIASLSIPRVEGPKPSDKSASKKFVLSVAWSPDGKRLACGSMDGTISIFDAQRIKFLHHLEGHFMPVRSLVYSPYDPRLLFSASDDGNVHMYDAEGKALIGTMSGHASWVLCVDVSPDGAAIATGSSDRTVRLWDLNMRASVQTMSNHTDQVWGVAFRPPGGNDVRSGRLASVSDDKSISLYDYS >CAK8569581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5998503:6017388:-1 gene:gene-LATHSAT_LOCUS22418 transcript:rna-LATHSAT_LOCUS22418 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDVELRRACISAIEDPKQKIILSLRVAKSHGILGKSSKLGRQMAKPRVLALSTLTKGQTTTAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVLTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILTMCKDVLGRLPKVVGIDVVEMALWAKENRAPVSTQTNVQDGGPVASIMTERELKVNVEKDLVSQAEEEDMEDMEALLGTYVMGVGEAEEFSERLKRELQALEAANVHAILESEPLINEVLYGLEAATNCVEDMDEWLGTFNVKLRHMREDIASIETRNNSLQMQSVNNKSLIEELDTLLERLRVPSEYAACLTGGSFDEDRMLQNVEACEWLTSALRGLEVPNIDPTYANMKAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKAGYDDDDDLGIMDIDENDSKSGKNSAELAALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQIGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSVMFATLEKISQTDPNLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYIQFERLFLFARRIEDLMFTVAPEEIPFQLGLSKMDLRKMLKSSLSGVDKSINAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPNETIPSVAEMKDLLGNS >CAK8569580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5998503:6017388:-1 gene:gene-LATHSAT_LOCUS22418 transcript:rna-LATHSAT_LOCUS22418-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDVELRRACISAIEDPKQKIILSLRVAKSHGILGKSSKLGRQMAKPRVLALSTLTKGQTTTAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVLTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILTMCKDVLGRLPKVVGIDVVEMALWAKENRAPVSTQTNVQDGGPVASIMTERELKVNVEKDLVSQAEEEDMEDMEALLGTYVMGVGEAEEFSERLKRELQALEAANVHAILESEPLINEVLYGLEAATNCVEDMDEWLGTFNVKLRHMREDIASIETRNNSLQMQSVNNKSLIEELDTLLERLRVPSEYAACLTGGSFDEDRMLQNVEACEWLTSALRGLEVPNIDPTYANMKAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKAGYDDDDDLGIMDIDENDSKSGKNSAELAALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQIGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSVMFATLEKISQTDPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYIQFERLFLFARRIEDLMFTVAPEEIPFQLGLSKMDLRKMLKSSLSGVDKSINAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPNETIPSVAEMKDLLGNS >CAK8534585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:745848310:745862830:-1 gene:gene-LATHSAT_LOCUS4119 transcript:rna-LATHSAT_LOCUS4119 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKSRHRSMFVIIGDKSRDQIVNLHYMLSKAQIKSRPTVLWCYKHKLELSSHVRKRQKQIKKMRHQGLWDPEKADAFSLFAESGDITYCLYKDSEKVLGNTFGMCILQDFEALQPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHDRYRTESHSEATGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITPVPVKEDSEGLSEVDQGLKKLKEELNEDFPVGPLIRKCCTLDQGKAVITFLDAILDKKLCGTVATFAARGRGKSAALGLSIAGAIAVGYSNIFVTAPSPENLRTLFEFICKGLGVLDYKEHEHFDLVKSQISEHKIVTTRVNIYKHHRQTIQYILPHEHEKLSQVELLVVDEAAAIPLPMVKSLLGPYMVFLSSTVNGYEGTGRSLSLKLVQQLQEQSHVSAKSTEGTGRLFKKIELSESIRYASGDPIESWLNTLLCLDVSNAIPNISRLPPANECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRQSAIQSLSHGHQPFGDQIPWKFCEQFRDTTFPSLSGARIVRIATHPNAMRLGYGSQAVELLTRYYEGQLTPISENDVDDKVHTPQIKVTEAAEKVSLLEENVKPRTDLPHLLVHLRERRPEKLHYIGVSFGLTLELFRFWKKHRFAPFYIGQIPNNVTGEHSCMVLKSLNNDEVEVDESNQWGFYGPFYQDFRQRFAKLLASTFRDMEYKLAMSIIDPKINFTEQEPIKTTADKSLGSVKEYLSPHDMKRLEAYVDNLADFHLILDLVPTLSHLYFQEKIPVTLSHAQACVLLCTGLQNQNISHIEGQMKLERQQILSLFIKVMKKFYKYLYGLASKEIESTMPRLKEIVMEPHSVSVDEDLNDGAKQVEDDMKSKSESLFTPELLERYAIEDGESFDNVLQSTGGKIPTGGLISVKSSRKIINSEKDNGSHKSDKKRRIDNHSHKSSSKKEKKKRSS >CAK8574066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656455667:656460793:-1 gene:gene-LATHSAT_LOCUS26445 transcript:rna-LATHSAT_LOCUS26445 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENDTERNMDEESNVRRRSRKKSMTGSGAKEHKGQLESLQQKDPDFYEFLKEHDQELLQFSDDDIDEDLDADMEDGDLHVDEEAPEHEVQEKDKKSSKKVITTAMVDLWCKSIKENGSLNALRSLMKAFRTACHYGDDEENDSMTKLSVMSSAVFNKIMLTVLNEMDGILRKLLKIPSSGGRKQTITDLMATKQWRTYGHIVKSYLGNALHILNQMTDSQMISFTLHRLKYSSLLLAAFPSLLRKYIKVALHFWGTGGGALPVVSCLFMRELCICIGSGCIDECFKGIYKAYVLNCHFVNAVKLKHIRFLSNCVIELLGVDLPTAYQHAFIFIRQLAMILRDALNTKTKEAFRKVYEWKFINCLELWTDAIRAYSSQSDFKQLAYPLTQIISGVARLVPTARYIPLRLRCIRMLNQLAASTQSFVPVSMLLLDMLEMKELSRPPTGGVGKAVDLRSILKVSKPTLKTRAFQEACVFSVVEELAEHLALWSYSVAFMELSFIPIVRLRSFCKLTKVERFRREMRQLLREIEANVQFVNEKRMSASFLPNDPAASSFLEDEKKSASSALSKYVITLRQRAEQKNNSLMESSVIVGEESSVFGDEASESDEEDAKQDEDGTAAFSSSWLPGNDKIKQEPTETKGKRKKRQKERKAVDDDVVEDLVLSSDEDLPSSDSPSAGKNANVDNHLSPKQNRKPKHKTKRLKRNTQGKK >CAK8576402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470439362:470440219:-1 gene:gene-LATHSAT_LOCUS28591 transcript:rna-LATHSAT_LOCUS28591 gene_biotype:protein_coding transcript_biotype:protein_coding MNELDLKLLVCNSQEVEKLVKKRSEACRQMWSNLKIKDNMIIQKSRRRWCVEGDENSRLFHAYINGRLRMKFIVVVDTEPGRVKAVEDVKEVVHSFFKGKYTEPEVVRPILEYGGFNKLSISEAKSLEVPFTDSEIKEAVWDCDGSKSPGPDGYNFVFIKRCWFLLKYDIFKVLTDFNSHSFLPKVVSSSFNTLTPKVDKPLHLREYRPICLVGSLYKIMAKLLANRLKKVIGGLVSQCQTTFVLGRKMLEGVVVANEIIDLATRDNKECMSFKVDFEKVYDCVN >CAK8544795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706008003:706008218:-1 gene:gene-LATHSAT_LOCUS13445 transcript:rna-LATHSAT_LOCUS13445 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRRGLKEECVRGVKKFVKKALNQPICKFEGGIRCPCINCKCCKISTTTNVRLHLYQDGF >CAK8568470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:609378322:609379257:1 gene:gene-LATHSAT_LOCUS21425 transcript:rna-LATHSAT_LOCUS21425 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSLCIPTNPITQTPKFRFSTNLVFTVNLPRKLSIRSSGENSAGATEVESESSIELPKESSSLISTLNVERALRGIPITDADHYGRLGLPRGCPYDMVPVAYNNKVRELESQDLEKDILNKKLELLRESYTIMSSPDERRMYDWSLAREDNTETFIWPYEVDVPEIQKGVPPPQEPEDVGPTRLVGYFLVGWIVLAFVLSIGLNL >CAK8561408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:202213819:202226210:-1 gene:gene-LATHSAT_LOCUS15006 transcript:rna-LATHSAT_LOCUS15006 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCYLDSAIVIISLCFFNSLFNSSQAQTANATTDPSEARALNSIFSKWKIKADNTQWNISGELCSGKATVSSIAIDDQTYDPFIKCDCSYNNKTTCHITALKVYSLDVIGEIPPELWTLTYLTNLQISQNYLTGSLPPAIGNLTRMQYMSIGINALSGELPKELGDLTELLVLGFGSNNFSGSLPSELGNLVKLQQLYLDSSGISGPIPPTFASLTSLAQLWASDTELTGRIPDFIGNFSKLQSLRFQGNSFEGPLPSSFSNLTSLTELRISGLSNGSSSLDAIKNMKSLTILELRNNNISGSIPSFIGEFRNLTQLDLSFNNISGQIPGSIFSLSSLSSLFLGNNTLNGSLPRQKSSSLNNIDLSYNDLSGSFPSWINGQNLELNLVANNLTIDDSNSSGLPTGLNCLQKTFPCNRGAAARYSDFAIKCGGPQIRSTDGIVYQTDNETLGPATYFVTDTERWAVSNVGIFTGSNNPAFKSSVTNQFTGTLNPEIFQTFRLSASSLRYYGLGLENGFYNITLQFAETAILDSTTWKSLGRRVFDVYIQGTRVLKDFDIQRQAGGVSYRAVQKQFRFEVKENYVDIHLFWAGKGTCCIPGQGTYGPLIQAISATPDFIPTVSNKPPSSKKNRAGLIIGVVVGVGVVCFLSIFAIFYIIRRKKRYDDDDELLGIDTMPNTFSYSELKNATSDFNHDNKLGEGGFGPVYKGTLNDGRDVAVKQLSIGSHQGKSQFVAEIATISAVQHRNLVKLYGCCIEGSKRLLVYEYLENKSLDQALFGNVLFLNWPTRYDICMGVARGLTYLHEESRLRIVHRDVKASNILLDSELIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALELVSGRPNSDSSLEGEKMYLLEWAWQLHERNCTNDLIDPRLSEFNKEELQRLVGIALLCTQTSPTLRPPMSRVVAMLSGDVEVSAVTSRPGYLTDWKFDDVSSIMTDISSKGLDTSNYNSTASTSIAVGADQYSPIDSSKPILRETLREGR >CAK8535672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873998629:873999124:-1 gene:gene-LATHSAT_LOCUS5105 transcript:rna-LATHSAT_LOCUS5105 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSQGLVFTTAMVVSSTMLYLVFSRQKNNPNPSFQIQGNHVLRSCLCSEEKKTERKMNTKKTKKKVRFEESVKEERENKSEVVRKEKQRKQNRVYSNCGSETSKSRGIPANRIALFNGILRDRVHRMECCH >CAK8531943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:180689578:180690162:-1 gene:gene-LATHSAT_LOCUS1696 transcript:rna-LATHSAT_LOCUS1696 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDSVSAYDIIARWIISPSCIFLIVNLVIGTIAITSRFATQRKNQPDSSPQLGPPTSSFFDRVTSFGLGCCKFKPPTTSVESQIESVQNQNLNRLDQTVLNQTKSNDTDLGMDKLIVNPLPRAPLLLERLMSGNFGRLKSVKVEEEKKVGSEMEEEEVDAKADDFIKRFKQQLRMERLDSILRYRDVLHRRS >CAK8563949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641374262:641374891:-1 gene:gene-LATHSAT_LOCUS17303 transcript:rna-LATHSAT_LOCUS17303 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHISITVLANFFILFISPPFLSVSTAASTPQDLLRSSCAQARYPALCVQTLSNQVSPTAKPLDLAQAAVKASLDRTLILSVYLKNTLKSEVPVGSKTNNRKRVALSDCVAQISDSVLELNRTLKELRKLRMGTFEWQMSNAQTWASTAITNGNACINGLNRSDAEEKMKVEVKRKVTEVSMFTSNALYLIIRLGDSRNPKPHSNSNN >CAK8535997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896961410:896961969:1 gene:gene-LATHSAT_LOCUS5406 transcript:rna-LATHSAT_LOCUS5406 gene_biotype:protein_coding transcript_biotype:protein_coding MARADAFEQHLEEQNSIDQQNELEGYNSSKCVKRDPNFDILVWWKRNSAEYPIISTMAKDILATPVSTVASESDFSTGGRVIETYRSSLTAEMAEALICTQNWLRPSLTYFKDMNLMEDFELSEDIVTEFQQMSSAARGGSGVSSSQPQPQPFGCA >CAK8565895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347897906:347899483:1 gene:gene-LATHSAT_LOCUS19071 transcript:rna-LATHSAT_LOCUS19071-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEMDTNTFPLLFTLAASLIAYLLWFHLLARNLTGPKVFPFFGSLPVLFLNRNRVHDWITSNLNQTGGSSTYQTCILPFPFLANKQGFYTVTSNPKNIEHILRTRFDNYPKGPTWQTAFHDLLGHGIFNSDGDTWLVQRKTAALEFTTRTLRQAMARWVNRTIKNRLWCILDKAAKEKVSVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSLSFDFATEATLQRLLYPGLFWRFQKVLSLGAEKKLKQSLKIVETYMNDAVSAREKSPSDDLLSRFLKKRDGNGKPFDAGKLRHIALNFVLAGRDTSSVALSWFFWLVMNHPSVEEKILAELTAVLAETRGEDCRRWTDEAVDFEEADKLVYLKAALAETLRLYPSVPEDSKYAVEDDVLPDGTVVPAGSTVTYSIYSVGRMKSVWGEDCMEFKPERWLSVQGNRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVAAAVLIRYRLLPVPGHKVQQKMSLTLFMKYGLRVFLYPRQLQKDNSAVSY >CAK8565894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347897885:347899483:1 gene:gene-LATHSAT_LOCUS19071 transcript:rna-LATHSAT_LOCUS19071 gene_biotype:protein_coding transcript_biotype:protein_coding MISFIKNMMMEMDTNTFPLLFTLAASLIAYLLWFHLLARNLTGPKVFPFFGSLPVLFLNRNRVHDWITSNLNQTGGSSTYQTCILPFPFLANKQGFYTVTSNPKNIEHILRTRFDNYPKGPTWQTAFHDLLGHGIFNSDGDTWLVQRKTAALEFTTRTLRQAMARWVNRTIKNRLWCILDKAAKEKVSVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSLSFDFATEATLQRLLYPGLFWRFQKVLSLGAEKKLKQSLKIVETYMNDAVSAREKSPSDDLLSRFLKKRDGNGKPFDAGKLRHIALNFVLAGRDTSSVALSWFFWLVMNHPSVEEKILAELTAVLAETRGEDCRRWTDEAVDFEEADKLVYLKAALAETLRLYPSVPEDSKYAVEDDVLPDGTVVPAGSTVTYSIYSVGRMKSVWGEDCMEFKPERWLSVQGNRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVAAAVLIRYRLLPVPGHKVQQKMSLTLFMKYGLRVFLYPRQLQKDNSAVSY >CAK8537057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:71162736:71165168:-1 gene:gene-LATHSAT_LOCUS6374 transcript:rna-LATHSAT_LOCUS6374 gene_biotype:protein_coding transcript_biotype:protein_coding MQELDQWKEHISHLLNVANDYIREIPTDQLYAAAAIAVFTTLILLLLRFFKRSKPNTIVLTGLSGSGKTVLFYQLRDGSTHQGTVTSMEPNEDTFILHGEATKKGKIKPVHIVDVPGHSRLRPKLDEYLPQAAGTVFVVDALDFLPNCRAASEYLYDLLTKGSVVRKKIPLLILCNKTDKVTAHTKEFICRQLEKEIDKLRASRSAVSDADLTNEFTLGVPGEPFSFKQCLNTVTTADASGLTGEISQLEEFIRQHVKP >CAK8570988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:227668747:227669628:-1 gene:gene-LATHSAT_LOCUS23691 transcript:rna-LATHSAT_LOCUS23691 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNSRIQSSKRKFDDTVSSSSNDVDLSLLEAIEKSQTTIEAVDSRTLKKLVLSFERRLKENIEARLKYPNQPDRFADSELELHEELHKLKVLAGAPELYPDLVSLNVVPSIVDLLNHDNTDIAIDVVQLLQDLTNEDTLDENDESARVLVDAIVDNSALELLVQNLHCLSESDPDENAAIYNMLATIENLIEVKPAVAELVCEKTKLLKWLSGKIKVREFDGNKQYASEILAILLQSSSVNQKKLGQMNGVDVVLQAVAMYKSKDPKSFDEEEMVENLFDCLCCLLMPLGE >CAK8563051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569487063:569488305:-1 gene:gene-LATHSAT_LOCUS16498 transcript:rna-LATHSAT_LOCUS16498 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNYTCQTLTRSQPEPPCLPPKQINNLLHRRHLLTATAFTISFNFNFPLKPSMPVSEPVAGASLLIMPPPRLINRYYLVRSGESEFESKGMINTNPVAKTSVDNGLSDRGKKQSVRAAFDLKEMGACDNNCWIWPAITQRAYQTAEIIASVNSITRSYIVPEYSFLDARGLGAYEGKTLESVSEIYASDSISTKIKPPPIDDGTPNESVADVFVRVTQLMSILETQYAGDTVVIVSPDSDNLSILHAGLVGLDLRRHRDLSFAPGEVRYVDSNDIPAYKIPPSAVYKCSKLPNCN >CAK8543119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579823011:579841593:1 gene:gene-LATHSAT_LOCUS11901 transcript:rna-LATHSAT_LOCUS11901 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQLHHLPMAMVAVVVTAWLAYNRGVNRQTSVAFGVCDVYEMVPVEKGGAVGPESLAFDANGEGPYAGVSDGRIIKWDSVENDWVDFAVTSSNRGNDDCGGPYKEQSKKEHICGRPLGLSFNIASGQLYVADAYMGLVVIEPNGGTARKITSHAVEGETLGFTNGLDIDQNTGAVYFTTSSTKYERRNYVSLILSGDKSGRLIKYEPKSEEITVLLNNLTFPNGVALSKDGNYILIAETTNCRILRYWLQTPKAGTLEVFANLPGFGDNIKRSPRGGFWVGIHSKRENFIKWILSYPWIGKGLVMVPLDMTKSYSYLAKIKGSSGMAIRVSEEGDVLEVVENDRSGNRKSISDAEERDGVLWLGSVDAPFAVKYNIPMLQE >CAK8579535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706384011:706385967:-1 gene:gene-LATHSAT_LOCUS31475 transcript:rna-LATHSAT_LOCUS31475 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSNTKTPKKHANNSNSTTLEINCYEGERLTNLLQSILRGIESTRHLDGSSLPEKIWIKQQFAIGVNDVTRVLERMKPCAEFEKSAQLLPSITSNYKTSSVKLQAVLVASDCNPRWLTKHLQSLAASRSVPLIFVKDNKEGSLRLGELVKLKTAIAIGIKIKGNVINKILEDIIQADEYRLRPDRPNSAGIFDEFQGPDKKGNAVTNII >CAK8574361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677316133:677316717:1 gene:gene-LATHSAT_LOCUS26715 transcript:rna-LATHSAT_LOCUS26715 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLASASVQLSNPFPPSSSYKPLIRRNFLLFTTRANEPQTPPSDSDPSKPDSEITATGADFDNRINQLRLRSRRGAGKKAEARKSRKLNKATSGSAPGSGVYLPPVPLKEPVSGGLKVELGFSKFSERLNGRVAILGLTALLLVELATGKSVINYHSPGTVLIQFYFMAALTAIYVKFQKEKISIWPDSSSTN >CAK8531645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:134012744:134013247:1 gene:gene-LATHSAT_LOCUS1421 transcript:rna-LATHSAT_LOCUS1421 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNDFVFDFQAKSSTDLKRKMKIKVENEKALKDNPTNQGSNPINTNVGNIQKLNHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKMLALNNNTKKKLKSMVDHQVKGSIEAQVSKNTSDQTKKIIYSTF >CAK8573059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580361684:580362350:1 gene:gene-LATHSAT_LOCUS25550 transcript:rna-LATHSAT_LOCUS25550 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKGVNEEAFKHLIKIPPRFWSKSRFQPSSCYDTLVNNMSEAFNFVFVAARSKPIMTMLEKIRVYIMQIWESNRHAGEYDYEVRHTSLNGEKYVVNLYKKECSCRLWVLTGLPCCHAMSCMKDQHLEINDFVPDCYKKEQYAACYAHVIYPLNGEALVLLIFSHHQ >CAK8538820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496999385:497000266:-1 gene:gene-LATHSAT_LOCUS7976 transcript:rna-LATHSAT_LOCUS7976 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSYLPNVKSISFNHRRSDLQLKPTPVRMTITQQTLSPRLYWDSLQADIEAHLKCSITIKQPLEVFEPMHHLVFSAPKTTVPALCLAACELVGGQRHQAISAASALLLMEAATYTHEHLPLTDRPRPGRNHVYGPNVELLTGDGIVPFGFELLARSDDGENSERILRVMVEISRAVGSTGVIDAQYMKTMDTRSDGEKICHVEEIMRVVEKYEGWLHSCGAVCGGVLGGGSEDEIEKLRKIGFYVGMIQGMAQRGFKEGKQVNEARNLALQELKFFKDKEVHAIKSLLNI >CAK8572506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542145059:542146116:-1 gene:gene-LATHSAT_LOCUS25065 transcript:rna-LATHSAT_LOCUS25065-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSSWTARLSSASRRYQAALQSRSDMFMGFDENDVEEDIREEFLCPFCSEYFDIVGLCCHIDEEHPMEAKNGVCPVCALRVGVDMVAHITLQHGNIFKISFFSPQVLFASANS >CAK8572505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542143811:542144904:-1 gene:gene-LATHSAT_LOCUS25065 transcript:rna-LATHSAT_LOCUS25065-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRKSRKGGSYSTLSLLRKELREGNLQSLFGGSPCLVSSSNAAPDPLLSSFILPLSNESMSSQSHTQTEIRSSKKSSDETVPKSHVETPTMSVKDKEEKTKRCEFIQGLLMSTILDDNL >CAK8572504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542143811:542146116:-1 gene:gene-LATHSAT_LOCUS25065 transcript:rna-LATHSAT_LOCUS25065 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSSWTARLSSASRRYQAALQSRSDMFMGFDENDVEEDIREEFLCPFCSEYFDIVGLCCHIDEEHPMEAKNGVCPVCALRVGVDMVAHITLQHGNIFKMQRKRKSRKGGSYSTLSLLRKELREGNLQSLFGGSPCLVSSSNAAPDPLLSSFILPLSNESMSSQSHTQTEIRSSKKSSDETVPKSHVETPTMSVKDKEEKTKRCEFIQGLLMSTILDDNL >CAK8566006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366668724:366669098:1 gene:gene-LATHSAT_LOCUS19175 transcript:rna-LATHSAT_LOCUS19175 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTTCFVCWNLNTDFNCNNHLHNTRVSRSPFPLSCKMPHRILSLQQRRRYHKRTTSQPSIHGAPKPNQRLNSDLNKKLSFNSKTDDSLHNLNSPILLHDNKSTPSALNVNGAEQTEQLSGG >CAK8562816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:541479882:541480661:-1 gene:gene-LATHSAT_LOCUS16281 transcript:rna-LATHSAT_LOCUS16281 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGLKNLTTLVLHLLPVEQNMLQDMCLKCIHLHNLTLNECTFRSDLKITSTTLLHLNINCGDIIGEKINIDIIASNLSSVQYSSDCLAEFLLHTLNIKSHKLSNFSYTCAQISNLVHFSGLKNVTTIVLDGLMEGDVINFGLREGDVITHLFSKCLQLQHVTISQCWLTCECKIISAKLRHLSILHCFNTNVLDIASNGSLFEYRGHPETRSILSIHALNLSSFEFRGRSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8543263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593381232:593382052:1 gene:gene-LATHSAT_LOCUS12032 transcript:rna-LATHSAT_LOCUS12032 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAISEKLGHFTSSCATFFAGIVIATISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFIENMDKQYVISKGEALVKGVGTGMLSLVALSITSLATTTPSNSCLPGKK >CAK8565447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:215950625:215950993:-1 gene:gene-LATHSAT_LOCUS18664 transcript:rna-LATHSAT_LOCUS18664 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSNIPRGREKLVNQKEAVVASKVSEPGLALKPTTNTIPRHEEELELESSREAENVLPGNQEIHTVGLVHQDAPLDPEILRREEAVTKAQAVFRGYLETRSSVC >CAK8563142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577152279:577153421:-1 gene:gene-LATHSAT_LOCUS16579 transcript:rna-LATHSAT_LOCUS16579 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPRLKFTRAGLIEETVPNSGWTEDSTSHYLLVDLPEFKKEDVKLQVDSSGRIVVKGERQASEQKRVRFHLSFPAPTDSEVDKIAGKFDGGILYVTLPKQIVQTNKESDNEEAGNDSVERAEENDSHMTNANDEGRDFNQHGDHSHDEGRDFNQHVGHAHDEGRDFNQHVGHAHDEGRDYNQHVGHAHGEGRDFNQHVGHARGEGRDFNQHVGHAHEEHKEERNENVHMGDFSGEVIRKWDQETMLRSAVDVLWKNKEIVLTAVIAFSFGMYVSSKFRFSEAL >CAK8571346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:385649067:385649618:1 gene:gene-LATHSAT_LOCUS24014 transcript:rna-LATHSAT_LOCUS24014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKKLTLNTVSINLGCGTCRRPKLCFVFNPKQKHKNHHLHNNPSSSSSSSNPWRERHRGKTETSSSTSNITTPTTKTSFDSEKSSPLKGFGRVGNEGVAVEKDSDDPYLDFRYSMLQMILENEICSKDDLRELLNCFLELNSMEHHGIIIRAFTEIWNGVFSVKYGFSPGFHINRKSRDF >CAK8560568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26386118:26386657:1 gene:gene-LATHSAT_LOCUS14230 transcript:rna-LATHSAT_LOCUS14230 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDATPVKENMPTSYYDAKRMVSKLGLEVKKINCCIGGYMLFYDNEFGINDETLEECKFCKSLRYAVRSKGIDRKQKRVVVKFMFYLPMIPRLQRMFASMHSAIQMTWHYTNTISSGMMRHPSDGEAWKHFDRVHPKFVAEPRISDFDYLIWFYSLYSIVNNCLFLLASYHHPVQYPS >CAK8539655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520063895:520068248:1 gene:gene-LATHSAT_LOCUS8733 transcript:rna-LATHSAT_LOCUS8733 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIESSVWELNPAIFLFLSVCCCFSIFLYPHLSNLNRTSTIFDHGISSSFTTFQRNFLFIYSIASVVEGLWSVFGEFELASYGIRREEMIMSLCYGYTTSLFAAPFLGMLSDLIGHKKVCLTYCILHFFVGVWKKITQQPSIFMTSICLSMANTIFSFSFETWMVTQHEKQGHRLDSLNDTYWLMTFFESACFIASQMFANGLIGNNMVKNTAPSSAVILLAIICFIFLTRGWTETPGATSFKEYSLSFYAYIFGDKKMWLLTVAQACLHFSIGILWILWAPTVVADGREVQLGLIYPCFLGSRMLGSTVFPCLTSGPSSFRIEDCLVYAYITLAALLSIVAYDYQEIGVLVTLFSLFHACVGFILPSLARLRTMHVPNELRGGMMGFSLAPANAAILLSVVQGGYYRNVGNAALMAFGVFGLLLAAGCMHALKQCGKQPYNNWHKQ >CAK8568561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:617190307:617191221:1 gene:gene-LATHSAT_LOCUS21507 transcript:rna-LATHSAT_LOCUS21507 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIGSHYILETLRSTQDDKSAVYDQMKRQVVELARQTFRAEFMNRIDEYIVFQSLDSNKISKIVEFQMERVKYRLKQKKIDLHYTQEVVNLLGVLGFDPNFGARPVKKVIQQSVENEIAMEVLRGDFREEDSIIVDAEETSSGEEGSSIKRLIIKKQDSLVADAMVAND >CAK8563246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585708379:585709716:1 gene:gene-LATHSAT_LOCUS16676 transcript:rna-LATHSAT_LOCUS16676 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRPSSSSTVLDTLGEEIVRIITPVSICMFLVVILVSILNTNDSPTISTIANIAYDETTSDSSWDKFLGALLNSLSFVVVVTFTTFFLVLLFYFRCIRFLKLYMAFSSFVVLGFLGGEISVFLIQHFSTPIDCITFSIVLVNFAVVGVCAVFMSKMAIFVTQGYLVFIGILVAYWFTMLPEWTTWAMLVTMALYDLAAVLLPVGPLRLLVELAISRDEEIPALVYEARPVSNDSDVAARRRLWRERRIENENSVLGGSGLNAGANAVVSSNSNRNTPNDVNLSSENASNLNSSSSSYGTCNLVRAEEGRVQVQETDSDLATPLIGHGMNVQVPRGEDTASNENLMLEGIGLASSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGITLMLLAFYQKALPALPVSIALGVLFYFLTRLLLEVFVVQCSLNLLMF >CAK8568120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574601657:574601887:-1 gene:gene-LATHSAT_LOCUS21114 transcript:rna-LATHSAT_LOCUS21114 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDNNNSTTETFSGPHPNLATRLQRRASIREKQVHRHLQGDLVDYIWKSFGHEYDEI >CAK8531427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:109084217:109084654:1 gene:gene-LATHSAT_LOCUS1220 transcript:rna-LATHSAT_LOCUS1220 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSYNVCGLSSFLKRKQLGSLIRKDCFDVCLLQERKLSQLSAISVFELWGGTNVEWTFKPFIGDSGGMLLLWKQGFFPSCFSFTGEGFVGVGGFWKNSLVYVVNVYSSCFYAKKRSLWRYLEEIEKRFLVEGWILVDDFNTVR >CAK8566447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424109438:424110124:1 gene:gene-LATHSAT_LOCUS19578 transcript:rna-LATHSAT_LOCUS19578 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLMESINGVFKGIRNLPITALVRSTYYRLASLFATRGERWSAVLTSGQVFSESIMKLMKEDTIRAITHAVTVFDRHRQTFCVQKTMDHNEGKPDLSYVVRLNRCWCDCVKYQAFRVPCSHVIAACAHARQDAYGYLSDVYKAINIMSVYNEGFTVLPMEDYWPPYQGDIVWHNDDMRRNKKRHPNSKRIRTEMGTTDKMIRLCSICRQPEYNQKNYSNVGGTSAS >CAK8570399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43081344:43114603:1 gene:gene-LATHSAT_LOCUS23148 transcript:rna-LATHSAT_LOCUS23148 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQNQRSLTTAPPPSRSVQFHPARVPILDLFTLYLGLGRNSRNKPDDSLREPPNKTQKRVHAINRELPPPNEQFILDFEQLQSQFPDHEQLRFVAEAVLIPLVTQCSGHGSRSDFLIFILRSLSGIGCINWDSFLPSLLSSVSSAELPVGQMSQAVPTVTSSSLSQSGMLPPPNTITNSSNFQSSNPASPLNSVHTIGSPAQSSIEPLSGAALSPVKSSDISSSGLQFKPRGNPSVRNNDISNSSLRQLCCKIILTGLEFSLKPVTYAEIFNHMLNWLVNWDQRQHGVDESDIIKSWRLDKTVIAWLHSCLDVIWLLVDEGKCRVPFYELLRSDFQFIENIPDDEALFTLILEIHRRRDMMALHMQMLDQHLHCPTFGTHRILNQTTPTISSEAVAHLRLSPLSYLSVLGEPLHGEDITTSIQKGSLDWERAVRCIRHALRTTPSPDWWRRVLVLAPCYRPSSQGITAGAVFSSEMICEAVIDRIVELSKLTNSEINCWQDWLVFSDIFYFLTKSGCIDFIDFVDKLVSRLSEGDHHILRTNHVTWLLAQIIRIELVMNALNSDSRKVETTRKVLSFHREDRSSDPNSPQSILLDFVSSCQNLRIWSLNTSTREYLNNEQLQKGKQIDEWWRQASKDRMMDYMNMDERSIGMFWVVTYTMAQPALETVMNWLTSPGVIDLLPTTNLQPAERLVCTREVSPLPISLLSGFSLNLCLKLSYQMEDSLFSGQAIPSIAMVETYTRLLLIAPHSLFRSHFNHLVQKNPSLLSKPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISALKGKRGDHRVFRLAENLCLNLIFSLRDFFLVKREGKGPTEFTETLNRVTVITLAILIKTRGIADADHLLYLQNMLDQIMAVSVHTWSEKTLRYFPSVMREALSGRPDKRSLAIQTWQQAETTVIHQCTQLLSPLADPSYVMTYISHSFPQHRQYLCAGALILMHGHAENINSGNLGRVLREFSPEEVTSNIYTMVDVMLHHMQIELQQGHLIQDLMLNACASLAFFVWTNELLPLDILLLALTDRDDDPHALRIVISLLDRQELQQRVKLFCLTRGPPEHWLHTGVFKRVELQKALGNHLSWKDRYPVFFDDIAARLLPILPLIIYRLIENDAMDTAERLLALYSQLLAYYPLRFTFVRDILAYFYGHLPGKLIVRILNVLDISKIPFSESFPQQISSSNPAMCPPLDYFTTLLLGIVNNVIPPLHNNSKSVTIGDASNSALRTAQNKPPAVSQSGLPNASESQKAFYQIQDPGTYTQLVLETAVIEILSLPVSASQIVQSLVQIVVNIQPTLIQSSDSLHGSSNGVGQGSVLPTSPSGGSTDSLGGSRSTPSASGVNTTNFASRSGYTSQQLSCLLIQACGLLLAQLPPDFHAQLYLETTRIIKENWWLTDMKRSLVEIDSAVGYALLDPTWAAQNNTSTAIGNVVALLHSFFSNLPQEWLEGSNVIIKQLRPVTSIAMLRIAFRIIGPLLPKLANTHTLFIKTLSVLLSILVDVFGKNSQISIAADASEIADIIDFLHHVIHYEGQGGPVQATSKPRPDVLALIGRAAESLRPDIQHLLSHLNTDVNSSVYAASHPKLVPNPT >CAK8559994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3538741:3541822:1 gene:gene-LATHSAT_LOCUS13718 transcript:rna-LATHSAT_LOCUS13718 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPTRKSDNTKYYDILGVSKSAGEDEIKKAYKKAAMKNHPDKGGDPEKFKELGQAYEVLSDPEKKELYDQYGEDALKEGMGGGGSSFNNPFDLFESFFGGAGFGGGGPSRGRRQKQGEDVVHSLQVSLEDVFNGTTKKLSLSRNVLCVKCKGKGSKSGTAGRCFGCQGTGVKITRRQVGLGMIQQMQHVCADCKGSGEVISERDRCPQCKANKITQEKKVLEVHVEKGMQQGQKIVFEGQADEAPDTITGDIVFVLQVKGHPKFRREHDDLHIDHNLSLTEALCGFQFTVTHLDGRQLLVKSNPGEVIKPGQYKAINDEGMPQHNRPFMRGRLYIKFNVQFPESGFLSPSQSLELEKILPQKTSKKLSKKELDDCEEITLHDVDIAGMHRKKQPYRGAYDDDDDDDEDEHSGPRVQCAQQ >CAK8561092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91988935:91990967:-1 gene:gene-LATHSAT_LOCUS14713 transcript:rna-LATHSAT_LOCUS14713 gene_biotype:protein_coding transcript_biotype:protein_coding MADESIKTAFLEIQGRMIETTRKLKQVQNQIRSKETDKKRSFLTMEELKQVPDDTNVYKSIGKTFVLETKATLMNEQENKFKESETSITALQNSKEYLEKQIAEVENNLRELLQQDPGLARQIMSMNV >CAK8579118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:676953871:676954440:1 gene:gene-LATHSAT_LOCUS31086 transcript:rna-LATHSAT_LOCUS31086 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCPPISQESTHQPIPNESGNLSIEAPPPTPNDNMEVNSQTTENRRSRLKSIVWDHFTKVKVGEKVKAKCNYCSKLLNGSSNDGTTHLKGHMDYCPKKKLLKPSDKGQTFLTPKTMQGKQELSTGIYDAEIAKKELAHAIILHEYPLSIVDHIGFRRYSTSLQPLFQVPCRNTIKKEILKV >CAK8540901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30900835:30902985:1 gene:gene-LATHSAT_LOCUS9859 transcript:rna-LATHSAT_LOCUS9859 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTDDAGTSTKAKASSKDVPKREKMSVSAMLASMDEKPDKPKKASSSNKQKPKPAPKASTYTDGIDLPPSDDESEEELEQKHRPDVKPLEVSIAEKELKKREKKDILAAHLAEQARKEALRDDHDAFTVVIGSRASVLDGDDGADANVKDITIENFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANAELVKVRQEVADLQNAASGEEGVDKDVTNEDGDAGDKLAELYDQLQLMGSDAAESQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVSSEIIHLHDFKLHFYRGNFEAFESGYEQRRREVNKKYEIYDKQLKAAKRTGNKAQQDKVKDRAKFAAAKQASKSKSKGKVDEDETQVEAPHKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLDPSEGEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIVKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTVRKFPGTFDEYKEDLLKEIKAEVDD >CAK8532249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231134142:231136098:-1 gene:gene-LATHSAT_LOCUS1979 transcript:rna-LATHSAT_LOCUS1979 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGRSASEERLVSAARDGDVQEAKALLEYNPRLVRYSTFGVRNSPLHYSASHGHHEIVYLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLILFKSNIQKTDYLNGGIALHLAALNGHTRCIRFLLVDYIPSIPNFWDVLQTGDYKSIEEFDQSGICEVINKTSDGGITALHMAALNRHVESVHLLLELGASVFWEHSTPLCCMWWKCTMLSTIDCQGRQSHCRECKWMDSLNGRTFVA >CAK8532250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231134312:231136098:-1 gene:gene-LATHSAT_LOCUS1979 transcript:rna-LATHSAT_LOCUS1979-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGRSASEERLVSAARDGDVQEAKALLEYNPRLVRYSTFGVRNSPLHYSASHGHHEIVYLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLILFKSNIQKTDYLNGGIALHLAALNGHTRCIRFLLVDYIPSIPNFWDVLQTGDYKSIEEFDQSGICEVINKTSDGGITALHMAALNRHVESVHLLLELGASVFWEHSTPLCCMWWKCTMLSTIDCQGRQSHCRECKWMDSLNGRTFVA >CAK8567766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542750473:542750844:-1 gene:gene-LATHSAT_LOCUS20789 transcript:rna-LATHSAT_LOCUS20789 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTLAKAFVEHYYTTFDSKRAGLATLYKEGSMLTFKGQKIQGSPNIVAKLTSLPFQQCHHTITTVDCQPSTVNDGMLVFVSGNLKLGGEQHALNFSQMFHLIPKPQGSYYLHNDIFRLNYA >CAK8565903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:348977450:348978532:1 gene:gene-LATHSAT_LOCUS19078 transcript:rna-LATHSAT_LOCUS19078 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGGSSSSHSVAFRVMRLCRSSFNVDPPLCIDPDDLLVGEDHFDVPSAPSAADLIAPDSDLSYRDRFLLHHFSDSMGLFGLLVLPQPFGAIYLGETFCSYISINNSSNIEVREVIIKAEIQTERQRILLLDTSKAPVETIRAGGHYDFIVEHDVKELGPHTLVCTALYNDGDGERKYLP >CAK8536069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904509310:904509633:1 gene:gene-LATHSAT_LOCUS5470 transcript:rna-LATHSAT_LOCUS5470 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALLRMLPKRPLVTTAAYSFAFAISSPIGVGIGIAIDATTEGSTADWMYAISMGIACGVFVYVAINHLISKGFKTQRKTRFESPWFKFLAVLFGVAVIALVMIWD >CAK8566503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429306588:429310662:-1 gene:gene-LATHSAT_LOCUS19628 transcript:rna-LATHSAT_LOCUS19628 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLLFFIFFVFLFLLRKLRYGRLRLPPGSLGLPFIGETLQMISAYKTDNPEPFLDRRVSRYGSVFTSHVFGEPTVFSTDPETNRFILTNDKLFECSYPGSISNLLGKHSLLLMKGSLHKKMHSLTMSFANSSIIKDHLLYDIDRLIRLNMDSWSDRVLLMEEAKKITFELTVKQLMSFDPDEWTESLRKEYMLVIEGFFTIPFPLLSPTYRRAIKARTKVAEALTVVVRNRREEFETKEEKKNDMLGALLASGQQFSDEEIVDFMLALLVAGYETTSTIMTLAIKFLNETPLALAQLKEEHEQIRARSEPGAALEWTDYKSMTFSQCVVNETLRVANIIGAIFRRTTTDIDIKGYTIPKGWTVIASFRAVHLNPEYFKDARTFSPWRWQSNNNSEAVATPGSVFTPFGGGPRLCPGYELARVVLSVFLHRFVTRFSWVPAEKDKLVFFPTTRTQKRYPIILKRREESRSNMGFGSTAETERQKES >CAK8571484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429388446:429389264:1 gene:gene-LATHSAT_LOCUS24146 transcript:rna-LATHSAT_LOCUS24146 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILKDTNFPMDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGHLTNNFFTRTPHQKTVNSVVKSWKFSAAKLATAK >CAK8573470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614687950:614690097:-1 gene:gene-LATHSAT_LOCUS25916 transcript:rna-LATHSAT_LOCUS25916 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGFPGGFGASDLYGRAGNPSGRSTLIPSNLNNMNHNTNNFPTAATTTATNNFQNPFFRTQQQLPSNPTTTTTQNYQNHFFRTQQQQLPSTFIGSSSQNAQNRSSNLIRNYLDPSSQIAQRHTSNLLGKRTLAEFQTHNNNILSNNSLSRHNTANAQAGFQSNFIDDDDLSNNTGSIHNPVNQYLSNLVLRSVKPRNFQYGSPHSPLSTMDFSNPSTNLVNNSSGSTSAIARLLSYNPASENSTPVNNSILQNNNFQNQNSNSAEVQTTDTDPNTKTIDHCLLELEKHLLEDNDEEEQEANPGITNNEWCDLNNLINPIIISSPSSSNTSHNSSSSWAVSTAAGSSKQSLMEAATAISEGRIDHASEILNRLAQTLNPSVNFESRLTGFMISALKSRVNHFESPPPVAELFSKEHAESTQLLFDNSLCFKVAFMAANLAIIEATFDETTESADRNNNMKNLCVVDFDIGDGKRYVNLLYELHKRHKGSPATLKITAVVDNSKDEKLKMVEENLSREAEQLGIGFEFKVSALKITELTREALGCNSEDILAVNFAFKLFRIPDESVSTENPRDELLRRVNALAPRVVTLLEQEINTNTAPFVARVGESFSYYSALLDSIESTTERDKIEEGLSRKLGNVVACEGRDRVERCEVFGKWRARLSMAGFRLNSVSQKVAESVKARLEPGSGVIVNEENGGVSFGWMGKTLTVASAWS >CAK8572254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524664090:524665565:-1 gene:gene-LATHSAT_LOCUS24839 transcript:rna-LATHSAT_LOCUS24839 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLALRSCCCIVMVINLFMAFGLGAAQPTRAFFVFGDSIADNGNNHFLATTARADTPPYGIDFPSHKATGRFSNGLNIPDIISEQLGLEPTLPYLSPLLIGEKLLVGANFASAGIGILNDTGFQFLEVLHIDKQLKLFQHYQQRLSLHIGEEEAKKVVNKAIVLIILGGNDFVNNYYLVPFSARSRQFSLPDYVTYLISEYRKILKKLYDLGARKVLVTGTGPIGCVPAELALRSRNGDCDVELERAASLYNPQLVEMIKGLNQEIGFDAFIAANAHQMHMDFITNPQAYGFVTSKVACCGQGPYNGIGLCTPLSSLCPNRDLYAFWDAFHPTEKASRLIVQQILTGSNEYMHPMNLSTVLAMD >CAK8573964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650540345:650542806:-1 gene:gene-LATHSAT_LOCUS26357 transcript:rna-LATHSAT_LOCUS26357 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIVSSLVRSSLRPSPSKSSITASTSRLSSQSRPSPSPSAYFLSRVTEYATAAAAAAPAPPPVRKVSGGDGKITDERTGKGAIGHICAIIGAVVDVRFEDGVPPILTALEVLEGSQRIVLEVAQHLGQGVVRTIAMEATEGVVRGWRVLNTGSPISIPVGRATLGRIMNVIGEPIDHKGEFKTEHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYKEMIESGVIKLDDKQSESKCALVYGQMNEPPGSRARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGDLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGEAHYETARGVQKVLQNYKNLQDIIAILGMDELSEDDKMTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKENIASFQGVLDGKYDSLSEQAFYMVGGIEEVIAKGEKIAKENAAS >CAK8541525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:221099770:221100604:1 gene:gene-LATHSAT_LOCUS10440 transcript:rna-LATHSAT_LOCUS10440 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDVQNGASETPVPAASFDALKPQLFVEAPKAKDAVAFYKNVFGAEEVSRTLNPKRKADQEIPHVLSAELKIAGSSFLVADGVDDSATPVKTGGHGVVFALETEDVEGAIAKAVKGGAVVDIEGAAGEGRVGKVTDPYGYVWQISAPLKKEVEVEV >CAK8541414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157094879:157095205:-1 gene:gene-LATHSAT_LOCUS10335 transcript:rna-LATHSAT_LOCUS10335 gene_biotype:protein_coding transcript_biotype:protein_coding MSASENRREKFTGLTLDDVLAKRRLDNPATTPNQPPPSKSRTLFDIIREDDTNRKNRRSWKSFKEKLRLKRAGSA >CAK8572899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570385704:570386036:1 gene:gene-LATHSAT_LOCUS25405 transcript:rna-LATHSAT_LOCUS25405 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLHRQDGSIFIHQTNIHKEVIDFYGNIMGKVSSNLKHVNIEVMRSGRQLNMERREYLVGKVTDEEIVKALQRIGDLEAPKIDGYGVKLFKTSWHIIKSDVTAAIQESF >CAK8564925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15690524:15694319:-1 gene:gene-LATHSAT_LOCUS18175 transcript:rna-LATHSAT_LOCUS18175 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKLRSYANILENSMPYFAMILLQFGYAGMNIITKLCLNGGMSHYVLVVYRHAFATIAIAPFAIIFERKAQPKITFSIFMQIFLLALLGPVIDQNLYYAGLKLTSPTFSCAMSNMLPAMTFAIAVLCRMEIINMKKLRCQAKVVGTLLTVAGAMLMTLYKGPILDLLWTTYSPHNNQISTNITRSSSKNNWLIGSILLIIATLAWSSLFVLQARAIETYKDHQLTLTSLICFFGTLIAFAVTLVMEHKVSVWTIGWDMNLLASAYAGIVASSISYYVQGLVIKKKGPVFATSFSPLMMIIVAIMGSFFLAEQIFLGSAIGSILVVIGLYSVLWGKHKEQMESKVAPDDKPLPIKGVWVNGNKGTLSEAIDQLSEAKSDQKVESNISLVIINYNKS >CAK8566968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476802726:476804660:-1 gene:gene-LATHSAT_LOCUS20062 transcript:rna-LATHSAT_LOCUS20062 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKTLATSPISGIALFVLFIALFLRFSINFPTSHSQRLFSTEELSLFNGTDQALPILLGILGSVFDVTKGKSHYGSGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRNLSSTEVKSVVDWRDFYLRSYTYVGKLVGRYYDSQGNATKYLKGVEAKAARGAQLLEKQKIEEAKQPSCSSSWSQDEGGQVWCDVGYPRLIQRPIEMALTGKISKRCACFEESQLGQSGLEVYEGCDYHANRCKA >CAK8576787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:514483897:514487541:1 gene:gene-LATHSAT_LOCUS28955 transcript:rna-LATHSAT_LOCUS28955 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLSLFGFCSIFFLVAAEDPYKFFDWNVTYGDIYPLGVKQQGILINGQFPGPEIYAVTNDNLIINVHNSLPEPFLLSWNGVQQRRNSFQDGVYGTTCPIPPGKNFTYKLQVKDQIGSFFYFPSLAFHKAAGGFGSIKILSRPLIPVPFPNPADDFTLLIGDWYKIDHTILRRFLDLGHRLPLPQAVLINGRANWTTFTVEQGKTYRLRISNVGLENTLNFRIQDHTMKLVEVEGTHTLQTSYSSIDVHVGQSYSVLITADQAPKDFHIVASTRFTEKILTSTAVLHYSNSKQSVSDAIPGGPTEVDWSIQQARSIRTNLTASGPRPNPQGSYHYGTINISRTIELVSSAALVNGKQRYAVNGVSFVPADTPLKLADYFKIEGVFKVGSIPDSPSGKPTHLDTSVMGADFRNFVEIVFQNRESIVQSWHIDGYSFWVVGMDGGTWTPNSRNQYNLQDAVSRSTTQVYPRSWTAIYMALDNVGMWNIRSEFWARQYLGQQFYMRVYSDAGSIRDEYLLPENALLCGKALGRKTTTL >CAK8537421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:348669807:348670493:-1 gene:gene-LATHSAT_LOCUS6714 transcript:rna-LATHSAT_LOCUS6714 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHSFGSILYPYPSDDYDYIGNESCIDFQTDNDFLFNKSRASSNEKKMKSRREKKREFPPPIPCLAQTQNLASHMPYVLKRYYTDEGRLIIMEEKVKHHEYFHAHRENGRLTLQLVPLGHDDNEDDCFMEVDEQQEEVEKEEEEINNVTMDQNVVLNDDFEEENMDKTQKNVVVGNNDDEVALEDEYEIIGGANSKANYLNCNNSVISSPSGIFGVLPLQPIRTVRG >CAK8531453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111499805:111500185:1 gene:gene-LATHSAT_LOCUS1244 transcript:rna-LATHSAT_LOCUS1244 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQVMFNFMQNYQNPNSQISPVPPNPAMFFPSPNNPNMYQNPNPQNSQVPLFSTQDPIVGVDQKAESFWHRIAANYNQYRGQL >CAK8534699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756434779:756435264:1 gene:gene-LATHSAT_LOCUS4219 transcript:rna-LATHSAT_LOCUS4219 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKSQEIQSVTLKTSDDVLFEVKINVVKEMKTIQSFIDDSEDIATIPLANVLSQHLAMIIEYCKKHVSEEETDNAKDKFDVEFTKELNSDDMKLLLLAANYLNIKSLMDLLARTIADHIKNKSVEFVREFFNIENDYEPEEEAKLREEVAWAFENIDEN >CAK8535317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:837139985:837146659:-1 gene:gene-LATHSAT_LOCUS4784 transcript:rna-LATHSAT_LOCUS4784 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTDSNEFMVLSRVRTGLKREFAFAMKAQSEIDGSLGRTRGGSKNRNEPPVQASPVGKRARKTAFGKNVDDVGGGVLSEEEAKSDVVDLGSDDEPRNLESVFETVLVEPVKEDKDMMHGMEIESVKPDVCETLEESKEETCTLDVKGGNVKKKKVPLEKPMRRFTRSALKEKDEEIKVLDNVDVGIDDDDDDVEKEKTEVLPVMVNATPKKLYKSSMKRFPIKLKDLLATGILEGLKVKYIKGQKARKPGEKDFRGVVKDAGILCFCDSCKGIQVVTPTVFELHAGSANKRPPEYTYLENGKNLREVMNACSGVSLDMLDEAVQMVLGDFIIQKSTICFNCKGLISESSNGVSKLVCNPCMELKETQSNLQAATTRSKNISSVVQPRSPETVAVSESLKTEKAVPKLLNTEMVVPKSLNTEMIIPKASNTGMKQGASRGKSRGKITRKDLRLHKLVFENDVLPDGTEVAYYSHGKKLLVGYKKGYGIFCTCCNAEVSASQFEAHAGWASRRKPYLHIYTSNGVSLHELSLSLSKDRRFSASDNDDLCSICQDGGDLLCCDGCPRAFHIDCVPLPCIPSGTWYCKYCQNNFLKESNVERNVNALAAGRIAGIDPLEQINRRCIRIVKSVAVDHGGCALCGCHDFVKLFGPRTVIICDQCEKEYHVGCLKEHNMQNLEELPEGNWFCCTSCSQIHSSLVNLVASGENYLPDSSLSLIKRKCKEKGVDTDVEEKDADTSVVDNGADSGIVDGADAGLVDKGADADVVDEGADAVVEEKGAGAVVEEKGVDTDVEEKCLDTDVGPEIKWRVLNWKLVVSDENKQLSDEYRQVLSKAVSIFHERFDPIVDSSSGRDFIPTMLFGKNIRGQDFGGMYCAVLTVNRVVVSAGVFRVFGPEVAELPLVATVAEYQGQGYFQCLFSCIERLLGSLGVKNLVLPAADEAKSIWTNKFGFKKLEHDEINNYKRFYHMMIFQGTSVLQKPVPALPSVSQEIV >CAK8535316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:837139985:837146659:-1 gene:gene-LATHSAT_LOCUS4784 transcript:rna-LATHSAT_LOCUS4784-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTDSNEFMVLSRVRTGLKREFAFAMKAQSEIDGSLGRTRGGSKNRNEPPVQASPVGKRARKTAFGKNVDDVGGGVLSEEEAKSDVVDLGSDDEPRNLESVFETVLVEPVKEDKDMMHGMEIESVKPDVCETLEESKEETCTLDVKGGNVKKKKVPLEKPMRRFTRSALKEKDEEIKVLDNVDVGIDDDDDDVEKEKTEVLPVMVNATPKKLYKSSMKRFPIKLKDLLATGILEGLKVKYIKGQKARKPGEKDFRGVVKDAGILCFCDSCKGIQVVTPTVFELHAGSANKRPPEYTYLENGKNLREVMNACSGVSLDMLDEAVQMVLGDFIIQKSTICFNCKGLISESSNGVSKLVCNPCMELKETQSNLQAATTRSKNISSVVQPRSPETVAVSESLKTEKAVPKLLNTEMVVPKSLNTEMVAPKSLITEMVVPKSLNTEMVVPKSLNTEMVVPKSLNTEMVVPKSLNTELVVPNSSNTETVVPNSVDTEMVVSESSNTQIIPKASNTGMKQGASRGKSRGKITRKDLRLHKLVFENDVLPDGTEVAYYSHGKKLLVGYKKGYGIFCTCCNAEVSASQFEAHAGWASRRKPYLHIYTSNGVSLHELSLSLSKDRRFSASDNDDLCSICQDGGDLLCCDGCPRAFHIDCVPLPCIPSGTWYCKYCQNNFLKESNVERNVNALAAGRIAGIDPLEQINRRCIRIVKSVAVDHGGCALCGCHDFVKLFGPRTVIICDQCEKEYHVGCLKEHNMQNLEELPEGNWFCCTSCSQIHSSLVNLVASGENYLPDSSLSLIKRKCKEKGVDTDVEEKDADTSVVDNGADSGIVDGADAGLVDKGADADVVDEGADAVVEEKGAGAVVEEKGVDTDVEEKCLDTDVGPEIKWRVLNWKLVVSDENKQLSDEYRQVLSKAVSIFHERFDPIVDSSSGRDFIPTMLFGKNIRGQDFGGMYCAVLTVNRVVVSAGVFRVFGPEVAELPLVATVAEYQGQGYFQCLFSCIERLLGSLGVKNLVLPAADEAKSIWTNKFGFKKLEHDEINNYKRFYHMMIFQGTSVLQKPVPALPSVSQEIV >CAK8539007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501466462:501470811:1 gene:gene-LATHSAT_LOCUS8147 transcript:rna-LATHSAT_LOCUS8147 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNGRVSASNGRLSQKIKSRKENESSLRVSNSTWTCLWKQFLFWVFGAFVAIGTVWFILYSLTNEAACEKSTQRLLQRYNVSREQLHALASLFPESDQILSNCIDEKRIQILLSSGIVNTPHSICPKNQEFSKESLCVVESLAQCPVRDDFVEARTGLSLSFSFPWKTYVTLDFAPRSKLVNVLLCWIVIVIIMSLKLSGVCLISRSRRQKLGQENPAGQLKPLQRFTHGHSKVAGRWRKKLLVIFVSLGIVGSAWLFWHLNSDIVQRREEMLATMCDERAGMLQDQFNVSLNHVHALAILVSTFHHGKHPSAVDQNIFGEYTESSAFERPLTSGVAYALKVLHSDRMNFEKQHGWTIKKMETENEALVQDCIPENLDPAPIQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYGSKLPPDATPEQRIEATVGYLGASYDVLSLVDKLLHQLASKQTIVVNVYDTTNASAHITMYGTDVVDTGLLHVSGLDFGDPLRKHEMHCRFKHMPPFPWTAVNASVGVFVITLLLGHIFHAAINRIAQVEDDYRRMKELMVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDDNQIDCAQTAHDSGKDLISVISEVLEQAKIEAGRLELEAVAFHPHAILDEVLSHFSEKSIEKRIELAVYASNQVPQVVIGDPKRFRQIITNLVGNSLKFTHDKGHVFVSIHLSNEVKNPLHIMDPVLREGLNTSHDISERTCNTLSGFPVGNRWKSWENFKKLNLAEESETVQLLVTVEDTGIGIPTDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPEIGSTFSFTGNFRKGKSESRDENWQKNNPEFQGLKALVVDRRKIRTEVTRYHLQRLGMSVDVKFSLSEACNSSMSTQPAMILIEKDAWDKESSSLFEIKKHVHNGVKEDPLKFSKIFLLSAHLSFGERNELKSDGIIDDILMKPLWLNALIRYYRESLGIGRKQVTRRKVSKLENLLLHKQILVVDDNAVNRKVAEGVLRKYAAVVTCVEGGKAALKLLKPPHNFDACFMDLQMPEMDGFEVTRQIRYMENEVNEKIASGEAMAEMFGNNSHWHTPILAMTAHVTPASNEECKKCGMDDYVSKPFEEEQLYMAVARVFSIGS >CAK8543402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605780396:605781904:1 gene:gene-LATHSAT_LOCUS12159 transcript:rna-LATHSAT_LOCUS12159 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHNTRCIKVEDMEAPVFKALLHFIYWDSLPDMQELTGMNTKWATTLMAQHLLAAADRYALERLRLICEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIATSENLRAVMQTDGFEYLKESCPPVLTELLEYVARFTEHSDFMCKHRNEAILDGSDINGRRVKQRL >CAK8562786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537508814:537512166:1 gene:gene-LATHSAT_LOCUS16254 transcript:rna-LATHSAT_LOCUS16254 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSVVATTTTATAVAALAFSSSSSSFSHSHQSPNTALSSNPKSSKPSRLVKTFATSPSPLLMDHNHSSHIDHHVLPELLTEYMVDMTCEGCVNAVKNKLQTIDGIKNVEVDLTNQVVRILGSTPVKIMTEALEQTGRKARLIGQGVPEDFLISAAVSEFKGPDIFGVVRLAQVSMELARIEANFSGLSPGKHSWSINEFGDLTKGAASTGKVFNPPNKENTKEPLGDLGTLDANEKGEAFYTGIKQKLRVADLIGRSIVVHATEDKSVHGIAAAVVARSAAVGENYKKLCTCDGTTIWEATDTDFVTSKF >CAK8562787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537508814:537512166:1 gene:gene-LATHSAT_LOCUS16254 transcript:rna-LATHSAT_LOCUS16254-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSVVATTTTATAVAALAFSSSSSSFSHSHQSPNTALSSNPKSSKPSRLVKTFATSPSPLLMDHNHSSHIDHHVLPELLTEYMVDMTCEGCVNAVKNKLQTIDGIKNVEVDLTNQVVRILGSTPVKIMTEALEQTDFLISAAVSEFKGPDIFGVVRLAQVSMELARIEANFSGLSPGKHSWSINEFGDLTKGAASTGKVFNPPNKENTKEPLGDLGTLDANEKGEAFYTGIKQKLRVADLIGRSIVVHATEDKSVHGIAAAVVARSAAVGENYKKLCTCDGTTIWEATDTDFVTSKF >CAK8531761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148370560:148371549:1 gene:gene-LATHSAT_LOCUS1527 transcript:rna-LATHSAT_LOCUS1527 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQKEKQIRVDPLSLKNSSIKQKLPTIITTTPTLLHPPTLQPPKLRFLSLSLPNSVNSSPRFGSIKKPKHGSPEPQCQEASNMMMTSTLQELLQEAHFGKSKSCGDARESASLEGFDFDQWLTKLSTKELEKWEWHNGTFTKNENIKESPKSVVKEMKTITSSHDGFKCNALCIFLPSFVGKIKPIKTRKEVSEKVVPTMLSRNVSLENFECGSWASAAMSHEIDGESNNSYYDLPMELMKYGGANEVDSHSYVTASSIVCEKDLKGVLKNSSVRGSGRKPDTSPRHVRFSLSSSSPSYPASPAFCISPRLKKAREDFNAFLAAQTA >CAK8575953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:373529432:373530163:-1 gene:gene-LATHSAT_LOCUS28177 transcript:rna-LATHSAT_LOCUS28177 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQPQLNDSYYGPAIPAARGRSSNRHNHGGSRCCSFFSYLWKLLVALIVLFGLIILMFYLIVQPRAFRFHVTKAELTQFDYTDSTLHYTMVLNFTAHNPNKKLGIYYDKVEAQTFYEGARFANVDVITRMNSFRQDKKISNPISGVFSGQQLLMLHNDQVSEFNKDKSVGVYNIKVKLYFTIRFKLGDSISRKYKPKVKCDLTVPFGNNNGTGFTFIQLIPTKCNVCWKFTSHPMKNSLQS >CAK8543021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567477736:567479782:-1 gene:gene-LATHSAT_LOCUS11808 transcript:rna-LATHSAT_LOCUS11808 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLTVPLSLLTLFIFYTLFQRLRFKLPPGPRPWPVVGNLYDIKPVRFRCFAEWAQFYGPIISVWFGSTLNVVVSNTELAKEVLKENDQQLADRHRSRSAAKFSRDGKDLIWADYGPHYVKVRKVCTLELFSPKRIEALRPIREDEVTAMVESIFNDSTNPENLGKAILMRKYIGAVAFNNITRLAFGKRFVNAEGVMDEQGVEFKAIVANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMDEHTQARQKSGGAKQHFVDALLTLQDKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIKNPRVQQKAQEELDKVIGFERVMTETDFSSLPYLQSVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWAVARDPAVWKNPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINMVTSMLGHLLHHFCWAPPEGVNPEEIDMAENPGMVTYMRTPLQVVASPRLPSDLYKHVPADI >CAK8570601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66725090:66726792:-1 gene:gene-LATHSAT_LOCUS23338 transcript:rna-LATHSAT_LOCUS23338 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVATDGGRHYEGKVTAFVLVTCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYKQMKDKSGHESQYCKFDNQLLTLFTSSLYIAALISSFFASITTRLLGRKPSMFIGGLFFLVGALLNGFAVNIEMLIIGRLLLGLGVGYSNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILIANLINYGTSKRENGWRISLGLGAVPAILLCLGSFFLGDTPNSLIERGQHEKAKTMLQKIRGTTNVDEEFQDLMDASEQAKKVEHPWKNIVEPKYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGSEASLMSAVITGGVNVVATFVSIFTVDKFGRKFLFIEGGVQMLICQIAVGGMIAAKFGISGEGSLAKGEANLLLFLICAYVAAFAWSWGPLGWLVPSEICSLETRSAGQAINVSVNMLFTFFIAQAFLTMLCHLKFGLFFFFAGFVVIMTIFIVLFFPETKNVPIEEMNRVWKSNWFWAKFILDEDVSGDKHNASD >CAK8577224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545498741:545499778:1 gene:gene-LATHSAT_LOCUS29350 transcript:rna-LATHSAT_LOCUS29350 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVISLTSVIDDIYDNYGTIEELQLFTQAIQRWDISCMDFLPEYMKFCYKALLDVYEEIEQEMVKEGRVFCVNYVKNEMIRLVEAYLSEAKWFSENCIPTMEEYMALGRVTSAYYLLTATSFIGMGCIATEEIFKWLTNNPKIVNASSRICRLMDDIVSNEFEQKRGHVASSIECYMNEHGVTRENAIDELSRQVTNAWKDMNEELLDSNDVPKPLLMRVLNLSRVIHVLYKDEDCYTNSQGSTKNDITSLLDPCPI >CAK8575874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:346322633:346323964:1 gene:gene-LATHSAT_LOCUS28101 transcript:rna-LATHSAT_LOCUS28101 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPLLELDLSNLKALKILGKGAMGTVFLIRHPTTTTTNNTTDTDNNNNTDNNNNNTNLFALKVVDKTSMHAKLDAERRARWEIQVLSMLSHPFLPSILGIYESPQFLAWALPYCPGGDLNVLRYHQNDRVFSPTVIRFYLAEIICALDHLHSMGIAYRDLKPENVLIQRSGHVTLTDFDLSRKLKPKTVNNIVSTLSLPDSKVQEASRKHRRNFSRWIQLLPKESSQYYHHRMTGLILKKTMSARVSPVSRRKLSFSNGERSNSFVGTEEYVSPEVVHGDGHEFAVDWWALGILTYEMLYGRTPFKGKNRKETFRNVLMKSPEFVGKKTELTNLIEKLLEKDPTKRLGYSRGAIEIKEHRFFRGVNWEMLTKVVRPPFIPSRDEITGDLSLEKFSDGKDGVDIVDYFQRLKSPLQMRQAPVPLPSPACYKYRKNVSLTAF >CAK8540448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561483953:561484642:-1 gene:gene-LATHSAT_LOCUS9451 transcript:rna-LATHSAT_LOCUS9451 gene_biotype:protein_coding transcript_biotype:protein_coding MKSANTAAPAFAMVKTMFKNRFFIPFDSWGGVVIDIARNNDNLAAFLPVFEENCRVALEEKMEFMKPDATACNAALEACCCCLESVTDAERVVGIMSKLGVKPDEFSFGFLAYLYALKGLQDKIDELKVLMKGFGYSKNNKCFYSNFISGYVKSGNLASVESSFLSSLNDRDGEDVWSFDRDTFCLVVKEYLQMGNIKGLANLIIQAQKFESSNIKVDESIGFGIVNAC >CAK8533469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620726516:620726962:1 gene:gene-LATHSAT_LOCUS3092 transcript:rna-LATHSAT_LOCUS3092 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVEYLLLLYRALLPTPVWYHFFLNKEYGSLFSSLVTRLYLTFKLTFVVDKVQSFFAAVKALSNKEVHYGAHATSEQVVVAGDLCAICQEKMHAPILLCYKHIFCKDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >CAK8539486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513913813:513915756:-1 gene:gene-LATHSAT_LOCUS8576 transcript:rna-LATHSAT_LOCUS8576 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEDVALIGGLIGVQFIYAGNAELMSYSMSLGISPLTIVVFTSIATFLILFPAAFCFERSKWPRKFNLGFMMQIWFLSFGGLAFQTLFLKGINLTSPAMGTAMPNLAPGLIFIIAWIFGLEKVNLSKKYSKLKIIGTLLCVVGALTMSIMQSISAPIIEKEVTLLQSPSTPSRLLFDKKKIVGCIYLMISVLILSSSVVLQAFALGDFPAPMSLSAITSLFGGFMTAVVQKLQGDDLKSGLQLVSFGDIIGFSILAGGVSGISLSFNGWALKKRGPVFVSMFSPIGTVCSVIFSVFTVGETVNIGSIGGMFLMFSGLYMVLWAKGKEGYVDREDFLESEFDANKPLLS >CAK8538187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468066817:468067218:1 gene:gene-LATHSAT_LOCUS7415 transcript:rna-LATHSAT_LOCUS7415 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIYVQLVDDQCITNWSHLIIHNDVRPRVVLFCWLACHGHLATKARALKDIWKEVLQWLGIVPHLHSWKDELGWILSQTRGKGRHAGIIKMVAAETIYEVLR >CAK8564318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668136071:668136615:1 gene:gene-LATHSAT_LOCUS17634 transcript:rna-LATHSAT_LOCUS17634-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAYATEAGNDDKVQSDVLSKARESCYKARDAFYSCLEKQCDKKPTEIASVGLLYPIECKSSRDQFVNQCRSSWVKHFDRQYCRNKRDQRLLDDKGSRRGPLLLPQPYTLKSAP >CAK8564317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668136071:668136979:1 gene:gene-LATHSAT_LOCUS17634 transcript:rna-LATHSAT_LOCUS17634 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAYATEAGNDDKVQSDVLSKARESCYKARDAFYSCLEKQCDKKPTEIASVGLLYPIECKSSRDQFVNQCRSSWVKHFDRQYCRNKRDQRLLDDKGSRRGV >CAK8534172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701986331:701986591:-1 gene:gene-LATHSAT_LOCUS3738 transcript:rna-LATHSAT_LOCUS3738 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEELQSYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVIYNMEDFLGDSDQNRTNKGLQPSKQQPVTVA >CAK8570940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:180885845:180887197:1 gene:gene-LATHSAT_LOCUS23647 transcript:rna-LATHSAT_LOCUS23647 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCFDGIHPTSHLLNSWLLHHSENKPLSSTYVVSSKCRALQLTRILTLELSCTRLNGGRSLLALWIWQHEQCMYEIRLGGASKCFFLPTVKVVDV >CAK8542987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564344317:564344550:-1 gene:gene-LATHSAT_LOCUS11779 transcript:rna-LATHSAT_LOCUS11779 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNYMQNYQNPNPQNSQIPSVPTNSAIFFLSPNNTNMYLRPEMNSNSMEFSTQVPPFSTQVGTEKE >CAK8560407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18009134:18016884:1 gene:gene-LATHSAT_LOCUS14080 transcript:rna-LATHSAT_LOCUS14080-2 gene_biotype:protein_coding transcript_biotype:protein_coding METVIGIEDYDDGKLEDSGVDRSSSALSSPKQISNPVVYKLVRVEGDGRLVPATDDEVMEVEDFLEYENSEMRVVADTGQSLECISIERSSSGKLRLECSEGVSQLGTAEADLGKLNAKFQILQKVKQEEKLRLSSRSPIRSHVQIDRQCSADKFPVINEKDQEAPSIASSLNYCHSNQSGSIDQCSRPSEGVIESGSSASAVYSPLKPDFSMTDGEICLDKLSIKELHELFKVTFGRETTVKDKQWLKRRIAMSLTNSCDVSATTFTIKDNKISRKREEKCSANVNAAAVISAENMAGEGDVKYADSYAVEGYAVDDIQVASETRLDNLHTEHDLGDADQQREQRAAKRMRKPTKRYIEELSENESREYNPRSSSSNKNTGHRHVSPTSYIRPARNAFPEVRTFVTRLDSLGGSGVQIPCVSRVRRSRPRKNITSLMKFHPTGMVEAAKLSNNAVSDHGSDTDSEIQEKVSKPSSMPVKIHQPSTSESGKEKQSPIFGTTEPRQELRPKKIDPPSHTAESNTVTVPTAKGGMRRKHHRAWTLAEVTKLIEGVSRCGPGRWSEIKRQSFSSYSHRTSVDLKDKWRNLLKASFAHTPADEGMNSRKHGTAPIPEHILVRVRDLAAMNSQVPPHFSSSKLTTGAASVHGDRLGYL >CAK8560408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18009134:18016884:1 gene:gene-LATHSAT_LOCUS14080 transcript:rna-LATHSAT_LOCUS14080 gene_biotype:protein_coding transcript_biotype:protein_coding METVIGIEDYDDGKLEDSGVDRSSSALSSPKQISNPVVYKLVRVEGDGRLVPATDDEVMEVEDFLEYENSEMRVVADTGQSLECISIERSSSGKLRLECSEGVSQLGTAEADLGKLNAKFQYIEQILQKVKQEEKLRLSSRSPIRSHVQIDRQCSADKFPVINEKDQEAPSIASSLNYCHSNQSGSIDQCSRPSEGVIESGSSASAVYSPLKPDFSMTDGEICLDKLSIKELHELFKVTFGRETTVKDKQWLKRRIAMSLTNSCDVSATTFTIKDNKISRKREEKCSANVNAAAVISAENMAGEGDVKYADSYAVEGYAVDDIQVASETRLDNLHTEHDLGDADQQREQRAAKRMRKPTKRYIEELSENESREYNPRSSSSNKNTGHRHVSPTSYIRPARNAFPEVRTFVTRLDSLGGSGVQIPCVSRVRRSRPRKNITSLMKFHPTGMVEAAKLSNNAVSDHGSDTDSEIQEKVSKPSSMPVKIHQPSTSESGKEKQSPIFGTTEPRQELRPKKIDPPSHTAESNTVTVPTAKGGMRRKHHRAWTLAEVTKLIEGVSRCGPGRWSEIKRQSFSSYSHRTSVDLKDKWRNLLKASFAHTPADEGMNSRKHGTAPIPEHILVRVRDLAAMNSQVPPHFSSSKLTTGAASVHGDRLGYL >CAK8532359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249448805:249452036:-1 gene:gene-LATHSAT_LOCUS2074 transcript:rna-LATHSAT_LOCUS2074 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEERRVAQVEVAKQRCRCVIDSIHQLPSSSNITHSCRRTLLKLARAELDFLSQPSSATTLSVNIGHLEAVLHILQQPFISGVSRVCKSIPLSPSVSREERHGSSLKDIHVDVVCILNGRPVWIIVSDRNPKYISWNSCHKSKGLKLRIEQVLAAAKSNLTLRPSSVMIFFANGITTHVYDKLRDEFGASEIRLEFSVFSSNMLEETEGDWINVISRSYRDALALEINLTDDKDAVPNLGCNVENSTMDSSQVEVSVGKAETQLHLSEENAINGASSQLECSIDKAETRPLLSQSQEVIETKLGDTFYSVIMGMKQSSLDNQNSELTDSKKLLGGSDLVNFDTTSLIAFVSGISNGGTEKLLATPESELRQRFKGNFDFVIGQIMSELQNPIHVEFGRVLNGKQGIICESVLSEFKELVKMCGGPNEKLRADKLINYLRVVPDTPSGRMMGLPTTRKLALKNKIVFGTGDYWHAPTLTANMAFIRAVSQTGMSLSSIEHRPRALTGD >CAK8567676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535201464:535202159:-1 gene:gene-LATHSAT_LOCUS20705 transcript:rna-LATHSAT_LOCUS20705 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVPLSTIASPLLSQKPSNSVKNAPPCMASFPLRRQLPKLGLRNVRAQAGGGDNKDNSVEVHRVNKDDQGTAVERKSRRSSIDVSPFGLLDPWSPMRSMRQMLDTMDRIFEDAMTIPGRNIGGGEIRVPWDIKDEEHEIRMRFDMPGVSKEEVKVSVEDDVLVIKSENKEENGGEDSWSRKSYSCYDTRLKLPDNSEKEKVKAELKDGVLYISIPKTKTERKVIDVQIQ >CAK8564995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21813021:21816911:-1 gene:gene-LATHSAT_LOCUS18237 transcript:rna-LATHSAT_LOCUS18237 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLVGSAFLSATVEDLLLRLASPDFVDYIKSSSLNILKLTVFETLLLTLHSVLPDAEQKQFFNPDVKQWMVKLYNAISFADDLIDELGYDSLQRKVENTQPRRDFDVIYNNSLYVMNLRLQDFVQQIDFIGLQSVSRRVSCRIRSNSVLNESFIVGREDDKEKLMNMLLSDSGTNLGVVAILGDGGVGKTTLAELVYNHKEVNEHFDLKMWIPLSEGFDIVRVIKTLIAPKYMSTYQDKDLDYLRLKLKLKLKCRRFLFVLDDLWNVGYNDWHELVAPLVNGKLGSRVIITTRQEKVAEVVRTFPIHKLEPLSYEDCWSLLSKHAFGSKDYGGNRYPNLEAIGRKITRKCRGLPLAAKTLGGLLSSNVDAKEWIAILISNIWNISDYNILPSLFLSYQYLPSHLKRCFAYCSIFPKGYSFDRKQLILLWMAEGFLEHSLGEKTPEEVGADYFVELLSRSFIQQLNDDTEREKFVLHDLLYELAEVVSGKSCCKLECGGIISKKVHHLSYIQDEYNTFKKFEIFYNFESLRSFLPICNRLGSNYLSRKVVDDLLPTLRRLRVLSLSHHRNLIELPYSIYLLYLRYLDLSHTDIKSLPKSICDLYYLQTLNLSWCTLLTELPVDIGKLINLRHLDISHSCISKMPIQIVGLENLQTLSVFIVGKQEVGLSVRELGKFPNIRGKLYIKNLHNVINVSEACDANLKNKELIEELKLCWNEQTHDSVLCWDEQTHDSVLCWDEQTRDSQTDKAVLDGLQPSINLKKLTIDSYGGTSFPSWLGDSSFSNMVYLCIRCCDYCVTLPSLGQLPFLKDLTIERMPILETIGPEFYGVSGGGFNSSFQPFPSLEHLTFSYMSNWKEWLSFGGSKFPFPRLKTLKLHDCPKLKGHLPSHLPSIEEITIFQCDGMMATPSTLHWLSSVKSLDVRPLNPTEWSLFESNSVCLLQRVIIWNFSMMLSLPKMFTSSTCLQHLELYSIPSLLSFPDDGLPNSLQSLHISKCENLAFLPPETWSKYTSLVSLRLVRSCDALTSFPLNGFPVLQSLYIVACENLQCFFISEIYSHCPSTLQSLHISNGHARNALISLPQRMDTLIALESLWLDLRSLPCYEGASLPPNLRSIFIDSLRAKTFATGWGLQNLSALSDLDIRGDGIVNTLLKEQLLPVSLVSLSIHNFTKRKSLPGNGLQHLSSLENLKFNNCSKLGALPENMFPSNLKSLEFSYCPKLKSLPDRLPSSLERLELNDCRRLGSLPKDGLPSSLKRLSISNCPLLKAKYENQRGEHWSNIAHIPVIKINYEIII >CAK8560141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8667199:8667768:1 gene:gene-LATHSAT_LOCUS13846 transcript:rna-LATHSAT_LOCUS13846 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIIGSHDCLQRRFLPNDALSSSSSPIRSRRNSSPNPNIKSNVNQKRRRNRSPVSDFPAAKQHDRRKSGERTFDKVAPANFVMGKVKILKRGEKLSPEISYDSRLVVKAMDLKLDQPDLVSGSTDRFGPDPGAMQKQIRVSDSSLKDEIYAGSTIFSSPSPSSVPLPLFFRKNCLATSDLRRLLRLE >CAK8534157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:700461285:700461986:1 gene:gene-LATHSAT_LOCUS3724 transcript:rna-LATHSAT_LOCUS3724 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTDKRKRVHDESSDSPDSDTHCVDSVEAKIRKVDTVSDANVNSSESESQLTRVDSFESCLDSVFDSDVQLHQDDIFHMLDDAENVPEQTERDSVVGLDSVIKSFEEEILTPGFEPVRTEPEPVQVTGLGEMQNDLGYLFEASDDELGLPPTVVSSDEPGRTEPENVDLTGFLGFEDDFTGNDGFGFGARLLAECDGENTGAEDFVTGDGLFDYAEPAADVLWPSESLQAM >CAK8543495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611549681:611550395:-1 gene:gene-LATHSAT_LOCUS12250 transcript:rna-LATHSAT_LOCUS12250 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKRLLDYVSKVVHGDEFEYNDPVDGSISSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPSKIGRLSHEALAPLVEAPLKLSKMEEFTGRSAPTVIT >CAK8562342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475636713:475639532:-1 gene:gene-LATHSAT_LOCUS15846 transcript:rna-LATHSAT_LOCUS15846 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCASLILDKKSRKKKGESMADIKRNPSMLRKLQENKLREALEEASEDGLLAKSQDMEPDTVGNQDESLGRSRSLARLNAQREFLRATALAAERTFDSEEEILSLQEAFSMFLTMYPKYMSSEKVDQLRSEEYSHLPPKVCLDYCGFGLFSFIQTIHYWESCTFSLSEITANLSNHALYGGAEKGTVEHDIKARIMDYLNIPESEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDHDSQSVNWMAQCARSKGAKVHSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGSTGSGMVKITPEFPVYLSDSVDGLDRLAGTEDNEVNRACDTTFEPRQGSQLPAFSGAYTSAQVRDVFETEMDHDSSERDGTSTIFEETESISVGEVIKSPVFSEDESSDNSFWIDLGQSPIGSDNAGQSNKQKIASPLPSFWFNGRKNQKQHSPKPTSKMYGSPMYDDREVHLASHDDHRVLSFDAAVLMSQELDRVKEVPEEEYVEEVHHYSINGNGSDRPHASEIIEEPGTSEAVQNGTHAMGESWLNNSTSLSRHQSLENGSASEICSEIKESAIRRETEGEFRLLGRREGNRFDGGRLIGLEDDEHNGRGRRVSFSMEDNRKEHVRESLEIGDISATSLDDEEVSSDGEYGDGQDWGRRDTEIVCRNIDHVDMLGLNKTTLRLRFLINWLVTSLLQLKLPVSNGDEKVNLVHIYGPKIKYERGAAVAFNLRDRSRGLINPEIVQKLAEKEGISLGIGILSHIKILDNSRHQRVALNLDDTALCKPMESGRRDGKGSYVRLEVVTASLGFLTNFEDVYKLWAFVAKFLNPTFIIEGGLPTVQEDSET >CAK8543379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603770334:603771701:1 gene:gene-LATHSAT_LOCUS12138 transcript:rna-LATHSAT_LOCUS12138 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESSDAMNLDLNLGPDPEPPTGSITNEALNLDDWIEEPLQRISEAAARLRGRQRWRWRQLPISPPYPQQVHRILPQPYAQVHQVLPEPAHHIYIPPEARNISIELNNFLVNSGNGTGLQAGEGSVAAVERMEEEEEPLKACENNNGVMEDEMLQKKDDVEKTGGSDGDFFDCNICLDLSKEPVLTCCGHLFCWQCLYRWLHLHSDAKECPVCKGEVTIKSVTPIYGRGNNARVLEEDSTLKIPPRPQAKRVESLRQTIMRNASALPVEEMIRRLGNRIDLTRDLVQPNETENARGTVERTTSLLSRFLTSRGMRREQNPVAPPEDVAGLNQNNVNGADLGGDNRRVQSLLLRRSQSHRATLSSLSSALTSAERLVEAYFRSNPLGRNQEQPPTSVDDRDSFSSIAAVINSESQVDTAVEIDSMVTSSRRRADASRLSDVDSGDSRAPRRRRLN >CAK8576518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486689402:486691011:-1 gene:gene-LATHSAT_LOCUS28700 transcript:rna-LATHSAT_LOCUS28700 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYKANGNGSTENGYSTARRTHSYHSSLKGSLPWLDIRVFYVRVCKCEFDNTTPEVLTLNHVPLNPDTLLEINGVRSSIYSDGMSTLLKRDRVDRKSEEVTFVSTDSIRMSGSVKFDVFDKDNLLLFGSLELCNSNGVVKESNSNGQVWSMKCESNIVPGTRFFKEKQLLLPETTLPTIEVYIAGSFSGTPIILTKTLHLSSQKRHSRKGMLNAIPENDANENGKDPSSALALQGPDYMQDKQEDEDYHSLYTRTAYADGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGIMVKTYQGATGQFRRRLL >CAK8539648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519604937:519605788:-1 gene:gene-LATHSAT_LOCUS8726 transcript:rna-LATHSAT_LOCUS8726 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKAFGRTFFAAAARSKHCSTTAAAAGGSVVCNPLPDFFEADRSLENDKPVVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLRFHNPERLPKVRKSMCRIKHVLTERAIEEPDPRRSAEMKKMINGL >CAK8571044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273682461:273684612:1 gene:gene-LATHSAT_LOCUS23741 transcript:rna-LATHSAT_LOCUS23741 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFNSRIFFALFVFFAYFHGLMLCAVVSLSSIEIFDNREWLRTTSTVYFLCKGENKKVFEDVKRTRFVYAFNGQQSWQVLTNFSSIKCKWCGLYEEDSITSDDVYDEWEFCPSEFTAPHGEYVRFKEKQFNATFLCPDCLSLARVSSSSDKRRKHIAILVFLGALASIVLILGMMGLYKFWRKKRKEENQARFLKLLENGDDIEDYLGLDSSLI >CAK8568388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601186516:601186917:-1 gene:gene-LATHSAT_LOCUS21348 transcript:rna-LATHSAT_LOCUS21348 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCPPISQESTHQPIPNESGNLSIEAPPPTPNDNMEVDSQTTENRRSRLKSIVWDHFTKVKVGEKVKAKCNYCLKLLNGSSNDGTTHLKGHMDYCPKKKLLKPSEKGQTFLTPKKERACTCNYFA >CAK8560359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15583322:15586855:-1 gene:gene-LATHSAT_LOCUS14038 transcript:rna-LATHSAT_LOCUS14038 gene_biotype:protein_coding transcript_biotype:protein_coding MFNILNTNRSQKIKGTVVLMPKNVLDFNAITSIPKGSVLDAPGKIIGGVTGIVGGVVDTATAFLGRNVSMQLISATKTDAGGKGQVGHEAFLSSHLPRLPTLGARQDAFSIYFEYDANFGIPGAFYIRNYTSAEFFLVSVTLEDIPNRGSVQFVCNSWIYNFRSYQKDRIFFTNDTYLPSQTPAALNHFRKEELLNLRGDGTGERKVYDRIYDYDVYNDLGNPDYGDKFVRPVLGGSSTHPYPRRVRSGRKSTRKDPKSERQGEIYVPRDENFGHLKSSDFLMYGIKSISQTVMPLLKSVICDEFSSFDDVRGLYEGGIKLPTDILSQIAPLPALKEILRTDGEQALKFPPPQVIKVSKSAWMTDEEFGREMVAGVNPCVIRLLQEFPLKSTLDTVVYGDQSSTITKEQLETNLGELTVEQALNGKRLFVLDYHDAFMPFLERINIRAKAYATRTILFLKDDGTLKPIAIELSLPHSNGVQYGAESKVILPAEDGSVESTIWLLAKAHVIVNDSCYHQLMSHWLNTHAVIEPFIIATNRHLSVLHPINKLLYPHFRDTININGLARQALINADGIIEQTFLPGPNSVEISSTVYKNWVFTDQALPADLIKRGLAVEDPSSPHGLRLAIEDYPYAVDGLEIWDAIKAWVQEYVSLYYPTDEAVQKDTEIQPWWKEAVEKGHGDLKDKPWWPKMQTIQDLVQSCSIIIWTASALHAAVNFGQYPYGGYILNRPTHSRRFIPEKGTPEYDEMEKSPQKAYLKTITSKYQTLIDLSVIEILSRHASDEVYLGERDSKYWTSDSRAVQAFTKFGTKLAEIEGKIHSRNNNSSLKNRYGPVQLPYSLLVRSSEEGLTFKGIPNSISI >CAK8534846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776401987:776402418:1 gene:gene-LATHSAT_LOCUS4354 transcript:rna-LATHSAT_LOCUS4354 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLELGNDQFSEANNVILMSLMEETHEFEDEYFGDDKLVSMIQSLEAEISDTQNYDMGGYMDGQDCSTSSFIGSDHWIDMELVSSSPFDEVNVNAWMPCENEMEYEDQNFIDDFQMCCGVFMEQQHRETYNLSQVSNDAVF >CAK8569017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662994119:662994874:-1 gene:gene-LATHSAT_LOCUS21915 transcript:rna-LATHSAT_LOCUS21915 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFCRNRSLVNIFHFLKIFTTLLLFYHSSFFPIFLHSFSHLYALSITLFSNSFLAFLIFNAIILFLYIFSNQNGDVPSDSTYNHNLNQFLEITAPELVTDPVESQSEKQQDPVVETTLLEKKQRDSFNSEVRESSVTAVTESTTTTMTCCTTVTTRGDDKVSVSEEKCYRRVLSECYERRCVVADERREMKRFNTCLKKEPQRQLCYVEKMSKEEFNRTVEEFIAKHKRMQREEQEQLRSQKTEYLALAP >CAK8565685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307237969:307238398:1 gene:gene-LATHSAT_LOCUS18875 transcript:rna-LATHSAT_LOCUS18875 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGASENDSSRVCWDSRRAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEMRQILRIIFQCPNKMPSGMIKADDRKLCPPSRCRMKLSMESCVV >CAK8563065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570482250:570488535:-1 gene:gene-LATHSAT_LOCUS16511 transcript:rna-LATHSAT_LOCUS16511 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKRKRGRKPKTPSPETLDIPTTTVATNPSPSILDDDFSVGNVELIDNTASSNLGRRRGRPKKLPTIPDKPPTGRRPARTVDSNGAVPVDVGGATAMDADPIWESISARVLPSMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVISGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSPVEFGDLPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNGKGNCVGIAFQSLKHEDVENIGYVIPTPVITHFIQDYEKNKGYTGFPILGVEWQKMENPDLRMAMGMKSNQKGVRIRRIDPTSPESKVLKPSDVILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDDAAIKVLRNSTVLKFNIKLDGHRRLIPAHSKGKPPSYYIIAGFVFSTVSVPYLRSEYGKDYEYEAPVKILDKLLYAMPQSPDEQLVVISQVLVADINIGYEEIVNTQVVAFNGKPVKNLKSLAAMVESCDDEYLKFDLDYEQIVVLRTETAKAATLDILATHCIPSAMSDDLKS >CAK8571470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:425971820:425972672:1 gene:gene-LATHSAT_LOCUS24133 transcript:rna-LATHSAT_LOCUS24133 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRVALCLNIALFFYVVGAAKVTFTNKCGYTVWPGTLTGDQKPQLSTTGFVLDPQATNSIDLPSPWSGRFWARTGCSNNNGKFSCATADCASGQVECKGAGAIPPATLVEITVAPNGGQDFYDVSNVDGFNVPLSVTPQGGRGDCKTSSCPGNINTVCPAELQVKGSDGSVVACQSACLKFNTDEYCCRGSHNTQPTCPPSNYSMIFKKQCPDAYSYAYDDKTGTFTCFGGPSYAITFCPS >CAK8569735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9466398:9466784:1 gene:gene-LATHSAT_LOCUS22552 transcript:rna-LATHSAT_LOCUS22552 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVLLALFLPCLGMTAIFLVYICLLWYATTHSTTGIIIKPVTNTGLSPSELQKLPTITGKHLVAGPECAVCLDDISEEQSARVIPGCNHAFHLECADTWLSKHPLCPVCRAKLDPKLFVDSSQNPC >CAK8563584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618924176:618925288:1 gene:gene-LATHSAT_LOCUS16979 transcript:rna-LATHSAT_LOCUS16979 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPHELIIQILLRLPVKSLIRFKCVCKLWFSLISRNSHFANSHFELTEATHNHRILFISSSPHEFRSIDLEASLDDDSASASLNFNSMLPQSFFLIEVKNSCRGFMFLHCFSNIYLWNPSTGVHKQLPLSPIDSNLDAKYSSFVYGFGYDPSMDDYLVVSFSHDKSKTLANISSHLEFFSLRSNTWKDIECSGLVNYTHYVSMNAPEDRRVGLLFKGAIHWLTFRHDSSMHVIVAFHLVGQKLLEIYYPDEFYHEPIDCDLWVFREFFSLWAVEDDTVEIWVMKEYAVHSSWTKTLVLSTGAISTQYFSPICCTKSGKIIGTDGGTGLVKYDEKGDFLEHRLYCNNPCQFQVAMYTESLLSLPCDNEQA >CAK8575991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380797493:380797939:-1 gene:gene-LATHSAT_LOCUS28211 transcript:rna-LATHSAT_LOCUS28211 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8544116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664143510:664143875:-1 gene:gene-LATHSAT_LOCUS12819 transcript:rna-LATHSAT_LOCUS12819 gene_biotype:protein_coding transcript_biotype:protein_coding MFILQKKLQLLNTKLKEWNISTFQNVHDNVKTAEENLIRIHKDIEVDDHTESLSILEKKSQVQLDDALHFEEIFWKEKAKVKWNMHGDRNTRYFHRIIKIKKTTKRISSLKVEGVVITNQE >CAK8534357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720128151:720129386:-1 gene:gene-LATHSAT_LOCUS3907 transcript:rna-LATHSAT_LOCUS3907 gene_biotype:protein_coding transcript_biotype:protein_coding MNILITYFCCIILSTIQQSHSASIVSIPLYHKGIQHPQKEASTDIYPIDNAYAIFLWIGTPVQVVLVMVDTGSPISWSQCDPCKSCYPMQRPAYNTRASSTFREVGSYSDTCLIPMMREVFGNSTGWTCRYNVKYGYGSTTSHESHSFGLMVTDTLNLQHSSTEVKNFIIGCGDSYEGPYRTQFSGVLGLGRGPLSIQSQLKAKAFSFCLVSQGSENPSSLEFYDTPPEMDQRGSTSIMVALTENSGYPFHYFLQFVGIGVDGFMLDIQSRVWGYGLNYDGGVIIDIGTMITYLPSEAYSVFRSEILRTDHNRTARSGYEGLEFCYKDESSNVFPTIEFHFENGNIAGQNFVSYKLNNKQLLFTPEEGTVCLSFAEGKSSALTVIGSNQLQGTLLTYDLVNQVLVLTFDKC >CAK8572528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543799805:543803058:-1 gene:gene-LATHSAT_LOCUS25085 transcript:rna-LATHSAT_LOCUS25085 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIYNINKMNLPFVSSPRNVNVSTYTDATTSELHLGLVRARAVTNNNYLHMEHHQPDLIGSDISDRIIKNQIATHPLYPNLLSAFIECQKVGAPAELASLLEEIGRESHHSNVLRQIGDDPDLDQFMESYCEVLHRYKEELSKHLNEASLFLCNIESQLSQLCKGTLTISSDFNNSRSDEAAGTSEDEMSCGKVEAVEGVHEHCGTSCPGDKELKQMLLRKYGGYLSNLRKEFLKKRKKGKLPKDARIALLDWWNIHYRWPYPTEEEKMQLSETTGLDIKQINNWFINQRKRHWKPSEDMRFAIMEGVSSTGIAEPL >CAK8567772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543655459:543656178:-1 gene:gene-LATHSAT_LOCUS20795 transcript:rna-LATHSAT_LOCUS20795 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSMRIACGGNNRFTAGVGIHKRCDICSVGIGDWTRSTVRKGRISAVATASGHENGLIMEQKRKRGGGKSDAVQAQERLDRWMRESVVDIVKNLKDAPLLVQVYSKRKGETVTIATEKAVMEEDWEVVKERWEAGESPMPDGVIFVEELGEDEAAEEDGGRGLQERTTKVWGIVVQGKGVGCGPVCYLLKTSRVGAGPGSGMSVFSTHFCLVRVKSFRETAQSQLKNSWLLQSQWLQ >CAK8576369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467225330:467226962:1 gene:gene-LATHSAT_LOCUS28561 transcript:rna-LATHSAT_LOCUS28561 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMECDVEDNNFIEWLKPSTSSSSSSSSSFSTTQNDLVLETFQFFPILNAKASKEEDHEVPKMEGFDVKEENITVALHIGLPNPKEHLDDENKIFHVKEQEICKKSFQRFWIPTPAQILIGPMQFVCSICNKTFTRYNNMQMHMWGHGSEFRKGPDSLRGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFMCRKCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIRSFGKGHNRLLSPDDRDFYEEKECVTVIGSDEDGVIPT >CAK8568189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580733225:580733625:1 gene:gene-LATHSAT_LOCUS21176 transcript:rna-LATHSAT_LOCUS21176 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAYEMNYEKTPHCASGYQTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPGPPEMYNLLPVEPPLTSTGSKLIKDE >CAK8569213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682895828:682897170:-1 gene:gene-LATHSAT_LOCUS22092 transcript:rna-LATHSAT_LOCUS22092 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSKTLVLTCTLCLFLSLAFGREFSIVGYSSEDLQSMDKLIELFESWMSRHGKIYETIEEKLLRFEVFKDNLKHIDDRNKVVSNYWLGLNEFADLNHQEFKDRYLGLKVDLSQRKSSNEDDFIYRDVDFPKSVDWRKKGAVTAVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTTYNNGCNGGLMDYAFNFIVQNGGLHKEDDYPYIMEEGTCEMKKEETEIVTISGYHDVPQNSEQSILKALANQPLSVAIEASGRDFQFYSGGVFDGHCGSELDHGVSAVGYGTAKGLDYITVKNSWGAKWGEKGFIRMKRNIGKAEGICGLYKMASYPTKKK >CAK8579067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672013686:672015473:-1 gene:gene-LATHSAT_LOCUS31040 transcript:rna-LATHSAT_LOCUS31040 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDNNINHIDIEAMRMGKQLNLEQREYLTRNITEDDIIKALRGIGNLKAPGLDGYGAKFFKASWTTIKTDVIAAIRDFFEIGKIYKPFNNVVVSLIPKSNEACEIKDYRPIDVCTIFYKIISKILTGRLGYVLPSVISHNQAVFIQGQNIHNHIMLATEIIKGYTRKGGTPRIMMQIDLQKAYDMVNWKALEFIMKELGIPNKFIQWTMLGITTVSYRFNIMGGYTEVLQAKRGIQQGDPLSPLLFVLIMDYMNRLLVKMQRDPNFNYHAKCESLKITNLTFADDILLLCRGDEISMKMMLETFRNFSKSTGLMMNPNKCKIYFGGLDMETRKRLKELSGFQEGALSVKYLGIPLTSKRLTITHFMPLVDKIVARIHHWSSRLLNYAGRIQLVKSIAYAMVQYWMHCLPLPKYVIKKVDAICRSFIWSGKDTASRKCPVAWKTTCRPAAQGGMNILNLQIWNNVLLLKCLWNLCNKTDTIWVKWIHTQYLKGNNVMNYVPKPHNSWIMRGILKQRDNMDLIRYEWNQAMTMRKFKATTFYKILIDDGTRVHWRRLIGYNKGHLRAVQCLWQACHAKLATKERLKCFGVIEDII >CAK8543963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652621845:652625986:1 gene:gene-LATHSAT_LOCUS12682 transcript:rna-LATHSAT_LOCUS12682 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWGSQDQQSQGGSSQSWYPPSVMSSSSSSRPATPASSSSSPRPSSHVPPAEAAGTIASLKDKSVDELRKLLSDKDAYQQFLQSLDQVKIQNNLKDELSKENLQLAEENLQKEPRIMELRNQCRIIRTTELATASEKLNELEKQKEEMLKLNSPASLLQRIQESVNQTDEESENLHQQLLDKEIDLAAFLQKYKKLRTTYHKRTLIHLAAKTSNI >CAK8533738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655782583:655787116:1 gene:gene-LATHSAT_LOCUS3339 transcript:rna-LATHSAT_LOCUS3339 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKSKSVFDIVKSRELTSFQVRKRPYMDGWTDAFYEIGAIATQHDSEDIIPLALSYAKTTKYSHILALSNEEGFISLFDTSRKFPVPTCYERNAEQSRICEWSSHNNAVFDVNWIKDDTQILTASGDQTVKVWDVQEQKCLVVLAGHLGSVKSIYSHPTNADILVSGSRDGSFRLWDLRCNSIAKNRHGEGSICSIADVKGAHDPSQAQRVRRCKSKGASMSITSVLWLKDQVSIATSGAADSVLKFWDTRNLRSTVTQTCPQPCSTGKQRLHGISSLSQDDSGVLLSASCMDSRVYLYDVLQLEKGPIRYFEGGRIDSFFVKAAISPDASNIVCGSSNGKAYVWQVNKPQVDPTILKSHDEEVTAVDWSRSDIGKLATASDDFTIRIWNKRNYCTTQKIPFAIRRRIMAMPKVECKMLLNNEEIFPKFIDDGLLSDVALHNPIKLATPTTPPKITTSESQKNQLSSEFDLTISPKNTPESALKSPSSVLNPPPSLKRTIRDYFASSS >CAK8544156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668381926:668382153:1 gene:gene-LATHSAT_LOCUS12858 transcript:rna-LATHSAT_LOCUS12858 gene_biotype:protein_coding transcript_biotype:protein_coding MQWALSNYGGKGGQSNLVRLALIETPHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPRIARFILP >CAK8568781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640598383:640601727:1 gene:gene-LATHSAT_LOCUS21706 transcript:rna-LATHSAT_LOCUS21706 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKCYLDVILVPLAILLSIGYHVWLWYNVRTHPHTTIIAINANGRRNWVAAMMKDNEKKNILAVQTLRNTLMGSTLMATTSILLCSGLAAIISSTYSVKKPLNDTVYGAHGEFMEALKYVTLLTIFLFSFFCHSLSIRFINQVNILINTPQDSMSLVTPSYVSEMLERGFTLNTVGNRLFYSALPMLLWIFGPVLVFLCSLTMVPLLYNLDFVLTKGKMDPNENRDFV >CAK8565997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365737179:365739669:1 gene:gene-LATHSAT_LOCUS19166 transcript:rna-LATHSAT_LOCUS19166 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGYGHDGGGGSAPPSYGGSGGYGSTGGYGGGAGGGGGNYGGGSGGGYGGNESGGGYGGKGSGNGGGAGYGGGDGGGYGGRSGYGGNDGGGYGGRGGGGSRGSGGYGGRGGGGGGFQGGDRGGRGGGGGRGGRGGGGSGRDGDWRCPNESCGNVNFARRNECNKCGTPCPTSGNDRSGGGSGGGYNRGGSGGGYGSNQGGRSGNYDGGRTNDYNGGRSNNNDGRGGGGNRGGSYGGNQGRDDGGYGQAPPPAAAQSYGGGGGNYPPAYGGNANYGTDAVPPPTSYTGGPNSYPPSYGGNTGGYGGDNQGDARSGGRAAPPSGYDSGNRGGFGGAPAESAAPVKQCDKNCGDTCDNSRIYISNLPPDVTVDELQQLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDENGKNKGDACLAYEDPFAAHSAGGFYNEYDLRGYKISVAMAEKSAPRAPQANNHGGNRGGYGGDRRRDNSGPDRRDHYSGNRSRPY >CAK8561053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83073284:83073943:1 gene:gene-LATHSAT_LOCUS14678 transcript:rna-LATHSAT_LOCUS14678-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFCVSLLLLVTLFYATSVEARSDPSGNEVNTEGKLANEEVTKPSLQGPNEDEKFKAFFHLKHKLKGYFHKKPIYYKPIPKYIPTYKPFYKPIIVEKPIPSPIIPIVKPVYVPIYKPIPKVIPIYKPIPKVIPIVKPIH >CAK8561054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83073284:83073943:1 gene:gene-LATHSAT_LOCUS14678 transcript:rna-LATHSAT_LOCUS14678 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFCVSLLLLVTLFYATSVEARSDPSGNEVNTEGKLANEEVTKPSLQGPNEDEKFKAFFHLKHKLKGYFHKKPIYYKPIPKYIPTYKPFYKPIIVEKPIPSVVEPESFLKHKHYFFKKPIIPIVKPVYVPIYKPIPKVIPIYKPIPKVIPIVKPIH >CAK8570981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:218202401:218205008:-1 gene:gene-LATHSAT_LOCUS23685 transcript:rna-LATHSAT_LOCUS23685 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPIKPILTSNFNSRMSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFSRNQFNVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADANIVIMLIGNKCDLGTLKAVPTEDAQEFAQRENLFFMETSALESTNVETAFLTILTEIYRLISKKTLTANDEADPGGSSGLLKGTKIIVPSQDVSALEKKGGCCG >CAK8570982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:218202401:218204960:-1 gene:gene-LATHSAT_LOCUS23685 transcript:rna-LATHSAT_LOCUS23685-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFSRNQFNVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADANIVIMLIGNKCDLGTLKAVPTEDAQEFAQRENLFFMETSALESTNVETAFLTILTEIYRLISKKTLTANDEADPGGSSGLLKGTKIIVPSQDVSALEKKGGCCG >CAK8570237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30756820:30757125:1 gene:gene-LATHSAT_LOCUS23007 transcript:rna-LATHSAT_LOCUS23007 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVMGFGERWMKWMKGGVMNNFMFVLVNGSPTHDFKISRGLRHGAPLSSFLFSVVVERLAAMVKRAPGGGLLSGFRVNDRVSYYLLQFVDDTMLICDGAW >CAK8541660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:338257231:338257542:1 gene:gene-LATHSAT_LOCUS10564 transcript:rna-LATHSAT_LOCUS10564 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRHGRTLYGFGG >CAK8543308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599393783:599394740:1 gene:gene-LATHSAT_LOCUS12074 transcript:rna-LATHSAT_LOCUS12074 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARDRRVTPLDVADIFTPLGSSIFQDSNPLTLPAAPSFTAGPDLFVAQRTPIVRGVSRVRPHHAPGIQNTPPVTNHRGRTRGSSSRSVLPSWYPRTPLRDITAVVRAIERRRGRIGNEEFQQTGTTPFSATPLVVFSDPSSFSASGSRASKNSPNSCAKLRTPQGSKVSKILIDVMKLPQEDGESEFLTPEKKLLKSIDKVEMEVKQELMKLKRTPSAKKAEREKRVRTLMSMR >CAK8534127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697483256:697486293:1 gene:gene-LATHSAT_LOCUS3695 transcript:rna-LATHSAT_LOCUS3695 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKSSNDQQGITLSNLKLFNSQLYLINFFGHILLFGSGLLIGITLTFFLNNFSFNFQIQHFQDQSFTLNPPSHVSLSPPIPPHDLPHHRNNQTEISIKHDSLVINNKTSNISWNVLEDFLKVPSAMHAMNEDELFWRASMFPMIGKTPFKQTPKVAFMFLTKGAVLLAPLWEKFFKGNEGLFSIYIHPNPSFNENVYDQSSVFYDRRIPSKEVVWGENSMIEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTIYNYLMNSKQTFVEANDIEGNVGRGRYRNRMKPLIQLSQWRKGSQWFQIDRFLALQVISDESYFSVFKKYCNPPCYSDEHYLPTMVSIKFWEMNSNRTLTWVDWSKGGPHPSSFIRPQVTWEFLERLRFGSTCEYNGEMTNVCHLFARKFTTNALDRLLRFAPKLMQFN >CAK8570246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31508495:31509487:1 gene:gene-LATHSAT_LOCUS23014 transcript:rna-LATHSAT_LOCUS23014-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGFKVKLGEGGYGDVYRGKLISGPFVAIKMLKIKPNANGQEFISEIATIGRIYHSNVVRLVGYCVEGLKRALVYEYMPNGSLDKYIFNKEEVISLTYNQIHEISLGVARGISYLHQGCDMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPIDKSIATLTAARGTIGYMAPELFYQNIGRISHKADVYSFGMLLIEMASRRRNLNSHAEHSSQLYFPFWIYEQLVKNREKEMEDFITEEFNDVLKKMFMVALWCIQLKPIDRPSMSKVVEMLEGDIANIEMPPKPVLYPRETIQENLDTGSKETETDIGSTSYVEEIESNSLLKYSA >CAK8570245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31508225:31509487:1 gene:gene-LATHSAT_LOCUS23014 transcript:rna-LATHSAT_LOCUS23014 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIVGLREFAGVNKLSNTISNDYIIAGKVTGRYVLPFVTVRIIFGIIFFSTLLIYTYRRRHSSIYENIEGFLQGNTLTPIRYSYKEIKQMTKGFKVKLGEGGYGDVYRGKLISGPFVAIKMLKIKPNANGQEFISEIATIGRIYHSNVVRLVGYCVEGLKRALVYEYMPNGSLDKYIFNKEEVISLTYNQIHEISLGVARGISYLHQGCDMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPIDKSIATLTAARGTIGYMAPELFYQNIGRISHKADVYSFGMLLIEMASRRRNLNSHAEHSSQLYFPFWIYEQLVKNREKEMEDFITEEFNDVLKKMFMVALWCIQLKPIDRPSMSKVVEMLEGDIANIEMPPKPVLYPRETIQENLDTGSKETETDIGSTSYVEEIESNSLLKYSA >CAK8574688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6696473:6699091:1 gene:gene-LATHSAT_LOCUS27010 transcript:rna-LATHSAT_LOCUS27010 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPSQLHTPQRYHHPIIPTNFINSKFKTFTSTYNFKTLKRFRAPNSFTATITSSISSNNPNYDLCQLCLNGNLESAMSYLETMHELQISVEEDSYTALVRLCEWVRARKEGSKVYSYVTKSMMTTHLSPKLGNALLSMFVKFGNLVDAWYVFGKMPERNLFSWNVLVGGYAKGGFFDEALNLYDRMLWVGVRPDVYTFPCVLRTCGGVPDLVKGREIHAHVLRFGFASVVDVINALVTMYVKCGDVVTARLVFDKMTNRDRISWNAMIAGYFENGECLEGLILFCRMIEHQVEPNLMTMTSVLTACELIGDERLGREIHGYVVRTKFSREPEVYNSLVQMYSSVGLVEEAEKTFSQIECRDVVSWTAMISGYENNLMHQKALETYKMMEAEGVVPDEITIAVVLSACSCLCDLDMGMNLHEVAKKTRLVFYAIVANTLIDMYAKCKCIDKALEVFYSIRDKNIISWTSIILGLRINNRCFDALFFFKEMMRKQKPNSVTLVCVLSACARIGALTSGKEIHAHALRTGVSHDGFMPNAILDMYVRCGRMEYAWKQFFSFNQDVSTWNILLTGYAERGKGTLATELFQKMVESNVVPDEITFISILCACSRSGMVVEGLEYFDSMQYKYSIKPNLKHYACMVDLLGRAGKLEDAYRFIQKMPMKPDPAVWGALLNACRIHRRVELGELAAKNIFQDDTTSVGYYILLSNLYADNDLWDKVAEVRKMMRMNGLIVDPGCSWVENKGTVHAFLSGDNSHPQMKELNALLERFYEKMKEAGIQRLESSCMDIMKASKADIFCGHSERFAITFGLINSAPGMPIRVTKNLYMCQSCHDTVKFISKEVRREISVRDADRFHYFKGGICSCKDEGY >CAK8568455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607054876:607058401:1 gene:gene-LATHSAT_LOCUS21411 transcript:rna-LATHSAT_LOCUS21411 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKPTQKNKKNVTVATAAVGGKDENEVKTAKLKGAERSAYFARREAAKILRSVLEGDAKRRALASIKTLVYHPSVRNKKATFALICQTLKHLPIINDVLKAASILNTKWKKQQELVYIIVYDILFGQGVPLVGDAEKYLMRHKDPLHLHLKKVLSRKNVATVKELFALQEVPDVSVPRNVRVNTLKLDVDSALVELQKKYSVQKDDLLPDLLVLPPGTDLHDHPLVKNGSIFLQGKASSMVAPALSPEPGWEVLDACAAPGNKTVHLAALMKRKGRIIACELKKERIKRLNDTIKLSGASNIQVLNEDFLNINPKDPSYSKLKAILLDPSCSGSGTAASRLDHLLPSKAAGDIDTERLNKLAAFQRKALQHAFLFPALERIVYSTCSINQIENEDVVKSILPIAESYGFQLAKPFPEWQCRGLPVFEGAENLIRTDPAKHGEGFFIALFAKKDANLSARSNKNDNRTLRNSTRARNVRRKIPMYIHTNMFKMWLHGQLNQRQNRRDRRYFSCQCKSHS >CAK8561708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:363286498:363287541:-1 gene:gene-LATHSAT_LOCUS15273 transcript:rna-LATHSAT_LOCUS15273 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMTRNNDDTPIIGHPIGRKKLISLDNKSLNQAHGYILFNCDEVQEYIREHEVNVHNPKKKRKSRKANNQREDFIQWFETRVMDEEVIEWLKVLSRGPNYVVRRYSGYVINEYEFHTTNREAMLKTQNSGVTLEVVTQVLRNAKDENPKKICVTYYGAIIDIIELDYYGHEKYVLFKCDWFMDEKDKYGSSFVYFNKKCYKNDPFVLASQVQQCFFIEDPLNKNNHYVLNALPRESFDMGECLSSDAKEYDISINLDTLRDDCEVDLVRKGVADDIFEIPLSELHNQKAIESDHSDTSYESDDEINYDSSTD >CAK8541565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:251811482:251812308:-1 gene:gene-LATHSAT_LOCUS10478 transcript:rna-LATHSAT_LOCUS10478 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFNTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFVGIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFTENMDKQYVISKGETLVKGVGTGMLSLVALSITSLATTTPSNSCLPGTKVD >CAK8568294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591434225:591434929:1 gene:gene-LATHSAT_LOCUS21266 transcript:rna-LATHSAT_LOCUS21266 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRTIKLLTLFIFFTQMKKKTTYHGR >CAK8564576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:871867:873064:-1 gene:gene-LATHSAT_LOCUS17871 transcript:rna-LATHSAT_LOCUS17871 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTINAPAQRLLGKVAVVTGGASGIGESIVRLFHFHGAKVCIADVQDDLGQKLCDSFCDPENVYFAHCDVVVETDVSDAVYNTVGKFGTLDIMVNNAGISGAPCPDIRNVDMSEFDKVFGINVKGVFHGMKHAAHFLIPKKSGSIISISSVASSLGGVGPHAYTGSKHAVWGITKNVAAELGNHGIRVNCVSPYCVATGLALAHLPEEERTEDAMAGFRSFVGKNANLQGVELTADDVANAVLFLASDDAKYISGENLMVDGGFTRINHSLKVFR >CAK8530742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46415487:46415711:-1 gene:gene-LATHSAT_LOCUS585 transcript:rna-LATHSAT_LOCUS585 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8576905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523384400:523384830:-1 gene:gene-LATHSAT_LOCUS29062 transcript:rna-LATHSAT_LOCUS29062 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKWLEIGTGSRNWGLVEQNLGPLCDAVNSALSVFFSQVCCDMLLYDGSWCVFVFITTNWTCSVSYRKLEEDDGGLFYCITAGTYVQNHESYFLPFWQCIKCFIAFPFLVIDFL >CAK8538910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499394432:499396007:1 gene:gene-LATHSAT_LOCUS8058 transcript:rna-LATHSAT_LOCUS8058 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSNKQILASRYITGNPKQADMYLKNSTITLQLPLDATSESVLVKNLVLSCDPYMRGTKRTDRNSLFNSFSPDSPIVGYGVCKVLDSKHPDFKKGDLVWGITKWEEYSIITKTDSFIKIEHTDVPLSYYNGLLGMPGMTAYAGFYEVGFPKKGDYVFISSAFGAVGQLVGQLAKLLGCYVVGSAGSKYKVDILKSKFGFDEAFNYKEEQDLDATLKRYFPNGIDIYFDNVGGDMLEAALVNMRRLGRIVVAGMISQYELDEPQGIKNLVNIVYKQIKVDAFTVYDYYHLYPKFLDTMLPYVREGKVTYVEDIANGIESGPAALEAMFIGKSSGKQVIILANE >CAK8561643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:335157676:335159541:-1 gene:gene-LATHSAT_LOCUS15216 transcript:rna-LATHSAT_LOCUS15216 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKLSCLQKAFVIGEEHRAFIYKEAGKLHRAFKTKMAKFYLRDSKGGFVKHRSTKYSYCIKQEDWDKFVSQRLTEKFQKVSNENRERALNPQHPYRKSRLGCARLEADMVEESREDEINRSQVWKAARVNKNGVIDNVNVQRVVDQCEKLTEALTEEERQDLGPTDI >CAK8561644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:335157676:335159433:-1 gene:gene-LATHSAT_LOCUS15216 transcript:rna-LATHSAT_LOCUS15216-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFYLRDSKGGFVKHRSTKYSYCIKQEDWDKFVSQRLTEKFQKVSNENRERALNPQHPYRKSRLGCARLEADMVEESREDEINRSQVWKAARVNKNGVIDNVNVQRVVDQCEKLTEALTEEERQDLGPTDI >CAK8568172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579879029:579880431:1 gene:gene-LATHSAT_LOCUS21161 transcript:rna-LATHSAT_LOCUS21161 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPNLDTSRISTVVPATPRGENDGEHNLNSMDLLMKLHYIRSVHLFDSEAAQNLSFSDLKAPMFPLLDSCSHVSGRIRISESGRPFIKCNDAGVRVAEWRCEKTLREWMDEKEYSVDGLVHDHVLGPDLAFSPLVFVKFTYFKCGGLSLGLSWAHILGDAFSAFNFITKWSHSLASHEPPKSNSTKTKFLSNSISDYAVSVKKATLVDKYWLAANENYVATHTFHITSKQLHHLATTFASTDTNTKYFEIISAMIWKCIAQIRGDFGPRVVIISTANVSNGVENFENEFPTNDFVLSRVETKLSPGESNLLELVNLIAEEKINENHVLEKLVEESEGKGDFVVYGAKLTFVDLEEGDFYGVKINGKKAIMVNCDIRGVGDEGVVLVLPGPDDDDGDNGRMITVSLPGKELDQLKYKLEKEWGIYTTYSRHGC >CAK8544737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703254433:703266055:1 gene:gene-LATHSAT_LOCUS13392 transcript:rna-LATHSAT_LOCUS13392 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPRSIVDVVEETPAGGGSFPVDVSKPNPNGMEFDNLYLDMNGIIHPCFHPDGKPAPATYDEVFKLMFDYIDHLFSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEKERLRNEFADQIELLTPKEKPETHDSNVITPGTPFMAMLSVALQYYIQTRLNYNPGWRYTKVLLSDSNVPGEGEHKIMEYIRLQRNLPGFNPNTRHCLYGLDADLIMLSLATHEIHFSILREVITFPGQQEKCYMCGQVGHLAAECRGKPGDKAVDDTPIHKKKYQFLHIWVLREYLQGELLIPNPPFEIDFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAVNLLMHIYRKEFTAMGGYLTDAGEVFLERVENFIQCVAVHEEQIFQKRVRIQQAQEINEGMRARARGEMPEEPRASAVDKVKLGEPGYTERYYAEKFGASDPEEIERIKKDIVLKYVEGLCWVSRYYYQGVCSWLWYYPYHYAPFASDLKDLADLEITFFMGEPFKPFDQLMGTLPSSSSSALPEKYRDLMINPSSPILQFYPSDFEIDMNGKKYSWQGVAKLPFIDEKKLLSATRMLEGTLTEEEHRRNSKMLDLLYVSCAHKLVPQILCYYQNFSHIPLGERPVMLIDPSASDGMNGFFWSYERNVFRDVVSSPIRGLQDITRNQVLNITFLNPRSHRHIPKPPDDVVMPKKILRAADIKPLPLLWHEDNSRRHQARERQHVPGAIAGPQLGEAAHRLVRNSLQFNNNTPYGLPDQFQGHHTMNKVRPTGASGSGRYYGEESNNYYGQNYSPQGIMTRPRFPMSSNGWQNDRQNIRIQDRSQYYEQFHNMKTGFHALTMDEGVKPRSSVAPSPKIPAMMLMRPQNSGHTTNLQSQFVQNIGPPIPPPNWISKAPDMDGIYAMHQEAGLGGAYDKPIKKVYQIKTRNPQDMPEQGNQW >CAK8544735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702964332:702977598:-1 gene:gene-LATHSAT_LOCUS13390 transcript:rna-LATHSAT_LOCUS13390 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLIFTVRRFQPELVLPASSTPREVKLLSDIDDQQGLRFNIPFIFIYRHEPSMAEKDPVEVLRKALSETLVYYYPLAGRIKEGVGRKLMVDCTGEGVMFVGAEADVTLDQFGDSLHPPFPCFQELLYDVTGSELIIDRPIRLIQVTRLKCGGLILTISFNHTMVDGTGIKQFMDAWAEMARGAHQPSIQPVWRREILMARDPPRITCNHREYEQVLPPNNIIREEDSTTIVHQSFFFKPSDIAALRLLIPFQCTTFDIITACFWYCRTKALQLEPQEEVRMMVIVNARSRFNNNHSTPLVGYYGNCFAYPATVTTAGKLCGNSLRYSIELIRKVKTEVTEEYIQSVADFMVLNERCLFTTVNSCIISDLTRAKIREVNFGWGEGVYGGVAKGGAGPFPGATYIVPHKNAKGEDSFVLLVSLPSQVMKRFTKELDQILGNQN >CAK8570853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:130032944:130039431:-1 gene:gene-LATHSAT_LOCUS23566 transcript:rna-LATHSAT_LOCUS23566 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNTGFHYGDMNSSLNWHAISFQSGAVSSLPEMVPMGNYFGLNNDTSGMMYSGNSSVTNRNLNSSVISQPGSASGSSLLVDSVPGLKHDAGFAAEWSVDEQYKLEEGLLKYADEPSITRYVKIAASLRDKTVRDVALRCRWMTRKRRKPEDHMVKKVNNRKDKPLESSPKQYLQPVLSPNVATYSCCITQHVDRNQRITYDDGICGPMKRLLEQNAQAFSQINSNLSTFKLQDNIDLFSQTRHNISTILEDMRATPGIMSQMPSLPVSIDEDLASRYLPNKTQEISNSIKISYSKWTTSIERLAFFVWKSIILVLPLRSHITS >CAK8570854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:130033056:130039431:-1 gene:gene-LATHSAT_LOCUS23566 transcript:rna-LATHSAT_LOCUS23566-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNTGFHYGDMNSSLNWHAISFQSGAVSSLPEMVPMGNYFGLNNDTSGMMYSGNSSVTNRNLNSSVISQPGSASGSSLLVDSVPGLKHDAGFAAEWSVDEQYKLEEGLLKYADEPSITRYVKIAASLRDKTVRDVALRCRWMTRKRRKPEDHMVKKVNNRKDKPLESSPKQYLQPVLSPNVATYSCCITQHVDRNQRITYDDGICGPMKRLLEQNAQAFSQINSNLSTFKLQDNIDLFSQTRHNISTILEDMRATPGIMSQMPSLPVSIDEDLASRYLPNKTQLGNF >CAK8562163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:449480938:449485213:-1 gene:gene-LATHSAT_LOCUS15684 transcript:rna-LATHSAT_LOCUS15684 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTYNVNGLRQRISQFGSLRNLLNSFDADIICFQETKLSRQDVTADLVMVDGYESFFSCTRTSQKGRTGYSGVITFCRVKSAFSSTEAALPLAAEEGFTGLMCNSQTSEDKLPLFVEDLEDFSKDELFSVDSEGRCVVTDHGHFVLFNIYGPRAGSDDTERIQFKQIFYRILQKRLESLLHQGRRIFVVGDLNIAPFAIDRCDAGPDFDNNEFRRWFRSMLIENGGHFSDVFRAKYPDKSDAFTCWSQSTGAEVFNYGSRIDHILCAGSCLHTLDDLQCHSFIRCHVKECEIMTQYKRFKPESTPSAHMRKGGKSVKLEGSDHAPVILTLMEIPEVSLHSTPSLSARYVPMIHGVQQTLVSLLMKRRVSDSCKMAHSDISVVSTSERIEEPVDIISSSIRECDFLPNNDSEGSILEPNKLSTGSSLETVSKLGSVYKKSKIQKCNESKKKSRNSQSSQLSLRSFFQKSTNLDNGIKDSCIGLSNSQAEPSQPNSQSLENSTVFDHSSSPVQDEANAACDQDLAELNDSSRKEEKSNVASQEWQRIQKLMQNSIPLCKGHKEPCIARVVKKQGANFGRRFYVCARAEGPASNPEANCGYFKWATSKSKNK >CAK8540594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11632886:11634703:-1 gene:gene-LATHSAT_LOCUS9586 transcript:rna-LATHSAT_LOCUS9586 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAQRTLWTLTERKCLHLLQPKTKTFTTLLQIHAFILRHSLHNNLNLLTKFISSCTSLASSTSRKNDAVKIVQHARRFFDHTSTHNRDEFLCNTIINSHLSIRQFDESFTLYREFCRDDFFIQNTYAFTSVLKGCAVCNRVKEGLEVHGVVLKNGFCFDLYVGTSLIDMYVKFGDVGCARKVFDEMFVRSLVSWTAVIVGYCRGGDMSEARKLFDVMPERDVAVFNVLIDGYVKMGCMDLARELFDEMGDRNVISWTSMVHGYCEDGDVDSARFLFDCMPEKNVMSWNAMIRGYCRNRRPHDALKLFCEMRGNVDVEMNEVTVVSVLPAVADLSALDLGDWVYGFVKRKQLDGSIHVCNALVDMYAKCGEIGKAKSVFEEMAEKDTGSWNALINGYGVNGCAKEALEVFDVMLREGFEPNEITMTTVLSACNHCGLVEEGRRCFKAMERFGIGPQIEHYGCMVDLLGRAGCLDEAERLIQAMPYDANEIILTSFLFACCYFEDVSRAERVLKEAVKLEKEGAGDYVMLRNLYATERRWADVEDVKQMMKKKGSNKEVAWSVIEVDGRFREFVAGYYLHSHSHLEAIQSTLGQLWKHMKVETVY >CAK8560655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34527653:34529852:1 gene:gene-LATHSAT_LOCUS14314 transcript:rna-LATHSAT_LOCUS14314 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLTVKPPPQMQSFGIFLREPPQNEVTNGENHRDPSLVTKKTIKKTLIMDESSLENPDLGPFLLKMARDTIASGENPGKALDYAIRASKSFERVSGPGLDLATCLHVVAAIYCSLGKLDEAIEELERSILLLDVENGSGHGMVKFSGYMQLGDTYSMTGRLDRSILCYESGLKIQMGILGKSDPRVAETCRYLAEAHVQAMQFDDAEHFCKKTLEIHKEHCSPASLTEAADRRLMALICEAKGDYESALEHLVLASMSMIANGQDNEVAAIDVSIGDIYSSLCRFDEAVFSYQKALTVFKSTKGESHISVALVFIRLADLYFKTGKLRESTSYCENALRIYSKPLPGITAGEIASGLTEISAIYEALNEPEDALKLLQKAVKLLDGIPGQYRTVAGIEAQMGVLFYMVGRHVEAWKSFDNAVIKLRAGGERKSAFFGFVLNQMGLVCVQLYKIEEAATHFEEAREILERECGNYHLDTLGVYSNLAATYDALGRVEDAIQILEYILKMREEKLGTANPDVDEEKKRLFELLKEAGRVRSRKGKSLENLIDSNSLKMKKEGRRRWGAFSFRT >CAK8530347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15898525:15899301:-1 gene:gene-LATHSAT_LOCUS216 transcript:rna-LATHSAT_LOCUS216 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLREEIKRLGKLQSDGSYTVTFGTLFHDDQCANIFEALVGTLRAAKKRKVLTYEGELLLQGVHDNVEITLNPTPAAAAN >CAK8530266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10785885:10795519:-1 gene:gene-LATHSAT_LOCUS145 transcript:rna-LATHSAT_LOCUS145-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEHSKLPSSSSAAESETKGSRLDRFKHRLHFRRKTASAGNAHSSTQKLLSANNFTGIALFALLRAEMHFKDKWIACLSLGEQTFRTKCSQNTDKPVWNSEKKLLLEQNGPHIARVSIFETNKLSSNTLVGYLEIDLLEFLTKDSDSDIEIFNVLDPSDPGKVVGNITISCSVEDPIETEKGFVRRILSIVDYNEDGMLSFSEFSDLIDAFGNQLATGKKEELFKAADKNGDDAVSMDELASLLAFQQENRQPLLNCCPVCGEVLQISDPLNSMIHLTLCFDEGTGNQVMAGGFLTDKQASYGWFFKLSEWAHFSSYDVGIRSGSSSSHILVYDRKSQRLVEEQIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGAKMDSLESAADIPKFIESYKGQINLAEVKYPLEHFKTFNEFFIRELKPGSRPIASAEHDNIAVCAADCRLMAFPSVDDSTRFWIKGRKFSVQGLLGKELCSSAFVDGTLVIFRLAPQDYHRFHLPVSGIVEQFVNIPGCLFTVNPIAVNSKYCNVFTENKRVVSIIETVDFGKVAFVAIGATMVGSITFTKTHGDYVKKGDEFGYFSFGGSTVICVFEKDSIAIDEDLLSNSARSLETLVTMGMRLGVSTRNLS >CAK8530267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10785885:10795519:-1 gene:gene-LATHSAT_LOCUS145 transcript:rna-LATHSAT_LOCUS145 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEHSKLPSSSSAAESETKGSRLDRFKHRLHFRRKTASAGNAHSSTQKLLSANNFTGIALFALLRAEMHFKDKWIACLSLGEQTFRTKCSQNTDKPVWNSEKKLLLEQNGPHIARVSIFETNKLSSNTLVGYLEIDLLEFLTKDSDSDIEIFNVLDPSDPGKVVGNITISCSVEDPIETEKGFVRRILSIVDYNEDGMLSFSEFSDLIDAFGNQLATGKKEELFKAADKNGDDAVSMDELASLLAFQQEKQPLLNCCPVCGEVLQISDPLNSMIHLTLCFDEGTGNQVMAGGFLTDKQASYGWFFKLSEWAHFSSYDVGIRSGSSSSHILVYDRKSQRLVEEQIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGAKMDSLESAADIPKFIESYKGQINLAEVKYPLEHFKTFNEFFIRELKPGSRPIASAEHDNIAVCAADCRLMAFPSVDDSTRFWIKGRKFSVQGLLGKELCSSAFVDGTLVIFRLAPQDYHRFHLPVSGIVEQFVNIPGCLFTVNPIAVNSKYCNVFTENKRVVSIIETVDFGKVAFVAIGATMVGSITFTKTHGDYVKKGDEFGYFSFGGSTVICVFEKDSIAIDEDLLSNSARSLETLVTMGMRLGVSTRNLS >CAK8535611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:869345352:869346686:-1 gene:gene-LATHSAT_LOCUS5054 transcript:rna-LATHSAT_LOCUS5054 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLISYLIFFCLFFSITICHALNNGFSVDLIHRDSIKSPLYNPAETKLQRIFNAVHRSINRATHFNRKNYSSKAKFESTLTYEDGEYLMIYSIGTPPFKVYGMIDPGSNLIWLQCKPCNYCYNQTYPIFNPSKSSSYENISCSSKTCKSAEDTSCSHDKNVCEYTYDYGRGEKTEGNIIEKTLTLDSTSGSSVSFPKIVIGCGHTNHISSIGRSSGVIGFGSGRTSLIKQLGSSIGGKFSYCLIDENNSQSNLSSKLNFGNAAIVSGESVVSTPMVKIIGNYKDYYFLNLEAFSVGNKRITYEGFKHKGINASTHNIIIDSGTTVTIFPQHFYNRLESAVRKVVKLKRFQDDTGSYNLCYNTTSKQPNFPVITAHFSGADVKLYSNNTFAPFPFYEGVKCFAFRGSRYGAGIFGSLQQMNFLIGYDLNKQIVSFKPTDCTKL >CAK8574010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653954083:653955899:1 gene:gene-LATHSAT_LOCUS26402 transcript:rna-LATHSAT_LOCUS26402 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDLSLLLPRVLIVSRRTIRKNKFVDFVGEYHLDLIVRYGAVPIIVPRVTGIHTLLDSFEPIHGVLLCEGEDIDPSHYDDPELCGLTPQELEAIRELHPSDAAIDKEKDSIELRLAKLCLERNIPYLGICRGSQILNVSCGGTLYQDIDREIVEKNHEFRRVVHMDYLNYDGHRHSINVIANTPLHDWFKDSFVDEKMEIMVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDNYSPEDGKFIMGLQFHPERMRNHESDEFDYPGCSMAYQEFAKAVIAYEKKLSSSILVPESPKLNEELEQKRKQIVKSFSVARDMYKSDSQVNVSQSSVLQPGADFLQASTALSLQQENRLKQVGATYRNGSSYAQKMKMNQMREKAARNMMLNMSVEQLTELVSFYGLMHHICSETIDRKMNELVVEES >CAK8562486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500347455:500349211:-1 gene:gene-LATHSAT_LOCUS15978 transcript:rna-LATHSAT_LOCUS15978 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTILAIIFLITLKFLLITTKRFKNLPPGPLSIPIIGNIYQLKHPLHRTLHNLSLKYGQVFSLRFGSRLVVVVSSPSVVHECFTKNDTVLANRPPLLAGKHLAYNYTAVTVAPYGDHWRNVRRIISLEILSTHRLNSFLGIRKDEIKKLMRNLARDSGDSFAKVELKSKFSEMTFNMIMRMISGKRYYGEDCDVGDEEEAKRFREVITEFISVGGSSNPSEFVWIFRLLDFGGYEKRLKRISRRFDGFLQGLVDEHRRKKENGNTMIDHLLNLQESQPEYYTDQIIKGIVLVMILGGTETSATTLEWAMSALLNHPEVLKKAKDEIDTNIGQDRLVEESDISKLPYLQNIIQETFRLHPAFALLAPHFSSKDCIIGGYNVPKGTILLVNAWAIHRDSQLWCDPMQFKPERFEKEGEVDKLIPFGLGRRACPGENLGQRTVSLTLALCIQCFDWKRISVKEIDMTEGKGATTPKLVPLEAMCKARLNVIKKLK >CAK8572147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514616554:514617811:1 gene:gene-LATHSAT_LOCUS24743 transcript:rna-LATHSAT_LOCUS24743 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDESDSENPMTHFVQTLSFSSSDTKGKHRIHAELKRLEQETRYLEEELEKLERMDKASASCKEMLSNVEKRPDPLLPLTIGPLNPTWDRWFEGPQDSKGCCRCWIL >CAK8570261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31877874:31879014:-1 gene:gene-LATHSAT_LOCUS23025 transcript:rna-LATHSAT_LOCUS23025 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTALSNSCTLQSSLFCAQKPNPLSLRTSILPTQVGNKIVYQPLRNNKVFSPNRCVRKIEASLKWEKGYKNVEIFSKEHLAVSLAYDVAQLSTKFTKERGAFTVALSGGSSIKYLRKLVDSPYAETIDWSKWHVFWVDERVVPKDDLDSNYKLANDGFLSKVQIPRLNVYSIEDSLPPDGVADAYETTLRRLVTNNVIATSTNGLPKFDLMLLGMGPDGHVASLFPGHSLLNEDEKWVSFLTDSPKQPSKRITFTFPVINASSNVALVVTGAGKSTAVYTALEDDAQTVKLPVEMVSPNEGELKWYLDKGAASKLFKE >CAK8573751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634899821:634901299:1 gene:gene-LATHSAT_LOCUS26159 transcript:rna-LATHSAT_LOCUS26159 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVSVKEIEGWTERLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLGFFY >CAK8532606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:319195287:319195844:1 gene:gene-LATHSAT_LOCUS2294 transcript:rna-LATHSAT_LOCUS2294 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTLLFFPWPVSVFKTIERWIQNFIWEEDIDKRKLVIVAWHKCYQPFAEGGLGIRSLLFLNDASNLKLCWDMLNLKDQWAAIIRQSVMRGGRHINYIIFYSLWSTMKGSFADVKDDSTWILGNGKNIHFWLDKWEGSVAVNNSDFNFHKQDFLNDRVAEYISNCKWSFPQCITTRFPSIYNLL >CAK8543361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603039380:603040716:-1 gene:gene-LATHSAT_LOCUS12123 transcript:rna-LATHSAT_LOCUS12123 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKCILFGIPIPYRIYSVTAETFNNDPFARSMRLNRHYCGEFSMASVQSNKDMEDHSHVDVGLDALFVGIYDGFKGDTAAIYIRRHIFKALLRRITENNNNMTVTILREVVEEIESGFIEFARTSFEQQHQEQIGLVSSGCLICIIWRGTLYVANVGDSRAVLGSRMGVGPFKRLCVKQMVRVHNCENSDIKKELRKWHPDDNLIYELNELRDEPHSHICKGQLWTMKGLIQTSRCIGYAYMKKVPFTQRRTFKIPIGERVVSAFTRPLLTSEPEVYSRVLKDTDSFVIFGSSGFWKLMSNELAARIVNTTPRDNIAKILAMVAIEKGANKRGKKYRDLIEIPNGNGVSGDFGYVHDRIRPFYHDDITVIVVFFNKRPNSVKPEIKSYTCNDYADQPSEFTHFYNNTNV >CAK8561580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310018542:310018790:1 gene:gene-LATHSAT_LOCUS15158 transcript:rna-LATHSAT_LOCUS15158 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHVFLRIVDALGNHDEYFQMKVDATGKMGLSPLQKCTSAIRMLAYPADLVDEYVRIGESTSIECLEIFVKGSMLYLALSI >CAK8573123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587187310:587188185:-1 gene:gene-LATHSAT_LOCUS25607 transcript:rna-LATHSAT_LOCUS25607 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNWFASVLLLTNVMLTTTLGAFVGVNIGTDVSDMPSASNIVAILKAHQITHVRLYDANAHLLQALSNTSIDVIVGVTNEEVLRIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPALNSLHKALVAANLNFRVKVSTPQSMDIIPKPFPPSTATFNSSWNSTMYQLLQFLRNTNSSFMLNAYPYYGYTKGDCIFPIEYALFRPLPSVKQIVDPNTLYHYNSMFDAMVDAAYYSIEALNFKDIPVVVTEQAGHLLVEQMNPMLLQKMLRPTVII >CAK8538867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498402203:498402544:-1 gene:gene-LATHSAT_LOCUS8019 transcript:rna-LATHSAT_LOCUS8019 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDSFSIMFVLVFLSVSIFSEGLPGGWNPIKNIKDPQVLEIAQFAVTEQQKKSGVKLSLVDVISGETQIVAGINYRLVLTANDGSVTKKYQAQVVDEPNHTRSLISFKSLS >CAK8563624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621072709:621073369:1 gene:gene-LATHSAT_LOCUS17017 transcript:rna-LATHSAT_LOCUS17017 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGKAIFTGIEGRKPPKAKGGSCELILRLLAVVLTLAAAIVIGIDKQTKIVPIKIVDSLPPFNVPVSAKWHYLSAFVYFMVVNAIACAYGAISMLLTFLNRGKRKELLGTLITILDTLMVALLFSGNGAAAATGLLGYNGNSHVRWNKVCDVFDKFCHQVAASIILSLLGSIAFLLLILILPILRFHRRT >CAK8566160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386596970:386599232:1 gene:gene-LATHSAT_LOCUS19319 transcript:rna-LATHSAT_LOCUS19319 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLSKKKGSSTTTTSSLAETKSTTTTSVVFEWKKNSSQNGITVSNPKVETLPEPKLKKENDKGQVKKEIFIIKHRKSHDEAAELIGMRTSSCTKEDVDAILVHCGRLSRNSSGKASFKDQRRRFSGSNRSNDFDDNDTIFSEKEQKICDLHEDDWREPAEKLQFQSPRSLSQDRNRTRRRRTPSREREQEKGSSGIERRFSISPVRRSSDTATLHNARNNTSTSSKPAKMVPVPATVTSFGMDKSNNNDCGESAAGTTGIKRITVRRNVGVASPRSQSPAKANGNAAIQQQQLSLSRNSSRKKDESPYRRNPLSELESNSIAIPHSATNYNNSRMQNRSNMEVETEAKQKPNANRIASDKAVDANCKTKIKQDEDVKVMSSMTDNVVVKTVVPPVAENLKPRTLTRSRSSRRSRDLELDLNPEDLLIPPQSYTSLLLEDIQNFHQKNPPPPPPASLPACVARACSILEAVANLNSNTSSNLSGVEDRRSPSGYQSSRNKYNVPLGTSNSHGKRVADAKDPILESELIVYDEMVEPSLHKFETMNTGGLNMEKQESSGRSSLSVSSAKQMRGISSSWEPSSTDSKDCRSARLNNCIDKDQKTSIGLEGKKRECDYQQDNEMGHGRLGAGNFLHMKPVTAAAST >CAK8538175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466952661:466953125:1 gene:gene-LATHSAT_LOCUS7404 transcript:rna-LATHSAT_LOCUS7404 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASMANTFTLPRFNFNPSHTLSPSSSSSLPKFFTLSNPISTPSPITNASSSTKKCASTLIRATSSSPSSSSSSFGSHLEETIKNTLSQNPVVVYSKSWCSYCSEVKSLFKKLSVQPLVLELDEMGP >CAK8573813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639496247:639498439:-1 gene:gene-LATHSAT_LOCUS26217 transcript:rna-LATHSAT_LOCUS26217 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICKRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGADSGDGDGGDEDLEDY >CAK8542179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486273304:486277160:1 gene:gene-LATHSAT_LOCUS11041 transcript:rna-LATHSAT_LOCUS11041 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMAPNLKLRLGVSLLFILLSFLVIGNSNAELENEVLHSKVRAAPHKDVGSVVIDGTGVENAYKVENGNNVVGNKKGGSNRVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQEYGSGSWVVTGILAGGIFIWLCKKFLEQYGEVSMLDLKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFSKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASPSQVASAATLSVAFMEALSTFFENFTHDYNSEDASGFFVSLLFGLGPFLGGILLVAFALAFHLKHALLMGTACGIAFVLGAWRPLQLILSSKLGLIPVTSLLAMGAALIHMSSSGVLKLAASKKTSAHSLPTITGFPLSIHTLQSFISCGTVAFHALAEGLALGVAAPKAYGLGRYMVLPVSLHGLPRGAAVASCIFGATDSWHGSLAAAAIIGFMGPISAIGAILSGIDYSGLDHIMVLACGGLIPSFGSVVKRSLSLDKRKSTLGLIIGIGFATLCLTFTRLVCLHTPYCNSAPEAVR >CAK8539179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506111985:506112935:-1 gene:gene-LATHSAT_LOCUS8299 transcript:rna-LATHSAT_LOCUS8299 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKATKNLRFMVTQLGGAGTRSFTTFTTPKMKPMSTTLDAAHNTHSTSRLGSLKAELTPVYIVCGMVGVAVIFASHTAYQQLARSPTVHVNKKRRESLPEVFDPDRTINSADKFINGNFFRKITHIQDSKPTVHDPVHPNPFTRARTAETLKSVGVEPGRR >CAK8578147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609689912:609694489:1 gene:gene-LATHSAT_LOCUS30185 transcript:rna-LATHSAT_LOCUS30185 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLPYISPRKRLSPLPSHLFPLPENDEMVLTVTPSEFKDRLIFGPSCASPIDPSPLADALTLSRNSPKKSSICSSSHEFASATPTLCDSSSQLSQQQQLSSWLIDPNYPWRKTNLHRSKTAPAMAVLSDFNHPTAKRPQFGSQSIVHQAFILLVLYLALGVVIYWFNRHSFKATETHPVVDALYFCIVTMCTIGYGDITPNSTATKIFSILFVLIGFGFVDILLSGMVSYVLDLQENHLLRAVKGRGAKEGKSYIVDVKKGRMRIRMKVALALGVVVLCIGVGVVVMHFVESLGWVDSFYLSVMSVTTVGYGDHAFKTLHGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRRMAKWILGQDMTVAEFLAADIDNNGFVSKSEYVIYKLKEMGKVTEKDIILISEKFDRLDAGNFGKITLGDLMEGHNG >CAK8562557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509151394:509151978:-1 gene:gene-LATHSAT_LOCUS16045 transcript:rna-LATHSAT_LOCUS16045 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQHNPWSNFMQNSDSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPIMPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASVRVVNTHKQRLQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPTQLKC >CAK8573661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627310046:627310731:-1 gene:gene-LATHSAT_LOCUS26077 transcript:rna-LATHSAT_LOCUS26077 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPLFPTNNSYESKKLSENTKTTYQCDGKNQKEDSNTYLVIGQSVQVDAKFPNSRHEFFPAATTGDMKGARLRDGEAPNAVPSHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETLSPDPKMIYETSIFPLSVKPAPGDSSEAGIKILEISSIKKSIGDENSCSSPYEPESELHQSTGKSFMSDVNIIEHCG >CAK8535099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814170006:814171782:1 gene:gene-LATHSAT_LOCUS4578 transcript:rna-LATHSAT_LOCUS4578 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSMGILNMEKKWLFPLFVTSAFCMLFLATSFNMGLVSSIHSINSLFFLPSHTNRNQNSSLVFVEKKISPAPAPVKPSIPRFAYLISGSKGDLDKLWRTLHALYHPLNHYVLHLDLESPLEERLELASRVEKQHIFSEVGNVFVIAKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIYAFSNLDRNLNFIDHTSRLGWKLDKRAMPLIIDPGLYHSTKTDVFWVNPKRNLPTAFKLFTGSAWMVLSHDFVEYVVWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELSKTLVNTDLHYISWDVPPQQHPHILNINDTDNMIASGAAFARKFKQDDPAIELIDKKYLRKRNGLFTLGGWCSGKPKCTEVGNVYKLKPGPGAQRLQQLLAGLTLKAKSGENQCI >CAK8535100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814170018:814171962:1 gene:gene-LATHSAT_LOCUS4578 transcript:rna-LATHSAT_LOCUS4578-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILNMEKKWLFPLFVTSAFCMLFLATSFNMGLVSSIHSINSLFFLPSHTNRNQNSSLVFVEKKISPAPAPVKPSIPRFAYLISGSKGDLDKLWRTLHALYHPLNHYVLHLDLESPLEERLELASRVEKQHIFSEVGNVFVIAKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIYAFSNLDRNLNFIDHTSRLGWKLDKRAMPLIIDPGLYHSTKTDVFWVNPKRNLPTAFKLFTGSAWMVLSHDFVEYVVWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELSKTLVNTDLHYISWDVPPQQHPHILNINDTDNMIASGAAFARKFKQDDPAIELIDKKYLRKRNGLFTLGGWCSGKPKCTEVGNVYKLKPGPGAQRLQQLLAGLTLKAKSGPFT >CAK8535101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814170018:814171782:1 gene:gene-LATHSAT_LOCUS4578 transcript:rna-LATHSAT_LOCUS4578-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILNMEKKWLFPLFVTSAFCMLFLATSFNMGLVSSIHSINSLFFLPSHTNRNQNSSLVFVEKKISPAPAPVKPSIPRFAYLISGSKGDLDKLWRTLHALYHPLNHYVLHLDLESPLEERLELASRVEKQHIFSEVGNVFVIAKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIYAFSNLDRNLNFIDHTSRLGWKLDKRAMPLIIDPGLYHSTKTDVFWVNPKRNLPTAFKLFTGSAWMVLSHDFVEYVVWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELSKTLVNTDLHYISWDVPPQQHPHILNINDTDNMIASGAAFARKFKQDDPAIELIDKKYLRKRNGLFTLGGWCSGKPKCTEVGNVYKLKPGPGAQRLQQLLAGLTLKAKSGENQCI >CAK8562700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528826946:528828902:1 gene:gene-LATHSAT_LOCUS16174 transcript:rna-LATHSAT_LOCUS16174 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFSYNSSMSTFEIQKFSTTLFSNFRQKLGVRYSNQFNCSRGLFLKSYSASDEAYVAAVTTSFDSVDGVNGSTERLYYRNLILDAFDDEYGGVVIDPNRLPQNSYAFASMLCLSLSHWRKLGKKGIWLKLPLEKSDLVPIAVKEGFEYHHAEPGYVMLTYWIPDGPCMLPANASHQVGIGGFVINDNNEVLVVQEKHCSPATLGLWKIPTGFIHEAEEIYTGAVREVKEETGIDTDFIEVIAFRHVHNVAFEKSDLFFICMLRPLSSSITVDDHEIEAAKWMPLVEFIEQPLIQEDSMFKKIVDIFIARLGKRYCGLSTHQVVSNFDGKISSLYYNVIDNDDANCVGK >CAK8537222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:162507177:162507473:1 gene:gene-LATHSAT_LOCUS6527 transcript:rna-LATHSAT_LOCUS6527 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPSSSTLGWHRVEVKGEVQTRKGLWWIPRHPETRKGVVSDEMLRGVEKKHRSEDSRIGQPFELLLNSRAGKRQPGELKHLSSQRKRKQKRFP >CAK8532944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553737150:553738053:1 gene:gene-LATHSAT_LOCUS2603 transcript:rna-LATHSAT_LOCUS2603 gene_biotype:protein_coding transcript_biotype:protein_coding MESWISRSGLTSLQRTSLTKIDTNLVSAFAERWHLETSSFHMLFGEMTITLDDVSCVLHFPIRGVFWNPQDISEALAVEWAIDYLGVSQRIAQQQVSECRGSYYKVEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFVDKTFMLVEARYLSLFIDLDGLSGYSWATAVLVTLYKYLGDASMFSCKQFGGYLTLLQCWIHEYFPTLWKRGENLIPANNVGLPRAMRWSYMQGALNVDDLRPILDELTPADVIWRPFENHRVWR >CAK8578165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610647385:610647858:1 gene:gene-LATHSAT_LOCUS30203 transcript:rna-LATHSAT_LOCUS30203 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKIEMKLVKNKDARNAAFSKRRQGLYKKASELSVLCGARVGLLGFSPGGNPFAFGSPSFQVVIDEYFHEGDVEPFENEEIDNLNQELKALKKEIKMEEKKIEKIDQCKVHIVPVDLSLKELQKVKASLNEIQGEIEAASSLLLLAKKPLFIVQG >CAK8532683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:478265315:478265758:1 gene:gene-LATHSAT_LOCUS2365 transcript:rna-LATHSAT_LOCUS2365 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVIIACQKGGQSSPSTVHDRPCFLDDRINLPVINMDVHSNIPIYGGGSYLDANTKFISNYFPHQHTNNCDADILQPTKREKKMIYEFSKHFQSQDTWKCQPQTIESEAAS >CAK8569186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680887370:680888107:1 gene:gene-LATHSAT_LOCUS22069 transcript:rna-LATHSAT_LOCUS22069 gene_biotype:protein_coding transcript_biotype:protein_coding METEQHESCPKSNAIIGGSLYVKVMTDEQLELLRQQISVYTTISDQLIQMHKSITTQHDLAGLRLGNLYCDPLMACSGHKISSRQRWTPTPLQLQILERIFDEGNGTPSKQKIKDIATELGQHGQISETNVYNWFQNRRARSKRKQSVHATNQVEPEAENTEVESPKPKEKITHVQSFENLSPHRVKDMYIQSPDIGLEQLLGKIEVAGCYSSYFL >CAK8569033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665142722:665147448:-1 gene:gene-LATHSAT_LOCUS21930 transcript:rna-LATHSAT_LOCUS21930 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVQKGSAETFFRKVKFWKEDADGDAPPVFNVDGVNYFHVKVAGLLFVATTRVNVSPSVVLELLQRTARVIKDYLGVLNEDSFRKNFVLVYELLDEMIDFGYVQTTSTEVLKSYVFNEPIVIETAQMPLGPTSIFMHGSKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFDVDRTLSLVPPDGEFPVMNYRITQAFKPPFRINTLIEETGPLKAEVTIKVRAEFNSSINANTVLVQMPLPTFTARVNFELEPGAVGHTTDFKEANKRLEWGIKKVVGGSEHTLRAKLTFSQELHGNIMKEAGPLSMTFTIPMYNSSRLQVKYLQIAKKSKSHNPYRWVRYVTQANSYVARL >CAK8561320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:146096651:146134992:1 gene:gene-LATHSAT_LOCUS14922 transcript:rna-LATHSAT_LOCUS14922 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRHRRDSTPATTKWRNKFDENLEQWPHLNELVHCYTTDWVKDDNKYGHYESIGTPSFYNQIYEGPDTDIETEMRLATARRTKGEDISEDDIPSTSGRQFMEAADGEHLDVPKHFGQSPLPAYEPAFDWENERSLIFGQRIPETPISHGMKISVKVQSLQFQAGLSEPFYGTICLYNRERREKLSEDYYFRVLPTEMQDAKITCEPRSIFYLDAPSASVCLLIQLEKHATEEGGVTPSVYSRKDTVHLTEREKQKLQVWSQIMPYKESFAWAIVSLFDGSIGAASVGPASPSSPLAPSVSGSSSHEGVFETSTKVSLDGKPNYSNGNSIVVEVSNLNKVKESYTEESLQDPKRKVHKPVKGVLRLEIEKHQISQADLEIMSECGSATNDSVDPGDRVADSMSGKYHSSSFDDPQGSISRLKFSDANDVLGNGANQHGNSDFNADGFHAFDFRTTTRNEPFLQLFHCLYVYPLTVSLGRKRNLFIRVELREDDGDIRRQPLEAIYPRDPGLETSFQKWGHTQVAVGARVACYHDEIKLSLPAMWTPMHHLLFTLFHVDMQTKSEAPKSVVIGYAALPLSSHAQLRSEINLPILRELVPHYLQDAGRERLDYLEDGKNVFRLRLRLCSSLYPVNERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESVDDAERNHFLVNYVDCAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRLFYHSLPIGEDIPPMQLKDGVSRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFVTWDHEDLSLRARAARILVVLLCKHEFDVRYQKPEDKLYIAQLYLPVIGQILDEMPVFYNLNSVEKREVSIVILEIVRNLDDASLVKAWQQNVARTRLFFKLMEECLLLFEHKKPADGMLLGSSSRNPVGETPASPKYSERLSPAINNYLSEASRQEVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPLLRQKLELWEENLSASVSLQVLEVTEKFSVMAASHSIATDVGKLDCITAIFMSFLSRNQPLSFWKAFFPVFNSVFDFHGATLMARENDRFLKQVTFHLLRLAVFRNDNIRKRAVVGLQILVRCSFHYFTQTARLRVMLIITLSELMSDVQVTQMRSDGSLEESGEARRLRKSLEEMKDETKSSFLLEECGLLESVLIAIPEKKAENRWSWSEVKYLSDSLLLALDGSLEHALLAPVMTMDRYAAAEGFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWNKDHVASLRKICPMVSSEITCEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGKLDKKEYIYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDAVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKNQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >CAK8565689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307854560:307857076:-1 gene:gene-LATHSAT_LOCUS18879 transcript:rna-LATHSAT_LOCUS18879 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESSGRTDFDHVKAAVTEFARGFNHTYYQPLHLAILNGDWKSTKAFIDNDPTALTAKVTILGRNALHVAAVGAQWKLVEKLVQHMPANTVAELDLMGCTSLHYVAMGESVNAAKALVAKNPSVTQVTDYKGFTPLIYSITSTDSKEMVWYLVLNTTDERPGCPFSGPSSSHLVALLTAAGFHDITMYLLQHYPNLATISDSNGSIILNVLSKLPSHFQSGHKLGFWKRCIYHCVPVEVESGNTIWNALQILVPSIKLIRDTKLRDEYAVRLVEFVSSQASAMNDNQFWQSFVSPDIIFSATSSGIVELLTVCFRFFPDLVWTHIPNEGYVAQIAIKNRQEKVFSLLSKMPIICKLLVLALDESQNTTSHIAARFAFQAKSIPGAAFQMQRELQWFKEVEKLDHPLHKEVKNQDGKTAWQVFKQEHKPLLEEGKNWIKDTSNSCMLVATLIATIAFAAAITVPGGNNQDKGIPIFLKDNTFMVFVVSDALALFSSMASLLVFLAILNARFAEEDFFVALPERLILGLASLFIAVVTTMVAFGAALSMLVKERVKWAPIPIALLACVPIALFTKLQLSLFIEMMKSTYGSHFSYIKK >CAK8572697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556762683:556764525:-1 gene:gene-LATHSAT_LOCUS25230 transcript:rna-LATHSAT_LOCUS25230 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLRAFFFQSLFIFWYRFLLRQLKNLTVFHRTISNTFASTTTTSSQTKYQKFPSLLNRPDLNDHTLIFDVENTLLRSSSLFQYFMLVAFEAGGILRAIVLVVLYPFIYFSGEKMGLKIMVMVCFFGIKAERFRIGRSVMPKFFLEDVGSEIFEVVSKGGKKMGVSNLPRVMVESFLKEYLEIDFVAAKELKMFCGYYVGLMDETQNVHALKQVQEGKGSLDMIGIARFNNNHEIFSSCKEVYVVSQADKRSWKKLAKKNYPKALIFHDGRLALTPTPLKSLAMLIWLPYGIFLSVIRILLAFTLPFKLSTPLLIFTGLRLTSSIPENAHSNKQNDNNKSNGHLYVCNHRTLLDPLYLSFSLQKKLIAVTYSLSKVSEIIAPIKTVRLSRNRDTDSKMMKRLLQQDDIVVCPEGTTCREAYLLRFSPLFSEMSDEITPVAVDSHVSMFHGTTAGGLKCLDPVFFLSNPSPVYTVRLLNQVYPSSYSYKNVEDCRFEIANHVQGEIGNALGFECTKLTRKDKYLILAGNEGVVSNRK >CAK8568465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608120234:608122081:1 gene:gene-LATHSAT_LOCUS21420 transcript:rna-LATHSAT_LOCUS21420 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRNSPQTQKSLSRQSSKSNSRTKQEPLSPFSGGGCCRGFTSVKTVASTTTTVQQHQLQQRYESLEVKVADMEKEVQKQTELRVMYRKRMERTQDYLRYCLQIAQENGILEQIIHTKGELQQSPYNVTSIINSPQIPTPIHQHHPNLEAITDQAKTNGWYINPSEIQLEDKIGQGTTADIYRATWRGFDVAVKCISPEFFHTNGNGVEFFAQEVETLSKQRHRFVLNLMGACLNPPNHAWVVTEFLSTTLKEWLYGPGKRRRDRIVPLPPLKERVLRALEIAQAMQYLHEQKPKIVHRDLKPSNIFLDFNLHVRVADFGHARFLGEGEMALTGETGTYVYMSPEVIRCEPYNEKCDVYSFGVILNELLTGKHPYIETEYGPAKIAMEVVEGKIRPMLPSRDDGEQLGELIDIIRLCWDGNPSTRPSFETISRILKSYYNRVIQFSK >CAK8531658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135906682:135908843:-1 gene:gene-LATHSAT_LOCUS1433 transcript:rna-LATHSAT_LOCUS1433 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKKVCVLSLWFAIVCNYVDAGPRVRPVAGPDIYRGKNVAKDSLAPGEKVVNVMSFGAKPDGKFDCTQAFMNAWKEACHSNVQSRLLVPQGTFLVSTMFFAGPCQTPGPITFQVIGTVLATADISEYVNGEWLMFRNVAGIKLIGGGTFDGQGKSTWDFAINCEADSADQCVRAPSSLHFNNVSNGIIQNIKSVNPKGFHFFVTNSANIRLRLLKLTAPNTSPNTDGLHISHSINIKISKSTIETGDDCVSMIQGVNQVSINRIKCGPGHGISIGSLGKYPDELEVKDVRVMRSTLTGTDNGLRIKTWPDKYRGAASQITFSNITMENVKNPIIIDQEYECDPNCQKKPSLVKVSDVVFQNIKGTTISPIAVDLRCSKQFPCQNVKLQNIDLQLGATPAGSRCANIKPIYLGLQKPPPCP >CAK8574093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661994849:661998080:-1 gene:gene-LATHSAT_LOCUS26470 transcript:rna-LATHSAT_LOCUS26470 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNPLATLFLSYLLLTFFLSEAKHASSSSPKSTKIGQGYRLISIQDDHNGAITGLLQVKERNNVYGPDIPLLRFYVKHETENRLRVHITDAKNKRWEVPYDHLPREQPPPLKQKNIKRLKEKKPLSVSEYSSSELVFSYTSDPFSFAVKRKSNGDTLFDSNSNNSDSFGPLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLHPNEPYTLYTTDVSAINLNTDLYGSHPVYMDLRNEGGKPYTHGVLLLNSNGMDVFYKGTSLTYKVIGGVLDFYFFAGPTPLNVVDQYTSFIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTLNPVSYPRPKLLSFLDRIHSLGMKYIVIIDPGIAVNSSYGVYQRGMANDVFIKHEGEPFLAQVWPGAVYFPDFLNPKTVSWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPTGKVCPNGTGPGWICCLDCKNITNTRWDDPPYKINASGLQAPIGFKTIATSAVHYNGVLEYDAHTIYGFSQTIATHTALQGLQGKRPFILTRSTYVGSGKYAAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESARNSLGMRYKLLPYLYTLNYEAHISGAPIARPLFFSFPNYVQCYGVSTQFLLGSGLMISPVLEQGKTEVEALFPPGTWYSLFDLTQVIVSKDGNNVTLNAPLHVVNVHLYQNTILPMQQGGMVSKDARTTPFSLIVTFPAGANEGMAKGNLFLDDDELPEMKLGNGYSTYIDFHATVKKGTVKVWSEVQESKFALDKGWVIDTINVLGLTGNGETATREIDGKLSNVKIDTTEQSYLYGKGDGEKKIVMVGMKGLNIPVGKNFSITWKMGS >CAK8534774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766162673:766169996:1 gene:gene-LATHSAT_LOCUS4288 transcript:rna-LATHSAT_LOCUS4288 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGGGGDIGGGSNNGDLRQLGDVPTGGAVFDASQYAFFGKDAVQEVDLGGLEDNAHLPTFESNEEEFFFNREQDEDVRSLSDIDDLTTTFWKLNKVVSGPRNPGVIGERGSRENSTSDLAQREDVHSWFDQNAYDSEGSIDGKRWSSQPQPSLAHLQNSKSLYRTSSYPEQQRQDQNYHLQHCSSEPVHNWLDQQIYDIETAHDDNRWSSQPHTSIPHLHESTSLYRTSSYPDKQQELTRFSSEPILVQKSSFTSYPPPGGRSQQGSPSQSTGHLNIPYHTGAAAQMALSSQNRSHFSNSALQLSGLNLGSHFGGNTRQFLTGSSSLSQRIQNQLVNQAGLYPGDRSNLLSNMLQQQLQLHNGSVSPHLMNQLQQQHRLHHPVQQSAGYLSGFQSHLFNPHLSSSSSVSSKYDHRPKSSQKGKHSHRLSHQGSDASSQKSESSALQFRSKYMTSDEIESILRMQLAVTHSNDPYIDDYYHQGRLAKKPSVAKLKHPFCPTQIKELPSRTRSSNDAHAFLQVDTLGRVSFSSIRRPRPLLEVDPPNSSVPGSSELKISEKPLEQEPTFAARVTIEDGLCLLLDVEDIDRFLQSNQPQDGGTQLRRRRQVLLEGLATSLQLVDPLGKNGHKVGLAAKDDLVFLRIVSVSKGRKLLAKYLQLLLPGSELMRIVCMTVLRHLRFLFGGLPSDPAAAVTTCSLAKVVCQCVRGMDLGALSACLAAVVCSAEHPPLRPVGSTAGDGASLILVSVLERATELLTDPHAACNYNMGNRSFWQASFDEFFGLLTKYCMNKYHSIMQSLLIQSTPNVDDIGSDAAKAISNEMPVELLRASLPHTDDRQRKLLLDFAQRSVPVVGFNSNSGGSGGLLNSETVLS >CAK8544838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708367465:708367929:1 gene:gene-LATHSAT_LOCUS13486 transcript:rna-LATHSAT_LOCUS13486 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAICRRGRPPKVVATPETSSDNLNDDQQGDKDSTSKTTMEHGKEKAVGAITMDQEIHETLEAEKGINEPRKLWVDVISGNRNPGNGLSLKFIAPTIVNGVPEVIIEEADTINEVKFWETSLIMYVIGGELSMNGVKQFMTKQWNFVKLPDM >CAK8536921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39880892:39881979:-1 gene:gene-LATHSAT_LOCUS6245 transcript:rna-LATHSAT_LOCUS6245-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDIDDGPKVDMSSVIDELWKRFKSLDVIGKRTLKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHEYVDQAYQSSEMQSQPSQTSKKLKLSQFSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIGDIVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRECLMIEEFDPQPPHKWLTLPDMGYVIVNRYNIVLVCLGIECWTFFPMITSFSPNVAIYGIGFINKNNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHWQQLTPILPTHYKL >CAK8536920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39880892:39881979:-1 gene:gene-LATHSAT_LOCUS6245 transcript:rna-LATHSAT_LOCUS6245 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDIDDGPKVDMSSVIDELWKRFKSLDVIGKRTLKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHEYVDQAYQSSEMQSQPSQTSKKLKLSQFSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIGDIVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRECLMIEEFDPQPPHKWLTLPDMGYVIVNRYNIVLVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHWQQLTPILPTHYKL >CAK8537678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420508781:420510466:-1 gene:gene-LATHSAT_LOCUS6944 transcript:rna-LATHSAT_LOCUS6944 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPSTQPITTTSSLSLLRLCKKTNSHKIENLIEYSHVPEDAQISETIPPLLSPYNIFKRQRSVTRSIRNLISTNRPHMKEYVQSSRLDQCSLRATNQEQYVDLEIPQYLINHWKTEGYTTLHFGDFRLILSLHGRKNQPVFCKIALLDSSCLHYENAVIGTVLTTLHAGSVVLTIFPNYNVSLNENTLSIRLKVQIQITRTDQVPEAMSATLHHQIIYRLQNHSIDLPISGCSSDSLLVVTNREEDIPSIVQIPRKITREELTQLIPLEWITNYERLHVDKRPIQSQEATFRRSVDKTVKTIFKKPDEGSSSISPIFQTMMIQPVLKEDWCPVYDVTIEGKPIYTDKIDGHFIWDVDPTRCDPDCDCWMHDDDIDRDIIIPKTKKKGRCKPSPPPQRRSDPDNGPWVGIHGKKKPLCIYEEGLKILRREGLLPPDDPNLITWSPTDHCKPLHPPAVAQPIPCFMYSTTTSEYKRQFPSLERKMNLVTGRTSKPFIHPSEVQPDGKLKPLTQAEEVLNWQSENMVSQNKILQNLDKKVDKIAEKIDETDEDIKVLSQKM >CAK8544558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692502053:692504898:1 gene:gene-LATHSAT_LOCUS13224 transcript:rna-LATHSAT_LOCUS13224 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLRKSLSSFLYKLVGTASPTLFCCRHLSHSHPHNTASLFSSTSLSRNSYTNGLSPTRARFLASQPAAAEHSTSDGLTVEGILANNWTILDENDGDWKSHAAAIAQSIHLIKRRLQWKKLKVRLSLLSVQLNKEDLWDDPVRAGKINREHGSLLGKMKEVNGLERELLEHIEMIKLAREENDEELELESMKALLNMRRNAKEKEIEALLSEEHDSCSCYIEVQAGAGGTESMDWASMIMQMYKSWAQQRGYKVTVMEEMPGEVAGIKRATIKVDGEFAFGYAKSEIGVHRLVRISPFDSNKRRHTSFAAVAVIPNLGDQSSSVQINESDLRIERFRASGAGGQHVNTTESAVKITHIPTGVTATCQNERSQHQNKSSAMAVLQSRLNQLEMARQAQLNSQHTQSLTDITWGSQIRSYVLHPYRMVKDLRTNYEVSDTDAVLEGDLDGFIMSYLSASLDKKEDDA >CAK8576114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408753657:408754412:1 gene:gene-LATHSAT_LOCUS28328 transcript:rna-LATHSAT_LOCUS28328 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMKHSFFSLTLLLMVFVSSTTILAQKSPAPSPSADSPPTDIIRILKKAGGYTTLIRLLKTTQVSTQINSQLLNSNGGLTLFAANDNAFSSLKPGFLNSLSDEQKNKLIQFHLLPTFVAISNFDTLSNPVRTQAGDDPYRLALNVTSSGNQVNITTGIVNVTVGGTVYTDHQLAVYQVDKVLLPRDFFVAKPPAPAPAPEKAKTPSKKKSTDSDDVPAGDDDSGAFTVKQRRLMLVPVAVATIIVALLSW >CAK8534769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765672465:765674867:-1 gene:gene-LATHSAT_LOCUS4283 transcript:rna-LATHSAT_LOCUS4283 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVAKLHPLYPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMSYKAYGSGKEDNPLCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADSAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGYEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIRCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVAKLAKGEMLMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLEVPPAPILS >CAK8539933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531699458:531700832:1 gene:gene-LATHSAT_LOCUS8984 transcript:rna-LATHSAT_LOCUS8984 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSINFFLLFSLLVFAPFCHCKSKVGDFLYPQFYDGSCPRVEEIVKSVVSKAVAKEPRMAASLLRLHFHDCFVKGCDASVLLDSSGTIISEKRSNPNRNSARGFEVIEEIKSAVEKECPHTVSCADILTLAARDSTVLTGGPNWDVPLGRRDSFGASISGSNNNIPAPNNTFQTILTKFKLKGLNIVDLVALSGSHTIGDSRCTSFRQRLYNQTGNGKSDFTLDQNYAAQLRAQCPRSGGDQNLFVLDFVTPVKFDNNYYKNLLANKGLLSSDEVLLTKNQVSADLVKTYAARNDIFFEQFAKSMVKLGNITPLTGSRGEIRKHCRKINK >CAK8560725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38599303:38607065:1 gene:gene-LATHSAT_LOCUS14380 transcript:rna-LATHSAT_LOCUS14380 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDHFGDMYDVALKPRLLNTLISDYLPTVDHPFSNPSELSKVVSLIKTHSLLSEDVTDSMESKQGKAWKSSVTSWVDRVLLLLSSHSPDKRWAGISLLGVTCEECSSDRFQESYTVWFQKLLTSLQSPEDSHLVKVAACASISDLLARLSGFPKFKKDGSASAVKVVQPVLKMLQDDNSEEVWEAAVHVICTLITSFPFSIQRHYDSVESAIAVKLVSGGCSHDMMKKLAHCLALLPKSKGDEESWSVMLQKILILINDQLNLKFEGLEEDYIRKEFNGLLILPGKQPPPPLGGYVSTEEASDNTTKRSKQSRTSSVSTLMSSCCMLLTNAYQVKVNVPVRLLLVLVERILMVNGALPEMSLPFMTARQQENICSELPVLHMCSLELLTAIIKATGSQLLPHAASIVRLITKYFKTCQLPEIRIKVYSVAKILLISMGVGMALCLSKEVVNNAIADLSTLEKKNVSMSNGSNTGVSPAALQPASNRKRKHSSTNGSLQENEAGGVLGVQVPKKYRVAPISLRIAALEALEALITVAGALKSEQLRSKVDSLLIAIAMDSFREGSSNEEINVFQKKDPAATATDLQLAALRALLASFLSASRAPYLSQGLELLRRGKQQTGTKLAEFCAHAMLTLEVLIHPRALPLVDYVPPSNDTFRETQFSYRDEYVSRNSNTQFGFPQAEPPASRENPLTDYLANGDDEMGRLWTENTKETKESSEVATPLPSSAGIQERSEMVPETATCADVEMRAVDNETTFKSDHPGESVPCPTSIPTVVDIHSGAATNIEPERIVSDSSTLPHNEANNLDLDSKRKSPVQTSDTNLVQQFAIKKFGDSVDNEYEPFPEIVDGDPDSDSGSE >CAK8576559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492614206:492614529:-1 gene:gene-LATHSAT_LOCUS28736 transcript:rna-LATHSAT_LOCUS28736 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKKVLIVGMLLAIGNAMFANGITVCNMTRDERKTCEPYVSNDKNYTHVNYKVPSHACCSATANADLQCFCGYKDSGLLSLYGINPKQALELPVKCKIVDSFHCM >CAK8569325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694413799:694417248:1 gene:gene-LATHSAT_LOCUS22187 transcript:rna-LATHSAT_LOCUS22187 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRTIAFLSISLVLLSAIDVAAHGAKRKARHNRVAGISNVTYDGKSLFVNGRRELLFSGSIHYTRSTPDMWPSILERARHGGLNVIQTYVFWNAHEPEQGKFNFQDNYDLVKFIRLVQEKGMYVTLRVGPFIQAEWNHGGLPYWLREVPGIIFRSDNEPYKKHMSAFVGKIIQMMKDEKLFAPQGGPIILAQIENEYNHIQLAYEEKGDSYVQWAANMAVAFDVGVPWIMCKQRDAPDPVINACNGRHCGDTFSGPNKPYKPALWTENWTAQYRVFGDPISQRSAEDIAFSIARFFSKNGNLVNYYMYHGGTNFGRTSSAFTTTQYYDEAPLDEYGLEREPKWSHLRDAHKAMLLCRKAILGGVPTVEKINTFHEVRIFEKKDGNICAAFITNNHTTEAATISFRGSNYFLPPHSISVLPDCKTVVFNTQSIVSQHNSRNFVKSAVANNFKWEVFSEPIQNVKKVPPSQKVPAELYSMLKDTTDYGWFTTSFELTQEDMPKNGAAPALRIMSLGHSLSAFVNGKCIGSGHGSHEEKSFEFEQPANFKVGTNYVSILASTVGLPDSGAYMEHRYAGPKSISVVGLARTIDITRNGWGHQVGLKGEGLKVFTEEGSKSVKWVPVTGSARAISWFKTRFITPEGKGPVVIRMTGMGKGMIWVNGKSIGRHWMSYLSPLGKPTQSDYHIPRSYLNSKDNLLVILEEEKASPEKIEIMNVDRDTICSNIRENDPANVNSWVSRHGQFRALDKNAGPQASLKCATGKKIVAIEFASFGNPSGYCGMYNVGNCNDASAKSVVEKECLGKEACLVAVNRANFMKGGDACPNLVKSLAIQAKCSY >CAK8578126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608791965:608793572:1 gene:gene-LATHSAT_LOCUS30165 transcript:rna-LATHSAT_LOCUS30165 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSSYWAFVLLAVLFSYTSSAVYSTSTHEDNFLQCLYTYSHNSTSISKFVYTKTNSSYSSILQFSTQNLRFATHATPKPLVIITPQEVSHIQTVIICSQKHGLQVRIRSGGHDFEGRSYVSEVPFVIIDLTNFREIKIDVENRSAWVQSGATIGELYYKISRKSRNLGFPAGVCSTIGVGGHISGGGYGTVVRKFGLAADNVIDAQIIDVKGRFLDREAMGEDLFWAIRGGGGASFGVIISWKIKLVQVPSIVTVFNVPKTLEQNATKLVHKWQHVASKIDENMYIGVILQRVNSKSNSTTVQALFQSLFLGGVDKLIPLMEEKFPELGLVREDCTEMSWIESVLNLYGFPKGESHEVLLNRTQASKDVFKVKSDFVKVPISENGLEGIWPMFHEDGAKDAFMYLFPYGGIMDNISESEIPFPHRNGNLYQIQYSAHWHEVEKVKFNWIKKLYSYMEPFVSKSPRGAYINYRDLDIGVNNINGYTSYKQASVWGVKYFKNNFKRLAKVKTRVDPLNFFRNEQSIPSHFTKRSK >CAK8541364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:136916427:136917784:1 gene:gene-LATHSAT_LOCUS10289 transcript:rna-LATHSAT_LOCUS10289 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSEFKQPIHFFKIMLTQILHQEKLMIPRKFVSKYGGCLPKAICLKTPNGAYWKLSLVKSDGKIWFEKGWKEFEEYHSLSHGDLLVFKYETTSHFEVQIFDMTATEITYPFKRVEANNKEDYRASQKRQAYSSFEIGSTSSVKDGKSQKVAAVHHTNKKRKGKLVNITLTRAKSFRTCNPSFVVVMRASYVERYFQLCIPSVFGMRHFDTDKKRGYIYFQVSNNENVWPAKYLIKMGSATLKFQVSSATWKKFSKDNNLKVGDVCNFELILSTTMTFLVHIFRASDKDNTDCSTSQCRIN >CAK8533969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676965732:676966369:1 gene:gene-LATHSAT_LOCUS3551 transcript:rna-LATHSAT_LOCUS3551 gene_biotype:protein_coding transcript_biotype:protein_coding MRYEILSTVKPAELKRLFCQDTLLVENAEVHALLSRFGFNRSKHCLGIWENVILTTRDASYRHLWMCDGRITNISRRN >CAK8573173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591233933:591241013:-1 gene:gene-LATHSAT_LOCUS25650 transcript:rna-LATHSAT_LOCUS25650 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRETAPVTSKASNSGDASEKKSSNNAEIRTNVVVGSSKRSSIAWMALFFVIAYSCSAIYQYQFQNMPLPLTAEQAGKRGFSEIEAFSHVKALTEVGPHPVGSEALHQALQYVLTACKTIKKTAHWEVDVEVDFFHVESGANRQVSGLFAGRSLVYSDLDHVALRILPKYLSDAREETILVSSHIDTVFSTEGAGDCSSCVGVMLELARGISQWAHGLKKGVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPGAIESFASVAKYPSGQTVSQDLFTSGVIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKKGSLQHLGENMLAFLLHIGASSHFPEESSTESKEDMSNNKAIYFDILGTYMVVYRQKFANLLHNSVIMQSLLIWVTSLFMGGIPAAVSLALSCLGVLFMWLFSLGFSVPVAFLLPLISSSPVPYVSSPWLVVGLFGAPAILGALTGQHLGYLLFQKFLLNVHSKRRQFPPIVQAELVKLEAERWLYKAGSFQWLILLILGNYFKIGSSYLALVWLVSPAFAYGFFEATLAPARLPKPLKLATLVLGLATPILISAGIFIRLAATIIGGMVRLDRNPGSTPEWLGNVVIAAYISALLSLTLVYLLSYVHLSGAKRTITLATLVLFSLSLAVVFSGAVPPFSEDTARAVNVVHVVDATGKLDERDTPLSYVSLFSTTPGNLNKEVELIDESFVCGKDKPVDFVTFSVKYGCRTYNGIKSGWSEADIPTIHVKNDAKENGRITQVSINTKDSIRWVLAINTEEIEDFKLIDTVNSEELIPVDKKSGVDGWHIIQFSGGRNAPRLFDLTLYWRPGSTQSTDNGFLLKLRTDVNRLTPITEQILEKLPRWCSLFGKSTSPYTLAFFRNLPINF >CAK8531650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:134870209:134873140:-1 gene:gene-LATHSAT_LOCUS1426 transcript:rna-LATHSAT_LOCUS1426 gene_biotype:protein_coding transcript_biotype:protein_coding MILLHLMQFYVFLFLFFVVVTFFHQAQASNIGSFNRKQVGVYPTPVDGICASSIIVHGYKCQEIQVVTKDGYILSIQRIPEGRNEARNERTKKQPVILQHGVLVDGMTWLMNSPEQDLPLILADNGFDVWIANTRGTKHSRRHVSLDPSNPVFWNWSWDELVTYDLPAVFDYVYIQTGEKINYVGHSLGTLIALASFSERKLINQLKSAALLSPIAYLSHMNTALGVIAAKSFVGEITTLIGLAEFNPKGLPVEAFLKPLCDYPGIDCYDLMTELTGKNCCLNSSTVDRFLMNEPQSTLTKNMVHLAQTVRHGVLAKFNYVRADYNILHYGQVVPPIYNLSNIPHDLPLFISYGGQDALSDVRDVENLLDQLKFHDVDKRSVQFVKEYAHADYIMGFNAKDIVYNSVISFFNSQGNT >CAK8544660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698185297:698191459:1 gene:gene-LATHSAT_LOCUS13318 transcript:rna-LATHSAT_LOCUS13318 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRSLSSPATAVHRVLLLSPSIHPSTFPTLTPFFHKFKSLKPPFSTIRNCTSITAKPSSHFRKNLPPNSNPDPKLTALRRLFSNSDVSIDAYIIPSQDAHQSEFIAECYGRRAYISGFTGSAGTAVVTNDKAALWTDGRYFLQAEKQLNSNWILMRAGNPGVPTTSEWLNEVLAPGARVGIDPFLFTSDAAEELKQVISEKNHELVYLYNSNLVDEIWKEARPESPNKSVRVHDLKYAGLDVASKLSTLRSELLKAGSSAIVVSALDEIAWLLNLRGSDIPHSPVVYAYLIVEIDGAKLFIDNSKVTEEVDDHLKKANIEIRPYNSIISEIENLAARQSALWLDTSSVNAAIVNAYKAACDRYYQNYESKHKTRNKGSDKSNESSDVPIAVQKLSPVSLAKAIKNEAELKGMQDCHLRDAAALAQFWNWLETEITNNAIITEVEVSDKLLEFRTKQAGFLDTSFDTISGSGPNGAIIHYKPEPESCSIVDANKLFLLDSGAQYVDGTTDITRTVHFGKPTTREKECFTRVLQGHIALDQAVFPEDTPGFVLDAFARSFLWKVGLDYRHGTGHGVGAALNVHEGPQSISHRFGNSTPLVSGMIVSNEPGYYEDHAFGIRIENLLYVRNVETPNRFGGVQYLGFGKLTYVPIQIKLVDVSLLSATEIDWLDNYHSIVWEKVSPLLDGSARQWLWNNTQPIKSETA >CAK8537822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439250338:439250760:1 gene:gene-LATHSAT_LOCUS7076 transcript:rna-LATHSAT_LOCUS7076 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGAVRTEVRHAPDMSKNLISLTTLDLGGCKFVFGDGVLKVVKGALIVMKAHQIGRFYVLQGSTVTGTAVVSSSMSDSDETILWHMRLGHMSEKGLTMLSKRGLLSGQSTSKLEFCDFNKFCKDEGIVRHLTVKRYSET >CAK8577480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567705683:567705937:1 gene:gene-LATHSAT_LOCUS29584 transcript:rna-LATHSAT_LOCUS29584 gene_biotype:protein_coding transcript_biotype:protein_coding MSILINGSPTEYFEVSRGLRQGDPLSPFLFAIVAEGFARIMKNTVDTNFFREFRLEDGCGGPSFSLLRFVDDTIIIGDGSWSNL >CAK8575889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:349956084:349956508:-1 gene:gene-LATHSAT_LOCUS28114 transcript:rna-LATHSAT_LOCUS28114 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKLNTLFLIFMLLTFVQCSSSTRIYRTKMEGARRHIATSIKVAIARFKKEGVSVGTFEDSARKVPTGPDPLHHNNHPLQP >CAK8536776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:19058201:19058452:-1 gene:gene-LATHSAT_LOCUS6109 transcript:rna-LATHSAT_LOCUS6109 gene_biotype:protein_coding transcript_biotype:protein_coding MICSTLYSILAMLILVNPSNAQLISTFYSNTCPNVSSIVRNVVQQALQNDSRIGASLIRLHFHDCFVNVIHFKHILVKARDGD >CAK8544884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710312401:710315707:-1 gene:gene-LATHSAT_LOCUS13529 transcript:rna-LATHSAT_LOCUS13529 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEEEESTKTKKPSSPVTVDQTNQTNQANIHVYPDWATMQAYYGPRVAMPPYYNSAVASGHPPHPYMWGPPQTMMQPYGHPYAAMYPHGGVYTHPAVPIGPHPHGQGISSSPATGTPLSIETPPKSSGNTDQGLMKKLKGFDGLAMSIGNGHAESAEPGAESRLSHSVDTDGSSNGSDGNTSGANQTRKRSREGTPTTDGEEKTQTHGSDVSNEIVASNKMMAVAPAGVAGQLVVPAVSSAMTTALELRKPSIVHSKTNPAAEGWLQNERELKRERRKQSNRESARRSRLRKQAEAEELARKVDALNAESASLKSEINRLAESSEKLKMENAALKEKFKIAQLGQPKEIILTNIDSQAQRITPVSTENLLSRVNNNSGSNDRTVEDENGYCDNKPNNGAKLHQLLDTSPRADAVAAG >CAK8567989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561591262:561597184:-1 gene:gene-LATHSAT_LOCUS20992 transcript:rna-LATHSAT_LOCUS20992 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRLSSSNFKFTTSGLGLGFLRRSFSAESGKRFAALWGNGDYGRLGLGDLNSQWTPAICTSFHNQNVKAIACGGAHTLFLTDNGCVYATGLNDFGQLGISESKQYSVVPLQVFGHEKKVVHISAGYNHSSAITVDGELYMWGKNTNGQLGLGKRAPNIVPLPTKVEYLDGINIKMTALGSEHSMAISDGGEAFSWGMGVSGRLGHGHESSLLGFFKSYSEYTPRLIKDLEGIKVKNLAAGMLTSACTDENGSVFIFGEKGVDKLLLKEMSNATKPSLIRELPYAEEVACGGYHTCVLTNFGELYTWGSNENGCLGIGSSYAIHLPEKVQGPFLESSVVQVSCGWKHTAAISGGRVFTWGWGGSNGTFSDDGHSSGGQLGHGSDVDCINPSRVCFADDVKALQVSCGFNHTGAILEYA >CAK8544181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671029960:671030277:-1 gene:gene-LATHSAT_LOCUS12882 transcript:rna-LATHSAT_LOCUS12882 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKGRATDMYRLSTYFVARTTCALSLDLVLPVLFLLVVYFMAGLRLSAGPFFLSILTIFLCIGSSGTWT >CAK8578396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626369037:626369693:-1 gene:gene-LATHSAT_LOCUS30409 transcript:rna-LATHSAT_LOCUS30409 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKYQNDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFVVGGWFTGTTFVTSWYTHGLASSYFEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDLTCWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRSYNAIAFSGPIVVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGAT >CAK8577300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553757031:553759965:1 gene:gene-LATHSAT_LOCUS29421 transcript:rna-LATHSAT_LOCUS29421 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHTTLFLSLLFIFTSNLAQSKPSINVTPTTLSKSGDTVEIRWSGIQSPSELDWVGIYSPPTSFHDNFIGYLFLSKSPTWQNGSGSLSLPLVNLRSNYSFRLFRWTQSEINPKRQDHDRNPIPQTRNLLGFSQEVSFASSKGPEQIHLAFADEEDAMRVMYVTREPEASFVRYGEREDKLDGVVGADVKRYAREHMCDAPANQSIGWRDPGYIHDALISGLSKGKRYYYKVGNDNGGWSATHSFVSRNSDSNETIAFLFGDMGTATPYNTFVRTQDESISTMKWILRDVEALGNKPAFVSHIGDISYARGYAWLWDHFFAQIEPVATKLAYHVCIGNHEYNWPLQPWKPDWAGYGKDGGGECGVPYSLRFNMPGNSSEPTGTVAPATRNLYYSFDMGAVHFVYISTETNFLPGGNQYNFLKHDLESVDRNRTPFIVVQGHRPMYTTSNEIRDAALRGKMIEHLEPLLVNNNVTLALWGHVHRYEKFCPLNNYTCGSHVGRKAGEKEGYTVHLVIGMAGQDWQPIWEPRPNHPDLPIYPQPKRSLYRAGEFGYIRLVATKQKLVISYVGNHDGEVHDTMEILESGEVVSGYGNGGIATVKPEGRIEESTLSWYVQGGSVLVLGAFLGYILGFISHARKKPESMTGFSPVKTEET >CAK8570174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27544132:27559992:-1 gene:gene-LATHSAT_LOCUS22949 transcript:rna-LATHSAT_LOCUS22949-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMVVTNPKKRKIVSSDDDDNDIGIQRREFRFKVLLPNGTSVELKVWSAENEMHVGDFVGLVREKYLESLKKCEWMKKKRDINWKGSGLYLEDAADNKIRNVIELKNFMPRKCHILRLNDGSSEVAQTFENMWDLTPDTDLLLELPEEYNFEAAIADLIDNALQAVWFNGKNNRKLVRVNVTDDKISIFDNGSGMDDTDENSLVKWGKMGASVHRLSKSVAIGGKPPYLRPYFGMFGYGGPVASMHLGRRTCVSSKTKHVKKVYMLLLQREALLNRSNSEVTWKTNGGIREPVKDEISNSDGSFTKVDIYNPKVKGVDINKLQCRLKDIYFPYIQNDDLSAGGKTITPIEFQVNGVDLTEIQGGEVATTNLHSCNGPEFVLQLRMSIKDDHDGTRGFHEANARLRFVYFPFTEGKESIERVLEKLTADGYIIRENFQDFSRVSIRRLGRLLPDARWTFLPFMDFRNKRVNSSRGSILRRCSLRVKCFVETDAGFKPTQSKTDLAHHNHFTVALKNLGSKISDKETAAVSVEISTGTRMLTPAQLEKEYQEWIINMHNQYDEEADATAEDNPVIIVSPPNKKALGISKEVVRVHQILKRKEKSWSNGQRIKVLKGACPGISNNLYATIEYFLLEGFEGDPGGEARIICRPIDIPDEKGCSLYGNEEDPTLNVGSSLSLPITVIDTKKLVAVGSAEWENRLNKIQQQSPVCFDTPIPNRYKRQLVGVVDSISKSCDKRVTEKPSLCAEKYELLTDDQSPKLDVRAGSNFPTLEIACYDIHGNRAPFQTTPDVAIQFQAAENLYFEVHGMKIGLSTDKMTLKIMDAMVTCNELDKIRPSYTATLIITSKKFPLSLTFPCRVFPSYPKHVTLKPAEIRDNQLLPGFIFKELMLEMFDTYRNHVPEGTEVDIVVAGFEMLNHCSTSYKVDDKGKINLNGLLKLIAGYGEIASISVVFQNKSIFKQEFSIARRSLRIASEVPDFCAVGGQLENIEFEIVNIDGEVDTKIHHDDQDCQFHMLTIKSDFFNAEESIRYTFKHGRCTIPSIRVPEIEGTFSFEASHSQYTRLRQDLEVQVIKMSNVKDATQLSDKNILPLPELTPLNHDYSLISILNEADKRLGKILKIGEKIQIAEERLEKYKKRKDEIEKDMLMLKNNVQHYQLDYVDSGFTNTKEEMTTTIKSMENSAASVLCSLTTHQQKNFLEDIIGVVALLGSVQSPELSRILAEYLGEEKMLGVICKSFNTAISLEKYKKNGEIDYLHALHAEAAGLGKTICKRFFIMCFENIRPYKSIDRQHNGSLHDNDSQRKLFLPDPKMPNGRTPAGFMGYAVNMIDLDTHHLQTRTTSGYGLRETVLFSLFKKLHVYKTRENMMDALPCIEEGAVSLDGGIIRENGTLSLGYGNPCICFPCTNKLDISPEAMGILTQIEGKKQELVAIEEDIGKLNTHHQKYLQKYKKKKKRYNNLMDNIKTEEF >CAK8570173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27544132:27559992:-1 gene:gene-LATHSAT_LOCUS22949 transcript:rna-LATHSAT_LOCUS22949 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMVVTNPKKRKIVSSDDDDNDIGIQRREFRFKVLLPNGTSVELKVWSAENEMHVGDFVGLVREKYLESLKKCEWMKKKRDINWKGSGLYLEDAADNKIRNVIELKNFMPRKCHILRLNDGSSEVAQTFENMWDLTPDTDLLLELPEEYNFEAAIADLIDNALQAVWFNGKNNRKLVRVNVTDDKISIFDNGSGMDDTDENSLVKWGKMGASVHRLSKSVAIGGKPPYLRPYFGMFGYGGPVASMHLGRRTCVSSKTKHVKKVYMLLLQREALLNRSNSEVTWKTNGGIREPVKDEISNSDGSFTKVDIYNPKVKGVDINKLQCRLKDIYFPYIQNDDLSAGGKTITPIEFQVNGVDLTEIQGGEVATTNLHSCNGPEFVLQLRMSIKDDHDGTRGFHEANARLRFVYFPFTEGKESIERVLEKLTADGYIIRENFQDFSRVSIRRLGRLLPDARWTFLPFMDFRNKRVNSSRGSILRRCSLRVKCFVETDAGFKPTQSKTDLAHHNHFTVALKNLGSKISDKETAVSVEISTGTRMLTPAQLEKEYQEWIINMHNQYDEEADATAEDNPVIIVSPPNKKALGISKEVVRVHQILKRKEKSWSNGQRIKVLKGACPGISNNLYATIEYFLLEGFEGDPGGEARIICRPIDIPDEKGCSLYGNEEDPTLNVGSSLSLPITVIDTKKLVAVGSAEWENRLNKIQQQSPVCFDTPIPNRYKRQLVGVVDSISKSCDKRVTEKPSLCAEKYELLTDDQSPKLDVRAGSNFPTLEIACYDIHGNRAPFQTTPDVAIQFQAAENLYFEVHGMKIGLSTDKMTLKIMDAMVTCNELDKIRPSYTATLIITSKKFPLSLTFPCRVFPSYPKHVTLKPAEIRDNQLLPGFIFKELMLEMFDTYRNHVPEGTEVDIVVAGFEMLNHCSTSYKVDDKGKINLNGLLKLIAGYGEIASISVVFQNKSIFKQEFSIARRSLRIASEVPDFCAVGGQLENIEFEIVNIDGEVDTKIHHDDQDCQFHMLTIKSDFFNAEESIRYTFKHGRCTIPSIRVPEIEGTFSFEASHSQYTRLRQDLEVQVIKMSNVKDATQLSDKNILPLPELTPLNHDYSLISILNEADKRLGKILKIGEKIQIAEERLEKYKKRKDEIEKDMLMLKNNVQHYQLDYVDSGFTNTKEEMTTTIKSMENSAASVLCSLTTHQQKNFLEDIIGVVALLGSVQSPELSRILAEYLGEEKMLGVICKSFNTAISLEKYKKNGEIDYLHALHAEAAGLGKTICKRFFIMCFENIRPYKSIDRQHNGSLHDNDSQRKLFLPDPKMPNGRTPAGFMGYAVNMIDLDTHHLQTRTTSGYGLRETVLFSLFKKLHVYKTRENMMDALPCIEEGAVSLDGGIIRENGTLSLGYGNPCICFPCTNKLDISPEAMGILTQIEGKKQELVAIEEDIGKLNTHHQKYLQKYKKKKKRYNNLMDNIKTEEF >CAK8536224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919155453:919158312:1 gene:gene-LATHSAT_LOCUS5614 transcript:rna-LATHSAT_LOCUS5614 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNPRSERFEDDSDVSKTPATNGVKVKYHIDGTQIPEPSSRKSQKKVTRNKFLKTRVLSRVFSEDYERVKKRVLVLDPRGQIIHRWNKIFLVACLVSLFVDPLFFYLPVVREEVCIDIGKNLEVVLTIVRSVGDLFYMIQIFMKFRTAYVAPSSKVFGRGELVLTYSKIAVRYFSKGFWLDFIAALPLPQVLIWIIIPTLRGSTMANTKNVLRFFIIFQYIPRLYLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWRSVCNMEKPNCQYRFFDCHRVKDALRVKWFVSSNVTNLCSPSAGFYQFGIYADAMTSQVTSSPFFNKYFFCLWWGLRNLSSLGQGLLTSTFIGEIMVAIVVATLGLVLFALLIGNMQTYLQSITVRLEEWRVKRTDTEQWMHHRQLPSELRESIRKYNQYKWVATRGVEEEDLLKGLPLDLRRDIKRHLCLELVRGVPLFDQMDERMLDAICERLKPALCTQGTYLVREGDPVNEMLFIIRGHLDSYTTNGGRDGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAVSEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKRKEAAELRAKENLMAASESERAKRYGKGFVVYETRVARSTRKGVHLQKPTEPDFYDE >CAK8569073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668360166:668365831:1 gene:gene-LATHSAT_LOCUS21964 transcript:rna-LATHSAT_LOCUS21964 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEGEKKVAEENEVPKGGELLFCGATCWDSVGRRKGPVEGNLVSPSRLRPLVGIDIRYIASGCVSCHCLALDVEGRCYTWGRNEKGQLGHGDIIQRDRPTLVSGLSKYKIVQAGAGKSHTVVVTADGNSLAFGWNKHGQLGSGSTKNEIESSPIRCVISEVKYATCGADFSVWLSSVEGASILTAGLPQYGQLGHGTDNEYNSKDSSVKLIYEPQPRPRAIASLAGETIVKVACGSNHTVAVDKNGFVYTWGYGGYGRLGHREQKDEFVPRRIDIFTNRNVLPPDAIISAGSTNSACTAVGGQLYMWGKLKNTGDDWMYPKPLMDLSGWNIRCMDSGGMHHFVGADSSCISWGQAQNGELGYGPTGQKSSAVPKKVDLLEGMHVMSVACGLGHSMIVVDRANVAERLDQLDTHDGKAVGEGNAPVNKTPVPKKAAPAKKGAKKADNSKKRKKAKDSSDSEEEQEQAEESDNSDDEVNGEAEVKKSRGGKSSGKGRGKATKTPANKSSSKSSPVKSAGKRGRPKKA >CAK8534417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724810814:724812052:-1 gene:gene-LATHSAT_LOCUS3965 transcript:rna-LATHSAT_LOCUS3965 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNSHHNPNFQNSPFILNPQNNPHFRNYSYHPPPYPYQYQQFTSQSTNLIMPHGAQIGSSDAQPNDQEDETPHFCTQGSLETVNLGEEVASVRVVSTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRIGEAYNKHRDVNYKERKPTQLKCRWHKINPSVQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSPEASTKRTKNSTSGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFARDYARIEGEKVEIERKKVDAKIKKAESAEERLKMNDLQILSKDTSNTDTRQLQAHDMLCDMIREKYGLN >CAK8569029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664309737:664312051:-1 gene:gene-LATHSAT_LOCUS21926 transcript:rna-LATHSAT_LOCUS21926 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNFHHLQDQQPHLPVSSLSSPIPCSYAVGGTTTIHSWTPTNITLNNAAGNFNSNLQEVDPRYSRSSTTIQDLGYHHHQWTNDDVKEEISFANFPKFTEMLNYTPPNLNMENYGILEDSSTTIQMKNSTNDEHKDMNALMLKNLYTGGDFYSNQNYPNIGNQTQIHPSINISNLNHYSSSSTTSTLDMNMQSLDLLSSSQDHHLGRFTTNDHENLSFHLHPMQNQLANRSSSSNSINKPSLLGNGGGETKRVMQSKASQSETALKKSRSSSESRPPSCAPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQGQVETLSVPYMKSSQNQNNRLMQGDLAIGDTNGEPKQYLRSRGLCLVPLSCMSYIAGDGSSEVWQQRPNFGGPT >CAK8567746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541160872:541163029:-1 gene:gene-LATHSAT_LOCUS20769 transcript:rna-LATHSAT_LOCUS20769 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIAVNCSVTPPSRTPPSTHSYNNGSSRVKLFASSWLPNYYATRHNNNAFIASASSVSGSEQLLDVGSKKKRKEIAGIDQDELVDPKLLADPDSCFCEFKGVHIHHKIYDSESNSQEQTSKICLPMVLLHGFGASVFSWKKVMKPLAEATCSKVLAFDRPAFGLTSRVNLSSGTGDTKPLNAYSMAFSVLATLHFFDLLKAEKAILVGHSAGSSVAVKTYFEAPERVAAIILIAPAIFAPLTTPKVVKENQPRQDNEMREDNSSIRKNPIVELYISLSKVIKNVAMTITKMMKPMIDILNSLYRKLLSAILLSSPAIMLVRMAIDKFGTAAVRNSWYDPKQVSEHVLSGYTKPLRVKDWDRALVEFTAATLLDEESKTKPALSKRLNEISCPVLIVTGDSDRIVPSWNAERLSRVIPGASLEVIKQCGHLPHEEKVEEFISIVENFLRKLAANSNEQYLQPAM >CAK8541712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:362358371:362359042:1 gene:gene-LATHSAT_LOCUS10608 transcript:rna-LATHSAT_LOCUS10608 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFASASANTDQRQKIEQYKHILSVVISSNDIGQARKFIDHILSDDVPLVVSRQLLQSFAEELGRLTPETQKEIAHFILAQIQPRVVSFEEQVLVIREKLAELYESEEQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIACLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLEYKVCYARILDLKRKFLEAALRYYDISQSPENAKWRHCRKTQDRSS >CAK8577751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586488168:586489562:-1 gene:gene-LATHSAT_LOCUS29825 transcript:rna-LATHSAT_LOCUS29825 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHKFVNVGDLKLHIAQIGTGPNVVVFLHGFPEIWYSWRHQMIALAAAGFRAIAPDYRGYGLSDPPPEPEKTTFSHLLNDLLAILDALSLSKVFLVGKDFGGPPAYLFSNLHPERVLAVITLGVPYVPPGPPILHNYLPEGFYILRWKEPGRAEADFGRFDAKTVVRNVYILFSRSELPIANENQEIMDLVESDTPLPTWFTEEDLSTYGALYEKSGFRTALQVPYRTIGDDLSLPDPVVKVPVLLIMGGKDYVFKFPGMEDLIKSEKAKELVPNLEVKFIPEGTHFVQEQFPEQVNQLILAFISKHI >CAK8565273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95206843:95207283:-1 gene:gene-LATHSAT_LOCUS18499 transcript:rna-LATHSAT_LOCUS18499 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILSYSILTTFHLKSYSNSRIATEVNDAEACQIALARFKMRSAYQRNKDDANECLALDVMFLYARRVASSGGNSKFLALRFVTLRNLTKFDGVDSLRKNLWGESARRIQKKFC >CAK8567207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494889819:494890352:-1 gene:gene-LATHSAT_LOCUS20278 transcript:rna-LATHSAT_LOCUS20278 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNLKSSNVLLGSDFESCLNDYGLSVFLNPKTIDEPSATSFFYRAPECRSFQRPQPQSADVYNFGVLLLKLLTGKAAYQDLVQAHSSYIPIWVRSVREEEIESRDGPASSGNEVNIAMACVLVSPENRSTIRKFLKMIRDARGETHVSYNNSSDHSPGRWSNTVQSLPRDEHLNI >CAK8538729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494028034:494029128:-1 gene:gene-LATHSAT_LOCUS7899 transcript:rna-LATHSAT_LOCUS7899 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVEKGSDPRSLITSFDWRNLIDSQWKDANYKQRAIASLVQAVYLLELDRQENRTQDNSPASQFWIPFNYKPTQILIDQRDGSIFGAIFEWDRFAAMSDFKLFKSIGAPRAVLALRGTLIRVPTVRRDFEDNFRFVAWESLKDSVRFKVAMDAVKSVSETYGSRNVYIAGHSLGAGLGLQLGKELAKERINVETHVFNPPAVSLAISLGNIGEMAEYVWNGIKDMLPSGTEAQVSNSVDETYIRTTLTQGC >CAK8576377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468266810:468269692:1 gene:gene-LATHSAT_LOCUS28569 transcript:rna-LATHSAT_LOCUS28569 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKAVIVLLIGLLGMVYQGTQLPPPNSNDSVDGDENCDVVSSRIKLSDGRYLAYREMGVAKDKARHSIIVVHGFGSSKDMNFPASQELIDELGAYILHYDRAGYGQSDPNPKRTLKSEALDIEELADQLQIRSKFYVIGVSMGSHATWSCLNYIPDRLAGVAMIAPIINYEWPSLPKGLVRDDYRRKLIKIAMWLARYSPRLLHWWVTQTWLPSNSVIEKNPAFFNKRDIEILERIPGFPMLTKEKLRDQVVFDTLRGDWMIAFGKWEFDPMKLSNPFPGKNRTPVHIWQGYEDKVVPSQIQKFISEKLPWIQYHEVIDGGHLIVHYSGLGEAILKALLLGEEDISYKPKSSVSVS >CAK8570193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28303716:28303928:1 gene:gene-LATHSAT_LOCUS22966 transcript:rna-LATHSAT_LOCUS22966 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIILDGNCNCFSNTTFFKVKNGKNISFWFSKWIGNQALKDVFSELFVLAADLISRKVDAGQWDHEVRR >CAK8560538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24276958:24277596:-1 gene:gene-LATHSAT_LOCUS14202 transcript:rna-LATHSAT_LOCUS14202 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIFIILSYVALLSSYIPYATSVNNDFCVADLSLPKTPFGYQCKSEKDVTVDDFVFSGLVAGNATKPFNTGITFVNVDKLPGLNGLGIATLRGDIDINGSVPLHSHPDASELVIIVKGQVKVGFITPTKVFLKDSKPGDVIAIPKGQLHFLVNTGAEKGVFFGAFSSSDPSTHIVDLILFGNDLSTSVLSQTTLLDVAQIKKLKAAFGGKN >CAK8573243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596968317:596972020:1 gene:gene-LATHSAT_LOCUS25714 transcript:rna-LATHSAT_LOCUS25714 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLQTPFLPSSTVLRRTPTSLHRTSTPTNNFVVRAKIREIFMPALSSTMTEGKIVSWIKSEGDKLSKGDSVVVVESDKADMDVETFYDGILAAIVVEEGGVAAVGSPIAFLAETEEEIEQARSKALSSSSSSSSSSSPSSSTPAPAPAPAPAPVESQPKKVVAAAVVSKHPASEGGKRVVASPYAKKLAKELKVELGQIVGTGPSGRIVAKDVEAFAASEGSSVAAAATEPVKTAVSGVELGTVVPFTTMQNAVSRNMVESLGVPTFRVGYTFTTDALDALYKKIKSKGVTMTALLAKATALALAKHPVINSSCRDGNSFTYNSSINIAVAVAIDGGLITPVLQDADKVDVYSLSRKWKELVDKARAKQLQPHEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGSSQPTVVATKDGRIGMKNQMQVNVTADHRVIYGSDLALFLQTLSQIIEDPKDLTF >CAK8574799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9596394:9596957:-1 gene:gene-LATHSAT_LOCUS27105 transcript:rna-LATHSAT_LOCUS27105 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTHFLTFFFFFLLSSNTITSDFVRPIDRKLFDLHKKEKLSHFKFYWHDIVGGKTPSSIMVVPPPLKLNTTTGFGLVRMIDNPLTLGPQLSSKLVGKSQGFYASACQDEVGLLMVMNFGFVEGKYNGSSISILGRNAVYDKVREMAVVGGSGLFRFARGYAQATTYSFDLKSGDAVVEYNVYVFHY >CAK8561502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:254757885:254758322:1 gene:gene-LATHSAT_LOCUS15088 transcript:rna-LATHSAT_LOCUS15088 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSDSDEYNLYGQETKLLPRDGLLNNNGSTFSSNVEFTINSINSIVQVRSPPPHVSSNTLRSSGQVSSQTEIDEVEFLNNCRDAGVVHILGNTVVIARRDSNFFKKLAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFSV >CAK8543967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652739054:652742724:1 gene:gene-LATHSAT_LOCUS12686 transcript:rna-LATHSAT_LOCUS12686 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPSVATTPASLYVGDLHPDVSDAQLREAFSDFNTLASVRVCRDSSTGKSLCYGYVNFLSPQDANRAIELKNHSILNGKAIRVMWSRRDPDARKSSIGNVFVKNLADSIDNSGLEDMFKKFGNILSSKVVMSEDGKSKGYGFVQFETEESANTAIEKLNGSTIHDKQIYVGKFVRKSDRVMSGPDARYTNLYMKNLDLDITEKLLQEKFSSFGKIISLAVAKNSNGISKGFGFVNFDKPDDAKRAMEAMNGLQLGSKILYVSRAQKKVEREQILHQQFEEKRKEQVLKYKGSNIYVKNIHDTVSDEGLRDHFCACGTITSAKVMRDDKGISKGFGFVCFSTPEEANKAVNTFHGLMFHGKPLYVALAQKKEVRQAQLQLQYGQRIAGLAGPSTAIVPGGYPPFYYTAAGVVSHALPRAGLMCQPMPLRPGLRANGSAPPARSFQQSPAPVVSNNTRQHRQNRGRVNVHAVPQGNTHSGTFMPQTQQASQSAISSRESSTQQKTRQAKYVPSGRPHEMEKGSGFLSGGSNSGRGSQVSEMLHLMVANATPEQQKEILGEHLYMLVQKIKPNLAAKITGMLLEMDSAKLLDLMESPESLSAKVEEAFQLLKNSKAKVSGLDVLHSNFLSSEVAVN >CAK8564046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647784521:647790182:1 gene:gene-LATHSAT_LOCUS17390 transcript:rna-LATHSAT_LOCUS17390 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLALMLQLLKLCIIIIIGFVVATQGSRGPLSPPPASAPTPQMSKETEPFISPSSISIALSPLYEVVPSPATVQGNMPPSVAPAAQKARQLHHAPQPLTSSHSPALAPSVSNYKHHHARNIITIPAPASSYTVSPPISRPRDKAIPPSLSPTTRRQRHHVPPRVSPPGSSSKKLPHPPPNEDCLSTVCTEPYVTSLPGAPCRCVWPIRVGLRLSVSLYTFFPLVSELASELASGIFMKQSQVTIVGANAANQQPDKTVVLIDLVPLGEKFDNATAFLTSYRFWHKKVVIKASYFGDYDVLYLNYPGLPPSPPLAPSSITIIDGGPYTTGDNNGGTIKPIGVDIQKRQNRGDLSREFIAIIAISVFVAVVLCIAAACFMFKFEVPVSNPASTPWLSHPSLTKAPGSAGSLTGDERVGSVSSSFESGMAAYKVSVKTFSMNDIEKATDNFDSSRILGEGGFGLVYSGVLGNGTKVAVKVLKKKDDIHSNHEFLSEVEMLSRLHHRNLVKLIGICIEEDSFRCLVYELVTNGSLESHLHGVDREKCALDWRARMKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEDDFTPKVSDFGLARTAEDEENRHISTRVVGTFGYVAPEYAMNGHLLVKSDVYSYGVVLLELLTGRKPIDMSQTPGQENLVAWSRQFLTSKEGLEAITDPSIGLDAHFDSVAKVAAIASMCVQPEVSNRPFMSEVVQALKLVCSECEEEEAKEDDSSRSYSREHEDLSVVDNDIENGLSASELFSSCSGTGRMEYETFRRKSYSGPMGNGRSKQLWQIMRRLSGGSVSEHGTI >CAK8531869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168186158:168186469:-1 gene:gene-LATHSAT_LOCUS1631 transcript:rna-LATHSAT_LOCUS1631 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRIQNARDRRQQMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISSPV >CAK8573021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577645220:577646899:-1 gene:gene-LATHSAT_LOCUS25516 transcript:rna-LATHSAT_LOCUS25516 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGSSALLFLLTCIVTYFVGSLYARTKNSNYKLPPGPSFFTIMSNVVDLYNKPQQTLAKFARFYGPVMLINLCTETTIIISSSDMAKQILHTHDSLFSDRSVPHNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSTKTLDGSQELRRMKLKDLLNDMRKSSLTGEAVDIGRAAFKACINFLSYTFVSQDFVESLDDEYKDIVSTLLKAVGTPNISDHFPVLKIFDPQGIKKHTTNYVSKVFYALDIIIDKRMKLRESEDYISNNDMLDTLLDISKEDKQKMDKKQIKHLLLDLLVAGTDTTAYGLERAMSELVRNPEIMSKAKKELAEIIGLGNPVEESDIDRLPYLQAVVKESLRLHPPAPLLLPRKARVDVEISGYTIPKGAQVLINEWAIGRTDIWEDAHVFSPERFIGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEFKDMDLDKSLRAIPVALNKVY >CAK8569544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4688846:4689772:1 gene:gene-LATHSAT_LOCUS22386 transcript:rna-LATHSAT_LOCUS22386 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIQSSNMDTFNFSNGTMSSPYLSPPSSPKRFGEYYLSAPSSPSRLSELYSQIDYLSIMDQTSSTNKNNNVVVDDDVDDHGDEGGGFAFFVNHDESKNSSTRSAEELFHGGKIKPFEETKVVVEPRKQQNNVGVGFGDERRGRDRERTKGTDSSLNNSGRRVTRSHSPYRKSNYTFELEEQNFQQKQQPRMIKEESKSSSSKGSRRWKLSDLLLFRSASEGRGSNKDPLKKHFVGYKKNNNNIEEVKGSSFRSSESFSNNGMRKKGQVSAHEMHYAMKKAESQDMKKRTFLPYRQGILGRLSGFGL >CAK8567578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526728130:526728465:-1 gene:gene-LATHSAT_LOCUS20619 transcript:rna-LATHSAT_LOCUS20619 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIQNNDGTLITDKQEIHKEVMEFYGNLMGKAAHNLKHVDIEALRDGKHLNGDPREFLINTITEEEIVKSLKGIGDLKAPGIDGFGAKFFKVSWHIIKEDVIATIKEFFL >CAK8534323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716256302:716258597:1 gene:gene-LATHSAT_LOCUS3875 transcript:rna-LATHSAT_LOCUS3875 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTLPPIPPSPRDDAMQLYRAFKGFGCDTTAVINILAHRDATQRAYLQHEYKATYSEELSKRLVSELSGKLETAVLLWLHDPAGRDAEILRKSLIVDRSLVAATEVICSRTPSQLQHLKQLYHSKFGVYLEHEIEANTSGDLQKILLKYISTPRHEGPEVNREVAQRDAKVLFKAGEKKLGTDEKTFIQIFSERSSAHLAAVSSYYHDMYGHSLKKAVKNEASGNFGLALLTITECANNPAKYFAKVLYKAMKGMGTNDDTLIRVIVSRAEIDMQYIKAEYSKKYKKTLNDAVHSETSGNYRAFLLALLGPNH >CAK8532869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539526763:539533601:-1 gene:gene-LATHSAT_LOCUS2531 transcript:rna-LATHSAT_LOCUS2531-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVNYGSADRNIEQALIALKKGAQLLKYGRKGKPKFCPFRLSHDGSSLIWISSGGEKQLKLSSVSRIIPGQRTAVFQRYLRPEKDYVSFSLIYKNGKRSLDLICKDKVEADVWISGLKKLISSGQGGRCKIDGWSDGGLNLDDSKDLTSNSPSDSSASASLDISSPDISVSLPNTSPNSFRPHNTLNTERSHAPSDSTNMLLKGSSNDTFRVSVSSAPSTSSHGSAHDDYDALADVYIWGEIICENLKVGADKSVHYFSPRADVLLPRPLESNVVLDIQHIACGVKHASLVTRQGEVFTWGEESGGRLGHGVGKNVVQPRLVEALTSTTVDFVACGEFHSCAVTMTGELYTWGDGTHNAGLLGHGSNVSQWIPKRVEGPLEGLQVSSVACGPWHTALITSTGKLFSFGDGTFGVLGHGDRENVSYPREVESLLGLRTIAVACGVWHTAAVVEVIATQSIASLSSGKLFTWGDGDKNRLGHGDKEARLTPTCVPAIIDYDFQRIACGHSLTVGLTTSGRVFTMGSTVYGQLGNPQSDGKLPCLVGDKIASESVEEIACGAYHVAVLTSKNEVYTWGKGSNGRLGHGDIEDRKTPTLVEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPDKPYRVCDSCYAKLSKVAEASNHNRRNTLPRLSGENKDRLDKSELRLSKAVVPSNMDLIKQLDNKAAKQGKKADTFSLYSQTPLLQLRDVVFSTAADLRRTTPKPVIAASRVSSRSTSPFSRRSSPPRSATPIPTMSGLSFSKNTADSLKKTNELLNQEVQKLRSQVEILKQRCKLQELELQRSAKKTQEAMALANEESTKRKAAKEVMKSLTSQIKDLAEKLPPEVYDAMNIRQAYLPNGLESNGNHYPDSNGEQHADAESIISGSSVASIRLESSLFRTAGDFPGANGTNLHQQIRGSVTSNGTGNYPDVKLPNGSSVIQSSSSSLSDIVEGRDSGNFHNDESGLKLTDAALATNSNNQVDAEWIEQYEPGVYITLVAMHDGTRDLKRVRFSRRRFGENQAEIWWSENREMVYEKYNVRNSDKSSSQAPHKSQVAGSPISQT >CAK8532868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539526763:539533601:-1 gene:gene-LATHSAT_LOCUS2531 transcript:rna-LATHSAT_LOCUS2531 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVNYGSADRNIEQALIALKKGAQLLKYGRKGKPKFCPFRLSHDGSSLIWISSGGEKQLKLSSVSRIIPGQRTAVFQRYLRPEKDYVSFSLIYKNGKRSLDLICKDKVEADVWISGLKKLISSGQGGRCKIDGWSDGGLNLDDSKDLTSNSPSDSSASASLDISSPDISVSLPNTSPNSFRPHNTLNTERSHAPSDSTNMLLKGSSNDTFRVSVSSAPSTSSHGSAHDDYDALADVYIWGEIICENLKVGADKSVHYFSPRADVLLPRPLESNVVLDIQHIACGVKHASLVTRQGEVFTWGEESGGRLGHGVGKNVVQPRLVEALTSTTVDFVACGEFHSCAVTMTGELYTWGDGTHNAGLLGHGSNVSQWIPKRVEGPLEGLQVSSVACGPWHTALITSTGKLFSFGDGTFGVLGHGDRENVSYPREVESLLGLRTIAVACGVWHTAAVVEVIATQSIASLSSGKLFTWGDGDKNRLGHGDKEARLTPTCVPAIIDYDFQRIACGHSLTVGLTTSGRVFTMGSTVYGQLGNPQSDGKLPCLVGDKIASESVEEIACGAYHVAVLTSKNEVYTWGKGSNGRLGHGDIEDRKTPTLVEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPDKPYRVCDSCYAKLSKVAEASNHNRRNTLPRLSGENKDRLDKSELRLSKAVVPSNMDLIKQLDNKAAKQGKKADTFSLYSQTPLLQLRDVVFSTAADLRRTTPKPVIAASRVSSRSTSPFSRRSSPPRSATPIPTMSGLSFSKNTADSLKKTNELLNQEVQKLRSQVEILKQRCKLQELELQRSAKKTQEAMALANEESTKRKAAKEVMKSLTSQIKDLAEKLPPEVYDAMNIRQAYLPNGLESNGNHYPDSNGEQHADAESIISGSSVASIRLESSLFRTAGDFPGANGTNLHQQIRGSVTSNGTGNYPDVKLPNGSSVIQSSSSSLSDIVEEWIEQYEPGVYITLVAMHDGTRDLKRVRFSRRRFGENQAEIWWSENREMVYEKYNVRNSDKSSSQAPHKSQVAGSPISQT >CAK8569474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2298170:2298577:-1 gene:gene-LATHSAT_LOCUS22322 transcript:rna-LATHSAT_LOCUS22322 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGTPPSTEAPPPLPNFAASFTIWPPTQRTRDAVTNRLIETFSTPSILSKRYGTLSLDEASVAASQIEAESFSIADDSSSPDDDSIQILEVYSKEISKRMIETVKTRSHLADDTYATSVTASPAGHSVALLDS >CAK8563115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574737520:574743517:-1 gene:gene-LATHSAT_LOCUS16558 transcript:rna-LATHSAT_LOCUS16558 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKEIRKKELKRNKKERKKVREVGILKKDPDQLKKQIDNLEMMKADGALDKARKHKKRQLQDTLNLVMKKRKEYEEKMRDKGEAPVMFSHLGPPRRRTSAEEEERVNHPKPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLFDGSSSTAASSSAIESEDGVLSVPPPPPPPPLPDASSADGAVLPASLPLPPPPPMPPKPAAGVPASLPLPPLPPPPPGPPPKEQAVNQPLPPPPPPLQQSQPPPPGTNEGEERNQSALSDNLSSKEPGQVQLPPPPPPPSRMPQNSGATQSEGAVVSTDNKNSLSNQEIQKMVPGPPPPPPPPRQQPPVPGPTLIPSLQPDVLPPGISRFPPPPPPPDMRPPLPVVGLPGQAPPPGMMVPLIPRPPYGLPPGPPPMMRPPLPPGPPPNFHEEDHMASRPPPPKPSYVKSAASTVVKRPLAQHTPELTSMVPASVRVRRETAMTKTKPKPSVSTSASRAVSAPPGPTIVKPDSVSSSSAPKAPSIDDSYSAFLEDMKALGALDG >CAK8536071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904764858:904765665:1 gene:gene-LATHSAT_LOCUS5472 transcript:rna-LATHSAT_LOCUS5472 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAAIKDVRSMSDCVLLLTSWAFTHIPLFAPVSTVQLSYPYAQRWVQRWMNYDANPCFHLQGYRNALDHMQEKDYIQYPTPNLRDSHIWSTTTSLIYFYTVEMHQTDRVKLQFGFEQQIPSPPRCLREHQAMTMRKAQKVH >CAK8532097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201481817:201482313:-1 gene:gene-LATHSAT_LOCUS1833 transcript:rna-LATHSAT_LOCUS1833 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRGSASSASVITMLLLSMFVFHLNMIHAETYIVGDGQGWTFGVQNWPAGKTFKAGDILVFNYIPLIHNVVKVNEFGYNSCVALGGFGLHVSGADRIALTKGANYFICGVPGHCNRGQKIAVNAN >CAK8578970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664975950:664976932:-1 gene:gene-LATHSAT_LOCUS30947 transcript:rna-LATHSAT_LOCUS30947 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMYQKKPRVPPREHTNKQENEMDLRTIMKDVENFSNSHMTWKERKRIEDRKVVSLGGKPLKNQRLPLSVARPMMKKQKQREEKMLQEHMILGRFGGKFGGSNSKKPVGKHKPEDRGLKLSEGRFRNGILDVKHLLKSTPTRGHDTGKNMSNTGKRKGGNGKHDKKGGGKKYEMF >CAK8573166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:590158741:590162725:1 gene:gene-LATHSAT_LOCUS25644 transcript:rna-LATHSAT_LOCUS25644 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLYLFRSSRFGQDYSRKRYFILKDNVLRSFNAKPSSQMEEPIRSAMIDSFIRVTDNGRESINKKVFFIFTIYNTSNQRDKLKLGASSSEEAAKWISSFQEAAMKETQNPAKNFVPCHKRRRSSLRNGGMKSIDWKYSNLSFQSCAYSEVMTTDVIAPSPWKIFGCQNGLRMFKEAKYWDSQGRQRRDDQQAIMAVGVVDGTSEAIFHTLMSLDPSRAEWDFCTNRGSVVDHIDGHTDIIYLQLYNDWLPWGMKPRDLLLRRYWRREDDGTYVLLYHSVCHSKCSPKRGYVRASLKSGGFVVSPVNKGTQSVVRHMLAIDWRLWKLDLRPSSARSITVRMLERVAALRELYRTKAGNYSSESPVMTKDIGLPITVKEDVKIKVSEENNGPVDLLVEVQDEVDDREIRGRTSLMGLNDTDEFFDVPESTEYDQFDNPWHSDLSSEQLVVPQPRVSSAAGLVKKLHDLSIQKKGYIDLQEAARKESASWSYGFTLAKDPKCTLPCTWADADPSLFLVRGETYLQDHAKVKATNTLMQLVGADWLRCDTREDDLSSRPGSIVQKYAAKGGPEFFFVVNIQMPGSPMYNIAVYYMTKTPLEDNPLLQSFVEGDDTYRNSRFKLIPYISEGSWIVKQSVGKKACLIGQALEINYIRGKNYLELDIDVGSSTVARGVASLVLGYLNNLVVEIAFLIQGNTQDELPEVLVGTCRFNHMDATKAIAVNS >CAK8542100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473371593:473373369:1 gene:gene-LATHSAT_LOCUS10971 transcript:rna-LATHSAT_LOCUS10971 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGSAVVGDTDVNYALVEELLGVPLERGDRKGQSIKITWLKRNYSALNLTNESPEEHKLYKTRMYLLLLFACFLFPDTNGNTIHLQYLPLLEDLNEVSRYSWGAAILAHLYRNLCRCVMKNVHNFAGCGVLIQAWGWSRMPRLSPSNPNPYHFPYATKWSAYGMNYEKTLHHCTPGYGTFLDHFEEDDFIWRPYLELEDEDPTESNMWSSTMFIFSFTYVEMHHSDRVKLQFGIKQDIPGPPTCMEMYHKSTANDQWKFDNWRDHNIQEHQHWIHRRRTVLRGIVMDTEYKPSREYMRWYRYVTNLYLSQNRYLWDPRNQPTSSNFQNQPTPSNFQNIPSMTCNTQPSIFNTPQQLFNTPQQIFNTSFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYPQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTLSQDQHSYTPYMTNAPPPLNPPSWSNEDTRISYGSAAAIPTDDDFSDDLVAEFMNPNNDAGPSTQPQNVEVDRRRSTRNVQAPTCGTHQRLHGPGRN >CAK8574026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654608505:654610814:1 gene:gene-LATHSAT_LOCUS26417 transcript:rna-LATHSAT_LOCUS26417 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYVLVVHHNHKFLMFSHLTLLLLLILTSKGNGHSDYCPDSFDCGYLGSIGYPFTKVGFPNCGALAIQGCDDPNKTSMKTIQLTKGGTHFHVTKIDNSWSRGNPITIIDQNFTNLLMKNACEVYNYVNITLPPPSLFGTFYIKDNITAFKCNRTEKLVTNPPSNFFKNSSCPKYDFYFGDSISDGESNRSFTTCSLFHLPVIDLGFALSGNPYPLLAREITYQFQHSDECKRCYHDTKRQCRARNNEQIYCPGKDRSSNWKLRLALGVGVGLCIIIIVGLFLTLWYYKRKYGHAHVQRRASNNVSADFHPNREMESNKLFFGVSVFSYEELRQATNNFDRSRMLGDGGFGTVYYGKIKDGREVAVKHLFEHNYRRVEQFVNEVEVLARLHHRNLVSLYGCTSRYSRELLLVYEYIPNGTVANHLHGDLARASLLTWPIRMQIAIETASALAYLHASDIIHRDVKTNNILLDINFSVKVADFGLSRLFPNNVSHVSTGPQGSPGYLDPEYFQLYKLSVKSDVYSFGVVLIELISSMTAIDYSREGEEIYLANLAVKKIRKGAIGELVDPSLGFESDSEVKRMITSVAELAFQCVLGDMELRPSMDQVLQELKKIDGGNFEFDHLEKAHDYDGSSQSEEVNSPIVGTSINKKQEGSTSPKSLTEKWESESSTPNVSG >CAK8569750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9766581:9768746:-1 gene:gene-LATHSAT_LOCUS22565 transcript:rna-LATHSAT_LOCUS22565 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMRRPLLHYSAIFRYGAALRHKTFALPFLHQRSFTYVSSDESDLESDSSHSQSQPVNRPLRGCLEKSRTLDESLLHFNELDPSLKSRRICKELLMGLLKSGRTDDARHVLDQMLEPDSVFPPDHFVGEVVFGELVKRDRPGKGFADEEIVGLVTKLGECGVFPDTFKLTQMISKLCGKWKNSAAWELLHAVMKLGGTVEAASCNALLSGLGRERDIPKMNRLLAEMEERKIHPSVITYGILINHLCKSRRIDEALQVFDKLRCKGGKNRIGVEPDVVLYNNLINGLCKVGREEDGLSLLEEMKTEKKNMPNTVTYNCLIDGFCKAGNIDKARQLFNLMNEEQVQPNVVTLNTLVDGMCKVRRVYSAVEFFNEMKGKGLKGNAVTYTVLISAFCGVNNIDKAMQYFDEMLSSGCSPDAIVYYSLISGLTIAGRMDDASVVVSQLKRAGFRLDRACYNVLISGFCKKKKLERVYEMLNEMEETGVKPDTVTYNTLVSYLGKAGDFATASKMMKKMIKEGLKPSVVTYGAVIHAYCLKKNIDEAMKIFEEMCSVSTVPPNIVIYNILIDALCKNNDVEKAVSLMNDMKVKGVRPNTTTYNAILKGVRDKRMLPEAFELMDRMVEDACSPDYVTMEILTEWLSAIGEIEKLKLFVEGYRVSSNPSSLQTSICSRLELSQND >CAK8539816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526590745:526595753:1 gene:gene-LATHSAT_LOCUS8876 transcript:rna-LATHSAT_LOCUS8876 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEEVGGKPMTALSAQVCQICAENVGKTFDGEPFIACDFCAFPVCRLCYEYARKDGKQSCPQCKTRYKRHRGSPTMVGDSEEDGCADDGVSDFNDELENQNQKQKISDRMLGWHLTLERSEKAGVPNYDKEVSHNHIPRLTNGQEVSGEFSAASSERLSMSSPVVRGGKRVLTIPSSSDLNQSSNLTAADAGLDNVAWKEIVDGWKMKSEKNVASMSTGQAASERGAVDIDAGSDIFGDDSLLNDEARQPLSRKVSIPSSRINPYRLVIVLRLVVLCIFLHYRLTNPVRNAYPLWLVSVICEIWFAVSWILDQFPKWLPVNRDTYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALTETSEFARKWVPFSKKYNIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKRAYEEFKIRINALVAKATKVPDEGWVMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKLKKPGFLSSLCGGNRKKSSKSSKKGSDKKKSSKHFYPTVPTFSLEDIEEGVEGSEFDDEKTLLMSRMILEKRFGHSVVFVDSTLMENGGVPQSATPDTLLKEAIHVISCGYEDRTEWGTEIAWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYINTTIYPVTAIPLLLYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDSAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIIVVWSILLASIFSLLWVRVSPFTTRVIGPKAEECGINC >CAK8562308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469569040:469570695:-1 gene:gene-LATHSAT_LOCUS15815 transcript:rna-LATHSAT_LOCUS15815 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPPPAVSSSSSSSPKLTTTQNTVLTTTPNPTTTTTTTLCYEPRSVLELCRSPSPEKKPTQQEQEQEHLEVEEDHAALPNLDWWDSIMKDLGLQDDSSTPIIPLLKNTNTAHENSNPTPCIPEIYPNPSQDQFDQTQDFTSLSDIYSNNQNLPYNYPNTNTNLDHLLHDFNNNQHTNNNNNNSNWDFIEELIRAADCFDNNHLQLAQAILERLNQRLRSPSGKPLHRAAFHFKDALQSLLSGSNRTNPPRLSSMVEIVQTIRTFKAFSGISPIPMFSIFTTNQALLEALHGSSFMHVVDFEIGLGIQYASLMKEIAEKAVNASPLLRITAVVPEEYAVESRLIRENLNQFAHDLGIRVQVDFVPLRTFETVSFKAVRFVDGEKTAILLTPAIFCRLGSDGTAAFLSDVRRITPGVVVFVDGEGWTEAAAAASFRRGVVNSLEFYSMMLESLDASVAAGGGGEWARRIEMLLLRPKIIAAVEAAGRRTTPWREAFYGAGMRPVQLSQFADFQAECLLAKVQIRGFHVAKRQAELVLFWHERAMVATSAWRC >CAK8536279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924919082:924920241:1 gene:gene-LATHSAT_LOCUS5663 transcript:rna-LATHSAT_LOCUS5663 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQKGSKSDYNFPPGFRFHPSDEELIVHYLQNKIKSRPLPASVIAEIDLYKYNPWELPNKSLFGEEEWYFFSPRDRKYPNGLRPNRTAASGYWKATGTDKPIISSCGSKHIGVKKALVFYSGRPPKGGKTDWIMNEYRLVDTTTKSFRLKGSMRLDDWVLCRVRHRGYSLKSLSENQENHCESSIPVNLQKGEEHQTKPAFQGDMITDYQYKDYQIIASILVGGVIPPTENMSSLSFKGMRSNNLNSVYEETFDKMNSQTTLPSLECYFNPMKRKFNEDDEQLENLISFNSKFNMENKLEKSPSIDFQELNKLTFAERYQQ >CAK8573841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641691061:641691405:-1 gene:gene-LATHSAT_LOCUS26242 transcript:rna-LATHSAT_LOCUS26242 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLIHAIKKQKPHHRSFNRSVSHSGSINRSYHLLLESDSATGSSHRRTRSDFQQPTSEFLEHRFGVDGVLVSPRGLTVTALPPTTANATASHHASQQPSKNFNNIRNHK >CAK8564217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658493365:658496077:-1 gene:gene-LATHSAT_LOCUS17540 transcript:rna-LATHSAT_LOCUS17540 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKNIGKYKLGRTIGEGTFSKVKLAFNGNNGEKVAIKVIDKNMVLKNNLKYKVQSEIRAMKLLHHPNVVRIHEVIGTKTNIYIVMEYVSGGRLLDKMSYVVKLNECEARKLFQQLVDAVDYCHNKGVFHRDLKPENLLLDNQGNLKVSDFGLSALSKPNDVLNTRCGSPCYVAPELLMSKGYDGAAADVWSCGVILFELLAGFLPFDDQNLTSLYHKICRAEYVCPPWFTQSQKKLITKIFQPRPEKRINISDIIENEWFQIDYKPSCAYEIDPNTNLDDKSDAFDPIEEKTTNSTITKSSSFINAFQLIAMFQDLDLSALFEEQDHKKQMTMFGSQHTISETVEKIEAAATDVRLSVEKMNNFKMKMHPKQTLTRSSRSCFDLSAEVIEVAPAHCVVQISKSAGDLRKYNEFCESLSSLLKEKSSIPSHDQDSEDQCKVSSIKKKNDECYAKPNREDFRGYNSA >CAK8537140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:107910238:107913738:-1 gene:gene-LATHSAT_LOCUS6452 transcript:rna-LATHSAT_LOCUS6452 gene_biotype:protein_coding transcript_biotype:protein_coding METGLNSESHNKRGASVEPKVGPFVPKTDRNPRDLRSWAKRTGFVSDYSGEAGTSGSEKFESFQPRGRGSSSSPKIEIDPVLGRMRDNRGVEIEPALHGGVGLEESVRKENETDSDRKVGFRGNGNGGNGHGVSAVAPVTEEKDEEENVVHDEVKVNLYDEEGVEPLDGGWKGPSELKCGLMENPGFVSLIYYGLQHYLSLAGSLVLIPLVMVPVMGGTDKDTATVISTMLFLSGITTILHVYFGTRLPLVQGSSFVYLAPALVIINAQEYRNLTEHKFRHIMRELQGAIIVGSIFQCILGFSGLMSILLRLINPVVVAPTVAAVGLAFFSYGFTQAGICLEISVPQIALVLLFTLYLRGISIFGRHLFRVYAVPLSVAIVWIYASFLTAGGVYNYKGCNPDIPSSNVLTEVCRKHVYTIQHCRTDVSDALSTAAWVRIPYPLQWGFPIFHFRTSIIMVIVSLVASVDSVGTYRTASLQVNSRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENAHTINITKVASRKVVELGAVFLILFSFIGKVGALLASIPQSLAAAVLCFMWALTVTLGLSTLQYGQSASFRNMTIVGVALFLASSGPFHSGLKQLDFAINALMSMNMMVTLLVAFLLDNTVPGSKQERGVYVWSKAEDIAADASLQSEYSLPKKLAWCCCWLKCLGV >CAK8537139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:107910238:107913738:-1 gene:gene-LATHSAT_LOCUS6452 transcript:rna-LATHSAT_LOCUS6452-2 gene_biotype:protein_coding transcript_biotype:protein_coding METGLNSESHNKRGASVEPKVGPFVPKTDRNPRDLRSWAKRTGFVSDYSGEAGTSGSEKFESFQPRGRGSSSSPKIEIDPVLGRMRDNRGVEIEPALHGGVGLEESVRKENETDSDRKVGFRGNGNGGNGHGVSAVAPVTEEKDEEENVVHDEVKVNLYDEEGVEPLDGGWKGPSELKCGLMENPGFVSLIYYGLQHYLSLAGSLVLIPLVMVPVMGGTDKDTATVISTMLFLSGITTILHVYFGTRLPLVQGSSFVYLAPALVIINAQEYRNLTEHKFRHIMRELQGAIIVGSIFQCILGFSGLMSILLRLINPVVVAPTVAAVGLAFFSYGFTQAGICLEISVPQIALVLLFTLYLRGISIFGRHLFRVYAVPLSVAIVWIYASFLTAGGVYNYKGCNPDIPSSNVLTEVCRKHVYTIQHCRTDVSDALSTAAWVRIPYPLQWGFPIFHFRTSIIMVIVSLVASVDSVGTYRTASLQVNSRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENAHTINITKVASRKVVELGAVFLILFSFIGKVGALLASIPQSLAAAVLCFMWALTVTLGLSTLQYGQSASFRNMTIVGVALFLGMSIPSYFQQYQPESSLILPSYLVPYAAASSGPFHSGLKQLDFAINALMSMNMMVTLLVAFLLDNTVPGSKQERGVYVWSKAEDIAADASLQSEYSLPKKLAWCCCWLKCLGV >CAK8575064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25996911:26002725:-1 gene:gene-LATHSAT_LOCUS27355 transcript:rna-LATHSAT_LOCUS27355 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALLLLLDVGPSMHAVLPEVEKVCSMLVQKKLIYNKYDEVGIVLFGTEDTDNELTTEVGGYQHVVVLRNTKVVDGDIVEALQQLPRGTNGGDFLDAVIVAMDMLIKKFGDTIKGKKRICLITNAQCPIKDPYEGSKEEQVTTIAKQMTAHGMRMESIIMRGKLSQDANKEIMDENDRLLNIFSKETSTRLLYVEDPISLFGALKTRNITPVTVFRGDLEFSQKLRIKVMVYKKTQEEKFPTLKKYSDKAPQSDKFATHEVKIDYEYKSSDDPDKVIPPDQRIKGYRYGPQIVPISTAEWDAVKFKPEKGVKLLGFTDSSNVLRHQYMKEAYVFIAETGNTKAGLAVSALARAMKEMNKVAILRCVWRQGQANVVIGVLSPNVSDRENIPDSFYFNVLPFAEDVREFQFSSFTNFPVSCQPNKQQLESAANFIKMLDLAPDGEKEVLLPDFTPNPVLARFYHYLDLKSKHPDAAVPPLDYTLRKITEPETDLVLQNQSVIDSFRRSFEQQGNPLKKRRRLLREKTNDEGKENITAPPANLIEYTPIKVEKIGDSTPVQDFEAMISRRDSPDWVLKAINDMKNKIFDMVEDSHEGDNYAKAVECLVALRKGCILEQEPNQFNNFLKHLCNFCQEKKLQSFCEYLATKRLTLIPKTEAIDSDVTDEEARSFWVKSESKSD >CAK8564159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654712498:654713082:1 gene:gene-LATHSAT_LOCUS17488 transcript:rna-LATHSAT_LOCUS17488 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMQIYATAAKLFKSCAHEYESRQEMATAALAYKCTEVAYMRVVYCEHSSTNRDRCELQSTLQVVSQGEPLSSSASDIDNLNNQVAMDKAAILPKVTNAHVAGNHVISVRTRPSLVRLLDFTQDINFAMEVATKCHSTFSAANEKMEETRNRDCITSIKRVIDFSFQDVDELVRLVRNATKAISGAGLGGARD >CAK8561540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:282808434:282810409:1 gene:gene-LATHSAT_LOCUS15121 transcript:rna-LATHSAT_LOCUS15121 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPVKCELCPRSHRIMMLDNDSIPSTKCVKRRRRDSSVLGGSEQRGDVSEIQQPLSCHSTATTVKRSSKFRGVSRHRWTGRYEAHLWDKGTWNPTQKKKGKQGAYNDEEAAARAYDLAALKYWGTSTFTNFPVPDYEKEIEIMINMTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLRPGPHSTASQEQKPSTEPQVPFAVSNSIQTTRNIDASNSSINPKKLFQVENTKKQNFSNSMIPNKPSSPTALGLLLKSSVFRELMQRNLNSSNGNEQEEVELKYPQEGIRGVFDIDNTSKSFFCSSNISRLPNLVSSEERSLPMYHGTMQSLWSDASNISN >CAK8571365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:391655237:391657402:1 gene:gene-LATHSAT_LOCUS24032 transcript:rna-LATHSAT_LOCUS24032 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSPSSTSLSSTILPFPSHSKNSILCATSFKGNTNQFGFSKHTLLKSKIIACSSPQDAGSGEITPNNFCIIEGPETVQDFVQMQVQEIQDNIKSRRNKIFLLMEEVRRLRVQQRLRRIQRAFTEEGEEDANEMPEIPSSIPFLPHVTPNTLKNLYLTGASFISAIIVFGGLIAPTLELKLGIGGTSYEDFIRSLHLPLQLSQVDPIVASFSGGAVGVISVLMLIEANNVEQQEKTRCKYCLGTGYLACARCSTSGVCLDIDAISASGATVRPLQVPTTKRCPNCSGAGKVMCPTCLCTGMKMASEHDLRIDPFD >CAK8530854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55878415:55879664:-1 gene:gene-LATHSAT_LOCUS689 transcript:rna-LATHSAT_LOCUS689 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQINEIKDFLLTARRKDARSVRIKRSKDVVKFKVRCSKYLYTLCVFDVEKADKLKQSLPPGLSVQDL >CAK8541397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:152807693:152815429:1 gene:gene-LATHSAT_LOCUS10322 transcript:rna-LATHSAT_LOCUS10322 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEGLLVQEDERIRSLEPETSEDEWRKSRARSLRRRAMTASTRLTYSLKKRNKRVADYQFDSIFIEDIRDAKEEETVNSFRLALQTRDLLPDSHDNYHTMLRFLKARKFDIDKTVQMWADMLHWRKENGVDSILQDFIYKEYEDVQRFYPHGMHGVDKEGRPVYIERLGKVEPTKLMNVTTIDRFLRYHVQGFEKMFKEKFPACSIAAKRHIDRTTTIIDVHGVNWLSFSKVANELVMRMQKIDGDNYPETLNQMFIVNAGSAFKLIWNTAKGFLDPRTTAKINVLGNKFQSRLLEVIDACQLPDFLGGSCSCPNDGGCLRSNKGPWNDPDILKLLNSREATKPIKFGSSSVADRLDTDSYASTVTHKLVTSTEISEPGSTSEATLIPSTFMQTVPSSDNKRMRERIPIRNLDEPNNDAKEVGDVGLPGGLSNNNSRKQPKKLISYITSTLNQIIVKVLACIYVVFAALANFCMVRSAKNQPGSHQKIQLVESSSPEQIITPEIKEPIWKRLQNLEAVVTEMANKPRTIPPEKEDILQESLSRIKGIEYDLQKTRKALLATTSKQAELAESLESLKDDKFDGTNSCWPKNSKYYAPGR >CAK8541398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:152807693:152815429:1 gene:gene-LATHSAT_LOCUS10322 transcript:rna-LATHSAT_LOCUS10322-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEGLLVQEDERIRSLEPETSEDEWRKSRARSLRRRAMTASTRLTYSLKKRNKRVADYQFDSIFIEDIRDAKEEETVNSFRLALQTRDLLPDSHDNYHTMLRFLKARKFDIDKTVQMWADMLHWRKENGVDSILQDFIYKEYEDVQRFYPHGMHGVDKEGRPVYIERLGKVEPTKLMNVTTIDRFLRYHVQGFEKMFKEKFPACSIAAKRHIDRTTTIIDVHGVNWLSFSKVANELVMRMQKIDGDNYPETLNQMFIVNAGSAFKLIWNTAKGFLDPRTTAKINVLGNKFQSRLLEVIDACQLPDFLGGSCSCPNDGGCLRSNKGPWNDPDILKLLNSREATKPIKFGSSSVADRLDTDSYASTVTSTEISEPGSTSEATLIPSTFMQTVPSSDNKRMRERIPIRNLDEPNNDAKEVGDVGLPGGLSNNNSRKQPKKLISYITSTLNQIIVKVLACIYVVFAALANFCMVRSAKNQPGSHQKIQLVESSSPEQIITPEIKEPIWKRLQNLEAVVTEMANKPRTIPPEKEDILQESLSRIKGIEYDLQKTRKALLATTSKQAELAESLESLKDDKFDGTNSCWPKNSKYYAPGR >CAK8573280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600065854:600066549:-1 gene:gene-LATHSAT_LOCUS25748 transcript:rna-LATHSAT_LOCUS25748 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAIKSVLKATRNIPIIALVQSTYYRMDSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRKRFCFMVQEKINYNDGRPTGTFGVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFILCHDDSMRRNKKGRPKSSRIRTEMDDGEKEKRRCGICREICHMRRKCPNVAGPSNRPNR >CAK8544243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673768835:673771392:-1 gene:gene-LATHSAT_LOCUS12941 transcript:rna-LATHSAT_LOCUS12941 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPLSLYNPPTHMQNIDIVDDGTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSENSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8530517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27011404:27012795:-1 gene:gene-LATHSAT_LOCUS375 transcript:rna-LATHSAT_LOCUS375 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLSVSGHEVSDLCLGKPSLRSISITDTVADAVNAIKKLGHSYLSVWNCHHSITDKKPKTPIGNQGEADLHCKCIGTVCMVDVICFLCKPENLSSPSTALQSPVSVLFDGDNSSLVRHLQPNARLLEAIDAMYEGVQNVVIPISDEKKWKKKEKQTLDSDILHNDNRAYCWLTQEDVMRYLLNSIRVFSPSPATDSIVSLGIIDTNNLFVLYYDDPASSALELLTSAIVHQSSVAVVDPQGKLIGEISPFLLNSCDEAVAPALVTLSAGDILAYIDCGGPPEDLVQLVKERLYEQNLGATLELLGEETRLPSWSSFSSTSSEEDICSAGKNWKLGGYSSRVVRRSEAIFCYPWSSLVAVMIQALAHRLSYVWVVQEDGTLTGIVTFQGMLKIFREHL >CAK8541392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:148746364:148757098:-1 gene:gene-LATHSAT_LOCUS10317 transcript:rna-LATHSAT_LOCUS10317 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIQLLLLNQKRSHCTKLSTTKWKVKQVTTSNFNESLKEIKTHISSSDFIAVSMEKTGSSSTTPWHRVQPFDTAETAYLKASQSAQRFQLLQFAVCPFSVTDSNNLVAHPYNFLLFPRDELKLGMPAYTFLCQTSHLASMARQGFDFNTCIYEGISYLSRAQESIAKIRLGTGSPSLGVMKSSSPPTVADTVFIERIRSRIKHWRNTCKNSGTKTGKHEEIIDCLRDIVLGSEQFKSRPCLNIDVCSERQVQLILQMIADFSDDFVSLVIPSKSGTTQAVRIVLANSREDKALLEKELQSLEDEEIKKFRGFREVIDLISASQKPVISHNCLNDCTLIHSKFIAPLPSEVDDFVSSLCKFFPKVLDVSYLMKKSGTMKKLTNIRNSLSYLNTHFFAPIDMEIPDQDTVNKGKIDGLDALRLSYLFMKLCSILKISPIVAASNNRRLAPELEDFTFHPCSANIQESSCNGDVTVWTNNTRKINCEHLVFVWGFKFGMTAGMLKNALRESKDIFSEEFDVRFIDKSCAVVVFWQPGLSKDLLNVMNGEEISGGLKELVSDELRATGYETYRTMCRLGLWEMNLAESLERALESSLSNEKISSERKPCEIQWCNDNVLNFDDL >CAK8536801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22257054:22259029:1 gene:gene-LATHSAT_LOCUS6133 transcript:rna-LATHSAT_LOCUS6133 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQEVRPITPLSKCDSYFETIQSRKKLPQTLQETLTDSFAKIPVSSFPGVPGGKVIEILADTPVGEAVKILSESNILAAPVKDPDAGIGSDWRGRYLGVIDYSAIILWVMESAELAAVALSAGTATAAGVGAGTVGALGALALGVTGPAAIAGLTAAAVGAAVAGGVAADKTMATDAPQAVNNLGEDFYKVILQEEPFKSTTVGSILKSYRWAPFVPVAKKSAMLTVLLLLSKYRLRNVPVIEPGKPDIVNFITQSAVVQGLEGCRGRDWFDSIAARPITDLGLPFMSADKVISVQSNELILEAFKIMKDHLIGGLPVVEGPTKTIVGNLSIRDIRYLLLKPEIFTNFRNLTVMDYMKKIVSESYESGKVTRPITCKPDSTLQSVIHTLASQSIHRVYTVDEQDQVVGVITLRDVISCFINEPDYHFDDYYGFAVKEMLNH >CAK8532037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:195055537:195062858:-1 gene:gene-LATHSAT_LOCUS1777 transcript:rna-LATHSAT_LOCUS1777 gene_biotype:protein_coding transcript_biotype:protein_coding MATERFSSPPATPQEENALFLDILHEAPLFAHRKPARIVGSVFYCMILAGYTTLAVGAQWIFRPVQGLISPVLCSCDVLLMLLTGIFQQYLVYQVHKIRLQGYYSFSQKLKFIVRIPFAITAYGTAAMLLVIVWKPYTGFLSISAILRIIMVVEALCAGCFMSLYIGYIHQYNSLNSHPDVLKSLYSPLQPASSLEGLRYHDGRLSDQQMALLQYQRENLHFLSEEILRLQESLSKYERTEDRSTSQVDLAHLLAARDQELRTISAEMNQMQSELRLARSLIAERDSETQRVRMTNNQYVEENERLRAILGEWSTRAAKLERALETERMSNIELQRKISTLRSQTHMSVEATDQGG >CAK8532038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:195055537:195062858:-1 gene:gene-LATHSAT_LOCUS1777 transcript:rna-LATHSAT_LOCUS1777-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATERFSSPPATPQEENALFLDILHEAPLFAHRKPARIVGSVFYCMILASYTTLAVGAQWIFRPVQGLISPVLCSCDVLLMLLTGIFQQYLVYQVHKIRLQGYYSFSQKLKFIVRIPFAITAYGTAAMLLVIVWKPYTGFLSISAILRIIMVVEALCAGCFMSLYIGYIHQYNSLNSHPDVLKSLYSPLQPASSLEGLRYHDGRLSDQQMALLQYQRENLHFLSEEILRLQESLSKYERTEDRSTSQVDLAHLLAARDQELRTISAEMNQMQSELRLARSLIAERDSETQRVRMTNNQYVEENERLRAILGEWSTRAAKLERALETERMSNIELQRKISTLRSQTHMSVEATDQGG >CAK8563525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612491542:612495909:-1 gene:gene-LATHSAT_LOCUS16925 transcript:rna-LATHSAT_LOCUS16925 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHLQQEIAFQRFTDEEDEDRVPWMNNSVNARQQNFMHLEPERREKSMDRNRSESNCETEELREYKAEILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQSQRVLQKYSSIGIGNMDPKELDHFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSSGEGTGATMSDDEDEQTESNAKLYNEGSSLDGIDTLGFGPLVPTETERSLMERVRLELKHELKQGYKEKIVDVREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHANSPSSSNSKTKRKSAGDTSNQSFM >CAK8534024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681797357:681797668:1 gene:gene-LATHSAT_LOCUS3600 transcript:rna-LATHSAT_LOCUS3600 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8540675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15781972:15785598:-1 gene:gene-LATHSAT_LOCUS9657 transcript:rna-LATHSAT_LOCUS9657-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVNSKKARRGRTNTVSPVGPYVYKTSSRKRSNGSGRSMVVEASSSSRGHSGVLANQQQQQQQEERKKGDLNVRIGLSHRFVEGEQVAAGWPSWLTSVAGEAIHGLVPLKTDSFEKLDKVGQGTYSSVFQAREVETGKMFALKKVRLDTLQPECIRFMAREIIILRTLDHPNIMKLEGIVTSQLSNSIYLVFEYMEHDLAGLISNPDIKFTDSQIKCYMRQLLSGIEHCHLRGIMHRDIKVSNILVNNEGILKIGDFGLANTVSQSSKHPLTSRVVTLWYRPPELLMGATNYGVTVDLWSVGCVFAELFLGKPVLKGRTEVEQLHKIFKLCGSPPEEFWKKSKLPLATMFKPQTSYESSLAERCKGYIPLYAVHLLQTLLSIDPTNRGTASSALMSEYFNTAPYACNPSLLPKYPPSKEMDAKNRDDMQRKKNGGKVRERDAVTSGRQRRGHKNAQDPHNVSKAALKEGMQNISLNAGKDGKEGDGKKGKGGAMHKEQQKHLYDTKSDTAEKVNGYDGYSAYTGPTQVSGSSGFTWVKRRKPEPSSILSDGSRSKISAMDPTFAKGTYELTKHGMNVSERKHNNYNASHRNETSKNALPKHLGHNGQSESFDAADIYNSNYYMDFDLADKTDTHKNAQDHTNYDEPVELSVPTMTGTDKNGELSWNENNKWRQSLRKSRLGRDK >CAK8540674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15781972:15785598:-1 gene:gene-LATHSAT_LOCUS9657 transcript:rna-LATHSAT_LOCUS9657 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVNSKKARRGRTNTVSPVGPYVYKTSSRKRSNGSGRSMVVEASSSSRGHSGVLANQQQQQQQEERKKGDLNVRIGLSHRFVEGEQVAAGWPSWLTSVAGEAIHGLVPLKTDSFEKLDKVGQGTYSSVFQAREVETGKMFALKKVRLDTLQPECIRFMAREIIILRTLDHPNIMKLEGIVTSQLSNSIYLVFEYMEHDLAGLISNPDIKFTDSQIKCYMRQLLSGIEHCHLRGIMHRDIKVSNILVNNEGILKIGDFGLANTVSQSSKHPLTSRVVTLWYRPPELLMGATNYGVTVDLWSVGCVFAELFLGKPVLKGRTEVEQLHKIFKLCGSPPEEFWKKSKLPLATMFKPQTSYESSLAERCKGYIPLYAVHLLQTLLSIDPTNRGTASSALMSEYFNTAPYACNPSLLPKYPPSKEMDAKNRDDMQRKKNGGKVRERDAVTSGRQRRGHKNAQDPHNVSKAALKENISLNAGKDGKEGDGKKGKGGAMHKEQQKHLYDTKSDTAEKVNGYDGYSAYTGPTQVSGSSGFTWVKRRKPEPSSILSDGSRSKISAMDPTFAKGTYELTKHGMNVSERKHNNYNASHRNETSKNALPKHLGHNGQSESFDAADIYNSNYYMDFDLADKTDTHKNAQDHTNYDEPVELSVPTMTGTDKNGELSWNENNKWRQSLRKSRLGRDK >CAK8573599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623975786:623977914:1 gene:gene-LATHSAT_LOCUS26030 transcript:rna-LATHSAT_LOCUS26030 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQCITSMPQQLPNQEQEKKPLVFDASLLKNETNLPTQFIWPDQEQASSNVPELVVPFIDLGGFLSGDPLAAIEASKLVGEACRKHGFFLVVNHGIDKKLISDAHAFMDEFFELPLLEKQRAQRKTGEHCGYASSFTGRFSSKLPWKETLSFEFLADENSPNLVRDYLCNTMGNEFQQFGEVYQNYCKAMSNLSLGIMELLGMSLGVGKSYFREFFEKNSSIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDQVGGLQVFVDNQWHSITPHFNAFVVNIGDTFMALSNGRYKSCLHRAVVNSEKTRKSLAFFLCPLSDKVVTPPCELVDNYNPRIYPDFTWPMLLEFTQKHYRADIKTLEAFANWIQHKST >CAK8563824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632985573:632986220:1 gene:gene-LATHSAT_LOCUS17194 transcript:rna-LATHSAT_LOCUS17194-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVESTPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8563823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632985474:632986220:1 gene:gene-LATHSAT_LOCUS17194 transcript:rna-LATHSAT_LOCUS17194 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLLQPSFLMSKTRSCALILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVESTPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8541441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:170566453:170566611:1 gene:gene-LATHSAT_LOCUS10361 transcript:rna-LATHSAT_LOCUS10361 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLQGWKDFSKGFRVLLLEGDNNSATEIRIKLEDKIVKFDFIFYRLH >CAK8531355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104401497:104403062:-1 gene:gene-LATHSAT_LOCUS1152 transcript:rna-LATHSAT_LOCUS1152 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSITLSHLPYVYPAIPNTNKKSTFWPAGKRRNSQLSTRLHCNKMFVPGFGEASPEAKAAQNLHNFFTFVAVKIVAAQLESYNPEAYVELMEFVGKHPLDDGDKFCASMFRESSRHKNLALRIMEVRSSYSQNDFEWNNMKRLALKMVTESNTRLMRDYVSETSRAENEK >CAK8566079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377462005:377462832:-1 gene:gene-LATHSAT_LOCUS19245 transcript:rna-LATHSAT_LOCUS19245 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKVKLQYIINQSSRKASYNKRKTCLLKKVKEISILCGIEACVIIYGENSAQPEVWPPGPGTLNVVHKFWGVPEFERSKKTMDLEGFLKQSIEKSREQLRKQILENKKKRFTNFIDKALINKYNNTDIVNINEINDLVNMNELNDLIKFIDANIKEVEKKLNSVDVEAREHDGNEIEAMTRIEQHDDVGNEQGFINGGNMQVDVHGLDRNMGYDIQSDYQYLPWDYSVLPYHDYNMDRDGL >CAK8563216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583354901:583356172:1 gene:gene-LATHSAT_LOCUS16649 transcript:rna-LATHSAT_LOCUS16649 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIDTTSIEPVRHGVSLFDDKSDPKKFLPTWNKRDYEKEIEDLKKELANYKAQLEAKHVAHIKALLKPEQNQKMIHELSTLLKNSDIERNKYVNECSEGRASKDGLESMTKEMDGLKLETVKVRDQLSHVLSELKATQRELLNKDTEIYSARDSELNALAKVEQLETELKMEKEAKEELVHQVTSAKEEIIELNNNNQSLRDELKMNKEKDIETQVEISLLKSKSHLQEYSLAYKNGSHVTNQSKDEAEKLNREKAKAKDNDSLTISLEEYNYLVKEVAKGSEKGNEIELALMKRELENASLKISEMRNRAEQAISRAELAENGKAALEDKIKRHREHRLRKKAALTALREESTPKPFTPSTSYGTPSIYQPLSKVLNMKL >CAK8578707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648210011:648213545:-1 gene:gene-LATHSAT_LOCUS30695 transcript:rna-LATHSAT_LOCUS30695 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGALNFKALMKNNTYGAMLCFLAMLLMHSSCQNDATTDVYIVTLRQAPTSHYQNELKTDYNHFRHGTFRRTALHNPRHQNFTKTYKRHGSKSNIAQVHDSLLKKVFKGEKYLKLYSYHYLINGFSVLVTQQQAEKLSRRREVSNVVSDFSVRTATTHTPEFLGLPQGAWFQSGGVETAGEGITIAFVDTGIDPTHPSFLDDKSELPFHPPPRFSGTCEITPDFPSGSCNRKLVGARHFAASAIVREMLDPTEDYASPFDRDGHGTNTASIAAGNHGIPVVVDGYNFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDRVDIICLSITPNRRPPGIATFFNPIDMALLSAIKAGIFVVQAAGNTGPSAMSMSSFSPWIFTIGATSHDRVYSNSLSLGNNVTILGVGLAPGTSENTMYKLIHAHHALNDGTTVADDMYVGECQDSSKFNKDLVQGNLLMCSYSMRFVLGLSSINQAIQTATNLSASGVVFPMSPSVNGFQLNPTPMKIPGIIIPFANDSKILLEYYNSSLEKDGTSEKIVKLGAVASINGGLTASYNSVAPTVMYYSARGPDPEDSFPHEADILKPNLVAPGSFIWAAWSSVATDSDEFLGENFAMLSGTSMAAPHVAGLAALVKQKFPNFSPAAIASALSTTASLSDNSGKPIMAQRSYPSPDLNQSPATPFDMGSGFVNATAALNPGLLFDSSYDDYMSFLCGINGTASAVLDYTGQSCLIYNSTVYGSDLNLPSITIARLNQSRLVQRTIQNIAGNETYNVGWSSPYGVSVKVYPTRFSLANGERQILSVFFNATSNSSIASFGRIGLFGNQGHVVNIPVSVIVKILL >CAK8566293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400688889:400691315:1 gene:gene-LATHSAT_LOCUS19433 transcript:rna-LATHSAT_LOCUS19433 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMKTRASTKISAKWIPIFSVFSFLIGMLITNRMWEQPESSGLIISKHQRDQQELQLISEDCSNKKKQEKPKDEMNELYKTHEAIQALDKQVSMLQMELAAARSSREKNSTGSTTGSVDGASKKKKAFVVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLQHVEGYHELSAKTKTFFSTAVAIWDADFYVKVDDDVHVNLGVLAATLARHRTKPRVYIGCMKSGPVLSRKDVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVEHIDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALF >CAK8566294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400688898:400691315:1 gene:gene-LATHSAT_LOCUS19433 transcript:rna-LATHSAT_LOCUS19433-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRASTKISAKWIPIFSVFSFLIGMLITNRMWEQPESSGLIISKHQRDQQELQLISEDCSNKKKQEKPKDEMNELYKTHEAIQALDKQVSMLQMELAAARSSREKNSTGSTTGSVDGASKKKKAFVVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLQHVEGYHELSAKTKTFFSTAVAIWDADFYVKVDDDVHVNLGVLAATLARHRTKPRVYIGCMKSGPVLSRKDVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVEHIDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALF >CAK8538340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478193547:478194284:-1 gene:gene-LATHSAT_LOCUS7552 transcript:rna-LATHSAT_LOCUS7552 gene_biotype:protein_coding transcript_biotype:protein_coding MWPQWIKSQRHRRDSPPLTRSLSLSRSVDFSCSSFKDVQTILKDTPEPEPNSPKSPSLFRRIRISTSVLRALAASHTAPPPPPKLERSPCLDQRIVVYYTSLRIVRRTFEDCRAVRSILRCFRAAIDERDVSIDDRFRDELHEILGRKNVTLPRVFIGGVYIGGVEEVKQMLDNGKLNRLIERLPKSNQIGCDCCGGFRFVMCDECNGSHKVFTEKSGFRSCSSCNSNGLIRCPACFFVLPRHTR >CAK8575594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:193254117:193254920:1 gene:gene-LATHSAT_LOCUS27845 transcript:rna-LATHSAT_LOCUS27845 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRHCEGVVEEEAATDSFPKKKNLIVTVGTEGSVVNSDQSLSSSSNNNSNSETTLEQGTYVMTLGDVNPSDIDEDLHSRQLAVYGRETMRRLFGASVLVFGMQGLGAEIAKNLILAGVKSVTLHDVGTVELWNLSSSFVFSEKDLGKNRALASVGKLQELNNAVVVQSLTSALTKDQLADFQAVVFTDVSLEKAIEFNDYCHSHQPPIAFIKTEVRGLFGFVFCDFGPEFTVFDVDGEEPHTGIVASVTNDNPSLVSFVDDEVA >CAK8578907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661553186:661558655:-1 gene:gene-LATHSAT_LOCUS30886 transcript:rna-LATHSAT_LOCUS30886 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGGRLIAGSHNRNEFVLINAEENGRIKSVRELSGQICQICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERKEGNKACPQCKTRYKRLKGSPRVEGDEEEDGIDDIDNEFDYELDEFGQQQNSDSLFSGRLNTGRGSHTNISGANSEHGSPPLNPEIPLLTYGEEDPEISSDRHALIVPPYMNHGNKVHPMPFTDPSTPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQSDKLQVVKHEGDGKDGSFGDDLDDDPDMPMMDEGRQPLSRKLPIPSSKINPYRIIIVLRLVILGLFFHYRILHPVNDAYGLWLTSVICEIWFGVSWIMDQFPKWYPITRETYLDRLSLRYEKEGKPSELSSIDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFGQKMDYLKNKVHPAFVRERRAMKRDYEEFKVRINSLVATAQKVPEDGWTMQDGTPWPGNDVRDHPGMIQVFLGHDGVRDVEGNELPRLVYVSREKRPGFDHHKKAGAMNSLVRAAAIITNAPYILNVDCDHYINNSKALREAMCFMMDPQLGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRYALYGYDAPAKKKAPSKTCNCLPKWCCWCCGSRKKKNLNNKKDKKKKVKHSEASKQIHALENIEAGNEGAIIEKPSNLTQLKLEKRFGQSPVFVASTLLDNGGVPHGVSPASLLKEAIQVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSKHCPIWYGYGGGLKLLERFSYINSVVYPWTSLPLIVYCTLPAICLLTGKFIVPEISNYASIVFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSELYIFKWTSLLIPPMTLLIMNIVGVIVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIVLVWSILLASILTLLWVRVNPFVSRDGPVLEICGLNCDDT >CAK8560656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34588008:34599965:1 gene:gene-LATHSAT_LOCUS14315 transcript:rna-LATHSAT_LOCUS14315 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQTTTSSSSQTTNTIIDHLPLRLLRSEIVTSAPTFSRSTIDFLHHFAGYSWIAYGASSILTITHFPSPLSTHQTRIGPVFRQFFELSSDQSSHVSAVSWSPQLPSSGELAAAAGNCIWVFHHDSAASKGSFCWSQNAVLVQSTKVETIRWTGSGDGIISGGMEVVFWKKSNRCWEIAWKFKADHPQTLVSATWSIDGLSATAPYSSKEQIEGSLISQESKCVFVCQSNGLSEYSKAKLQHPLPIASIQWRPSRGRLSDKYSRYSERNVLLTCCLDGTARLWSEIDNGKAKRAGKNTGCSFCVVAVIEINQCLNGTLDSDIFVTWGTEIEGLFRRGEGDKQNFSKEGIEHDIRKCDWLVGFGPGMLLSFWAVHCLDDVSPLRFPRVTLWRKHELQSHDIGNICKFGSSDFKNALLLHKVIISRNSLSGPPSICSPLQLLPCNSLVWSFYHIQEKRNAVENTPDNGNTDEISSHLTGGSLSLDGHSGKILKVSIHPYICKAQVAASLDSNGLLLFWSLSNISNGILGCPTLVPTWELCGKLATQHSCSMYTSLTWAPSILDEQLVFFMGHTRGVDCFIVNISRTEEDNLECHYLCTIPFTGHGPYEDGPHNIFAIPLNSTSNKTIFNNKLMLLAIWTGRFQALSWEVNVQSFDTSTSCECNFAAESLDDNHVWAFESTFASKKYCIAVIPCSSEFPSSDDLVTSFAVGDPGTLSHRHQEFGFANGLCSSCSTYILATGCYDGSLKLWKSNRGNLSTLNLPWELVGMFIAHNSPVKGICFTDCGQKVATFCNRNDSNAVNTIHIWDAINLIITGTFILEDTLTLESDVITLKWLTLGTGELLLGVCLQNELQVYARKRYDGLTWSNSVNFPKLNIWSHIAFARTSLPINDFLWGPRASAVVIHGNYFSIFSHWLFHVDKKQKSNFRSRDLDPRAYNSKNEAYEDISSAVFTDCDNGAFGELSIGDNNLFSGVFLAKEQLKYELLNKVGLWSILEVAELISGSLPTYHPDVLLTNISSGNWERAYVAVKHFVEHLISNYDPKTRQITKRNGLPSILLSDYLEGCMSKSSQDKGFNWSGDVTSFASFSQAQSSSIHFPYHSDSNAENKSSSTSTRSELNGFIESLKNFPDLPHLINIERTEILSIIDLLAEVCNPDTSSAYQSLDEPGRRFWVALRFQQLVFLRKFARAATVEEIRINSRMFVWAYHSDCVENLFGSVIPNEPSWQEMRALGMGFWYSSIPQLRARMEKLARAQYLKNKNPKDCALLYIALNRIQVLAGLFKISRDEKDKPLVGFLLRNFQDEKNKAAALKNAYVLLGKHQLELAVAFFLLGGDHSSAVNVCAKTLGDEQLALVICRLVEGHGGPLEHHLITKYIFPSATERGDYWLASLLEWEMGKYYQSFHRMLQFSVETGDSNSTIMSNSGSFLDPTVGFYCQMLATKNSTRNAVGEQNSTILLRWATLMTVTALKRCGIPLEALEYFSSSPSMPGTADQANELGNVLSSTLKPLPGKSSNWLSADVSVHLEFHVKLNLALCYLSKLVREHPSWPDTFIESDGEGSYSEEYMIQYEKLNDSFKLKLYAGFDLLEQKFLLNPCYLISMILLLLCHHGLWYIGYDVTDGSTQGELSQKKSDRFDVSILSHSQFKPLFKTAEEISFLYSRFFSACGMEYSQQNSTLKKASQCQFEGLLISLSYLRAVLRSQLRSISKDHVKKHLGILDLIEYYLYFSLAWLRRKPEALLFMVQPFLIAHDGCNSYEVDMVNLKNLIPKVAHLLAQNSFITNTENVQVSKSTENKLEADTKSLVPDDERWKILGTCLWQHMSRFMISNLNLVLSKLEDESLSSSFQSYRESNTPGNVDSDSISLPEQILLVTFSLCDLLTTTVTHISSYHVKQLAEFLWQKLQNDSNVMTLEWLRQTSQSEPNHNEKLDVSELLNGKDNHLAHQLLWDHCADPKLIRDCFAQEKLNWSKDLDHKPSKEWNDLYTIMTGLHKPDDSHNDESKVGKLSANHEVESPVKRMLPSSHPSASYNQKETTFANIEDFQNPREIYKRNGELLEALCINSTNQQEAAVASNRKGIVFFHLEDETPFSGEADLLWTKADWPQNGWAGSESTPAPTCVSPGVGLGRRKGAHVGLGGATVGMDSSAWPSRDLTGGGSLGMLGYASIGASGLGWETQQDFEDFVDPPATLENTSTRAFSSHPMRPFFLVGSSNTHIYLWEFNKDKATATYGVLPAANVPPPYALASISALQFDHFGHRFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGQASDVTYISSSGSIIAVAGYSTTAVNVVIWDTLAPPSTSRASILCHEGGARSLSVFDNQLGSGSVSPLIVTGGKGGDVGVHDFRYIATGKAKRTKRSDSIGRSSLPSLNYEKDHNVDGMLWYIPKAHSGSVTKIATIPNTSLFLSGSSDGDVKLWDAQSTRLIHHWPKIHEKHTFLQSGSRGFGGVVRAAVTDIQVVPHGFLTCGGDGNVKLVQLKNHLRGFGDE >CAK8530820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52716258:52743728:1 gene:gene-LATHSAT_LOCUS659 transcript:rna-LATHSAT_LOCUS659 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNPTRFTLGKQSSLARERHREEDELHDDVDATIDPGVRLMYSAYEGDVDGIREVLESGMSVDFKDIDGRTALHIAACQGYTDVVDLLLGNGAAVDPKDQWGNTPLADAIFYKNNDVIELLEKHGTMPLMASMLVNHALEVPEYEIDPKELDFPNSVEITKGTFCIALWRGTKVAVKKLGDDVIINEEKVKAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRDFMKRKGALRPSIAVRFALDIARGVGYLHENKPSPIIHRDLEPSNILRDAFGHLKVAEFGVSKLLAIKDKPLTCQDTSCCYVAPEVFNQVEYDTKVDVFSFAIILQEMIEGCPPFSAECEDEVPKVYASKERPPFRAPTKHYSHGIRKLIKQFWNENPAKRPTFRQIIPRLESIYDTIGQKGCWKVKTLRCFPNLEALLKRDRPKLSIRGSSSRPSTRGI >CAK8575016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21693156:21696214:-1 gene:gene-LATHSAT_LOCUS27309 transcript:rna-LATHSAT_LOCUS27309 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLLFSCHSFQILLALGVLSMLTHIEASTKSKVEYLPGFQGPLPFELETGYVGLGENDDDDDMQVFYYFVKSENNPQKDPLMLWLSGGPGCSSLSGLVYEIGPIAFEIKKYNGSIPSLISRPHSLTKISNIVFPDLPLGTGFSYAKNVNSHRSDSKMVHHVHQFLRKWLIDHPEFISNEFYLGGDSYSGIPIPAVAQEISNGNDKHLQPLINLQGYILGDPITTRKEKNYQIPYARGMGLISNELFESLQQNCQREYVDVDSKNALCLTDLQSYRQCFVGIRYDNILDRFCKDDSDLWRRSLIEESKESLSYQPIVPDIKCQIYKEYLNKKWADEEPVRKALHVREGTVGKWTRCYRYHYKCDISNSFEFHVNLSKKGFRSLIYNGDHDAVVPFLSTEAWIKNLNYSIVDDWRSWLVKDQVAGYTRTYSNRMTFATVKGSGHITFDYTPEQSFVLLYRWMSNIPL >CAK8563107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573916397:573916627:1 gene:gene-LATHSAT_LOCUS16550 transcript:rna-LATHSAT_LOCUS16550 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDMPSSGNRFTWYSGDGNSMSKIHRFLVVDVIIDRWGVVGQTIGKRFISDYCLIWLKLCSFDWGRKPFKTNDS >CAK8565411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:174112553:174115560:1 gene:gene-LATHSAT_LOCUS18629 transcript:rna-LATHSAT_LOCUS18629 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYQAPGFRFHPTDEEIVCFYLKRKLIGNLPFRFDHLAVVDIYKFEPWDLPSLSKLKNKDLEWYFFTVLDKKYGNSLRTNRATDRGYWKTTGKDRVIKNGQDTVGMKKTLVYHLGRAPHGDRTNWVMHEYRMIDEELAKAGVQDAYVLCRIFEKSGPGPKNGEKYGAPFVEAEWENIIDGRQVDPLLPVDNGLLKLQAFDPAPEFDERGHLLTAVDNEHLKEKVPAPTDDDYVETNDLDQELDTSVTFGSADFPSNFCYGECSSHSQAFITDSKQFEVALGIYDSQIDLPNNMADLYGMDINSVQDGYNGETNNKENPLNFNFESEDPDLYFDASSYLTGLNEEYVDTNDVKNLDEVIPTEIDPSVAALLDEYLTCPDDDISKYICFDSPLNAESESPIANHGQSFIEQNVEGEANDSTLVNKHVFDAQSSNEIVLPKEDLKASNWVSGDANAFVKQANNLLARIPAPPAFASEFPTTEFAIGIHPATGSSNSAHITAGMSMISITDITFGGNAMDWMVGKNGGFHTIMSSEFSQTDVNSATLVPTSGLVCSKTAFILSHGWIFLMGFSVVILSLSFKIGSFMYTVK >CAK8540112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540247071:540247625:-1 gene:gene-LATHSAT_LOCUS9145 transcript:rna-LATHSAT_LOCUS9145 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEVFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLVLGNKIDKAGALSKQALTDQIDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >CAK8576662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:503602015:503604540:1 gene:gene-LATHSAT_LOCUS28835 transcript:rna-LATHSAT_LOCUS28835 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSISQFNQSQMRRNQNHQQVHQQQHFQDGSNKRAGIPPSHPHQIPPISPYSQIPVSRPIMPPHNTSPTPSHTRSLSQPSFFSLDSLPPLSPCPFRDSSSTSLSEHGDVSMEDRDVSLPPFARNPSLPPRKSHRRSNSDIPFGFSTVLQSSPPLIPLRGREIAKPNPLAVKRETGWERNVDSNNNNVEEKKSLSPEGEVVDDLFSAYMNLDNIDAINSAGNDDKNAAVTDNRDDLDSRASGTKTNGGDSSDNEAESSVNESGDSMQRREGMKRSAGGDIAPTTRHYRSVSMDSFIGKLNFNDESSKLPPSPGGLMSPGNSGDGNSAAFSLEFGNGEFSGPELKKIMANEKLAEIAMADPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQSMEQQAKLRDALNEALTAEVQRLKIATAELNGESSHPSNCMIPQHSVNPMMFQQQHQPSTSQQNIHIQQQHQQQQQQNGNANSKNDLKQ >CAK8539427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512583652:512584782:1 gene:gene-LATHSAT_LOCUS8524 transcript:rna-LATHSAT_LOCUS8524 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTSPSPPNSVDAPHLPFDIVAEILSRLPVQHLLQLRCVCKSWNSLISLDFKFAKNQLSFSTSNKDCHRLFLSFTRSSCEFLLCHSPISSFFTSASIVIEKQPVTLLLNNQHSPMEISTCDGILCFEIDESLAVLYNPSTRKFKMLPPLKTPKQTCFPKIYTLGYDHITSNYKIIATVTLSDRKRKTQVNIHTLGTDYWTRIQDIPCSSLHVKQGIFVNDTVNWLVDDTSSSRGMVIVSLDLEKESYQKLSIPLYDYGLQFSTSLGALRGCLSMLAHGIEFASVWIMKEYGNDTSWTKLFSFPHTEDGRFYYYQKVLYISEDDQLLMEFCSMGEYGLVVYDSITNTFTTPKMQNNIHDLRLIPYAYVESLISPF >CAK8579505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704498459:704499005:-1 gene:gene-LATHSAT_LOCUS31449 transcript:rna-LATHSAT_LOCUS31449 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIMSFLNSPGKYGMIPFRELGQGKPSRMDEEEHIDASASATEPVGYPGGPYDPSLLVKYEHHIARHIWFGEERSSKKELKVAGHGLKLIHRVPLQLPREIEGWISRSGLASLQRTSLTKIDTNLVSAMNLNPQLPFDTTLTTSSIYDLM >CAK8533450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:619335667:619336413:-1 gene:gene-LATHSAT_LOCUS3073 transcript:rna-LATHSAT_LOCUS3073 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFNNLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCRTRAPKMMIDLQSSGTHSIDGNWSALGKLLIYCSGCKKGGLFKNVHIPGHFVYRTRFSKTSGKSFLLPQCINDVLYVSDPCEHLDQGEEGDIGFFRGVFKSFATTNIRRMLINKGARLHTTEVCPYCKARLWSMLQANMIPQTASCRLGSYEECVDYHVCLNGHMVGICTLLPLSDSEEVSEKE >CAK8542808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549255668:549259344:1 gene:gene-LATHSAT_LOCUS11612 transcript:rna-LATHSAT_LOCUS11612-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRPSRQESLSDKIYRFRGTLLVVSIPLLLITFVLYMMPSSSNYESAGEYALVSRKISPDKKSGGSYAVIFDAGSSGSRVHVFHFNHNLDLVHIGKDLELFEQLKPGLSAYAKNPKQAAESLISLLDKAESVVPRELRSKTPVRVGATAGLRSLEGDASEKILQAVRDLLKHRSTLKSDADAVTVLDGNQEGAFQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISESDAAMAPKVKDGDDPYVKEMFLRGRKYYLYVHSYLRYGLLAARAEILKVSGDAENPCILSGYDGSYNYGGKKYKASSSGASLNECKSVALKALKVNESSCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNKPVAIVHPMDFEEAAKQACQTKFKNAKSTYPRVEEGNLPYLCMDLVYQYTLLVDGFGIYPWQEITLVKKVKYEDALVEAAWPLGSAIEAVSAT >CAK8542807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549255668:549273335:1 gene:gene-LATHSAT_LOCUS11612 transcript:rna-LATHSAT_LOCUS11612 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRPSRQESLSDKIYRFRGTLLVVSIPLLLITFVLYMMPSSSNYESAGEYALVSRKISPDKKSGGSYAVIFDAGSSGSRVHVFHFNHNLDLVHIGKDLELFEQLKPGLSAYAKNPKQAAESLISLLDKAESVVPRELRSKTPVRVGATAGLRSLEGDASEKILQAVRDLLKHRSTLKSDADAVTVLDGNQEGAFQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISESDAAMAPKVKDGDDPYVKEMFLRGRKYYLYVHSYLRYGLLAARAEILKVSGDAENPCILSGYDGSYNYGGKKYKASSSGASLNECKSVALKALKVNESSCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNKPVAIVHPMDFEEAAKQACQTKFKNAKSTYPRVEEGNLPYLCMDLVYQYTLLVDGFGIYPWQEITLVKKVKYKDALVEAAWPLGSAIEAVSAT >CAK8561047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82542156:82544626:-1 gene:gene-LATHSAT_LOCUS14672 transcript:rna-LATHSAT_LOCUS14672 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVKVAVIGAGVSGLIAAKELHQQGHNVVVYEKNNRVGGTWVYDPKTDSDPLSIHPTREIVHSSVYLSLRTNLPRPVMGFLDYPFKKRESGDPRTFPGHEEVLRFLEDFAEEFGIYGLTRFETEVVKVERKGKENEWVVESRMTRESDSVSREVFEAVVVCSGHFVEPKLGVVPGIENWRRFQMHSHNYRVPHSFKDQVVILIGLGPSSIDISRDIADAAKEVHVATRPNPKFKDIKLENIRNISYHTLIECVHEDGLVTFEDGFSIYADAIIHCTGYKYHIPFLETNGIVTIEDNRVGPLYKHIFPPSLAPWLSFIGLTFRETIFNVIELQAKWVARVLSGKILLPTEKEMMESVKEIYQFMEENGLPKHYTHSLRPFQADYKEWLVEQIGLPPLEDWRDNMLMECFKNFVEMKEMYRDEWDDSYWDSIIQSGSAFEKAKISNV >CAK8560085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7052379:7055720:1 gene:gene-LATHSAT_LOCUS13798 transcript:rna-LATHSAT_LOCUS13798 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPAELKQAELLRNDGNNYFKKSRFGAAIDAYTQAITCCPNIPIYYTNRALCHLKRNEWERVEEDSRRAIQLDSNSVKAHYMLGLALLQKQELPKGIRELEKALNLGRGANPQGYMVEDIWQELAKAKYEEWERASSQRSWELQNLKEACVSALKETNFIGDISESEGFLDDATTSHLKRLEAVERVFNKAAEDDIPAEIPDHLCCRITLDIFHDPVITPSGLTYERAVLLDHLQKVGGFDPITREQLDPSQLVPNLAIKEAVHEFLDKHGWAYKMD >CAK8573214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593840859:593845802:1 gene:gene-LATHSAT_LOCUS25687 transcript:rna-LATHSAT_LOCUS25687 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANDPVESFFNSIHVLKESLSPLEVGFRKAAKDFEHRFAGAKNSRNGVCLIAQVRDGGEFQICDVKKKKGLSMKVPVKAFLGIFSQNSGNVNKTQVVKENDSSCINCLQFSVTWSLLVNGFIQSLPIPFKNGKKRLQKVCDEDTNKEKYSCMKQTFSSCEVKHNESKGQFVRTGKEKVVKRKDEKHVSLECFIGFIFDQLSHTLQSLEHGINGMQEKNEAECGKTLLHSAPFDHVNAFTSFLEEHKVNVNGFLGNLNFAKVGGVPTSAGGEEITSQNEMGEVTNDENKEESVGNLAQKVASNIFSIPLTNVERLKTTLSTVSLTELIELLPQLGKASKDHPDKKRLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEIAMRRRKLPRRYAKEFMSRTRSHLFSRSFGWKQFLSFMEQKEPTILRAYTSLCLSKSGTLKKSEILESLKNSGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPSVEIPAGSVLRSALAGGLSCALSCALLHPVDSIKTRVQASTMSFPEIIAKLPEIGTRGLYRGSIPAILGQFSSHGLRTGIFEASKLLLINVAPNLHELQVQSIASFCSTFLGTAVRIPCEVLKQRLQAGIFNNVGEALVGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKGVEKLLGRELEAWETIAVGALSGGLAAVVTTPFDVMKTRMMTAQGQSVSMTIVALTILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMNKNEEAKTGNLE >CAK8567946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558538876:558541948:-1 gene:gene-LATHSAT_LOCUS20953 transcript:rna-LATHSAT_LOCUS20953 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIDDGAGGRKVLVGVKLDPRSRELLTWALVKVAEPGDLVIALHVLDTVTEGTSSLLSLVKTFDSVLAVYEGFCNLKQVDLRLKVCRGDSVRKLLVQEARAFGVSTVILGTSKSHHTIRSSSWVAKYCAKKLHKCVSVFAVDNGKIAFHREASDQEKLHEGPHLSSRSLVIFTNKSVKNCESCALREISETEYNQGELLLDDCETENPLAMVPFQKLEDDSVVGNSKQTKPGWSLIRNVFQHKKHTSKSSPKNTFVFQRAIRHSSSHSSAVVYPDHKQINIDQIDESTLDGESGAIVPFGSAIILPPPTLCSDAGSLPEELLVLRDKYSASCRLYSLQELVAATVNFSSENLVGKGGSSDVYRGCLPEGKELAVKILKPSENVAKEFAQEVEIVTTLHHKNTISLSGFCLEGNHLLLVYDFLSRGSLEENLHGNRIDYNSFGWQERYRVAVGVAEALDYLHNGCARPVIHRDVKSSNILLSDDFEAQLSDFGLASWGSSSSHIICTDVAGTFGYLAPEYFMHGRVTDKIDVYAFGVVLLELLSNRKPINNESPKGQESLVMWATSILKSGKISQLLDPSLGSEYKDCQIKRMVLAATLCIRRVPRLRPQIRVILKLLHGDEEVTRWAEQEVCAPETHDGFDEEPVPTNIQSHLNLALLDLEDDAVSISSTEQTVSLEDYLQGRWSRCSSFD >CAK8572999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575645851:575647384:1 gene:gene-LATHSAT_LOCUS25495 transcript:rna-LATHSAT_LOCUS25495 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSSHLFPFSAVHRRSPATPTTTNFFPWNKPRKPNDTVKFLYSPLVQRRVRLDERFVTRSIVPVNAQDLIHNVGATVGVLGGAYGLVFSFDDLTRRNILDQGLSRKLVHILSGLLFLVSWPIFSNSSEARYFAAFVPLVNFLRLLVNGLSLVSDQGLVKSVTRKGDPKELLKGPLYYVGILMLCAVVFWRESPVGVVSLAMMCGGDGVADIIGRRYGSIKIPYNQKKSWAGSIAMFIFGFLVSIGMLYYYSALGHVEFIWESILPRVAFVSIVATVVESLPITEVIDDNISVPLVTMAITFFIFNH >CAK8531901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:173617858:173621763:1 gene:gene-LATHSAT_LOCUS1660 transcript:rna-LATHSAT_LOCUS1660 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAYTLQETLTAEAASILKHSLGLARRRGHAQITPLHVAATLLSLSSSSLRTACLKSQQQNNHPLQCRALELCFNVALNRLPTITTTTHSSPLLQPHQHVPSLSNALIAALKRAQAHQRRGCIEQNQQQPLLSVKVELDQLILSILDDPSVSRVMREAGFQSVSVKNNLTQKSSSNSSLSVFHSSSPSLSHNKHFLSSYGYGYGYGYGSVLFSPQKKPQVFNNNNDNHRDDVNVNLVFDVLLRKKRNTVIIGDTVALTEGLVGELMERFERGEVPDEMKKAHFVKFNSLASVSGLKREEAEMNVVRVLKRKVSECVALGGGGGVFYVGDLKWIVESDHDDDDGDENLSDYIANEIGKLFGEKGNNKIWLIATASYQTYMRCQMRIPNLENQWCLQAVPVPSGGLGLSLHSSSVHDSKMSITQNPSLMMESKLFSNKDEHDKLNCCEECGSSYEKEAQLFKPPQKNLLPSWLQSHSTEVNHHKDELIQLKKKWNNLCQCLHQNKQPQNHWNNLYNSNAKIYPYNSSYPYWPNQGSSILPDSSSSISFADSVTKPAYSSNIIPRFRRQQQSSTIEFNFNDEKTQKNQMAATSLDSLKGIMDETKEVTTALALGTSTFNGSDLKKVENLQIDHICKVLQENVPWQYEAASSIAEALVDSKLTKECATWLFLQGNDSVGKKRLALAIAESVCGSVDMLFQLDMLKRENSETPFSEIVVGLMRSHEKFVLLVENADFADTLLRKLIEDEFGIGKFGTLGQKIFILTNGCNVVSEDQKKDSVMKLVLQISETEKNPTLELSSSSSSSPCLSFKRRAELDLFSKSKYPRIEENEGNKKIEFSFSRQSSFNNTLDLNMKANDEEEDDDNDDNVHEGENSPISSDLTRETLGEHLISNGSLDSIEYSFELNQTPGKNKETTEMFMSRIKMSFKEVYGNVKFSVQDKVIEEIGVGCEGFTNNMFEKWLKEIFQTSLERVNGGGKDGIVFTLCWGGKEDRKWDRGFMGSCLPKNIQIVNYLMD >CAK8530725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44785068:44785742:-1 gene:gene-LATHSAT_LOCUS569 transcript:rna-LATHSAT_LOCUS569 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFSEFYQKWILKLEEIHQQLLKVSKREMLMNEQELQGLVSKVTTHLKEYYTVKWSAAHEDVAVFFSPTWVTPLENSHLWITGWKPSTIFRILEKFNMTDEQKKKIEGLRMKTKMEEEKVEGEMERQQVAMADLKMVKLAKISCRGRKDDARVDGLVDVALKGVFGGLEKVMKSADCVRLKTLKGVLDVLSPIQCVDFLAAHIGMQLRLRQFGMNKIIHKNP >CAK8540773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20478598:20483461:-1 gene:gene-LATHSAT_LOCUS9743 transcript:rna-LATHSAT_LOCUS9743 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAILGTTKPVAVDIPRKSAIYVWGYNQSGQTGRREKDDELRIPKQLPPQLFGCPAGLNTRWLDIACGREHTAAIASDGSLFTWGANEFGQLGDGTEERREHPKKVKQLESEFVKSVSCGAHCSACIAEPRANDGSISTGRLWVWGQNQGSNLPRLFWGAFKSNTIIKQVSCGAVHAVALSEEGLLQAWGYNEYGQLGRGVTCEGLQRARIISSYAKFLDEAPEPVKITSVSCGEYHTAAISDEGEVYTWGLGSMGQLGHSSLQYGDKELLPRRVVSLDSILIKDVSCGGVHTCALTQEGALYAWGGGQSGQLGLGPDTGLFSCVVNDSRTFFRNIPVLVVPKSVQLVACGHSHTLICMKDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDAYSLKELCEFVLADSMTLSNAAKVEDIAYRTGSDALARLCGRLREYMLAGGANGQDGEKNSKI >CAK8539520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514842322:514842669:-1 gene:gene-LATHSAT_LOCUS8606 transcript:rna-LATHSAT_LOCUS8606 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRATLVLTMSLTLVTLSIAQAPSPAPIMAHTPSPVSLPPESPSPSQSPIMSPTPSTTIAFPPALTPDSPSPTPSPSTAESFSDNPVALPSNAVIHRNSFFMLPLIAAALLIS >CAK8540616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12526264:12527841:-1 gene:gene-LATHSAT_LOCUS9602 transcript:rna-LATHSAT_LOCUS9602 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLVNLKNHVHTKKFPNKTTSYLLCSTLNHFTTQSKSYSHIPTLEINNKIDTIARIINDHPFPDKPLHPTLLHHFPPSTTVPTSFVEKVLARLFASHSNGLKALEFFNFTVNNSHSTPSPSSLEITLHILTRMRYFDKAWSLLQRIAKTHPYLLTHKSMSIMLSKIAKYQSFEETLDGFRRMEENVFVGREFGTDEFNVLLKAFCTQRQMKEARSVFVKCVHRFKASTKSMNILLLGFKESGDITSVELFYHEMVKRGFSPDSVTYSIRIDAYCKKGRFGDGLRLLEEMESKKFVPSVETITTLIHGAGLVQNTGKAWQLFNEIPLRNLVVDSCAYNALITTLVRNKDAVSALSLMDEMIEKQIEPDGVTYHTMFLGLMRSRGIEGVSELYLKMTQRKFVPKTRTAVMLMKYFCQNRQLDLSLSLWNYLVEKGHCPHAHALDLLVTALCSRGLVHEAFLCSKQTLERGRYMSSTAFLMLERFLKQSRDMDKLMELDQMIKKLQSVLPPSRGHATGISNSKITM >CAK8570317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36097934:36109150:-1 gene:gene-LATHSAT_LOCUS23077 transcript:rna-LATHSAT_LOCUS23077 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPSPDQRRSIYSDSQLMEFNEETGMDDAESTMGSVANFLEQLHANMSSPLEKELITAQLLGVARRRKDARALIGSHAQAMPLFINILRNGTPLAKFNVASTLSFLCKDEDLRLKVLLGGCIPPLLAVLIYESTDARKAAAEAIYEVSSGGLSDDHVGMKIFVTEGVVPTLWNQLHPQNKEDKVVEGFITGALRNLCGDKDVYWKATLEAGGVDIIGGLLSSDNSVSQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLIGQKNDISVRASAADALEALSSKSTKAKKAIVNADGVPILIGAIVAPSTECMRGDGGQALQEHATRALANIYGGMSALILYLGELSHSPKLAAPVGDIIGALAYTLMVFVENRDVDEEHFDATQVEDNLVTLLKTRDNKLIQERVLEAMASLYGNEYLSKWLVQADSKKVLIGLITMAAPDVQGNLILSLTSLCCERIGIWEAIKKREGIQLLISLVGLSSEQHQEYSVQLLAILTQQVDDSKWAITAAGGIPPLVQLLETGSQKAREEAANVLWSLCCHSEDIRACVESAGAVPAFLWLLKSGGSKGQEASAKALTKLVRAADSATINQLLALLLGDSTSSKAHIVRVLGHVLSIASQKDLLQKGSAAYKGLRSLVQVLNSSNVETQEYAASVLADLFITRQDICDSLATDEIVLPCLKLLNSKTQGVATQSARALCALSRPTKSKAANMMSYIVEGDVEPLIKLAKTSSVDAAETAVAALANLLFDPFIAAEALAEDVVSALTRVLAEGTSEGKQNASRALHELLKQFPVGDVLKGNAQCRFTVLALVDSLRAVDMDGTDAADTLGAIALLVRTKQGVNFTYPLWLALTEIPSSLELLVSCLAEGPPHVQDKAIEILSRLCGDQPAVLGEFLFASSRSIVSLADRIINSSSSEVKVGGASILICAAKEKKEISMDSLDSSGYLKPLIYSLVDMVKQSCSCSSLDIEVFTPKGFMERNAFQEVDVFNILDPAVVLGGTIALWLLSIIVSSHSKSKHTVMEAGGLEALCNKLARHTSNPQAEYEDTEGIWISTLFLAILFKDSNIILSPAIMNIIPSIAFLLRSEEVIDKYFAAQAMASLVCNGNRGINLVIANSGAVAGLITIIGHIESDMPNLVALSEEFSLVRNPDQVVLDTLFEIEDVRLGSTARKSIPLLVDLLKPIPERPNAPPVAVRLLISIADGSDTNKSILAEAGALEALNKYLSLSPQDSTETAISELLRILFCNSDLINHEASTSSLKQLIAVLRLGSRNARYSAARALHELFDADAIRYSELAKQAIQPLVDMLSTTSGNEQEAALMALIKLTSGDTSKAYVFTDVEGNTLESLCKVLSSASSLELKSHAAHLCYILFANSKIRANPVASECLEPLISLMQSGSETAIEYGVCAFDRLLEDEQQVELAAAYNVVYLLVSLISGANYQLIEATISALIKLAKDRTPSKHDMVKAGIIVNCLKLFQSAPSLLCSTIAELFLILTNSTAIARSSDAAEIVEPLFNVLLRRDFSLWGQHSALQALVNILEKPQSLASLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVRLAGIGILNLQQTAIKALEKISKSWPKVVADAEGILELSKVIIQDDPRPSQALWESTALVLSNVLSSNAEYYFKVSVVVLVKLLHSTLESTISIALNALIVYERNCASSAEEMMEAGAMDALLHLIRSHQCEEASGRLLETLFNNVRVREMKVSKYAIAPLSQYLLDPQTRSQSGKLLVALALGNLSQHQRHARAGDSVSACRALISLLEDQPTEEMTMVAICALQNFVMNSRTNRRAVAEAGGILVIQELLLFPNTEVAGQAALLIKFLFSTHTLQEYVSNELIRSLTAALERELWSTATINEEVLKTLHVIFINFPKLHISEAATLCIPHLVGALKSGGEVAQDSVLDTFFLLKQSWSTMPLDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADTLLHCLPGCLTVTIKRGNNLKQTMGSTSAFCQLTIGNGPPKQTKVVSHTTSPEWKEGFTWAFDVPPKGQKLHIVCKSKNTFGKPSLGRVTIQIDKVVTEGVYSGLFSLNHDNNKDGSSRTLEIEIIWSNRISNDDI >CAK8562431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:490378907:490379116:1 gene:gene-LATHSAT_LOCUS15927 transcript:rna-LATHSAT_LOCUS15927 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQFNYQQSMFNFMQNYQNPNPQNPQFSSMPTNSAAFFSDTNQKHSAAFFPSPNSALCSTVYGIFSS >CAK8533928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672215573:672216229:-1 gene:gene-LATHSAT_LOCUS3515 transcript:rna-LATHSAT_LOCUS3515 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQQEIGIKVYNVTPPPQEAVGAVTTQLSDPPEPVKKRRAIMAKGVQKTLSKTSLLGNFLPTGTLITFEMVLPSIYRNGQCTHIHTIMIHFLLIMCALSCFFFHFTDSFHGADGNIYYGFVTPKGLSVFKPGLAVSVPKDDKYKVGFQDFVHAVMSVMVFVAIAFSDYRVTNCLFPGHEREMDQIMESFPMMVGIICSGLFLIFPTSRHGIGCMSA >CAK8566719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455268877:455273977:-1 gene:gene-LATHSAT_LOCUS19831 transcript:rna-LATHSAT_LOCUS19831 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLHVCVLEARDLPVKNSRVKLKLGKFKYKTRILRNTFCPIWNEEFVFKVKDIADEVLDVILVNHNDESKVINGSKVDFVGEVRIPIGSVVFEEDNKQILPPTWFSLQCLNKSGKYFNKFSGKILLTISLQCKDHASFINHKHSPNSTTASEDSTDLEGIHISSQPSFRKIHKMGEGKHLFKVIARIFNKKERNSKSADCSESSNSLSDNEDSVQENSSPCSFEEAIAIMESKYNQPESPENLQGGILVDKIYAVSPYNLNVFLFAPNSQFRKDLAELQETTNLQEGAWSWKGEDMSCLTRVVTYTKAASKLVKAVNATEDQTYIRVAKDEFDVLVSVSTPEVPYGNTFRVELLYKIMPGDVSFGEESSHLVISWGIIFLQSTMMKGMIESGAKQGLKESFDQFSNLLAQSFKVLDKENLTNKEQFLATLQTESQWNWWQAIAYFWNFTIVSTLFMFLYVLLHILRCGPSQPQGLEFRGIELPDSLGELVTSGILVIQLQRVYNMVSHFVQARFQMGTDHGMRAHGDGWVVTIALIEGVDLTSLESTGLSDPYVVFTCNGQTRSSSVKLETSDPQWNEILEFDAMVEPPSVLDVEVFDFDGPFDQDVSLGHAEINFLKHTSTELADIWVMLEGKPALSVQSKLHLRIFLDNNKGVETIKDYLEKKEKEVGKKLSLPSPQRNSTFQKLFGLPPEEFLINDFTCYLKRKMPLQGRLFLSARILGFYANLFGHKTNFFFLWEDIESIQVLPPSIASFGSPTLVIILRRGRGTDARHGAKTQDEEGRLRFHFQSFVSFGSASRTITALWRTRILNPNQKEHITEEHEDQEVLLMPENSGSMIEAEEKMSRIYSAELPIKMTSVMTGVFDGGHLEHKIMKRTGCMNYDTTDWEQVKPNVLERHVSYQFNRHLSVFDVTSTQQKLANTNNEGWIVNEVMVLNGVPFSDHFHINFRYEIEESILAECACKCDVYIGITWLRSSKFQQRIHRNITTKFKTQLEEIFELVQKEILLMSQNSYE >CAK8542290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498922386:498924083:-1 gene:gene-LATHSAT_LOCUS11137 transcript:rna-LATHSAT_LOCUS11137 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGVDASCSIEDWLFHVKELVPVALDKAKEVKVKGFSGRWKMIISRLEEIPLMLSNLSSHPCFSKNALCKEQLQAVSNSLRDVVELAELCLKERYEGKLQMQSDLDALIGRMDLNLRDCGLLIKTGMLGEATLPLTASSSSVSGSVEQSDAAAHNNIRELLARLQIGHLESKHKALDCVVEVMKEDEKNVLDVLSRSNIAALVQLLTASSTRIREKTVTVICSLVESGSCEDWLVSEGVLPPLIRLVESGSAVGREKAAISLQRLSMSAETSREIVGHGGVCPLIELCRTGDSVSQAAAACTLKNISAVPEVRQVLAEEGIVKVMINLLASGILLGSKEYAAECMQNLTASNESLKRSVVSEGGVRTLLSYLDGPLPQESAVAALRNLVGSVSDETLVSLGLLPRLVHVLKSGSSGAQQAAASAICRVCSSTDMKKSVGESGCIPLLVKMLEAKPNSAREVAAQALSCLMTVSYNRREVKKDDKSVPNLVQLLDPSPQNNAKKYAVVCLGLISSSKKCKKLMISYGAIGYLKKLNEMEIPGAKKLHERLEKGKLRSLFGKKIV >CAK8565927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354514182:354514672:-1 gene:gene-LATHSAT_LOCUS19100 transcript:rna-LATHSAT_LOCUS19100 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRIIRKEFDVKKRSQFTLSIFGLPDRPSRKENVHWLTQKELQSAHVHALINCIEVRSYLDTGEQATTGHIHASFPAWFKDQLSCIVALTQEILHLRNLSRGPVQRAIEWNTYFVNGYKFHTQA >CAK8560474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21334512:21336016:1 gene:gene-LATHSAT_LOCUS14142 transcript:rna-LATHSAT_LOCUS14142 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSWMQNKLGGKQENGKSNTSTSTSYHAKQEPREEFRDWPHSLLAIGTFGNKDEIKQQEDDPSSSSEEIPDFTPEEIGKLQKELTKLLRRKPNVEKEISELPLDRFLNCPSSLEVDRRISNALCSELSDDHKDEDIEKTLSVILDKCKDICAEKTKKSIGKKSISFLMKKMFVCRSGFDPTPSLRDSLQESKMEKLLRTMLHKKLQTQNSSRASVLKNRIEDKRTITKRNIDDEEPEERSDEGSKWVKTDSEYIVLEI >CAK8537383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:317953206:317992368:1 gene:gene-LATHSAT_LOCUS6676 transcript:rna-LATHSAT_LOCUS6676 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRFFLFFSILTTVSIALPYGISYHIDCGTPTNTTDSFNTTWLSDRFFTGGTTGIVSEPLRFHHRHEKTLRFFPISSGKKNCYTVPLLSTSSRYLVRTFVVYDNYDGKSHPPSFDVSVSGTVVFSWRSPWPQSLARDGAYADLFASFQEQALICFYSFATDPPVVSSLELFAVDPASYDSAFVAINDTILVNYGRLSCGSNQWGPGFTNDADRFGRSWQSDSEYRTGRNKVVAVSTRSSILRADQKPNYFPTKLYQTAATTAETAEEGGGVLEYELSVDAKLDYLVWLHFAEIEDRVRKVGERVFDVFINGDNLTRVDIYKQAGGLAAFTWHHTVKNLSSSSLSVKLVGVVGAPLICGIENYALVPGDPSTVPQQAIAMKALKDSLRVPERMGWNGDPCAPTNWDAWEGVTCRMSKDNTALVISQIDLGSQGLKGFISDQISLLSDLVSLNLSSNLLVGEVPSGLGQKSLIHLDLSNNQLTGSIPDSIASSSLQLVLLNGNLLEGQVPDELYSIGVHGGAIDLSSNKGLCGVPSLPACPMFWKNGRLSTDGKIAIGLSCLFVFCLTLLLVYIYIRRRRNDYDFALPHELTALAAKRNRYQRQKSLMVLEMESQHAKGLPSPSVTQ >CAK8570124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24503243:24510254:-1 gene:gene-LATHSAT_LOCUS22903 transcript:rna-LATHSAT_LOCUS22903 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEQESEGVSASRKHLVFAYYVTGHGFGHATRVTEVVRHLINAGHDVHVVTGAPDFVFTSEVQSPRLFIRKVLLDCGAVQADALTVDRLASLEKYSETAVKPRAKILAQESEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGLHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKTPKEVRKDLNVPDGVKLVILNFGGQPSGWKLKEDFLPPGWLCLVCGASENENLPPNFRRLAKDAYTPDVIAASDCMLGKIGYGTCSEALAYKCPFVFVRRDYFNEEPFLRNMLEYYQCGVEMIRRDLITGHWRPYLERAVSLKPCYEAGINGGEVAAHILQETAFGKNYASDKLSGARRLRDAIVLGYQLQRAPGRDIAIPEWYATAEEQLGQSVPCSPLGITGFSSYSGIEDFDILHGDVQGLPDTVAFLQTLSELGEKHTRREAKAAANLFNWEEEIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVALQRVPPSKHRLWKHAEARQNDKGGKPTAVLQIVSYGSELSNRGPTFDMDLSDFMEGDKPISYKKARKYFAQDPSQKWAAYVAGAILVLMTELGVKFEDSISMLVSSAVPEGKGVSSSASIEVASMAAIAAAHGLNISSRDLALLCQKVENHIVGAPCGVMDQMASACGEANKLLAMICQPAEIVGLVEIPNHIRVWGIDSGIRHSVGGADYGSVRIGAFMGMKMIKSKASQELTEMCAANGLSSDEVEQDDIELLKQESSLEYLCNLMPHRFEALYAKTIPESVSGETFLEKYTNHNDPVTVIDEKCNYGVRAPTIHPIYENFRVKTFKALLTSASSSDQLTTLGELLYQCHYSYGACGLGSDGTDRLVHLVQELQHSAASKSEGGTLFGAKITGGGSGGTVCVIGRNSLKSSEHIFEIQQRYKKATGYLPFIFEGSSPGAGKFGHLKILRRSIPKKVDSYGDFNAVLTENERK >CAK8537132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104533229:104534769:1 gene:gene-LATHSAT_LOCUS6445 transcript:rna-LATHSAT_LOCUS6445 gene_biotype:protein_coding transcript_biotype:protein_coding METITKEKFSPHVLIFPCPAQGHVNSMLKLAELLAIQNLHVTFLNTEYIYNRLIRFNDDIQAFSECYPKLQFKTIPDFHNEEEHPGFGERIGYVIESLSLCGKPFLRDIIVSEKITCIILDGIFGDLATDLASEFGIQLIHFRTISACCFWSFLCVPKLLECNQLPIRGEEDMDRIIRNMPGMENLLRCRDLPSFCRENQKNYITLDNVVLRSQQSLKANALILNTFEDLDFPILSQIRLHFPKLYTLGPLHHLLNTTKKTSSFKSNFFEVDRTCMSWLDSQSLKSVIYVSFGSTTPMKREEVVEIWHGLLNSKTRFLWVIRPNMVQEKGLLKMLEEETSKEIGLFVEWVPQDEVLAHKAIGAFLTHSGWNSTLESLVCGVPMICWPFFADQQVNSRFVSEVWKIGLDMKDVCDRNVVEKMVNDVMVNRKDEFLRSSMQMAELASKSVSPSGSSYNNFHDFIQFIKSSGL >CAK8538983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500917288:500917677:-1 gene:gene-LATHSAT_LOCUS8126 transcript:rna-LATHSAT_LOCUS8126 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIQNKIILIQAAIAKDQNNKVKEQCSQQMSMGNLKNFFHKKYPNESEEEIMVRILDHMKNQFFSTFPTKKDENSSMKTNSSMGSIDSNNFNCLAREAQADDLTPEDFWDAMIQSMAQKAKDKAKR >CAK8577152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540495635:540496925:-1 gene:gene-LATHSAT_LOCUS29282 transcript:rna-LATHSAT_LOCUS29282-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSNNSIIRSGSSTSSSPRRNYYDVFVSFRGTDTRLNFTDHLFGALQRKHIFAFRDDTKLNKGESIAPELLQAIEDSRIFIVVFSKNYASSTWCLRELEHILLHCGQPPEKRVLPVFYDVDPSEVRHQKRTYGKALAKHEQKFQQDLEQVVRWRAALAQVADLSGWDVRDKPQYAEIEKIVEGVINISTRGNYFDVFVSFRGEDTRYNFTDHLFAALQRKSISAFRDDTKLNKGESIAPEVLRAIEYSQIFIVVFSKNYATSTWCLRELECILERVQAYGKRVLPVFYDVDLSEVRYQRGTYGEALANHQQDSEMMLRWKTTLREVTNFSGWDVGHK >CAK8577151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540495366:540496925:-1 gene:gene-LATHSAT_LOCUS29282 transcript:rna-LATHSAT_LOCUS29282 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSNNSIIRSGSSTSSSPRRNYYDVFVSFRGTDTRLNFTDHLFGALQRKHIFAFRDDTKLNKGESIAPELLQAIEDSRIFIVVFSKNYASSTWCLRELEHILLHCGQPPEKRVLPVFYDVDPSEVRHQKRTYGKALAKHEQKFQQDLEQVVRWRAALAQVADLSGWDVRDKPQYAEIEKIVEGVINISTRGNYFDVFVSFRGEDTRYNFTDHLFAALQRKSISAFRDDTKLNKGESIAPEVLRAIEYSQIFIVVFSKNYATSTWCLRELECILERVQAYGKRVLPVFYDVDLSEVRYQRGTYGEALANHQQDSEMMLRWKTTLREVTNFSGWDVGHKSQYAEIENIVEEVYKYIRLQMHRDLN >CAK8542982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564203606:564205165:-1 gene:gene-LATHSAT_LOCUS11775 transcript:rna-LATHSAT_LOCUS11775 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDMERTAIAMKTFECNLPPKSPILKDRDASFSSYLNPQKPNTRQPNNHNHNHHRTLEDPTSELSIFDAHKYFNELTNNENIQKVSISSNNINNNSRVSPVMVNVNNETLTENIVIPDNTRYSSASSTVDSYSNIRNYRARSFHTATPTASSEASWNSQQGLLSHPAGAISVNIKNPSSPNPNNNNNTFRTSLSKPIWLLRRKCPCTGKKSVQVREKKSTELPKNTIKIPSPVSPSPSPPPPPPMNNWINNNVDQTPTLVTKSQRFQPVVTTVRVPYTEGFTFPVLNPNSSSTTAKLKNGVVSDDPPRESLEVFRPPDELNVDMKSLNFPFPPPMSRIIIDDGDAASDASSDLFEIESFSTATQSSYAASAYRRNSRDSFDEGSVTTAMTECYEPSEGSIEWSVTTADGYDESSCSVGGGGGNGGSSSGGGVPAEHWKRKGGNGGLLVSCRCEKAVSVGPQPVKCEGQRGATSAWKNVGGGVNSRAGGVSKPPLARSSLSHRNNNTNTPRVTSFSFAT >CAK8537032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64657303:64657536:1 gene:gene-LATHSAT_LOCUS6351 transcript:rna-LATHSAT_LOCUS6351 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPWLIADDFNDIMRPDEKNGGVTASSRKCNLFRDREDACKLMDLGSSGPKFTWKDPIYNGGQRIYEKLDRAMINI >CAK8533715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653216922:653229082:1 gene:gene-LATHSAT_LOCUS3318 transcript:rna-LATHSAT_LOCUS3318 gene_biotype:protein_coding transcript_biotype:protein_coding MIMELTESSAANLNNLPLPPPQPPQNHHNDNNLQDTRSILCIDLNEIPSPSSSFPETLPDLTIDIVRTYHENPAPPPGGPAALPGGSTSCAVCAKSGGGGGGGNCLVCDGCERSFHLACAGIRGGGVRQVAASIGEWVCGECLAGGVKSKRWPLGVKSKQLLDINASPPSDVDGEELHDARKHTMGDKSFGTNQFGDPVTYSTFYNGSVFGLQRASGVMTHAVRVGFEEILNHTQAMARSFEEVPSQTQSPNESILLALRDFISERHGVLEEGWRVELRQSMISPDLYAVYCAPDGKIFDSVYEVANYLGLPSGYNSMESEIKNEMSLSLGGPHISRKRKSSRTLAANGYAEKQGAMINSNYKDCSSDGLIMECANVQGTIPKATEIGRKENSHTDPDQSADGLPLQFQDFYVISFGKVDGRSSYYDVNLTFPVGYKSSWHDKITGSLFTCEVLDGGDSGPIFRIKRCSCSEFPIPDGSTILSMQSHCQFVSETNEGQRETNDSMDFDGDESIQMILFEPCAPTENDILSCVASSSNEAYASEGLRPVAGSVKDNIGNSFADNIDFGDEIGEVLVEERSPFSAWQLISQKLVSACKDICKKKGTLKLFCKHVESETGLHKWGLRNGKRDTHFPSLDKFCGYPGFVSIPDTLYADSDLTGLYELLGKWLEQDRFGLDVEFVQEVLEQLPGVQDSLQYELLSSRTNSSSLPTVENGFLVVECKDQSKFQDEAVAVQGLYRRPKKARLTEDFVKEDQCPPPGKPLCSRAPTELIGDIFEVWEHLDRFHEILDLKEPLLLEELEKELINPWFDELEFPEKLERGMGRHQVLCSQGGNDDCRLISEAGPSGSTESSFIHVETEAMKEEAQVKLASFTYVRCFGVALTKAHNSLLRVLISELQSKVAALVDPNSEPGETRGRRGKRKDIDSAFPAKRTKVNMLPINELTWPELARRYILAFLSMDGNLESAEITARESGKVFRCLRGDGGLLCGSLTGVAGMEADALLLAEATTKIFGSLSRENDVLIMEEDESDAKDASEMKLANDGKIPEWAQVLEPVRKLPTNVGTRIRKCVNDALDKNPPDWARKILEHSISKAVYKGNASGPTKKAVLSVLKEVTDGGQPDTNKERKKKIVISISDIIMKQCRIVLRRAAAADDSKVFCNLLGRKLVNSTDNDDEGLLGSPAMVARPLDFRTIDLRLASGAYGGSHEAFLEDVRELWSNVRVAFGDQPDLVELAETLSQNFELLYDEEVVTYVQKFAEYAKVGCLSAEMKKEVDVFIASTNDIPKAPWDEGVCKVCGVDRDDDSVLLCDTCDAEYHKYCLNPPLARIPEGNWYCPSCIGGKHSAQDVTEPAKITGKRSSKKFQGEVICLYLEALTHLSAVMEEKEYWEYSVGERTLLLKFLCGELLSSSLIRQHLEQCAESSVELHQKLRALSVEWKNLKIKEDVLSTKAAKFDLLSQSTNGEVGLKDGFTSLFSNTSKCLFKPHTATTNPNGLRVFVDSLPSEEIPKEKSKFNSIDKSISATHSDSDSQNMNSIEGQLRTVPVVLESPCTDKSPKYFPSPNHMPQGINGFSGATHIQGIHQKCEARDVSTSASYQQQGQCVPFEVSQNAVNELEPYHHELNTVKHNISLLQDSITSIGSQLSKVPVRREFLGIDSIGRLYWALGTPSERSRIVVDASAVFQHTKGLSVSKDFVDKFSALQHWALSEKDNYKMLGLIKDCSRLSLQPLNASGNSSPWIAYETDSEIEELLGWLTDNDPKEKELRDSIMLAPKHKLQEFVNANTECQVDDQGPRNTPSNSLVAKATSLLEKNYGPHFELDNAEVVKKQSKKNRTTTDEKLCRCECLEPIWPFRKHCLYCHKTFLTDVEFEVHNDGKCNAGLLALETNKDKNGSSKGRGNLKCDTSHEKSRAYAETAGTSINKCSKLNLNLIKFSNEGSSCPFDFEDICSKFVTDDSNKKLVREIGLIGSDGVPSFVPSISPFLSDFMPFLSLKGDDIVGGVSKASENWVSQENTDGAGICHDNKSGKSTQSLAANESNGTGKSSKLGEQRVGKLSFCNPASDMGVYGCCVVPSSSLRPLVGKVSHILRQLKINLLDMDAALPEVALRPSKAQLDRRQAWRAFVKSAETIYEMIQATIALEDMIKTEFLRNDWWYWSSFSAAAKSSTLPSLALRIYSLDSAIMYEKMPNSSLTDSSDPPAVAEHKLAITVDADKTKASRKSNRKRKESDG >CAK8544789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705918301:705920898:1 gene:gene-LATHSAT_LOCUS13442 transcript:rna-LATHSAT_LOCUS13442 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHASLLFSLVGICVFLHGIDGAWREEPGSLILGCGLDEGGARDIDGRQWSPDNKFLAPDNGSIMSKAAYQEPSLSSLVPYMTARIFTSETTYKFNIQRDKRYWLRLHFYPSLYGSFNPSDSYFSVAANGITLLSNFSAYITCEAFSLAYIDREYSLAPLNSDTFTLTFKPSDKQKGAFAFVNGIQLIPIPELFDSAALVGYVEQKVEVKSMSLQTMFRLNVGGQYVSPVQDSGLSRIWYDDTPYLYGASAGVTNKATKDVQINYETMPQYIAPETVYSTSRSMGNDKNANIEYNLTWIFQVDPSSMYLVRLHFCEYYYSKVNEIVFNILINNQTAEPQADVIGWTGGKGLPTYKDYVIYVQDREGDEKLWLALHPAPETKPEFYDAILNGVEIFKLNDTDLSGPNPQPSDMLLEDQAEKGFQTHGYNRKAVIGGAAGGAASFAFMAAICVTVFNKKKRISGSSTNTSWLPIYGYSHTNDSKSTSAKSIASANLAAMSQGLCRYFSLQEIKHATKKFDESLVIGVGGFGKVYKGVIDNGTLVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDDEMCLVYDYMDLGTFREHLYKGIKPLNILTWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDNNWNAKVSDFGLSKTGPEMNAGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPVLNTNLPKEQVSLAEWASLCNKKGTIEDIIDPHIKGTINPESLKKFVDTAEKCLSDHGTDRPSMNDLLWNLEFALNLQENKDGSTHSSAQVEENEFEEINLGDNSTANHYKNLSLGSQHDLSQDSDSDSNESPTKIFTQLVNSKGR >CAK8570926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:172818637:172818781:1 gene:gene-LATHSAT_LOCUS23634 transcript:rna-LATHSAT_LOCUS23634 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICGIDGTETEY >CAK8563835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633763132:633765728:-1 gene:gene-LATHSAT_LOCUS17204 transcript:rna-LATHSAT_LOCUS17204 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHIFSIALLLTSILIPISYSSSINETTKSDVDLLEFALNLEYLEAEFFLFGATGDGLDVVGPELAQGGPPPIGAKMASLDPLTNDIILQFGLQEVGHIRVIKSVVKGFPRPLLNISKESFAKVMDDAFGKPLRPPFDAYANSINYLLASYVIPYVGLTGYVGASPNFQDPTFKKLVASLLGVEAGQDAVIRTLFYERKKLLVFPYKITVEEFTNRISMLRNKLGNDGIKDEGLEVPIKQGAEGKISGNILAGDEYSNSYPRTPVEILRIVYGGNQSVPGGFYPNGADGTIATS >CAK8571602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447249890:447254125:1 gene:gene-LATHSAT_LOCUS24246 transcript:rna-LATHSAT_LOCUS24246 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTTNGHVQETFCLSKNGVAAAVAGGDPLSWGVAAESLTGSHLDEVKRMVAEYRKPIVKIGGETLTIGQVAGIASHDSGVRVELSESARAGVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESNCTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPSGEILNAKEAFHLANIGSEFFELQPKEGLALVNGTAVGSGLASIVLFEANVLAVLSEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKADKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGSEIAMASYCSEIQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTNEAIEILKLMSSTYLIALCQAIDLRHLEENLRNSVKNTVSQVAKRTLTTGVNGELHPSRFCEKDLLKVVDREYVFAYADDPCLATYPLMQKLRQVLVEHALVNVEGEKNSNTSIFQKIATFEDELKAILPKEVESVRAAYENGQSGIPNKIKECRSYPLYKFVREELGTALLTGEKAISPGEECDKVFTAMCQGKIVDPLMECLGEWNGAPLPIC >CAK8566291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400461899:400462537:-1 gene:gene-LATHSAT_LOCUS19431 transcript:rna-LATHSAT_LOCUS19431 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKLETHHQFYNHHQKNTFLPMLCSKPSIKEVNLPRCRINQPSSCNDPLSPRIGCMGQVKRNNKIAGFPSSQYKLLSFNNKTISSSIISFSPVVKYSKLKKLFSGKNLIGTPSITSTTTITKQRVIGNNSKIQKCVRNENVVVGIKIDEMDPPLPVIKRVNKIDEGTKSDNSLWKRRSGSSGVPLRSLQVQQIQQIQLQTPKICIQTTTV >CAK8537461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:363358470:363359233:1 gene:gene-LATHSAT_LOCUS6751 transcript:rna-LATHSAT_LOCUS6751 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNDLQPLPLLSSFLDSTPQQSFQQNGTLKSCLNQAEDVTVALHIGLPHSSTETPTENVPNSAPSNYWIPTEEQIHIGFSQFSCSVCHKTFNRYNNLQMHMWGHGSQYQRGPDSLKRKHPRLLLDIPCYCCSEGCKNNIENPRAKPLKDFRTLQTHYKRKHGSKSFTCRKCGKCLAVKGDWRTHEKNCGKRWLCICGSDFKHKRSLKDHAKTFGFGHGPSSSSDGI >CAK8562317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:471491509:471494240:-1 gene:gene-LATHSAT_LOCUS15824 transcript:rna-LATHSAT_LOCUS15824 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKFLKGSNHKYSGRGYEGKYGHDRDSSNRDGSVDDLTDIEREEIDRAIALSLSEEDYKGKKVVEDDSESESEDDELCPLDDEEDDHVGDDKQDEDDHVGDVKQDEDDHIAKIQQDEDTSLDEVQLEEDEQLARAIQESLSIGSPPRSHTDSIFQPFTNLFPPVYRICAGCNAEIGHGRFLSCMGAVWHPECFCCHACKLPITDYEYSMSGNRPYHKLCYKELHHPRCDVCKIFIPQNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESTDTKYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPSIGAGYRVIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLRPEVEEGICQVLAHMWLDSEIYSGSGSEEASSSSSSSSSSSSPSSTSSKKGKRSDFEKELGKFFKHQIESDSSPAYGDGFREGNQAVLKYGLRRTLDHIRITGSFP >CAK8573780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636484817:636487603:1 gene:gene-LATHSAT_LOCUS26186 transcript:rna-LATHSAT_LOCUS26186 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTISAKLLFFFLTTFLFTSTVKATPTFLYQNCSKIKTNTNSTYERKLKTLFSSLSSNATNNNEFYNTTIPSKNPSNTAYGLFMCRGDVSSSSCQQCVANATQTLSTDSDCSLSKQAVIWYDECMVRYSNDSFFSTVATRPGVFLINTANITNQESFMSLLPVTMNETANKASNSSVGAKKYATKEARISGFQTLYCMAQCTDDLSQEDCSSCLSVAIGALPRCCTGKQGGRVLFPSCYIRYELYPFYTSTTPSPPPSTPPASSAPPGIVPSTNTSNLGGGSGISPGTIVAIVVPITVVALLFIVGICFLCIRGRKKKHDSAVARDPKTETDITAVESLRFDLSTLEEATNKFSDSNKLGEGGFGEVYKGVLPSGQQIAVKRLSKHSGQGGEQFKNEVELVAQLQHRNLARLLGFCLEREEKILVYEFVANKSLDYILFDPEKQRLLYWTKRYKIIGGIARGIQYLHEDSRLKIIHRDLKASNILLDGDMNPKISDFGMAKLFGVDQTQGNTSRIVGTYGYMSPEYAMHGDFSIKSDVYSFGVLIMEIISGKKNSSFYETGFAEDLVNYAWKLWKNGTPLELVDHTIRESYTPNEAIRCIHIGLLCVQEDPDDRPTMATIVLMLDSHTVTLPVPKQPAFFLQSGADPHMPTIQISQSDNSN >CAK8564397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672308877:672312485:1 gene:gene-LATHSAT_LOCUS17707 transcript:rna-LATHSAT_LOCUS17707 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVQYKLERMVDELEDLEQRGIFTHQEIAEIVKQRRKFEYRLKRPSPLKEDFVAYIEYETQLDALRSLRKKSVTRELKKQGNKNLRKSKSDLAGLIRIIDIYELALKRYKGDIDLWFRYLEFCKLRKNGRMKKALAKLVRLHPKVAGVWLYAAAWEFDQNSNVVAARALMQEGLRFCPTSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLTRDPRTDDEKQWKDENKELFMTLDEKDDKNGENLVPEDNNGENVEPDESQMNQELFADHGMNIFRTVYGGAIEAVPSSLNLRKRFLEILEGTNLSHYEDMCKEVLYDMKRDFSTEPEFWDWLARQECNPEAVLENGQEIKIPHVEKAIQVYEEALMSVPSGTMFSLYASFLTDIIAPKDEGSDITGLSSHAENFLPHLLSVYERAESMGIINEYLACKYVTLYLQMRKLVEARKLVIKLCSGKLAESVQLWELRIAIEISCITRSSLLPCDTDLLYLFELLRQILTKVPVSKSENLWQKAFKFYASKRRYFDKLVEISFLPLARDGGSESGFSLSSTIVGFILQKDGIHKARDIYKRFLALPHPGLALYRKCIELETDLASKGDKDSLTNARKLYESALATYDQNVSLWQDYYRMEAKMGTSQKATAVYWSAMKTLKDSSEFTASLDL >CAK8533471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620943189:620946326:1 gene:gene-LATHSAT_LOCUS3094 transcript:rna-LATHSAT_LOCUS3094 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFEGYERQYCELSANLSKKCTATTALNGEQKKQKVSEIKAGIDEAEALIRKMDLEARSLQPNIKGVLLAKLREYKSDLNNLKSEIKKIVSGNLNPSARDELLESGMADAITASADQRTRLMTSTERLNKTGERVKDSRRTMLETEELGVSILQDLHSQRQSLLHAHSALHGVDDNIGKSKRIMTNMSRRMNKNKWIIGCIVLVLLVAIIAILYFKLVK >CAK8572722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558212213:558214555:-1 gene:gene-LATHSAT_LOCUS25252 transcript:rna-LATHSAT_LOCUS25252 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVVLFIDDLKPISGFSRCRICHEEEFESFKTLEAPCACSGTVKFAHRDCIQTWCNEKGNTTCEICLQQYQPGYTEPPPKKCKKSNEVMTIRYSLEISRREEEALNRRIVEYEGVRRENNYVECAYAADRSAFCCRSLALAFTLILLLRHLFALLTSGMEDYPFTILTIFILRASGIIIPMFIIIRTMGAIHKSIQRHYHHQDSDDDSSMSDADDEENGTPHIAILRHSHY >CAK8535024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804649416:804657379:-1 gene:gene-LATHSAT_LOCUS4509 transcript:rna-LATHSAT_LOCUS4509 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPKSLFNARQTISSRTSSFLVLALLLFFGIILFLATSRNDRCLNRNPRSVRVLWDHGTAVTGGRNAVVDDRHKVMAFVGIQTGFGSVGRRHSLRKTWFPSDPDGLERLEEATGLAFRFVIGRTNDRWKMSALKREIAEYDDFIQLDIEEEYSKLPYKTLAFFKAAYALFEAEFYVKADDDIYLRPDRLSLLLAKERSHSQTYIGCMKKGPVFTDPKLKWYEPLSNLLGKEYFLHAYGPIYALSADVVSSLVALRNNSFRMFSNEDVTIGAWMLAMNVNHENIHELCAPECTSTSIAVWDIPKCSGLCNPEQRMLELHQMDSCIQSPTIE >CAK8565763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:328630749:328633868:-1 gene:gene-LATHSAT_LOCUS18948 transcript:rna-LATHSAT_LOCUS18948 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIRNTVADSPSPTPKPVIGEIDTSPPFQSVKDAVSLFGEGAFSGERLIFKKPKGYSSERVLAKETQLHVSHKELNKLKEQVKNAETTKAQALVELERAQRTVHDLTQKLKLITESRELAIKATEAAKNQVKEKNGNSDEINGAWKEELETAVKSYASIMTELNAAKQDLRKTRQEYDSSSDAKVSALKQMEEAENAKKENTERLSELSKEISAVKESIEQAKLASAEAQQQQALILSEKDALRQSYKATLEQSEKKLLALKNEFNPELSKNLEAQLAETMSEIAALQKEMENKRSSDLNSVKTVTSELDGAKESLQKVVDEENSLRTLVETLKVELENVKKEHSELKEKESETESTVGNLHVKLRKSKSELEAVSADESKVRGASEEMILTLSQLTSETEDARREAEDMKNKTAELKKEAEATKLALEAAEKKLKEAIEEAEAAKAAEASAVEQITVLTERTSAARASTSSESGSVIAISMEEFESLNRKVEESDKLADMKVDAAKAQVEAVKASENEILKKLEATQKEIDDMKTATQEALKRAEMAEAAKRAVEGELRRWRERDQKKAAEAAARILAETQISLSSESSPQHYRIQKQNLPPPHKTVEVRKLEKEKVSFSKKAVLPNISGIFQRKKSHLVEGGSASYLPGENPV >CAK8569701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8703625:8706392:-1 gene:gene-LATHSAT_LOCUS22522 transcript:rna-LATHSAT_LOCUS22522 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHYHHQILSIFSFLLTILLSFSGVISTTFTFVNKCDYTVWPGLLSNAGVPPIPTTGFVLQTGESNTVTAPTSWGGRFWGRTLCSQDNTGKFSCLTGDCGSGKIECSGNGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLVSPQGGSGDKCTTTGCIEDLNGACPSELSVMSVDGKENVACKSACEAFNSPEYCCSGAFGTPDTCKPSSYSELFKNACPRAYSYAYDDKTSTFTCANAIDYTITFCSSPTTSQKATQGQDTKQESSSSSSKSNSASPQLNNDNTMVYEGGYDQSEISQAMCTHVLLHSQIIACIISITMAIWQL >CAK8563617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620663866:620665184:-1 gene:gene-LATHSAT_LOCUS17011 transcript:rna-LATHSAT_LOCUS17011 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGTSFSVTAFQPSLVSGAGSRFSNAKSVSLSIKGRAFPSLTLQSRVHRFQVTCAAKPETVTKVSEIVKKQLALADGTEVTGESKFSALGADSLDTVEIVMGLEEEFGISVEEESAQAITTVQEAADMIEKLLESKTA >CAK8542112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474491137:474491463:-1 gene:gene-LATHSAT_LOCUS10982 transcript:rna-LATHSAT_LOCUS10982 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQHPTIMEKVTGQIHRRSGVSSGYGGSFRQPAMFQKYSYGNYSNAALAPACRTTVDLSSVATNASPMFVAAPAEKSHFLFDFLMGGVSAAVSKTAAAPIDRVKLLI >CAK8544298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676972571:676973305:-1 gene:gene-LATHSAT_LOCUS12990 transcript:rna-LATHSAT_LOCUS12990 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDSDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCSENYAIEEHTISRWSPEQCRERSI >CAK8578384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625762247:625763586:1 gene:gene-LATHSAT_LOCUS30401 transcript:rna-LATHSAT_LOCUS30401 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSFSQEAKTTRRMSSRQRPLHACGVSILTIGDIAIGKTQSINGPLGSTFRNMAKLAKFITPLIFTIQYQWLTILAFIDDRILAAENITQKLFPPSRYVFDKIDEIVLMILSLPDKFDGALNKNVPEIIHKVPYLEWLLKISSSKMNSLVSTLRRENSCADEKTIGVDVNFCNNNSREMESGTNEEYLNFPMDPSSVASFPPIPEAENKSAVEVSGANTKKSSYKEVLLESNEKKVDNDECENEAEGKEKKNEECESDKKFQGDKSVNVKYEYDPLMDLFESAWLMSPRR >CAK8567433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513740504:513741463:-1 gene:gene-LATHSAT_LOCUS20487 transcript:rna-LATHSAT_LOCUS20487 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVHLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8573715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632675774:632684622:-1 gene:gene-LATHSAT_LOCUS26124 transcript:rna-LATHSAT_LOCUS26124 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNNPKPSSSSHRKTRWESNSAATTTITTNTNTKSPSDPKLKPNTNNPNPINNPKPNPNLSPKLPNNHPALIPFQFPEPGPPPPPAYGFHMLERRTIILADGSVRSYFALPPDYQDFAPPPRPLDRFDMRFPPRPDYQNPVEASLAKRKYGEDGRDEFARQREQLLRNANGFANRVPGGEFPGGPSGPLKRDMMDPVDLRPSKHSRVDGVASANNARHPQVDQEALKKTFLHFVRLINDNPSLKKSFSQDGKQGRVQCVACGSGSGSNRAAKEFSDIHALIMHTYNSDNADLRADHLGLHKALCVLMGWSHSTPPDNSKAYQYLSADEAEANQDDLIMWPPLVIIHNTNTEKGRDGRMEGLGNKCMDNKIRELGFVGGKSKALYGKEGHLGITVVKFADDKSGLEQAMRLAEHFKKENHGRKDWTRVHAQSLGKDDENNPNLVQVDEKKGEKRKVLYGYLGTAFDIDKLDFDTRKKVVIKSRREYKPSM >CAK8531348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103199908:103203651:-1 gene:gene-LATHSAT_LOCUS1148 transcript:rna-LATHSAT_LOCUS1148 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDNKKASNSRTGRIRTLSDLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAVERPLDQLQEPPRSTSFTGTGRLLSGETVQNASNSQQPESVVHNIVFWSNGFTVNDGPLRRLDDPANASFLESIKKSECPKELEPADRRSAVNVNLIRRNENYREPERIQVSFQGVGRTLGSSSNSVAPEPNVASTTPLTSAPPPSAGLVVDQSLPSTSIQLRLADGTRLISHFNNHHTIGDIRAFIDASRPGGRQGYQLQMMGFPPKVLADETQTIEQAGLANSVVIQKF >CAK8531349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103199908:103203651:-1 gene:gene-LATHSAT_LOCUS1148 transcript:rna-LATHSAT_LOCUS1148-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDNKKASNSRTGRIRTLSDLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAVERPLDQLQEPPRSTSFTGTGRLLSGETVQNASNSQQPESVVHNIVFWSNGFTVNDGPLRRLDDPANASFLESIKKSECPKELEPADRRSAVNVNLIRRNENYRQQEPERIQVSFQGVGRTLGSSSNSVAPEPNVASTTPLTSAPPPSAGLVVDQSLPSTSIQLRLADGTRLISHFNNHHTIGDIRAFIDASRPGGRQGYQLQMMGFPPKVLADETQTIEQAGLANSVVIQKF >CAK8538029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459391968:459395545:-1 gene:gene-LATHSAT_LOCUS7270 transcript:rna-LATHSAT_LOCUS7270 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILSLGVCFVISTCHCGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSIESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8573014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577206503:577206884:-1 gene:gene-LATHSAT_LOCUS25509 transcript:rna-LATHSAT_LOCUS25509 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRFPWTAVSAAAPIPLHSSIKVFTSIPKQLAFSHLSFCSTSNLHLTHHPDKHEHEPDNRRTQHLKPGLYLVGTPIGNLEDITFRALRVLKHPW >CAK8577811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590103506:590103844:1 gene:gene-LATHSAT_LOCUS29882 transcript:rna-LATHSAT_LOCUS29882 gene_biotype:protein_coding transcript_biotype:protein_coding MIERAFNLAAQKLGFEFVVKKFDSISDGRKFLKTQTDGNSSLFYAEIPGGTILLHHVEEKDTFPAQFGREVLAGLLNMADNADWRNRKHNKDEEMKIVEDFKDRFQEYDPNR >CAK8577536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572111699:572112535:1 gene:gene-LATHSAT_LOCUS29634 transcript:rna-LATHSAT_LOCUS29634-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMYKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8577535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572111687:572112535:1 gene:gene-LATHSAT_LOCUS29634 transcript:rna-LATHSAT_LOCUS29634 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIMPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMYKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8578271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615895478:615895874:1 gene:gene-LATHSAT_LOCUS30297 transcript:rna-LATHSAT_LOCUS30297 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDHFNASASASEPVGYPGGPYDTSLLVKYEHHVARHIWFGEERGSKKELKVTGHGLKLIHRVPLQLPREMEGWISRSSLASLQRTSLTKMDTNLVSAFA >CAK8572240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524009248:524011001:-1 gene:gene-LATHSAT_LOCUS24826 transcript:rna-LATHSAT_LOCUS24826 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIQELPEGCIAAILSRTTPADAGRFSLLSKMFRSAADSDAVWNQFLPSDSNFKDSVDSQFPSLANAPTKKAFYLALSDHPIIIENGHKSVQLDRKSGKFRYMLAARSLNIIWSDHDHYWKWTDFPDSRFPEVAVLLDVCWFDIHGTINTIALCPNTEYAAYLVFKMVDSVGFLNRRIELSVFVEGGHSSTKTVCFDPNVRHRSHNRVVGLQCPNERSDGWLEIEMGEFFNSGIENEEVQMKVLETGGNWKRGFIVEGIEVRPK >CAK8544661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698227408:698232951:1 gene:gene-LATHSAT_LOCUS13319 transcript:rna-LATHSAT_LOCUS13319 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGSVRRSLVFRAASPENEDQSLGVGGTLVDKIGYCIRNSRVFSKPSPPSPPIPKDTVPQIRWRKGELIGCGAFGHVYVGMNLDSGELLAVKQVLIAASSASKEKAQAHVKELEEEVKLLKDLSHPNIVRYLGTVREEDTLNILLEFVPGGSISSLLGKFGAFPEAVIRTYTKQILLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATMSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQQEVAALFHIGTTKSHPPIPDHLSAGAKDFLLKCLQKEPILRLSASELLQHPFVTGENTDSNPQSSIDMGNFRASSPSCAPNVESLLCSSTANPGDSGNNQLWGMRNDDDDDDDMCVIDDKEEFSVCDVKHKSFVPDNFESFNPMSDPSEDWGCKFDATPELENREVSLDTDESYKSRTQLESNKEQKDLSFPCVPSLSEEDDELTESKIRAFLDEKALELKKLQTPLYEEFFNSLNASCSPSVIDSPSDDTAGRKYLRLPPKSKSPSRIPFSSPSKVDSAGSPGSNGRSSSTVGNGNSHGSQDAPSTPINERKGVIVDSQQEPFSPSLSFSERQRKWKEELDQELERKREMMRQGMAKTSSPKDRALHRQRTRFASPS >CAK8578791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654891403:654892305:-1 gene:gene-LATHSAT_LOCUS30775 transcript:rna-LATHSAT_LOCUS30775 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHTEITNVPLQNLSQLSTKMDSLQRFIAQSIKTKTLLPKQHLDTLSNQISSTICDVIINATALLATCPTTTPYECYRTRSKNISCIDSDTTNYKDSNIVELDAVDILAKHFHFCEICGKGFTRDANLRMHMRAHGEQFKTPEALRCVKETRLTATRFSCPYEGCKRNKLHKKFAPLKSVFCLRNHFKRSHCPKTHTCDRCRRKSFAVVSDLKSHMKQCKGESTWKCSCGTTFSRKEKLFGHMARFEGHSPVFVVAAGEEGRGQAVAAEGEGVAVGAILTEESDRLPEGFFDDLDKFWV >CAK8533301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601216885:601217580:-1 gene:gene-LATHSAT_LOCUS2941 transcript:rna-LATHSAT_LOCUS2941 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGYINAAFRSSKNNEAYFFINEKYLLIDYAPGTTNDKVLYGPTPVRDGFKSLSQTIFGSYGIDCSFDTENNEAFIFYENFCALIDYAPHSNRDKIILGPKKIADVFPFFKGTAFETGIDAAFRSTLGKEVYLFKGDHYARIDYGSNSMVNKEIKSISNGFPCFSNTIFESGTDAAFASHLTDEVYFFKDDYYARVKVTPGRTDDKLLGGVRKIVDYWPSLRGIIPLEN >CAK8567439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514027741:514031860:1 gene:gene-LATHSAT_LOCUS20493 transcript:rna-LATHSAT_LOCUS20493 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDLVNSAASAAKNPIIHGTGTTGDPTYDQPNQVEPNTLNPLSDHAGGSENPVIAQANVAETPRNEAGGTGADNPINDRLNGAKNLANNQAGGAETETPMNDQLNIAETSVNPLVAAENLVEGKADAIEIPSNIEDTLETTVSNKIDRLPVEAKEILISLAGKWEDVLDANALQVIPLKGAMTNEVFQIKWPATNGETSRKVVVRIYGEGVDIFFNRDDEIRTFEYMSKNGQGPRLLGRFTNGRVEEFIHARTLSASDLRDPLISALIAAKMKEFHDLDMPGEKKVNLWCTLRNWLAEAKRLSSPKEVEAFYLDTVDKEISILEKELSGTDQRIGFCHNDLQYGNIMLDEETNSVTIIDYEYASHNPIAYDIANHFCEMAANYHTEMPHILDYTKYPDIDERVSFIMAYLSTSGKEPSEGEVEHLLQEVEKYTLANHLFWGLWGIISGQVNTIEFDYKEYAKQRFQEYWARKPYLLSSDAPSPFNVPEGTGELAGGHTKGKNSGIFRKMKRVLGLGLFRSKS >CAK8569785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10745013:10748291:-1 gene:gene-LATHSAT_LOCUS22595 transcript:rna-LATHSAT_LOCUS22595 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSSCLRLITCGGGAAADKEQDQQVVSEVKDSNDKRGWSFRKRSARHRVLSNTVIATETPTSANKEISEYSSVSFQSPAESIVVEKICTTDFCSEKPQLSSNAYSEVPETIVTENEDKVDVDIPESAVIVVQASIRGYLAQRALIKSKNVVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQLLVRARQAQQSHSDRNNEHQSAKSNAKHSSVEKLLSNKFARQLMESTPKNKSIHVKCNPSEGDSAWKWLERWMSVSAKDSAENKKPVSTTEQLDETRDSTRVSQLETDIPSEVILQLADSPLPSEDEEKTSTYDDTNSYFQASPSRSSLIKDKLEEGPPEKTVTDNAKEVSPEIDSFRNEKTDSSASVRQDPGSQDRDVDGEKCKQPVKAFASDQLETEGKKIASVSRKLSNPAFVAAQSKFEELSSNANSSRPSYLFDQDVSVESQADTAYISKEFISSENSTPYPSRIGDPESGTVLSISSTLDSPDRSETLESEHDAKDLVEGIVNPENKTGHSVEADTPSATLTSNLPISSSNQLENVNGYTGNIVDSVNSKEPAVEPDKNASDLLTEQTENVRQDFKLSPEASPGSYMTIPESQGTPSSQVSVKPKDNKINKTGSSSRRRVLSVGNKSPATSNNDSGSRVSVGNKSPANANPDSGSRGSKEQLPKDHLNGKRRNSFGSIKPDHNDQEPTKDNSSSNNISLPRFMQATQSARAKINSNSSPRSSPDVHDQDVSIKKRHSLPGATGRQGSPRVEQSISPAQQGTKGNSSTERKWQR >CAK8536765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14971872:14976618:1 gene:gene-LATHSAT_LOCUS6098 transcript:rna-LATHSAT_LOCUS6098 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDIVYQRPLFGGQISCTFPNRFQDVSEIRQVPDHQEVFADPSRDESLIVELLEFKPDVADNGSAVWFLQDLAREQDAEGTVINEQSGVHEAPGLMYNNIPAVVTTAVGQMAISKGRQGREAQNLVKVHLANLRIKGVDTDVLITAYEPVVINPFSESADAVGAGMAVPAAQAGCMPMDEVFKLAVTSFKVYDWSLF >CAK8574837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11053382:11059672:-1 gene:gene-LATHSAT_LOCUS27139 transcript:rna-LATHSAT_LOCUS27139 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGISNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDCRERQMMMLPAHSPAGDLFSHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQVDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLAAYLDSLKGSGWSIFLVRGNFPKEFPISSAEASNGFGQWLLPEDAERITKSCNSVQQAPQQERVVERQQYSNQYLSREEAELFSDMEDEDLKAAIAASLMDSTPIVTNIAEASNPQNNDKQKSIEVEAIEASVPPSDESNKHVEAIEAGTLLNDKNDQQVVSSFGGDNSHREHQNKENTL >CAK8544219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672692235:672692786:1 gene:gene-LATHSAT_LOCUS12918 transcript:rna-LATHSAT_LOCUS12918 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGLVSTQTQYLMAKMLSDDEFVDKFLAESAKRLAQRYRVFTAGLIKVGIKCLQSNGGLFVWMDLRGLLKNATFKSELKLWRVIIHEVKINVSPGVSFHCSEPGWFRVCYANMDDRDVQIALQRIRSLVTQNNKEAMVSDKNSKPCWHSNLRLSLKSRRFDDIMMSPHSPIPQSPFMKATT >CAK8530874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58533033:58534205:1 gene:gene-LATHSAT_LOCUS706 transcript:rna-LATHSAT_LOCUS706 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIQACKFPRLGNVVNNLNNSSIKNLGSGRRKNVGGVTGSDFQRSWQERQQEEENSSRIVRVSRGSGGKDRHSKVMTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVDWLIKAASDSISELPSLNHVFAQIDAKSDEKRQQEFEFDDERLNKNLCLSKSACSSTSETSKENESSIAQQQHQQHQQPQHQHHQNMSQTASFTELLTGGIGSSNNGSIHDNQIHHGGFSGHSPFSSENENQSDMIQLQHFPFMSEQQHLMQPSVVNSSSSSSSHHQHQTGSHDNYNLNFTISSSGLVGYNRGTLQSNSLLPHFQRFSAMDHGSSSTTSSSNNLPSFFTGPPVPPPPTMDQQLHFSPLFDARLQLFYGNGTGSQHSDQKNNKCNKN >CAK8535645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871805159:871810284:1 gene:gene-LATHSAT_LOCUS5085 transcript:rna-LATHSAT_LOCUS5085 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARKAVEDNCIGKKITKCIVADDSKVIDGVSHTDFEASVIGKTIVAARRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVNDEDEWPSKYSKFFIQLDDGLEISFTDKRRFAKVRLLKDPTSVPPISELGPDALFEPMTLDEFTGRLHKKKTEIKALLLDQSYISGIGNWVADEVLYQARIHPQQTASSLSGENCSTLYECITEVIQFAVGVDADCSRFPLEWLFHFRWGKKPGKISVEVGADSSQYPTNWIFHFREKKPGKAFVDGKEIDFITAGGRTTAYVPELQKLSGPQKLKETGKARGKTSKKAKGDDDNNDDIVNPTKKEDQNLGKKGAKAGAKGRKPSKRKKTEESDDDNDGDADTDNDDDSDQVEKKKPAPANKQSKKSVQSNQNSKSTRSKAK >CAK8535646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871805159:871810284:1 gene:gene-LATHSAT_LOCUS5085 transcript:rna-LATHSAT_LOCUS5085-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARKAVEDNCIGKKITKCIVADDSKVIDGVSHTDFEASVIGKTIVAARRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVNDEDEWPSKYSKFFIQLDDGLEISFTDKRRFAKVRLLKDPTSVPPISELGPDALFEPMTLDEFTGRLHKKKTEIKALLLDQSYISGIGNWVADEVLYQARIHPQQTASSLSGENCSTLYECITEVIEKAVEVGADSSQYPTNWIFHFREKKPGKAFVDGKEIDFITAGGRTTAYVPELQKLSGPQKLKETGKARGKTSKKAKGDDDNNDDIVNPTKKEDQNLGKKGAKAGAKGRKPSKRKKTEESDDDNDGDADTDNDDDSDQVEKKKPAPANKQSKKSVQSNQNSKSTRSKAK >CAK8575598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:197915367:197916375:-1 gene:gene-LATHSAT_LOCUS27849 transcript:rna-LATHSAT_LOCUS27849 gene_biotype:protein_coding transcript_biotype:protein_coding MIETLLGPPLVEIRRAEEEEERLTDEVQKLQNAGANMPPDLRATAFPSNRSGRLRSGMLHKSPVKSGNFSMPLSKKFDDGGIGNGGGITIDHLHKLNPNNVSAWNMKRLMNMVRHGVLSTLDEHILDSTPDDEHATQIRSENEAKAAAKKIFQNVTCRFIYPEELIRFMREDETVKTLNLFEGASDSGKISKSTLKNWVVNAFR >CAK8573687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630779785:630782038:1 gene:gene-LATHSAT_LOCUS26098 transcript:rna-LATHSAT_LOCUS26098 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIKSRFPLLLLLGIIFLASVCVTYANYDEGSEPRVPAQRERGRQEGEKEEKRHGEWRPSSEKEYDEGSEPRVPGRRERGRQEGEKEEKRHGEWRPSYEKEYDEEEKQKYQYEREKKEQKEVEPGRERWERKEDEEKEEDQWRGSQRHEDPEERARLRYRKERTKKYVEEDTEETSSESQGRRNPFLFKSNKFLTLFENENGYIRRLQRFDERSDLFENLQNYRLVEYRAKPHTIFLPQHIDADLILVILNGKAILTVLSPNDRNSYNLERGDTIKLPAGTTSYLVNEDDEEDLRVVDLVIPVNRPGKFEAFDLNQYLGGFSKSVLEASLNTKYETIEKVLLEEQQKQGQETNAIVKVSREQIEELRKLAKSSSKKSLLSELEPVNLRSHSPKYSNKFGKFFEITPEKKYPQLQDLDVSISCVEINEGALLLPHYNSRAIVVLLVNEGKGNLELLGVQNEDEQQERKERNKEVQRYEARLSPSDVVIIPAGHPVAIRASSNLNLLGFGINAENNQRNFLSGSDDNVISQIENPVKELTFSGSAQEVNRLLKNQKQSHFANAEPEQREEGSRRKSPLSSILETFY >CAK8536947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44015570:44021541:1 gene:gene-LATHSAT_LOCUS6270 transcript:rna-LATHSAT_LOCUS6270 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSRGRITITLGRSGQVVKRDVSAADVAYSSSMSAGSKRSVRDRIGNNADNSTWHGNGTGSNKRQRGDVSMQNGLDDRRIGKDDLRLKLMQKSASRRTEGNGNKRNIDLREKLSKIAHPPTNSFNSKQRMPEPRDASLYRPVPSARSSDDLMRMESMRSSYSPWTLDQIRQRSPDGFPSSSRGISPQRNVGDPQRRPLNRTYDGIRPVSYAGRDVLETSRPPSAAAPSSFMSRSAMLSLPPVTAKPVASRPGQHPPSSSVAQRVPFVGEEQQSQTVEGLLQALGLQKYVILFKAEEVDMTALKQMGENDLKELGIPMGPRKKLLLALLPRKRQQC >CAK8533515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:629921342:629921976:1 gene:gene-LATHSAT_LOCUS3137 transcript:rna-LATHSAT_LOCUS3137 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLTHSQLPRVLSHTNNGHTPIRVKNLMDGLEIIYGLSNFKRNCESCYLWKTVISLFALAETKFYLTQIHKQVSALPLQLETLQAYSCSDTVFSDELEHLEPTN >CAK8542921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559770102:559770326:-1 gene:gene-LATHSAT_LOCUS11718 transcript:rna-LATHSAT_LOCUS11718 gene_biotype:protein_coding transcript_biotype:protein_coding MILEDEQHTYEGDFDYSYDNVDNNNSTTETFNSHYPNLTTRLQRRASLHEKQVHRQLQRDLVEYIWKYFGHEDD >CAK8536802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22259150:22261024:-1 gene:gene-LATHSAT_LOCUS6134 transcript:rna-LATHSAT_LOCUS6134 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDITVTKLLANGLYKEALQLYSHLHASYSSHTRNSFTFPILLKACSYLSPPSPSQTQILHAHVFKTGFHSHPHTSTALTASYAANSHSLPDALKLFDEMPQPTITAFNAVLSGLSRNGPYGEAFGLFREIGLRNLRPNSVTIASLVSARDVKDQSHVQQVHCFALKLGVESDVYVSTSLVTGYSKCGDLISSNEVFENSRVKNVVTYNAFVSGLLQNGFPRLVFDVFKDMMMNLEEKPNMVSLVSVFQACGTLLNVRLGKQVHGLSMKLEACDHVMVVTALVDMYSKCGCWGFAFDVFNGGQKRNLITWNSMIAGMMMNSESERAVKVFERMVDDGVLPDSATWNSLICGFAQKGECLEAFKYFRKMQCVGVAPCLKIVTSLLSACADSSVLRSGKEIHGYALRICVDTDEFLATALIDMYMKCGCVSLARCVFDQFDAKPDDPAFWNALIGGYGRNGEYESALEIFDEMLDEMVQPNSVTFVSVLSACSHSGQVERGLHIFRMIREYGLDPTPEHFGCVVDLLGRSGRLGEARKLVQELAEPPASVFDSLLGACRSYLDSNLGEEMAKKLLDMEPENPAPLVVLSNIYAALGRWREVERIRGLIADKGLDKLTGVSMIEVA >CAK8568569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618421692:618423512:1 gene:gene-LATHSAT_LOCUS21514 transcript:rna-LATHSAT_LOCUS21514 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKFVAGPRKKKPGTVPVYLNVYDLTPINGYAYWFGLGIYHSGVQVHDVEYGFGAHENDTTGIFEVQPKNCPGFTFRKSIFIGTTDLGSKDVGVFMEKLAREYSGNSYHLISKNCNHFCDDVCYKLTGKSIPRWVNRLARLGLFCNCVLPPGLNETKVRQVTSDKVQEGEKRKIRSQSSRYEASSNPRGSSRHCLRPSSLMKASSTTTLTVK >CAK8535661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873027626:873028950:1 gene:gene-LATHSAT_LOCUS5096 transcript:rna-LATHSAT_LOCUS5096-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQLKDHNHTVQMQHSNSMKLKDKKVKDLMVNKKRLVEIPYTASLAQTMNTLVANKVLAVPVAAPPGQWIGAGGSMIVESDKQTGAVRKHYIGMVTMLDIVAHIAGDDHLSNSDYLIQDLDDRMSFPVSSIIGHSFEGLSLWTLNPNTSLLDCMEVLSKGVHRAMVPTDSKLENTNISAGVELFESSSSYQMLTQMDVLRFLKDHSNELQSTLHSRTVQDLGAITERIYAITDRTKLIDAIKCLKAAMLNALPIVRASDVCEDDHKQHINGRCRKLVGTFSATDLRGCYINTLKSWLGISALAFTEQIATSPLYTASDIPNDIGNSTRELVTCYADSTLFEVIDKAVAKHVHRVWVVDQEGLLIGVVSLSDVIRVIRQSMLSDTDA >CAK8535660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873027545:873028950:1 gene:gene-LATHSAT_LOCUS5096 transcript:rna-LATHSAT_LOCUS5096 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSIFSHNNSFHISIKKHQLRKIGNMQNQLKDHNHTVQMQHSNSMKLKDKKVKDLMVNKKRLVEIPYTASLAQTMNTLVANKVLAVPVAAPPGQWIGAGGSMIVESDKQTGAVRKHYIGMVTMLDIVAHIAGDDHLSNSDYLIQDLDDRMSFPVSSIIGHSFEGLSLWTLNPNTSLLDCMEVLSKGVHRAMVPTDSKLENTNISAGVELFESSSSYQMLTQMDVLRFLKDHSNELQSTLHSRTVQDLGAITERIYAITDRTKLIDAIKCLKAAMLNALPIVRASDVCEDDHKQHINGRCRKLVGTFSATDLRGCYINTLKSWLGISALAFTEQIATSPLYTASDIPNDIGNSTRELVTCYADSTLFEVIDKAVAKHVHRVWVVDQEGLLIGVVSLSDVIRVIRQSMLSDTDA >CAK8537186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:125717785:125718301:1 gene:gene-LATHSAT_LOCUS6495 transcript:rna-LATHSAT_LOCUS6495 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYMGEIRRTNRAALEWIDSIPREKWSRAFDGGQWWRHMNRFGRSYELGLKDTRNLPITPLVKSTFYRLGSLFGKQGHDWTKMLASNQTFTENCNKGMADEASKSSRHNVIQFDRKRFCFMVVESIN >CAK8531882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171062417:171062845:-1 gene:gene-LATHSAT_LOCUS1643 transcript:rna-LATHSAT_LOCUS1643 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVSKYVLLFSMLLTILVTLQFEGGKSSSFQNDEVKLTITNTLQNNIQLGLHCQSKNDDLGGQTLRTSESFSFTFRPNFFTINTLFFCKFTWANEVHYFDVYVQRRDFESSDCRRTCDYNIKESGPCRASNCFLGIRMLW >CAK8573513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617475832:617478966:1 gene:gene-LATHSAT_LOCUS25955 transcript:rna-LATHSAT_LOCUS25955 gene_biotype:protein_coding transcript_biotype:protein_coding MERYFMCVVLLVFIVIAQMCLCANSNVPCIEQERQALLDFKASISQNSLNKLSSWKGTHCCQWDGIDCDNLTGHVVKLDLKNPWYPAFSWRPELEAYPSSGDRLDDMPLTAPNVSSSLLQLKHLTYLDLSGNDFCGSSIPMFIGSMEHLEYISLSQANFRGMIPNNLGNLKNLQFLDLGFNSLEINRDTTWISKLHSLNHLDLTYVPLNNKHKLFQVLNLLPSLLHLSLSYCQLDNSLIPRHALQNMTSLVYLDLSWNSFHGSFLESFQNMTSIELLYLSENNFNSIPSWFRNFEKLTHLDISSNDIHDPIPEGFRNMTFIEFLDLSQNSLTSIPSWFVEFKRLVFLNVRWNQLTLNEYFLSSIINSLCRLKVLQLSHNKLYTESIEHFDISKCITYDLQYLNLGYNNISGHLPTWLGKVENLKYLDFTSNFFHGPIPLSIGKLSKLQLLRLSNNTFEGLIPENIGQLVNLTYLDLSSNKFDGSIPQSLWKLANLNHLDLSKNSFKGLIHENIGQLVNLTHLDLSSNKFDGSLPHNLEKLAHMNSLVLSNNSFNGVIPKSLCQLVNLYSLDISSNMLDGIMSMKKGCLLNLNHLNLSHNQISGPLPKNIGHIMYSGDLFLGNNKLNGSIPFSLCQSQIANLDLSNNKLSGEIPNCWKDNQIWSEINLSSNKLTGTFPSSFGNLSSLFWLHLNNNSLQGEFPVSFVNLKQLLILDIGDNYLSGSIPSSWTTNTFPLLQVLKLRHNMFSGSIPSQLCQLKSLKILDISRNKLQGSIPKCIGNLEGMKLEKIIPSPFHMQSYGAITGLITEGPPTTIEDPWSTEVVTEVVKGKELEYAKILRLVVHMDLSENNLVGFIPNEITWLTGLHSLNLSNNQLEGEIPPLIGNMKSLESLDMSHNQLSGKIPSTMSALTSLSRLNLSHNNLSGPIPKDNQFSTFNDPSIYAYNPYLCVSPLPNMFPGDVLHGTSERKGNEEEDGIEKVWFYFVIAAGFGTGFWGVIWTLWFKKNWRHAYFRWVEDVVEDIYVTIVVKMAKMKKKMKRNHSHE >CAK8543064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573239036:573241376:1 gene:gene-LATHSAT_LOCUS11851 transcript:rna-LATHSAT_LOCUS11851 gene_biotype:protein_coding transcript_biotype:protein_coding MISALDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYKFIAADSLQKLIILTILFIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDDSGTLMVQIVVLQCIIWYTLMLFLFEYRGARILIGEQFPDTAGSIISFKVDSDVLSLDGKEPLQTEAEVGEDGKLHVKVRKSTSSRSEIFSRRSHGVNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGRNVSPRQSNFGNLGFDEENGVGRVNGGTNNVNGGNGYPTPHSAGIFSPVANKKKGHGGGGGGGDGGKDLHMFVWSSSASPVSEGGIHVFRGAGEYGNEHLNGVAHQKDYEEFGHDEFSFGNRTVANGVDKDGPVLSKLGSSSTTELHPKDGSQVESKPTNMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNVVMPAIVAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNTVASFAMAVRFLTGPAVMAVSSIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >CAK8567599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527769439:527770134:1 gene:gene-LATHSAT_LOCUS20637 transcript:rna-LATHSAT_LOCUS20637 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASMIWNPDLIHYLCIAKSTTILAHHINNSKDSSTIETLASKCLEHSPPNHSFFSHTVNNRTYSFIIQPPFVLFAIFDDNLLKSHALAFLNRIRSSLLETLDKNDTFTPFSLQAQFDSVINETLNFYDLSSNPGSSVISPTNSRTLLVKPDEGLKKKKRIVDDGKEAALVDLSSDDTSSLQLSKINDRQKAKHIWKKHVWVVLMLDLFVCAVLFVIWLWVCSGFKCMAY >CAK8541129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69654485:69657238:-1 gene:gene-LATHSAT_LOCUS10076 transcript:rna-LATHSAT_LOCUS10076 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFYFYFYLFLLSLFFSIHSTHQLQFSQTQVLLQLRKYLQYPTSLQVFQNYNADLCTLTPSQHLSIKCEGNSVTELKIMGDNNNKHGKVSSFNGFAVPNQTLSKTFSIDSFVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLTLLQVLDLSSNFLFGSIPPKIATLMNLQILTLDGNYFNTTMPDFFQPLSSLTFLSFKNNQLKGSFPFSLCKIKNLTGISLSHNELSGALPNLTALSRLRVLDLMENSFDSELPLMPKSVVTVLLSRNSFTGEIPSQFGELSQLQNLDLSSNRLSGAPPSSLFSLTNISYLNLANNVLSGSIPKRVKCGSKLGFVDISSNMLSGLLPSCLESISDRRVVRFGGNCLSVNSKPQNQNQKHGSYCEESSSGKMKFWRWEIDAAIAIIVVVFLFLLAFGVFFYRKCHSREVYRHEMLPKIVQDNNSTTGVSSELLVNARYISQKMKLGTQTTTTCRHFSIEELKEITRNFDLSAYIGEGSIGKLYKGKLDNGSYVMIRSMALRKKLSIQNLKARLDLLSKLHHPNLVSLLGHCIDGRGKDGISPQKLHLVYEYVQNGDYRTHLSEFSPDKALKWSERLAILIGVAKAVHFLHTSIKPGCFRNQLKTNNILLDEDRFPKLSDYGMSIIAEEIEHIEVKGEKPKLEDDVYNFGFILFESLAGPIASEKGEAFFLNERASFDSHDGRKRIVDPVVLTSCCQESLSIVISITIKCISTQSSTRPSFEDVLWNLQYAAQVQASADAEHSIS >CAK8575945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369771955:369772584:-1 gene:gene-LATHSAT_LOCUS28170 transcript:rna-LATHSAT_LOCUS28170 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSVIPWKLLEGLGESSGSKDVTKKYMNLEKQPKSFVEVIDNVCNILLSQLLKSCVKGNKLAIVIPEEEYLLGLKACKHNLHRGIFWKKGATLLTVQNLKNKLLAIWSSIGKWGITSLGKGYFEFYFSSIEDVRRVRSITTWNLNPGLLKLFPWPKDFNPYLLKQFSAQVWVHTHGLPQEYLRPKTVFAIASNQYSFVYGFCFQQVQI >CAK8561917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409747383:409748666:-1 gene:gene-LATHSAT_LOCUS15464 transcript:rna-LATHSAT_LOCUS15464 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISTSSQTHLLPQTNNPFLRKPISTFTPTISLRNAPTFKPISIKCAATTQAQPQAQTQPQSSDRVINFAAGPATLPENVLRKAQSELYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRTLLEISSEYSVLFLQGGATTQFAAVPLNICKNDDAVDYIVTGSWSDKAFKEAQKYCKPNVIWSGKSEKYTKIPSFDDLKQNPEARFLHICANETIHGVEFKNYPTPNNQSGILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTVVIVRNDLIGNAQSLTPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLEQGGLVEVEKKNKRKAELLYNAIDESNGFFRCPVEKSVRSLMNVPFTLEKSELEGEFIKEAGKENMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQTKHA >CAK8541153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:76952115:76986294:-1 gene:gene-LATHSAT_LOCUS10094 transcript:rna-LATHSAT_LOCUS10094 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASISYILPSPHSNLHKFQTFTSNHYKPQSRFLFSFPVSKINSVRSLKTRCASDNSSSFHGVEFIVSILPGGDWWSLPKHREDHAEPAEAKLALRRMLELVADERWVAVVAFGSLVIAALSEITMPSILAASIFSAQSGETAAFSRNAMFLVLLCFTSGICSGLRSGCFGILNVTLVKRLRENLYTAILFQDISYFDKEKVGTLTSRLAADCQQLSHVIGNDLQLILRNTLQGTGAIINLLVLSWPLALSALMICAVLSAIFLVYGRYQRKAAKLTQDFSACANDVAQETLSLIRTVRVYGTRKEEFERYNQWLQKLAFISGRDSVCNGLWNLSFNTLYRSTQIFAVLLGGMSALNCCVTIEQLTKYVLYCEWLIFATWRVTNSLTSLMQSIGASEQIFEMMNRLPCDQFLVQGIKLQRLMGHIQFVNVSFHYPARSMMPVLHHLNFSVKANEVIAIVGLSGSGKSTLINLLLRLYEPCSGQVCIDGFPLKELDIRWLRQNIGYVAQEPRIFRMSIKSNIEYGCPRNINQEDIIKAAKLAYAHDFISSLPNGYDTLVDGNALSGGQKQRIAIARAIIRDPVVMILDEPTSALDSESEHYIKEVLYALRNETKSRTIIIIAHRLSTIKAADRIVVMDNGRIIEMGNHEELLVKDGLYAKLNKIQEDVF >CAK8579131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678475743:678476482:1 gene:gene-LATHSAT_LOCUS31099 transcript:rna-LATHSAT_LOCUS31099 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHSSSKLENKLKLRRSGFFIIAPYHQMKREKIATKLRLRKSTLFSRSISLDLKCAFRLNNEDLEGRWLRENKRQRFFLPILSESRVLFIGGLEFLCEFLWLLLHFGKLLWKVIAIRCIVRGFVYDSLVDLDKHFRKMRGFVYSTYDVYNLVIFPLRIVFAVYYFVNPYVAGRCMLAGACGFEGYVF >CAK8534757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:764408288:764408826:-1 gene:gene-LATHSAT_LOCUS4272 transcript:rna-LATHSAT_LOCUS4272 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLLIFMFFLCALILISTVAVETLKVDAIEEPKKNVGMDSWRDWGGSFWGEEEVNNGEGDKDGGHGRGEKGNESKNGKDDWRDWGGSFWGDEEGNGGERGKEGGQGRAEKEEVR >CAK8537874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444223413:444224558:1 gene:gene-LATHSAT_LOCUS7126 transcript:rna-LATHSAT_LOCUS7126 gene_biotype:protein_coding transcript_biotype:protein_coding MMHILTQIWRLVLHPKVWRFVGFASAVVGLLCYALSSSFNHLFGNWNLFKIILYTLFSFIISLVILYANIWKSSTSLRFKAHAAFLVLTITSVYSFFFDKVVNGKPDAYNLVSCASFAIMSLSLSRQTHCGVEIDLLYFFLGCLIVQLMKISLQLLILGAGFSYSLIILRSSFSSIDDAIANEYFYLQGENSVFLKLDSLLLQQLKTCMTEIEKENLNLIDRLMELVKEYNQDKSELHLLDKCDFVMDTLSSRKIHNLNEIVKLMIAAGYKKECYDVYSSWRRVFLQECLINKIFGLRTTNINITMDEYETEQYLDTMFERWMTASDVAVTILFPIEQKLCNRVFSGVSSAAFSCFFEVCHEAMSQLLGFADVIASGNPTI >CAK8570355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38984985:38985528:-1 gene:gene-LATHSAT_LOCUS23108 transcript:rna-LATHSAT_LOCUS23108 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGKVFTEHEGEASAAKFYNICRKQLQHIPNISSEVHGVRLLEGEWDKVGSVIHWEYTIDEEEESAKGRIEGIDDDNRVITFSLFDGEVGENYKSFKGTLQVMDGEYGALVRWTFEYEKVKEDITGAVPELYLDLVAEITKDIDAHLVEEEQQI >CAK8575457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:123864699:123864843:1 gene:gene-LATHSAT_LOCUS27718 transcript:rna-LATHSAT_LOCUS27718 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRI >CAK8532903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:547163332:547165176:1 gene:gene-LATHSAT_LOCUS2565 transcript:rna-LATHSAT_LOCUS2565 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKFTSSPYSHFFNLSSFSKLTSPSSSSLLFHSHHHVHHPSKRNSKATNFTIKAYMENPNSFSSIVNKVIGALPVVGLLARIMSDEGGVGNDLVDFAEFRRRVGNNCTPSDSTSFYQFQSRRGKTGDPMYVLLCCWLAAVGAGLLKTEEILEGVARLRISDDIEFEEQTFIALMEEARERRAKLKGASPAVPMEVRVEKALDAIYVCCFGKDPIEIEDERLLSTILSSVFPSVPKQEIQRMVTEMAQKVKDGGMDYIPDAKPLSKEAIEMQMKDLNFLKQNSDTM >CAK8534912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789465849:789468133:-1 gene:gene-LATHSAT_LOCUS4412 transcript:rna-LATHSAT_LOCUS4412 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSIAFSPRLNGIINRNRNSKSILLNHQTNKSSSQLTLRSSLQPKSLLLFPFTSRSDRIYISKVGPLRCGISSNGFSGDGRRSVREWIEVGSEAISTAFPLWVTVGCVLGLVRPSSFNWVTPKLCTVGLSVIMLGMGMTLTLDDLRSAFCMPKEVLSGFFLQYSVMPISAFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALSVIMTAASTLSAVIMTPFLTAKLAGKYVAVDAAGLLISTLQVVLLPVLAGAFLIQYFQPFVKFISPLMPPMAVATTAMLSGNAIAQSSSSILMSSGQVILASCLLHASGFFFGYILARMLGLDLSSSRTISIEVGMQNAALGVVLATKHFGDPLTTVPCAVSSVCHSIFGSILAGIWRFSVPSEIKD >CAK8535477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851516546:851518144:-1 gene:gene-LATHSAT_LOCUS4938 transcript:rna-LATHSAT_LOCUS4938 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVLKEDWCLVYAVTTEGKPIYTDKIYGRFIWDVDPTRCGPDCDCWMHDDDIDRDIILCKTKKKGRCKPSPSPQRRSDPDNGPRVGIHGKKKPLCIYEEGLKILRRYALLPPDDPTLVTWSPTDHCKPLYPLAVAQPIPCFMYSTTNSEYDRQFPSLERKMDPITGRTSKPFIHPSEVQPDGKLKPLTQAEEVLNWQYENMVSQNEILQNLDKKVDKIYEKIDETDEDLKVLSQKMQKHYRSLKAQVSQLDRDLRQMLEERAFGKTFDQKEREIKILQSQVKEIDDFLRASHERKPKPVENSFFDLPTFPTYFKRLERPSPSYPAYVSSPSDPVKYIPTAYRPKSSRTTTTSTSKTKGKVACLSASSSYSQDIPETPPSKIQKEEEIPNKGFQAMSITTNHESPRKDHSESSKQKGDESFLDGDNNSDPESSSDETPRSFSTKSESEDNYFPRLFMENVKEEESFFEEESPKETLVPERTKPNRGPWFTVDDIPPSRWRKRLLEFGAWLDTQMMQTSVDSYKIMKNFAVE >CAK8563058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570208749:570209216:1 gene:gene-LATHSAT_LOCUS16505 transcript:rna-LATHSAT_LOCUS16505 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQELESDSTPTAHVQQRSNALCFPTCFASRRRSIWWERVRSASFSQSHPTTTNTTGDRWWSRGLKALKKLRDWSEIVAGPRWKTFLRKFNQHRSSRRMAKCQYDPLSYALNFDEGQNEDSRHDGFCNFSTRYAAVNVKSVAPDPDCEIDGLV >CAK8560008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4870250:4873588:-1 gene:gene-LATHSAT_LOCUS13730 transcript:rna-LATHSAT_LOCUS13730 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEIQIGKESTGISSDFNPKLYNSIHHKQLQQQHNSSPIYHHHNHRHNKIKPSKSLSFFNFPQLYSLSLAALRRLRHRLRFVLLLSFPFFYFLLSRPTHSFFFNFLSAFAFSAALFFSLSLKFKSSPSLPIVLTVQRWRLWRRRRKEKKEERNKSTSTVVVLEVRVYSNGDVYEGEMKGGKCCGSGVYYYNMSGRYEGDWVDGKYDGFGVETWSKGSRYKGQYRDGLRHGFGVYRFYTGDVYAGQWLNGQSHGSGVHTCDDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHRYEGTWHEGKRHGLGMYTFRNGETQSGHWKNGVLDIPSTQNATYPVSPVGVNHSRVLNTVQEARRAVEKAYDVAKVDERVNRAVSAANRAANTARVAAMKAEKNEMHHVNSESISIPIL >CAK8535165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819736935:819737231:-1 gene:gene-LATHSAT_LOCUS4641 transcript:rna-LATHSAT_LOCUS4641 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIASGICSLAVGGDAQRKPTQAQQCCSNGNTLAPSTPAPTPSPSPVQQLYEEFAYSNTGKQNIKGLTNQTGYVKGNANGVINFGSLLASANAQRQA >CAK8571096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:294561804:294568036:1 gene:gene-LATHSAT_LOCUS23789 transcript:rna-LATHSAT_LOCUS23789 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRITKELKDLQKDPPVSCSAGPVGEDLFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPEDPLVPDIAHMYKTDKAKYESTARSWTQKYAMN >CAK8544820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707251826:707252305:1 gene:gene-LATHSAT_LOCUS13468 transcript:rna-LATHSAT_LOCUS13468 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSESTNQKGSENGIKSNRYECQKRRDWNTFCQYLRNHRPPLSASMCNGSHVLEFLHYLDQFGKTKVHNPNCPFFGIPTPPAPCPCPLRQAWGSLDALIGRLRAAYDQAVNGGNHVNPFGDGAVRFYLRDVRDFQSKARGVSYHKKRKRPNRNIIAP >CAK8562994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565478361:565485555:-1 gene:gene-LATHSAT_LOCUS16448 transcript:rna-LATHSAT_LOCUS16448-5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHFDDQEFDFGGEFSGTRPDTIGNIGNKRPSPDYEDGDFDNDPLGKKLKSKAEEEAASGVTTGMILSLRESLQNCKDTLDSCMNELEAAQSEIKKWRSSFQNELFIPAGTTPVPKLVINYLQALKASEESLGDQLEKAKKKEAAFIVTYAKREQEIAELKSAVRDLKAQLKPASMQARRFLLDPAVHEEFSRLKNLVAEKDKRVKELQDNIAAVNFTSQSKMGKLLMAKCRTLQEENEEIGHQASEGKMHELAMKLSLQKDQNSELRNQFEGLQKHMDGLTNDVERSNEMVFMLKNKLDEKDQEIQRLKDELQQKNSAKKGRTDDVSNARD >CAK8562998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565478361:565485555:-1 gene:gene-LATHSAT_LOCUS16448 transcript:rna-LATHSAT_LOCUS16448-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHFDDEFDFGGEFSGTRPDTIGNIGNKRPSPDYEDGDFDNDPLGKKLKSKAEEEAASGVTTGMILSLRESLQNCKDTLDSCMNELEAAQSEIKKWRSSFQNELFIPAGTTPVPKLVINYLQALKASEESLGDQLEKAKKKEAAFIVTYAKREQEIAELKSAVRDLKAQLKPASMQARRFLLDPAVHEEFSRLKNLVAEKDKRVKELQDNIAAVNFTSQSKMGKLLMAKCRTLQEENEEIGHQASEGKMHELAMKLSLQKDQNSELRNQFEGLQKHMDGLTNDVERSNEMVFMLKNKLDEKDQEIQRLKDELQQKNSAKKGRTDDVSNARD >CAK8562996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565478361:565485555:-1 gene:gene-LATHSAT_LOCUS16448 transcript:rna-LATHSAT_LOCUS16448-4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHFDDQEFDFGGEFSGTRPGNIGNKRPSPDYEDGDFDNDPLGKKLKSKAEEEAASGVTTGMILSLRESLQNCKDTLDSCMNELEAAQSEIKKWRSSFQNELFIPAGTTPVPKLVINYLQALKASEESLGDQLEKAKKKEAAFIVTYAKREQEIAELKSAVRDLKAQLKPASMQARRFLLDPAVHEEFSRLKNLVAEKDKRVKELQDNIAAVNFTSQSKMGKLLMAKCRTLQEENEEIGHQASEGKMHELAMKLSLQKDQNSELRNQFEGLQKHMDGLTNDVERSNEMVFMLKNKLDEKDQEIQRLKDELQQKNSAKKGRTDDVSNARD >CAK8562995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565478361:565485555:-1 gene:gene-LATHSAT_LOCUS16448 transcript:rna-LATHSAT_LOCUS16448 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHFDDEFDFGGEFSGTRPGNKRPSPDYEDGDFDNDPLGKKLKSKAEEEAASGVTTGMILSLRESLQNCKDTLDSCMNELEAAQSEIKKWRSSFQNELFIPAGTTPVPKLVINYLQALKASEESLGDQLEKAKKKEAAFIVTYAKREQEIAELKSAVRDLKAQLKPASMQARRFLLDPAVHEEFSRLKNLVAEKDKRVKELQDNIAAVNFTSQSKMGKLLMAKCRTLQEENEEIGHQASEGKMHELAMKLSLQKDQNSELRNQFEGLQKHMDGLTNDVERSNEMVFMLKNKLDEKDQEIQRLKDELQQKNSAKKGRTDDVSNARD >CAK8562997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565478361:565485555:-1 gene:gene-LATHSAT_LOCUS16448 transcript:rna-LATHSAT_LOCUS16448-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHFDDQEFDFGGEFSGTRPGNKRPSPDYEDGDFDNDPLGKKLKSKAEEEAASGVTTGMILSLRESLQNCKDTLDSCMNELEAAQSEIKKWRSSFQNELFIPAGTTPVPKLVINYLQALKASEESLGDQLEKAKKKEAAFIVTYAKREQEIAELKSAVRDLKAQLKPASMQARRFLLDPAVHEEFSRLKNLVAEKDKRVKELQDNIAAVNFTSQSKMGKLLMAKCRTLQEENEEIGHQASEGKMHELAMKLSLQKDQNSELRNQFEGLQKHMDGLTNDVERSNEMVFMLKNKLDEKDQEIQRLKDELQQKNSAKKGRTDDVSNARD >CAK8563909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638574905:638581502:1 gene:gene-LATHSAT_LOCUS17267 transcript:rna-LATHSAT_LOCUS17267 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAPPFQEAARCVVCSCSFNTFRRRHHCRSCGRTLCNEHSSDQMALPQFGIYSNVRVCADCFNNSRSGKGVPQASSDGVNSITDAVSELDIDANVDSKRPPTADNKLAPGVKECKCGMPLCICEAPATSSDALPQEKKPTPVVTAPSNPKPKKTDNVSKNRSSTSTNKFSSTFNPGNVSSGTSNKPQMDYEVNGEGLREAIKNGDVAAVKKLLKEGVDANYRDKQGLSVLHLAAVFNQTDIVFILMDSGASLEYKNAQGETPLDCAPVTLQYKMRQKIEESRSMDQRN >CAK8575127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36046361:36046868:1 gene:gene-LATHSAT_LOCUS27415 transcript:rna-LATHSAT_LOCUS27415 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVKLSLLPLFLLATFLLMFSMKDVEAVCPISCFLWKPQGCGDGCKCTPISLKYGACKKESSEDVTMMVEKHPNLCESHADCTKKGSGSFCARFLNPEIEYGWCFDSNPHAEASFKNALSSEFSNLLKMPSAVST >CAK8572925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571791894:571792838:-1 gene:gene-LATHSAT_LOCUS25429 transcript:rna-LATHSAT_LOCUS25429 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKGSPYWMAPEVVMNTNGYSILVDIWSLRCTLIEMAASKPPWSQYEGVAAIFKIGNNKDMPEIPEHLSNDVKNFVILCLQRDPLARPTAQKLLEHPFIRDQSATRATTIDVSSYMFDGSRTPPVLEPHSNRRSITSACFRALLYMLELP >CAK8567973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559962347:559969047:1 gene:gene-LATHSAT_LOCUS20977 transcript:rna-LATHSAT_LOCUS20977 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAQALKVYPSSTRLQFSFPHSKFNHPRFSSFSLRTRSPRLQPIICSASNVKPKKHSSSSSTENKNLNNNHKKKKNNNKSGAEASDSNSASGSSRIHIPTQLPRPPAGFVVDDTGKLLKSSNDRLARLVDPANNLPLECVIRRVFTSSEGDECVLLCPLDMPVHVLQSTGDGWSEVSYEELEPLLPAAAFALAKLSIHLVYSGYCYTARGGFSYSEEDILEFHAEGQGSGLATEGVKITHFNQGGAHYMIYAPFDPLVFVAAKDQNGMLQIADEELLEDPAVISARDEETKFNALAVEDEAALLDSLLD >CAK8579399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695866025:695866851:-1 gene:gene-LATHSAT_LOCUS31348 transcript:rna-LATHSAT_LOCUS31348 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFAEIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFTENMDKQYVISKGEALVKGVGTGMLSLVALSITSLATTTPSNSCLPGTKVD >CAK8542636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534024784:534027778:-1 gene:gene-LATHSAT_LOCUS11459 transcript:rna-LATHSAT_LOCUS11459-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVINIPTCLCEDDEYMNCNTAFSCENNTKDLKYPFWGGNRESYCGGVADGINTELTCEDKFSKFTINSVKYRILEWENTTQKLTVARDDYSSRNVCDVNTNIESSTFDNTQFERYSNDVANVTLLYGCDVATGNLANPFYDIDCGQSKYVVFTVAYSASFSAFCTPTRKVVIPILGNLATQLGSGIGIVGDILQDSLQKGFDLKWTGNYSECQRCVASGGACGNGDTQFRCFCDVGAHATSCDSPVLPTSGSKGNWRPIVIGAAGFGVIVFFIIIMIICYLKRGTGRQQKTIFREKRKLVEHNVDKPVEHNVDVFMQNYNLYNPRRYSYAEVKWITSSFREKLGQGGYGVVYKASLIDGRQVAVKVINESKGNGEEFINEVASISRTSHLNIVSLLGFCYEGNKRALIYEFMSNGSLDRFIYKSEFPNAICDFDWNTLFQIAIGIARGLDYLHQGCNSRILHLDIKPQNVLLDEDFCPKISDFGLAKICQRKDSIVSILGVRGTIGYMAPEIFSRAFGGVSYKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNTSNTLLNGLTISEEENDMVKKITLVSLWCIQTNPSDRPPMNKVIEMLQGSLSLVPYPPKPVLFSPERPALPVECISSSDFNETSSITVSK >CAK8542635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534024784:534027841:-1 gene:gene-LATHSAT_LOCUS11459 transcript:rna-LATHSAT_LOCUS11459 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLHHFSSIIVLFFSFTFLMIFVINIPTCLCEDDEYMNCNTAFSCENNTKDLKYPFWGGNRESYCGGVADGINTELTCEDKFSKFTINSVKYRILEWENTTQKLTVARDDYSSRNVCDVNTNIESSTFDNTQFERYSNDVANVTLLYGCDVATGNLANPFYDIDCGQSKYVVFTVAYSASFSAFCTPTRKVVIPILGNLATQLGSGIGIVGDILQDSLQKGFDLKWTGNYSECQRCVASGGACGNGDTQFRCFCDVGAHATSCDSPVLPTSGSKGNWRPIVIGAAGFGVIVFFIIIMIICYLKRGTGRQQKTIFREKRKLVEHNVDKPVEHNVDVFMQNYNLYNPRRYSYAEVKWITSSFREKLGQGGYGVVYKASLIDGRQVAVKVINESKGNGEEFINEVASISRTSHLNIVSLLGFCYEGNKRALIYEFMSNGSLDRFIYKSEFPNAICDFDWNTLFQIAIGIARGLDYLHQGCNSRILHLDIKPQNVLLDEDFCPKISDFGLAKICQRKDSIVSILGVRGTIGYMAPEIFSRAFGGVSYKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNTSNTLLNGLTISEEENDMVKKITLVSLWCIQTNPSDRPPMNKVIEMLQGSLSLVPYPPKPVLFSPERPALPVECISSSDFNETSSITVSK >CAK8538770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495918390:495920829:1 gene:gene-LATHSAT_LOCUS7933 transcript:rna-LATHSAT_LOCUS7933 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSNGNRVHVEQEEEESENLNYRNDKPVTQTLPKVLNRLASGILFPEPADGNSLLRRIKNSVAENACLIPEASRNSAHDVLLWTRRGSPLRALLVISVGTVTFVSLTGLLVFMLFFLTATVNAIVISLLMSLAAAGGFLALFFVCATAIYVGALSIAIFVISVTTFWTIVAVLITSGWIGFFYTVWLITRKSFGLAKHSLSVTGSAITTYSSSRAARHLIHKD >CAK8530130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2137249:2143764:1 gene:gene-LATHSAT_LOCUS22 transcript:rna-LATHSAT_LOCUS22 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGSGNGNGNGGVGSSRSALRGSSSSVDWLGREMLEMRLRDKVEHDHDDDDDRESEPDIIDGVGAETGHVIRTSIGGRNGQSKQNVSYIAEHVVGTGSFGTVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALRHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRINQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPSTRLPNGRPLPPLFNFKPQELSGIPPDVINRLIPEHARKQNLFMALHT >CAK8579666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715221858:715222811:-1 gene:gene-LATHSAT_LOCUS31594 transcript:rna-LATHSAT_LOCUS31594 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDKSPGPDGFNPAFYQKFWHLCGDDIWVAAKNWLDRGFFPDSLNETNICLIPKCTNPHTMKDLRPISQCNVIYKLVSKLLANRLELCLGKCVSEEQSAFVKGRSILDNALISIEVIHSLKRRTTGNKAHLALKIDISKAYDRVDWVFLRDMLRRMGFAEKWIHWMMICVSSVNYSILVNSESVGPILPGRGLRQGDPLSPFLFILITEGLSALIKGAIARGDIHGIQICRGAPSVSHLFFADDCFLFCRANVLEVTHLMELLKVYSLASGQEINLSKSEVFFSRNISILAQEDIARIIGVRLVLGTSTYLGLPSI >CAK8542147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478526367:478526835:-1 gene:gene-LATHSAT_LOCUS11013 transcript:rna-LATHSAT_LOCUS11013 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSMFINVTSLAMICLVLGIPLANAAQTCDEIKTSLSPCLGYLRHQEPTVPVICCNGVRTVTDQAKTVPERKDGCECIKSSLTNIPGLDVGAAQGVLNNCGVKPSFPIGADVDCSKITVEL >CAK8542677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536904880:536905979:-1 gene:gene-LATHSAT_LOCUS11496 transcript:rna-LATHSAT_LOCUS11496 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQNEPNSPNTLVQCRICHDEDEDLNMDAPCSCSGTLQYAHRICVQRWCNEKGDTTCEICLQQLKGYTAPPQAPLFRYRGNWEIPRIGLNNHPFIALFPANHEFLDFDFEYSTPSRRSRLFIRIVAIIFIVLLVLRHTLPIIFILDGVEESSLAVITLLMWGVTGIIVPVYIMVKAIQQLQNQAHNPLVQLQSHDERNMRQSQLRVIRIH >CAK8541791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:395995032:395995807:-1 gene:gene-LATHSAT_LOCUS10686 transcript:rna-LATHSAT_LOCUS10686 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLIGVVGSAFVMGVQIALKLKGIEYEFLKDDLNNKSELLLKFNPVYKKVPVFIHNGKPISESLVIIEYIDETWKQNPILPSDPYQKAQVRFWSQFIQDKIFTPFINAARAVSDEKERDKNLAESSDGLQFLENELKDKFFGGKEIGLVDIAAMFVAFTLPLLHEAAGFNLFTAEKFPKLYKWSQEFLNHLIVKEIVPPKEPFLAYFKARIELRLSASK >CAK8572485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539962055:539962333:1 gene:gene-LATHSAT_LOCUS25047 transcript:rna-LATHSAT_LOCUS25047 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYALCFELILYGLLSCLQPKPYPGKSVTAKHFVAIFLTTYSFVSSARTGAVRIKLGESLTTQPDC >CAK8533867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666281657:666282559:-1 gene:gene-LATHSAT_LOCUS3457 transcript:rna-LATHSAT_LOCUS3457 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRFIIEASDVKSMGQSTGLALTQLLPTIVKSAQPLARVPISKFHVAAVGVGISGRIFIGVNVEFPGLPFHHTIHAEQFLLTNLFHNKETQLQYLAVSAAPCGHCRQFLQEIRGASDIPLLITSESEFTSLSKFLSHPFGPHDLLPKHVPLLLEPRNNNLSFLDTGSNGYNNNDNTNQSSISKLKVAALEAANESHAPYSESPSGVALLDSRGNVYKGSYMESAAYNPSLGPVQAAIVGFIAGAGDNAAEYGELVEAVLVEKDEAVVKQESTARLLLSSIAPQCGFNTFLCISNNKKI >CAK8568008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562542474:562545939:-1 gene:gene-LATHSAT_LOCUS21010 transcript:rna-LATHSAT_LOCUS21010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRNTQRDDQPGSSSKNQMGPNFRSLSSCNRTGRSSSSNRAVQRSASARMASKNSSHEVMINDIVGDGISGILYKWVNYGRGWRPRWFILHDGVLSYYKIHGPDKLILNRETEKRSKVIGDESLRRISSHRHCPSRHQSPVSEIHLMLSSVRENKSDERRFSICTGMKKRIHLRAESKEDRKMWMEAMMTVKNMYPRLPTATEIMSPSVSVVISTDKLRERLLQEGVNEVSIRECEDIMRAELIQLHTYILALKKKQLLLTDTLRNLETEKVDLENTLVEDQRQTKDEGDSYLSSHEHYSDGSGSEYSDEHDRDDNTDDENDAFFDTYEILSTSSFRSNGSSDHLTSNNESEYEEASSPTGKCDSKLPIRSNYPYIERRKKLPDPVEKETGVSLWSIIKDNIGKDLTKVCLPVYFNEPISSLQKCFEDMEYSNLLDQAYECGKMGDNLMRILYVAAFAVSGYANTNGRSCKPFNPLLGETYEADYPDKGIRFISEKVSHHPMIVACHCDGHGWKFWGDSNLKSKFWGRSIQLDPIGYLTVEFDDGEVFYWSKVTTSIYNLILGKLYCDHYGTMNIEGNREYSCKVKFKEQSIIDRNPHQVQGIVEDKNGKTAATIFGKWDESLHYIIGAYSGKGKRSNVSSKPQMLWKRNQLPEQQTRYNFTEFALTLNEITPGLKEKLPPTDSRLRPDQRCLENGEYEMANSEKLRLEKRQRQARNMQETGWKPRWFAKEKNSKTYRYIGGYWETREKGTWDNCLDIFGQISVDADQEFNSTS >CAK8567256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498222289:498228714:-1 gene:gene-LATHSAT_LOCUS20322 transcript:rna-LATHSAT_LOCUS20322-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKVRLRLFFDDPNMLSKSKKKEGLKRCWFLLKPHLTTISDLTSHLQTLFRLHRTSPAGITLSMDGFVLPSFESTCILKDKDILCVKRKGSRLTDSKTAMLPLEARENQSIEALNLLAIEGFQEEKPEYETVSLDDEDDYDDDDDDDDQPEDVLYVESKSDVNTTSKKRKASKKLKRPSQKKIKMSTTENVSVIPEVDDEENVSIKDGTHHQPSPAKKSSKKLSKKSSNLDLVKQKDDKNGSTSDETRSLQPQGEGETKKLPSRSARRKKAKRKWLRELKLEKEKEKEKEKENAKENESENENENETETENETETETENETEKEKEKEKKKEKEKEKEKEKEKVLEKDDQQLPIKEKNCLVADVHQQSDEESEAEDDMVPVEIKPGHIRFQSRGKGQAVPENQFPVDTFQWSGTTSKKKGQKWGKERTSSHKQDDYEQPRQDFPTVQNAGEKKTFDTVDFEKLTPYTDLPKVIILFDAVDFEKLTPYTDLPKEGIVIAYRLIELSESWSPEISSFRVGKVTKYDSKLNRIWLETVSEFPFDFRKKIDDLDDDATPAQYDPSPYQEDGSLEIDYVSLADVRIIKHGHSNLATVVASNDALVTPTKATNNSTDALVTPTKATNNITHEKRVGNQTPVGSSKPQKEGHTPAKENGEVNVWDEINEALKAKKARLSQGDCWKKDDISSNRPWSQRAKCSALGPTMALLRSQNGFKK >CAK8567255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498222289:498228714:-1 gene:gene-LATHSAT_LOCUS20322 transcript:rna-LATHSAT_LOCUS20322-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKVRLRLFFDDPNMLSKSKKKEGLKRCWFLLKPHLTTISDLTSHLQTLFRLHRTSPAGITLSMDGFVLPSFESTCILKDKDILCVKRKGSRLTDSKTAMLPLEARENQSIEALNLLAIEGFQEEKPEYETVSLDDEDDYDDDDDDDDQPEDVLYVESKSDVNTTSKKRKASKKLKRPRFVSSHFLTVVPCKASKKLKSPSQKKIKMSTTENVSVIPEVDDEENVSIKDGTHHQPSPAKKSSKKLSKKSSNLDLVKQKDDKNGSTSDETRSLQPQGEGETKKLPSRSARRKKAKRKWLRELKLEKEKEKEKEKENAKENESENENENETETENETETETENETEKEKEKEKKKEKEKEKEKEKEKVLEKDDQQLPIKEKNCLVADVHQQSDEESEAEDDMVPVEIKPGHIRFQSRGKGQAVPENQFPVDTFQWSGTTSKKKGQKWGKERTSSHKQDDYEQPRQDFPTVQNAGEKKTFDTVDFEKLTPYTDLPKEGIVIAYRLIELSESWSPEISSFRVGKVTKYDSKLNRIWLETVSEFPFDFRKKIDDLDDDATPAQYDPSPYQEDGSLEIDYVSLADVRIIKHGHSNLATVVASNDALVTPTKATNNSTDALVTPTKATNNITHEKRVGNQTPVGSSKPQKEGHTPAKENGEVNVWDEINEALKAKKARLSQGDCWKKDDISSNRPWSQRAKCSALGPTMALLRSQNGFKK >CAK8567254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498222289:498228714:-1 gene:gene-LATHSAT_LOCUS20322 transcript:rna-LATHSAT_LOCUS20322 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKVRLRLFFDDPNMLSKSKKKEGLKRCWFLLKPHLTTISDLTSHLQTLFRLHRTSPAGITLSMDGFVLPSFESTCILKDKDILCVKRKGSRLTDSKTAMLPLEARENQSIEALNLLAIEGFQEEKPEYETVSLDDEDDYDDDDDDDDQPEDVLYVESKSDVNTTSKKRKASKKLKRPSQKKIKMSTTENVSVIPEVDDEENVSIKDGTHHQPSPAKKSSKKLSKKSSNLDLVKQKDDKNGSTSDETRSLQPQGEGETKKLPSRSARRKKAKRKWLRELKLEKEKEKEKEKENAKENESENENENETETENETETETENETEKEKEKEKKKEKEKEKEKEKEKVLEKDDQQLPIKEKNCLVADVHQQSDEESEAEDDMVPVEIKPGHIRFQSRGKGQAVPENQFPVDTFQWSGTTSKKKGQKWGKERTSSHKQDDYEQPRQDFPTVQNAGEKKTFDTVDFEKLTPYTDLPKEGIVIAYRLIELSESWSPEISSFRVGKVTKYDSKLNRIWLETVSEFPFDFRKKIDDLDDDATPAQYDPSPYQEDGSLEIDYVSLADVRIIKHGHSNLATVVASNDALVTPTKATNNSTDALVTPTKATNNITHEKRVGNQTPVGSSKPQKEGHTPAKENGEVNVWDEINEALKAKKARLSQGDCWKKDDISSNRPWSQRAKCSALGPTMALLRSQNGFKK >CAK8536153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912214984:912215895:1 gene:gene-LATHSAT_LOCUS5549 transcript:rna-LATHSAT_LOCUS5549 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFTMVVIEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIKVQEDDELRRTVEDYQNENVNGDTRNYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIEIEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKFGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8563698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625725774:625732135:1 gene:gene-LATHSAT_LOCUS17080 transcript:rna-LATHSAT_LOCUS17080-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMHQSNAAAAALYDHAGAVPLHGAAAGTANDAGDAVMARWLQSAGLQHLASPLASNAIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRGLNFNGESGSEPYTPTSQTLGGVAVSDGFYSPDFRGDFGAGLMDLHAMDDTELLSEHVISEPFEPSPFMPGGTREFEDDFNSVSSKQEGEAIADASHFLPVHEKDTNTRENNVAKIKVVVRKRPLNKKELAKKEDDVVTVYDNAYLTVHEPKVKVDLTAYVEKHEFCFDAVIDENVTNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTFTMQPLPLRAANDLVRQLHRPVYRNQKFKLWLSYFEIYGGKLYDLLGDRKKLLMREDGRQQVCIVGLQEFEVSDVQIVKDFIEKGNAARSTGSTGANEESSRSHAILQLVVKRHNEVKESRRKNDENEAKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSRKDQAPNPVRTVDTGRKVIEKEPSLYSSAADVDKQPSSFSSSFLFNGREEKGLPSVSMDRNRLEVKNVTSQKMNPYSQNDADEKVLKVSPPRRKGTKEEKPERSSNWSKRDTNGSDHFITSSKQQSTGSFNTVTTGSRQPETESSPDVNNSAVLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVSQLSFLLSRKEASLVSLQARLARFQHRLKEQEILSRKRVPR >CAK8563699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625725774:625732135:1 gene:gene-LATHSAT_LOCUS17080 transcript:rna-LATHSAT_LOCUS17080 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMHQSNAAAAALYDHAGAVPLHGAAAGTANDAGDAVMARWLQSAGLQHLASPLASNAIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRGLNFNGESGSEPYTPTSQTLGGVAVSDGFYSPDFRGDFGAGLMDLHAMDDTELLSEHVISEPFEPSPFMPGGTREFEDDFNSVSSKQEGEAIADASHFLPVHEKDTNTRENNVAKIKVVVRKRPLNKKELAKKEDDVVTVYDNAYLTVHEPKVKVDLTAYVEKHEFCFDAVIDENVTNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTFTMQPLPLRAANDLVRQLHRPVYRNQKFKLWLSYFEIYGGKLYDLLGDRKKLLMREDGRQQVCIVGLQEFEVSDVQIVKDFIEKGNAARSTGSTGANEESSRSHAILQLVVKRHNEVKESRRKNDENEAKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSRKDQAPNPVPQSNKEVFSTLSLPDSAGAEDFYDQRQQVRTVDTGRKVIEKEPSLYSSAADVDKQPSSFSSSFLFNGREEKGLPSVSMDRNRLEVKNVTSQKMNPYSQNDADEKVLKVSPPRRKGTKEEKPERSSNWSKRDTNGSDHFITSSKQQSTGSFNTVTTGSRQPETESSPDVNNSAVLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVSQLSFLLSRKEASLVSLQARLARFQHRLKEQEILSRKRVPR >CAK8538232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472234697:472238080:1 gene:gene-LATHSAT_LOCUS7454 transcript:rna-LATHSAT_LOCUS7454 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRPFFLFLLTCFQIHQAFSNDRKTYIVYMGDHPKGIDPATLPSLHSTMAQNVLGSDFEPGAVLHSYKKSFNGFVVKLTEDEAETLAEMDNVVSVFPNRKHYPSTTKSWDFIGFPQNSKRLSLESDIIVGVIDSGIWPKSKSFSDEGFGPPPKKWKGSCHNFTCNNKIIGAQYFNIKGSYGKKDIKDPTDVNGHGTHCASTVAGNVVNPVSLQGYASGTARGGVPSARIAVYKVCWETGCDDAAILAAFDEAIADGVDVISVSLGSNQVVVVPYFQNSIDIGSFHAMKRGIFTSNAANNLGPNLFTMTNFAPWLLSVAASTFGRKFVTKVQLGNGAIYEGATINTFDLKRKMYPIVFARDIPNTAGGFNSSASRLCSKDSVDKQAVKGKIVLCEGLVSATVIGFFSGAVGVIFGGTSAQDAPFIFALPTTLLSLWNFREIQYYMKSTRNPTATIFKSEEVEDSLSPFIASFSSRGPNPLTLNTLKPDIAAPGVSVLAAWTPLDPISEFENDNRILPYQILSGTSMACPHAAGAAAYVKSFHPKWSPAMIKSALMTTATPMNSDISTEAELAYGAGLINPVKATNPGLVYDISEADYAEFLCGEGYTSKELRILTQDKSNCKGKDNEKAVYSLNLPSFALYVNGTFFGYVYHRTVTNVGSAKSSYKARVISSPLLEIQVKPDVLSFTSIGQKKSFSLTIEGRTNVELMSSTLIWDDGNHQVRSPVVVFGEGSEA >CAK8567185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493082454:493087245:1 gene:gene-LATHSAT_LOCUS20258 transcript:rna-LATHSAT_LOCUS20258 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQSSPSLFGTPSTTPAFGTPNFGTPSSTPGFSTPGFGTPSSTPAFGASSTPAFGGSSLFSSPFSSQQQQQPQQQQQTSLFQQSPSTGFGFQNSLQAPQATPFPNSQLTTQMANVAPVPFSLADRDIQAILDAYKEDPGNPKYAFKHLLFSVTDPQFRAKPAGVSDIMWAEAMGKLEGMESADRERLWPQHVQGFKDLSQRLKLQDEVIVSDAERLSVTQSNVKMLQRHFQADTLPWIQRLKQKEQILQQRILRVMRIVEALEGKGCRIPLTKGEAELAEKLATITRQLKGSGAELSRRVQNLLGVSRVKANSNGFGNSGYLPGSTKIHEQSLTDLQEVLHQQMDAIARLGSVLKRDARNMEIMTAEDTETTENGYSM >CAK8540151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542391260:542394923:1 gene:gene-LATHSAT_LOCUS9184 transcript:rna-LATHSAT_LOCUS9184 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTCQRTFAFFNLFLAVLVANSTFCFSAKVYVVYMGSKSNDQDPDNILKQNHQMLAEVNRGSIEQAQASHIYSYKHGFKGFAAKLTEEQAYQISKMEGVVSVFPNSKRMLHTTHSWDFMGLLDDETMENMGYSNKNQANVIIGIIDTGIWPESPSFRDTDMPPVPRRWKGRCQIGEAFNASSCNRKVIGARYYMSGYEAEEGSDKKVSYRSARDSSGHGSHTASTAAGRYVADMNYKGLAAGKARGGAPMARISVYKTCWNSGCYDVDLLAAFDDAIRDGVHIISLSLGPQSPQGDYFSDAISMASFHAARHGVLVVASAGNEGTPGSATNLAPWIITVAASSTDRDFTSDIILGNGVNIKGDSLSLLEMNASRRTIPASEAFAGYFTPYQSSYCLDSSLNQTKTKGKVLVCRHDEGSMASKLEKSRVVKEAGGVGMILIDETDQEVAIPFVIPSAIVQAKTGEKILSYINSTSIPVSRISRAKTVVGVQPAPRAAAFSSKGPNSLTPEILKPDVLAPGLNILAAWSPAAAGNMKFNILSGTSMSCPHVTGIAALIKAAHPSWSPSAIKSAIMTTATIVDKQNKPIKADPDRRRADAFDYGSGFVNPTRVLDPGLVYDSQPEDFVAFLCSIGYDEKSLHLVTRDNSTCAGAFKTPSDLNYPSITVPNLEDSFSATRVVTNVGKARSIYEAEVLSPDGVNVTVVPNRLVFTRTGQKIKFTVNFKVIAPIKDYAFGFLIWNNRISQVASPLVVKIATASLGLVR >CAK8537729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425398996:425399226:1 gene:gene-LATHSAT_LOCUS6991 transcript:rna-LATHSAT_LOCUS6991 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEDERHTYEGNFDYSYDNVDNNNSTTETFSGPHPNLATRLQRRASIREKQVHRQLQGNLVDYIWEHFGHEYDEI >CAK8565005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24128011:24129852:-1 gene:gene-LATHSAT_LOCUS18247 transcript:rna-LATHSAT_LOCUS18247 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIAELENLQTLNVFVVGKKNIGLSVRELGKFPKLRGKVVIKNLQNVIDVMEASDTNLKSKEHIEELTLQWGEETDDTLNERNVLDMLQPSANLEELSIISYGGTSFPSWLGDPSFSNMVFLSISNCVNCMTLPPLGELPSLKILRIHNMPILETIGQQFYGMAAGGTNSSFQPFPSLEKLIIEKMSNWKEWHPFQGEVFPFLRLKTLELSKCPELRGHLPSQLPSIEEIKIYHCDHLLATPPSQHWLSFIKKLDITGDLNSESNTERTQCSLLESDSPCLVQGIVIRNCHMLKSVPKMIINSTCLRHLTLYGINSLNVFPTNGLLTSLQSLIIDKCENLTFLPLEKWSSYTSLMSLNLWRSCNALTSFPLNCFPMLQNLSIRECRSLESIFISETSSCSSSTLQSFYVSECEALRSLPQRMETLTSLETMYLRNLPNLNLSLCEGAFLPPNLQSIHIDSVRIKKPVTEWGLQGLTVCSSMLIEGEDNVNMLLKEPLLPISLVFLHIKSLSEMKSLEGNGLGHLSSLERLDISDSPGLVSLPEKAFPSMLKTLYFRNCPRLESLPEDRLPSSLEDLIIIRCPLLEERYKRNEHWSKIAHVPVIKINNQVTI >CAK8538885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498848391:498849698:-1 gene:gene-LATHSAT_LOCUS8034 transcript:rna-LATHSAT_LOCUS8034 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSLKIKTSTCKRLVKELDSYEKEVLRESAKTADMKDKGADPYDIKQQENVLAESRLMVPDSRKRLEAALEDLKGTLAELLKETDVKEGIEIDDARNTIVKVEEVFET >CAK8577977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599789634:599790032:1 gene:gene-LATHSAT_LOCUS30031 transcript:rna-LATHSAT_LOCUS30031 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSFITALLLVVTMSSMILEARQLLQTTTQPNLPGIPSFPKPTTLPPLPSIPTLPQASLPPLPVPTNIPSLPKLTMPPLPRFPTNIPTIPSLNVPPLPAATSLPNLPSIPTIFPSIPFISPPPSSPSSP >CAK8574894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13992598:13995361:1 gene:gene-LATHSAT_LOCUS27193 transcript:rna-LATHSAT_LOCUS27193 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLGSLETYKPHNNDLASPPNGTVSTIQKSTSSTTLASSGSTLGSHLARRLVEVGVTDVFTVPGDFNLTLLDYLIAEPKLKNIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLAGIPHPTFSREPVPFSLSPKLSNEAGLEAAVEAAAEFLNKAVKPVLVAGPKLRVAKASEAFTELADKSAYPYAVMPSAKGLVPENHQHFIGTFWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKSIIVEPNRVVIGNGLAFGCILMKDFLSALAKRIKTNNTAYENYHRIFVPEGVPLKSEPREPLRVNVLFQHIQNMLSSKTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVPDKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGKCWTTKVTCEEELVEAIATATGVKKDSFCFIEVFAHKDDTSKELLEWGSRVSSANSRPPNPQ >CAK8536247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921727191:921728096:1 gene:gene-LATHSAT_LOCUS5635 transcript:rna-LATHSAT_LOCUS5635 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKVETGHQDTVHDVAMDYYGKRLATASSDHTIKIIGVSNSASQHLATLTGHQGPVWEVAWAHPKFGSLLASCSFDGRVILWKEGNQNEWIQAHVFDDHKSSVNSVAWAPHELGLCLACASSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSMAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLSNGQWKMDCFPALQMHNDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVGKEGDHWEGRVLNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVEP >CAK8535363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843696683:843701961:-1 gene:gene-LATHSAT_LOCUS4828 transcript:rna-LATHSAT_LOCUS4828 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSFSPDMENYDSSQRLLATMRDHFGSVNCVRWAKHGRFVASGSDDQAILIHERKPGSGTTEFGSGEPPDIENWKVTMTLRGHSADVVDLNWSPDDSSLASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTDGHWSKSLGSTFFRRLGWSPCGHFITTTHGFKKPRHSAPVLERGEWSATFDFLGHNAPIIVAKFNHFMFRKNSSNTQEAKSEPAGWTNGASKTGCTEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLEGSVAKFHFDVKELGQILGDAELDELKRSRYGDVSGRKANLVESPAQLLLEAASTKQTSSKKVVSDVQQNKTMAKACVSAGDTIKNAKPQVDNNKKSGGPVGDKSNMLTTSSQVSRSVKQKEYRRADGRKRIIPEVVGVPVQQENISGAAPQALGFPLVSSEHRKNSDRAMPNDDDMRASTLGGPHIRHSDSKERSGITARATISEGLIIEKVPDSAGDGSINVQQLGSSTNSSSLAACNAKLSIRVFDKKGGEDSPPLLLEACPREHTVNEIVGLGNTSMTKETEIVCTRDAQALWSDRISGKVTVLAGNANFWAVGCEDGCLQIYTKYGRRAMPTMMTGSSATFVDCDECWTLMLVTRKGSLYLWDLFNRTCLLQDSLTSLVASSPNSSTKDAGTIKIISAKLSKSGSPLVVLATRHAFLFDMSVKCWLRVADDCFPASNFASSWSLGSIQSGELAALQVDLRKYLARKPGWTRVTDDGVQTRAHLEAQLASSLALGSPNEYRQCLLSYVRFLAREADESRLREVCESFLGPPTGMAEEPSSDSNNLAWNPFVLGLTKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDIVDANQDQLNLVFRKSSPPATKPIESSSLAADKEDSAQAKFN >CAK8565972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:363287583:363289918:-1 gene:gene-LATHSAT_LOCUS19145 transcript:rna-LATHSAT_LOCUS19145 gene_biotype:protein_coding transcript_biotype:protein_coding MINTVTLHPTTRLSSLPLPPHLTHFPPISHSSPFLLPKLKLNLKPIFSLRSPSRRKLPSATMLHHDPVVSDFIATAISGVVATSFLRLWEETAKRGLFDQKLNRKLVHISIGLVFMFCWPLYGTSEWGPYFAAFIPGVNILRMLAIGLGVWKDEGTVKSMSRFGDYRELLKGPLYYAAAITFACIIYWRTSPVSIAAICNLCAGDGMADVVGRRFGGKKIPYNQNKSYAGSIAMASAGFLASIGYMWYFSSFGYMEGSWNMVIGFLVVSVITAIVESLPISTDLDDNLTVPLTSILVGSMIF >CAK8544130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666008567:666011359:1 gene:gene-LATHSAT_LOCUS12833 transcript:rna-LATHSAT_LOCUS12833 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAPSASLLSPNPNTLFSPKFSSPRLASLSIPNASNSLPKLRTSLPLSLNRSSSSRRSFVVRASSELPLVGNSAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFAELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAV >CAK8562759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533624240:533624662:-1 gene:gene-LATHSAT_LOCUS16228 transcript:rna-LATHSAT_LOCUS16228 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTCSSLAIFLTINLLFFSLVSACGSYSCNPTPKPTPKPKPNPNPNPTTPSSGTCPRDALKLGVCANVLKGLLNLTLGQPPVTPCCSLLNGLVDLEAAVCLCTALKANVLGIHLNLPISLSLLLNVCSRKVPRDFQCA >CAK8543322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600002914:600006671:1 gene:gene-LATHSAT_LOCUS12087 transcript:rna-LATHSAT_LOCUS12087 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNFYVVATNVKYGPYIIRSLVGIVPEIFVAIYTHRWTGRFEANLWDHNSKLEGRVRKGRQGGYDSEENAARAYDLAALKYWGQTASTNFLVSDYAKEIEEMKHEGKREYITSLRRKNNGFYRGTSKYRGVTRHRQSGKWQARIGRVAENKDRYLGTFETEEDEAAEASDVAALKYKGANAITNFDSSIYNMEYISKNPIPIGQASKRLKPSQESEKKAPRSRTVQPPCTNMRKFCFWKTVLVKPKD >CAK8542288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498892656:498899872:1 gene:gene-LATHSAT_LOCUS11135 transcript:rna-LATHSAT_LOCUS11135 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMNHGKDFLSWVDPDTSLKIFKCLDDPADLVRVSCVSRSWRGFVVANGLSKQLCLRMFPQLSRVACVVELNQDGVKEHTEVGSSHSNECLALWKDHRVYSYLARSCLSPVATDCIATAISASSTDNFPQESIDNTLDERDNISGRFSYWSSSGQSNPNVPETLTYQLASQICVITEINIQPFQAHFQMGSPIYSAKSVRFKMGHLKASLDDLSDVFVWTYTSPEFPMAQENRLQKFKLPEPVLCIGGILQIELLERVQSQEMDGLLYICITHVQALGIKLSPAFSVDILGPSGKFVLKRDHMTNNSQPPVTSENETGAALTDRDFQQIVTILRGHVMEVGAGDGWEEMEYEVDDIDEDNAF >CAK8563400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600410298:600412217:1 gene:gene-LATHSAT_LOCUS16817 transcript:rna-LATHSAT_LOCUS16817 gene_biotype:protein_coding transcript_biotype:protein_coding METTKQPKKKKWFVPLIFSLLLTTFLILLSIFISSDSSSLLYLSRSRTNQNSPHFVESKLRVSSTSPINSVPRIAYLISGSAGDGESLKRTLKALYHPRNQYAVHLDLEASLRERLDLASFVRNEPLFAELGNVKMIVKANLVTYRGPTMVTNTLHAAALLFKEAGHWDWFINLSASDYPLLTQDDLLHTLSSIPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDVFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYMLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDNPPKQHPHFLTADHYQSMIDSNAPFGRKFGRNEPLLDKIDTELLGRNDHGYVPGTWFSRANPNITKPYSYIRNITELRPGPGAGRLKRLINGLLSAEDFQKNQCS >CAK8578805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655267843:655270211:-1 gene:gene-LATHSAT_LOCUS30789 transcript:rna-LATHSAT_LOCUS30789 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPTRNSRTCTTGILTWPENSSPTAFTGHRPHQPSHDIGDVLHGKKLTENEEHNLAKWKPSLGYSRVKEATGNGIFLPNAENTTSKANAAKSKDSGIFSANAEDTTSEANAASSKDRTSIRVYQQAMDGISKILLNAEESISPEKPASLPGAAKQRELGGTLQNEPGTNSKKLMSSSKTKEISGNDIFATPRETTPRSLAAPKSNAAGIRSNILSEESVQKNSKKMHGQKFVELTGNNIFKGDVTPESVEKPLSMVAKLREMKGSDIFADGKAENRDRLLGARKHAGGGSNIFSDGKAENRDRLLGARKHAGGGSNIFSDGKAENRDRLLRTHACGGSNIFSDGKAENRDRILGARRPPGGASSIVLD >CAK8540811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23012086:23012250:1 gene:gene-LATHSAT_LOCUS9778 transcript:rna-LATHSAT_LOCUS9778 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAHGYHADHNLRWRGVNARL >CAK8532906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:548288504:548294205:-1 gene:gene-LATHSAT_LOCUS2568 transcript:rna-LATHSAT_LOCUS2568 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHRSPSSSYKTVLPPQELLDDLCSRFVLNVPQEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSLSLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETFERCLLVKGWKGSSWSFPRGKKSKDEEDHACAVREVLEETGFDVSKLLNKDEYLEVIFGQQRVRLYIIAGVKDDTSFAPQTKKEISEIAWQRLDDLQPSSDEVISRGITGLKLYMVSPFLASLKSWISSHQPPMAPRPDLPLKGICVWKAKHSSTGSSSTFMESQPTKTEPDSQPLDVGPGRSFRNFRFSTTQILQAMEASFS >CAK8538655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490674623:490682006:1 gene:gene-LATHSAT_LOCUS7831 transcript:rna-LATHSAT_LOCUS7831-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRPGASNTVFKSGPLFISSKGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRNETTDSIEGSFNQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQAADVEEVDRRVQEYEQGKVEFDAEEDSHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIASHSNENRMTASAVAACMAPLLLRPLLAGECELEDEFDGSGDSSAQLLAAANAANNAQAIITTLLEEYENVFDEENIQRCSISADSRVENSGTEDSTDDDNIDNKENGYHDAENENDQETDDDADRVHSGKLSESSGYAGSDLYDYKAYGGDDSDVGSSTSNHAKAGNSNRNAVPDIHLSEDKSKQRKGNENSVDEKDVPIVLPSTESYRSMGEILSSMDPGNHLPVPGIESGSGKQTGKASSGTSFSSKRSTFWGRSNPRKSPSVESVDSSGEEELAIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGHISNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLTDVGGDRYQHAQNLPQPRFLQQDFDSTLAYCNHERKQRTEESVLGNDWRNIKGQVLASGNGTRQSSRKPFIDSSPSDSKSTEASTSMSVDELGVVDSGSVPSTSRAAEVTEYGRHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDMVYKPTSPSWS >CAK8538654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490674623:490682006:1 gene:gene-LATHSAT_LOCUS7831 transcript:rna-LATHSAT_LOCUS7831 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRPGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRNETTDSIEGSFNQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQAADVEEVDRRVQEYEQGKVEFDAEEDSHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIASHSNENRMTASAVAACMAPLLLRPLLAGECELEDEFDGSGDSSAQLLAAANAANNAQAIITTLLEEYENVFDEENIQRCSISADSRVENSGTEDSTDDDNIDNKENGYHDAENENDQETDDDADRVHSGKLSESSGYAGSDLYDYKQAYGGDDSDVGSSTSNHAKAGNSNRNAVPDIHLSEDKSKQRKGNENSVDEKDVPIVLPSTESYRSMGEILSSMDPGNHLPVPGIESGSGKQTGKASSGTSFSSKRSTFWGRSNPRKSPSVESVDSSGEEELAIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGHISNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLTDVGGDRYQHAQNLPQPRFLQQDFDSTLAYCNHERKQRTEESVLGNDWRNIKGQVLASGNGTRQSSRKPFIDSSPSDSKSTEASTSMSVDELGVVDSGSVPSTSRAAEVTEYGRHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDMVYKPTSPSWS >CAK8538653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490674623:490682006:1 gene:gene-LATHSAT_LOCUS7831 transcript:rna-LATHSAT_LOCUS7831-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRPGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRNETTDSIEGSFNQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQAADVEEVDRRVQEYEQGKVEFDAEEDSHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIASHSNENRMTASAVAACMAPLLLRPLLAGECELEDEFDGSGDSSAQLLAAANAANNAQAIITTLLEEYENVFDEENIQRCSISADSRVENSGTEDSTDDDNIDNKENGYHDAENENDQETDDDADRVHSGKLSESSGYAGSDLYDYKAYGGDDSDVGSSTSNHAKAGNSNRNAVPDIHLSEDKSKQRKGNENSVDEKDVPIVLPSTESYRSMGEILSSMDPGNHLPVPGIESGSGKQTGKASSGTSFSSKRSTFWGRSNPRKSPSVESVDSSGEEELAIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGHISNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLTDVGGDRYQHAQNLPQPRFLQQDFDSTLAYCNHERKQRTEESVLGNDWRNIKGQVLASGNGTRQSSRKPFIDSSPSDSKSTEASTSMSVDELGVVDSGSVPSTSRAAEVTEYGRHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDMVYKPTSPSWS >CAK8565352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:149014664:149046060:-1 gene:gene-LATHSAT_LOCUS18573 transcript:rna-LATHSAT_LOCUS18573 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDTIMMTTTATTSDLNRTGTVERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESLLIWFSGKEEKRLKLTNVSRIISGQRTPIFQRYPRPAKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWRTESRSDGFPSEINSPRTYTRRSSPLHSPFGSNESLQKDSGDHLHIHSPYESPPENGLDKAFADATYYPIPPKGFFPSDSVSGSVHSVSSGGSDSVHGPMKTMPMDAFRVSLSSAVSSSSQGSTRDDGDALGDVFIWGEGTGDGVLGGGVRRVGSCLDVKMDSLLPKALESAVVLDVQNIACGGQHVALVTKQGEIFSWGEESGGRLGHGVDSDVPHPKLIDSLSNTNIELVACGEYHTCAVTLSGDLYTWGDATYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSSGKLFTFGDGTFGVLGHGDRKSVSLPREIESLKGLRTVQAACGVWHTAAVVEVMVGNPSSSNCSSGKLFTWGDGDKGRLGHGDRESKLVPTCVVALIEPNFCQVACGHSMTVALSRAGHVYTMGSCVYGQLGNPQSDGKLPTRIEGKLSKSFVEEVACGAYHVAVLTSRTEVFTWGKGSNGRLGHGDTDDRNSPTFVEALKDKQVKSIACGINFTASICLHKWVSGVDQSMCSGCRVPFNFKRKRHNCYNCGLIFCHSCSSKKSVKASMSPNPNKPYRVCDNCFNKIKKTTETDSSSQSSISRRGSINQGSLESIGKDDKLDSRSQNQFSRFSSMESLKQVDRRSSKKNKKMEFNSSRVSPVPNGGSHWGAMHISKSSNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTTPKIVVDDTKRTNDSLGQEVVKLRSQVENLTRKFQLQEDELEKTTKQLKEAIAIASEETAKCKAAKEVIKSLTSQLKDMAERLPIGAVRNVRSPSNSTPGSNDLSVASIDRLNIQATSPESDVTGSYSHLFSNGSNTVTDRSAGHCKHSQSDAASRNGSKPKENESRNESEWVEQDEPGVYITLTSLASGAIDLKRVRFSRKRFSEKQAEHWWAENRVRVYEQYNVRTIDKSTIGIGSMDLAR >CAK8562672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524460525:524474867:1 gene:gene-LATHSAT_LOCUS16150 transcript:rna-LATHSAT_LOCUS16150 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATANAAFTFAVNKPMLLFPSSSRTVSPIKAIKSSLRNSISTDFVAPSTAISSSSSHFSGHKLRLPCINPASFRSSGAKRCVITMVIPFTRGHAREQPPPDLASYLFKNRIVYLGMSLVPAVTELMVAEFLHLQSEDEKQPIYMYINSTGTTKGDKKLGYETEALAIYDIMRHVKPPIFTLCVGNAWGEAALLLASGAKGNRSALPSSTIMIREPIARFQGQATDVNLARKEITRVKKELVKLLARHTEKTTEQIEADVRRPKYFSPSEAIEYGIIDKVLYNERGSKDHGVVSNLKKAQLI >CAK8538914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499478075:499479091:-1 gene:gene-LATHSAT_LOCUS8062 transcript:rna-LATHSAT_LOCUS8062 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGRFLKFFVNPSPKQWSFLSLRYQKFQSVPFMSVSSSAGKITAASTNCKYGVNTLHTYSAVELSEKLEAEDCMWTKMQEEATVDVTVEPILSGYYHVSIFSHRSLETALANLLAVKLSSVSLSSATLYDLFVRVLEHDAEIMDAVKNDMKAVKERDPACITHVHCFLNFKGFLACQAHRVAHNLWSNGRKVLAVIIQNRVCEVFGVDIHPGARFGSGILFDHATGIVVGETAVIGNDVSILHGVTLGGTGKAGGDRHPKIGDGVLIGAGTCILGNIKIGDSAKIGAGSVVIKEVPPRTTVVGNPAKLIGGNNNPIKHYKIPSHTMDHVSHISEFCD >CAK8577784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588317649:588318830:1 gene:gene-LATHSAT_LOCUS29857 transcript:rna-LATHSAT_LOCUS29857 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPATRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVADDLPYGWIDKCLDFCNYFLTRVIEYQKLITRNPIFLERVEGVGVVGREEVINWGLSGPMLRASGIQWDLREVDNYECYEELDWEVQWQKEGDSLARYLVRIGEMVESIKIIQQALEGIPGGPYENFEIRCFDREKEPEWNDFEYRFIGKKPSPTFELPKQELYVRIEAPKGELGIFLIGDQNGFPWRWKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >CAK8573086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582244487:582244867:-1 gene:gene-LATHSAT_LOCUS25575 transcript:rna-LATHSAT_LOCUS25575-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQKFLKKQPSSRLTTAFRPFQTANSTFPNNQEQGLPTEPFQISPIITHQFVGSSSSTLIFPSFPFGFALKPVFENGILSHQSEDTELEDSRTLWADSVKKKRKKKMNKHKYQKLRKRMRRQT >CAK8573085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582244487:582246781:-1 gene:gene-LATHSAT_LOCUS25575 transcript:rna-LATHSAT_LOCUS25575 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVFSSSMASLLQKFLKKQPSSRLTTAFRPFQTANSTFPNNQEQGLPTEPFQISPIITHQFVGSSSSTLIFPSFPFGFALKPVFENGILSHQSEDTELEDSRTLWADSVKKKRKKKMNKHKYQKLRKRMRRQT >CAK8533776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659431955:659433454:1 gene:gene-LATHSAT_LOCUS3374 transcript:rna-LATHSAT_LOCUS3374 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIVALFFFLCIAFQIHRRKLCKYPVFIDYPFLGMFPPVLWNLWRIHDFVTEALKQQHRSTCEFMGPWFTNMNYLVTSDPINVHHIMSKCFDNYVKGDEFHEIFEAFGDGIFASDSEVWKYNRSLFHSIFKQKDFELFQEKIIQNKMERSLIPLLDHVQQQGLVVDLQDIFNRFTFDNICSIVLGCDLNCLSIEFPDVACEKAFNQIEECIFYRHKVPKSIWKLQKWLQIGEERNMTNACKIFDEFIYANIESKREELRKSSKNIEMVDLLTTLMKEDNGSQVVVHDDKFLRDAAFNLFVAGRDTITSALTWLFYLISTHPLVETKILEEIKENFGRIDIEKNLGVDEVKKLVYLHGAICEAVRLFPPIPFERKQAMKGDILPSGHIVNPNTMIVISLYSMGRFEDVWGKDCLEFKPERWISERGGIVHAPSYKFISFNAGPRTCLGKDSSFIQIKMIAISILCNYRVRLEENHTPSLSHSIVLVTKNGLKVRIEKR >CAK8572323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529633304:529636005:-1 gene:gene-LATHSAT_LOCUS24899 transcript:rna-LATHSAT_LOCUS24899 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQKSLCVILVIFYVPILLHAQNKVETLILGCGIGDNGGKDPDGRQWSPDNKYLSGPNSITARASIQDPSLTSEIPYMTARIFTAEATYKFPIQPTKRYWLRLHFYPSVYNNLNPADSYFSVKANDVILLNNFSSSITCQALSQAYIDKEYALAPLNANELSLTFKPSDKHNGAFAFVNGIQLIEMPDLLLKSPSLVGYMNQIVDTEGFHLQTMFRINVGGQYISPAQDSGLSRTWYDDTPYTYGASHGVSNRITKDVKIDYQTMPQYLAPAEVYSTYRSMGGDLHLNMRYNLTWIFQVDPNSMYLLRLHFCDFYYSKVNQIVFNIFINNQTAEETADVIGWTGGKGMTTYKDYVIYVHNQPGEDQLWLALGPAAKAKPEYYDALLNGIEIFKLNQTDLSGPNPRLSDMMIKHEEKERTFQNKKDSHTTTFAIGGAAGGAAGFALVAAICVAVHHRKKRAPGSYTNNTSSWLPLYGNSHTSTTKSTGSGKSTMGSGANLAMAQGLCRYFSLQEMIQATKNFDETNVIGVGGFGKVYKGVIDNGVKVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDDEMCLVYDYMAHGTMREHLYKGNKPIDTLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENFVAKVSDFGLSKTGPNMNNGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCSRPALNPGLPKEQVSLADWALLNKRKGTLEDIIDPNLKGKINPESLNKFADAAEKCLSDHGLARPSMNDLLWNLEFALNLQENPDGSRSTHDNSPCIDESEFEEVSLAQKSDMAAHYKNLSLGSEHDLSHDDSAENSAAIFSQIANPKGR >CAK8542845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553236765:553236944:1 gene:gene-LATHSAT_LOCUS11649 transcript:rna-LATHSAT_LOCUS11649 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKMNVFVAVMVAVLVIATSGVSAADSPAPSPASDATTLFVPSAVASLIALAFGFLF >CAK8565406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:170902620:170907195:1 gene:gene-LATHSAT_LOCUS18624 transcript:rna-LATHSAT_LOCUS18624 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILSTGASTIEEQKPPQAALRDGGHFNPTKYFVEEVVASVDESDLYRTWIKVVATRNTRERSSRLENMCWRIWHLARKKKQLEWEDSQRVAHRRWEREQGRREATEDLSEDLSEGEKGDNVGEMVQCETPRKQFHRQISNLEIWSDEKKEKKLYIVLISLHGLVRGENMELGRDSDTGGQIKYVVELARALAKMPGVYRVDLFTRQISSPEVDWSYGEPTEMLTVGGDDDDSIGESSGAYIIRIPFGPRNKYLPKELLWPYVQEFVDGALTHILNMSKALGEQVGGDQPVWPYVIHGHYADAGDSAAILSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKMMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMAVIPPGMDFSNVVIQEDCPDVDGELAQLTGGGVEGSSPKAVPPILSEVMRFFTNPHKPVILALSRPDPKKNLTTLLKAFGESRPLRELANLMLIMGNRDDVDEMSSGNASVLVTVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRYSAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATQNGGPVDIHRALNNGLLVDPHDQQAITNALLKLLSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTTTPGDDINVDESFSESLKDVQDMSLRLSIDGDLAGANGVGDTQDQVKRVLSKMKNSDSGSLNESSENVAGKYPLLRRRRRLIIIAVDLYDDNGAPDKKMIQIVQRIIKAVQLDPQAARVSGFALSTAMPIQQTIEFLKSGNIQVNDFDALICSSGSELYYPGTYTEDGKLVPDPDYAEHINYRWGCEGLKKTIWHLMNTAEGGENSSTAIEEDLKSSNAHCISYKINYLSKAKRVDDLRKKLRMRGLRCHPMYCRGSSNMQVIPLLASRAQALRYLFVRWRLNVANMYVILGETGDTDYEELIFGTHKTIIMKGVVTKGSDDKLRGPGSYQRDDVVPDESPLVACISEPSEEKIANALKELSKSQG >CAK8532017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191980814:191981623:1 gene:gene-LATHSAT_LOCUS1762 transcript:rna-LATHSAT_LOCUS1762 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRTCPSLSLPFSPSKQSKNPSPSFKPYHQSPPSKSQSSIKISSSSAPHSQGIEHIVLIKVKENTESSKINAMINEMQSLVTLEQVRHLTLGPILSNNETASTTIPLSDLRYTHLFHSRYDSEEDLQTYNDHSKHINAVRGFIFPICDDLLVVDWIAGDIALPPHPSPGTAFRVSFLKLKEEKEKDVEVKDEVFRAIGDIKENVGGVSYVSYGENISPARAKGFSIASLVVFPGREELESVDAGEGLVKVKEHLESVVVVDYVVPFK >CAK8538151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466112645:466113691:-1 gene:gene-LATHSAT_LOCUS7382 transcript:rna-LATHSAT_LOCUS7382 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSQLEEQTLLSKYSELLRSGTLTKLKTREKKFKPIADHLNAVHHLHDSTTFPFKWSWRDVSIKVQNMRHQYVGVKHKIRISSQSHQFNWNDGVNHWENFLNYKQVFGDVQIDRKPNCNNNDNNDDNSASCDLGFGVDESDDGDDDDGGDDDDDDGDVSEEELKRLGLGVLKLREVMVKREEKRREREFLKEKGEWKRDEFEFKRRECCCSERELEMEMEERELRWGKKEVEKRVKRLEKELEEEKRRRKKVEEKMEEEEMEWRERMVAMQIEHEKQMMQMHAEACHNQMQVLGVMARILCQFFGSGNDGLGGAGLGTLPPQVLHHGGGLESVKPDASSPSEFM >CAK8541159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:77674230:77676337:1 gene:gene-LATHSAT_LOCUS10099 transcript:rna-LATHSAT_LOCUS10099 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWSNMANKTMLFEVSCSSRKRDSGIHPYKVVEITPPPKSLGVRSLPPNLQCGESVTIEGQAYTISAVTLRYQLRKGKYEQTEKRLDVLSTGRYLVNLYFENLLEQS >CAK8560455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20300099:20302034:1 gene:gene-LATHSAT_LOCUS14124 transcript:rna-LATHSAT_LOCUS14124 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKKSQWKSLVLGCFKDKNLTLESPKLVSKKQGSSRISLSDLSDYSSPSVISDLSNSLVGNVQIFTCKELKEITNNFNKSNFLGEGGFGKVYKGFIDDKLRPALVPPQAVAVKALNLDGKQGHREWLAEVLFLGQLKHRNLVNLIGYCYEDEHRLLVYEYMERGSLEEKLFKGYLATLPWLTRIKIALGAAKGLAFLHEEEKPVIYRDVKASNILLDADFVAKLSDFGLAIDGPDKDQTHITTRVMGTHGYAAPEYINTGRLTTMSDVYSFGVVLLELLTGKKSVDKKRPSREQDLVEWARPLLKDSHKLERIIDSRLEDQYSIEGARKLASLTYQCLSPHDKSRPTMRTVVKTLESLMKLNDIPIGHFVYVVPSEVVKNSEVTQNVSDKSVVKIKDEEKKEEGRTIRRKSRSSRRRIKAMMRSRSVYSDTALYKTLGTGLYSPKESKEKQ >CAK8535257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830988961:830990340:1 gene:gene-LATHSAT_LOCUS4730 transcript:rna-LATHSAT_LOCUS4730 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPPLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPKNTQTKLQHLNLNPNLITFFPLNVPHVNGLPHGAETSSDVPFSLVPLIATAMDQTEDQIELLLKELKPHIVFFDFQYWLPNLTQKLGIKSLQYWITSPFSISYFWNGPRQSQGKGLTVEDLKKPPSNYPDSYIKLHQHELEFLSSSRKLVFGSGLFVYDRLHIGTSLADAIGFKGCKEMDGQYAQFLGNFYGKPSLLSGPLLPESPTTSLDEKWVSWLKGFKHGSVVFCTYGSEGPLQHNQFQELLHGLELTGFPFLAALKPPNGFESIEEALPEGFNERVKGKGVVYGRWIQQQLILEHPSVGCFITHCGAASITEGLVNTCQLILLPRVGVDHIMNARMMSEKLKVGVEVEKGDEDGLFTRESVCKAVKIVMDDENEVGREVRKNKNELRKFLLSENLESSCVDSFCEKLQGLI >CAK8539626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518582374:518586200:1 gene:gene-LATHSAT_LOCUS8704 transcript:rna-LATHSAT_LOCUS8704 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKYGAGKWRTILTDPDFSTILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNLPPPKSENNHATSNAIVPYNQEVAAAKPLTVSGGTSTSKEQIPRLDSLILEAIVKLKEPKGSDISAIAAFIEDQYRSPPNLIKLLSSKLKHMVASGKLVKVNQKYRIATNSSKISEKKRCSSMLLLEGRPKDSPKAEKADANVLSKSQIDVELLKMKGVTAQEVAAAAAKAVVEAEAAIAEAETAAREAEAAEAEAEAARVFAKAALKALKCRRLNI >CAK8569835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13211287:13213832:1 gene:gene-LATHSAT_LOCUS22644 transcript:rna-LATHSAT_LOCUS22644 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSSAASGSRTIGKCIYAALMPLIAVVEVFVFAVAGCFNSHRPLSVFRSHKSSYTAADFARLAQETRFTANEVEALHELFKKISSSVIDDGLIHKVELQLALFQTPNGENLFLDRVFDLFDEKRNGVVDFEEFVHSLSVFHPYAPMDEKIDFAFKLYDLRQTGFIEPEEVKQMVIAILMESEMNLSDDLLEAIVDKTIADVDQDNDGKISKEDWKAFVSRNPSLLKNMTLPYLKDITTVFPNFIFK >CAK8578799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655151952:655155020:1 gene:gene-LATHSAT_LOCUS30783 transcript:rna-LATHSAT_LOCUS30783 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQVAQAPDPENQSEKTDQISDKSIVIPNKHVTIADSIKSEHSRFVAPRIPTDYSIEVQETTYNVHKYPLISKCGYIERLEAQPRNSNSGNVLKLESFPGGSETFVTILKFCYNLPIDFNSDNVAALRCASEFLEMTEELEDGNLISKTEAFLTFVVLSSWKDTVTVLKSCENLSPWAENIQIVRRCCDSLAWKASKNEPTGEDAASNQDSWWFDDMATFRIDHFMRIISAIRAKGIKSETIGNCIMQYAKKWLPGMHEELEGLKGYGYGKSNLQFTIFNMNKQESTRHSKEQTTIIESLISIIPPQQEAVPCKFLLQMLKMAMMYSVSPTLISDLEKRVGAVLEDAEVNDLLIPRYQYGDHRIMVNMPNSLEDCTMQDIDVVQRIVEYFLMHEKQHMQQQQKSGKFKISRLLDNYLAEVATDPNLSITKFHVLAELLPEHTRSFDDGLYRAIDTYLKTHPLLTDHDRRRLCKIMNCEKLSLDACLHAAQNDRLPLRTVVQVLFTEQVKMRAAMQEKEPVQSGIIISEQEGNQTSATNDIKTLKAELENVKSKMVELQNDYFELQEEYKKLSNKEKPKNSLSLGINWRKIKNSFHVKPRDGQDTPVSPKPTRRRSTPRRRLSVS >CAK8544670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698608018:698611006:-1 gene:gene-LATHSAT_LOCUS13327 transcript:rna-LATHSAT_LOCUS13327 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKFSSNSFSHSFLKQKCFFVFVVLISLPVFMFVFSLKYQRVDVHERTKPSWFTFIEQDFRSKTKIKIGLININQKSMYEKLDAVRSRVDIVPIPFDQVDENLKWNDFFPEWIDEEEELNKPKCPNMPMPTLKNYKDLDVVVARVPCGDGPMEEKGIRDVFRLQVNLVVANLAVEARWLEKLESDHENMYVVFVGSCSPMIEIFRCDDLLIHQSDYWIYRPDLNRLKQKTLMPVGSCQISPGFAETGKETWMSYLPKSQGHNKSIVLVPKLAYVTVLHSSEEYVCGAIALAQSIILSGKSISEPIDLVLLADDSIGPESTKGLKAAGWKITRIQRIRSPFADKNAYNRWNYSKLRIWQLTMYDKIIFIDSDFLVLKNIDGFFAYPQLSASPNENVIFNSGLTVVEPSQCTFEYMMNKTSKVKPYNGGDQGFVNEVFTWWHRLPSKLNYMKLFKEIGDSKHEIQHDVYTIHYLGLKPWMCYRDYDCNWNLQDHHIYASDSANEVWWKVYDTMPKHLQSHCAITRKSHVRFVWNRKIAKNASLPDGHWKIEVKDVRKMKYLD >CAK8571155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:318084534:318085004:1 gene:gene-LATHSAT_LOCUS23845 transcript:rna-LATHSAT_LOCUS23845 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFFQGNNYNKSPDECRCGLDTPFMTAWTDVNPGCRFYGYGMYKFQGHKRCNNFVWYDEEMTPRAKESNSSLNQGLSLEKIKVNECKLKEDKLTMKIKFVNMQLKFTIEMSIVLLTGLVTTSVMK >CAK8562545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508467739:508470798:1 gene:gene-LATHSAT_LOCUS16035 transcript:rna-LATHSAT_LOCUS16035-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMENNDDAVVDINNENNWLGFSLSPQMNVGVPSQSSSVSEVVPFASSFYHTAPLSNYCFSYGLENENVGLYSLLPIMPLKSDGSLYEMEAQTQAMVTTSAPKLENFLGSEAMGTPHYACSGTTVTETMPLSLDSMFQNQVQNMNNHQQQQQQQQFSSYYSTLRNHEMMLEGSKQSQTSSGNFHVSNMGEDQGLSGLKSWVLRNFPASHGLDQSKMIVPVGVEENEGESGSNIGSMAYGDLHSLSLSMSPSSQSSCVTTSHVVVENSVAMDTKKRGCEKFEQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDQAALKYWGPSTHINFPLEDYQNQLEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDIIKYDVEKIMASSNLLSIELARRNKEVLDITTTQYIDPLNNTTQEAMQKNMILYQSSQQQLQQNQPRIENERTHQSFSSVSLDNMFHHEVEEASKMRAHLSNASSLATSLSSSREGSPDRSSLQNLSGIPSTSSKLLVATASNSNVNSWDPSQHHLRPALSLPQMPVFAAWTDA >CAK8562546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508467739:508470798:1 gene:gene-LATHSAT_LOCUS16035 transcript:rna-LATHSAT_LOCUS16035 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMENNDDAVVDINNENNWLGFSLSPQMNVGVPSQSSSVSEVVPFASSFYHTAPLSNYCFSYGLENENVGLYSLLPIMPLKSDGSLYEMEAQTQAMVTTSAPKLENFLGSEAMGTPHYACSGTTVTETMPLSLDSMFQNQVQNMNNHQQQQQQQQFSSYYSTLRNHEMMLEGSKQSQTSSGNFHVSNMGEDQGLSGLKSWVLRNFPASHGLDQSKMIVPVGVEENEGESGSNIGSMAYGDLHSLSLSMSPSSQSSCVTTSHVVVENSVAMDTKKRGCEKFEQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDQAALKYWGPSTHINFPLEDYQNQLEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDIIKYDVEKIMASSNLLSIELARRNKEVLDITTTQYIDPLNNTTQEAMQKNMILYQSSQQQLQQNQPRIENERTHQSFSSVSLDNMFHHEVEEASKMRAHLSNASSLATSLSSSREGSPDRSSLQNLSGIPSTSSKLLVATASNSNVNSWDPSQHHLRPALSLPQMPVFAAWTDA >CAK8561767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:377929035:377929637:1 gene:gene-LATHSAT_LOCUS15327 transcript:rna-LATHSAT_LOCUS15327 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIQPCNAGIIRALIHYLRRFYHKILEGYEMGQSDPGKINVLDAINLAIPTWMIDVRKETIANCFRHCKIRSTSDVARNLDESTFDEETQDLETMINQCGYRNKMDTDNLMNYLGENEAYSEVHSLEDIVGTIIENNAEDDGEDDTMSLELVMRKEALMTSNTLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKGK >CAK8562311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:470670871:470671225:-1 gene:gene-LATHSAT_LOCUS15818 transcript:rna-LATHSAT_LOCUS15818 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERAKLHTALTFLQFCHAGNHIFLRIALNTGVSKLVFPVYRNITAFILLALLAYFTEEKDRPPITSYCLLQFFLLGLVGYILFS >CAK8567640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532991530:532995438:-1 gene:gene-LATHSAT_LOCUS20676 transcript:rna-LATHSAT_LOCUS20676 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFYAVFGGLAAVVAVLELSKNNKDRINTSSVFNSFKNNYLLIYSLMMAGDWLQGPYVYYLYSTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCLTYIASCITKHSPQYRILMVGRILGGIATSLLFSAFESWLVAEHFKRGFDQQWLSLTFSKAIFLGNGLVAIFSGLFGNVLVDTLALGPVAPFDAAAGFLTIGMIIILSTWTENYGDASENKSLLAQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSLASKLMARSSFRVESYMQIVFVVSSASLMLPILTTFFAVPSKATGGGLSFAGCIQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLFMASILQRRLLVIADKPKTEDWSLKERDTESEPLNL >CAK8542392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507735774:507737877:-1 gene:gene-LATHSAT_LOCUS11233 transcript:rna-LATHSAT_LOCUS11233 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFEEELNEAVSPNGQCFDNTCLSLYILAFLEFQVPIHDLPILSLLQDAFLSIPHFTSIMVDDERGVKRWKRVEVKLEEHIIEPKFIDGMSDDSYDNHFGEYISKILMENLSPTKPLWQVHVIKYPTSNASGTLVFKFHHAIGDGYSLMGVILSSLQRADDTSLPLSFPSLKSSSKPKNISRFTKRMSRLLSTPFNFVSEFGWSLLKSTFFEDDETPIRSGVEAVEFQSIKLSNIAFSMDHIKEIKSNLGVTINDAITGIIFYGIRLYMRNIDYRSRELNSTALVIANTRKVKDYKRVQDMFKTGNGDWGNQITYYHVSVPKLQDISISSPLQFVRKAHTSIKRKKTSYAPPLITKLLRMKNKLEGPEALAKYIHGTMRKSSLLISNVAGPIEQMAWANHPIGGFFFTLAGIPQSLVITIMSYMGMLRVTIATQEGFIDEQKLMQYLNNAFEIIHHESIAKENISKWNKFCKVIL >CAK8565168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:55274293:55274475:-1 gene:gene-LATHSAT_LOCUS18403 transcript:rna-LATHSAT_LOCUS18403 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSDIFCCFKSKEAEDKRNNHVVVEAGSLSRKPKGDIKKSSAPIVVSYFPVNSQPSRL >CAK8577897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596319159:596323412:1 gene:gene-LATHSAT_LOCUS29961 transcript:rna-LATHSAT_LOCUS29961 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQNLTLSLSSSSSTFLAPTNFNSRSSQVSLQSKSPSICKCIATPQEVETAYKTRVSRNENMGKLQAGYLFPEIGRRKSAHLLKYPDAKIISLGIGDTTEPIPEIISSALAKKSLALSTLEGYSGYGAEQGEKPLRSAIASTFYPDLGIEDDDIFVSDGAKCDISRLQIVFGSNVKIAVQDPSYPAYVDSSVIMGQTGLFQKDVQKFANIEYMRCNPENGFFPDLSSLSRPDIIFFCSPNNPTGAAATREQLVQLVQYAKDNGSIIIHDSAYAMYMTGDNPRTIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNISQAGGLACLSPDGLKAMRGVIGYYKENTNIIMETFDSLGFKVYGGKNAPYVWVHFPGQSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYK >CAK8567695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537091161:537123962:1 gene:gene-LATHSAT_LOCUS20723 transcript:rna-LATHSAT_LOCUS20723 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSMFSHFDISQGKKWNFSFGFDPVVKDGNLKSCKEATSSPVAAESKTTVKDDLKTLMPGGDKKNPTRLRTRFAPEFDGLHCFECIVPSA >CAK8567696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537123702:537123962:1 gene:gene-LATHSAT_LOCUS20723 transcript:rna-LATHSAT_LOCUS20723-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSMFSYFDVMQGKKWSVSLGLGPVKDGNSERINKEGTSSSKTTVKEDPKTIVPDGDKKNPTRLRTRFAPEFDGLHCFECIVPSA >CAK8542211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489758701:489759219:-1 gene:gene-LATHSAT_LOCUS11069 transcript:rna-LATHSAT_LOCUS11069 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENGQKPPPSILVGRFKALLKQHEDDPRLRNSQPSTEEIIQIYELLLAELESNVKPITTDLTIIVEQHREQARGIAYAICARILEVSADHKLPSLHLLDSVVKNVGQEYVRYFSLRLPEVFCEAYREQLIINRQVLIPSKQSEDSKGNHSCKNWNAMNYTLQQLLHSDED >CAK8578550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637115993:637116286:1 gene:gene-LATHSAT_LOCUS30551 transcript:rna-LATHSAT_LOCUS30551 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNLKNLFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSYMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8574564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2304986:2305495:-1 gene:gene-LATHSAT_LOCUS26896 transcript:rna-LATHSAT_LOCUS26896 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKSNKIREIVKLQQILKKWKKVATNASTNNNSNSSINSGSSSSGSKSIKFLKRTLSFNDLSISNVDIVPKGFLAVCVGKELKRFIIPMDYLKHQAFEILLHEAEEEFGFQQEGVLKIPCQVSMFEKILKVVEDKKEDLNLHDFGFNGEKDMVSCDVTPTHHAQVCR >CAK8536756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14057971:14059829:-1 gene:gene-LATHSAT_LOCUS6089 transcript:rna-LATHSAT_LOCUS6089 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEISDEMLGTFAPLLVYWIYSGVYVVLGFFAEDYRLHTIQDENERNLVSKFDVVKGVLLQQAVQAVVATLLFAVTGSDTQSSTNENASILVLARQFVTAMLVMDTWQYFMHRYMHQNRFLYKHIHSLHHRLIVPYSFGALYNHPVEGLLNDTVGGALSFLLSGMSPRASIFFFSFATIKTVDDHCGLWLPGNPFHMFFNNNSAYHDVHHQLYGNKYNFSQPFFVMWDKLLGTYMPYSLEKRESGGFESRPCKDFKAE >CAK8563802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631855420:631855845:-1 gene:gene-LATHSAT_LOCUS17177 transcript:rna-LATHSAT_LOCUS17177 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMSRLLTKMQRNPNFNHHSKCEKMELTHLSFVDDILLFYRGNKGSLEIMTQTKSQFFHSTGLVVNPSKCNVYLGVVEEAEKQHILRMTGYNKGKLHFRYRGVPLTSRKFSVNHYLPLVEKILHRIHHWSEKLLSHVGRV >CAK8543158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584034917:584036215:-1 gene:gene-LATHSAT_LOCUS11936 transcript:rna-LATHSAT_LOCUS11936-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNKKANQNDRISDLPSNVIDTILGNLKVRDQVRTSILSTKWRYMWTSAPHLCFDDDFYQRFLHLNDPYPVMYKTISDVLMFHNGPIHNFSVCIYDDFDFEINADIINLWIPFMSRDIKHLELVTHCTFKDQMPGILFSCKELTYFKLNSFNLSIPPNFCGFKKLLELHLFCVELESSALESLMSGSPFLEKLSIEQCRGCDYLVISSPYLKVLVLRSIYTKSICLEKANNLIDFSLTTYEGRCFIKSLPKIKRFSLTIWGKYEDIIPPMLRTSSFSSVEYLKLDDLNLKERGQLLHIVSILKSAPKLIELDMETIQRRS >CAK8543157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584034687:584036215:-1 gene:gene-LATHSAT_LOCUS11936 transcript:rna-LATHSAT_LOCUS11936 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNKKANQNDRISDLPSNVIDTILGNLKVRDQVRTSILSTKWRYMWTSAPHLCFDDDFYQRFLHLNDPYPVMYKTISDVLMFHNGPIHNFSVCIYDDFDFEINADIINLWIPFMSRDIKHLELVTHCTFKDQMPGILFSCKELTYFKLNSFNLSIPPNFCGFKKLLELHLFCVELESSALESLMSGSPFLEKLSIEQCRGCDYLVISSPYLKVLVLRSIYTKSICLEKANNLIDFSLTTYEGRCFIKSLPKIKRFSLTIWGKYEDIIPPMLRTSSFSSVEYLKLDDLNLKERGQLLHIVSILKSAPKLIELDMEIFDDVNTTQMTDYSKEELECCSSCPKLQTVKINGGSSQHAMSLIKFILANSPSLKSLTFSCIFINLDAPMLLKISQDLLLMERASPRARVSFRY >CAK8569241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686456055:686456594:1 gene:gene-LATHSAT_LOCUS22119 transcript:rna-LATHSAT_LOCUS22119 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCFAIKIVAIVESRKDVGEYWKLAMKDQDMPEEIQGLLDANIEKNFKTLKQSFDAKENNKAVKDFEPRPNVSAYGENDINTTENKGANEEFEPRPNISAYGDNNIDDEKKKKSTEDFEPRPNISAYGNNDIDDRKKNKVVENFEPRPNISAYDNNEIGAEFTEDFEPRPSVTKYNA >CAK8543820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641356777:641359172:-1 gene:gene-LATHSAT_LOCUS12551 transcript:rna-LATHSAT_LOCUS12551 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSTVKKALSPDSKKSSKSKKKWFGKQKLQSSDPSVEHTAPPLPPPEDVKLTDIENQNNHYHVPEITTAVVSEEPGPSVPAPVVRNEVSVAARYAGKPKDEVAAIKIQTAFRGYLARRALRALRGLVRLKTLMEGPIVKRQAMSTLRSMQTLARVQSQIRSRRARMLEENQALQRQLLQKHARELETMRIGEEWDDSLQSKEQIEAKLMSKYEATIRRERAMAYAFTHQQNGKNSSRPMNPMFVDPTNPTWGWSWLERWMAARPWESRGLMDKELNDHSSVKSSSRSITGGEISKSFARFQLNSEIHSPAASQNPGSPSFQSNSTTPKPASAAVARKLKKASPKGSWIMEEDSKSMASVQSDRFRRHSIAGSSIRDDESLASSPSVPSYMVPTQSAKARSRTQSQSPLAKENGKQEKGSFGTAKKRLSFPASPARPRRHSGPPKVEIAVNAEVTVDNGVAS >CAK8564504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678583337:678584777:-1 gene:gene-LATHSAT_LOCUS17805 transcript:rna-LATHSAT_LOCUS17805 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSKLSNVTHLFEPIKLKKLPHLTHYISNLQPLPNPLDHNPYFNTLHLQNFYITSQDVVLSHSTGFAYRTAGPRNNVYFNPSDVRVAIVTCGGLCPGLNTVIRELVVGLWNLYGVREIFGITGGYRGFYSTEPVPLNPNIVHHWHNKGGTLLQTSRGGFDLCKIVDAIQNRAFNQVYIIGGDGTMRGAVKIFKEIQQRKLNIAVVGIPKTVDNDIGIIDRSFGFQTAVEMAQQAISAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPELDFYLEGKGGLFEFLDQRLKENGHAVLVVAEGAGQDIIPRTDSQKEERDESGNLVFLDVGVWLKSELKKWWDRDHPGELFTVKYIDPTYMIRAVHANATDNLYCTLLAHSAIHGVMGGYTGFVAGPINGNYAYIPLEDVACANNPVNTKDHNWSWVRSVTNQPDFLKRSS >CAK8541150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:75305871:75317182:-1 gene:gene-LATHSAT_LOCUS10091 transcript:rna-LATHSAT_LOCUS10091 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSELDDDVVCTMSIGAVFSDFVGKIYSIGFHRKDDLLVTASEDDSVRLYDIANAKLLKTTYHKKHGTDQICFTHHPSSVICSSRYNLDSTGESLRYLSMYDNRCLRYFKGHKQRVVSLCMSPINDSFMSGSVDHSVRLWDLRVNACQGILHVRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDKAEVCDIKFSNDGKSMLLSTTNNNIYVLDAYGGDKRCGFSLEPSHGTSTEATFTPDGKYIVAGSGGGTMHAWSIDRNCEVACWSSHIGVPWCLKWAPRRAMFAAASTVLTFWIPNNEAKLMKDEDGGTDAEVPLQPQH >CAK8535524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858065764:858068656:-1 gene:gene-LATHSAT_LOCUS4979 transcript:rna-LATHSAT_LOCUS4979 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSLSNVIGFSDTQNHNSLFSSSLCKLNFRSNIVEIDTFSRHKEYRKTGHHHRYFRLYAHYGGRKENNEKSDAATSKVNLSGNQRPIRTEITEGAKQWRREHGGSKNGNEVPQNADFPRHYSRKEKKPFPVPIIELRRAARERIKKMKDEPRRNLLPAPKNGLLVKNLIPEAHDVYNARITLINNIKKLLKVVPVHACGWCSEIHVGPVGHPFKSCKGSQANLRNGLHEWTNAHVEDILIPIEAYHLYDRLGKRITHEERFSIPRIPAVVELCIQAGVEIPEFPTKRRRKPIIRIGKKEFVDADESELPDRVADKPIKPLLAEIPDSEIVAPVNKEEVVHLAEETLQAWEQMRRGAKRLMRMYRVRVCGYCPEIHVGPNGTKAQNCGAHKHQQRNGQHGWQSAVLNDLIPPRFVWHVPDVNGPPLERELRNFYGQAPAVVEMCIQAGAELPEQYKSTMRLDVGIPSTLQEAEMVV >CAK8535525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858065764:858068656:-1 gene:gene-LATHSAT_LOCUS4979 transcript:rna-LATHSAT_LOCUS4979-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSLSNVIGFSDTQNHNSLFSSSLCKLNFRSNIVEIDTFSRHKEYRKTGHHHRYFRLYAHYGGRKENNEKSDAATSKVNLSGNQRPIRTEITEGAKQWRREQHGGSKNGNEVPQNADFPRHYSRKEKKPFPVPIIELRRAARERIKKMKDEPRRNLLPAPKNGLLVKNLIPEAHDVYNARITLINNIKKLLKVVPVHACGWCSEIHVGPVGHPFKSCKGSQANLRNGLHEWTNAHVEDILIPIEAYHLYDRLGKRITHEERFSIPRIPAVVELCIQAGVEIPEFPTKRRRKPIIRIGKKEFVDADESELPDRVADKPIKPLLAEIPDSEIVAPVNKEEVVHLAEETLQAWEQMRRGAKRLMRMYRVRVCGYCPEIHVGPNGTKAQNCGAHKHQQRNGQHGWQSAVLNDLIPPRFVWHVPDVNGPPLERELRNFYGQAPAVVEMCIQAGAELPEQYKSTMRLDVGIPSTLQEAEMVV >CAK8578278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616771997:616774133:-1 gene:gene-LATHSAT_LOCUS30303 transcript:rna-LATHSAT_LOCUS30303 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNNNTTNSSSDTAFPLSENGGANSNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETTQGLKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCNKGYAVQSDYKAHIKTCGTRGHSCDCGRVFSRVESFIEHQDACNVRGKNQPEFQTTTTMQPACSSRTASSTSPSSEANFSVSAPAPLQGLPIILPKPFDKPSTSHQHNLELQLLLPSSINPRAEKQNPNHQNYETTHLKLSIGSNNESDKQRSSISTSTLEVTRLKEYNTEELKLAMAEKGYAEDARKEAKRQIEIAEVEFENAKRIRKQAQDELGKAEALRKQAVKKISNTVMEITCQACKQQFQSSTSGVPSEETSIVMGYMSSATTEGEPE >CAK8539172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505957115:505959625:1 gene:gene-LATHSAT_LOCUS8292 transcript:rna-LATHSAT_LOCUS8292 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDMAMATMSLPHSHHHLQTLLSSSTTLSHLKQIHAQILHCNSNSNTLLSKLVLSSCTLSSSSSTLHYALSVFSQIPNPPTHFSNQLLRHLSRSPFPDKTLFLYLNLRTINAFTLDTFSFPPLLKAISKLSAFTYGLEIHGLVSKLGFLSDPFIQTGLIAMYASCGRIMDARLLFDQMSHPDAVAWNTIIDGYCQNGHYDDALRLFEDMKNSGMKPDSVIICTVLSACGHAGNLRYGRSVHEFVKDNGLAINSYLQSALINMYANCGAMELAREIYDGLSSKHLIVSTAMLSGYAKLGMTKDARFIFDQMIEKDFVCWSAMISGYSESDQPQEALKLFNEMLRLRIVPDQITMLSVISACSHVGALGQSKWIHTYVDRNGFGRALSVNNALIDMYAKCGNLVKAREVFEKMLRKNVISWSSMINAFAMHGSADSAINLFHRMKDENIEPNGVTFIGVLYACGHAGLVEEGQKLFSSMINEHHISPTHEHYGCMVDLYCRANLLRKAIELIETMPLAPNVIIWGSLMSACQIHGEAELGEFAAKRLLELEPGHDGALVVLSNIYAKERRWNDVGLIRKSMSYKGISKEKACSRVEINNEVHTFMMADRYHKQSEEIYQKLDEVVSQLKLVGYKPSTSSILIDLEEEDKKELVLWHSEKLAVCYGLISKRKESCIHIVKNLRICEDCHSFMKLVSKVYQVEIVVRDRTRFHHCSSGICSCKDYW >CAK8538410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481807933:481808399:1 gene:gene-LATHSAT_LOCUS7617 transcript:rna-LATHSAT_LOCUS7617-4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARELTEEAVEKANEVNDAKYGGYGRGGYHNGGGYHNGGGYNGGGGYHNGGGGYKGGGYHNGGGGYHGGGGHGGHGGASNNGN >CAK8538412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481807933:481808399:1 gene:gene-LATHSAT_LOCUS7617 transcript:rna-LATHSAT_LOCUS7617-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARELTEEAVEKANEVNDAKYGGYGRGGYHNGGGYHNGGGYNGGGGYHNGGGGYNGGGGYHNGGGGYKGGGGHGGHGGASNNGN >CAK8538411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481807933:481808399:1 gene:gene-LATHSAT_LOCUS7617 transcript:rna-LATHSAT_LOCUS7617-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARELTEEAVEKANEVNDAKYGGYGRGGYHNGGGYHNGGGYNGGGGYHNGGGGYNGGGGYHNGGGGYHGGGGHGGHGGASNNGN >CAK8538409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481807933:481808399:1 gene:gene-LATHSAT_LOCUS7617 transcript:rna-LATHSAT_LOCUS7617 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVFLILGLLAMVLLISSEVSARELTEEAVEKANEVNDAKYGGYGRGGYHNGGGYHNGGGYNGGGGYHNGGGGYNGGGGYHNGGGGYKGGGYHNGGGGYHGGGGHGGHGGASNNGN >CAK8530774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49047795:49048817:1 gene:gene-LATHSAT_LOCUS616 transcript:rna-LATHSAT_LOCUS616 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGGTGRKSSSSSGAFSWLSKLKHMRIINSDSNHAKLKHKENQKPTASVNDIPFPPSCFDIGGRNSKKTGRRRSQSQGTIKLEHKDVVGLEDERKLLNDNDEYDRDKEYENIRRRFERKAQQVLEEQLLKLEREAKEVEELQYESPRTICTPRTTTHSSASSNAGLNLKKISCKPSNLSENAKQVSEKKKLNENEEMKEKIQEKQRRKPKKVSRVKIYSPRMISKIEMSRIKALEEMRNKAKQKMKRERKEEIMEEISISIKPELDSFAVIKCSLNPKKDFRDSMIEMIEEKRISKAEEMEELLACYLTLNADEYHDMIIKVFRQVWFDMSQYGFGIN >CAK8530416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20027512:20028338:-1 gene:gene-LATHSAT_LOCUS282 transcript:rna-LATHSAT_LOCUS282 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYGFLICILVMVMDIIAGILGIQAESAQNKEKPMKIWLFDCRYPSHQAFKLGVAAVILLLLAHAIANLLGGCICVWSRDQYRSATANRQLAVAFQIFSWIVLAVAFSMLIIGTLANARSRKYCGIFNHRFLFIGGILCFIHGLFTLPYYVSITAMRREEKRQQTPGPAVIRT >CAK8578098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606930308:606930613:-1 gene:gene-LATHSAT_LOCUS30139 transcript:rna-LATHSAT_LOCUS30139 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLQVYYTNLTKLFCWIVQYDGKKNHGLQKALQLAAFDSSSQFSTMDAVESPPTNFETNTFTNPCQEIASVCSGVVGYQEENPAAYKCCVLLLISLDIM >CAK8560201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10327796:10334322:-1 gene:gene-LATHSAT_LOCUS13896 transcript:rna-LATHSAT_LOCUS13896 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVDDVKIPLLQSTEENVVVRTVTFQISDIKCASCVNSIESALANLNGVKNIAVSSLDGRAAIKFVPKLITAKRIKESIEESGFKVNEVHDHDQDISVCRIRIKGMACTSCSESVEKALQMVDGVKRAIVGLALEEAKVHYDPSLVDPEKIIEAIEDAGFGGELISSGHDANKVHLKLEGIDSKEDADVLLSYLELAAGVNRVEMDFTEHIVTISYVPDITGPRTLILCVQEASRGSKVYQATLYSPSGQRERDKVNEIRVYRNQFLFSCLFSVPVFVFAMVLPMLPPYGNWLNYKLHNMLTLGLFLRWILSTPVQFIVGKRFYVGSYHALRRRSANMDVLVALGTNAAYFYSLYIVIKALTSDTFQGQDFFETSSMLISFILLGKYLEIVAKGKTSDALGKLTQLVPDKAYLVVIDTDANIITETEIDTQLIQKNDIIKIVPGAKIPIDGIVIKGQSYANESMITGEARPIAKSPGDKVISGTINENGCLLVKATHVGSDTALSQIVQLVEAAQLAKAPVQKLADDISRVFVPIVVVIALTTWLGWLIPGEVGFYPKHWIPKGMDAFELALQFAISVLVVACPCALGLATPTAVMVASGIGASQGVLIKGGDALEKAHKVKTIVFDKTGTLTVGKPAVVSAVLFPDFSMEVLCDMAISVEASSEHPIAKAVVAHAKNLRKKFGSCPEEVPDVVDFEVHMGAGVSGKVGDRTVLVGNRRLMNACNVKISSAAEKYISENENLARTCVLVSINGKIAGAFSVSDPVKPEAKRVISFLRSMGITSVIVTGDNHATATAIANEVGIDQVFAETDPVGKADKVKELQMKGMTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLVKSNLVDVITAIDLSRKTMSRIRLNYIWALGYNILGMPIAAGVLYPFTGIRLPPWLAGACMAASSLSVVSSSLLLQFYKKPFHVEYF >CAK8568501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611937126:611942070:-1 gene:gene-LATHSAT_LOCUS21451 transcript:rna-LATHSAT_LOCUS21451 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGWCVVTGGRGFAARHLVEMLIRLNTYCVRIADLGPTIELEPSEQLGLLGEALHSGRAQYVSVDLRNKAQLLKAFEGVEAVFHMAAPNSSINNYQLHYSVSVEGTKNVIEACVELQVKRLIYTSSPSVVFDGVHAVHNGNESLPYPPKHNDHYSATKAEGEALVIKANGTGGLLTCCIRPSSIFGPGDKLLVPSLVDAARAGKSKFIIGNGNNVYDFTYVENVAHAHICADRALASEESISEKAAGQAYFITNMEPIKFWEFMSLILEGLGYQRPRIKIPASVVMPIAHLVELIYRLLGPYGMKVPQLTPSRIRLLSCTRSYDSSKAKDRLGYVPIITLQEGLRRTIESYPHLRAENQLASKREGPSKAYVYLGSGRVADTLLWKDKKQTFTTLLILIAIYVNFIASENTFITALAKLLIYSSIGLFIHGILPAKILGYTVEKMPPSWFHLSEDRSNRIALSVASSWNFAVNALKSLAEGNNSALFFKVVFSLLILSFLGAFSFHNLYTIGLTLAFIAFYVYEKKEEDIDSIFIKTHTFGCKLKSDITEKFLTSKKVD >CAK8577131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539074135:539075728:-1 gene:gene-LATHSAT_LOCUS29262 transcript:rna-LATHSAT_LOCUS29262 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHKKSPINMATDTETPPPTSSSTTPTTPTTTNQDTWNQLLGSNNWETLLNPLDLNLRNLILRCGDFIQTTYDAFNNDQHSIYCGSSRYGKSSFFDKVMLENPTHYTVVSFLYATARVSVPEAFILHSLSRESWDRESNWIGYIAVTSDQRSRELGRREIYIVWRGTTRDLEWINVFGAAPESASGLLSAKSLSQLNLPNKNKDDGSSSSDNEDDERTPKVMKGWMTIYTSDDPKSPFTKTSVRTQILTKIKSLLNTYKNENPSVVLVGHSLGASLSVVSAFDLVENGVTDIPVAAFVFGSPQVGNKAFNDRFKKFPNLKVLHVRNVIDLIPHYPGKLLGYEYTGVELVIDTRKSTSLSDSKNPSDWHNLQAMLHVVAGWNGSEGEFELKVKRSVALVNKSCDYLKEECHVPGSWWVEKNKGLVRREDGEWVDAPDKEDLPVPEEY >CAK8543249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:592499785:592502099:-1 gene:gene-LATHSAT_LOCUS12019 transcript:rna-LATHSAT_LOCUS12019 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRHSFFFSFTIIIISFVSCNSNLQKDPLIATYVDNSPYDDGGTILFKNLIKENSNNVLKLNKFGGTSSRRIDIVNVNDYGAKGDGYSDDTQAFKKAWEVVCSSGESVFVAPQDNNYLLKPIRFSGPCKSNITVQILGNLEASDKLSDYKKDKRHWLVFDSVQKLLVNGGGTINGKGNIWWQNSCKRNKGRPCKDAPTALTFDSCKDLIVQNLNIHNAQQIHISFQDSNNVTVSGLNILSPEDSPNTDGIHVTNTQNIKISSSIIGTGDDCISIVHGSMNVEATNITCGPGHGISIGSLGAGKSKEFVSGVIVNGAKISGTQNGVRIKTWPGGSGNASNIKFQNIEMDNVANPIIIDQNYCDKKKKPCKKSNSAVQISDVLYENIIGKSASDVAVNFDCSKKFPCQHIVMQDIDLQYEEEGEAAKALCNNVDLSYKGQVIPRCNS >CAK8540307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:550729320:550730607:1 gene:gene-LATHSAT_LOCUS9322 transcript:rna-LATHSAT_LOCUS9322 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGEIGGREDYNGVMMTMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKAVLRLMSLKGLTLYHLKSHLQKYRLGQNGRKQYEEQYKENNRCSYVNFSNHSSQTNTSYGGDNEEGEIPIAEALKQQIEVQKRLEEQFEVQKKLQMRIEAQEKYFQTVLEKAQTSLSQDEQTNLEFNSALSNFMENMNKGSKKNIVDMNEFYNKNHSSVFNYQQVLGAEENKELKPHIEGDSIQLDLNIKNGNELLCADGAEMESKMVSYRLFHF >CAK8579198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683083840:683086504:1 gene:gene-LATHSAT_LOCUS31163 transcript:rna-LATHSAT_LOCUS31163-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELELDLNSKSSVGLSPNTVLPPQQYSLNVKKRSKKGKSSGKDEVFTMKEDFAEIKFARFRSSSCKSRLSRIPHGLEGDNVEETRRVSMYQSSKEVRDIKKTGGRKKIEFSRRSDISFSSSIVDSLCGSDDDDSKHRPSDISQYSNFSSRSSACQEPDNSNGFIEIRMDSNFKNKKSNAVEGRGSVNLKSRSDKVADTVMNGNFLLEKDRVHSLQKSLSAKVEVSNLISSLENDYLSNVRFNPVKKRMNNFKKSKSLRSPLSHIAETNDEVKSNETSETISNRTHQKYLLNDLSNTGKHSDIISEFINREIKYSGIASSPVHLHGNLKLESKHGMPFFEFKVKCPEDVFVAKTWRIGKGFNFVYTFHSIDNNRKKSNATELESHDFDKDSSIVAKMLVSSNICSEMKDKVSDNSMVTEFVMYDLSHSRHSVSSEKKSLCEQNAFKTRKASRVGPKEEPFGMDFEENIAIKSKLQDKPLSGKVESADSNYFPLLPTESYSNLEITAIVLDIPFSKRESLKYKRGDRLCDKEYSNISNLCSVVDQSRKSLVDRKFHEQLKVVIPTGYHGLPNTECRGPSSLLDRLRHGGGCDCGGWDMACPLVILGNPSLQFAEDHSLIEEYQPLELFVQGAKESSPTFSMKMVEEGNYAIDFHAKLSTLQAFSICVAILHGTYPFSSSMNQKNQQLTQCSSLKMLLEEDVECFFKSITTEKKTACKTPNGVPRSYVPNPPFSPIARV >CAK8579197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683083822:683086504:1 gene:gene-LATHSAT_LOCUS31163 transcript:rna-LATHSAT_LOCUS31163 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSSMGKELELDLNSKSSVGLSPNTVLPPQQYSLNVKKRSKKGKSSGKDEVFTMKEDFAEIKFARFRSSSCKSRLSRIPHGLEGDNVEETRRVSMYQSSKEVRDIKKTGGRKKIEFSRRSDISFSSSIVDSLCGSDDDDSKHRPSDISQYSNFSSRSSACQEPDNSNGFIEIRMDSNFKNKKSNAVEGRGSVNLKSRSDKVADTVMNGNFLLEKDRVHSLQKSLSAKVEVSNLISSLENDYLSNVRFNPVKKRMNNFKKSKSLRSPLSHIAETNDEVKSNETSETISNRTHQKYLLNDLSNTGKHSDIISEFINREIKYSGIASSPVHLHGNLKLESKHGMPFFEFKVKCPEDVFVAKTWRIGKGFNFVYTFHSIDNNRKKSNATELESHDFDKDSSIVAKMLVSSNICSEMKDKVSDNSMVTEFVMYDLSHSRHSVSSEKKSLCEQNAFKTRKASRVGPKEEPFGMDFEENIAIKSKLQDKPLSGKVESADSNYFPLLPTESYSNLEITAIVLDIPFSKRESLKYKRGDRLCDKEYSNISNLCSVVDQSRKSLVDRKFHEQLKVVIPTGYHGLPNTECRGPSSLLDRLRHGGGCDCGGWDMACPLVILGNPSLQFAEDHSLIEEYQPLELFVQGAKESSPTFSMKMVEEGNYAIDFHAKLSTLQAFSICVAILHGTYPFSSSMNQKNQQLTQCSSLKMLLEEDVECFFKSITTEKKTACKTPNGVPRSYVPNPPFSPIARV >CAK8535202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824308608:824309627:1 gene:gene-LATHSAT_LOCUS4675 transcript:rna-LATHSAT_LOCUS4675 gene_biotype:protein_coding transcript_biotype:protein_coding MELQHLVIFISVLSLALGGESHASVVPEEEYWEAVWPNTPIPNSLRDFVKHVPQGNEIDDLPMEIDDTQYPMTFFYEHELYPGNTMNVQFRKNPFAQPYGFLFYMGDNKKERYTFNDVCVKSEPIKGEQKFCAKSLKSLIGFTISKLGNNIQPLSSSFISKHQQYKIESMINLGEKAVMCHRLNFQKVVFYCHEVHGTTTFMVPLVANDGTKTQALVVCHTNTSGMDHEMLLQVMRGDPGNKPVCHFLGNKAIMWVPNLVVDSDYSANHVV >CAK8537384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:318010832:318011656:1 gene:gene-LATHSAT_LOCUS6677 transcript:rna-LATHSAT_LOCUS6677 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLIHSIMRHVAETLNLDLEDLYIHIAWPLYRKYGHAFEAFKIVVTDPDTFLSTLMREIKEVGPDGQEVTKVVPVVSEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVIHIKETIEAVSSKKRKRKKTSSEAVGGFSVFRSSTSKTNEKVQAGDDESIRLKKEK >CAK8530542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28589803:28592574:-1 gene:gene-LATHSAT_LOCUS400 transcript:rna-LATHSAT_LOCUS400 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFNSFLIFIIFFFSFVASNPSDSSFKTTYDRLCNDIVPAPASSPSADAQTTPGIAESLYFQSDYFSGGDPLFNASADSNFFKHVAFRVNSARIATDGVYQLVGRVSLWLTGVGSTPGMRVYPGRRLSLRMRVSVNGFWSQSSGKLCMFGKGSYGSRNNMRNVNVVVKLRYPIRVTIFDSLIIGTIERFDEVNSLDYFKPVSILALSRSSRYDFTMGGIKNDSFCGAGSNEESLSLGDLNNDACSVFLRHRDRFRLEYGSHCRNVSCNPFGGDGVVKLPDFMGFYGTRCVERRKIQMLLDFRSLDNSDLVFLSRPNTTYISEGVWDEKENRLCAVACRILNITGSPYVGDCSIKLTLRFPAVLSLRNRSSVLGRIWSDKLVGEPGYFGSVGFEGMWKRSGSFPGLKYKYTEIDRVRKSCAEKVTPIGKGKSYPDGHSSDTAFSMVVTNSEGQVTQGYSSPLFVGDQSYDGLPYGVPFIPPNGGNLKAHGFHYSNSLNVSYTFLLNPSSDFKFGNEVASTEVKISAEGLYNRETGVMCLIGCRHLRRNDKLMMKNESLDCEIMINVQFPPLDAKGGESVKGTIESTRPKADSYYFEPFQLSSYSITRRQADDSIWRMDLEIVMVMISNTLACVFVGLQLLHVKKHTEALPRISIVMLLFITLGHMIPLMLNFEALFKVNHNTVQDAFLGSGGWLEVKEVVVRMVTMVAFLLELRLLQLTWSSRQTEESQPQPGLWVSEKWVLFIILPLYFCGGLTACFVHIWKNYRQKRSRPFRLSRHRFKTPRRHFNQLPSLWEDLKSYAGLLQDGFLLPQILFNILSNSEEKALASSFYFGTTIVRILPHAYDLYRANSSALHLGISYIYADHSMDFYSTAWDIVIPSVALLFALLVFFQQRFGSRCFLPKRFRETSAYEKVPVIGNDNL >CAK8564271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664940766:664943886:1 gene:gene-LATHSAT_LOCUS17593 transcript:rna-LATHSAT_LOCUS17593 gene_biotype:protein_coding transcript_biotype:protein_coding MMCFLKLTMFLFFCLVIVHTHSRVLVEEHIDIGLVNDRNALVSFMSCIVFDLENALTSWKSNVIHVCDWSGVKCNNASNNRRIIELDLSGRSLTGTISPSLANLSSLQILDLSRNHLTGHIPRELGYLVHLQELSLSWNFLQGDIPLEFGSLHNMYYLDLGSNQLVGEIPPSLLCNGTSLSYIDLSNNSLVGEIPLNNNKCVLKELKFLLLWSNKLVGQVPLALSNSTKLKWLDLESNMLSGELPSKIICNFPHLQFLYLSYNYFVSHDGNTNLEPFFASLMNSSNFQELELAGNGLGGKLPRIIGSLSSGVQHLHLQENLIHGSIPPQISNLVNLTFLKLSSNRINGTIPRSLCKIVRLERIYLSNNYLSGEIPSTLGDIKHLGLLDLSRNRLCGTIPDSFSKLPQLRRLLLYDNKLSGTIPPSLGSCANLEILDLSHNRITGMIPSEVAALSSLKLYLNLSNNELQGPLPLELTKMDMVLAIDVSVNNLSGRISPEFENCIALEYLNLSGNSLEGPLPNSLGQLPYIQSLDVSSNQLNGTIPESLQFSSYLKTLNFSFNEFSGNVSNKGAFSSLTADSFLGNDNLCGPFKGMKQCHRKKSYHLVLLLVPMLLFGTPMICMCRNSMIIKSKVRKQLRAVSDGCDMEDGEEDETKELRYPRISYRQLREATGGFTGSSLIGSGRFGRVYKGVLLDNTRVAVKVLDTTTTPDGEISRSFRRECQILKKIRHRNLIRIIKICSKKEFKAIVLPLMRNGSLERNLYDRNNELSKRLSVTQMVKICSDVAEGMCYLHHYSPVKVVHCDLKPSNILLDDDFTALVSDFGISRVLKGDDGSNSTSFSSTHGLLCGSVGYIAPEYGMGKHVSTEGDVYSFGVILLEIVTGKRPTDVLIHEGSSLHDWVKRQYTQPHKLESIVEQALQRFSLSCAPRHDNKIWEDVVLELIELGLLCTQPNPSTRPTMLDVAYETGSLKDHLTNFFAREDNLIL >CAK8574397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680040399:680042662:1 gene:gene-LATHSAT_LOCUS26749 transcript:rna-LATHSAT_LOCUS26749 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATIRRIVSPCWRPSSEGDSSRYGDASGRSDGLLWYKDSGRHANGEFSMAVIQANNLLEDHSQVESGPMSLNEGTPHGTFVGVYDGHGGPETARFVNDRLFKHIKKFTAENQGMSADVITKAFLATEEEFLALVKKQWQHKPQIASVGACCLVGLIYNGELYTANAGDSRAVLGRMDEATKEVKAVQLSYEHNASLESVREELRSLHPNDPQIVMMKHTVWRVKGLIQISRSIGDAYLKNKEFNQAPLLPKFRLSEPFETPILKAEPTIQVQKLEPSDQFIIFASDGLWEHMSNQEAVDIVQSCPRNGVARKLIKSALCEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVYLDSHNSRAPTVSIKGGGDFGTGIVNG >CAK8538365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479162391:479162886:1 gene:gene-LATHSAT_LOCUS7577 transcript:rna-LATHSAT_LOCUS7577 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSTRTNSSSLNPNAPMFVPLAYRTVEDFSDDWWALVQSSPWFRDYWLRERFQDPQNQNDAFSDFDMDEDDLFHVHEIEHQHEDGKELVSLGSLKWRGSGGWAEAPKYAEKAPKNFKPRVSPRAIHQPR >CAK8569747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9664995:9670594:-1 gene:gene-LATHSAT_LOCUS22562 transcript:rna-LATHSAT_LOCUS22562 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTESCNNRGLNINYDGPIQSLKIEVYKDILTRLIELNAPEVTLPHFQDELWLHFNTLPTRYALEMNVEKAQDVLMHKRLQNMARTIATTPAIEVRLLQVRSPLGVHSNKSLHSNLQTQISCQVSDFPCNMRPMHEITISTSDKPKLFSQLTTLLSEIGLNIQEAHAFSTLDGYSLDVFVVDGWEGQDTERLKHEVTKKMQKLEEIEILKHIPTKKIKKLEKHPWCSLPKEKLEKIGMNCKPISRNYVWEIDASCIRYEKKMASGSVSDLYKGTYINQDVAIKVFKNGSLNGNTQREFSQEIFILSKVQHKNVIKFIGACTKPNFHLVTEYMSGGSMYDFLHIQKTILTLPSLLKVAIDVSQGVKYLHQNNIIHRDLKTANLLMDEMGVVKVADFGVARLQDQSGIMTAETGTYRWMAPEVIQHKPYNHKADVFSFGIILWELLTRKLPYEDLSPLQAAVGVVHKDLRPEIPRDAHPKLVELLHWCWHKDPSLRPEFSEVLKFLQHMNSKIAGKKKVKVKAKKTHKHDKI >CAK8569746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9664995:9670594:-1 gene:gene-LATHSAT_LOCUS22562 transcript:rna-LATHSAT_LOCUS22562-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTESCNNRGLNINYDGPIQSLKIEVYKDILTRLIELNAPEVTLPHFQDELWLHFNTLPTRYALEMNVEKAQDVLMHKRLQNMARTIATTPAIEVRLLQVRSPLGVHSNKSLHSNLQTQISCQVSDFPCNMRPMHEITISTSDKPKLFSQLTTLLSEIGLNIQEAHAFSTLDGYSLDVFVVDGWEGQDTERLKHEVTKKMQKLEKNQWFPLSPFPKEIEILKHIPTKKIKKLEKHPWCSLPKEKLEKIGMNCKPISRNYVWEIDASCIRYEKKMASGSVSDLYKGTYINQDVAIKVFKNGSLNGNTQREFSQEIFILSKVQHKNVIKFIGACTKPNFHLVTEYMSGGSMYDFLHIQKTILTLPSLLKVAIDVSQGVKYLHQNNIIHRDLKTANLLMDEMGVVKVADFGVARLQDQSGIMTAETGTYRWMAPEVIQHKPYNHKADVFSFGIILWELLTRKLPYEDLSPLQAAVGVVHKDLRPEIPRDAHPKLVELLHWCWHKDPSLRPEFSEVLKFLQHMNSKIAGKKKVKVKAKKTHKHDKI >CAK8539251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507589055:507597639:-1 gene:gene-LATHSAT_LOCUS8363 transcript:rna-LATHSAT_LOCUS8363 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGAINVDPIPLLTPYKMGNFNLSHRVVLAPLTRQRFYKNGPQPHTSLYYSQRTSQGGFLIAESTGVSETSLGYPNTPGIWRKDQVETWKPIVDAVHDKDGIFFCQIWHCGRASNSGYQSNGQGPISSTNKSLKPQTRSNGIDIAKFTPPRQLKTHEIPHIVNDFRLAARNAIQAGFDGVEIHGAHGYLIEQFMKDKVNDRTDKYGGTVENRCRFALEIVEAVVNEIGAERVGIRLSPFAEYGECGDSNPELLGLYMVNALNKFNILYCHMVEPRMKTITGKVECPRSLLPMRKAFNGTFIVAGGYSRQDGNDAIAENRTDLVAYGRLFIANPDLPKRFALNAPLSQYDRETFYTSDPVLGYTDYPFLG >CAK8571042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273474424:273476439:-1 gene:gene-LATHSAT_LOCUS23739 transcript:rna-LATHSAT_LOCUS23739 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRDALLALNVQFQTQSIPSRSLFKTHGVGLDVAAYGSTIQHCTNHRLVRQGKQLHARLFPFSITPDNFLASKLITFYAKCNLTPEARNVFDKIPSKNTFSWNAMLIAYASNSLFNDAVNLFASFVSSTNSGVSPDNFTVTCILKALPSSSSSSSSHKSAEGIHGFVLCRGFDSDVFVLNALVTCYCRCGRTEMARKVFDEMTDRDIVTWNSMIGGYSQSGLYQECKRLYLEMLGVEGKGILPNAVTIGSVMQACGQSNDLSFGMKVHQNLKDNGIETDVLLHNAVVAMYAKCGCLDYARELFDEMSEKDEVSYGSIISGYMINGFVDKALDVLKGMENPGLSTWNAVVSGMVQNNQFEGALDLVREMQGFGLKLKPNAVTLASILPLFSCFSNLRGVKEVHGYAVRRSYDQSIYVATAIVDTYAKLGFIHVARRVFDQSRSRSLIIWTAIISAYAAHGDASLALGLFDQMLGRGIQPDPVTLTSVLTACAHSGLVQEAWNVFNDMPSRCGIQPVVEHYACMVGVLSRAGKLSEAAKFISEMSVEPTAKVWGALLHGASIYGDVEMGKFVCDHLFEIEPENTGNYIIMANLYSHAGRWGEAGKIREMMDKIGLQKIRGSSWIETNGRLLEFIAKDMSNEMSDEVYALLEGLLGLMREEGYNLQEELDYEM >CAK8577816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590482536:590483216:1 gene:gene-LATHSAT_LOCUS29887 transcript:rna-LATHSAT_LOCUS29887 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDPLLSIIVAFCTLVFFYLPHLFSTIVFSPVIILTGVLLLTILRLGAIQKFHHDQKENQQKHEENRAGKCKEEKEKQSSIISIKQVQNSENEVNSEMGLETSSCFVEWNVRAPLEVIYEEYEGEEKWDATNEREENCNMGVSSYSSLNRYFPESDSDSSSESGSPTIEEWDEEAGEGLIEIALDGSKRMKMKMDLEFQYDEENLIEIDISPTRYGKLPGDSYR >CAK8535355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842739367:842739648:-1 gene:gene-LATHSAT_LOCUS4821 transcript:rna-LATHSAT_LOCUS4821 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMKVVCAMMILVVVMVEMFSVAEGGCNAIQLSPCLPAIRSNSAPSATCCSRLNDQKSCLCGYLKNPILKPYVNSPGSRRVAEACGVGVPNC >CAK8563355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594887536:594888117:-1 gene:gene-LATHSAT_LOCUS16776 transcript:rna-LATHSAT_LOCUS16776 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITANRDKDKDKDKKKSISRSSRAGLQFPVGRIHRLLKTRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >CAK8562798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538342390:538344658:1 gene:gene-LATHSAT_LOCUS16264 transcript:rna-LATHSAT_LOCUS16264 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGGVSGGVTVVGSDAPPEYHVAPRTDNQTPTGGSAVQFTTAVQGNSQPQNPPHTAVVTVSPADTTVPEKKKRGRPRKYATDGSVRMALSPKPISSSSPLPPVIDFTAEKRAKVRPVSSVSKAKFELENIGEWVPCSTSSNFTPHIITVNTGEDVTMKVISFSQQGPRALCVLSANGVIKSVTLRQPDASGGTLTYEGLFEILSLSGSFMPNDSGGTRSRSGGMSVSLSSPDGHVVGGGVAGLLVAASPVQVVVGSFMAGNQHEQKARNQKTDVISTVTTAAVVPIPTLDPIPILSSVTSFRGDNWSAVQAPEAKDKPVSDINVSLPGG >CAK8572108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510966152:510972116:-1 gene:gene-LATHSAT_LOCUS24707 transcript:rna-LATHSAT_LOCUS24707 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGGGSFGELYLGVNVQTGEEVAVKLEPSKTKHPQLHYESKLYMLLQGGTGIPHLKWYGVEGDYNAMAIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLLNRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLHTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGNKKQKYDKISEKKMLTPVEVLCKSHPLEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSKARPSGKPVINPGQSGERIERPSGGPEVRDRFSGAVEAFARRNVSGHGLHGDHPRHRSKDDVPSSKDVQVDSERPRSSSRHGSSSKRPVLSSSRPNSSGEPSESRRLVMNSSRLSTTQRTQPGLESKTSSFNRASGTRGGRDDTLRSFELLSLGTGKRK >CAK8536428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940766116:940766604:1 gene:gene-LATHSAT_LOCUS5797 transcript:rna-LATHSAT_LOCUS5797 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIISSLVRSSLRPSPSKSSTTASTSRLSSQSRLSLSPSPYFLNRVTEYATAAATASPTPPPLKKVSGGDEKITDEHTGKGAIGHICAIIGAVVDVRFEDGVPLILTALEVLEGSQRIMLKVAQRLGQGVVRIIAMEATEGVVRGWRMLNTGSPISVNS >CAK8565489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:241129527:241129928:-1 gene:gene-LATHSAT_LOCUS18703 transcript:rna-LATHSAT_LOCUS18703 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELTQLQQSQLATILAANPSPFKSLISRLMSSSNAKHSQAEALFNLCKQTDLDGLVLKLGHLLHSSPHQEARAMSVILLRKRLTRDDSFPWPRLSPQTHSSLKPLHENNLNTNHYNPLLQPQILPRPNDTT >CAK8567222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495909455:495912338:1 gene:gene-LATHSAT_LOCUS20292 transcript:rna-LATHSAT_LOCUS20292 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPCLFRSFSVPSDTSCAPHEGNPLRALGESISFGRYMSESLNWEKWSVFTQNRYVEEAEKYSKPGSVAAKKAYFEAHYKRKAAEKAAALGEEANRTFDSESFERNCTDVSAQRTSEADSVVTANDEISKDIVDYQVVDCDVRNECKWDGGETDLEIKSEADSIEITNIEIDKETIVYQVVDRDDTNQCKWDGGENDLEIKSEADSIEITDIEINEDTVDYHVVVCDDTNQCKRDDGENDLDISEVEVAEKVLYPCNDMNLNVESCVLVDNSNQLDHVEVHKNTVTSIEEKAPDPGITVQEVLALPVKGREINSSPKSLAKTRAEKLPPSREQRKSSASVSSRRIGIISGLKCDNSIGGLVETKRLTAQSLHTSISLPSGIGETSKTTDAALRSRNATNRFLPSKNSVGSLVENKRLATSSLHMSVSVPSGTGVASKTTTTASVKPRNGTNIVAKSLKSIGVSMEKRLTSRSLHMSINLSSGAGETTRTATQASHDLLNQAPTNLPSQGRSCLKSSSTAQSNPRLPTKSLPFRFRSEERAIKRKERMEETKSKEEEKVQIQRKATSSSSTIKNLGKSRKPTISTNNPKRITEINNRTRQSGTSLSNTSWENASPNIQH >CAK8572648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553172345:553178513:1 gene:gene-LATHSAT_LOCUS25185 transcript:rna-LATHSAT_LOCUS25185 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTPQTLLRPYLYSPSPFHSSNSKSKFLRNHRNPILRCSIAEDSKSTASPTKTDSRARDLSPVDCVVVGGGISGLCIAQALSTKHADGVSNVVVTEARDRVGGNIITVERDGYLWEEGPNSFQPSDPMLTMVVDSGLKDELVLGDPDAPRFVLWNGKLRPVPGKPADLPFFDLMSIGGKLRAGFGALGIRPPPPGYEESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEQNGGSIIGGSFKAIQERNGASKPPRDPRLPKPKGQTVGSFRKGLTMLPEAISARLGNKVKLSWKLLSISKLDSGEYNLTYETPEGVVSLQSKTVVMTIPSHVASPLLRPLSSTAADALSKFYYPPIAAVSISYPKEAIRSECLIDGELKGFGQLHPRSQGVQTLGTIYSSSLFPNRAPPGRVLLLNYIGGATNCEILSKTESELVEAVDRDLRKILIKPNSQDPFVLGVRLWPQAIPQFLVGHLDLLDTAKASLNNTGFEGLFLGGNYVSGVALGRCVEGAYELAAEVNNFISQSVYK >CAK8543167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584847436:584848116:1 gene:gene-LATHSAT_LOCUS11943 transcript:rna-LATHSAT_LOCUS11943 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEPTPRVVFGDVPTFKEATAELKEAIDQIYLSSGNSQCDGSSPGSQLSVVSPPAKETGTKSCLVEAISSPSVPKHAIHAFQMLNTSPEAQTVVQSIACDPNIWNAVRKNPVVTSFFESQLTAVESSNDAAYVAVAGSETVETPKKEEGDAFDLMNVLQNLKLTVTEMVSRMSNFFQNIFLTAEKDKSSTDGGGANFMDYKNLMGGSFMGLAVMVIMVVLMKRV >CAK8563362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:596333538:596336807:1 gene:gene-LATHSAT_LOCUS16783 transcript:rna-LATHSAT_LOCUS16783 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLSWLKLLSFSFIFLLLVLKLTVLLWWRPRKIEGYFSKQGIRGPPYRFFIGNVKELVGMMLKASSQPMPNLSHNILPRVLSFYHHWKKIYGGTFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAPPLVKQLEGDGLLSLKGEKWAHHRRIISPTFHMENLKLLIPVMATSVVEMLENWSEMSHKGEVEIEVSECFQTLTEDVITKTAFGSSYQDGKAIFRLQAQQMVLAADAFQKVFIPGYRFFPTRRNIKSWKLDKQIKKSLVKLIERRRENSNERIEKGPKDLLGLMIQASSKTNVTVDDIVGECKSFFFAGKQTTSNLLTWTTILLAMHPQWQVQARDEVLKMCGSRDVPTKDHVVKLKTLNMIVNESLRLYPPTIATIRRAKTDVELGGYKIPRGTELLIPILAVHHDQAIWGNDVNEFNPGRFSEGVARAAKHPVAFIPFGLGVRTCIGQNLAVLQTKLALVMILQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFKQLSTPDNSPQGSSS >CAK8568335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596632449:596634230:-1 gene:gene-LATHSAT_LOCUS21299 transcript:rna-LATHSAT_LOCUS21299 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRGSRKRANAEPIVLNPKKQRVVLGDLPNLQNATVSETQSNGKLQTRKNSKVKKSAATDVYSFDNPVQRKNKSKPEIQQIIEPYASDISNYLRNMEMQKKRRPMVGYIENVQRFITTNMRGILVDWLVEVAEEYKLLPQTLHLAISYIDRFLSIHVVNRSKLQLLGVSCMLVASKYEEITPPKAVDFCHITDNTYELKEVIKMEAEILKSLNFEMGNPHVNTFLNEFVGFATENQKTSKLQMEFLCNYLAELSLLDYDCIRFLPSIVAASVIFLARFIIRPSVHPWTSSLSESLGYKSAELEECVLILHDLYLSRKAVSLKAVREKYKQHRFKYVANLPSPPEVPKQYFEEE >CAK8538178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467203611:467204795:-1 gene:gene-LATHSAT_LOCUS7407 transcript:rna-LATHSAT_LOCUS7407 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHWCHRCNKFVRVWRLGMPICSDCDSGFVEELEHPTRSLHAEAIRPRRLPMTAAMYMIGHHHNNHSNQNQNPRRRYCRNNANGGDISTFNPIVMIRGNGTSEGTSREGEENRGYELFYEDCPGSGLRPLPPRMSETLLGPGFERVMEQLSHVEANRNRNETNNRKPLPAEKSTVESLPSIEINNNHVEIESQCAVCKDHFEVGVIAREMPCKHIYHNECILPWLEIRNSCPICRHELPCESPQNNHHEQDNVGLTIWRLPGGGFAVGRFAGRSQDNNEGELPIVYTELDGGFNSVGEPRRISWSMSASNEGGRGRRNAGSGIRRMLNNMFGCLGGGDGRINEQGSISTTREFSENMNTRNNNNNNASRTYVSASSRAARRTWSMDVNGGRPC >CAK8530246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9636570:9637088:-1 gene:gene-LATHSAT_LOCUS128 transcript:rna-LATHSAT_LOCUS128 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKNSVVSIMLLFLIVFSQMDNVVPDASDCLDACSTACVSRDSRLTARCDRKCQIRCDPDSMVEKGMD >CAK8530247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9636570:9637082:-1 gene:gene-LATHSAT_LOCUS128 transcript:rna-LATHSAT_LOCUS128-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNSVVSIMLLFLIVFSQMDNVVPDASDCLDACSTACVSRDSRLTARCDRKCQIRCDPDSMVEKGMD >CAK8569344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695555040:695557585:-1 gene:gene-LATHSAT_LOCUS22205 transcript:rna-LATHSAT_LOCUS22205 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTWIDLSHHNSNGNLKSLDTLCPVAETEKFDFSKVLDKPRTLNIERQRSCDERSTSELSIGLSPRLLATKIDIFSRFGDHLDSPIQKSGLNTPRSLILDSNSILPEAWEALRRSLVRFRGEPVGTIAAMEDSDEKLNYDQVFVRDFVPSALAFLMHGEPDIVKNFLLKTLRLQSWEKKIDRFQLAEGVMPASFKVFHDPVRNRETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEQPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMSLRCALQLLKPDPEGKEFMERIIKRLHALSYHMRSHFWLDLKQLNDIYRFKTEEYSHTAVNKFNVIPDSLPDWIFDFMPHHGGYFIGNVSPARMDFRWFCLGNCIAILSCLATPEQSVAIMDLIESRWEELIGDMPVKVCYPALETHEWRIITGCDPKNTRWSYHNGGSWPVMLWFLSAAAIKTGRPQIAKRALQIAEARLLKDNWPEYYDGTHGRYIGKQARKCQTWSIAGYLVARMMLDDPSHLGIIALEEDRQLKQSVHKRSNSWTS >CAK8543882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646390750:646391049:-1 gene:gene-LATHSAT_LOCUS12606 transcript:rna-LATHSAT_LOCUS12606 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHLAKMFMDYMNDDVDEELVRLFMEEEASSSRKPKRQRRNIKRNREEGHERLFKDYFLETPVYTNEQFRRRYRMHKHVFLRIVEALGQHGEYF >CAK8531844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:163434656:163435459:-1 gene:gene-LATHSAT_LOCUS1608 transcript:rna-LATHSAT_LOCUS1608 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEHAYSTRSLSTASEMGSSFMLESGFYIISFSATIFIAGFAALGLLLITLLVSMAMMLQSCQNNSAGILELRNVNDDYSYCKVHSLHAKFNHLEEYNVPEICKDLAVQYIKGGQYARDLDLTKSVIEAYFNGVKASQDGFDVVLIDIDGIFPLSPLTDNLELRYLAHFMLLRLISSRI >CAK8534333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:718161099:718161524:-1 gene:gene-LATHSAT_LOCUS3885 transcript:rna-LATHSAT_LOCUS3885 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHDMFLGGEEECHSSESGWTMYIGSPISDDDDGDCYQVTHQIQGDAGIESDDSMVSDASSGPTHYGVNVNKPLRHFKNKVEHDAYHDDDDEVGEYYCYDYHKKESQIEEKKEEKKQKVSVQGGGRVKVRKNQRVGTRK >CAK8542508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521166365:521166589:-1 gene:gene-LATHSAT_LOCUS11345 transcript:rna-LATHSAT_LOCUS11345 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYTRIEGEKVEIERKKVDAKIKKTESEEEGLKMNDMQTLSKDTSNMDTRQLKTHEMLCDMIREKYGFN >CAK8541638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:322976940:322977842:-1 gene:gene-LATHSAT_LOCUS10543 transcript:rna-LATHSAT_LOCUS10543 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPGWQNRSLTSSSIKRAAVGQVKHSIFVRLKLKGIRERKFLFPGISMGIRAGALSPISDWRMVLDPSDSLSTTQKERLKQKNGLREEAQIKMPTSPYRLFTVTSKYEGSEIFSNNKNGTSGLFLVILL >CAK8572894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570049259:570053468:-1 gene:gene-LATHSAT_LOCUS25400 transcript:rna-LATHSAT_LOCUS25400 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTSTTAPTTVPLQPPPPMLVSDSFAKDAILAWFHGEFAAANAIIDALCSHLSHLSSGAEYSSVFAAIHRRRLHWIQILQMQKYHSIADVALQLKIVEEKKNTVEEVVIENDVVAEEEERKTEDKVIDGGNEHEEYDSPESEITDSGSLENQTSSMNSDICSNHEECEGRPSQFKLTKGFSAKESVKGHMVNVVKGLKLYEDIFTDSELCKLSDFVDEIHTAGQNGELSGETFILFNKQIKGNKRELIQLGVPIFGQIKEDTKSNIEPIPALLQHVIDHFIQWHLLPEYKRPNGCIINFFEEGEYSQPFLKPPHLDQPVTTLLLSESTMAFGRILMSENDGNYKGPLMLSLKKGSLVVMRGNSADMARHVMCPSPNRRVSITFFRVRPDSNQCQSPTPAMTNSMTLWQPAIANPYALPNGALSCAYEGMDMMMPKWGMLPGPVMMLTPMRPMALNPRKLAGGGTGVFLPWNVPSRKPAKHLPPRAQKGRLLALPSPVEPHIGESTSEPSICVEG >CAK8538171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466700593:466704525:-1 gene:gene-LATHSAT_LOCUS7400 transcript:rna-LATHSAT_LOCUS7400 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRLGNGVRGFQVPEIPKCPRSARTRVPFEKPAEDGHACAFELLASLAGKLLQESESSNSSNVSEAKLLTEEQYQNGSCDEKSSEKCVEISESDCLLECISASDNVKSEILKLESKFGNHSSTNRLVELPIKHEVGNGSSGFEGLSADHKFSLKDPLELRVNSPSLVDLNSNVVKSPFSRELFPNASFPRHGNDNKLHFIDDDEKFIRCNKVCTKSKAFRPSRCIARKIIRKRLTSKHWKVAPKLKDYERSRYDKGIKPSSRKRKTYYNFERSQCYTLSKRKKLSEKGSMVTHGGGFSSESVSDLPKKGICGNNRSSSTKAHVSKDSHVKFRIKSFRIPELYVEVPETATVGSLKRTVMEAVMTLIGGGAHVGVLVQGKKVRDDNRTLRQTGISCKENLDKLGFVLEPSSSQASPVVCASGPSHCEASEPTMSLRTPSIESGVSVAKQDSSLITNTGDLVEINRESASSLDDTMSNKLTQDSGALVLVPDNSSEVLAAVPVSHNSEHSEIVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRCFENADHRTYVDLKDKWKTLVHTAKISPQQRRGQPVPQELLDRVLAAHAFWSIHQAKHHVKHQTTTNGVQPLVIM >CAK8544462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686400442:686402249:-1 gene:gene-LATHSAT_LOCUS13138 transcript:rna-LATHSAT_LOCUS13138 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIVISVVSLILVVGVAIGAVVIVHKNGQDPQIKAQQRSVQVICQNAEDKNLCQDTLKSVNGVESADPKAYIAAVVKATTDSVIKAFNMSDRLSTEYGSKDSGIKMALDDCKDLLEFAMDSLEMSTNLIRDNNINGVHSQTPDMRNWLSAVISYQQSCMEGFDDQKEGEKKIKDQFHVESLDGLQKITGVALDIVTGLSNILEEFNLKLDLKPASRRLLAEDVDDEGFPTWFSGSDRKLLAKMQGKGWRANIKPNVIVAKDGSGQFKTIKDAIDAYPKGNKGRHIIYVKAGVYDEYITVPKTAVNILMYGDGPQRTIVTGKKCFANGVKTMQTATFANTAPGFIAKAMAFENTAGPDGHQAVALRNQGDMSAFVGCHILGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSPTLIQHSVIIARKPNMNQFNTVTADGTSEKSMATGIVIQDCQIVPEAQLFPVRFQIKSYLGRPWKAYSRTVVMESTIGDFLHPEGWIPWAGEHFENTCYYAEYANTGPGADVSKRIKWKGYHVISKAEANQFTAAQFLKAGPASGTDWIKALRVPHYLGLKA >CAK8573295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601199387:601199605:-1 gene:gene-LATHSAT_LOCUS25762 transcript:rna-LATHSAT_LOCUS25762 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHNIRELVNSNASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVESLYGNWETSYNDLP >CAK8579273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689427993:689428547:-1 gene:gene-LATHSAT_LOCUS31230 transcript:rna-LATHSAT_LOCUS31230 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLPFLFVFVMLFALTSATPNKRKPYKPCKNLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAAVTTDAFEGEAYFRLGVDIKFFECW >CAK8533816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662236837:662238890:-1 gene:gene-LATHSAT_LOCUS3411 transcript:rna-LATHSAT_LOCUS3411-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVTTPLLVKDDEIESTFVQELKRVSFMAAPMVAVTVSQYLLQVVSLMMVGHLGILVSFSGVSIAMSFAEVTGFSVLLGMAGALETLCGQTYGAEEYGKLGNYTCCAILTLIVVCLPISLVWIFTDKILLMFSQDPDISHVAREYCICLIPGLFGYAVLQALIRYFQTQSMILPMVFSSVTALVLHIPICWVLVFKFGLGHTGGALAIGISYWLNVIWLWIYMKYSPSCQKTKIVFSTHALLNLPEFFKYAIPSGLMFCFEWWSFEILTLLAGLLPNPQLETSILSVCLNTTTLHYFIPYAVGASASTRVSNELGAGKPKTAKGAVRVVVIIGIAEAIIVSTFFLCFRNILGYAYSNDEQVVNYIAEMVPLLCVSISADSLIGVLSGVARGGGFQEMGAYVNLGAYYIVGIPLGVLLALTDWQKEATKARERIMDQSMKSNSNTLVI >CAK8533815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662236837:662238911:-1 gene:gene-LATHSAT_LOCUS3411 transcript:rna-LATHSAT_LOCUS3411 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSREMDKEVTTPLLVKDDEIESTFVQELKRVSFMAAPMVAVTVSQYLLQVVSLMMVGHLGILVSFSGVSIAMSFAEVTGFSVLLGMAGALETLCGQTYGAEEYGKLGNYTCCAILTLIVVCLPISLVWIFTDKILLMFSQDPDISHVAREYCICLIPGLFGYAVLQALIRYFQTQSMILPMVFSSVTALVLHIPICWVLVFKFGLGHTGGALAIGISYWLNVIWLWIYMKYSPSCQKTKIVFSTHALLNLPEFFKYAIPSGLMFCFEWWSFEILTLLAGLLPNPQLETSILSVCLNTTTLHYFIPYAVGASASTRVSNELGAGKPKTAKGAVRVVVIIGIAEAIIVSTFFLCFRNILGYAYSNDEQVVNYIAEMVPLLCVSISADSLIGVLSGVARGGGFQEMGAYVNLGAYYIVGIPLGVLLGFHLKLNDKGLWMGTLSGSVLNVIILSIVTALTDWQKEATKARERIMDQSMKSNSNTLVI >CAK8536526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:950700077:950701171:-1 gene:gene-LATHSAT_LOCUS5885 transcript:rna-LATHSAT_LOCUS5885 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRCCWFPIYLIASVTLGIIAITSAIHSNSKPTPQEIPPQTHTLSTNATQALKTAGFTFMADLLHRSPPFFLPPQNSTFFAIKDSAITNTSLPLWFLKSLLLYHTFTTKLTMQELLNQSQGTCITTLFRQKNASLTKIETLQETVEINRVSISNPNLFLGEQFIIHGVVGPFSSIQREDLQGGSDFIHSPTCPSSSSSSSSPSPSSSDTNSTYTGAEFKKTVEWNRVIHFLGSKGYSSFSIALHSVLEGLLKESVNFGFASVTIFAPPDVNLLSYPSALLYRAVKIHILPQKLTYKELSLFPVRTLLKTLMPDDHLEIDGVLGFMAGVVINGIEIVKPDMFVSEKFVVHGISRAFKLAESNA >CAK8562523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506314073:506314585:1 gene:gene-LATHSAT_LOCUS16014 transcript:rna-LATHSAT_LOCUS16014 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVFGWFQFGVWEAVEFIVWMRLLTVVILLSYIICWMDIPLFWTVKANYARGSRLFGMAEAGPGQDGNNVISMKNSYNVRNGYIGYMEATLPVSFEADKNKTINIVCNSLIPSKIRAFSWILFLERLATKYQILKRGIRLEHVDGVCVLCNRDDKDHNHLFFDCPVAS >CAK8573185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592332323:592342389:-1 gene:gene-LATHSAT_LOCUS25661 transcript:rna-LATHSAT_LOCUS25661 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTKPFLTVPRTDSFAAFVSPNSDLRSLSTVYLRPRFPKKLQIRAAGSTYGNHFRVTTYGESHGGGVGCVIDGCPPRIPLSEADMQEDLDRRRPGQSRITTPRKETDTCKIFSGVSDGVTTGTPIHVFVPNTDQRGNDYSEMSLAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVASGAVAKKILKKFAGTEILAYVSQVHKIILPEDLIDNDTLTLDQIESNIVRCPDPEYAEKMIAAIDAVRVKGDSVGGVVTCIVRNCPRGLGSPVFDKLEAELAKAAMSLPATKGFQFGSGFAGTFLTGSEHNDEFYIDKNGNVRTRTNRSGGIQGGISNGEIINMRVAFKPTSTITKKQSTVTRDKKETDLVARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQSNLFPVNLDFQESLSAKLETEEVPF >CAK8530994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68539109:68540119:1 gene:gene-LATHSAT_LOCUS816 transcript:rna-LATHSAT_LOCUS816 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLNTSEVKPLAPPQPLHTTELKPLAAPQPLHTTELKPLAAPQPLHTTDLDDEDENVKQLDECSSLYLLMQDCVVRSNRNWKECQKEIQALRECSENRRKNKPEVRVYKR >CAK8535385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845887552:845888073:1 gene:gene-LATHSAT_LOCUS4850 transcript:rna-LATHSAT_LOCUS4850 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSVLSDRLRVNGSLARRAIKDLMARGLIRLVSAHASQQIYTRATNT >CAK8576963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528215010:528215432:1 gene:gene-LATHSAT_LOCUS29116 transcript:rna-LATHSAT_LOCUS29116 gene_biotype:protein_coding transcript_biotype:protein_coding MINTTLLAFGVVETETKDSRKWFLELLLQDIGVERRYVFISDQYKGLVSVFEEIFEQIEHKICLRHLYVNFNKKFRGGAAIRDLLTRAAKATYYQAWEKKMTELKQLAS >CAK8534993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800978538:800978927:-1 gene:gene-LATHSAT_LOCUS4481 transcript:rna-LATHSAT_LOCUS4481 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKPRRPEREPIKYGDVFNVSGELSSQAIGQRDAAAMQSAEDKTLGQARKDGPASVMTSAAQKNEDAGFIDDDIATNIARKEGVAVSETYDSGKRVITETLGGQVLGKFVEDTNGAKGTADPLKKNK >CAK8533152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585506313:585508650:1 gene:gene-LATHSAT_LOCUS2799 transcript:rna-LATHSAT_LOCUS2799 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNFPLRWESTGDQWWYASPIDWAAANGHYDLVREMLRIDSNHLFKLTSLRRIRRLEVVWDDDEELFNNVAKFRSQIAHKLLLESESKKGKNSLIRSGYGGWLIYTAASAGDVNFVQILLERNPLLVFGEGEYGVTDILYAAARSKNCEVFRLLFDYAVSPRFVNGRNGIMEEHVGEIPDVYRLEMVNRAVHAAARGGNLKILEDLLANCCDILALRDAEGSTVLHAAAARGKVEVVKYLASTFNILINSTDHQGNTALHIAASRGQLSAANALIASSPSLISQRNNGGATFLHKAVSGFQTPAFRRFDRQVELLRNLLTANHFHVEQIINLKNNDGRTALHMAIIGNIHIDLVQLLMTAPSINLNICDVHGMTPLDYLKQNPNSTNSDFLIKKLLSAGGMFGFRGHDSRKAIASHLRMQSNIGTSPGTKFRIQDSEIFLYTGIENVLSNHHRGIIGVSNSSSSENIPYEPSTNESSVKKTSSVNYAASRLKKALHWSTKPKDQKKVERSKKLSNDEVSFDSSSKKLSTNSVDEISLRQRFSSPSSKPNMIPNNKRTLSVRSYQSSPNAKKRFASGRSRSSSFSKSSFSSPKSFDYKQKGVYIDHNEIAAGPSCLNQQLPRYDDDESPKLVKRNSVGRKLKGHYFCFGGPGLNVKNSVHKASVVAVA >CAK8532995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561850786:561850989:1 gene:gene-LATHSAT_LOCUS2648 transcript:rna-LATHSAT_LOCUS2648 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKINQFFIVMVVMVMMIASSSVSAVEAPTSSVSTPAPGPTSDATILFVPTIITSFVALVFGFVF >CAK8541962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:443498900:443499410:1 gene:gene-LATHSAT_LOCUS10842 transcript:rna-LATHSAT_LOCUS10842 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSVDLRDLEPNIQEISLMIYPLQKVPRITLLRQIGNSLWKAKGERRDVNTIFLKLQIADSSGHIRNIHFPFDIGADTSVVVASEMVEELEFSDQDVSTIAMTIDSESSYNCKL >CAK8576346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:464704253:464705285:-1 gene:gene-LATHSAT_LOCUS28541 transcript:rna-LATHSAT_LOCUS28541 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTDWPWKPLGSFKFVIISPWIAHSIYSFIWLERDPTYYLIFPYMLIRMLHNQIWISISRYQTAKGKGRIVDKGLEFEQVDRESNWDDQILLTALILYMTYTIFPIAANLPWWRGDGVILTTILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTQPITAVIHPFAEVLAYVVLFLIPLSTTLFLNKSSVVAMYGYIFYIDFMNNMGHCNFEFFPKKLISCFPVLKYLCYTPS >CAK8539841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528623909:528626549:1 gene:gene-LATHSAT_LOCUS8901 transcript:rna-LATHSAT_LOCUS8901 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQRELAENTSNGVPVKKQSAGELRLHKDISELNLPKSCTMQFPNGKDDLMNFEVLIRPDDGYYLGGTFLFSFHVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMSGGYVGQTFFPRCM >CAK8532730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:505841346:505842795:1 gene:gene-LATHSAT_LOCUS2407 transcript:rna-LATHSAT_LOCUS2407 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEEEITKLYRVHRTILQMLRDRNYLVLDSEVDMSKKEFKEKFDSDKKENVPTYLKTSKDDPSDQILVFFARGSKFGIRVITDIRECMEREKVERSILVCQSKPSGPAQIYLRDLTSKHRIEVFLEDELLVNITEHELVPEHQVLTKAEKENLLETYTVKETQLPRMLVTDPVARYYGLKRGQVVRITRPSETAGTYITYRIVV >CAK8574624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4251819:4253762:1 gene:gene-LATHSAT_LOCUS26952 transcript:rna-LATHSAT_LOCUS26952 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNAPIFASSTKPCFSLTTSLPTHAVTNTFGSNFMNVSLPRCYLMKERHVKVRHVINAAAASVTTSPTEETQEYKLPSWAMFEFGKAAVYWKTMSGVHPTSGENLKLFYNPAAAKLAPSEEFGIAFNGGFNQPIMCGGEPRAMLRKDRGKADSPIYSIQICVPKHALNLIFSFTNGEDWDGPYRLQFRVPKTLQNKPIEFFNEGLAEELSKEGACEKAIFPDTTTVISKCAMVGNLSKEGGDRCDLNLVPGCVDPSSPMYDPLANVDDGSCPIEVDSDSEE >CAK8537807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436923813:436926866:1 gene:gene-LATHSAT_LOCUS7061 transcript:rna-LATHSAT_LOCUS7061 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGFVLENLSSLLQNEFSTMFGIKSKAEKLSTILDLIKVVLEDAEQKQVTDRSIKVWLQQVKDAVYVLDDILDECSIESTRLRGIKPKNLIFRRDIGNRLKEITRRLDDLADSKDKFLLRVGGTVGDRSIEVVEWRQTISFIAEPKVFGRQDDQDKIVEFLLTQARDSHSLSIYPIVGLGGVGKTTLAQLVYNDARVTANFNTKIWVCVSEAFSVKRILCSIIESIKSEKCDILNLDEMQIKVQSLLQGNRYLLVLDDVWNKTQELESGLSQEKWNKLKSVLLCGSRGSSILFSTRDEDVADIVRTCQTYHLSSLLENECWLLFKEYAFGHDKEEHAELVEIGKEIVKKCGGLPLAARALGGMMGSRSGVKEWLEVKESIIWDLTCEKSILPVLRLSYFHLTPTLKQCFSFCAIFPKDWIIMKEELIHLWLANGFISSRENLEVENVGSMIWNELCQKSFFQDINIDEYSGDISFKMHVLVHDLAQSIMGQECVILEDENMVNLSKSTRHISFHDASHLSVNKSALEKVESLRTMFELDHYGYRHTDYFPTNCSLRVLCIYSYQVASLGSLIHLRYLELFGCNIKNIPDSIYSLHKLEILKLKNLENLKCLPKHLACLKNLRHLIIENCYSLSQLFPYVGELTCLRTLSVYIVSLENGHRLIELRDLNLRGKLNIKGLKDVDSLSHAQEANLTAKKELHEVCMSWSVNDEIIETSTFCSEQVLEGLQPHTNLKSLKIHYYNGLCFPSWIKTLTSLVFLELKGCNKCVSVSRLGKLPSLKTLRLFSMNNLKFVHDNDDDDDGESHDSMNLEIFPSLEVLILNDLPGLERLLKLERREMFPCLSILKVYFCSQLRLPCLPYVKDLHVDGCNNELLSSISSFYGLTTLHLGDGRGITSFPEGMFRNLTCLQTLSVDYFPNLKELSNEPLSLASDCLCIYSGDELESLPEKMWEGLQSLRTLSIRCCEELRCLPEGIQHLTSLEELNVRGCPTLEERCKEETGKDWNKIAHIPNIDI >CAK8534815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771315805:771316754:-1 gene:gene-LATHSAT_LOCUS4327 transcript:rna-LATHSAT_LOCUS4327 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDFWPSPFGIRLRIALAEKGVKYEYRDEDLSNKSPLLLQMNPIHKKIPVLVHNGKPVCESLIALQYIDEVWNDKSPLLPSDPYQRSQARFWADFGDKKIYETGKNLWTKKGEDLEPAKKEFTEVLKLLEQELGDKSYFGGDKLGFVDVALIPFYAWFKGYETFGNINIEKECPKFVGWTKRCIEIESVSKSLPDQDKVYQFIVEIRKKMGIE >CAK8574818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10452983:10453750:-1 gene:gene-LATHSAT_LOCUS27121 transcript:rna-LATHSAT_LOCUS27121 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILSFVIGFLVYVPNYSIAQYEFLKNVEQWPPATCLRTKPNTKCIRHPKNFTLHGLWPSNSSSSSDPETCTRTPFKSTLIHTLFPRVNVSWPDITKGKEESFWAHEWSKHGTCSEVKFNMLEYFTRALDIKDKVYILKALNDANIKPDSIKQHPILEIKKAIAKVTGKDPELRCFQLDNKPEVYLHEIGLCLDFDGINFINCPPRPKDNAVSVCSNNNAHVFLLPL >CAK8574363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677330985:677331626:-1 gene:gene-LATHSAT_LOCUS26717 transcript:rna-LATHSAT_LOCUS26717 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKRALRQFTFGKGKTAGRNSSGRITSFHRGGGAKRLQRVIDLKRNTSSSIGIVERIEYDPNRSSRIALVRWLNGIHPPPQRRTTASAESSISAASSRVLQLDPSSTSINDTRGVLGLNSLLPQLHAGAASGKVFISAFSSKTKEDKTESVPLPLGLPRIVVAAARPVFFGIRAPLKGEDEKLEIRNWKKNSDVWEHRNKRKAAISWHNIA >CAK8577560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574574496:574575712:-1 gene:gene-LATHSAT_LOCUS29658 transcript:rna-LATHSAT_LOCUS29658 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDPSFIQDHQQRPKLSIIEAKGIPEIDLSPLFHHQLPNPSAIESLVKEIGSACKEWGFFQVTNHGVPLSLRQKLDEASRLFFAQSLEEKKKVARDAITPTGYHDTEHTKNVRDWKEVFDFLAKDPTLVSLNSDENDDRVIQWTNPSPQYPPHFRDIIKEYIEELENLAYKLLELIALSLGVDAKRFEGFFKDQTSVFRLNHYPPCPYPHLALGLGRHKDAGAITILSQDEVQGLEVLRKFDQEWVLVKPNPNAYIINIGDLIQVWSNDLYESVEHRVTVNSKKERFSNLLGLFPSHYTEVKPLEELINEENPSKYRAFKWGKFLVKRKSGNFKKKNEENIQIYDYRIT >CAK8534567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743190967:743194158:1 gene:gene-LATHSAT_LOCUS4105 transcript:rna-LATHSAT_LOCUS4105 gene_biotype:protein_coding transcript_biotype:protein_coding MVESWLYSPTTSSPLELNMGRNYQSVSFAIVSLFCSYFMLLCSSFGCMQHEREALVELKLSFNDPSFRLSSWEGNDCCKWKGISCNNITGHVAKIDLRNPCYPPIGEDYPSNCSFSKSKLEAQHLHPSFSNFKYLTYLDLSGNNFNSSPIPSFLNFMSQLEFLSLSDSHFIGMIPNFLGNLTKLTFLDLSYNSWLYSDDIYWVSKLSLLRNLYLNDVFLGRAENLYLSLNMIPSLLELDFTNCSLTKISSSDDQLVSYTNLSSIEFLSVAENGLDGPDLNAFRNSTSIMFIDLSNNNLSSVPFWLGNCAKLGSLYLGNNALGSIPPALRNLTSLTLLDIPQNNIESVSIWLGGLEGILYLNLSLNHIQDSIPSIIGNMCHLLSLDLSGNGLQGDRLVGNLQSARCIGYDLKELDLYNNSFNDQLPTWLGQLENLVILKLQSSFFHGPIPNIWGNLSNLKSLDFANNHLNGSIPNSLGKLRSLSYLDMSNNSLFGGLPCSLTALANLEYLILNNNNLTGSLPNCIGQFVNLKMLMISSNHFYGIIPRSIEQIGTLDYLEMSDNSLSGTIPQNIGQLSNLHTLYLCKNNLTGIFPHSFGQLINLRNLDVSLNNLEGVFSKIKFPKSLVYMNLTNNHITGSLPQNIAQRLPNLTNFLLGGNLIYDFIPNSLCQINSLYNLDLSNNNLVGNIPDSWSSTQRLSEINLSSNKLSGIIPSTFGDLSTLAWLHLNNNSLHGEIPSFLKNLKQLLILDIGDNQMSGNIPSWIGDIFSSLQILRLTQNKFQGYIPSQLCKLSALQILDLSNNMLIGPIPQCIGNLTSMIQGRKSSVLLSPEDPKYLEWYEQDVSQIIKGRENHYTRNLKLVANVDLSNNNLSGPIPRGITLLTALQGLNLSHNHLSGKIPITIGDMMSLESLDLSHDQLSGPIPYTMSSLTFLSHLNMSYNNLSGPIPQSNQLSTLNDDSYIYVGNKFLCGAPLLNHCDADNRDDHDDGDGKPDRVEKLWFYFVVLLGFGSGFWTVIGVFLLKKDWRHAYFSCIDKVVLRIKVAFGIELAKLKKTCRGNHVD >CAK8534568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743191021:743194158:1 gene:gene-LATHSAT_LOCUS4105 transcript:rna-LATHSAT_LOCUS4105-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNYQSVSFAIVSLFCSYFMLLCSSFGCMQHEREALVELKLSFNDPSFRLSSWEGNDCCKWKGISCNNITGHVAKIDLRNPCYPPIGEDYPSNCSFSKSKLEAQHLHPSFSNFKYLTYLDLSGNNFNSSPIPSFLNFMSQLEFLSLSDSHFIGMIPNFLGNLTKLTFLDLSYNSWLYSDDIYWVSKLSLLRNLYLNDVFLGRAENLYLSLNMIPSLLELDFTNCSLTKISSSDDQLVSYTNLSSIEFLSVAENGLDGPDLNAFRNSTSIMFIDLSNNNLSSVPFWLGNCAKLGSLYLGNNALGSIPPALRNLTSLTLLDIPQNNIESVSIWLGGLEGILYLNLSLNHIQDSIPSIIGNMCHLLSLDLSGNGLQGDRLVGNLQSARCIGYDLKELDLYNNSFNDQLPTWLGQLENLVILKLQSSFFHGPIPNIWGNLSNLKSLDFANNHLNGSIPNSLGKLRSLSYLDMSNNSLFGGLPCSLTALANLEYLILNNNNLTGSLPNCIGQFVNLKMLMISSNHFYGIIPRSIEQIGTLDYLEMSDNSLSGTIPQNIGQLSNLHTLYLCKNNLTGIFPHSFGQLINLRNLDVSLNNLEGVFSKIKFPKSLVYMNLTNNHITGSLPQNIAQRLPNLTNFLLGGNLIYDFIPNSLCQINSLYNLDLSNNNLVGNIPDSWSSTQRLSEINLSSNKLSGIIPSTFGDLSTLAWLHLNNNSLHGEIPSFLKNLKQLLILDIGDNQMSGNIPSWIGDIFSSLQILRLTQNKFQGYIPSQLCKLSALQILDLSNNMLIGPIPQCIGNLTSMIQGRKSSVLLSPEDPKYLEWYEQDVSQIIKGRENHYTRNLKLVANVDLSNNNLSGPIPRGITLLTALQGLNLSHNHLSGKIPITIGDMMSLESLDLSHDQLSGPIPYTMSSLTFLSHLNMSYNNLSGPIPQSNQLSTLNDDSYIYVGNKFLCGAPLLNHCDADNRDDHDDGDGKPDRVEKLWFYFVVLLGFGSGFWTVIGVFLLKKDWRHAYFSCIDKVVLRIKVAFGIELAKLKKTCRGNHVD >CAK8578781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654280196:654281236:1 gene:gene-LATHSAT_LOCUS30766 transcript:rna-LATHSAT_LOCUS30766 gene_biotype:protein_coding transcript_biotype:protein_coding MENETKQVLSINQKNKNMDVLDILKQTLTIYFKNINFIIFTFLTSLPLFFLMLYFETLFQQILVQTPDIISSLPFREKQTTIYLHSYDEPYIDVPYVNAPSFGSYYLPLLIQLGFIYSIPLHVLEFLSKVLTMDLASKLIISSEEKTKMSLKHMFQNSVDLSTMKGTFVTSLYTLVLSNCLLVAFPWVVSNCYSLASTFWCYMIFGMICFVAIVKLLMVYLEWSAIWDMSIVISVLEGFYGIGALRVSCYFSSGNQKRGLVLMLVFFVFGGLLRLVCVYFECYRGGSGIFLQIGVLSVVNTLKWVACVVYFNDCKERKMEKKAFILSKEKKIDDEEMGKVEIESSS >CAK8540193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544003116:544005037:-1 gene:gene-LATHSAT_LOCUS9222 transcript:rna-LATHSAT_LOCUS9222 gene_biotype:protein_coding transcript_biotype:protein_coding METPPRCKFAFIFSIFISIFLGLVSFSLCIASEIKRNKKEDLRWNGKLCYLPSSQAFGLGIASLISLCLAQIIGNSILFKNSYSRRKINAQYKLPFIARLLILISWLSFGVTIILLAVATSMSKAQPYGAGWLNGECYLVKEGTYTVSAILILVTLASITGSILSTIKTNKTEQDRKIHAQMG >CAK8531728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143911874:143913022:1 gene:gene-LATHSAT_LOCUS1497 transcript:rna-LATHSAT_LOCUS1497 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSIFTPSIITLKLNTWPNRTLTHLNCLTSPQPSPSSPHSDSTSFQVSYLVNNLDFSPQSASKLCSTHRLYFKTTSKPDSVISFFTNYGFSNSQLRDVIAKSPSLLSCNLSIRVLPKFQFFLSKGASNSDIVYLVSKNPRLLSPSLENHIVPTYELLFRLLQSDQDVIASVMQNPYLLSRHLVPRNITMLIENGVSDSNIVKILRTRSGIFARDMVSLLEELKDLGINPSKYAFSVALMAKTSVSKTMWKEKVGAFNKWGWSDEDVIEVFKKQPYCMLTSIKKIDLVMNFWVNQLGWDALALVKQPAVFCLSLENRIIPRASIVQFLLNNGLRNNNASLTSPFILPEKMFVDTCIKRYEKESSYLLKLYQEKLKHACHD >CAK8537289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:204916209:204917102:-1 gene:gene-LATHSAT_LOCUS6588 transcript:rna-LATHSAT_LOCUS6588 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSTTTATTMTSFLSPTQRYAAAALFGLSLHESQVNQTRILPLPASDDSISNTYRISSSSSSSIDSVSDDPDLWVHHHSGLLQPIFKFLDIDSSAWYGLEETAGSSSATHHVGPYMRLLSQEFDEGSAESSQRLDQELALSKAVDAIVLELEKNLQTSNSKRERLNEYQHQCREKFSAPDVQSNSEKADVNFETQDETDVTPLINFEDIDQGSSNSKIEERPATFTQEKAKRLQRLLIEKDKRGSLQHTTNRTARQYLQNKTSSPHTCRNRTMPATNSLHTRPTNKILHLKMT >CAK8543511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613741699:613742100:-1 gene:gene-LATHSAT_LOCUS12265 transcript:rna-LATHSAT_LOCUS12265 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPILISDLKCGNNVWKLAIRIVDLWTVTERNGQQHFECIIQDSTCDKIHVVTRNRDFDLWKQRLQEHMTYMVYNGDPLNNDIPLKICENPLKLFFNSGTTISIY >CAK8576342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:463911234:463935982:-1 gene:gene-LATHSAT_LOCUS28537 transcript:rna-LATHSAT_LOCUS28537 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFFKGKTILVTGATGFLAKVFIEKILRIQPEIKKLYLLLRASNLDLAERRLQNEVFEIDLFRVLRGELGGDFNSFISNKVVAVAGDVAIQNFGIKDEKLKNEIFDEIDVLVHSAASTKFDERFDISMGVNTKGALHALNIAKNCRKLKAFVHMSTAYVCGDAKYEDGIVREKAFEMGQSLRGTSKLDIHTEMNLLDNKIAELQAINTDENTMKFALKDYGMERANLYGWPNTYVFTKAMGEMLLMHHKDNVPLIIIRPTMVTSTIKDPFPGWIEGLRTIDSVIYSYGQGKLKAFVGNPKTIIDAIPADMVINCVITTILTHSNQSPKNFIYHVSSSLRNPLKYCDIRNICYHYFMKSPCINQNGKPIVISNATLVNSFAAFNIYIKFTHVLPLKVLSLVNKICHNSFQDVYNKKSRKIRMMHRLAILYKPYVYFKYVFDDTNTEILRTVSKDDPKMNNVELNFDPTSFDWIEYMMNAHIPGLVKYQMKNSSG >CAK8533063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573929465:573929830:-1 gene:gene-LATHSAT_LOCUS2715 transcript:rna-LATHSAT_LOCUS2715 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYKTHIKRERHGIKCSRCHKDGHNKATCKLPQPQASSSQVQDVTSQQPSQANTNQSPPIATSQSPSQAVTSQPPLPVATSQPLSQSITSQPPSHVVTSQPSSKTKKKLYKGGKLIPSKP >CAK8566676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447987471:447988190:-1 gene:gene-LATHSAT_LOCUS19790 transcript:rna-LATHSAT_LOCUS19790 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAYILNDSARKATFKKRKKGLMKKISELSTLCGIDVCAIIYSPYDPQPEVWPSSIGVQRVLSKFRRMPELEQSKKMVNQESFLKQRIQKTKDQLTKQRKDNREKEMTQLMFQYLSDNKTMQNISMVYLNDMAWLIDQYLKDINRRVEMLSKNGQGQGQSQMVASTMVTNGVPKIEDVAQGSHGGHVLDMNMDVMQKQHWFMNLMNNSGGDEAPPPLGDVNQQNGFWPNPFFH >CAK8534660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753643838:753647781:-1 gene:gene-LATHSAT_LOCUS4187 transcript:rna-LATHSAT_LOCUS4187 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAAHGSADSTATRRHTKRPKYSKFTQQELPACKPILTPRAVISAFLLVSVVFIPIGVASLMASRKVVEIVYRYESECLPSNITNKVAYIQNPKTDKTCNITLNVTKHMKAPIFVYYQLDNFYQNHRRYVKSRSDEQLRNLKDEKEVSTCKPEDFVNGSAIVPCGLIAWSLFNDTYSFSIKGTDLKVNKSGIAWKSDREHKFGKDVHPKNFQSSSIIGGAHLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIEVDLKSGDLINVALKNQYNTYSFNGKKKLVLSTTSWLGGKNDFIGIAYLTVGGLCFFLSLAFTIVYFVKPRQLGDPSYLSWNRNPGGGH >CAK8573565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621011588:621013186:-1 gene:gene-LATHSAT_LOCUS25999 transcript:rna-LATHSAT_LOCUS25999 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQTSNLNSNPEYLLVSIKDTKEPNINNMMTNPLIQKNTNIENPFPSIQIQKTHLRATFKEVISISKIAFPMIFTGLLLYCRSMISMLFLGHLGELALAGGSLAVGFANITGYSILSGLAVGMEPICGQAFGAKRFTLLGLCLQKTILLLLLTSIPISILWLYTKRILLLCGQEESIATQAQLYLLYSIPDLLAQSFLHPLRIYLRSQSITLPLTLCATLAIFLHIPINYFLVSHLNMGIKGVALSGVWTNFNLVASLILYIVFSGTHKKTWGGFSSQCFKQWKSLLNLAIPSCLSVCLEWWWYEIMILLCGLLINPRATVASMGILIQTTSLLYIFPSSISFSVSTRVGNKLGAQKPSKAKLSAIVGLSCSFILGLFALVFSTMVRNIWASMFTQDKEIIKITSLVLPLIGLCELGNCPQTTGCGVLRGTARPKVGANINFGSFYIVGMPVAIWLAFYVGFDFQGLWLGLLVAQGTCAVTMLVVLSQTDWDCEALRAKKLTGLGSTSIVDDSKEVEAEKLLNPEIKEDSS >CAK8565868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345501994:345506876:-1 gene:gene-LATHSAT_LOCUS19046 transcript:rna-LATHSAT_LOCUS19046 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVETNGGAAALVSAKVPPLANPLAEKPDEIASNISYHAQYSPHFSPFKFHLEQAYYATAESVRDRLIQQWNETYLHFHKVDPKQTYYLSMEFLQGRALTNAIGNLNIQDAYADALRKFGLELEEITEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQIIAKEGQEEVAEDWLEKFSPWEIVRHDILYPIRFFGQVEVNPDGSRQWVGGEVIQALAYDVPIPGYQTKNTISLRLWEAKACADDFDLFLFNDGQLESASVLHSRAQQICSVLYPGDATEGGKLLRLKQQYFLCSASLQDIISRFKERRQGPWNWSEFPTKVAVQLNDTHPTLSIPELMRLLMDVEGLGWDEAWAVTSKTVAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDRRFVALISKTRLDLEDELPNMRILDNNPQKPVVRMANLCVVSSHTVNGVAQLHSDILKSELFANYVSIWPAKFQNKTNGITPRRWINFCSPELSRIITKWLKTDKWVTNLDLLTGLREFADNEDLQAEWLSAKRANKQRLAQYVLQVTGESIDPDSLFDIQVKRIHEYKRQLLNILGVIYRYKKLKEMSPEERKSTTARTVMIGGKAFATYTNAKRIVKLVDDVGSVVNSDPEVNSYLKVVFVPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADEVPRLRKERENGLFKPDPRFEEAKKFIRSGVFGSYDYNPLLDSLEGNSGYGRGDYFLVGYDFPSYMDAQEKVDEAYRDKKRWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECRVP >CAK8531673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:138124159:138124644:-1 gene:gene-LATHSAT_LOCUS1447 transcript:rna-LATHSAT_LOCUS1447 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVDLPCVGGRFVWFNGSGNAMSHIDRFLLSDLLISLWNISVQWIRQCDMWVKMDLKEDLLRNKLRQLWLKEGDSNSHFFHNSIKERQRRNFIMVKNVEDQVEEDVKRVKIEIKSYFENFFYDSNVERPVPCGVRFNSLNVVDRRFLESEFTEEEIKAAV >CAK8564375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671083229:671083756:1 gene:gene-LATHSAT_LOCUS17687 transcript:rna-LATHSAT_LOCUS17687 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNHAILEYRDKPIISLLEGIKHYITVRIFAQKEKLSRYTGVISPNIQKVLEKTKRAAEGWIATWHADDDFAIFGVSNGVETYVVNLLQQKCGCRKWNLSGIPCCHAIACIWYNKKEPEEYVSSFYRKSTVLATYSHIIMPTNGP >CAK8544159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668488335:668489627:1 gene:gene-LATHSAT_LOCUS12861 transcript:rna-LATHSAT_LOCUS12861 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPPPLSPVVSTPVSQSQSVLRSNTGGKNLNTAEGAGITYFGETSGTSDAREDESIGEKRNNIDLEKPNSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPFMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVIQPETNVLSKKVEDDYKGPNEIGIVNLDIVPSRKGNANSTAAEIPAIRNKEVEKVIEKWTEVIKSGRGRGKQIGKVGAAGVSSHDNGFDALEILKDLLEV >CAK8567033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481000426:481001730:-1 gene:gene-LATHSAT_LOCUS20123 transcript:rna-LATHSAT_LOCUS20123 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGVQGKKLLWTNILCILILQCLDLTTGAKVPAIIVFGDSSVDAGNNNFISTVARSNFQPYGRDFMGGKPTGRFSNGRIATDFLSEAFGIKPYIPAYLDTSYNISQFATGVTFASAATGYDNATSDVLSVIPLWKQLEYYKEYQKKLGAYLGGKKAKETIAKAVYIISLGTNDFLENYYTIPGRASQYTPREYQNFLAGIAENFVHKLYGLGAKKISLGGLPPMGCLPLERTTNFAGGNDCVSNYNNIALEFNDKLNKLTVKLKKDLPGIRLVFSNPYDILLSVVKKPAQYGFQVTSMACCATGMFEMGYACSRASIFSCMDASRYVFWDSFHPTEKTNGIVANYLMKNALAQLFH >CAK8574274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673106915:673112062:-1 gene:gene-LATHSAT_LOCUS26634 transcript:rna-LATHSAT_LOCUS26634 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAYVDISSSDDELEILDSGKILPSWAAFERNPGRRFDRGNDGGRFMNQNGGTRALGKAITPPFASSSESAYRSGIGDERAPETDERLLYEAALQEINQPLKEVDLPAGIMAVPLMRHQKIALTWMLQREKRSTLHCLGGILADDKGLGKTISTIALILMQRQLQIKWKTDDTCNHKAEALNLDDDNGNIDVEKLKNDEESNDTKPIIEPNSSTRAPSRKMPSAGTLVVCPASVLRQWARELEEKVGDEKLSVLIFHGGGRTKDPVELAKYDVVLTTYSLVTNEVPKQPLVEDDDIDEKDRENFGLSSDFCVKKKRKRGIDGSSFDNASGALAKVGWFRVILDEAQTIKNHRTQMARACSSLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAVYKSFYYTIKVPISRNPIQGYKKLQIVLRTIMLRRTKGTLLDGKPIITLPPKTINLSKVDFSCEERAFYKKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKEYNSDPVGKDSVEMAKRLPKEMLINLFNCLETTAAICCVCNDPPGDAVISMCGHVFCYQCVSEHLTGDDNMCPANHCKEQIGKDLVFSKATLRSCISDDLSGSSSGSASLVDYSIVQNSDYSSSKIKAVLEVLQSSCRLKANSSNRYSPPSDGSDIEDFDSDVKVTKVTRNYSECTTGGPLKAIVFSQWTTMLDLVETSMQQSGIKYRRLDGRMTLTARDRAVKDFNTDSEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDLAHRIGQTRPVTVTRITIKDTVEDRILALQDEKRKMVESAFCEDHAGGSGTRLTFDDLKYLFMV >CAK8566242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394650126:394650356:1 gene:gene-LATHSAT_LOCUS19392 transcript:rna-LATHSAT_LOCUS19392 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETVSGPHPNLATRLQRRASIQEKQVHCKLQGDLVEYIWERFGHEDDEI >CAK8534701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756638115:756642539:-1 gene:gene-LATHSAT_LOCUS4221 transcript:rna-LATHSAT_LOCUS4221 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHQYNSMEPRKEEFHSAPQPVLQDHLDGMHANTRPPPSFNASENKPVHNFSIQTGEEFALEFMRDRVNVNLKKPSFPNVVGDPNYSTGYMEFKGILGHKGSESGSDIEKGPKEFDRRNSALHQERSNYGSARSIPRTSSNQDNNRVLHGISSSGSSNSLSMRMKILCSFGGRILPRPSDGKLRYVGGETRIISIRKDITWPELMQKISSIYNDTRVIKYQLPGEDLDALVSVTSDEDLRNMMEECHDLQRRRGSLKLRMFLFSIYDLDDTQFGLGSMDGGDSEVQYVVAINGMNVESRSNSILRCAGSSNDIQELDRQTIDKETKRAMVESYGVGSSSLTGNVNPVLTIPSSQPMLPTSSNAYEAYPFFYEDPIIQQGGTSQYPIHNGPFPSNSSARNLADAPVSLPTFGVASQGIVSEGQASSELQVQNSLPSRPFEGSLQGNLSEATVPAAVSETLHPALPLENKISAAESEVLNPTQISRSGEDDFYPASTDAFSRALVDAESNIIDFSCLEPPPLPNRVYYSERIIPREHADLLNRSAKSDDAYGSHLLMADLLSDLNRMNSVNESSDMFHNENLSNLSTVSNSSAKPLRADGHAISSKHLPDATSQVNPKLFKIVDSDLKPVLSDSKISENETNTSKDSHKILPVDETKSTENLAFRRVPSVEHNENLASKLQDQNLSEAPTREPLDAKSKPSQGDILIDIEDRFPRDFLYDMFSKAVHSEDSANISPLPADRAGLSLKMENHEPKSWSYFQKLAHEGFDNVSLIDQDNLGFSSAVSKVKEGDSKFNISAPPPADVIVLTGPKESHSNINYGEESQKTLPVTTKPDAIVFQPENNPSELKGNENKNINAAPVENIRPQESEYQDGNNETRDVVVAPQEISFDEFDISTLQIIMNADLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFSGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSFCDLEWRTLMEQCWAPNPAVRPSFTEIARRLRVMSAAASQTKGQGHKASK >CAK8571227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:343910744:343912569:-1 gene:gene-LATHSAT_LOCUS23909 transcript:rna-LATHSAT_LOCUS23909 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQQYIPILLILLASTIFLKAIFTKSKLHLPPSPLSLPIIGHFHLLLKQPLHRATHNLSNRYGPIIQIYLGSTPMIFVFSSEIAKEIFKTNESLFSNRPSNIAINYLTYNASDLAFAPYGTYWKFMKKLCMSELLNGKMLDQLFPIRQEEINRFLQMITKKAEINESVNLTDELLKLTNSIVMKMAIGKSCFKEDDEAYKVTERVRESAMLSGMFNLADYFWFCKRFDIQGIKKRLKDVHDRFDTMMETIVKEHEDGRSKSKRKEGVKDVLDALLSIYEDPSSEVKITRDNIKAFLVDMFTGGTDTTAVTLEWSLAELINHPTVMEKARKEIDTMIRKDKIVTESDISYLPYLQAIVKETLRLHPPSPFILRESTEKCIISGYDIPTKTQIFTNVWAIGRDPKNWDNPLEFKPERFINNGKESNVVEVKGQCYELLPFGSGRRMCPGTSLALNVAHTTLANMIHCFKWKNENGGNACVDMKEGPSFILSRAQPLICFPTSTLVPFHSI >CAK8572486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539989106:539989921:1 gene:gene-LATHSAT_LOCUS25048 transcript:rna-LATHSAT_LOCUS25048 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDHLWDDTVAGPMPENGLGKLRKHPSLPSRSISDKESEGGNVRCYGVDSGEGAVKVTRSIMIVKPAGYQSPSGSAPASPAGSTPPLSPFSGKELESRFVFEEGQYQMRTRARSRQAKTDQALLLLSMCDK >CAK8572487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539989106:539989914:1 gene:gene-LATHSAT_LOCUS25048 transcript:rna-LATHSAT_LOCUS25048-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDHLWDDTVAGPMPENGLGKLRKHPSLPSRSISDKESEGGNVRCYGVDSGEGAVKVTRSIMIVKPAGYQSPSGSAPASPAGSTPPLSPFSGAREPFRFRRRSISDAYESEIKTGQNRPSSSSPFNV >CAK8578220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613134574:613139892:1 gene:gene-LATHSAT_LOCUS30252 transcript:rna-LATHSAT_LOCUS30252 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIQLTSAGAGEDSDAGDLEDVRLLDSYDKHNINDETRRIQVRISGMTCAACSNSVEAALKSVDGVIEASVALLQNKADVVVNRNLVKDEDIKNAIEDAGFEAEILHEPSSTGIKPVGDHTVVGQFTIGGMTCAACVSSVEGILKNITGVKKAVVALATSLGEVEFDPNVISKEDIIIAIEDAGFEAAFVQSTSQDEIVLGVVGACSLVDARVLESMLSGMKGVRQFRFDPLLSELNVVFDPQILNSRSVVDGIRVISNGKFKLHVRSPYARMASKDVSDSSTMFRLFISSLLLSIPLFFMGVICPHIPFIYSLLLWRCGPFLMDDWLKWALVSVIQFVIGKRFYIAAFRALRNGSTNMDVLVALGTTASYVYSVCALLYGALTGFWSTTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELTPPTALLVVKDKDGRSIEEREIDSLLIQPSDTLKVLPGTKIPADGIVTWGSSYVNESMVTGESLPVLKEINASVIGGTINFHGVLHIHATKVGSDTVLSQIINLVETAQMSKAPIQKFADYVASIFVPTIVALSILTLLCWYTAGALGAYPDEWLPKNGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDSLERAQMVKYVIFDKTGTLTQGKANVSVAKVFAGMDRGEFLKLVASAEASSEHPLAKAILQYTRHFHFFDESSLTNDSRKDANELKPGWLYDASDFSAIPGKGVQCIIDGQRVLVGNRKLLVESGINIPTEVESFVVELEESAKTGILVACDSILIGVLGVADSLKREASVVIEGLKKMGITPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAANYVLMRDNLEDVITAIDLSKKTFSRIRLNYVFAMAYNIIAVPVAAGVLFPSLGIKLPPWVAGACMALSSVSVVCSSLLLRRYRKPRLTTILEIVVN >CAK8536043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902079184:902080515:1 gene:gene-LATHSAT_LOCUS5445 transcript:rna-LATHSAT_LOCUS5445 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGLGGGFLGGSAGGILDIKSPFHRHGKHHMNIMTGLESDNKIGLIDVKNLNVVGLNFGKGKAIASSNSNPVNDNDMSEDDDAEDGNCENYFDGGKGKKGSPWQRMKWTDDVVGLLIAVVSCVGDDGTIGGGDGVKRKSVVLQKKGKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKRLNEILGRGTCCQVVENPALMDSMTNLSGKAKDDVRKILSSKHLFYKEMCAYHNGQRVPNSHDLDLHGYSLEHGKDSRDNDGSEEDDDDNNESEDDELDDEININAHGDGGRRTEEFFRDRDKLTEEDGYSWLPTSTRMKKLEVEMTKVFQDPAKSPWERREWIKAQLLQLQEQNAEYQAKALELQKQRFKWLRYCSKKDREMEKMKMENKRMKLENDRRILKLKQREHEADFSNSEMLLDPATIGINTPQGREHINLARQQ >CAK8530291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12143884:12145364:1 gene:gene-LATHSAT_LOCUS168 transcript:rna-LATHSAT_LOCUS168 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLFVLQLITFSVLAIAQEEPKGTPKDNSEVQDKQPSSNKNNSNLLLLILNVVLLLAIILLLILYYNTSKKLNRIVKGHINNMSYEQEKDVETSIEKRVEIGEGTTMMTVEERKELMFFNDETKFQMGELLRASAESLGHGIMGNSYKAMLNDGPNIVVKRLRDLKPFTKEEFAKMVKMISDLRHPNLLPLLAYYHSRDEKLMLYRYAQNGNLFSKLHDGRDGNRVPFNWNSRLSVAKGVARALEYLHLNNKIHNIVPHGNLKSSNVLFDENNSILVSDFGLSSLIAQPIAAQHMVVYKSPEYGYARKVTIQSDVWSYGSLLIEILTGKVSLCSAPQGINGVDLCSWVHRAVREEWTAEIFDKEISCQKSALPGMLRLLQIAMRCIERFPEKRPEMKEVVREVEKIQQIHLMSEDDDDVSCDRSLTDDSFSTSNSGIFIGDDR >CAK8537352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:296621998:296622803:1 gene:gene-LATHSAT_LOCUS6648 transcript:rna-LATHSAT_LOCUS6648 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEVSVPVVSDLIHISDKAYSRKEILQMEKLMLNTLQYNMSIPTAYVFMRQFLKAAQANKKLEMVAFFLVELSLVDYGMLKFPPSLVAAAAVYTAQCTVSGFKHLNKTCEWHTNYSEDQLSECAKMMVGLHQKARTGKLTGVHRKYCAAKFSFTAKCEPACFLLENNQP >CAK8541242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:95127428:95131988:-1 gene:gene-LATHSAT_LOCUS10174 transcript:rna-LATHSAT_LOCUS10174-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKECSSSQDPDVRKGPWTMEEDLILINYIANHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIIELHAKWGNRSIHIKWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQADQQNSQQQQQSSSDIQINDSNENNNNQVGSSSQIPNIAEPMDTSSNNIMSPPSYQGILEPFSSHQFPTISDQSSSCTNDNNYWSMEDLLSLQLLNGD >CAK8541241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:95127428:95131988:-1 gene:gene-LATHSAT_LOCUS10174 transcript:rna-LATHSAT_LOCUS10174 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKECSSSQDPDVRKGPWTMEEDLILINYIANHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIIELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQADQQNSQQQQQSSSDIQINDSNENNNNQVGSSSQIPNIAEPMDTSSNNIMSPPSYQGILEPFSSHQFPTISDQSSSCTNDNNYWSMEDLLSLQLLNGD >CAK8538167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466499475:466507354:1 gene:gene-LATHSAT_LOCUS7396 transcript:rna-LATHSAT_LOCUS7396 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKTRNENGDGTLKQSPLTLFVSNLPYSFTNPQLEETFSEVGPVRRCFMVTQKGSTQHRGFGYVQFAVEADANSAIELKNGSLVGGRKIAVKHAMPRPPRENRRLKPDQEGKDNDLTESKNDDKDSLLPVAEKPVPVPKEEEGTVLNKQKNSRKPVEIKKAALCNNVADEGGGSEKQRVARTVIFGGLMNSAMAEDVHRQAKDIGTVCSIKSLSRNDLQQHGLLQDGCTLDASAVLYTSVKSARASVATLHRKEIGGGTVWARQLGGEGSKTQKWKLIVRNLPFKAKENEISDVFKSAGPVWEVFIPQKSDTGLSKGFAFVKFTCKQDAENAIRKLNGSKFGSRLIAVDWAVPKKIFSSDTNDAPASEEGQQKASDEDGSTTTDDDVEDTNKQSDEGDDSDIDGVVEEDVPSEDDFDKEADIARKVLNNLITSSSARDASVNTDSMCSEENKEPKSEETVKDAISEAPKESDKVLATSKSETSSRLSNPKETEDDLQRTIFINNLPFECDTEEVKQRFSAFGVVEYFAPVLHQLTKRPRGTGFLKFKTVEAANAAISTANAASGMGILVKGRPLKVLKALDKKTAHDKEQEKEKNEVHDHRNLYLAKEGLILEGTPAAEGVSASDMAKRKELERKKKTKLQSPNFHVSKTRLVIYNLPKSKTEKQLKKLCINAVISRATKQKPVIRQIKLLKDGRKGKATQEQYSRGVAFLEFSEHQHALVALRVLNNNPETFGPEHRPIVEFALDNVQTLKLRKEKQQYQQQAPYDNNRNENDKDNTGGGGYTHGNDRKRKSQEHVKPTNENEQGGKVANGKSPQGGKFKRQKDNPKSTNADVLSSKGSPKDSSARKIRNNQDGRNHGSKLHEGKNTAIDSSIGKKSGKKDDVVYGKRKTQNQEQAGEQVSRKRIKKNKDSVGEDTVDKLDMLIEQYRSKFSYKGSQGNDGEKRQSKQLRKWFQT >CAK8578886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660874671:660875081:1 gene:gene-LATHSAT_LOCUS30867 transcript:rna-LATHSAT_LOCUS30867 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8530275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11304825:11321122:1 gene:gene-LATHSAT_LOCUS153 transcript:rna-LATHSAT_LOCUS153 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLSNTNPNPNKSIEVNQPPTDSVSSLNFSPKSNLLVATSWDNQIRCWEVARDGANVATMPKASIAHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLMSGGQPITVAMHDMPIKDIAWIPEMNLLATGSWDKTIRYWDTRQSNPVHTQQLPERCYAMTVKHPLMVVATADRNLIVYNLQNPQVEFKRIVSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHLDDSQQAKNFTFKCHREGNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMLRCSQPVPCSSFNNDGSLYAYAVCYDWSKGAENHNPATAKTNIFLHLPQESEVKGKPRIGATGRR >CAK8564643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4813373:4814914:1 gene:gene-LATHSAT_LOCUS17927 transcript:rna-LATHSAT_LOCUS17927 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFEKFIVNLALLFVICLSMNMAATARVPLWKIDPHHKIWYLKDGRLLYTVDDYPGAHNYNIRTPPPPLSSFLPPPLLPPPYSPPPPPNSSPPPPLPFPPSQPPLYLSPPLPYFTSPTLPPPPNSSPPPPLPFPPSQPPPYLSPPLPYFTSPTLPPPLDS >CAK8576007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384225194:384226051:-1 gene:gene-LATHSAT_LOCUS28226 transcript:rna-LATHSAT_LOCUS28226 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIISKGNKGNHPIKFKEHFKRELRQWEDIDRRFAQNFQTIEASTSETAGDTEAPSFETTGDHQSPNIEYSTSGAYGIPVVEETNIQLEQNEVELNDNVEIVNDIGDENAGRDGFRRVRRRGRDIATPPAPLTSDRRERKKPDKFTHSTDHVKAIRAKTKRKSMIQILFSIRKKCGISFRKSNTILGPGLHRMHI >CAK8540662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15406629:15407264:-1 gene:gene-LATHSAT_LOCUS9646 transcript:rna-LATHSAT_LOCUS9646 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRQIEYLIAEEKASQNDDIDINSTSTSTSTLFGLLDFFLYSTFIVGVQKFFSSFLSLSSFRLFFLFCKLCSGDSDVKGEKQISEGESSVSRDSVEIKRDEVETVMAKMGIFCSEESEELEEKYGRKELSELFEENEPSFEEVKMAFDVFDENRDGFIDAMELKRVMCILGFKEGSEVENCHKMIKNFDESQDGRIDFIEFVKIMENRLC >CAK8541155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:77012999:77016016:-1 gene:gene-LATHSAT_LOCUS10096 transcript:rna-LATHSAT_LOCUS10096 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASISYILPSPHSNLHKFQTFTSNHYKPQSRFLFSFPVSKINSVRSLKTRCASDNSSSFHGVEFIVSILPGGDWWSLPKHREDHAEPAEAKLALRRMLELVADERWVAVVAFGSLVIAALSEITMPSILAASIFSAQSGETAAFSRNAMFLVLLCFTSGICR >CAK8537753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427029557:427033642:1 gene:gene-LATHSAT_LOCUS7011 transcript:rna-LATHSAT_LOCUS7011-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENQHQTMMILHDDNEETQDQVVEDSPLKRHTVKDVVVTSSSSSSSSSSVTVSNGGGAHSNGGSTAVIDIDGPSLQKEEEVEEKVNVGDYDNDDDKEQDCVPEDEFVGEEPTNGEAITEDTFSADNIVQNSNSVYFDKEQGVWKCHHCTWSTDRFDRLKGYYYPHLLLNVKILIQHGPCFVWETKGGDEVNGLKRDENGDTKNHEETKFYVQVENSAIQNSLRSELNKLPSDSELSAIRNSNEIKATPAVNFHEETEKESNSINEIDQQEKEFDVELVIAKQETHDLFCPNCKSCITKRVILKKRKRNIHVSDNKGKRDRLEPVVENNVDQGDRANVTSEITNLDPPPAASAVAADRDHDDDDHPEKEVEGFRCLSCFSIFIPSGKGFNLFRNFGGSSKHETLQNPASSLQNSSNIQVSNPNWFISLFTYKRKTSTEQGDTSSHEPSRTNPAEQNQSTVTSTILSSSGTGHPEGPLVVAAPIKNEKPMSGAIHGHETMSSTISSNGAQSVVQDFIDFSEKEQSLIRKPRTDDTERKQTSVDTTKTNTVEVTSSMNYSNGTISEYKSVNSVTTTSSETFVSSRETTKGTVLNQYQEKPKFLVPTSVTVGSLVIEDTPKDANKMPETVKNIDSSLWQGGAQPPVQSFDSTSSAIDALFPSKTDISLLNKVRKDIDNFRKENQGDVIVDVDGEEANEITTMQTEDNVPVDGAVATEPQTQVGIREQRRDEIVEPKKWEIVKSIVYGGLVESITSLGIVSSAVSSGATPLNIIVLGFANLIGGLFILAHNLKDLKDSHARGEQLQSNARDRYQELLGQRSNFVFHAVIAVFSFLIFGSVPLIIYGVLINKNYYDEVKLAIVAASSVACIILLTIGKVYTQRSPKSYFKTVLYYVSMALAASGLSFIAGKLIKDLIDKFSKSESGFAIAMPIADTKTAWMSY >CAK8537752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427029557:427033642:1 gene:gene-LATHSAT_LOCUS7011 transcript:rna-LATHSAT_LOCUS7011 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENQHQTMMILHDDNEETQDQVVEDSPLKRHTVKDVVVTSSSSSSSSSSVTVSNGGGAHSNGGSTAVIDIDGPSLQKEEEVEEKVNVGDYDNDDDKEQDCVPEDEFVGEEPTNGEAITEDTFSADNIVQNSNSVYFDKEQGVWKCHHCTWSTDRFDRLKGYYYPHLLLNVKILIQHGPCFVWETKGGDEVNGLKRDENGDTKNHEETKFYVQVENSAIQNSLRSELNKLPSDSELSAIRNSNEIKATPAVNFHEETEKESNSINEIDQQEKEFDVELVIAKQETHDLFCPNCKSCITKRVILKKRKRNIHVSDNKGKRDRLEPVVENNVDQGDRANVTSEITNLDPPPAASAVAADRDHDDDDHPEKEVEGFRCLSCFSIFIPSGKGFNLFRNFGGSSKHETLQNPASSLQNSSNIQVSNPNWFISLFTYKRKTSTEQAGDTSSHEPSRTNPAEQNQSTVTSTILSSSGTGHPEGPLVVAAPIKNEKPMSGAIHGHETMSSTISSNGAQSVVQDFIDFSEKEQSLIRKPRTDDTERKQTSVDTTKTNTVEVTSSMNYSNGTISEYKSVNSVTTTSSETFVSSRETTKGTVLNQYQEKPKFLVPTSVTVGSLVIEDTPKDANKMPETVKNIDSSLWQGGAQPPVQSFDSTSSAIDALFPSKTDISLLNKVRKDIDNFRKENQGDVIVDVDGEEANEITTMQTEDNVPVDGAVATEPQTQVGIREQRRDEIVEPKKWEIVKSIVYGGLVESITSLGIVSSAVSSGATPLNIIVLGFANLIGGLFILAHNLKDLKDSHARGEQLQSNARDRYQELLGQRSNFVFHAVIAVFSFLIFGSVPLIIYGVLINKNYYDEVKLAIVAASSVACIILLTIGKVYTQRSPKSYFKTVLYYVSMALAASGLSFIAGKLIKDLIDKFSKSESGFAIAMPIADTKTAWMSY >CAK8537755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427029557:427033642:1 gene:gene-LATHSAT_LOCUS7011 transcript:rna-LATHSAT_LOCUS7011-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENQHQTMMILHDDNEETQDQVVEDSPLKRHTVKDVVVTSSSSSSSSSSVTVSNGGGAHSNGGSTAVIDIDGPSLQKEEEVEEKVNVGDYDNDDDKEQDCVPEDEFVGEEPTNGEAITEDTFSADNIVQNSNSVYFDKEQGGDEVNGLKRDENGDTKNHEETKFYVQVENSAIQNSLRSELNKLPSDSELSAIRNSNEIKATPAVNFHEETEKESNSINEIDQQEKEFDVELVIAKQETHDLFCPNCKSCITKRVILKKRKRNIHVSDNKGKRDRLEPVVENNVDQGDRANVTSEITNLDPPPAASAVAADRDHDDDDHPEKEVEGFRCLSCFSIFIPSGKGFNLFRNFGGSSKHETLQNPASSLQNSSNIQVSNPNWFISLFTYKRKTSTEQAGDTSSHEPSRTNPAEQNQSTVTSTILSSSGTGHPEGPLVVAAPIKNEKPMSGAIHGHETMSSTISSNGAQSVVQDFIDFSEKEQSLIRKPRTDDTERKQTSVDTTKTNTVEVTSSMNYSNGTISEYKSVNSVTTTSSETFVSSRETTKGTVLNQYQEKPKFLVPTSVTVGSLVIEDTPKDANKMPETVKNIDSSLWQGGAQPPVQSFDSTSSAIDALFPSKTDISLLNKVRKDIDNFRKENQGDVIVDVDGEEANEITTMQTEDNVPVDGAVATEPQTQVGIREQRRDEIVEPKKWEIVKSIVYGGLVESITSLGIVSSAVSSGATPLNIIVLGFANLIGGLFILAHNLKDLKDSHARGEQLQSNARDRYQELLGQRSNFVFHAVIAVFSFLIFGSVPLIIYGVLINKNYYDEVKLAIVAASSVACIILLTIGKVYTQRSPKSYFKTVLYYVSMALAASGLSFIAGKLIKDLIDKFSKSESGFAIAMPIADTKTAWMSY >CAK8537754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427029557:427033642:1 gene:gene-LATHSAT_LOCUS7011 transcript:rna-LATHSAT_LOCUS7011-4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENQHQTMMILHDDNEETQDQVVEDSPLKRHTVKDVVVTSSSSSSSSSSVTVSNGGGAHSNGGSTAVIDIDGPSLQKEEEVEEKVNVGDYDNDDDKEQDCVPEDEFVGEEPTNGEAITEDTFSADNIVQNSNSVYFDKEQGGDEVNGLKRDENGDTKNHEETKFYVQVENSAIQNSLRSELNKLPSDSELSAIRNSNEIKATPAVNFHEETEKESNSINEIDQQEKEFDVELVIAKQETHDLFCPNCKSCITKRVILKKRKRNIHVSDNKGKRDRLEPVVENNVDQGDRANVTSEITNLDPPPAASAVAADRDHDDDDHPEKEVEGFRCLSCFSIFIPSGKGFNLFRNFGGSSKHETLQNPASSLQNSSNIQVSNPNWFISLFTYKRKTSTEQGDTSSHEPSRTNPAEQNQSTVTSTILSSSGTGHPEGPLVVAAPIKNEKPMSGAIHGHETMSSTISSNGAQSVVQDFIDFSEKEQSLIRKPRTDDTERKQTSVDTTKTNTVEVTSSMNYSNGTISEYKSVNSVTTTSSETFVSSRETTKGTVLNQYQEKPKFLVPTSVTVGSLVIEDTPKDANKMPETVKNIDSSLWQGGAQPPVQSFDSTSSAIDALFPSKTDISLLNKVRKDIDNFRKENQGDVIVDVDGEEANEITTMQTEDNVPVDGAVATEPQTQVGIREQRRDEIVEPKKWEIVKSIVYGGLVESITSLGIVSSAVSSGATPLNIIVLGFANLIGGLFILAHNLKDLKDSHARGEQLQSNARDRYQELLGQRSNFVFHAVIAVFSFLIFGSVPLIIYGVLINKNYYDEVKLAIVAASSVACIILLTIGKVYTQRSPKSYFKTVLYYVSMALAASGLSFIAGKLIKDLIDKFSKSESGFAIAMPIADTKTAWMSY >CAK8539048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502436539:502437975:-1 gene:gene-LATHSAT_LOCUS8184 transcript:rna-LATHSAT_LOCUS8184 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVEVVFIPSPGVGHLGSALEFAKLLINRDSRLSITVLVIKFPNTDEKVQTLSSSFDSENLHIINLPECTHLSSTPDGSHSASALAETQKPNVKEAVSKINGQLAAFVVDMFCTTMIDVAIDFGVPSLLYFTSGIAYLGLVLHLHTLMENNLEATRILLQQDEVDIPSFSIPVPTNTLPTVVLGKKWESAFINHGRGLKKASGIIVNSFHELEPHAAHSFLEDSGLRGLPIYPVGPILNLETKLKPEPKLNGIVNSDDTIKWLDDQPHSSVIFICFGSMGAFDEDQVREIACAIEKSRSRFLWSMRKPPPKGTTGPVSDYPFSDLVAVLPEGFLERTVEIGRVIGWAPQVQVLAHPATGGFISHCGWNSTLESIYYGVPIATWPLLAEQQTNAFELVRELKMGVEIALDYRMEYDVGPDYLLTADKIERGIRSVMNKNGEIRKKVKEMSEQSRKTLLESGSSYTCLSHLIDYIINQV >CAK8539050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502436539:502437138:-1 gene:gene-LATHSAT_LOCUS8184 transcript:rna-LATHSAT_LOCUS8184-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDEDQVREIACAIEKSRSRFLWSMRKPPPKGTTGPVSDYPFSDLVAVLPEGFLERTVEIGRVIGWAPQVQVLAHPATGGFISHCGWNSTLESIYYGVPIATWPLLAEQQTNAFELVRELKMGVEIALDYRMEYDVGPDYLLTADKIERGIRSVMNKNGEIRKKVKEMSEQSRKTLLESGSSYTCLSHLIDYIINQV >CAK8539049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502436539:502437642:-1 gene:gene-LATHSAT_LOCUS8184 transcript:rna-LATHSAT_LOCUS8184-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTTMIDVAIDFGVPSLLYFTSGIAYLGLVLHLHTLMENNLEATRILLQQDEVDIPSFSIPVPTNTLPTVVLGKKWESAFINHGRGLKKASGIIVNSFHELEPHAAHSFLEDSGLRGLPIYPVGPILNLETKLKPEPKLNGIVNSDDTIKWLDDQPHSSVIFICFGSMGAFDEDQVREIACAIEKSRSRFLWSMRKPPPKGTTGPVSDYPFSDLVAVLPEGFLERTVEIGRVIGWAPQVQVLAHPATGGFISHCGWNSTLESIYYGVPIATWPLLAEQQTNAFELVRELKMGVEIALDYRMEYDVGPDYLLTADKIERGIRSVMNKNGEIRKKVKEMSEQSRKTLLESGSSYTCLSHLIDYIINQV >CAK8559973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2770889:2772486:-1 gene:gene-LATHSAT_LOCUS13698 transcript:rna-LATHSAT_LOCUS13698 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDLDINTVFKNMDAPVLEPWESGRINETIPLDWRDPKEDEDVDIMTCNNSGKALIVPDPVEESSGSFGDTEPNAVHASCGDPEVQSRMYADVDSSSMCDDWDEPLRQRRKKVTAHWRRFISPVMSRCKWIELKLKNLQSQARKYEKELAELDHEKQVDYAHIRLDGCEIKSVPISGRRGRNNVMRRKKQERVEKNCDLASHMSNHPLFSYIEKANRNIDDRLEDYDESAIGGDCGNMLKFKLEDVGNYDNDKSWDGMIQKVIAMESQLQNLKSRQEKVISENPERFCSVNQPSDGTSSSRGDKIVPDIEAIDRPRDNIDDEDLTPYHQSAEIELHELENIGNQVINQLESIKENAVHNVNVLSTLKSCSALKSNIPRNKRKQKNISGEKRSRMSD >CAK8578022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602215447:602216355:-1 gene:gene-LATHSAT_LOCUS30069 transcript:rna-LATHSAT_LOCUS30069 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYKLAITFSAVLTLLVLLPTLTVGELVQEQPLVLKYHNGQLLKGRITVNLVWYGTFTPIQRSIIVDFINSVSTTGAPLPSAAAWWKTTEKYKVGSSALVVGKQFLHPAYTLGKNLKGKNLLSLATKFNELSSITVVLTAKDVNVDGFCMSRCGTHGSVPSVSSGARTPYIWVGNAETLCPGQCAWPFHQPIYGPQSPPLVAPNGDVGVDGMIINLATLLAGTVTNPFNNGYFQGPATAPLEAVTACPGVFGSGAYPGYAGRVLVDGTTGSSYNAHGANGRRYLLPAMWDPQTSACRTLV >CAK8579302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690485764:690488365:1 gene:gene-LATHSAT_LOCUS31257 transcript:rna-LATHSAT_LOCUS31257 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGAKPVCAQEALDLLNCVAQSPSYDKDKCLALMNSLRECVLAKKVKKFSLAGQEQQETNPSNKKS >CAK8574859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12108475:12109884:-1 gene:gene-LATHSAT_LOCUS27159 transcript:rna-LATHSAT_LOCUS27159 gene_biotype:protein_coding transcript_biotype:protein_coding MESDFSWMVPIEVMLGSLNHEEVQACSIFSVPDKLREANEDAYKPKHISIGPLHRGATTHLQLMEESKWRYMRKFLERQGLIRELNKRLELKLRECGFDILKLDIVISASYGGSNNKIIREIESHELAKIMIVDGCFLLELLIRLCDYMVNQTITSYVNDSILQTEEKVLSVLNDIAMLENQIPFIVLKKIYRKVFPDGSDIKDDHRVANIVRMAFGYPLSNGSGGAHILHLMHLSTVEPNPYHIGKKAKLELLCCATKLRASGVTIKAKLNRTNQNQHKLVDMFDFGISFSDSGELEIPTLHIKKTTEVKWRNLIAWEQSKIWIRCKYTSYALFFKGLICCAHDIELLVEKGVIVNELNKSNEDLLALFRTISNGAEHMDLSYSEICARLNVYDYKGMKVNKMLQKLPIRTWHQCRCVFEMVEYYGRNWYNILIRDHIPNVWKFIGIMAAAMLLVLTIMQTYYSSHNG >CAK8578956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663975714:663975944:-1 gene:gene-LATHSAT_LOCUS30934 transcript:rna-LATHSAT_LOCUS30934 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRRPQLLATWLLLILFILAHSHASRTTTNVFKVNPKSQHQGNFFGSLPKRMHIPYSTPSRKHNDIGLRSWRSP >CAK8539287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508477992:508479472:-1 gene:gene-LATHSAT_LOCUS8397 transcript:rna-LATHSAT_LOCUS8397 gene_biotype:protein_coding transcript_biotype:protein_coding MATINVHPEAGQDNIAPSKQSLPQPKSVDSQSVLRRLQSELMALMMGGDSGISAFPEEDNILCWKGTITGSKETVFEGTEYKLSLSFPNEYPFKAPKVKFDTTCFHPNVDMHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQEEYRKMVEKYKSPAA >CAK8566810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464590547:464592385:-1 gene:gene-LATHSAT_LOCUS19916 transcript:rna-LATHSAT_LOCUS19916 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKELLPEPKSTSKTYYDHSNDPWFKQRFTATEEEKSVAINPKVVPPYMKRSGFVPRKVEDFGEGGAFPEIHVAQYPLDMGRNKSSKPGSKILPVTVDAHGNVAYDAIVKQNENAKKIVYTQHKDLIPKILKNNEDSDMDDADDDDAQREIDETMQETKAALEKIVNVRLSAAQPKNVPKHNSDAKYIKYKPSQQNAAFNSGARERVIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVSEQKAREAVAMRSKVQKEMLLKEKERKEQELRALAQKARSERIGVAPPAAAAAPLASNKSGVDDGDMRVDYEHRDKDRDRDREREKNFPKESREEREERLQREKIREERRKERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGLASTKQGTEVMYDERLFNQDKGMSSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDVDDETYGGANEQLEKIMKTDRFKPDKGFTGASERAAPRDRPVEFESEEADPFGLDQFLTEVKKGKKAMENVGGGGTMRASAGSSMRDSSDGGSGRTRIGFERGR >CAK8543327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600375579:600378310:-1 gene:gene-LATHSAT_LOCUS12091 transcript:rna-LATHSAT_LOCUS12091 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAERRYLEDEDSSLMKTFKGATTGLVAGTIWGTVVATWYDVPRVERSVALPGLLRTFKMMGGYGATFAAIGGVYIGVEQLVQNYRGKRDLVNGAVGGFVAGASILGYRGRSISTAISAGSALAFTSAVLDFGGQTLKHDEGREYAALTTKKRPSNDA >CAK8575904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:353280713:353281201:1 gene:gene-LATHSAT_LOCUS28129 transcript:rna-LATHSAT_LOCUS28129 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEHHSVALIKSNLTPKAIIHQKFGKIACYAVEAVKEVSQTECLGLCIPQTGPCLYRCTLQLPELRVVSGTFMKKKDAEQSAAEIALEKLSIIPETTDLTPQEAHESLVARIAYLFSEEFPTSDHPLGGHIRATLRRKGNHCGSIPVSVIAISDPKIFSL >CAK8530636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36875651:36876028:1 gene:gene-LATHSAT_LOCUS486 transcript:rna-LATHSAT_LOCUS486 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVGDTQFPNQGLGGTQVPGEGLEGKKVTGQDGGTKRVVENMTNKPIKGNHKRKPIGGAVKLAGQIETLISESHKALEIMQFDGNATKEVNGSYTISTTMTVINHMIIDGVLEKSSGLWCFGGC >CAK8532852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:536223550:536224394:-1 gene:gene-LATHSAT_LOCUS2516 transcript:rna-LATHSAT_LOCUS2516 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFVRITWLESEYENLKNKENPTQEDVLLEAKLFILLVIATILFPDKTQNLLHSSWVPFVGDLIECGKYSWGSACLAKLYREMCKAAEKEVRSMSGCALLLTSWAFTRIPLFAPVSTVEPSYPYAQRWAQRGMNYRATPRFHLQGYRNALDHMQEKDFIWRPYIQYPVPRLEDSQIWSATTYLICFYIVEMHQTDRVTLQFGVDQQIPPLPKCLKDQHAMTM >CAK8562533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506582562:506582984:-1 gene:gene-LATHSAT_LOCUS16023 transcript:rna-LATHSAT_LOCUS16023 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHSNLTTDETTQNSGTTFTRAPPHRRNKSSIWNHFTPDPDLIGIARCNYYDSKLKSNNGTTSMTGHSKICKSNPNNEANKRLKTTPSSTTNVTSPSAIVLGKFDQEKCRQAVVDMIVEMELPYMHADHKAFRHCMSVL >CAK8571264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:356826630:356829170:1 gene:gene-LATHSAT_LOCUS23942 transcript:rna-LATHSAT_LOCUS23942 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLVQRITQFPIPQQRYTIPTFRVFLYSTLSTCDDLPKKLKKFERKPLVTSINELKRRGREKRKERQKVDEIVLQSPENGLLVQKLVPIAKEVFAARSELLSCVSRLVKFIAIYSCSICGEVHVGDPPHQIRTCNVRGSLSSKEHSWVKGGIEHILPLVESFHLYDRIGRAVSHNEMLQVDQIPAIVELCIQAGVDIPEYLTRRRTFPVYCVAGRIIDFEKRFPKEISLDKDIDEYGFPYKKKRLDEDTNSMEMHCDDIQAVAVRGMKAWKKMCSGASKLMEKYAVQTCGYCPEVQVGPKGHRVRNCQAYKHQMRDGQHAWQEATINDFVPPVYVYHIQDQKPNKPLANELKRYYGMLPAVVELFSQAGAPVEKNYAHTMRVDVVVPDMDEEKWVV >CAK8531916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:175852118:175871305:1 gene:gene-LATHSAT_LOCUS1673 transcript:rna-LATHSAT_LOCUS1673 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNGSSPRRNPAENVDIEAGPLSRRSSDIDDDGDFSDPFDIARTKHASINRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGQGQGQGQGQGELSETTKPLQTSTGEFPIGPEQLASISREHDIASLQQYGGVAGVSNLLKTDLEKGVDGDDADLLRRRNAFGSNNYPRKKGRSFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAVSDYKQSLQFRDLNEEKRNIHLEVVRGGRRVEISIYDLVVGDVIPLNIGNQVPADGIVISGHSLSIDESSMTGESKIAHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAVIVLVVLLARYFSGHSKNTDGTKQFTAGKTSVSDAVDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVVEVYAGGSKIDPPHQLESSPKLRSLLIEGVAQNSNGSVFVPEGANDVEVSGSPTEKAILHWALKVGMNFVNARSESSILHVFPFNSEKKRGGVAIQTADSVHIHWKGAAEIVLACCTGYIDRNDQLVEIDEEKMTYFRKAIEDMASDSLRCVAIAYRPYEEEVPDTEEQLAHWSLPEDGLVLLAIVGIKDPCRPGVKDSVQLCQKAGVKVRMVTGDNVKTAKAIAVECGILSSLADATERNVIEGKTFRALSDSEREEIADSISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFQGVRILGLEHQNSEHAIKVKNTLIFNAFVICQVFNEFNARKPDEFNIFKGVTRNFLFMGIVAFTVVLQVIIVEFLGKFTKTTRLNWQQWLISVIIGFIGWPLAVVGKLIPVPATPINNVFSKIRRTKKSKEPVASQ >CAK8543618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626614180:626615220:-1 gene:gene-LATHSAT_LOCUS12366 transcript:rna-LATHSAT_LOCUS12366 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFILSPALEALEHVRSEEGKIFTKPFLDICKSVLPVLDKFGGPFSFVKSDISGNIARLEIQYNSDSSKYKFLYNIIQKEVETKTERTQSSCTNSLLWLSRSMDFTVQLFRNLQEHKDWSMKHVCKDSYEKTFKKWHNWLASSTFNIGLKLVPDRKSFMEAIGKGDLSSHIVKFCDSFSLVLAENHKVLASVNMDNRKF >CAK8532306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:244816280:244818307:1 gene:gene-LATHSAT_LOCUS2030 transcript:rna-LATHSAT_LOCUS2030 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNGLQNGGGNGGVGGGNGLSYIEHYVSKFDTLAGVAIKYGVEVADIKRMNGLATDLQMFALQMLRIPLPGRHPPSPIPSEPAKLRENSSERRPPRTGQSAMKEPLQSLRLKPPKQKISPAMTILQKYYGLDSSSSRDTSGETEMAIYTSSTSDHSRDEWLPKPSPIPSHHSKSTNSTFDLLTGNDEVSEYVPFSFTDIGDGVSDKSDEKSVRRRQKADVDNGGSTPERILKEGNNSNGSNGSGSSSTGKAFSLRPKSASRASLFSESDSGWLDSIPVGFGESIFTDGLSGVRKSSSASSLREQEKYNSAATAWPTISKPIFDGLPIPITGRRSKTALD >CAK8563304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591091521:591092093:1 gene:gene-LATHSAT_LOCUS16729 transcript:rna-LATHSAT_LOCUS16729 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKFPLQTLNNNNNIISLIPHHETDKSNSKKIPKTSNKTHKKKYLGVRQRPSGRWIAEIKDSSQKLRLWLGTFDTPEDAALSYDSAARLLRGRNAKTNFPNTREQDFAILGKNPRAFHLLKHAMMKNHAVSSSTSVYSTVMMPWKNDAIVEETIVCSIAEDEGSSTGFSFGSSKVYSSVVVAPSFTAS >CAK8560721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37957842:37960034:-1 gene:gene-LATHSAT_LOCUS14376 transcript:rna-LATHSAT_LOCUS14376 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEALGSLKAVMVFRENIQINQRQCCVLLDVFTFAYESIADEIIQNLKFEEKNGKWKALEQPLREIHKIFKEGEVYIKHCLEIKDFWAKAITLCQNTDCVEFYLHNLLCCMPIVIEAIESAAETSGLDLDEMERKRLINSNKYRKEFRDMKLFKWKFGKHYLITQDLCNRYDTVWKEDRWFLFNKVHEKKVSGATKYERKLIDLLFRNLEVSESLEVKLLPSSILVGSKDYQVKRRMGNGSQYKEIQWLGENFVLRHFSGDIDALESEIKELLSLSHPNITDCLCGFTDEEKKECFLLMELMSKTLCTHIKEIHGPRKRIPFVLHTAVDLMIQIARGMEYLHSKKVYHGELNPSNILVKPRSASPEGYLHSKVSGFGLSSVKDLNQKGNANQNGTLSFIWYSPEVLEEQEQSGVASVSKYTEKSDVYSFGMVCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPLNSPKYVTNLTKKCWHTDPNQRPNFSSICRVLRYIKRFLTLNPGYNRETDPPVPPVDYCDIESVLLRKFPFWRSSGSSPISNIPFQMFAYRVIEQEKMCRGTKDNSESGSDASICGDETVTSGDEPFLPVIEKKPSVGPEIMNNRRLLSTRKSLDSRAKQSGVPKGRLDRPPQMSPRLRSIRMNSDKRLISSPRIIRRSSSGHVSDSELA >CAK8568702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633153208:633155428:-1 gene:gene-LATHSAT_LOCUS21634 transcript:rna-LATHSAT_LOCUS21634 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGSLVINNTNVFAALDTLKKKKKSDKERKNKGSKSSNKLESESDSKVFWAPAPLNASSWADVDDDDDYYATTAPPQSVWSVSDPQHSDEKPENFEDTESEEDILDDGDEEEEEQDHEPEPEDAVKPEPELKKHAEVPVAPKEAERQLSKKERKKKELEELEALLADFGVAQKESNDSQGQDESQGAEDKKDVEGDVNGEKKETAAESKTAKKKKKKEKASKEVKQSNGQHSNSETNIASDMAENAEEDPSVVDVKERLKKLASVKKKKSNKEMDGAARAAAQEAAARNARLAAAKKKEKNHYNQQPVR >CAK8537519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:383275614:383278201:-1 gene:gene-LATHSAT_LOCUS6808 transcript:rna-LATHSAT_LOCUS6808 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSMIMNIKIWFVNFIFLFAMLLSMTHGRTINPEFRVKAVSLGGWLVTEGWMKPSLFDAIPNKDFLDGAGLQFKSVTTGKYLCAESGGGTILVANRTTASSWETFRLWRITEETFRFRVFNKQFVGLDEINAVAVTNCSTESETFHILKKNDNSTFVRIKASNGYYLQAKSEESVTIDVSEVTGWEDNDPTVFELTIAARLQGDFQITNGYGPTKAAQVMKEHWSTFIVEDDFKFIASNGLNAVRIPVGWWIASDPSPPWPYVGGSLHSLDNAFSWAQKYGLKIIIDLHAAPGSQNGFQHSSTRDGSQEWGKSDENIQQTVDVISLLTARYAKSPSLYAVELLNEPLSPGVTLESLNKYYKAGYDAVRKHSTMVYVVMSNRLGPSEHRELFPLANGFTRPVIDVHYYNIFNDLFENMTAQQNIDFIYNNRSSDLNFITSSNGPLIFVGEWVSDWRVKNATKEDFQRFGAAQIDVFGRATFGWAYWAFKNANQHWSLEWMISNGYIKL >CAK8573812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639472064:639474252:-1 gene:gene-LATHSAT_LOCUS26216 transcript:rna-LATHSAT_LOCUS26216 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHALLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFGRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGGDEDLEGY >CAK8540803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22428941:22429415:1 gene:gene-LATHSAT_LOCUS9772 transcript:rna-LATHSAT_LOCUS9772 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNLLSITLFLALAFQAYGQPCSLSNIEVKQTKTSGSVWNVTVSNNCICTQTNVKFNTKGFKSSTPVDPTIFSQDGLLIQGAPFYGFKSAIFTYTSPSEFKFTPISSQIACS >CAK8563251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586566871:586567587:1 gene:gene-LATHSAT_LOCUS16681 transcript:rna-LATHSAT_LOCUS16681 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASQNLLFCLFYVNHVPKKEADYNIVSFCRGNNKVFRAYMHSWKKFKTDYFLATLISSLAHAKFFIYLTPSSEPAVYANQRRRLWSPNHFLNEVNCYYISYDALTDVETTMKTTFLSFSTAEIHCRDTNDVKYVKHRKELFDALKRKKTSTARTVPVQDTEGPSSTKGILVTAATPSKGRIKKNHDKASLVGAKKDHGKATIRGSPAKSN >CAK8568740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:637654958:637657053:-1 gene:gene-LATHSAT_LOCUS21671 transcript:rna-LATHSAT_LOCUS21671 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRANDGVIVPRKGIGSSMLKDTVNTRNGQVCSRLGCSSKVGSSKGSQIGSSEKGKSLRPSFRFSSNGKETIGSSSKTSSGSSNLGKPHRKPQKTLSSHLEIDSSETSSVQDDPEVSKPSPPPEKSQRGLQAEGETRVQEVGSSSVASNTRSRRNFHPKPGLRSQEIQSTGPGTRAGTNRYGLRNLKCNSVSDVVPAGCAPSDSNLNRRNDSIKKRNCEGESSSTARGKKISGPSGEGRNSVSRNGISISDSRISRNAPNRERPDNNTVSVRTRRSIGSHARGRLSSQGNANPVVPNQQSLIMVPSFSHSGNGNALGVQDRSSSETPSSPSSYSGAGTSSEELYGVITPTEYSLTHSLMNRDSFRRRYNMDGIAEVLLALERIEQDAELTHEQILLLESNLFLTGLSFYDQHRDMRLDIDNMSYEELLALEDRMGTVSTAVTEEALSECLKRSSYQYSDSASKDDIKCSICQEEYALEEEVGSLQCEHKYHMACIQQWLRLKNWCPICKASVSSPPPSH >CAK8531438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110510887:110511341:-1 gene:gene-LATHSAT_LOCUS1231 transcript:rna-LATHSAT_LOCUS1231 gene_biotype:protein_coding transcript_biotype:protein_coding MQETIVSTAVAGAIVGAAFGGYMNDKMGRKKTILVADVVFMLGAIVMAIAPVPWVIIIGRVLVGLGVGVAFMTAPLYISEASPARIRGALVSVNILLITGSQFLSYLINLVFTKAPGT >CAK8567451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514798295:514799477:-1 gene:gene-LATHSAT_LOCUS20504 transcript:rna-LATHSAT_LOCUS20504-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSISTQLGARTPPRCYLKDQSKTFLNLQKTHSIPPEKKEVFKSLENWAVQSLLPLIKPVEESWQPHDLLPDSSLPADEFIDQVKALKDRTAELPDDYLVVLVGGMITEEALPTYQTWVNGLDGIRDETGSSLTPWAIWTRSWTAEENRHGDLLKTYLYLSGRVDMRMIEKTIHYLIGTGVDVGTENNAYMGFVYTSFQERATFVTHGNIARMAKERGDLVLAQICGTIAADEKRHENAYIRIVEKLLEVDSSETMIAIANMMRRKITMPAHLMHDGQDPRLFDHYSAVAQRIGVYSTDDYADILEFLIGRWKLEKLEGLTSEGQRAQEFVCKLAPRIRRLQERLDLRARKINHKFTWIFNKEVSL >CAK8567450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514798295:514799489:-1 gene:gene-LATHSAT_LOCUS20504 transcript:rna-LATHSAT_LOCUS20504 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAMSISTQLGARTPPRCYLKDQSKTFLNLQKTHSIPPEKKEVFKSLENWAVQSLLPLIKPVEESWQPHDLLPDSSLPADEFIDQVKALKDRTAELPDDYLVVLVGGMITEEALPTYQTWVNGLDGIRDETGSSLTPWAIWTRSWTAEENRHGDLLKTYLYLSGRVDMRMIEKTIHYLIGTGVDVGTENNAYMGFVYTSFQERATFVTHGNIARMAKERGDLVLAQICGTIAADEKRHENAYIRIVEKLLEVDSSETMIAIANMMRRKITMPAHLMHDGQDPRLFDHYSAVAQRIGVYSTDDYADILEFLIGRWKLEKLEGLTSEGQRAQEFVCKLAPRIRRLQERLDLRARKINHKFTWIFNKEVSL >CAK8569730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9418203:9419385:1 gene:gene-LATHSAT_LOCUS22547 transcript:rna-LATHSAT_LOCUS22547 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTSWLCSLRTPGRSGRELDEEESLCRKPNVNEEYLQTFRTNSYIEICNKAQGQFGNTTRLSSSPSPICMNLTDSLLEPRQEIITNITRNFKVHQLIVDYFEASLDACLCCDTILEGIHTTRLAYTRLTRFVNDFNDGNNEKTNTTRKYQKKLALVSSKLQNNPLPVITTMQLHDLHDKYIELLRKLTSKRKKIKRRLTLIGACKKVGGIALATSHGVILIALLIVSLHSVVGLVAAPGIVGGLVGLFMKRIKIRIRRSSNCERLCQQLDAAAKGVYIMINDLDTMSRMVNRLNDEVEHRKMVADVCVKNVGSKCEILKQVMNEFSDHESRFLEQLEELEEHVYLCFLMVNKSRRLVMQQII >CAK8566336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:409430411:409432813:1 gene:gene-LATHSAT_LOCUS19471 transcript:rna-LATHSAT_LOCUS19471 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRKPHTSTADLLTWSETPIADSPAPASSASRSHQPSDGISKVVFGGQVTDEEVESLNKRKPCSEYKMKEITGSGIFVANGEDDETEDGSANPSANKTGIRMYQQAIAGISHISFGEEESVSPKKPASLPEVAKQRELSGTMESEDNKLNKQLSDAKFKELSGQDIFAPPPEIKPRPVTARILELKGSIDIGDSHGDGDEGTTSGEPVLKTAKKILNQKFAELSGNNIFKGDAPPLSAEKSLSGAKLREISGSNIFADGKAESRDYLGGVRKPPGGESSIALV >CAK8561481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241120848:241122444:1 gene:gene-LATHSAT_LOCUS15069 transcript:rna-LATHSAT_LOCUS15069 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGGEIESELANQVAGMMIYLSLENKNKYLYLFINSLGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMTSLILAGGRITKRLAFPHAWVMIHQPHSAPYEGPSGECMLEADEMVILRETITAIYSQRTRKPVWQISKDLERDHFMSPEEAQAYGIIDSVSDSLPTKAIFDSGADSFF >CAK8561482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241120848:241121222:1 gene:gene-LATHSAT_LOCUS15069 transcript:rna-LATHSAT_LOCUS15069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGGEIESELANQVAGMMIYLSLENKNKYLYLFINSLGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMTSLILAGGRITKRLAFPHAWRQ >CAK8544396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682179896:682180354:-1 gene:gene-LATHSAT_LOCUS13082 transcript:rna-LATHSAT_LOCUS13082 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSCIPTASQIFNNKVIIKAKKKTATLLDTCGNVREIKLPMKSAELMIELIGHVITPAEVLLKTRRITALRADEELVAGMVYLLVPVSRVNSKASEFEIEIAERGSGKRKGSKTAKVSPVLKVTENDGGVYCVRQRRWNPVLDPIFESS >CAK8531631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132776374:132778944:-1 gene:gene-LATHSAT_LOCUS1407 transcript:rna-LATHSAT_LOCUS1407 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNDKLSIPTSTSTSSSRLADLVSDQFPAGLRVLVVDDDPTCLMILERMLRFCLYQVTKCKRAEVALSLLRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKNVVMKGVKHGACDYLIKPVRIEALKNIWQHVVRKRNNQWKDLKQSGGGLDEGDRQQKMSGDGDYSSSANEGKSSRRRRDEEDEADERDDCSTMKKPRVVWSIELHQQFMAVVNQVGLDKAVPKKIMEMMNVPGLTRENVASHLQKYRLYLRRLSGVSQQQSNLNNPFMSPQESIFASSSINGIDLQTLSPVGQLSNQSLAKLQAAGLRFGEGQLQHMNSNKPMNFLHGIPTNMEPKQFANLHQSVQSFGSTSIRVNASATPRSPWLMQMAQSLPRRQMLNENTNSHATRFPSSFVQPTGPIGVSNGFVGNMIADTSNITYAYNSVAQRNTDVSPSNLAHCGFSSIQHAGQNRDASLIGKSLISTGDGMSQGNLQNVGQHFNTPLVDNSLRVKSERVPDPSFEINPFSEQFGQEDLMSALLNQHQGVGPVENEFDFDGYSLDNIPVYNRSC >CAK8566851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467061012:467062122:1 gene:gene-LATHSAT_LOCUS19954 transcript:rna-LATHSAT_LOCUS19954 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLALLAIASLFLASLPYHGVSAPTPAAESPVPETAGAPSPTADNGCLTALTNMSDCLTFVEDGSKLRKPDKGCCPELAGLVDSNPICLCQLLGNSDSIGIKINLKKALKLPSLCGVTTPPVSTCSAVGVPVSLPGPSSQESMPPNMAMTPKGGLPPSPSNSADSSAGVPSPSESKNGASSIHASSMTFIFAMSTLFFSMFF >CAK8542455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516776293:516776672:1 gene:gene-LATHSAT_LOCUS11294 transcript:rna-LATHSAT_LOCUS11294 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAFTSPDAKLDNRFNNGGGPPTLRIQGQSCHQIGILLPPEGQPPKFAQLYIYDTENEVHNRMQGLRDTKNIDPLIVQ >CAK8532372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250321270:250322704:-1 gene:gene-LATHSAT_LOCUS2084 transcript:rna-LATHSAT_LOCUS2084 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYGAGTSMILEDGETVVHYYIPYLSAIQIYTNKFVVASREDSDGVEFESDSWSDDSGSDKLFRLLSNDSSKSWDAISEESSSDQEGSCQTKDKLGNLYLSYIEMSSPYHRVLLTLKIPELAKTYQELMTLKSVDFSPTSWMVVSWYSIYTIPSRKNDKDMEACFLTYHTLPSSFQDFELENHGMNIDKDKDLCCLSGWENIVGHHNSKKKNKSSISLPPFGLATYKMQSDLWLNSDLYDYESISYLYSPVKSWLKQLNVDHHDFNFFASNSIL >CAK8532373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250321270:250322290:-1 gene:gene-LATHSAT_LOCUS2084 transcript:rna-LATHSAT_LOCUS2084-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYHRVLLTLKIPELAKTYQELMTLKSVDFSPTSWMVVSWYSIYTIPSRKNDKDMEACFLTYHTLPSSFQDFELENHGMNIDKDKDLCCLSGWENIVGHHNSKKKNKSSISLPPFGLATYKMQSDLWLNSDLYDYESISYLYSPVKSWLKQLNVDHHDFNFFASNSIL >CAK8576264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440062146:440062439:1 gene:gene-LATHSAT_LOCUS28467 transcript:rna-LATHSAT_LOCUS28467 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRNLVVAAGLVAFASAGMAFPFYMASSKKPVIDPTKPLSPQATFRGPYINTGSRDVGPDHETYQKK >CAK8570986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:222052083:222060761:1 gene:gene-LATHSAT_LOCUS23689 transcript:rna-LATHSAT_LOCUS23689 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKIGLGVATVPTHRVTTAAALSSAASPPPHRFIFINSRRSIRTRLSSLTLRASSETPPTSSFVASPGKGVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELHHEDVEAIDVNSSPFTIQSSERKVKSHTVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVYDNPNVTVHFNTEAVDIVSNTKGQMSGILVRKLDTREESVLEAKGLFYGIGHSPNTQLLKGQVELDQSGYLLVKEGTAKTSVEGLFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSSGLLIEFHQPKHEEVKKELTDRDVHAGFDITLTKHKGQYALRKLYHDSPRLICVLYTSPTCGPCRTLKPILSKVIDEYDQSVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKENLKTVSGVKMKREYREFIEANI >CAK8572992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575127909:575130812:-1 gene:gene-LATHSAT_LOCUS25489 transcript:rna-LATHSAT_LOCUS25489-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSVIKGGWRPTFALAKKNDSEGRKTRVRLSKEQRKAMVESFIKKYQELNGGNFPPIRAAHREVGGSFYTVREIVREIIQENRVLGPAKFNLEEFDADQFSEQNPLGSIARGPQPFLAAFSNEDHPEHNKVPDTNDKLLSVSDNGRVITVDHVDVTNKETVEATVVSDEYYTGAEYPVGVNGHVTNNESVEAAVVFDRYFTGAELEIVDKEHDVDSSKVDVVDKDSVKAVVVSDDHCTRGELEIVDKEHVIDSSKVDVTNKESVEAVVVSDDNCTGGELEIVDKQHGIDSSKVDVTNKESAEAVVVSDDHCTGGELEIVDKHGIDSSKVDVTNKESVEAVVVSDGHCTGGELEIVGQVHDIDSSKVDVINKESNEATIPENKPTEPKLNVEQELAATTMPSAKVNVLTKDLIVETFPLRSVARTSSGAEGSEELKDSGNSLERDIKKLELEQGKNSEQNGIEPTNNSTLLDEKFENALGNKNLKEISNDRHDVKSANHSTHNEEVTVSHQKAITFETNNQSQVENEAKTNTQDDSKSSEEGQHKADKYRLDQLGGNSQRRVNTTVDRINLESWDGRLKKSEKKEANPLLALLKAIVNAFGKLLSE >CAK8572993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575127909:575130812:-1 gene:gene-LATHSAT_LOCUS25489 transcript:rna-LATHSAT_LOCUS25489 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSVIKGGWRPTFALAKKNDSEGRKTRVRLSKEQRKAMVESFIKKYQELNGGNFPPIRAAHREVGGSFYTVREIVREIIQENRVLGPAKFNLEEFDADQFSEQNPLGSIARGPQPFLAAFSNEDHPEHNKVPDTNDKLLSVSDNGRVITVDHVDVTNKETVEATVVSDEYYTGAEYPVGVNGHVTNNESVEAAVVFDRYFTGAELEIVDKEHDVDSSKVDVVDKDSVKAVVVSDDHCTRGELEIVDKEHVIDSSKVDVTNKESVEAVVVSDDNCTGGELEIVDKQHGIDSSKVDVTNKESVEAVVVSDGHCTGGELEIVGQVHDIDSSKVDVINKESNEATIPENKPTEPKLNVEQELAATTMPSAKVNVLTKDLIVETFPLRSVARTSSGAEGSEELKDSGNSLERDIKKLELEQGKNSEQNGIEPTNNSTLLDEKFENALGNKNLKEISNDRHDVKSANHSTHNEEVTVSHQKAITFETNNQSQVENEAKTNTQDDSKSSEEGQHKADKYRLDQLGGNSQRRVNTTVDRINLESWDGRLKKSEKKEANPLLALLKAIVNAFGKLLSE >CAK8544124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664442818:664451195:-1 gene:gene-LATHSAT_LOCUS12827 transcript:rna-LATHSAT_LOCUS12827 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAHSPPTDPQYVSASRAFTQKGLEDLKSLFNSLASQSHSNGKYISPSVFQSYFKLHGPIGERMFDLVTQERKDQKLTFEDLVITKATYEKGTKDEIEEFIFHVLDVSGDNFVGRSDLESVMNAIFTDILCIEGSEVGSSSHQDIVNIFLSAANFSIHDEKCIEEAMSFEDFRSWCSHLPTVRKLLGSLLLPPDSGRPGTQIPNLLTPKDIDSNTVLLRKEYAWHIGGALSQHELEDWKLLYHSSVNGLSFNTFLGNISNHKGPTVLIIKDKDGYIYGGYASQQWERHAEFYGDMKCYLFQLNPKASIFRPTGANTNLQWCAVNFSSEDIPNGIGFGGKVNHLGLFISANFDQGHTFSCSTFGNPSLSKTNRIIPETIECWGVTQGVQNKNDGVKGTVLERFKEDRHMLNMVGLANSSE >CAK8569234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685625503:685627914:-1 gene:gene-LATHSAT_LOCUS22112 transcript:rna-LATHSAT_LOCUS22112 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVIMSLLPFLLLLFINGHESFARDMNQVRQPYLDGWLKNTPLKNQKSSPNSEQVYLDGWLKDIRAEKEKSNADSNQVYLDGWLKDTRGEKEKSNPDSNQVYLDGWLKDIRAEKPQVNPDSNQVYLDGWLKDTRAEKVKVNHDPNQVYLDGWLKDTRAEKEKSNLDSNKVYLDGWLKDIRAEKEKSTPDSNQVYLDGWLKDTRVEKVKFAPDSNQVYLDGWLKDTRYENTKSNPESNQVYVDGWLKNTRAEKGKVNSDSNQAYLDGWLKDIRAEKVKANLDSNQVYLDGWLKDIRAEKVNSNPDSNQVYLDGWLKDIRAEKENSSPNSNQVYLDGWLKDSHVENAKSISNSKQAYLDGWLKDSHAENPMKIGHDLAESNRNLSSKVDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSTQGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYDIHSTSYPTTSGAQLQNYTVMDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPRPPHATK >CAK8577479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567668824:567670059:-1 gene:gene-LATHSAT_LOCUS29583 transcript:rna-LATHSAT_LOCUS29583 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLQPLDLAIQIPYHFRCPISLELMRDPVTVSTGQTYDRNSIESWVNTGNTTCPVTRVNLTDFTFIPNHTLRRLIQEWCVANRAFGVQRIPTPKQPADSALVRSLLIQCSSHSAPTQMRLNSLRRLRSLARDSDYNRSLIGSLNVRHVLLPIVFDYNGLDEMKYDSLALLVLFPLSESECSSVASDSDKINYLTSLLTNSLFDVRVNSAALIEIVVAGTHSAEIRSQVSNVDGIYDGVVEILKNPISYPRALKIGIKALFALCLVKQTRHRAVAAGAPAVLVDRLADFEKCDAERALATVELLCRVPDGCAAFASHALTVPMLVKIILKISDRATEYAAGALMALCSESERCQREAVTAGVLTQLLLLVQSDCTERAKRKAQLLLKLLRDSWPQDSVGNSDDFACSHFD >CAK8541104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:66785947:66787173:-1 gene:gene-LATHSAT_LOCUS10055 transcript:rna-LATHSAT_LOCUS10055 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKALPVPNFGSDFCISYRNSSSQKMRIMEVLPPSDGNGSSSAEEEPLPQDADYNLSLSDELETSILARFPRSQHWKLCFLNKRFLSLMKSGEIYKIRKELGLKEPSVFMLASGESNWWGMDWPFISSRKLPRIQSDYSFEFGDKESFCAGSQLLVSGKEIDGAVIWRYDSETNEWFKGPFMINPRCLFASASSGNFAFVAGGLETNTYSEILDTAEKYDSKTKIWKPLPKMNQKRKFCSGCFMDKRFYVIGGQNENTKDLTCGEFFDEKTGTWNLIPDMLKDIPVSVSQSQSPPLIAVVNNELYTLDASSNELKVYVKRINKWKKLGVVPVRADEQGGWGVAFKSLGDELLVIGAPSVLNRERALAIYTCCPDPDGERLKWKQIECGGVQLNHFIRNCAVMSGST >CAK8536125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909542520:909544550:1 gene:gene-LATHSAT_LOCUS5524 transcript:rna-LATHSAT_LOCUS5524 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSDDYDYLMKVLLIGDSGVGKSSLLVRFSEGSFSTSYLPTMGIDFRNRNIEMHGKQIKLQIWDTAGQERFRTITRAYYRGAMGILLVYDVTNEASFNNIRSWMLSIEQHASDNVNKILVGNKADMGDSKRAVPTSKGQALANEYGIKFFETSAKTSRNVEKAFFSITRDIKQRLDDTDSMKMPARMSISINQRAQKSACCG >CAK8575479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:133385718:133387986:1 gene:gene-LATHSAT_LOCUS27738 transcript:rna-LATHSAT_LOCUS27738 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIMRKTLLTLLLLSSIFSIANSSKRRSRSSNNNIDWWCNQTPHPEPCKYYTKQTHYYHNKSIKHKSKFREILIHLALERAVIMRNTAREFRQNNSIINKKQKTVSRDCLKLYDNTVFHLNRTFSNLHVKKTCSSFDAQTWLSTAYTNIETCRNGALDLNIPNFITPIMKVNMTEIISNGLFINWEFVKRDGRVRYTDDVTDEAFPRWFSVRERKLLETSRVIKANLVVAKDGSGHFRKVQEAINAAGRRRFKTRFVIRVKRGVYRENIEVGKMNDNIMLVGDGSRNTIITSSRNVKAGFTTYSSATAGIDGLHFIARDITFENTAGPYRGQAVALRSASDLSVFYRCAFKGYQDTLMVHAQRQFFRECYIYGTVDFIFGNAAVVFQNCIILVRKPLNGQANMITAQGRDDPFQNTGIVIHNSQIKAAPDFKPVVGKFNTFLGRPWQRYSRVVVMKSFLDNLVSPMGWSPWGGSNFAQSTLFYGEYNNFGPGSSTRNRVRWSGYQVMKSPAQALPFTVNSLLAGATWLPSTGVPFTSGL >CAK8544127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:665260945:665261775:1 gene:gene-LATHSAT_LOCUS12830 transcript:rna-LATHSAT_LOCUS12830 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKTLNLSSLLKNTDLKYSSSWPWPYCHQPKTLSFRAENNQDDINTTFKIINSAYLEPSHESLSPKASLDDSGKTDSTEPVIRGLPSDRFFFEPDESNSILEANSKVVAQSETTQSLPFKDSVVLSMESQDPYVDFRKSMEEMVEAHEVKDWECLQGLLCWYLKVNEKDNHGYIVGAFVDLLVDLAFASSSSSFSSSSSSSSRSPFSPLSFYSSSMSSSYSTRCASCSAVREEEVDTSSSSLLLEQVREENGEDEIEVLETEISTSSSSLSSNY >CAK8539773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524812386:524813132:-1 gene:gene-LATHSAT_LOCUS8837 transcript:rna-LATHSAT_LOCUS8837 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVASTPTTQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVLSRPEEDGTMTSVTATAEIDKKIQSLDYTSFRVEVLSADAQPSLNNGVMVVVTGCLTGTDNVKRKFAQSFFLAPQDKGFYVLNDVFRYVDEYKSVDIESVPANDVDESAPPSEALTPEPEAVHVPEEIPPSQTVIADTDTIISKEVSLPLENGKLPVPETVIPVNHVKEPIHQELPPSLFFPLTTQKISNLFLQPSNNLHLPNPN >CAK8573025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577962510:577975755:1 gene:gene-LATHSAT_LOCUS25520 transcript:rna-LATHSAT_LOCUS25520 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRHPLISHSLRILSSTTRSIYRTPNSRIYSVGGNGNLRHTSWSGLTGVCDRPLKSKWIGVKFFSSSDFPHEVLGMPALSPTMTQGNIAKWRKKEGDKIAVGDILCEIETDKATLEFESLEEGFLAKILVPDGSKDVPVGQPIAITVEEESDIQNVSASVGDESKVEEKKPTNQDVADEESKPESTSTIDTSDLPPHEILGMPALSPTMNQGNIAKWNKKEGDKIEVGDILCEIETDKATLEFESLEEGYLAKIVAPEGTKEVAVGQPIAITVEDAGDIEAVKNSFSSSSVNQKEKAPQPSTKSEVKTHKSNTARISPAAKLLITEYGLDASTLNATGPHSTLLKSDVLSAIKSGKLSPKPASSKAKAPSSQSHQAAASQKSKSDLKQSDAYEDFPNSQIRKVIAKRLLESKINTPHLYLSSDVTLDPLLSLRKDLIEQYDIKVSVNDIIIKVVAAALKNVPEANAYWSDEKGEIILCDSVDISIAVATEKGLMTPILKNADHKTISAISSEVKELAAKARAGKLKPQEFQGGTFSISNLGMYPVDKFCAIINPPQACILAVGRGNKVVEPVIDADGIEKPSVATKLNLTLSADHRVFDGKVGGAFLSALKSNFSDIKRLLL >CAK8567368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508960357:508962867:-1 gene:gene-LATHSAT_LOCUS20430 transcript:rna-LATHSAT_LOCUS20430 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESHSQKKVVFDGEAASWLVKKLRVSFSSGKTRSYEWRISQVKALLKMMAEQEDQIIDALRSDLAKPPLETVLYEIVLLKNSCELVLKELKQWMTPEKAKTSITTFPSSAEIIPEPLGVVLVISTWNYPFLLSLDPVVGAIAAGNAVVLKPSEIAPASSSLLAKMLGEYLDNSSIRVVEGAVDETTALLQQKWDKIFYTGNGKVGRIVMAAAAKHLTPVTLELGGKSPAVVDSNVNLEVAARRIISGKWCCNNGQTCISPDYIITTKEFAPKLVDALKTELENCFGKSPIESNDLSRIVNSNHFARLLKFLDDDKVSGKIVCGGEKDESKLRIAPTILLDVPQDSLIMSEEIFGPLLPIVTVNKLEESFDLINAGTKPLAAYLFTHDNKLKEQFVKNVSAGGLLINDTVLHLVVHTLPFGGVGDSGMGAYRGKFSFDVFTHRKGVLYRRFIGDSSIRYPPYTDTKQKIMKALVAGDVPGVVRALIGWS >CAK8570018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21245722:21246982:-1 gene:gene-LATHSAT_LOCUS22809 transcript:rna-LATHSAT_LOCUS22809 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRCCLLSLFDRFSMKKLCCSVFLFCCSCFRILLVISMEKFKLKFKGIFSSIGCLRRREKPSVVISMDEGFNGEIIQGQTVAKNDGSSDFWSSSAYEKDHSAARSTRSVSSSRITMNSSSDLQSSSNTQISPPEFVNQGLIVWNQIRQKWGENKITQSNIEDRESRISSNATYDDLLGNNKFFPQPIPLREMINFLVDIWEQEGLYD >CAK8575924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:364018595:364019496:1 gene:gene-LATHSAT_LOCUS28149 transcript:rna-LATHSAT_LOCUS28149 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWALLHSIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSIIKPSMLWRVKKDVVSELTSKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNHGQLNEKKIWNLMNIVIQLRKVCNHPELFERSEGSTYLYCGKIPNSLPPFGELEDVYYSGGHNPISYEIPKLVYQETLRSSVSLSSDVGHEDIHVKSGTFGFTHLMGLSPQEVTFLVTGSFMERLLLFMMRWEQNFSKEVIDFLTETIIDDLGCSYLEKGKVRTVTNVVGTVEI >CAK8564656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5473400:5474704:1 gene:gene-LATHSAT_LOCUS17939 transcript:rna-LATHSAT_LOCUS17939 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGLRDLVLIAPSPSSLHHHQQQQHHHNHQNHPISSDHNSSASLSVGFGIFPLLTATPCMPQPQPQPNQSQNNDVQENNNNNFWNLRMCAEVSKKGVITDSNNNNNHNDDDGDEQHHHHHHHNHNNNNKMVMMESEENGVYGSEYRVCHDCGNRAKKDCVFRRCRTCCKGRGYDCSTHLKSTWIPSTRRRDREGEIVGGRGDGDGGGEGCSAVKRPKILLGSSQNATATSHSSNSNGTTPKSFANSSCHQEGGFKEALPGQVHAPAVFRCHRVTAIGNGEDEFAYLATVHISGHVFKGFLYDHGVDGKNPMPCVSELQLGNNCSGKNGECSSPIGVQSNTAYPAS >CAK8576362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466822431:466825130:1 gene:gene-LATHSAT_LOCUS28555 transcript:rna-LATHSAT_LOCUS28555 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHHHHQDCNTQNSAGAQSPPTTGTCCKCGGPTTFAPPPVSPAFSEISPPPTYRPIRAPAIPPDPHSQRAIILSPVPQAQHVPVASPPYQFQIPIKRIQSPDDIRRLQDSESGQNFVGFVVALSESIRGRKISDPCHQSETINTIVSILETLTQWIDEIPPAQQAARYGNIAYRTWHERLVNSGESLMFRCLPKDLQPAAVELVPYFSDSFGNSSRIDYGTGHETNFAAWLYCLARLGVIREEDYPAIVSRVFVRYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNRDILDNFSNEYLYLAGISFIMKVKKGHFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWE >CAK8544209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671879289:671880759:-1 gene:gene-LATHSAT_LOCUS12908 transcript:rna-LATHSAT_LOCUS12908 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQSKFEKFTWKVENFSKYNTDLDVCSEPFIIGGYPWEIIFNQRGYDVGDLSIFLSAVETANMSNGWSRHVKFKLFLLNQIDSNESIIEEDEVEFNANFDIWGTECFVTSGNLHDPLCGFLVKDICIIGAEVFICDSKNEKQVKQESSLITSHTSGSQIVQMEVEVLRPKLDKSNGENIGKLMDFNDFGQIEKALVPLLDEVCAQHPSLIECQQKRSQKFREWAFNALGRVLYFLKTRKMKDMNDIACNELQIFWEELEHFGFDLSWLEPHVQSALGMKGYFKKLDEVEKLKDNEALLELEMMRLNAKMVALEVNWHAVKELLEEEDFEEKDLDVELGFVKP >CAK8565522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:255251491:255251910:-1 gene:gene-LATHSAT_LOCUS18728 transcript:rna-LATHSAT_LOCUS18728-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRFLNFLILSFLFTNLLQSFDAQPVPTPSPNSHSPAAPAPSPIFPPTSPTPVPILNPNSPVPTPSPTPSPSTNASEPEPPAAGRGDPSGLSGGQKAGIVIGTLLGAALLGFFGLVCWKRQSNVRRNRYSNAARNIEL >CAK8565521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:255251491:255251922:-1 gene:gene-LATHSAT_LOCUS18728 transcript:rna-LATHSAT_LOCUS18728 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIMKSRFLNFLILSFLFTNLLQSFDAQPVPTPSPNSHSPAAPAPSPIFPPTSPTPVPILNPNSPVPTPSPTPSPSTNASEPEPPAAGRGDPSGLSGGQKAGIVIGTLLGAALLGFFGLVCWKRQSNVRRNRYSNAARNIEL >CAK8568850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647187930:647191214:-1 gene:gene-LATHSAT_LOCUS21765 transcript:rna-LATHSAT_LOCUS21765 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHGRTVLFMFVAIFMLFNVQNAFVSCVLTSELQSKISKINQEGPYLGLVIPNSFELNPLLQNSGYTPTDTIIDFAGRRFRFGFIGDKPVVLVMTGLSVINAAITTQLLLSFFNIDGVVHYGIAGNANPSLHIGDVAIPHYWAHLGLWSWQRFGQGANDTLPLENNGDYTRDVGFIKFSDFTSNITAADSAKVDNHLNSLWYQPEEIFPVDGIPEERQHALWVPVDSNYYLIAKKLEDMKLEACIDSDTCLTTTPKVLLVERGTSSGFYLDNAAYRTFIHDKFNVSPVDMESASVALVCLQQRIPFIAIRALSDLAGGGTAESNEADTFVSLAATNSVAVVVEFVKLLSGHSQW >CAK8530135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2350550:2351088:-1 gene:gene-LATHSAT_LOCUS27 transcript:rna-LATHSAT_LOCUS27 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNSERSKTWSIYTASNPGPSHSQTGGGGVDEEGPWKSFGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTQQFSSTPESMLPTYQEQHSLPTTKQRNAQSVSSSSYACDLSVLMPGEQYPTYIAQPAPLPCPREGAAYWPSHHHFVFNN >CAK8564404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672503737:672504981:-1 gene:gene-LATHSAT_LOCUS17713 transcript:rna-LATHSAT_LOCUS17713 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHTSTIFSSSFSSKRVHVDASIHVPRPNSALPKTNILTRPKLVGGLSTFTDSLGKNDLSNSTISNNSTMQLYAVLEAVSDRIQMHKNIGEQRQNWNNLLLNNINMITLTATTLTGVVATSGAVGAQLLALKLSSTLLFSAATCMLLVMNKIQPSQLAEEQRNATRLFKQLWTQIQTKINLGNLITGEDVESSMEKLLALDKAYPLPLLGAMLEKFPAKYEPAVWWPEKRREGNAAAARKMMKRNSNSSNGWSEELEIELREVIEVLKRKDMEDYERLGNIALKINKSMAIAGPLLTGIAAIGSAFVGNDDGLFSAMVPLMAGSVACAINGLEHGGQVGMVFEMYRNCGGYFRKLGESVESTLEENDLEKRENGEIYEMKIAMMLGTSVLELRQVASNSASSSIDQFASKLF >CAK8568795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641587147:641588242:-1 gene:gene-LATHSAT_LOCUS21719 transcript:rna-LATHSAT_LOCUS21719-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNSTTIKTPSFNYPNSIITKLSSSKPTIKFPFFTNKKPFLQLRTCSVSETSVTTTQIDTEEDKETTQIETEQDKETTQIETEQDKETTQIETEQDKEDPTAETCYLDPETDPAKILTWELDFCSRPILDARGKKLWELVVCDKSLSLQYTEYFPNNVINSITLKDSIVGICDDLDLPLPKNIRFFRAQMQTIITKACKELDITALPSKRVS >CAK8568794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641581044:641588242:-1 gene:gene-LATHSAT_LOCUS21719 transcript:rna-LATHSAT_LOCUS21719 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNSTTIKTPSFNYPNSIITKLSSSKPTIKFPFFTNKKPFLQLRTCSVSETSVTTTQIDTEEDKETTQIETEQDKETTQIETEQDKETTQIETEQDKEDPTAETCYLDPETDPAKILTWELDFCSRPILDARGKKLWELVVCDKSLSLQYTEYFPNNVINSITLKDSIVGICDDLDLPLPKNIRFFRAQMQTIITKACKELDITALPSKRLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIISKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSVVVPKVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMNFEPPSFQNSHTQQPTWSDMDVSPLPSFEYVDTPVQAPPIESCLPVPDSTDLDRLIDAIELSSVEYVDTPVQPPPIESCPPVADSPDCCHLIDPINYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8577410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562347569:562347998:1 gene:gene-LATHSAT_LOCUS29523 transcript:rna-LATHSAT_LOCUS29523 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFGPQPPQKWLTLSDMGYFISNLYNVLLVCLGNMCMNFFPMTSSYSPNVSIYCIGFVNQNHWVRVNMKEWFPLPPVTLDWNKFCSSTTSWMLGFVGGLQHWQQLVSVLP >CAK8569420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366739:368341:1 gene:gene-LATHSAT_LOCUS22275 transcript:rna-LATHSAT_LOCUS22275 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAYLKQPKVFLCSKVSGKGKRPGKGGNRFWKSVGLGFKTPKDAIEGTYIDKKCPFTGNVSIRGRIIAGTCHSSKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSNLAKKAFTGI >CAK8540076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538471425:538472279:-1 gene:gene-LATHSAT_LOCUS9114 transcript:rna-LATHSAT_LOCUS9114 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNHARSNSFPSQSHPNSTRIEQELSKIKTWETTSTSTPDSITIGLSLLEDLYTSLEDFLNMTSTQKVISRHQGENFVEDLLDGSVKILDICGITRDTMLDIKENVEALHSSLRRRKGDSSFETSVAEYNFFTKRMKKNVTKLITSLKQMESKFGASSLLNQDQEVVSVIRVVREVIVMNMSIFQSILSFLASKSKATKWLKMAKLMHKRTISSEEENLNELQRVDASVRTLLCKGSDVAKMQAARESFEALESTIEGIEKGLESVFRSLVKTRVCLLNMTQ >CAK8539722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522958041:522958772:1 gene:gene-LATHSAT_LOCUS8794 transcript:rna-LATHSAT_LOCUS8794 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDILKTLETDESSMDMMTMMMQMEKFPEFHPPFYNNNNILHINLNNTYNELPYGNSNVTYPQPPLSYPQQPMTPSIQHNGVQIPSGRINNALFSSYSDKKNSMAIMREMIFRMAVMQPVNIDPEAIKPPKRKNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLEQVGANRPMNVVGFPGMVSNGNSNYNSSFLRGCSSPCQMVGPTSKQMLS >CAK8561090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91775705:91780585:1 gene:gene-LATHSAT_LOCUS14711 transcript:rna-LATHSAT_LOCUS14711 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSTSLVSTLLLSPHNGYKVWEDPSFIKWRKRDPHVHLHCHESIEGSLKYWYQRSKVDFLASQSAVWKDDAVNGALESAAFWVKDLPFVKSLSGYWKFYLASNPSNVPTKFHENEFQDSEWNTLPVPSNWQLHGFDRPIYTNVTYPFPLDPPFVPSENPTGCYRMDFQLPKEWEGRRILLHFEAVDSAFCAWINGHPIGYSQDSRLPAEFEVTDFCHPCGSDLKNVLAVQVFRWSDGCYLEDQDHWRLSGIHRDVLLLAKPEVFITDYFFKSNLAEDFSYAEMLVEVKIDRLQDTSKDNVLTNYTIEATLFDSGSWESSDGNPDLLSTNVADITFQPTTAPLGFYGYTLVGKLQSPKLWSAEQPYLYTLVVVLKDKSGRVLDCESSQVGFKTVSKAHKQLLVNGHPVVIRGVNRHEHHPEVGKANIEACMVKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDYSKHLKHPTLEPVWATAMLDRVIGMVERDKNHTCIISWSLGNESGFGTNHFAMAGWIRGRDSSRVIHYEGGGSRTPCTDIVCPMYMRVWDMLKIANDPTETRPLILCEYSHAMGNSNGNLHIYWEAIDNTFGLQGGFIWDWVDQALRKVHADGTKRWAYGGEFGDIPNDLNFCLNGLVWPDRTAHPVLHEVKYLYQPIKVNFTEGKLEIKNTHFFQTTEGLEFTWYISADGYNLGSGKLSLPSIKSQGSYAVDWQSGPWYTLWNSSSSEELFLTITAKLLNSTRWVEAGHIVSTAQVQLPPRRDIVPHAIDIHGGAPVVETVGEIIKISQQDVWDITLNTKTGLIESWKVKGVQVMNNGIHPCFWRASIDNDKGGGTDSYLSKWKAAGIDSVHFIAESCSVQNTTGNAVKVLVVFHGVTKGEKSKVLFTTEMTYTIYASGDVILDFNVKPDSNLPPLPRVGIEMNLEKSLDQVSWYGRGPFECYPDRKAAANVAVYEKNIDELHVPYIVPGECGGRADVRWATFVNKNGFGIYASKYGNSPPMQMSASYYSTSELDRAAHDYELVKGDSIEVHLDHKHMGLGGDDSWSPCVHNQYLVPAVPYSFSVRLSLVTPATTGNDIYRSQLQTS >CAK8541465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:190491054:190495658:-1 gene:gene-LATHSAT_LOCUS10384 transcript:rna-LATHSAT_LOCUS10384-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEQLAPTKTPAPDVVGHAFVDQYYHMLHESPQLVHRFYQEVSKLGRPEPNGIMGITTTMTEIDKKILSLGYSELNAEIVSVDAQESYGGGVIVLVTGFMTGKDDIKQKFTQCFFLAPQEKGYFVLNDVFRYVDENGIKESDHEIVLPASTDNVSDPPVLETQVPEQISVTVEGVAGEEVYNPENEQVSVEEEEVPVAEVLDEIPDDSQMVSGLVSQIEEVPKKSYASIVKVMKEGAVPSSIVTAVSVKSVRKIQEHQSTTAPPPFSGPETNGSSINTNEGGNNQETEAEGYSIYVKGLPGNATPALLENEFKKFGPIKSGGIQVRTQKGFSFGFVEFEVLSAVYKALEVSPVLINGRQVIVEEKRSTNRGYRGRFSSGRAPGFRGEGGTRGRGNYGNGRSFGRGDFNGRADINGHGDYNSRGDNGRGDFNGHGDFNGRGEYGYRNGNRGGFSSRGGDGYQRNDHMGTNGGRMNRTGVSSVNSAPKATATQRVPASD >CAK8541464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:190491054:190495658:-1 gene:gene-LATHSAT_LOCUS10384 transcript:rna-LATHSAT_LOCUS10384 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEQLAPTKTPAPDVVGHAFVDQYYHMLHESPQLVHRFYQEVSKLGRPEPNGIMGITTTMTEIDKKILSLGYSELNAEIVSVDAQESYGGGVIVLVTGFMTGKDDIKQKFTQCFFLAPQEKGYFVLNDVFRYVDENGIKESDHEIVLPASTDNVSDPPVLETQVPEQISVTVEGVAGEEVYNPENEQVSVEEEEVPVAEVLDEIPDDSQMVSGLVSQIEEVPKKSYASIVKVMKEGAVPSSIVTAVSVKSVRKIQEHQSTTAPPPFSGPETNGSSINTNEGGNNQETEAEGYSIYVKGLPGNATPALLENEFKKFGPIKSGGIQVRTQKGFSFGFVEFEVLSAVYKALEVSPVLINGRQVIVEEKRSTNRGYRGRFSSGRAPGFRGEGGTRGRGNYGNGRSFGRGDFNGREYGYRNGNRGGFSSRGGDGYQRNDHMGTNGGRMNRTGVSSVNSAPKATATQRVPASD >CAK8576410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:472125647:472126024:-1 gene:gene-LATHSAT_LOCUS28598 transcript:rna-LATHSAT_LOCUS28598 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGIVSIYFSEFPYRVKAKEIFQIFEIHGKVAEVIIPPKRNKHCKHFGFVRFSKEEDGRVLAVKLDNIFDDKVKIHANLPRFSRNQVASTGRDLVYGFKKVWEEGERLGRNKGDFGGGRVGET >CAK8576211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425812803:425816970:1 gene:gene-LATHSAT_LOCUS28417 transcript:rna-LATHSAT_LOCUS28417 gene_biotype:protein_coding transcript_biotype:protein_coding MASKWRKVKLAFGFNSCIHIPKQLDDSSPSLNTAARFSADTSGDTSASSLNIQSPSSSAHRLPKSPKGTCAICLNTLKPGNGQAIFTAECSHAFHFHCITSNVKHGNQICPVCRAKWKEVPFQSPDLHPRSSQLTRDNGWPTAIRRLPSPTQVNTGGQISSLYHVAEPAIFDDDEPIDQHTSIPCNNNSNETNNHNIINKVEIRTYPEVSSVPKSASCDAFAVLIHLKAPQSERKQNISGNNTDSSPPPVENSRASVDLVTVLDVSGSMLGTKLALLKRAMGFVIQNMGPSDRLSVIAFSSTARRIFPLRRMTEIGRQEALQAVNSLVSNGGTNIAEGLRKGAKVFSDRRWKNPVCSIILLSDGQDTYTVNSRPGVGADYQSLVPNTIHRNNSSLGLQIPVHAFGFGADHDATSMHSISEISGGTFSFIEAEEVIQDAFAQCIGGLLSVVVQEVQLEIRCIHPRLQLSSVKAGSYQTSLTADGRMASINVGDLYAEEERDFLVTVNVPIDSSNDEMSLLNVKGFYRDPITKEIKALEETSEVKIERPNISRELVVSIEVDRQRNRLRAAEAMSEARIAAERGQLSSAVSVLDSCHKTLSESVSAKAGDRLCVSLAAELKEMQERMANQHIYEQSGRAYVLSGLSSHSAQRATARGDSTDSTTLLQSYQTPSMVDMVTRSQTMVLGAPPLMRRILQPAKSFPERHRR >CAK8541371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:140005849:140007599:1 gene:gene-LATHSAT_LOCUS10296 transcript:rna-LATHSAT_LOCUS10296 gene_biotype:protein_coding transcript_biotype:protein_coding MATQETLLDKPKKSIPKTFWLILSLAAIISSSAIIVSHLNIPTSFFHPSSAPTLCEHAVDTKSCLTHVSEVVQGPTLANTKDHKLSTLISLLTKSITHIQEATNKANIIKSRVNSGREEIALNDCEQLMNLSTDRVWDSVLTLTKDNMDSQQDAHTWLSSVLTNHETCLDGLQGTTRVVMESDLQDLISRARSSLAVLVSVLPEKSNDGFVDESLNGEFPSWVTSKDRRLLESSVGDIKANVVVAKDGSGKFKTVAEAVASAPNKGKTRYVIYVKKGTYKENVEISSQKTNVMLVGDGMDATIITGSLNHADGKSTFNSATVAAVGDGFIAQDIGFKNTAGPEKDQAVALRVGSDQSVINRCRIDAFQDTLYAHSNRQFYRDCFITGTVDFIFGNAAAVFQKSKLVARKPLSKQKNMVTAQGRTDPNQNTATSIQQCYIIPSTDLKPVLGSIKTYLGRPWQNFSRTVVMQSLISNHIDPAGWAEWNDESKSFLKTLYYGEYMNSGPGAGTSKRVNWPGYHVLNTAEATKFTVAQLIQGNVWLKNKGVAYIKGL >CAK8566030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369289235:369291333:1 gene:gene-LATHSAT_LOCUS19198 transcript:rna-LATHSAT_LOCUS19198 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRQFTKQIMWNKICRWQRLVFSTVTRTPSNEDGVGFLPKIPHFSHTPAPYNGPSASDLSKRRKQYLPTFVGTYYTHPLNLVEGKMQYVYDENGRRYLDAFGGIATVLCGHCHPDVVEAIVNQTRLLQHTTVLYLNHAVVDFAEALAAKMPGELKVVFFTNSGTEANELALMMARLYTGYHDVISIRNGYHGNATSTMGATAQFFHKFNVVQTGIHHVLNPDPYRGVFGFDGEKYANDVQDVINYGTCGHVAGFVAEAIQGVGGVIELAPGYLPVVYSIIKKAGGLFIADEVQSGFGRTGSHFWGFEAHGILPDIVTMAKGIGNGAPIGAVVTTPEIAKVLKYAYYFSTFGGNPVSTAAGLAVLNVIEKDKLQQNAHVVGSYLKGRLKSLMEKHEIIGDVRGRGMLLGVEFVKDRQLKTPAKDENLHILEQMKDMGVLVGKGGFYGNVLRITPPLCFTKEDADFLVDVMDYAMSKM >CAK8571069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275400949:275406207:1 gene:gene-LATHSAT_LOCUS23763 transcript:rna-LATHSAT_LOCUS23763 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKSRRTNSKLHLPQGKDQIHKQGHHQDLSPDSSSSSGGVGDKDSFSFKFGWKSSKQSVGTPIKKLLAEEMSPMTESKRRSPGVIARLMGLDGLPSQHHVNKQHRDLHKSAPLEKTRSRGTPNEGRSSRRSSRDQEEFKDVFEVSEIPKVESGRYSSSDLKVHEDEDEMSFIEQKFMDAKRLATYQDYQSSKEFHETLEVLDSNKDLLLKYLKQPDSLFKKHLSDLQATPFQSHSGHVEPSNMENFEHGLSWRLDKEAQHVNYNRFHQKHSDDYHNQFDKIRVMQNLPRSSKHRFKGSREQSAVPTKIVVLKPNIGKLQNGTKIQSSPCSPHNFLSEHNQVDFSDVRFRDTELYQKINLPDSARSFRHNSLESTEIAKEVTRQMKNSLTRGCMMSSSSRYKGCTRSDSSSSASGNESPEETKATMGDPFDLSKRSRRSPRSSESSVSREAKKRLSERWKMAHKSQEVQGSSRSSTLADMLAFPGKKMKGTRFDSMSSGGGFYDKFARNGEPSGLVEPLGISSKDGWRDACIGSLSRSKSLPASSTVFGNARAFVCAEALRNDRYMGPKDLKRERRRATMSLDHRHGLNSGSTISGHKKSWSLLSSKQEINEFSPDLNAFQNNIMNNPEEDSPNLEVDSPETFGQHLNNTSVVSDDVVDIANGNTVGPFEPSSDKVHPGTSSRVLIEGDSSVVEDNSMQEEVSAGATGGISVLSEVPAPGLESPCCKDADQPSPISVLDPSFTDDVSSGSECFGSVSADLQGLRVQLQLLKLESEEHVEGPMQISSDEDGVEASAEMLEENALWKTEDSWESSYITDVLSESDIVKAQTHNILEVWHSLECPVSLSVFEELEEKYNDWTICSRSERRLLFDRINSGIVKIHEQSTDPQPWVVGNAGKTFGSKRVNGLQDGIFQMLGSQGKVEDDVLSKLLIVESQWLNLRDDIDVIGREVEILILDDLVAEIVGI >CAK8564181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655153580:655153939:-1 gene:gene-LATHSAT_LOCUS17509 transcript:rna-LATHSAT_LOCUS17509 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSTVAFESAFSTGGRVLEVYRSSLKPKMTEALIYAQNWLRPSFYEFKDLEFNEEYEISEDVLQGFTETSAGSGVLSSSLTQSQPSGYV >CAK8564182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655153580:655153846:-1 gene:gene-LATHSAT_LOCUS17509 transcript:rna-LATHSAT_LOCUS17509-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALIYAQNWLRPSFYEFKDLEFNEEYEISEDVLQGFTETSAGSGVLSSSLTQSQPSGYV >CAK8560859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51068994:51072473:-1 gene:gene-LATHSAT_LOCUS14502 transcript:rna-LATHSAT_LOCUS14502 gene_biotype:protein_coding transcript_biotype:protein_coding METDGIAGVTVTGGESKDGKRFFVAVHVGAGYHSPSNHKPLRSAMNRACLAAASVLQQEGSVLCLDAVVAAIQLLEDDPSTNAGRGSNLTENGSVECDASIMDGKSGAFGAVGAVPGVRNAIQIAALLAKEQMMGPSLLGRIPPIFLVGEGAREWAKSKDVVLPSSKTEANEWLVTEKAKTQWIKYKSMFEAARLKTGNSFPDGNSSPIQSTEMPDYALEDQVMDTVGVICVDNEGNVASGASSGGIALKISGRVGLAAMYGSGCWASSKGPFGAPFMAGCCVSGAGEHLMKGFAARECTVSLSISQSGAASACTKILRSVAEESGRCGTDSSAGILVVQSDTSIVDQGKSRLRAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQSKSAIDQFGARIDLSNG >CAK8572370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532478721:532488894:-1 gene:gene-LATHSAT_LOCUS24942 transcript:rna-LATHSAT_LOCUS24942-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEEEPAVTDDGVPLPIDASTPNPNGMEFDNLYLDMNGIIHPCFHPDGKPAPATYDEVFQLIFDYVDHLFLLVRPRKLLYFATDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKELISEGTLLPSKDKPETSDSNVITPGTKFMAALSVALQSYVQTRLNLSPAWKNIKVILSDSNVPGEGEHKIMEYIRLQRNVPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQDKCYVCGQVGHYAADCHGKPETKIEDGSPADDKPIHKKKYQFLNIWVLREYLQYELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHLYRKKFTAMGGYLTHAGEVFLDRVEYFIQSVAVHEDQIFQKRVRIQEVAENNEEMRARARGVMLQEPRPSLSDKVKLGQPGYKERYYVEKFGVSSLEEIDKIKKDVVLKYVEGLCWVCQYYYNGVCSWKWYYPYHYAPFASDLKDLPNLEIIFSPGEPFKPFDQLMGVLPASSSNALPEKYRDLMTNPSSPIFHFYPADFEIDMSGKKFAWQGVAKLPFIDEKKLLAATRKLEDTLTMQEDEQIRNSVMLDLLYVNRAHDLASHILLYYQVYGQLPTHERCVSPIEANASGGMNGYLWACERNVLSSNVLSPIDGLPDIESNQVLNITYLNPSKHRHIPRPPDGVVIPKKLVKDIDIKPFPMLWHEDIAGRGQQGRERPQLPGAITGFQLGEAAHRLVKNTLNIKWNNTSHVRTEQPPVRHTVNRFQSTGPSGYGTYYGESTDSYNGEYNHHGVMTRHRYPVSSNGGHINRQNFRIRDRSRHQEQLQNVNNGFSSLTMEEGSRPTPSRQSISRPATNFEPQYVQNTGPPATPPKWNAKAPINGIYTRHQEATYGAVPRDKPVKKVYQVKTRLPQETPDCGNQ >CAK8572369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532478721:532488894:-1 gene:gene-LATHSAT_LOCUS24942 transcript:rna-LATHSAT_LOCUS24942 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEEEPAVTDDGVPLPIDASTPNPNGMEFDNLYLDMNGIIHPCFHPDGKPAPATYDEVFQLIFDYVDHLFLLVRPRKLLYFATDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKELISEGTLLPSKDKPETSDSNVITPGTKFMAALSVALQSYVQTRLNLSPAWKNIKVILSDSNVPGEGEHKIMEYIRLQRNVPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQDKCYVCGQVGHYAADCHGKPETKIEDGSPADDKPIHKKKYQFLNIWVLREYLQYELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHLYRKKFTAMGGYLTHAGEVFLDRVEYFIQSVAVHEDQIFQKRVRIQEVAENNEEMRARARGVMLQEPRPSLSDKVKLGQPGYKERYYVEKFGVSSLEEIDKIKKDVVLKYVEGLCWVCQYYYNGVCSWKWYYPYHYAPFASDLKDLPNLEIIFSPGEPFKPFDQLMGVLPASSSNALPEKYRDLMTNPSSPIFHFYPADFEIDMSGKKFAWQGVAKLPFIDEKKLLAATRKLEDTLTEDEQIRNSVMLDLLYVNRAHDLASHILLYYQVYGQLPTHERCVSPIEANASGGMNGYLWACERNVLSSNVLSPIDGLPDIESNQVLNITYLNPSKHRHIPRPPDGVVIPKKLVKDIDIKPFPMLWHEDIAGRGQQGRERPQLPGAITGFQLGEAAHRLVKNTLNIKWNNTSHVRTEQPPVRHTVNRFQSTGPSGYGTYYGESTDSYNGEYNHHGVMTRHRYPVSSNGGHINRQNFRIRDRSRHQEQLQNVNNGFSSLTMEEGSRPTPSRQSISRPATNFEPQYVQNTGPPATPPKWNAKAPINGIYTRHQEATYGAVPRDKPVKKVYQVKTRLPQETPDCGNQ >CAK8539175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505979692:505980252:-1 gene:gene-LATHSAT_LOCUS8295 transcript:rna-LATHSAT_LOCUS8295 gene_biotype:protein_coding transcript_biotype:protein_coding METTFISSKEEIIGLNQITLRPFHLSDLDDLMVITTDEKVANFCSWKPYTSKEEGIKFIENIPTRFLWCKAICLNDRAIGRISLKSRSPHDKSRNKTAELGYVLASKYWGKGIATCAVKQVLKVVFNELPHLERVEAFVDVENVGSQRVLEKAGFQKEGVLRKYLFVKGKSRDMIMFSVLFSDLQL >CAK8564756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8211315:8215001:-1 gene:gene-LATHSAT_LOCUS18027 transcript:rna-LATHSAT_LOCUS18027 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREKNPTKMSCRFSPSTPTTTSKTTCVIVLLHVFVSFTTLTLTSSTSSTATFDSTTLLNNFRHSNIISDPKNFLSNWSLSSSPCFWQGIICSNSGEITTVNLAGASLSGNTLDLFTFTSIPSLQHLLLHGNFFSSFNFTVSNSKPCSLITLDVSSTNFSGNFPFENLVSCYSLSYLNLSMNFITSLKNHSFLGFGNGGFSSLIQLDMSRNMFSDVDFVVHVVTKFESLVFVNFSDNRIYGELSDSLVPSVNLVTLDLSHNLLFGKLPYKVVGDSVEFLDFSSNNFSLGFSEFEFGDCKKLVWLSLSHNVISDFEFPQSLRNCQKMESLDLSRNRFKMKIPGVVLGELRNLKELYLGNNHFNGEIPNELGSICGSLEILDLSMNKLSGEFPLVFENCSSLKILNLTKNYLYGDFLDTVIRKLSNLRYLSVSFNNITGNVPLSIVANCTQLRVLDLSANGFTGNVHSMFCPSKLEKLLIADNYLSGNVPAKLGECKSLKTIDFSFNNLSGSIPLEVWFLPNLSDLIMWANSLTGEIPEGICVNGGNLETLILNNNFISGLIPKSIANCTNIIWVSLANNRITGEIPVGIGNLNELAILQLGNNLLVGNIPSDIGMCKRLIWLDLTSNNLTGPIPSELTNQAGLVVPGRVSGKQFVFVRNEGGTNCRGAGGLVEFEGIRVERLQNFPMVHSCPLTRIYSGYTVYTFANNGSMIYLDLSYNFLSGTIPGSFGTMSYLQVLNLGHNRLDGKIPETFGLLKAIGVLDLSHNNLQGLIPGSLQSLSFLSDFDVSNNKLVGSIPSGGQLTTFPASRYENNSDLCGVPLPLCSASNHSVAYNSRKKKTQSIAVLGGISVLFFLLFIVVVLLALYRVRKVRKKDELREKYMESLPTSGTNSWKLSGFREPLSINVATFEKPLRKLTFAHLLEATNGFSSESLIGSGGFGEVYKAKMKDGSVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKYGSLETVLHERTKNSKLAWETRKNIALGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKRPIDSSEFGDDNNLVGWSKKLYRERRVSEILDPDLASQTSGEGEVLQYLRIAFECLEERPYRRPTMIQVMAMFKELQAVDTDDDSVVDGFSMKDSVIDEA >CAK8561441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:211963588:211965682:1 gene:gene-LATHSAT_LOCUS15033 transcript:rna-LATHSAT_LOCUS15033 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYTPKNILITGAAGFIASHVANRLVRSHPEYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTKKERGVIDVAKDICRLFSMNPETSIKFVENRPFNDQRYFLDDQKLKNIGWSEKTTWDEGLKKTIEWYTKNPDWWGDVSGALLPHPRMLMMPGGMERHFEGSEGENPASFNSSNTRMVVPSSKNNGVQQKPPLKFLLFGRTGWIGGLLGKLCEKQGIPYEYAKGRLEDRASLIADIQSVKPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCREHGILMINYATGCIFEYDAAHPEGSGIGFKEEDKPNFMGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLSGIWNFTNPGAISHNEILEMYRDHIDPSFKWANFTLEEQAKVIVAARSNNEMDGTKLKSEFPELLSIKESLIKYVFVPNKK >CAK8576108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408563120:408567322:-1 gene:gene-LATHSAT_LOCUS28322 transcript:rna-LATHSAT_LOCUS28322 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTQVVLVLLWFLGVYVYASFCSNVTYDHRALVIDGKGRVLISGSIHYPRSTPQMWPDLIQKSKGGGIDVIETYVFWNLHEPVRGQYNFEGRGDLVGFVKAVATAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIKFRTDNEPFEVEMKRFTAKIVDLMKQENLYASQGGPIILSQIENEYGNIDIHYGPAGKSYINWAASMATSLDTGVPWVMCQQVNAPNPMINTCNGFYCDQFTPNSNEKPKMWTESYSGWFLAFGGAVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRTTGGPFVSTSYDYDAPIDEYGIIRQPKWGHLKDLHKAIKLCEEALIATDPTISSPGPNLETAVYKTGAVCVAFLANTGTTDSTVTFNGNSYHLPGWSVSILPDCRSVILNTAKINSVSMTSSFAPESSKEVDSLDSSSSRWSWISEPVGISKADAFSKYGLHEQINTTADRSDYLWYSLSIVNEDNVGAQPVLHIKSLGHALHAFINGKLAGSGTGKSGHAKVKVDIPITLVAGKNTIDLLSLTVGLQNYGAFYDRVGAGITGPVILRGLKNGSTVDLSSQQWTYQVGLQGEDLGLSSGSVGQWNSQSTLPTNQPLTWYKTKFVAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYIASKSGCTDSCNYRGSYSSSKCLKNCGKPSQTLYHVPRSWLQPDSNILVLFEENGGDTTKISFTTKQIESVCSHVSESHPPPIDTWNSDTESGRKIGPVLSLECPYPNQVISSIKFASFGTPRGTCGNFYHGRCSSNRALSIVQKACIGSSSCNIGVSINTFGNPCSGVTKSLAVEAACT >CAK8576092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:404953708:404958852:-1 gene:gene-LATHSAT_LOCUS28307 transcript:rna-LATHSAT_LOCUS28307 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSFNTPNRLTLTLTTPPPPLRGRNNHNTAHPSFKCHLSSSSDDKNNDSFNSSLLKTLSTTVTVSSAAASAFFLTGSLHFPFHNLSGLNAAAGGGAGGGGGGSSSSGGGGGGWFNGGEGSFWSRILSPARAIADEPKSEDWDSHELPANITVLLGKLSGFKRYKISDVVFFDRNTKTKIGMEDSFLDMVSLKPGGVYTKAQLQKELESLATCGMFEKVDMEGKTNADGSLGLTISFAESMWERADRFRCINVGLMGQSKPVEMDPDMTEREKIDFFKRQEREYKRRIDAARPCLLPPWIYQEIRDMLNKQGKVSARLLQRIRDRVQRWYHEEGYACAQVVNFGNLNTREVVCEVVEGDITNLSIQYLDKLGNVVEGNTEESVVSRELPKQLQPGHTFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGSIIVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASLQPGGTITFEHRNLQGLNRSLTGSVTTSNFLNPQDDLAFKMEYAHPYLDGVDDPRNRTLRVSCFNSRKLSPVFTGGPGVDEVPSIWVDRAGVKANITENFSRQSKFTYGLVMEEIITRDESNHICSNGQRVLPNGAISSDGPPTTLSGTGIDRMAFLQANITRDNTRFVNGTIVGSRNMFQVDQGLGIGSNFPFFNRHQLTATQFLPLISVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNILELAAEIRIPIKGTHVYAFAEHGTDLGSSKDVKGNPTVVYRRMGKGSSYGAGMKLGLVRAEYAVDHNSGTGAVFFRFGERF >CAK8563416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601699449:601700749:1 gene:gene-LATHSAT_LOCUS16831 transcript:rna-LATHSAT_LOCUS16831-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKGLVSLDVETRRNSTYLRLVSASKHERTFEELGFPDKRYVNELTKKGKGVPTKDDWKHINLIIAFLKLFYDATIHISGSSYLTSNIYMFEVLGIGKSIADMCASEDEHLRPAAQKMKKKYDKYWGSHEKLNMILLIALVFDPRRKIKLVDWMVRRYYNKDDVDALKANLDF >CAK8563415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601699284:601700749:1 gene:gene-LATHSAT_LOCUS16831 transcript:rna-LATHSAT_LOCUS16831 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAYNRWRHILNLIVKEGLKDIDNSFYRVRHVVWYARSSPVRLAKFKAYIDEESMDCKGLVSLDVETRRNSTYLRLVSASKHERTFEELGFPDKRYVNELTKKGKGVPTKDDWKHINLIIAFLKLFYDATIHISGSSYLTSNIYMFEVLGIGKSIADMCASEDEHLRPAAQKMKKKYDKYWGSHEKLNMILLIALVFDPRRKIKLVDWMVRRYYNKDDVDALKANLDF >CAK8537262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:187024603:187025442:1 gene:gene-LATHSAT_LOCUS6564 transcript:rna-LATHSAT_LOCUS6564 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQATFREELDSMKGKIDQILEAILTARREEEQREAAAVVNNGQGQGSTAIPLVPIPNSHGMPLNFNNAVEGNTSQPIPAPGVTVGVVPQAQPTAVQIPVPHIEDTLMDQYDDVQNYHAAIPIASPVAAQDSEAMKMCRDLAEKLRAMEGHNSNSFSALELCLVPDVVIPPKFKVPEFSKYKGLSCPNIHLKMSCRKMAAYARDEKLMIHCFQDSLSRASLEWYMQLERNSVRTWAELADAFVKQYKYNTDLAPNRTQLQSKTQKDISCVPTIS >CAK8567767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542815526:542820372:-1 gene:gene-LATHSAT_LOCUS20790 transcript:rna-LATHSAT_LOCUS20790 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDYRRKSLVQALSKHFSLDPSVIQADSLDGDIKSLYLSITAASGHEVNHSDEVLKWVAFAESFPVALDESFENLKRLNDELSGKSVLLGNGLKPSEADVIVFSVIHPSLISLPDTNKEKLPHVLRWIDYIQHKQEFVGLFEEIVLQKPEFEPPATKSVSAVESDLKSNKPEQSIKNASKPETDISKDKNKSEVNGKSAGDTEPAKAKAKAKATKPAAGKEVAEKEHELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPDELTNRRVALITNVKPGKLRDVTSEGLVLCASNEGSTIVEPLLPPEGAKIGERVSFAGIDGKPEDVLNPKKKQLEKITPHLFTDDNGVATFKGIPFMTSGGPCTASISRATIK >CAK8576014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384976058:384982783:1 gene:gene-LATHSAT_LOCUS28233 transcript:rna-LATHSAT_LOCUS28233 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNSLENEYPLIDSNFQTFCASHAIYSVEDFLLHDLDALLTSAANHSTSQRLKQGIHQLLSIVDALHPPLINGLQLLEDFERNKDFLSTGCEGIDAFLGGGLREGQLTELVGPSSSGKTQVCLMSASTVAKHKCSVIYLDTGNSFSPQRIAHFVGQSSDYVSGNQADHRLLQNVLDRIICYPVFDVYQMFDLLHQLKINLRSKIAKSDRHVQLLIVDSISSLITPILRGSGPQGHALMISVGFLLKKLAHEHNIAVLVTNHVVGGEDGNSKPALGESWKSVPHVRLLLSRECRGNICNISIIKHPAMASGRTATSTRLFV >CAK8569355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696121220:696121902:-1 gene:gene-LATHSAT_LOCUS22216 transcript:rna-LATHSAT_LOCUS22216 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSNARRVSRLLQSAIFLLSHLRSTEEPILSGLIQCNYQQVKSKPLGFFASKAFYSSGADNVEGTLTEAVKDLYDKMLQSVNVKRSMPPNAWLWSMVANCKHHHDISLLFDILQNRRRFRLSNLHIHDNFNCNLCCEVTKACVHAGALDFGMLYLHVHFV >CAK8538684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492996724:492999213:-1 gene:gene-LATHSAT_LOCUS7858 transcript:rna-LATHSAT_LOCUS7858 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEKEQLGLDSEMKRYRNGETWEFEDDIDDTSVSVSDGVLLGLDGGTTSTICICMQMVYFSHSQLQSLPVLSRAVAGCSNHNSVGEIAARETLEQVMADALSKCGSKRSSVRAVCLAVSGVNHPTDQQRILSWLRDIFPSNVRLYVQNDAVAALASGTMGKLRGCVLIAGTGTIAYGFTEDGKEARAAGAGPVLGDWGSGYGISAQALTAVIRAHDGRGPSTTLTTSILQTLGLSSAEELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILLESAQELASSVKAVVGRLGLCGQDGKDAFPLVMVGGVLEANTRWDVGKEVMKCISKYFPGVVPIRPKVEPAVGAAWLAWNFFMKEYNKELCSS >CAK8578946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663237806:663238126:-1 gene:gene-LATHSAT_LOCUS30924 transcript:rna-LATHSAT_LOCUS30924 gene_biotype:protein_coding transcript_biotype:protein_coding MGGITIVSYKFNLNGEYSEFLQAKRGIRQRDPISLLLFVILMKYMHRSLIKMHKIPILTIMANVKVLTSKTSHLLMMCCYFIEEILNWPLHALGGQNCGENLSLVF >CAK8535122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815388425:815388832:1 gene:gene-LATHSAT_LOCUS4599 transcript:rna-LATHSAT_LOCUS4599 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGASTPSLWAFEEREKLLEFYERVSGARMHASFIRPGGVAQDLLLGLCQDIDSFTQHHVFFLKPTISLHILFFEADKWENYRFKLRPYQVAVVFASTSSHNCSVIVNHTKNCIITLQRPHHNTTTIASISNNK >CAK8532800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:518172090:518172632:1 gene:gene-LATHSAT_LOCUS2470 transcript:rna-LATHSAT_LOCUS2470 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRLSSSLFIIYLSLMLYVALPALSLNLYEIVCNEAGQDANSCMNILKTDSRIVAAKNYHDLSKFILEMAFSKATSVQSYYVKVGIRFPEDKAIRQCSLAFFADAMEDFESALYKLGYNPKGAIDDIHDAGNEVKRCEKALADEKSYNPSIHALNNGIYLLSEISFLAVNHFALKGGL >CAK8571808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:477979189:477980727:-1 gene:gene-LATHSAT_LOCUS24437 transcript:rna-LATHSAT_LOCUS24437 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLVLWLLFFASLVWFLLFATKTKSKSQKTPSSTTNTTIPKSYPIFGSAFSLAANFHRRVQWISDILQTIPSSTFVLHRPFGSRQVFTAQPAVVQHILKTNFPCYGKGLTFYQSINDLLGDGIFTVDGDAWKFQRHISSHEFNTKSLRKFVETVVDVELSNRLLPVLFEASINQTTILDFQDILQRFTFDNICMIAFGYDPEYLLPSLPEIPFAKAFNESAQLSIERLNALIPLLWKVKKFLNIGTERRLKEAVAQVRGLATQIVKDKKKVLGEKSALESVDLLSRFLNSGHSDESFVVDIVINFILAGRDTTSAALTWFFWLLSKHSHVENEILKEITAKSETVSYDEVKDMVYTHAALCESMRLYPPLPVDTKLAAHDDVLPDGTEIKKGWRVTYHVYAMGRSEKIWGPDWAEFRPERWLSRNEVGKWSFIGIDYYSYPVFQAGPRVCIGKDMAFLQMKRVVAGIMGRFRVVPAMVGGIEPEYIANFTSLMKGGFPVRIEKRSHSYE >CAK8576852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519523929:519525185:-1 gene:gene-LATHSAT_LOCUS29015 transcript:rna-LATHSAT_LOCUS29015 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPATQSPNPQETQQFLSSVLSQRGPSAVPYSEDTKWLIRQHLISLLTTFPSLEPKTATFTHNDGRTVNLLQADGTIPMTYQSVTYNIPVVIWLMESYPRHPPCVYVNPTRDMIIKRPHPHVNPSGLVSVPYLHNWIYPSSNLVDLVLSLSLIFGREPPLYSQRRHSNNNPNPNPNPNPHPNPNHNPHPNPHPHPNSSSNFASASSSGYPHPARNNYPPSPYSPSPSPRPPHTEDPTEVFRRNAINKLVEMVHNDVTSLRKTREAEMESLFGLQGVLKQREEVLDKGVKEMREEMEGLEQQLQMVLMNTDVLEGWLRDNQGKKLESLENVEDAFECVDVLSKQMLDCTAADLAIEDTLYALDKGVQVGAVPFDQYLRSVRVLSREQFFQRATAAKVRAAQLQAQVANIAARSHHYGN >CAK8543061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572825226:572825885:-1 gene:gene-LATHSAT_LOCUS11848 transcript:rna-LATHSAT_LOCUS11848 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQRRFLWAGSSDSNTVAWVRWSQVCNPMDKGGLGIKSIYGFNIALLSKWLMRFIREPAAIWKNLIKFRYNNLVKHILLNSDSFTACVNSLWWKDVCILTYIHDDTGSLGKMACKLGNGNLISFWHAKWVTNKPLRSVFLVVYIASEAKFGVVSDMGSWYEGGWRWNLSGGLVDHDSKVQGKLIDLLEILADISPFPDSFDHFIWPRASITPFSVKS >CAK8578033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603310914:603313000:1 gene:gene-LATHSAT_LOCUS30079 transcript:rna-LATHSAT_LOCUS30079 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEASKAVDRLDGRMVDGREITVQFAKYGPNAERIQKGRIIETSPRSKTSRSRSPSRRRHRDDYKDKDYRRRSRSRSYDRHERDRYRGRDRDNRRRSRSRSASPGYKGRGRGRYDDERRSRSRSRSVDSRSPARRSPSPRKSPSPKRSISPQKSASPGKSPRRVSPDNRSRDGRSLTPHSVSPRGRPDASRSPSPRNSNGDE >CAK8536140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911057699:911059951:-1 gene:gene-LATHSAT_LOCUS5536 transcript:rna-LATHSAT_LOCUS5536 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLPRPLISNVITHSLHRSCTTSHSARFGLWKNNLTNLAFYAVGFSKLGNEDIFDDSDLKRNENGTILGNFDSGMTQENNDFFVSEAEGDPDCPSKGYSSIEHALNALRQGKFVVVVDDENNNIEGNLIKAASLISTKDVAFMVKHGSGIISVGMKEQDLQRLNLPLMSPETEEEDSSAPTFTITVDAKYGTSTGVSAADRAKTICVLASLESKSEDLRKPGHVFPLKYRNGGVLRRAGHTEASVDLVTLAGLPPFSALTALVDVDDGNMASLPGLRSLALEYNLPIVSITDLIRYRRKREKLVERTYVSDVPTKWGLFRAYCYSSKLDGTEHVAVVKGNIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMELIEEAERGVLVYLRGHEGRGIGLGHKLKAYNLQDQGRDTVQANLDLGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFVGLKGYGLAVIGRVPVLTPITEQNKRYLETKRTKMGHIYGSDI >CAK8572703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557196240:557205730:1 gene:gene-LATHSAT_LOCUS25236 transcript:rna-LATHSAT_LOCUS25236 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNLLVFLFLLPFLLSCVHSHEESGPWRCDSGSEIRIDSDFQPGVITLDGHADDWKDIEGSKFALLPALDPDAENEFNGGKMAVKSVHDGRDIFFLLQVDSDYAYASGESKKCPSVALMFPIGNNASYHNMGGCEEHSTSCTSKTCKGHEVDIMHFSLGNAIPGRLYGGNPINNRDGNGGDRFGHLVDLYAWNPHCRYLDGMGPANSDNDSSAQNDWKGAWWHSSFTVHSGFVHDESPYAENGKKGTFFFEFSRPLRTMDHLQQDVQFTLGESSKMSVAFWYPVDNQPWHGSGHYSINCDWVAIDISQSSSSSLSGKSVDVSASSSWNIASAFSLILSLVALCVSVFVSYRVLHPKGVQFTPMEEL >CAK8575564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:170886014:170889821:1 gene:gene-LATHSAT_LOCUS27818 transcript:rna-LATHSAT_LOCUS27818-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLEKVSGLSMFSEEELGEMSGVKLLDDYVEVTCGCTSHRYGDAVGRLRVFLNGYLQIICECTPGCQEDKLTPAAFEKHSGRETARKWKNNIWVIVNGEKVPLCKTVLLKYYIQVSKAANSSQRSQNGRVGHRDEFVRCTRCNKERRFRLRSKDECRIHHDAVADANWKCSDLPYDKITCDDEEERGSRRVYRGCSRSPTCKGCTSCVCFGCDICRFSDCSCQTCTDFTSNVKA >CAK8575563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:170886005:170889821:1 gene:gene-LATHSAT_LOCUS27818 transcript:rna-LATHSAT_LOCUS27818 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMANGLEKVSGLSMFSEEELGEMSGVKLLDDYVEVTCGCTSHRYGDAVGRLRVFLNGYLQIICECTPGCQEDKLTPAAFEKHSGRETARKWKNNIWVIVNGEKVPLCKTVLLKYYIQVSKAANSSQRSQNGRVGHRDEFVRCTRCNKERRFRLRSKDECRIHHDAVADANWKCSDLPYDKITCDDEEERGSRRVYRGCSRSPTCKGCTSCVCFGCDICRFSDCSCQTCTDFTSNVKA >CAK8535001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801602883:801606534:1 gene:gene-LATHSAT_LOCUS4488 transcript:rna-LATHSAT_LOCUS4488 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPTSINSGLGWNSSSFFQQSNCFNLLSLPLKPKRPLAKFSLTCSSASSSSDPLLVKAARGDLVSRPPAWMMRQAGRYMAAYKKLAEKHPSFRERSETTDLIVEISLQPWKAFRPDGVIIFSDILTPLPAFGIDFDIEDVRGPVIQSPIRSEEGLKVLHTIDFDKLRFVGESLKTLRKEVGGDAAVLGFVGAPWTLATYIVEGGTTRTYTNIKSMCHTAPHILRTLLSHLTKAIADYIVFQVESGAHCIQIFDSWGGQLPPHMWELWSKPYIKEIVNLVKKKCPETPLVLYINGNGGLLERMIDTGVDVIGLDWTVDMADGRRRLGSGIGVQGNVDPAYLFSPLTALTEEIQRVVKCAGPRRHILNLGHGVLVGTPEEAVAHFFDVARSLKFDRVSQNNTANVV >CAK8573946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649266504:649267859:1 gene:gene-LATHSAT_LOCUS26340 transcript:rna-LATHSAT_LOCUS26340 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLHENIRTSLQPLLKLISLTLIGLFLANPKMQLIPKATFKLLSKLVFALFLPCLIFSELGSTITLENFKEWWFIPINVVISTFFGCFLGFIVVIICQPPQRFNRFTIIMTGFGNTGNLLIAVVGSVCHTQNSPFGKQCKSRGVAYVSLSQWISVILVYTFVYHMMEPPFEFYEVVENEDEIREEQEETILNDVSRPLLVEAEWPGIEDKETQHSKTPFIARIFKSISGKSAFTIPDPGFESGSGIMDEEESSENNLMSIRCLAEPRVFRKIRIVAEQTPIHHILQPPTIASLLAIIIGTVPQMKTFFFGDNAPMSFMTDSLEILAGAMVPCVMLILGGMLAEGPNESTLGIRTTVGIIVARLVVLPVIGIGIILLADKLNFLVENDAMFRFVLLMQYTTPSAILLGAIASLRGYSVSEASAILFWQHVFALFSLSLYIIVYFRVIESL >CAK8563506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611128899:611129690:1 gene:gene-LATHSAT_LOCUS16906 transcript:rna-LATHSAT_LOCUS16906 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTTLDPSLIYDYGLIFARNELQLQDATYFNHEFLQSMGISIAKHRLEILKLVKKDQHLDSLQQQQPLPRNLSSVIKKCLKKCLSKFVSRDDNDVKRNTNTVLSTVLREPNCYQGKKWKGENEKPVSMCRSRTMPYSGPLDGSMHERKFVNNKALKLSGPIDGRMMNERMVYTNRSPLRTRPIDGRFTGTVKSPRVSGPFDAKMLFEINRSPTITRASVETDSPMGYCSPYNKPKDEFDLDDEHKLWPTLFDLNPT >CAK8532761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510806928:510807362:-1 gene:gene-LATHSAT_LOCUS2434 transcript:rna-LATHSAT_LOCUS2434 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNFFIRNSLVGFCLRILNSTAVIGLYYGFLTTACIGPSYLFLIRARVMEKGSETEIAATSGFLTGRVMMFISIYYAPLNLALNRPHTLTFLTLPYLFCNYVYQNNKHYYSDDWGWAYYPEDSGDNNPNSIRNFRTYKVFDLT >CAK8535358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842977017:842978446:-1 gene:gene-LATHSAT_LOCUS4824 transcript:rna-LATHSAT_LOCUS4824 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEIYMRQSNKRKRVCYSPLTQKSDETFLDLLVERCEDEQFNSYALKWYMEKIEEVLRERFSHLKPKLKAHMELVNERLRTIYEIVYDMQNQSGFSWDDENKMIWVDSDEVWEEYVQRNPHAMLYRDTPFPLFDKLARVYGKEPANPSANVEMIDKIKEEQNDLACGKEPTSTKLGANVEMIDEQEEEQNDCACGKDPANTSDHVEMIDKLEEEQNGPACDKEPSNASANVEMIDKPEEEQNGPACDKEPSNTSANVEMIDKPEEEQNDAATGLVPASPSASIEMIDEQEEQNGPTSGKELANPGANVEMIDKQEEEQNEYGENEIETTLLTPRKHGCIEDPSKKRNGGETAITNSMFEFEKTIKGLLENHIEQLRAVVNCLGVDRDIYEDSRKVMNELTKMGLTEQQYFTAADRIFSVPRRLHIFWGCNDVNRLAYVKSLI >CAK8541944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439759554:439766400:-1 gene:gene-LATHSAT_LOCUS10830 transcript:rna-LATHSAT_LOCUS10830 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKLLHKAVHHHFNHKFQQHGGSLQSTELDPRIVIHYGIPSSASLLAFDSIQRLLAIGTLDGRLKVIGGDNIEGLLISSKQLPYKYLEFLQNQGHLVGVLNDNDIQVWNLETRSLICSLQWESNITAFSVISGSHFIYVGDEHGLFSVIKFDAEEGQLLKSSNHLSAKFLREAAGFPESSDQPIVGILSQPYSSGNRLLIAFQDGLLILWDVSEAKIVFLGGGKDLELKDDGGNISEMDTNLPADILEQNLGDKEISALCWASSDGSILAVGYLDGDILFWNLSSAVPSKGQQTTSSKNVVRLQLSNAERRIPVIVLQWSNNHKSHNDYTGQLFVYGGDEIGSEEVLTVLTLEWSSGMESLRCIGRADLTLNGTFADLILLPSLEAMDLNSKDDLFVLTNPGQIHYYDNDCLSALLSQQNRTSSVSAQEFPVLIPMADPSLTVAKLIKLPSQLNSSKTLAEVASILKTSTKPGSASFANWPLTGGVPSHLSTVKDAGVDRIYFAGYSNGSVLLCDATHPILSYVCYIEGEVNGVKVAGSTAPVTKLDFCSVSLLLAVGNECGLVRVYDLKNFSDGKKIQFVTENKTEVHDAPPGKGPHCSAIFSLLSSPVQALSFANSGTKLAIGFLSGRVAVCDMKLLSVLFLIDGLPSSSSPITSMVWKQQACFQSAVNSPKKLETPSGESQEEILFISSRDGKINVVEGHTGKTISSRPFHVKESTAISMYVIDDIISTSEVSNDKQHEEPLKSNASAHPEEPVQESSSTAVNSLEAEVSSSEITPPGEVLLDPLVLLCCENSLHLLSAKALMQGNKKPIRKVEHSKSFYWTTILKKDDKVCGILSLLQTGTFEIRSLPDLELVSESSLLSILRWNYKVNMDKTMCSDDNGQIVLANGSELAFISLLASENEFRSLECLPCLHDKVLAAAADAAFTFSSNQKKKQTTKPGILGGIVKGLKGGKTTQPVLHKIQTSNFGHLEDIFFKPSFPDSRPTVVVADENEVELDIDDIQIDEPKIVASTSSPDVKNKQKDKLQNNREKLFQGGSNDDVKPKIRTAEEIMAAYRKSGDAASTAAQTRNKLMERQEKLERISQRTAELQNGAENFASLANELVKTMERRKWWQI >CAK8539660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520265721:520270493:-1 gene:gene-LATHSAT_LOCUS8738 transcript:rna-LATHSAT_LOCUS8738 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTVSSGYVVVLKFRSIREHGFSSSSESVWTNYPIENAYELLEVSETSSFAEIKSSFRKLAKQTHPDLAESRNDSTASRRFVQILAAYEILSDSRKRAHYDMYLLSQKKLVQKHSEMGSKLRCYQSQASTFKEMEVVEWLKWYRLAINDILLEKKAVVGTGYFDVLERDFYSAIHAAYYGPEIDSIPMELLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLVNMIPEISSTENEKLTSCRSFHSDLCQSIMDMNTLRNVERPDDFGTQQDRNSKISSNVSDAYRDLELHISGKLVATASRVLPRCCSGAMQKEDSEDHIHVYLSSDEDSRHISNGFSRNLFVNSKVGSRMHLGTISGLGSSPDEGCCDVYNNNREKTHVIMKHRTLLVKHMHWYHVGENVSVCECRCTRARLPPSKFWLFEPRCGFHDIGGWYVETYGKDKKGRTMPSQRFWDGLDYSEQAERRLHPAMYLFALAYRTLDLEYAKTSKKTFRNAVGAHMFRILHWCRKLAQ >CAK8569226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:684871777:684872314:-1 gene:gene-LATHSAT_LOCUS22105 transcript:rna-LATHSAT_LOCUS22105 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLALINPNPYHFPYATKWSAYEMNYKKTPHHCAPGYRTFFDHFEEDDVLSLTRILLSLCIFLNSNPFLTILYFLWRPYLKLEDEDSTESDMWSSTTFIFCFTYVEMHHSDRVKLQFGIQQDIPGPPTCMERYHKSTTNDQWKFDSWRDHNRQER >CAK8561943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415723686:415726057:-1 gene:gene-LATHSAT_LOCUS15488 transcript:rna-LATHSAT_LOCUS15488 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWEQLQVASAPIIQVLLISAVGAFMATDRGDNLLSADFRKSLNKIVFFAFTPSLIFASFAKSVSLDDMISWWFMPVNIGLTFLIGGILGWLIVKLLKPNLKVEGLIIASCSTGNMGNLPVVIIPAICNQRVTPFGTHDECRARALSYSFFSLALGGVYVWTFTYQLIRQSSLKYKAFVADELLKVANTDLDANVKTELLKGNVSVGDAENQILVDKALTTVPKPKSFMYKMMEGSSQTLKEVMSPPTIATFLGFLFGGVKWLRSLIIGQDAPLKVIQDSIQLLGDGTIPCITILLGGNLVQGMSSKSIKPSVLISIIIARFFFLPVIGLFIVKTAAKLGFLPLDPLFQYVLVIQYALPPAMNISTMAQLFEVGTEEFSVILLWTYGAAAIALTLWSTFLLWSLS >CAK8564290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666254525:666257399:1 gene:gene-LATHSAT_LOCUS17611 transcript:rna-LATHSAT_LOCUS17611 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVSLAGHINGVTQCNNNLPPSSSLCKLSSSVNVVDMRLVSRRGLQKVGSGRKCFRVQALFGGKKDKEGQAGMPGNMLNLFEAVKKAQSVVQVESSRIQKELAEAEFDGYCEGELIKVTLSGNQQPVRTQITEAAMELGSEKVSLLVTEAYKDAHQKSVQAMKERMSGIAQSLGMPPGFGDMLK >CAK8537844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441337744:441338236:1 gene:gene-LATHSAT_LOCUS7098 transcript:rna-LATHSAT_LOCUS7098 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKTVGYDSYIDDVVNVVSDGNYGF >CAK8565892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347797630:347797914:-1 gene:gene-LATHSAT_LOCUS19069 transcript:rna-LATHSAT_LOCUS19069 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIMSFTPSTTRLFATTAAKGTGGGSSSKQEKGFLDWILGGMQKEDQLLETDPILKKVEEKSAGTTSNGRKNTVAVPQKKKGGGFGGLFAKN >CAK8567979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560668052:560668918:1 gene:gene-LATHSAT_LOCUS20983 transcript:rna-LATHSAT_LOCUS20983 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKLVRSLILGESISINNNSHFLNQNHHPHQQQQQQQPNETTRTRTKKPFKKRHALLFLPTKEIVTNTYRLATIARDLGMDLHPTPSLSHIIFSNPSSTPSTSSSSPSTPSTSTFSVSSASCSLLNDAVPIPFPSFDTAPLTHLRYFVTLFPRAFKVVLFNSDGDSDAVGSRGGDVSNWDCSSVSLYSRVNGNRVDTMDGFCRILAGKGWTFFKTKQNPSGDLRGGGVVYLFRKVDVNRVCAGRVGGRDGACRVREVRLPYLDFENAPLRILQYILLMTDDIFCLA >CAK8566468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426374523:426385979:-1 gene:gene-LATHSAT_LOCUS19597 transcript:rna-LATHSAT_LOCUS19597 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPILMREALTLPSIGINPQFFTFTHVTMESDKYICVRETSPQNSVVIVDMNMPNQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIELKAKMKSYQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGDSEPVKMFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGNMQLFSVEQQRSQALEAHAASFAQFKVPGNENSSTLISFATKTLNAGQVISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSVGGFYAINRRGQVLLATVNEQTIVNFISGQLNNLELAVSLAKRGNLPGAEKLVVERFHELFAQTKYKEAAELAAQSPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAEKREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGIDGCIKIFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESTFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLSQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLIPDNAYRRQLIDQVVSTALPESKSPEQVSASVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYINRLDNFDGPQVGDMAVEAELYEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEDAVWSEVAKAQLREGLVSDAIESFIRADDTTQFLDVIRAAQDSNVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDEEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGRFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFATRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIAKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKANRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRVDVALELAWMHNMIDFAFPYLLQFIREYTGKVDELVKHKIESQNEVKAKEQEEKEVISQQNMYAQLLPLALPAPPMPGMGGGFAPPPQMGGGFGMPPMPPFGMPMGSSY >CAK8564548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680489279:680489650:1 gene:gene-LATHSAT_LOCUS17846 transcript:rna-LATHSAT_LOCUS17846 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTFLHLGFPVNNSANAFKIVGRSTSSSDNKGSSAVACTATSSGKDIEEESSLDIELDLTFNLGCEKLHSLKKPVDSNMKALELQPSLIWNRAFPPSPREMSPTNSTLQKEPTESFSDGFGW >CAK8560728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38619753:38621939:1 gene:gene-LATHSAT_LOCUS14382 transcript:rna-LATHSAT_LOCUS14382 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQSQQHRKQEFKAEGEENRLLHFDECETIPLSSSQSSLSISLDGVDREDCVYAAKDKVHIFDLESNGITNGSTLVPPFSWKKLWLFTGPGLLMSVAFLDPGNLEGDLQAGAIAGYSLLWLLMWSTIMGLLIQLLSARLGVATGRHLAELCREEYSNWARLVLWFLAELALIAADIQEVIGSAIALKILSHGILPIWAGVVITAFDCFFFLFLENYGVRKLEGVFAVFIGTMGFSFAWMFFDTKPSEEELLMGLLIPRVSSRTLRQAVEIVGCVITPHNVFLHSALVQSRDIDIRNKGQVQEALNYYSIESTIALLITLIINLFVIAVFARVFYGTEQAKDIGLVNAGQYLEEKYGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFITEGFLKLNIKKWLRALITRSCAIVPTMIVAIVFNTSEGSLDTLNEWLNVLQAIQIPFALIPLLTLVSKEEVMGTFRIGPLLERVAWTVVVLVIMLYGYMLIDFFVSEVKGQLFGFLVFLGAAAWISFIAFLLRHSGAISSIFLKSPNSEGFTLAN >CAK8572824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566055638:566057803:1 gene:gene-LATHSAT_LOCUS25346 transcript:rna-LATHSAT_LOCUS25346 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQSSSNSIPHFLSKIYEMVSDPSTNVIVSWSSTNKSFIVWNQHDFSKDLLPKFFKHNNFSSFIRQLNTYGFRKVDQEQWEFANEDFVRDQPHLMNNIHRRKPVHSHSLSNAHDQRAAAAGVNAAPLTELERRNLKVEIEKLKHDKEQLLMEFHMQEEESKQNEMQLHYSKNRLQKLEMNQQSMLSSVGQVLQTSKEEVGLQSVMVNMGRKRRYIRNSPFNDLASIEIPSKTSEMPSRENDESVSILSINMEQLDLLESSLTFWENFVNDVSNTSFQTDSNLYFEDSTNCGHSPVVSYVQQEFEVHPESPGNNMNSLQDLVAIPDPNFVGLEPRDTFVHDLIAPEPAVIVVFDSVALEPAVIDDSNSDAPKEQPVAATPVSTGYNSPFWQKFLVDSPDLDESEKS >CAK8537001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:53006299:53008201:1 gene:gene-LATHSAT_LOCUS6321 transcript:rna-LATHSAT_LOCUS6321 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLSFSLDPQNRFNTIATTKTTRRFTFSSLPHRKTLNPNPHFKLSSSSHSQSQTQTLNEPIIQPGKFLTNDELEKLQFLSSFLYSQQLESGMVWVRVMRDSEVDAIVCLLADSFSESMMFPEGYINVLRFLVKRYLVERRSSMPHMATLVGFYRGVVDGEDEEMQLAGTVEISFDKNGANASIPSPTPPRDSPYICNMAVEKSLRRRGIGWHLLKASEELISQMSSLGEVYLHCRMIDEAPFNMYTKADYKIVTTDSILVLLMLQRRKHLMCKKLPLISMQSETDVSWSDE >CAK8531391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107374570:107376442:-1 gene:gene-LATHSAT_LOCUS1187 transcript:rna-LATHSAT_LOCUS1187 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDKEVRNPLFDSASPTPVVAFLSVTSKVAASASATRILDISFYFSSNQWHLLLEILAILSMVLGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVLFSLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLAGLPPLAGFFGKLSLFWCGWQAGRYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPVTSNNSIEVSMILCVIASTILGISMNPIIKMAQDTLF >CAK8568244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585614536:585617386:1 gene:gene-LATHSAT_LOCUS21222 transcript:rna-LATHSAT_LOCUS21222 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTNKPSAPNQSLQQRRHTRQRMLCYPNDQEAETFHSTVAVTISLPASPRRSSSYRWSSSPVVSQPLPLPLPESPLTRRPDHHATLPFSRSNSSSNFDTLAGNAKIDLRVNIPPISSLVETNNSSSKDTRKHSHDNDCEGVTNGKLQFAARSTPTSIFSSPVTSSGRLSSGNLSDPAINFPQDFNDIWRPPAKNAHSSRSLGNHSPKYHNAADAHPLPLPPPPRASPQPQQSSAQHDSTEISHSMKSQWQKGKLIGRGSFGSVYHATNLETGASCALKEVDLFSGDLSSGDPKSAIYIKQLGQEIRTLGQLHHPNVMQYYGSELVSDRLLIYMEYVNPGSLQKFMQENNGALTESVVRNFTRHILSGLSYLHSTETIHMDIKVANLLVDPSGIVKLANFGVSKTLTEKSYELLPKSSPYWMAPELMTAALMKKTNSEVTAAVDIWSLGCTIIEMLTGKSPWSELSGHQLVFKVLNRSPDIPETLSPEGQDFLEQCFRRNPADRPSAAVLLTHAFVQNLHEQDVTVHSLGTLPKDESRKHVSKIGRGVAPAFFRARIFRKIQNLFGGSGIRSKQALDRTTSMF >CAK8567338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506416770:506419884:1 gene:gene-LATHSAT_LOCUS20402 transcript:rna-LATHSAT_LOCUS20402 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRDFKELILADKLSKLTNSQQCIETLSHWCIFHRSNAEQVVSTWKIQFDKSDINHKIPLLYVANDIMQNSKRNGNEFVIEFWKVLPAALKDVIAINDDRGNHAVYRLFEVWEGRNVFGSRVQNLKDLMLGEGAPPPLEFGKKRSRSVRVMKRDSVKILKRDSRSIKSKMSIGGMAEKIVSAFHLVLSEQANEDAEMSNCKSAVQRVRKIEKDVDIACTIAKDPKRKTLMKDLEEQQNLLKHCIEKLKIVEASRVALVSQLKEALLEQESELENVRTQMQVAQAQIEEASNMQKRLDNEDPSQNASFKRTSGTDAASQSEAATKKSAAAIAAEVADKLTASSSSQLIMSSVLSTFVAEEAKSARLTTESTSNSMISTPSSDAHVFMPAQQLTAVPNHSYPSVLVTQPTMHNAAPSLQGQYHLYSNPSSQQYVQSTGGVVSQYGYGSIPPLQQAPPPPPFTNQTMQITQQQPVPTFTNHTMQTTQQQPVPTFTNHRMHTTQQQPVPGYRNHTMQITQQQPQPVPIHVPVPPSFRPLQPSGMMYYANH >CAK8532634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:335979400:335981498:1 gene:gene-LATHSAT_LOCUS2319 transcript:rna-LATHSAT_LOCUS2319-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSFSEFIAESETVSVCLKCGNQGFEETLVYCFKCQHYALHRYCLDGSVVFTDDVIWFCEDCEGEVIDVDFSDNAIVDSENTEISFSEDYDQDNADSENVDVEDCDVDNSDNEIADSKKTEDNSSEVDSEYECATVADPDPHPIADPIWSLQLINRSFELMSHLSTLACLKVLEETRHLPDVLYADLLQRSAVWPQSFSKYGTNNQSIGLYFFPENERVERYFDELVYEMISSNLAIRAKVEEAELLIFSSTMLPCQYRSELHYITHMLLLHLRLGHV >CAK8532633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:335979400:335982160:1 gene:gene-LATHSAT_LOCUS2319 transcript:rna-LATHSAT_LOCUS2319 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSFSEFIAESETVSVCLKCGNQGFEETLVYCFKCQHYALHRYCLDGSVVFTDDVIWFCEDCEGEVIDVDFSDNAIVDSENTEISFSEDYDQDNADSENVDVEDCDVDNSDNEIADSKKTEDNSSEVDSEYECATVADPDPHPIADPIWSLQLINRSFELMSHLSTLACLKVLEETRHLPDVLYADLLQRSAVWPQSFSKYGTNNQSIGLYFFPENERVERYFDELVYEMISSNLAIRAKVEEAELLIFSSTMLPCQYRRFQSKYYLWGIFKRKQRSS >CAK8564917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15548282:15548700:-1 gene:gene-LATHSAT_LOCUS18168 transcript:rna-LATHSAT_LOCUS18168 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGYVLNVCGCGSYNDNSSHFLLLLLLMLQDVIPQYPTTCPVEWLDAEDPLFLLYTSGSTGKPKGVVHTTGGYMVFTILLFIEEHCHLEIPEEGFFGTSIHGRFSY >CAK8533173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:587896657:587897553:-1 gene:gene-LATHSAT_LOCUS2820 transcript:rna-LATHSAT_LOCUS2820 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTFSLNFTTLLVLLLAFFSCLQFSIVASHEFQVGDLKGWVVPPSNDTDIYNIWASNFRFQVGDSIIFKYKKDSVMEVGKENYNDCNATKPTFFSNNGDTEFKFGHSGTFYFISGASGHCEKGQKMIVRVMIQDLHSKSSAYHVPVFPVSVFVFAFVVSYVICVF >CAK8537924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448061857:448063009:1 gene:gene-LATHSAT_LOCUS7172 transcript:rna-LATHSAT_LOCUS7172 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIQFYLVLFMVTFAAVLSPTIAKLTPNYYDRICPKALPIIKTVVKQAIYREPRIGASLLRLHFHDCFVNGCDASVLLDDTPTFRGEKTALPNINSIRGFEVVDQIKAAVTKACRRDVVSCADILAIAARDSVSILGGNQYWYQVLLGRRDARDASWGAANTNLPPPFFNFSQLITNFNSHGLNLKDLVVLSGGHTIGFAKCATFRDRIFNDTNIDTTFAANLQKTCPRIGGDNSLAPFDSTPKKVDTEYYKALLNKKGLLHSDQELFKGDGSQSDMLVQLYSKNSYAFAKDFGVSMIKMGNLKPLTGKKGEIRCNCRKVNN >CAK8578786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654771369:654772844:1 gene:gene-LATHSAT_LOCUS30770 transcript:rna-LATHSAT_LOCUS30770 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYETSPMASNYNSHKKFLNNGTKLMHQTQVVVVIVPFPAQGHLNQLLHLSRLISSYNLPIHFVGTTQHNRQAIVRVQGWDPKSISKNVHIHDFKVPTFISPPPNPNAKSRFPSHLFPSFEASSNLREHVATLLHSLSSVAKRVVVIYDSLMASCVQDAIHIKNCETYTFHSVSAFTMFLYFWDLMGKPEVEKTKNIIPEKVPSLEGCFTTQFIDFITSQYEFHKFSNGIIYNTTRVIEKPYLELIASMISNKTHWALGPFNPLCMERKSNNKGRHFSLEWLDKQKGKSVIYVSFGTTTAFKEEQIIELAKGLEQSDQKFIWVLRDADRGDVFNEDCVRRVIELPKGFEERVEMKGVGLIVRDWAPQLEILSHSSIGGFMSHCGWNSCMESITMGVPIATWPMHSDQPRNRVLVTEILKVGLVVRDWAHRDELVMACVVENVVRKLMATKEGDEMRQNAMNLKNEILESMDENGVSRMELDSFIAHVTR >CAK8575332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:82737978:82741083:-1 gene:gene-LATHSAT_LOCUS27603 transcript:rna-LATHSAT_LOCUS27603 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISSFSHLFNFISILFFIFPPCESQTTETQALLEFKDHLTDSSNTLASWNESNTPCNFHGITCDPQSFKVIEISLDDNLLSGEIFPSISILHSLQVLSLPSNSISGKLPPEVTKFTHLRVLNLSGNELVGSIPDFSGLRNLSVLDLSANFFTGTIPSWIGNLTGLNSLGLGENLYTGSVIPKSLGNLKNLTWLYLGGAHLIGEIPESLYEMEALETLDLSRNKLSGEISRSISKLKNVYKIELFSNNLTGRIPEELANLTNLQEIDISANNMFGKLPKRIGDMVNLAVFQLYDNNFSGEIPVGFGDMENLRGFSVYRNSFTGSIPENFGRFSPLESIDVSENQFSGFFPRYLCEKQKLTILLALQNNFSGNISESYASCKSLERLRISRNFLSGKIPNGVWSLPNAKIIDLGFNNFSGEVSSQIGNSTNLTEVVLMNNKFSGKVPSEIGKLANLEKLYLSNNNFSGEIPHEVGFLKQLSTLHLEENSLTGLIPKELGHCSRLVDLNLALNSLSGNIPNSVSLMSSLNSLNLSGNNLTGSIPDNLEKMKLSSVDFTDNLLSGRIPFGMLVIGGEKAFAGNKGLCVEKIPSSTINSDLKICGNDHGHKRAFFGYKYILLLVIAVIFIAAVLICRSIKIRRAKRMQKCQKEASQKKWKQASFHKVDIDADEIGHLNEDNLIGHGGTGKVYRVALRKNGMVVAVKQLEKGDGMRILVAEMEILGKIRHRNILKLYACFLRGGTNLLVFEYMPNGNLFEALHRNVKDEKVAWDWNQRYKIALGGAKGICYLHHDCCPPVIHRDIKSSNILLDEYYEAKIADFGVARFAEKTQMGNSFFAGTHGYIAPELAYSTQVTEKSDVYSFGVVLLELVSGRKSVEEEYGEAKDIVYWVLSNLNDRESVLNILDDRVASAHCVEDMIKVLKIAIKCTNKLPTLRPTMRDVVKMLIASEPCKKKTKRCGFEKDLMSKATM >CAK8565224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:76149887:76150223:1 gene:gene-LATHSAT_LOCUS18456 transcript:rna-LATHSAT_LOCUS18456 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDHQPIR >CAK8572817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565433782:565434039:-1 gene:gene-LATHSAT_LOCUS25339 transcript:rna-LATHSAT_LOCUS25339 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYF >CAK8530877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58943809:58944984:1 gene:gene-LATHSAT_LOCUS709 transcript:rna-LATHSAT_LOCUS709 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSDQPSNPNRIYPEPYFPQIDHFDRIPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDSNSSSASSDKSRGPFWNLLRLVFGGIAKPIQTIGQFLGPKRGSGVNSGNSSSSSSPLAVGSEDDGDGGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWRADFGSTLDNCVILGASSVFHPKSQDGVVVDASCGVGGGGGDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHRTLDNLVLTDADGQGVLYMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVILKGATLVAIRPSELSTTTAKKEGSDLSWVSTAFEEPYRSAATMLIKRRTYCLEMNSF >CAK8530478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23628866:23631354:1 gene:gene-LATHSAT_LOCUS339 transcript:rna-LATHSAT_LOCUS339 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSTIISPPMFLPQTTRYNNHEFTFTHVGQPQPLVRGSCSISRSRICFLSKFDQTFSSPVMEEEGQKKLNLFSESDDYSKELDVAVRAVQMACSLCQRVQDILISKTNHQVQSKDDNSPVTVADWSVQAVVSWILSECLGSENISIVAEEDVHTLSKSNASELLESVVNTVNECLADAPRFGVEKPNSLLGTSEVLEIISRCNSTGGPSGRFWVLDPVDGTLGFVRGDQYAVALALIENGEVVLGVLGCPNYPMRKEWLGYQHRYHRIVSKLTPQNSESWNKGCVLYAKKGSGKAWMQPLIHVNKSFVWPNHAKQVFVSPIDNPALATFCEPVEKANSSHSFTEGLAHSVGLRKQPLRVYSMAKYAAIGRGDAEVFMKFARAGYKEKIWDHAAGVIIIQEAGGVVTDAGGCPLDFSKGLYLEGLDRGIIACSGASLHGKIIDAVDASWGCSSL >CAK8568693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632874975:632877684:-1 gene:gene-LATHSAT_LOCUS21627 transcript:rna-LATHSAT_LOCUS21627 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEGQERTNVTNQLLMANPTTHHVIIDITTTTTTTPRTSISEASPSSVNGGGGVDDVSRQSSNSRLRGDSLERSARTPLSSGFCVSLEFVFTVTQIVISVVVLNSSRNEHPHAPLFTWVVGYASGCAASLPLLLWRYFARERNESQSSTTGDDPSGILLSNQTTNQGEEDGVPVSSNQASSVFTNTRLKILMEYFKIVLDLFFASWFVIGNIWIFGGHSSANEAPNLYRLCVVFLTFSCIGYALPFILCLTICCCLPCIISTLGVNEDMPQTRGATSECIDALPTHKFKLKKSKSIDESTPAVIEGGIVSVGTEKERVISGEDAVCCICIANYENDDELRELPCLHLFHKDCVDKWLKINALCPLCKREVGRNETEPVIEENAGRQRGESRVENSPNTSS >CAK8568694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632874975:632877633:-1 gene:gene-LATHSAT_LOCUS21627 transcript:rna-LATHSAT_LOCUS21627-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTTHHVIIDITTTTTTTPRTSISEASPSSVNGGGGVDDVSRQSSNSRLRGDSLERSARTPLSSGFCVSLEFVFTVTQIVISVVVLNSSRNEHPHAPLFTWVVGYASGCAASLPLLLWRYFARERNESQSSTTGDDPSGILLSNQTTNQGEEDGVPVSSNQASSVFTNTRLKILMEYFKIVLDLFFASWFVIGNIWIFGGHSSANEAPNLYRLCVVFLTFSCIGYALPFILCLTICCCLPCIISTLGVNEDMPQTRGATSECIDALPTHKFKLKKSKSIDESTPAVIEGGIVSVGTEKERVISGEDAVCCICIANYENDDELRELPCLHLFHKDCVDKWLKINALCPLCKREVGRNETEPVIEENAGRQRGESRVENSPNTSS >CAK8560624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30204090:30204424:1 gene:gene-LATHSAT_LOCUS14285 transcript:rna-LATHSAT_LOCUS14285 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIANHYNVVLVCLRIECWTFFPMTSYFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVD >CAK8564253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662731085:662732356:1 gene:gene-LATHSAT_LOCUS17576 transcript:rna-LATHSAT_LOCUS17576 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRILAAVALLVAMSAIACECRIARKDLGLNLGGVGVGTGSGLGIGMGSGVGIGMGSGVGIGLGGGSGAGSGAGAGSGSGSSSGSGSASGSGSGSGSSGAGSEAGSHAGSHAGSGAGSGAGSQAGSEAGSHAGSGAGSGAGSQAGSEAGSHAGSHAGSGAGSDAGSEAGSRAGSHAGSEAGSGDGSQAGSEAGSHAGSHAGSGAGSNAGSEAGSRAGSHAGSEAGSGAGSQAGSEAGSHAGSHAGSGASSDAGSEAGSRAGSHAGSEAGSGAGSQAGSEAGSHAGSHAGSGASSDAGSEAGSRAGSHAGSEAGSGAGSQAGSEAGSHAGSHAGSGAGSEAGSEAGSRAGSHAGSGAGSGAGSEAGSEAGSNAGSHAGSGTGSEAGSEAGSYAGSHAGAGSGSSEAGSEAGSYAGSHAGSGN >CAK8571360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390681257:390682102:1 gene:gene-LATHSAT_LOCUS24027 transcript:rna-LATHSAT_LOCUS24027 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNIDIQESSKDTKGKAVLLAAPARAGGWKKGIAIIDFILRLGAVAAALGAAASMGMSDQTLPFFTQFFQFEASYDSFTAFQFFVITMAIVAGYLVLSLPFSIVGIIRPHATGPRLFLIILDTVFLTLAAASASAAASIVYLAHNGNQDTNWLAICNQFGDFCAQTSGAVVSSFIAVVVLVLLVVMSALAIGKH >CAK8539032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502065878:502067098:-1 gene:gene-LATHSAT_LOCUS8170 transcript:rna-LATHSAT_LOCUS8170 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVGVTDDKVSGYISDDVALSILSKLPWKSLKRFECVRKSWSLLSDKPNFMSLYRHNFFSKYSYEDDTSLILHLHDHETLCSLSGERFENMVVLDLPEQIDHFKFFGFGCANGILCFEEPSDNKIVLWIPTTNELKIICISPFESFSPPVTLNFDAPVIFVTNPNLHGFGYDCVGDDYKLIRNTSVSCKFHTFWPSDRDLLLGQDKSLKPFWETYSLKTNSWKKLDIDMPSCAKHNSGFGTFRVYLDGVCHWLNLDKENNHVGASLISFDLRNEVFINTPIPPFAREQWMGLSVINDSIALFKHHQNMPTFNISIFDILILGEFGVKESWYKLFTVGPLPWFEIPIGVGKKGEIFFRKEDSELVWFNLKTNTIKHLGFKPGQVNRRGRIGIYNKNMFSIGATNN >CAK8569817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12612183:12615304:-1 gene:gene-LATHSAT_LOCUS22626 transcript:rna-LATHSAT_LOCUS22626 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVVASEEGKSRGLSLGSMRLFVVFIALCVVFSVISIYSIKHFGIKSVVTTMSSTFQPCVEEHGGLEQWIKPPSNLIHNMSDSELLWRASLVPKIKNYPFRRVPKIAFMFLTKGPLPLAPLWERFLKGHQSLYTVYIHPFPSYQAHFPPSSAFYKRQIPSQIAEWGKMSMCDAERRLVANALLDISNEWFVLLSESCIPLYKFNTVYYYLLKSKFSFVGAFDDPGPYGRGRYNPNMAPLVDITKWRKGSQWFEVNRKLAVSIVEDTAFYPKFEQYCRPACYVDEHYFPTMLTIQAGRALANRSITWVDWSRGGAHPATFGKSDITKEFFDRVLGNQNCIYNNRNSSICVLFARKFAPSALEPLLDMVNSKVLDF >CAK8565676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:303966454:303966985:1 gene:gene-LATHSAT_LOCUS18866 transcript:rna-LATHSAT_LOCUS18866 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRRSTLEGITRTSVHDNKSWSFLRMAIVFLAEAERCTRTTLQVHVVMQVKICLSSKGDGLIPDGRCCGLFKTT >CAK8534858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778559849:778560061:-1 gene:gene-LATHSAT_LOCUS4365 transcript:rna-LATHSAT_LOCUS4365 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHFFPFGGGPRLCIGQNFTMLEAKMAIALILQHFSFELSPTYAHAPTTVITLRPKHGAQIILHKLEI >CAK8539570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516563903:516564631:1 gene:gene-LATHSAT_LOCUS8653 transcript:rna-LATHSAT_LOCUS8653 gene_biotype:protein_coding transcript_biotype:protein_coding MATDIALLSMTCTQIQKSSQSQSQSNPNTTTTTTPSSSTWMWNPKQQQHQEQEDEDSWEVRAFAEDTRNIMNTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQNQPLLNSSSHHPSSPFIHIPPPELVNAGLCLFYHSPNPNISSFNDSNGDSPSTLLSISSSSYPTNNFMMQMQTCSPPSFHFQANSARNLISNSSISSFSNKPAICTSIDDKPYEIEELDLELRLGNKPLPT >CAK8535342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:840819016:840828762:-1 gene:gene-LATHSAT_LOCUS4808 transcript:rna-LATHSAT_LOCUS4808 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDPKPRQRSVQWSPATTPESKPIPPSSWAKKTGFKPKFSGDTNAGDSGQISLPIKSRELEVNSDLEAGRVRATPPPAANGVAKVLPSKGSKDLVVKKQRDSDGVPSINGQTNAEPPVRRTGRTDEVVGSLPQIVDDDEFVSRHSHMKYELRDSPGLVPIGVYSIQHYISIIGSLILIPLVIVPAMGGTHEDISAVVSTVLFVSGVTTLLHTIFGSRLPLIQGPSFVYLAPVLAIINSPEFQGLNGNKFKHIMKELQGAIIIGSAFQTFLGYTGLMSLLVRFINPVVVSPTIAAVGLSFYGYGFPIVGTCLEIGAIQIFVVIVFSLYLRKLSVFGHRIFLIYAVPLGLAITWAVAFLLTEAGAYNYKGCDINIPASNMVSEHCRKHISRIKHCRIDTSHSLKSSSWFKFPYPLQWGTPVFNWKIAVVMCVVSLISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLCSVLAGLWGTGTGSATLTENVHTIAVTKMGSRGAVQLGACLLIVLSLVGKVGGFIASIPAVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIIGLSLFFSLSIPAYFQQYGISPNSNMSVPSYFLPYIVASHGPFQSKYGGLNYILNTIFSLHMVIAFLVAFILDNTVPGSKQERGVYVWSEPDVARRDPAITKDYGLPLRVGRIFRWVKWVGL >CAK8568938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:657048537:657051604:-1 gene:gene-LATHSAT_LOCUS21845 transcript:rna-LATHSAT_LOCUS21845 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTKLFSLLLFLLFSFFSLLARVKSNELEILLNIKSSFSNSNSKLLFESWNPNRPVCTFHGITCNSLNSVTEINLSHKNLTGTLPLHSLCSLPSLAKLQLGFNLFHGKINQTLQNCVKLQFLDLGNNFFNGPFPDISPLNQLQYLYVNKSGFSGTFPWQSLLNMTGLLQLSVGDNAFDLTPFPKEVLSLRKLNWLYMSNCNLGGKLPVGIGNLTELTELEFSDNFITGELPGDIVNLRKLWQLEFYNNSLTGELPVGLRNLTELINLDGSMNQLEGNLSEISFLTNLVSLQFFENKLSGEIPPELGEFKNLNALSLYRNRLTGTIPQKIGSWTEFRFIDVSENFLTGSIPPDMCSKGNMTELLLLQNNLTGEIPENYGNCLTLKRFRVSRNSLSGTVPSSIWGLPKLEIIDIEMNQFEGYVSSDIQNAKKLASIFARNNRLSGEIPEEISKSTSLVTIDLSENQISGNIPEGIGELKLLGNLHLQGNKLSGVIPESLGNCSSLNDVDLSRNLFSDEIPSSLGLLPALNSLNFSWNELSGEIPESLGSLRLSLFDLSHNRLSGKIPQGLTIQAYNGSLTGNPGLCTLDGIGSLRRCSESGGMSKDVRALVLCFTIGLVLVLSFAGVYLKLRRMKEKEVKEEGNKYGRERSLKEESWDVKSFHVMSFSEDEILDSIKQENLIGKGGSGNVYRVTLANGKDVAVKHIWNTDFGSRKKSWSSTPMLAKRGGGGGSKSREFDAEVQSLSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHTNGKMELDWETRYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEFLKPRIADFGLAKIVHANVVKDSTHIIAGTHGYIAPEYGYTYRVNEKSDVYSFGVVLMELVTGKRPSETEFGENKDIVSWVHGKTRSKEKFMSVVDSRIPEMYKEEGCKVLRTAVLCTATLPAMRPSMRSVVQQLEDAAPCKLVGIVIGKDGSEKKFGVNDK >CAK8575244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:58053029:58054331:-1 gene:gene-LATHSAT_LOCUS27523 transcript:rna-LATHSAT_LOCUS27523 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNNKSQASSSSDSDIDNNDSGCCSSRSDASAAAELKLYRAFIFSVPIFFTLILVFLFYVFYLKPRRVDWSSIRMRSVSVLQHHNHNNAISNSDLGLKKELREMLPIIVYNESFSVKDTLCSVCLLDYQPDDRLQQIPVCGHTFHMSCIDLWLTSHSTCPLCRLSLLPAAKSLTETSNMQAASNEEIETQPRIEETLAIEFSDSVSTSHLETTVIQNVSGEVGISANPRINVEEPNVRNNR >CAK8532315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246060351:246064711:1 gene:gene-LATHSAT_LOCUS2036 transcript:rna-LATHSAT_LOCUS2036 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTKKKAREGEQDPDSTNPVAIERKRLKSLAFSNNILSETQARPSIHLNPSSILVKHHGKDIIKKSQRKSSRYLFSFPGLFAPIAGGKIGDLKDLGTKNPILYLDFPQGRMKLFGTILYPKNKYLTLQFSRGGKSVMCEDYFDNMIVFSDALWIGTKDENPEEAKLEIPKELYEGKQAEHDFKGGAGAGAASVVNQSVLKTKIKRTEQESPKTPLEDDSSDSELDLTDTKELVPVRQSARTVKKSYKFAEISSGDDSGKSSPDISEHEEEAVEVDTNKETAVIDVDNEDNSPKDKLPVVEKKEPASASKSKKGSLVQATISSLFKKVEVKKTPTNSKKSPSSKASGQKLQSAGAKRKIDLDEGSKKKARKIKDKNPGEKAKAKSKKSEVEDDDDIEEFSNASEDSDGSDEEWIA >CAK8568069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569132734:569138658:1 gene:gene-LATHSAT_LOCUS21065 transcript:rna-LATHSAT_LOCUS21065 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVCVLWFLLLFLILSFLSSESKFIKYNTNTTIVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLADENRKFIYVEQAFFQRWWTEQNEVVQKTVKQLVNSGQLEFINGGMCMHDEASTHYIDMIDQTTLGHRFIKDEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRAKRKGEKSLEVVWQGSKSFGSSAQIFSGAFPENYEPPTSNLYFEVNDASPIVQDDINLFDYNVPDRVNEFVSAAISQANITRTNHIMWTMGTDFKYQYAQTWFRQLDKFIHYVNQDGRVHALYSTPSIYTDAKHAANEAWPIKTDDFFPYADRVNGYWTGYFTSRPALKGYVRFMSGYYLAARQLEYFKGKSALSPNTDYLADAMAIAQHHDAVSGTEKQHVANDYAKRLSIGYTEAEKAVAASLAFLTEAANKTDGRTPQIKFQQCPLLNISYCPASEADFSDGKDLVVVVYNPLGRKREDVIRIPVANENVVVRDSSGKEIQSQLLPIPDAFLGLRNYYAEAYLGVSPSVNPKYWLAFSAIVPPLGFSTYYVSKAKQAATISDSHIEHRSGRPGNKFEVGLGNLKLIYSEKKAKLTQYINRKRKVKESIDQTYKFYNSYGDDFTDNAQASGAYIFRPNGSSIPINSNKKSPLTVLRGPIVHEVHQKINSWIYQTTRLFKGKEHAEVEFIVGPIPIDNGVGKEIAAEIKTNLASSKTFYTDSNGRDFIERIRDYRKDWNLEVNQPVAGNYYPINLGIYLKDKQSEFSVLVDRSIGGSSIQDGHVELMVHRRLLNDDSRGVAENLNETVCVSNKCEGLTVIGKYYFRIDPVGEGARWRRAFGQEIYSPLLLAFTESEGNWGDSHVTTFSGIDSSYRLPENVAIITLQDLGNGEVLLRLAHLFEIDEDVNLSVKSSVELKKVFGNKQINKVTEASLSANQERTEMEKKRLVWQVKGSSPEPKVSRGGPVDPEKLIVELAPMEIRTFIINFKH >CAK8579058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671043822:671044298:1 gene:gene-LATHSAT_LOCUS31031 transcript:rna-LATHSAT_LOCUS31031 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSSKRWPIMKMGIAYLGCSSGPNALRVMSEIVEAINAASNMLDRPAPKELMLYMNDIFTNDFNNVFASLPSFHKRINQKKENNGYFSSNCFVSAVPGTFYCRLFPTKSIHFVHSSTSIHWLSRVCFSNFTRLLSQVVEKGLSVGCYYSLVIFCLY >CAK8535176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820783159:820784290:1 gene:gene-LATHSAT_LOCUS4650 transcript:rna-LATHSAT_LOCUS4650-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLKVALISTGILSMAVALKLTVPIVSHFILNDAPTVWSFILTCFTPPYLYLLLNLIILTIVVTSKFHSQHHHSPPEPLPFEASFDGTPSPVQIPAPVQVEISEIPPLANYNGFVSEINETFALGNDSDDSVVYVAEENTPAKTTVVEADASVLVPSLQKNSSEFAFNDENEKPPVSSRFRKAVRSSPEGGKVNALRVTKTKKQDTLENTWKTITEGRAMPLNRHLKKSDTFESQPRRSGVPLADLNGGVGGGGGVPVMKKSETFGGREKSVSPGSGGKMRKESSLSQDELNRRVEAFINKFNAEMRLQRQESLRQYREMVNGRTC >CAK8535175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820783153:820784290:1 gene:gene-LATHSAT_LOCUS4650 transcript:rna-LATHSAT_LOCUS4650 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNFLSLKVALISTGILSMAVALKLTVPIVSHFILNDAPTVWSFILTCFTPPYLYLLLNLIILTIVVTSKFHSQHHHSPPEPLPFEASFDGTPSPVQIPAPVQVEISEIPPLANYNGFVSEINETFALGNDSDDSVVYVAEENTPAKTTVVEADASVLVPSLQKNSSEFAFNDENEKPPVSSRFRKAVRSSPEGGKVNALRVTKTKKQDTLENTWKTITEGRAMPLNRHLKKSDTFESQPRRSGVPLADLNGGVGGGGGVPVMKKSETFGGREKSVSPGSGGKMRKESSLSQDELNRRVEAFINKFNAEMRLQRQESLRQYREMVNGRTC >CAK8538609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489211322:489211678:-1 gene:gene-LATHSAT_LOCUS7790 transcript:rna-LATHSAT_LOCUS7790 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKAVAATIRLRVPAGGARPAPPVGPVLGQYRLNLMAFCKDFNARTQKFKPDTPMAVTITTYKDNTFEFIVKSPSVSWYLKKAAGIELGSTRPGHVTSTTLSLNYYHVHSLKKGNV >CAK8578543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636723647:636731738:1 gene:gene-LATHSAT_LOCUS30545 transcript:rna-LATHSAT_LOCUS30545 gene_biotype:protein_coding transcript_biotype:protein_coding MCENNISVISFIYGGLTADAIIASNSSSFRYIITGVSPDNNCPIINTLSLPYENISFLTDLYSRDYLIIMRCENPVNDFRYMDISSESCSGGIDGEEIQHSYLYAVDDYFGSNVRDIAESCRIDMKVKMNRLYGNVKCSSECGYPEVHTEHVNGIELRWRPISCEQLQKQQHIDSVQEEGRFSLRCFLYSVVLFCLRSAVSIFVGKFVLGSPFVIALLIFKWGKRDLPIYDRVEDFIQSHNNFMPIRYSYSQVKTMTKHFKHKLGEGGYGSVYEGVLRSKHKVAVKVLTKSQSNGQDFINEVATIGRIHHVNVVELIGFCVERTKQALVYEFMPNGSLDKHTFSEERGNSSSLSYQKIYDISLGIARGIQYLHQGCDMQIIHFDIKPHNILLDENFNPKISDFGLAKLYRIDQSILTLTAARGTMGYMAPELLYKNIGSISHKADVYSFGMMLMEMAGRKKNKNYVENYWQDYFAKWIYDQFEETIDTNNGTQEEKNIAMKMIVIALKCIQMKPDDRPSMNQVIEMLEGDEVPQHLPPSPQSLLTWQTMSTDANELDDN >CAK8578544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636730824:636731738:1 gene:gene-LATHSAT_LOCUS30545 transcript:rna-LATHSAT_LOCUS30545-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHFKHKLGEGGYGSVYEGVLRSKHKVAVKVLTKSQSNGQDFINEVATIGRIHHVNVVELIGFCVERTKQALVYEFMPNGSLDKHTFSEERGNSSSLSYQKIYDISLGIARGIQYLHQGCDMQIIHFDIKPHNILLDENFNPKISDFGLAKLYRIDQSILTLTAARGTMGYMAPELLYKNIGSISHKADVYSFGMMLMEMAGRKKNKNYVENYWQDYFAKWIYDQFEETIDTNNGTQEEKNIAMKMIVIALKCIQMKPDDRPSMNQVIEMLEGDEVPQHLPPSPQSLLTWQTMSTDANELDDN >CAK8568864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648639425:648642298:-1 gene:gene-LATHSAT_LOCUS21774 transcript:rna-LATHSAT_LOCUS21774 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYNNNNNNNAFIVNCSIQKRPSYVSENSHHNNDFPLFSIFKLNMRSTLPEVSLQFDVAKTVKNSVAKLVDVFVDSFFEFIDKPLLQSNFAPVEELGEAILLNSIRGRIPNDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHALYFQKSSHGTWNVIYNNKHVETETYNLEKHRNKPLFLPAIEGNSLAVLSAYLFNWLRSGKANKFISNTNVFEHSGKFYSVAESHMPQEIDIFSLKTLNNWELSAAWNRPFTSHPKKAPITGELVTLGVEPIKPFAVVGVISGDGKKLVHKVDIKLNRCSLCHDIGVTQRYNVIMDFPLTIDLIRLLRGGQLIKYDKKDYARIGIMPRYGDADSMKWFEVEPNCTFHIINSFEDGDEVVVRGCRSLDSLIPEPDLSSNDYEWLSRGYEWRLNMKTGEVKEKDLCGEKVVYMDFPMINENFVGIKHKYAYTQVVDPTTSSTIQDVPKYGGLAKLYLEESCEEFSMSMEKDEGIKMEYHMFEKNTYCNGAAFVAREGGIEEDDGWIITFVHNEDTDKSQVHIIDTKNFSGETVFKIEMPCRVPYGFHGAFMPISFQD >CAK8570867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:138374439:138378915:-1 gene:gene-LATHSAT_LOCUS23579 transcript:rna-LATHSAT_LOCUS23579 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVYTLKVEEGKPATSDGKPSVGPVYRCIYAKDGLLELPSALESPWDFFRDSVKRNPDNQMLGTRQKLDSKAGPYSWLTYKEVYDASIQMGSAMRSRGINPGDHCGIYGANCSEWIIAMEACNCSAVTYVPLYDTLGPNAVEFIINHAEVSIAFVQENKIPSILSCLDRCSSNLKTIVSFGNVSATQKKEAEEFGTSCFSWDEFLQLGSLDWDLPQKKKTDICTIMYTSGTTGEPKGVIIKNEAFMSEVLSIDQIIMLTDRVAADDDIYFSFLPLAHVYDQIMATYCIFKGSSIGFWQGDVRFLMEDVQELKPTIFCGVPRVFDRICAGIKSKVSSAGSLKSALFHYAYNYKLSYLEKGLPQHKAAPLFDRLVFDKTKQALGGRVRILLSGAAPLPNHVEECLRVTSGSTLSQGYGLTESCAGCFTSIGDVFSMMGTVGIPMTTIEARLESVPEMGYDALSNEPRGEICLRGNSLFSGYHKRQDLTQEVIVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYIAVENIESKYLQCPLITSIWVYGNSFESFLVAVVVPERKALEDWAVEHNFTGDFKSVCENLKARKYILDELRSTGQKLQLRGFELLKAIYLEPSPFDIERDLVTPTFKLKRPQLLKYYKDQIDELYKEAKGTMA >CAK8536717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:10323017:10325009:-1 gene:gene-LATHSAT_LOCUS6051 transcript:rna-LATHSAT_LOCUS6051 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMINDSTIKLFGRTIFLTHNIYVSTIDSSSEFEPSLPHEDFSNHSLLSSVSSSSPLEVSSSMEHVAKRYKETSRKEFTSVLDLDDEASFHPTKDLKSHTSSSLIENPKTPSSETETSQLNSTKIDEQSDISQDKSLKKPDIVVPCPRCKSMDTKFCYYNNYNIKQPRHFCKNCQRYWTSGGTTRKMLVGAGRRKNKISSFSSDVLHYRQMSTAFTFGSDSSIMSSTPLDKKMNIDSHEETIDKSYQSFPPQIPWNPAMCYPVSFYPNIVHYGGFLQPLWNVQSIPTQSCGPSKPASGKHSRDGDTIIHPNSEKEKLGLGSNKKEGNNSNTSVLIPKTLIIDDPNEVAKSSVWSTIGIKNGRGLFKGFASKGDDKNDHVVEVSTSVLKANPAASSRSLAFHERI >CAK8542307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500776998:500777471:-1 gene:gene-LATHSAT_LOCUS11154 transcript:rna-LATHSAT_LOCUS11154 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEVNNEEMLHLSYKRSPGRPKKLRRKEPDEDPNKGRTPTSYCCTNYGVHDHNARSCTTLVPDPEALKRKKKPKKNATQTTQPESSTEQQNFEASTEQQQPHHELPTKEKLETQCDVDPEFEILAADLCAAFE >CAK8573929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648165795:648180893:1 gene:gene-LATHSAT_LOCUS26323 transcript:rna-LATHSAT_LOCUS26323 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQAVALALVAAICTSTVIGDDDLVPPRREIYDNGKIFDITHRYHPDMPEFESKNGIGQFLWLPKSMKNGSIANNSEMKLPAHTGTHVDAPGHVYDHYFDAGFDVDSLDLHVLNGPALLVDVPRNSNITAEVMKSLNIPRGVKRVLFRTLNTDRRLMFQKEFDSNYVGFTVDGAKWLVENTDIKLVGTDYLSVASYDYLIPSHLVFLKDREIILVESLKLDDVPAGLYSVHCLPLRLAGAEGSPIRCILIKY >CAK8533518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630546885:630549102:-1 gene:gene-LATHSAT_LOCUS3140 transcript:rna-LATHSAT_LOCUS3140 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTEMDNNSKVDELMPGFRFHPTDEELVAFYLRRKVQQKSLPIELIKQVDIYKYDPWDLPKLASSGEKEWYFYCPRDRKYRNSSRPNRVTRAGFWKATGTDRPIYSSDGKCIGLKKSLVFYKGRAAKGTKTDWMMHEFRLPSISDSSTSPPKKFSDKSFSPNDSWAICRIFKKTNSLSMVQKALSHPWISQLPGSMVSELLTQTTNINQFYSQNIISSPTAEQGTSTTTNLQINEHELQQVTNTNNNFSDSDFPTYKPINNNNTIPKSSQISLPNGDIVDNLMFYNLEANGSTKCTIDNDCTILSNTNYIGFEDTNNQYNGFSISLPQDMQQPNIQDQMQLNDQWENLGRTIEFPFNLSPNFDASRTNVTWDIPPCTSEISTTYVTSKCYT >CAK8561514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:261748685:261757029:1 gene:gene-LATHSAT_LOCUS15098 transcript:rna-LATHSAT_LOCUS15098-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLDIFLFLLCLAIMTPTLNANILESDEYWKEQRPEFDSYWRERAKIAKQDNHAAYFSDPFAVSGNFTASISEIIAAKSTRRNLRGDKGGKCLATNPIDRCWRCDPNWANNRQKLADCVQGFGRNTRGGKGGSFYVITDPSDNELLDPKPGTLRHAVTRKGPLWITFARSMLIRLQQELIMESDKTIDGRGVDVYIANGAGITIQFIKNVIIHEIKIYNIQVREGGMIIDSESHFGLRTRSDGDGISIFGSSNIWIDHVSMRNCTDGLIDAIMGSTAITISNSHFTDHNEVMLFGASDSYTEDKIMQITLAFNHFGKRLVQRMPRARFGFVHCVNNDYTHWEMYAIGGSMNPTLISEGNRFIGPENKFIGNDQINAKEVTKREYTDEKIWTNWQWRSINDEFLNGAFFVNSGPDLKDRPFSRKDMITAKPGSYVGRLTRYSGILGCRVGQPC >CAK8561513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:261741609:261757029:1 gene:gene-LATHSAT_LOCUS15098 transcript:rna-LATHSAT_LOCUS15098 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLDIFLFLLCLAIMTPTLNANILESDEYWKEQRPEFDSYWRERAKIAKQDNHAAYFSDPFAVSGNFTASISEIIAAKSTRRNLRGDKRGECLATNPIDRCWRCDPNWANNRQKLADCVQGFGRNTRGGKGGSFYVITDPSDNELLDPKPGTLRHAVTRKGPLWITFARSMLIRLQQELIMESDKTIDGRGVDVYIANGAGITIQFIKNVIIHEIKIYNIQVREGGMIIDSESHFGLRTRSDGDGISIFGSSNIWIDHVSMRNCTDGLIDAIMGSTAITISNSHFTDHNEVMLFGASDSYTEDKIMQITLAFNHFGKRLVQRMPRARFGFVHCVNNDYTHWEMYAIGGSMNPTLISEGNRFIGPENKFIGNDQINAKEVTKREYTDEKIWTNWQWRSINDEFLNGAFFVNSGPDLKDRPFSRKDMITAKPGSYVGRLTRYSGILGCRVGQPC >CAK8539109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504017611:504019758:-1 gene:gene-LATHSAT_LOCUS8237 transcript:rna-LATHSAT_LOCUS8237 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNAAGNGNDDRWGTAGPAIRKKGTSVRPWLVVDVTGDAQVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITANEVLLLNSRDPSVTPFVQELQARILRHHEATSNPHEDSHGGGIKILPFEFVALEACLEAACSVLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKILQQQMEQTSSEEDIDAHDDDNHIDRAGGVEISLEGGIGGGAGDEDEDEDNQNQNAGELMFGAANQIGRDSRGGTKASTTYSVITNKLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGVFGMNIHIELFDSDKYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8543751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636139837:636142644:-1 gene:gene-LATHSAT_LOCUS12490 transcript:rna-LATHSAT_LOCUS12490 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPNNSSSSVNRLDNMFLHHLMRSLQVQNSDNQVTDEDFISDEEEELEYEPHPHQDNGLSATYQEESRIEAEVVYRILNGKSHTLKPNSGETVMIRESSIAVGFHVEEEGEYVVWEWHGHIPRYTEELEFSLEYTYGNYFQRIMPEECPPTPPRVDAAADKGLKDLFDGVVNPAPGRILHRNLNIGSAAPRL >CAK8532363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249773835:249775121:-1 gene:gene-LATHSAT_LOCUS2078 transcript:rna-LATHSAT_LOCUS2078 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSDLRSHNVSKNLSTAEIAGINYLGETSNAREDGSFEEKRNEIELEKPKSPPTPEEGRKLWADVLKDNRNPAKGRTMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKDFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVMLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYAHILVEMDITKELPQSITITDPAGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNKRPIEVGNVNMDIVQIRKGNASSTAAETIRNKDVETVMDKWTEVINSGRDRGKNKGNAGAAGVLSYDNGFEALEILKDLLEAQNTGQ >CAK8539793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525518620:525520554:1 gene:gene-LATHSAT_LOCUS8855 transcript:rna-LATHSAT_LOCUS8855 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRTLVSYNALLAAYSRVSEYSIYALNLYTQMENIAFRPSSMTFTSLLQACSLHGDLWVGLLLHAKSLKFGFLNDICVQTSLLNMYSSCMDLSSAESVFWDMNERDGVAWNSLIVGYLKNDKIKLGVYLFMEMVRVGFTPTVYTFCMILNACSRLKDCFCGRLIHARVIVENVSPDLHLQNALVDMYCNVGDTQMAYKIFSRMKNWDLVSWNSMIAGYSDNEDGEKAMSLFVQLKELCFPKPDDYTYAAIISATGAFLCFSFGKPLHAQIIKAGFARSLFVGSTLVSMYFKNQETEAAQRVFYSIPVKDPVLWTEMIAGYSKMTDGMSAIRCFSEMYHEAHEIDGYVLSGVLSACADLAILRQGEIIHCYAFKLGYDVEMSVSGSLIDMYAKNGSLKAAYYVFSQVSHPDLKCWNSMLGGYSHHGMVDEALKLFKEITKRGLVPDQVTFLSLLSACSHSRLAEQGKLLWNYMNNIGLVPGPKHYSCMVTLLSRAALLEEAEEIINESPYFEDNIDLWRTLLSACVINKNLKVGVHAAGEVMRLNAEDGPTLILLSNLYATAGRWDEVAEIRRKMRGLMMEKDPGLSWIEAKNDIHVFSSGDQSHPKVDQVQAELQRLKGNMTKTEKEDSEAQNVCSINRDIIL >CAK8568883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650879983:650883116:-1 gene:gene-LATHSAT_LOCUS21792 transcript:rna-LATHSAT_LOCUS21792 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETPTMFSKLLMFICLFMFMLNFHSSHGEQQEFELLLSFKSSIHYDPHNFLSSWVNTSSYTICKWHGITCDNWSHVNTVSLSGKNISGEVSSSMFQLAHVTNLDLSNNQLVGEVIFNAPFLSSLLYLNLSNNNFTGPLPQSLFSSPFINLETLDLSNNMFSGIIPDQIGLLSSLTYLDLGGNVLVGKIPNSITNMTSLEYLTLASNQLVGEIPTEISLMKRLKLIYLGYNNLSGEIPKNIGNLLSLNQLDLVYNNLSGPIPESLGNLTNLRYLFLYLNKLTGPIPESIFKLKNLISLDLSDNYLSGEISNLVVNLQKLEILHLFSNNFTGQIPNTITSLPHLQVLQLWSNTLTGEIPQTLGMHNNLTILDLSSNNLTGKIPNSLCASENLHKLILFSNSLNGEIPKGLTSCKTLQRVRLQNNNLSGKLPMEITQLPQIYLLDLSGNKLSGRINDRKWRMPSLQMLNLANNNFTGELPNSFGSIKLEGLDLSQNQLSGNIQIGFRKLPELVQLKLNNNNLYGKIPEEIFKCRNLVSLDLSHNQLSGEIPAKLAEMPVLGLLDLSENQFSGEIPKNLGSVESLVDVNISYNTFHGSLPSTEAFFAINASSVAGNKLCNRDGDGNSYNGLPPCKSDNHMNSTTFFVLVCFVLTGLVALSGAGCLVIFVRKRAKNFEVRRVVENEDGSWEVIFFDHKASKSINVEDVLSSVKEGKVISKARNWVSYEGKCISSDMQFVVKEISDTSSVSVSFWDETVTFGRKVKHSNIVKLIGMFRCGKKVYLVYEFEDGKSLSEIIHNLSWQKRIKIALGIAKAIKFLHCECLWIGLVNEALTQIVFINGKDVPRLKLNPPGIGASFFGVKGFVSSSFITPEERLRKEVNEKSEIYDFGVMLIELLTGRTSVNDIEAVDQKNNIVEWAHYCYTDCRLDTWIDPVMMKGGDASTFQNDIVETMSLALHCTAGDPTTRPCARDVVEVLETVHRNRIAFC >CAK8543715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633442080:633444795:1 gene:gene-LATHSAT_LOCUS12456 transcript:rna-LATHSAT_LOCUS12456 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFLPENSTVVGCGAVGLDFLATVAAYPKPDQKIRSTSFKIEGGGNAGNALTCAARLGLKPRIISKVADDTQGKRILDELQADGVDTSFMVVSKEGTSPFTYIIVDNQTKSRTCIHTPGFPPMKPNDLPESSLLSALNGARLAYFDGRLHETAQVVANEAVKMNIPILTDAERLREGLDDLLKVVDYVVCAAQFPQAWTEASTIPKALVSMLIKLPKVKFVIVTLGKDGCVMLERSVNEVPSTEEEMDVDKLLESLETRKNRSICIPTCISSSATKLRAEGIGTICGRLYIGTAESIPPSELIDTTGAGDAFTGAVLYAICANFSTEKMICFAANVAAAKCRALGARSGLPRHDDRRLATFMQ >CAK8574057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656021616:656022395:-1 gene:gene-LATHSAT_LOCUS26437 transcript:rna-LATHSAT_LOCUS26437 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELHTEISISPGISPRISFSHDLKSSTGSIPVEPPHIHSDLRLLDSTASDFVFTVTNSFSHKFSSADELFSDGKIIPLEIKNVISNEKTLPLKKNPSPDKFFESLPKTKRLKEFLSDETDNEEEKPTSTSASSKHFWQFKRSSSLNIDTSRRSSLIRSLQFLSRSNSTGSAPNPKQTQKQNLQKQSSVSSSRRSSSSSSTSSTYYFYNSSSLKKCSSNGVRISPVLNLPQSYIPKATASFFGFGSLFCKGKSKRKKK >CAK8576534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:488977501:488979015:-1 gene:gene-LATHSAT_LOCUS28714 transcript:rna-LATHSAT_LOCUS28714 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQREHLQIHQTRRLPDFLQTVNLKYVKLGYHYLITHLLTLCLIPLMSIIIVQVSQMNPQDIHHLWLHLKYNLVCVITCSAILVFGSTVYIMTRPRSIYLVDYSCYKPPSNLTVKFTKFIQHSKLKGDFDESSLEFQRKILERSGLGEETYLPEAMHKIPPTPNMVSAREEAEQVMYGALDNLFANTKIKPKEIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKGCDKRRAKYKLVHVVRTHKGADDKAFKCVYQEQDDVGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFTTLVVKKLFNAKTKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLLPNHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKGNRIWQIAFGSGFKCNSAVWQAMKHVKASPMNPWEDCIDRYPVEIVT >CAK8530575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31917137:31922958:1 gene:gene-LATHSAT_LOCUS430 transcript:rna-LATHSAT_LOCUS430 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLLLRMFLLCFASSVASSAQRGPFAMRISCGARQNVQTKPTTTLWYKDFGYTGGIPANASKTSYITPPLKTLRYFPLSEGPSNCYKISKVPKGHYSVRIFFGLVAQARATNEPLFDISIQGTQIYSLKPGWTTQDDQAFTEARVFLMDHTVSICFHSTGHGDPAILSIEILQIDNKAYYFEPNWSQEIILRTVKRLSCGFGQSKFGVDYGANPLGGDRFWQHTKTFGLDSDRPRSVETRIKKASHPPNFYPETLYRSALVSTSSQPHLSYILDVDPNKNYSVWLHFAEIDNSVSSIGQRVFDIMINGDVHFKNVDIVKLSGDRYTALVLNKTVTVNGRSLTITLSPKEGSLALITAIEILEVILPEAKTLSDEVMALQTLKKALGLPPRFGWNGDPCVPQQHPWTGVDCQLNKSRGNWVIDGLGLDNQGLKGFLPKDISRLHNLQILNLSGNDIGGAIPSSLGTVTTLQVLDLSYNIFNGSIPESMGQLTSLKTLNLNGNFLSGMVPTTLGARLLHRASFNFTDNSGLCGIPGLPTCGPHLSTGAKVGIGIGAFFTFLLLITCSVCWWKRRQNINRAQQIAVRAAPYAKKRTHFSRDIQMTRHNNYGNAHTAVENGPILLGG >CAK8574370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678269280:678274424:-1 gene:gene-LATHSAT_LOCUS26724 transcript:rna-LATHSAT_LOCUS26724 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSTPNGNNTAVETQIAATTAAVNPTPAPSSNLPRLTESLKLEHQFLRVPFEHFKKTLRANNRVVEKEMSAVISGVNDAASSDLSPSDAVNQLSSLVSRLQGLKRKLEEGNRAEHLQAQKCRARLEHLESADAEKMSEWNNTRLKRILIDYMLRMSYYDTAQRLAECSNLQELVDIDVFQEAKKVIDSLQSKDAAPALAWCAENKSRLKKSKSKLEFQLRLQEFIEMVRAENNLRAITYAKKYLAPWGATHMKELQRVLATLAFKRDTGCATYKVLFEDKQWDYLVDQFKQEFCKLYGMTLEPLLNIYLQAGLSALKTPYCFEDDCTKEDPLSQQAFRKLASPLPFSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSSKALEEMAKKNNGTIICPRSGFICSYTELVKAFIS >CAK8532971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:558147438:558148293:-1 gene:gene-LATHSAT_LOCUS2625 transcript:rna-LATHSAT_LOCUS2625 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKDINDSKEIRRLVVRIIDVWNVVNNKGIEHLEMIVMDSLGDRIQVLIRHDHLLKWKEVIKENMTCIINNGSIYNNDFQWKVCDHPKKIVFFGGTTMKAIELQNIPPKGYFFKDFGKILQGKCKTDRLEDIIGAVSEINHIQSNTPGKKVVVSVVLKDLKGNCINCNLWEIYGSKFLAYCNDPKNN >CAK8565516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:253776150:253777529:1 gene:gene-LATHSAT_LOCUS18725 transcript:rna-LATHSAT_LOCUS18725 gene_biotype:protein_coding transcript_biotype:protein_coding MERIWSVLTIHVRGRDLVSNSVLKGCLHLVDLARSERVEKSEAVGERLKEDQHINKYLSALGDVISALAQKSQHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPEVNAFEETISTLKFAERVASIELGAAKSNKETGEIRELKEEISNIKLALERKETEMEQLRSGNARTATESQKSRAVSPFRMPKYNTSGGMKPETSQRSFDDRSSEAKNYSSGKQRRSRFPSTYMEKDSIPRMSLLFKEKSVSSGKHNRSPSPPIRRSISTDRGSVTKSKIKIDNIENQPILKHLIPGRVPVNKSLVAMTPSTEHNSNVYLHSQEAVKHDNISEPAYCKTK >CAK8531569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125808606:125809036:-1 gene:gene-LATHSAT_LOCUS1352 transcript:rna-LATHSAT_LOCUS1352 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDPSSLQKVMSLLILFFSIFYSLNHGFNFVSTADIISAIEFDKSGDRLATDDRGGRVVLFERTDSKDQHASRKDLKSTAYSNSRHPEFRYKRVNDAHPRSSWFAA >CAK8538588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487854256:487855409:-1 gene:gene-LATHSAT_LOCUS7769 transcript:rna-LATHSAT_LOCUS7769 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVPSPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDENLVTTGRGIYTFRAQGIIYHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPILNQAIVYKLQKILHQCNLFVIMFRQLALEPNVEECRLLIKERPSNQPQYSLSSASQVAAIVIGGGDEDTIERGRDINVISCDGNLTKVQETIGYYDPLQYPILFSFGTYGWDIETKNNVGKNVICREYYSYVLQIRHNDQFVLLKSGRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYRGYKMHCTMGRITHIMLDKGQYYHHHLLEVSET >CAK8542040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458438545:458440603:1 gene:gene-LATHSAT_LOCUS10914 transcript:rna-LATHSAT_LOCUS10914 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDQHHHHNWEVSELNDVPKFKSVQPPSLPLSQSPVSSSFNLPFSSTFSPTDFLISPFFLSSPNVFASPTTEAFANQSFNWNKNSLEEEHQQGGDKKDEKNYSDFSFPTQTKHEPVFQSSSNVFQPEPVKKQDIWKFNQPAKIADFSSERTAAKSEFASTQSKPETHGNSVNGSGYVNYNVSQSVREQKRSEDGFNWRKYGQKQVKGSENPRSYYKCTHPSCSMKKKVERDLDGQITEIVYKGTHNHPKPQSNRRTNSQPSSSCTNSGISDQSVMDRVSIQEDSSASVGEEEFEQTSQTSYSGGNDDALGPDAKRWKGDVENDGYSAAGSRTVKEPRVVVQTTSEIDILDDGFRWRKYGQKVVKGNPNARSYYKCTAPGCSVRKHVERAAHDIKAVITTYEGKHNHDVPAARGSAGYNMNRNSMNNSIIPAPIRPSAVNCYSNSSNFTNSLYNKTSLPTNGNHESFQPDMLQGQGSLGYSSLGRSMDSSANHLQYSDAAYLKAKDERKDDSFIRSFLSKDY >CAK8560666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35003171:35003616:1 gene:gene-LATHSAT_LOCUS14324 transcript:rna-LATHSAT_LOCUS14324 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNPRIIRNEVHFCGRSQFTLSIFGHLDRPSGKMSVHWLSEKEMQSAHVHVLINCIEVKPYLKAFNTYYFQSTGEQSSSDYTHAYFPALFRQQLSCVVAPSPEIIHLRSLSEGPYQRAN >CAK8577926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597600873:597605582:1 gene:gene-LATHSAT_LOCUS29989 transcript:rna-LATHSAT_LOCUS29989 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSIRRAKLKFLMPQISALGNSHFSTAAQSSSSNRNAPRVPNLIGGRLLDSKSSNFIDVINPATQEVVSQVPLTTDEEFKAAVSAAKKAFPAWRNTPVTTRQRVMLKLQELIRRDMDKLALNVTTEQGKTLKDAQGDVFRGLEVVEHACGMGTLQMGEYVSNVSHGVDTYSVREPIGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASMMLAELALEAGLPEGVLNVVHGTHDVVNAICDDEDIKAISFVGSNVAGMHIYSRAAAKGKRVQSNMGAKNHAIVMPDANVDATVNALVAAGFGAAGQRCMALSTVVFVGGSQHWESKIIEHAKALKVNAGTEPDTDLGPVISKQAKERVHSLVQSGVESGAKLLLDGRNIVVPGYESGNFVGPTILSNITTNMECYKEEIFGPVLLFMEADSLEEAINIINKNKYGNGASIFTTSGVAARKFQTEIEAGQVGVNVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFFTQIKTITQQWKDSAGSSKISLAMPTSQKS >CAK8531883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171109850:171110188:1 gene:gene-LATHSAT_LOCUS1644 transcript:rna-LATHSAT_LOCUS1644 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAPKLMNGVVEVEIYQEDTKTEPQIWDNALILYVVGEELCMNIIKNFILKIWNFVKLLGLYYHDEGYFLERFNNHEDRDAVMMKGPYTIRNIPMILKEWRPKFNLKNTY >CAK8532327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247715797:247716438:-1 gene:gene-LATHSAT_LOCUS2047 transcript:rna-LATHSAT_LOCUS2047 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFLTFVILFGLSTQPLFGKADASPEQVVDIEGNKVRVGVDYYIRPVPTTPCNGRGPCVVGSGFVLVARSPNETCPLNVVVVEGFRGQGVTFTPVNPKKGVIRVSTDLNIKTSIETVCEESTVWTLDNFDSSSGQWFVTTGGVVGNPGKDTISNWFKIERYEDDYKLVFCPTVCNFCKPLCRNVGVFRDSNGNQRVALTDVPYKVRFQPSA >CAK8576580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494754565:494756320:-1 gene:gene-LATHSAT_LOCUS28756 transcript:rna-LATHSAT_LOCUS28756 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSILSDRLRINGSLARRAIRDLMAKGLIRLVSAHASQQIYTRATNT >CAK8571201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:336224747:336226176:1 gene:gene-LATHSAT_LOCUS23886 transcript:rna-LATHSAT_LOCUS23886 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERMMGDFKRLVKNKARVEGSICMSYLHRETTYFCSHYFKTTTLSATSKRNEALGLNDDVVPTLSISNPLGRPSGKSQIHWLTDAEWRSSHVHILINCNEVKPYINIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGMTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGAIKHIFELEYHELSHKVALFYCQWFDPKRGRGTKVHPHYDIVDIKMNKKYDRYDPFIISQKAKQVYYVPYPEMRVDKRGWCAVIKTKPRGRIEVVDIDDDTPYQDEEMAHVEQITEIEDITGLHDETHSDEEVDVTLISSMQINASADNDYREDNNIDDEVTNTILSISSTPSKSELKKIARATCFAIQD >CAK8533296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601074291:601074986:-1 gene:gene-LATHSAT_LOCUS2936 transcript:rna-LATHSAT_LOCUS2936 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGYINAAFRSSKNNEAYFFINEKYLLIDYAPGTTNDKVLYGPTPVRDGFKSLSQTIFGSYGIDCSFDTENNEAFIFYENFCALIDYAPHSNRDKIILGPKKIADVFPFFKGTAFETGIDAAFRSTLGKEVYLFKGDHYARIDYGSNSMVNKEIKSISNGFPCFSNTIFESGTDAAFASHLTDEVYFFKDDYYARVKVTPGRTDDKLLGGVRKIVDYWPSLRGIIPLEN >CAK8571888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490364677:490367301:1 gene:gene-LATHSAT_LOCUS24511 transcript:rna-LATHSAT_LOCUS24511 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNLKKSKLSWPKTLVKKWFNIKSKAEDFQADDVLYGGVDEEWRNNYCSKREECTIKKSKTERTKRRHSERSRRCKGDHDAGHVTDVNNYRIFVATWNVAGRSPPSNLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGECHTNSPLPDPVVELDSDFEGSMRQKETSFFHRRSFQSSSRSMRMENDMLVPQACLDRRFSVCDRMIFGHSTGDYEPNYRWGSSDDENGESPVVVQYSPMSYRGCASTEDRDRQTESSRYCLVASKQMVGIFLTVWVKSNIRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHKTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVNGIGDESSPQTILDHDRIIWLGDLNYRIALTYRAAKALVEMHNWKVLLENDQLHIEREQGRVFEGWSEGQIYFPPTYKYSNNSDRYAGDERQSKQKRRTPAWCDRILWHGRGLRQLSYVRGESRFSDHRPVCSVFLAEVESVSRNRIKKCSSCTSSRIEVEELLPHSHSYNYINLPFY >CAK8535552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863355357:863356523:-1 gene:gene-LATHSAT_LOCUS5001 transcript:rna-LATHSAT_LOCUS5001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLHPEYVSGMYPKVESRAHGLRKLIAGKIPVRLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLGIIKHFVVNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8535553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863355357:863356190:-1 gene:gene-LATHSAT_LOCUS5001 transcript:rna-LATHSAT_LOCUS5001-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLGIIKHFVVNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8562910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555374540:555375076:-1 gene:gene-LATHSAT_LOCUS16372 transcript:rna-LATHSAT_LOCUS16372 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWELVEEEFMDDGDEEQQLQNERRSGSSSRPKRRITVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHKHVFTRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSAIRMLAYGFPADLVNEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDVEHLL >CAK8565589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285417905:285418303:1 gene:gene-LATHSAT_LOCUS18786 transcript:rna-LATHSAT_LOCUS18786 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRMYPRRRGLKPHFKEGVVAFLTYAFAQECCRSEGGVRCPCLKCGCRNIISDQNEVKRHLEKDGFRPNYWVWYSNGKILPEMNREASSSQTHIGVEIGREISSSQSHLQDHEQFNLIDDIVGDALWVNVT >CAK8578046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603732126:603732650:1 gene:gene-LATHSAT_LOCUS30090 transcript:rna-LATHSAT_LOCUS30090 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLTSSSLSISNSKAFHCVPRQRPCHVHFAISCRVKKMEEPRNGSNFYKMLSVNPKSATMEEIKRAYRSMALQYHPDVCHDPSMKEESTRMFVRLNAAYETLSNPMLREQYDSELGLRSSRMNNDVVGEEIWRSRWQEQVVELKKRSCRRMAQKGRSWGSRMRTQNMKDTN >CAK8539587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517245834:517249218:1 gene:gene-LATHSAT_LOCUS8669 transcript:rna-LATHSAT_LOCUS8669 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLLFFFLFHFRFQNVLPSPISEYRALLSFRETITDSTPPVLSSWNISTTHCIWLGVTCDARRHVTALNLTGLNLSGTLSDNLAHLPFLSNLSLADNKFSGPIPPSLSAVSGLRLLNLSNNVFNGTFPAELSQLKSLEILDLYNNNMTGTLPLAVTELPNLRHLHLGGNYFTGQIPPEYGLWQQLEYLAVSGNELAGTIPPEIGNLTSLRELYIGYFNTYTGGIPPEIGNLTELIRLDAAYCGLSGEIPPEMGKLQNLDTLFLQVNALSGSLTWELGNLKSLKSMDLSNNMLTGEIPTSFSELKNLTLLNLFRNKLHGAIPEFVGDMPALEVVQLWENNFTGNIPMSLGRNGRLTLLDLSSNKLTGTLPPYLCSGNHLQTLITLGNFLFGPIPESLGSCESLNRIRMGDNFLNGSIPKGLFGLPKLTQVELQDNYLSGNFPETHSVSVNLGQITLSNNQLSGSLPPSIGDFSSMQKLLLDGNMFSGKIPSQIGRLQQLSKIDFSHNKFSGPIAPEISQCKLLTFVDLSRNELSGVIPNEITGMRILNYLNISRNHLVGSIPGSIASMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGACKDGVVNDANQPHHDKGHISSTVKLLLVIGLLACSIVFAIAAIFKARSLKKASEARAWKLTSFQRLEFTADDVLVSLKEDNIIGKGGAGIVYKGTMPNGELVAVKRLPVMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSSHETNLLVYEYMPNGSLGEVLHGKKGGHLYWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNYEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLSSVPLHEVMHVFYVAILCVEEQAVERPTMREVVQILTELPKSPESKLGDSTFTESSLSPSNALESPTSASKDHQHPPQSPPPDLLSI >CAK8564675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6950040:6951173:-1 gene:gene-LATHSAT_LOCUS17955 transcript:rna-LATHSAT_LOCUS17955 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTVRAFSVCAAAASTIAKPPTHCHFLPTFSFQKNFKFNLHKSIQHAANSKLSCSLLNVETDINDEACELVSGEELSLEDDDDNNIHAYLFKAVKNNNGIGLLLLSDIYGFEDSFTRDFAYRVACNGFNILVPDLFRGNPWTKDRPNTLFEQWIAGHSSERIAKDITAWTEWMADEFMTSGDSRKLSIIGFCFGGGRLLEVLARDQGACFGTGISFYGARMDPLVASDVKVPVLFILGDNDPFCAVSEIENIQTKIDNGSKVVIFPGRGHGFAHRPGSPEEDKDAEKAYVIMRDWIYEHLVV >CAK8564875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14861044:14861994:-1 gene:gene-LATHSAT_LOCUS18131 transcript:rna-LATHSAT_LOCUS18131 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFALIQDNPDSYCSTKNQIDNKTILDFEVFAPVKEKSESRRSTNQQIHKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVLKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8564564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681849165:681850385:-1 gene:gene-LATHSAT_LOCUS17860 transcript:rna-LATHSAT_LOCUS17860 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKLGNESEECLNSDIIFDILSRIPASPLLGMKCVSKRWQTIISNRSFIKAQLQKAELVLDGFIVQDGNMLSKQDVKTVSYIPVESKNEEAPVVHQKVFSFLPEEVLVLGSCKGIVCCRSRFPSPNPMLYLCNPLIRNWIQLKCPIRCDVTDSIALVFDFEPSDFKLVRLKRFEKINYEEVWEEGEGSESEEGSFFFTFELYSSETKTWRKSAETCDCNHDLIKNKGLYIGGVLHWLTEGDKIITFNVEKELCLLISVPVPASEFRSVPEACIGEHEGKLHYVQISEKGLHVWYLDTLEDYFEFNWILKHFKSLEDFEQEHPRRFVNLKKRVLARVIEDTNPWMSPLGFQDGKSLIKVSSELYIYDMKSGKTTHACSYLQLNPQSMSPSTVFPHSLTLVSLNDA >CAK8538928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499684942:499686414:-1 gene:gene-LATHSAT_LOCUS8074 transcript:rna-LATHSAT_LOCUS8074 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLHSNSPIQQEEDEWDTEGFVIPSLGIEESDQSKENVASIEPSNSAAKVKKEENIYLGPHGAPPSQSKQKEEVNLSNRKQRFKQKLKEADKKKSGTGRENKLDNLRELVGAGKANPSMVKRSSPKDWLDPHCHEAEFERR >CAK8540632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13747389:13748356:1 gene:gene-LATHSAT_LOCUS9618 transcript:rna-LATHSAT_LOCUS9618 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKFFASLILALIAISMLQTLVMAGNDQGGHLYDNKSKYGPGSLKSYQCPSQCSRRCGQTQYHKPCMFFCQKCCKKCLCVPPGYYGNKAVCPCYNNWKTQQGGPKCP >CAK8530846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55350939:55353292:1 gene:gene-LATHSAT_LOCUS682 transcript:rna-LATHSAT_LOCUS682 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDTPKSIITDDQITTNPNRVIEDDNNLEEGEILDEDDSSATSKPVVHQPHLLENSWTFWFDTPAAKSKQASWGSSMRPIYTFSTVEEFWSIYNNIHHPGKLAVGADFYCFKHKIEPKWEDPICANGGKWTANYQKGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRGRAEKISIWTKNASNEAAQVSIGKQWKEFLDYNETMGFIFHDDARKLDRNAKNKYVV >CAK8569934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16588154:16589896:-1 gene:gene-LATHSAT_LOCUS22732 transcript:rna-LATHSAT_LOCUS22732 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYINLKGRKQKKKPTRQTVIETVTDRISNLPGHVIDQILSYLPIREAVRTSVLSKIWKNKWYTLPNLVFNKQGVASEGALVNQSKFVKIVDHVLLLHSGPTNMFKFSEYDLICDVLVTDIDRWVLHLTGRSIKKLVLEFLPHEEKEYYKIPWCLFSCRSLHHLKLKCCWLKPPKEFRGFRNLRSLDLNLVTMAQDAFEKMIYGCPLLEKLKLKEVDGLTQINIHSPNLKIFEVFGEFEGISFEKTFQLVTVIVDSRLNLIPENNQSTLHRRSSNLLDFLDRRPHLQSLVIGSCFLKYLAAGLLPIKLPTPCIGLSYLSLSINFDDLKEISAALCLLRSSPNLQKLDISARIEHHTVPLTPVTVYHWNNTFSRPATPIRVRHVAIDSISGFQPELDFIRFLLLYSPVLEKMTVKPIVNVRPELVPELIRFKRASGEAEVIYEVEDSS >CAK8567838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549855115:549857968:1 gene:gene-LATHSAT_LOCUS20858 transcript:rna-LATHSAT_LOCUS20858-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKADTPINENEIRITTQGRMRNYITYATTLFQEKGADEIVLKAMGRAINKTVTITELIKRRIVGLHQNTVIGSTDITDTWEPIEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPIPADQVKPLNEYEEEGEGSPRMRGRGRGRGRGRGRGMYNNGGMEYGDGWDGGRGYGGRGRGRAWGRAFRGRGRGYGSQPVGYYDNGEYDAPPASRGRGRGRGRGRGRDAGRGAAA >CAK8567839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549855115:549857968:1 gene:gene-LATHSAT_LOCUS20858 transcript:rna-LATHSAT_LOCUS20858 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKADTPINENEIRITTQGRMRNYITYATTLFQEKGADEIVLKAMGRAINKTVTITELIKRRIVGLHQNTVIGSTDITDTWEPIEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPIPADQVKPLNEYEEEGEGSPRMRGRGRGRGRGRGRGRGMYNNGGMEYGDGWDGGRGYGGRGRGRAWGRAFRGRGRGYGSQPVGYYDNGEYDAPPASRGRGRGRGRGRGRDAGRGAAA >CAK8564659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5574596:5577790:1 gene:gene-LATHSAT_LOCUS17941 transcript:rna-LATHSAT_LOCUS17941-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLSLLFLSLSLVQGLNPKCDIEDHSSTLQVIHVFSPCSPFKPSKPLSFEETILQMQSKDQTRLQFLDSLVAKRSVVPIASGRQIIQSPTYIVRAKIGSPPQTLLLAMDTSNDAAWIPCTACDGCSSTLFAPEKSTTFNNVTCDAPQCKQVPNPSCGVSSCNFNLTYGSSTISSNLVQDTVTLATDPIPSYTFGCVSKTTGTSAPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFKSLNYSGSLRLGLSAQPKRIKFTPLLKNPRRSSLYYVNLEAIRVGRKVVDIPPTALAFNPSTGAGTIFDSGTVFTRLVAPAYVAVRDEFRRRVGPNLTVTTLGGFDTCYNVPIVVPTITFMFTGMNVTLPEDNILIHSTAGSTTCLAMASAPDNVNSVLNVIANMQQQNHRLLFDVPNSRVGVARELCT >CAK8564658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5574593:5577790:1 gene:gene-LATHSAT_LOCUS17941 transcript:rna-LATHSAT_LOCUS17941 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLTLSLLFLSLSLVQGLNPKCDIEDHSSTLQVIHVFSPCSPFKPSKPLSFEETILQMQSKDQTRLQFLDSLVAKRSVVPIASGRQIIQSPTYIVRAKIGSPPQTLLLAMDTSNDAAWIPCTACDGCSSTLFAPEKSTTFNNVTCDAPQCKQVPNPSCGVSSCNFNLTYGSSTISSNLVQDTVTLATDPIPSYTFGCVSKTTGTSAPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFKSLNYSGSLRLGLSAQPKRIKFTPLLKNPRRSSLYYVNLEAIRVGRKVVDIPPTALAFNPSTGAGTIFDSGTVFTRLVAPAYVAVRDEFRRRVGPNLTVTTLGGFDTCYNVPIVVPTITFMFTGMNVTLPEDNILIHSTAGSTTCLAMASAPDNVNSVLNVIANMQQQNHRLLFDVPNSRVGVARELCT >CAK8533052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:572409823:572410437:1 gene:gene-LATHSAT_LOCUS2704 transcript:rna-LATHSAT_LOCUS2704 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASKLAVANNFSAGDQAQVQSVIFVHNFFVCEECRQHFYKMCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEVYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8565797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336130527:336132880:1 gene:gene-LATHSAT_LOCUS18979 transcript:rna-LATHSAT_LOCUS18979 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRKSKKMVCKEQWITAEYYQQEKEEDERKWITHYCSDHQILLVGDGDFSFSLSLAKVFASASNIVASSLDTYAEVTKKYKNAKSNLEELQKLGAYLLHGVDATQMKFHPDLKMRRFDRIIFNFPHAGFHGKEDNLMMIKMHMDLVFGFFKNASHMLRVNGEVHVNHKTTPPFDTWNIEKLAEQSFLMMVECADFKQEAYPGYNNKRGHRSRCDDPFPLGKCSTFKFIYNPRSMKDHLRRTHVEVSRQQEIHNMEQIPAPVVCNYHPQTVLVQQPNQLGYYPQTSIFPKTDQFYHYSQTNLFPKTNEPMRSEFDLRNGYHTITNNVTEMHGRVASSADYYHYAQRLLQPPMESSYNQFSQQWPIPTNCRLCFMEHHRRTMDVAPSMPLGARNDGYYQYQQVYERSSTYLQENKLLLWYSKA >CAK8572498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541724975:541727331:-1 gene:gene-LATHSAT_LOCUS25059 transcript:rna-LATHSAT_LOCUS25059 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCSNIDTLPEDCVSKILSYTSPPDACNFSMVSSALQSPANSDTLWRTFLPSDYDHILSRILNPLTLESSSYKHLFYSLSQQPLLLDKGNISLKLDKSSGKKSYILSARELSITWSNDPMYWSWRPTTESRFAEVAELRTISWLEIKGKIRTKVLTPNTLYAVYLITKVSHRVYGLDYAPAEVSVSVANRVQNSKAYLYNKYEDTLFCEKYEGIQVPSKRDDGWMEIELGEFLCGDEIEDDEEVKMSVMEVGYRLKGGLIVEGIEVRPKHV >CAK8559954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2349136:2351108:1 gene:gene-LATHSAT_LOCUS13683 transcript:rna-LATHSAT_LOCUS13683 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHPTPTAICFFYPLKPTIPPHSFSLLTNTNTNRFNTKCNAFFDNVANVVDVDHFPSIIQSGILQFQELPDMQRWEFLVFGGLIWIYLTSRPGVLIGAIDAYLLAPLQLAFDNLSGKRNLKTRDFLVGDKIGEGSFGVVYSGVLVPKNVVDGEVNNKGRSKAALYDPKAKDKVILKKVKVEIRGAEEFGEFEEWFNYRLSRAAPETCAEFLGSFVADKTNSKFTKGGKWLVWKFEGDLTLADYMKGRSFPSNLESVMFGRVLQGVDSFRRNALIIKQIMRQIITSLKKIHDTGIVHRDIKPANLVVTKRGQIKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPSPPPAPIAAFFSPILWQLNSPDLFDMYSAGIVLLQMAIPTLRSPAALKNFNLEIKNCGYDLKKWRDYTRLRPDFQILDSESGRGWDLATKLISERGPLRRGRLSAASALRHPYFLLGGDQAAAVLSKLSLSRK >CAK8571338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:384386620:384389268:-1 gene:gene-LATHSAT_LOCUS24007 transcript:rna-LATHSAT_LOCUS24007-2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSTTSASSLLSLNPNSSLISPKKPISTLSIPNFLPKPFSLPSLSFSRPSLLQHSSPRNSFLVNASSGLPLVGNVAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFDAINTEILGVSVDSVFSHLAWVQSDRKSGGLGDLKYPLVSDVTKSISESYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAV >CAK8571337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:384386620:384389268:-1 gene:gene-LATHSAT_LOCUS24007 transcript:rna-LATHSAT_LOCUS24007 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSTTSASSLLSLNPNSSLISPKKPISTLSIPNFLPKPFSLPSLSFSRPSLLQHSSPRNSFLSGLPLVGNVAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFDAINTEILGVSVDSVFSHLAWVQSDRKSGGLGDLKYPLVSDVTKSISESYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAV >CAK8560320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14250618:14251653:1 gene:gene-LATHSAT_LOCUS14004 transcript:rna-LATHSAT_LOCUS14004 gene_biotype:protein_coding transcript_biotype:protein_coding MITNNIVQLENDKGTDRMRTIAVLTGECHLYVTHPVSEPDVIEDAILSLPDMSILDGDMCGEGPNMGSNEGGATLGEDVVEERTRVDEVEENVGIEESVGIEENVGHVGTNCETEENVGQEETNYNNMDDVRTAYEMEENVDVGMNVGGDEINVGLNCNQEQDEVVNCSQEDGSDDSALNVNFEELDNDIGIDGEISIDEDEVNATSKEKGKGNGKCKGKREGKGKGKGKGKEKGKGKENAIVKDVGDDNDGEINLDNLRGLGGIKEYDSDKMPIEYDSENDEVVKDDFVTFKLPKRMEDYKWELGTYFSTNGVFQEAIRTYAI >CAK8566603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441337962:441340396:1 gene:gene-LATHSAT_LOCUS19723 transcript:rna-LATHSAT_LOCUS19723 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQTRKSGLNLPAGMSSITSLRLETLSTPPSSASPRAISNLSSSPSKSSSCSDRFIPCRSSSRLHTFGLIDKPSPVKEGSNEAYSRLLKSELFGADFASPSSPAGCGAAGGGGPASPMISPSKNMLRFKTDHSGPSSPFSSSSPSIFGHHSGFSNESATPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGTCVYLWSASNSKVTKLCDLGPYDGVCSVQWTKEGSFISIGTNLGQVQIWDGTKCKKVRTMAGHQTRTGVLAWNSRILASGSRDRNILQHDMRVSSDFIGKLVGHKSEVCGLKWSCDDRELASGGNDNQLLVWNQHSQTPTLRLTEHTAAVKAIAWSPHQSNLLVSGGGTADRCIRFWNTTNGHQLNSVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSLAKVATLTGHSMRVLYLATSPDGQTIVTGAGDETLRFWNIFPSMKTPATVKDTGLWSLGRTQIR >CAK8538313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476657232:476660917:-1 gene:gene-LATHSAT_LOCUS7526 transcript:rna-LATHSAT_LOCUS7526 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETVLEFLRKNGLSEAESALRQDIIEKTNLASFDFEKFFFPMVPPPPPVKLRSVSRSSEFPADEGKFSESNSVSSDEQFVSIGSPSSRVSSAEFINPYGINSSSQTQNDSESSSDRLSQFGTARDYHEFDMQHEPYWYNENDDDDFMTPSFDGPDFFGCETEDKFVTENQRENSPDLRHSYKEIQLEGNEGYVDEPMKQCICNHSSVVDENVSYSTNYCHVAENDSIVHTCEVPINFSYSDLKEIDRNDFHLKDIIDSFDSSPLLTVKQSIDSYTKNNNSERYKDSYDLTITVAETDLSNGIGPYQVRDDRELSEDFRDQDVAADGEDNNIDDELLKYIQDDEYEVFELRIVHRKNRTGFEENKEVPIVLNTVMAGRYYVTEYLGSAAFSRVVQAHDLQMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDKHHILRLYDYFYHQEHLFIVTELLRANLYEFQKFNQESGGEAYFTLHRLQLITRQCLEALQYLHNLGIVHCDLKPENVLVKSYKKCEIKIIDLGSSCFKTDNLCLYVQSRSYRAPEVMLGLQYDEKIDIWSLGCILAELCSGEVLFPNDAVVMILARMVGMFGPFDMEMLVKGQETHKYFTKEYDIYFVNEETDQLEYLIPEETSLEQHLRIADTMFIDFVGYLLNTNPKRRPTARQALKHPWLSYVYKSM >CAK8531541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122752075:122753912:1 gene:gene-LATHSAT_LOCUS1325 transcript:rna-LATHSAT_LOCUS1325 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILKPKPISYSFPETCSSPSQTEPPNIPNNPSNSDDACSSFDILNYESWVCPQVLKRHSIFISNESILPLLQKIQLTHHSSHANELACLPCSEEERVCWQHTDENEPHFIYMYVFLFEKLLISLPFSKFQCDVLAALNVAPSQLHPNTWGFIRAFEILCSCVGVEPSADKFLYFFQVKVSGKVGWISASGRSGRRLLNAFCSSYKNWKNMFFKVRSGLLLDEGGNSQFPLRWTTDPVPFNTFEIDRLDEVEREEIQLLIGLPVFHCAKLIALNENRDALMAHFSEMTRFKFKKKDLEKFINVKPSTDPITRNSPPNRTMASPSGSQLKEKKRKRTSEVPAEPVPHKQTRVNKSPVLLASAGNVPSVVPAPTIPVQNLEAMWDDAHGSSSEIDRPCFSKLRKEDMVEAAKVYQLRALTLIKEMEQVMISEGLEKLTNENEEDKRIKEIGDLKRKLEVAHKAQDKLKKELVDLNTKQEKWQKEKIDLESALKEERDLLKSTQVNLRDEKNKVQQAMSQAAHQYLEGFNCAKSQAAFLCSVDEGKLDGMRLWGQVRDGEIVVDDEGDESEDSDGQGDGLA >CAK8537879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444502331:444502660:-1 gene:gene-LATHSAT_LOCUS7130 transcript:rna-LATHSAT_LOCUS7130 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQLTSTDIASASNNSSIGSPQLVITAHISSMMEQLQSFMTALQHENSNFIQMLLEHIKNYVEEYSELRVTDPNLLMDALKQKTIKGLEETSKIMINAGFEKDFSDV >CAK8579656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714978739:714980835:-1 gene:gene-LATHSAT_LOCUS31586 transcript:rna-LATHSAT_LOCUS31586 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKEEPNTAPKPDTWYNLTLGPSFKDDSANKYCTLRYEFKPASVDKTKPGLLRKSKENRVSVEFQNNQVGKPKVTFEGNIEEYKENDAVLFFDGRTLRLERLDRAVKQLRHLRMPGESSAAVSGTALDPWSPPIGKNTKSAPFGSARSSNQAVAVEVERIDIGEPVNTGIKSDSKRSYDQLNEPPTVSAASPAAIDEVEEHRDIDIDDLFGSGTPDDDNNVEEKDNVGFDMNVPITDDEIADVDDSGDEVNKGPNAAEALRAQVNAQGKGEETSSSSSSSDSGSSSDSGSGSSSSSDSGGSDDDSVTSI >CAK8537329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:267315369:267349348:-1 gene:gene-LATHSAT_LOCUS6626 transcript:rna-LATHSAT_LOCUS6626 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSTTSFPTFLCSFHSPIPLASKSSLYRPQWRILKPVHYSFCFTNLVSSAKPLVFNSRRTHLGSTGRREPTELATSSSNKVEDDNLRRVLQIGLWGAEVAYVLWLFLLPYAPGDPVWAISSDTVNSLVGLSLNFFFILPFTNVVGIRLIDAPILHPMSEGLFNFVIGWTFMFAPLLFTDQKRDRYKGSLDVLWGLQMFLTNTFLIPYMAIRLNNADDDNVPIKSSNLSSVMTNGAPFVGLIGGGVCLISIIWALFGRMDANFGGIVDRREYLVGYLGSERLAYAFIWDICLYTIFQPWLIGDNLQNVQQNKVAVVKYLRYVPVVGLMAYLFCLEQKEV >CAK8579704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717739591:717740385:-1 gene:gene-LATHSAT_LOCUS31630 transcript:rna-LATHSAT_LOCUS31630 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNFYSSNPSFPVRWGIVEPCNNMRDNCAHLHKWIYGHRWSSLGMNYDNCPHFSITQYRNLIDHLGQDDFIWRPYLGLEAIHEVNQHDSAVWSAKVPIINFTTMEMHNSDRVKLHFGMLQDIPCPPKCIPDKYHTSKVSDQWEYSPWTKYAKHECREWRHRSHFILSDTVFPYERKQTIQYMNWYKEVSIGFILHPRYLVDPRQQATSSRPQQPTQPHFQRPTQPHF >CAK8564859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13602144:13604331:-1 gene:gene-LATHSAT_LOCUS18116 transcript:rna-LATHSAT_LOCUS18116 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFASRCSRVGRSLFGGLSNSSPGLFTTSHEMTCNNYFSQQQRTFIQMRTVLKVVDNSGAKKVMCIHALKGKKGARLGDMIKASVKEAHPNGKVKKGSTVFAVVVRAAMPKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRRKKHVKILTLAGHIA >CAK8537437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:356352002:356360540:1 gene:gene-LATHSAT_LOCUS6729 transcript:rna-LATHSAT_LOCUS6729 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASTTTALTLCHRRTPLSYSKTRFPQQNSVISFSSFSNQIVSKLSIQNEELQVQTRMWNWKGYFIRYQYSGNNGPALVLVHGFGANSDHWRKNIPDLAKSHRVYSIDLIGYGYSDKPNPRQIGDDSFYTFDTWAAQLNEFCLDVVKDKAFFICNSIGGVVGLQAAITEPRICRGIVLLNISLRMLHIKKQPWYGRPFISSFQRLLRDTAVGKFFFKAIATKESVKNILCQCYHDTSKVTDELVQFILSPGLEPGAAEVFLEFICYSGGPLPEELLPQVKCPVLIAWGDKDPWEPIEMGRNYGNFDSVEDFIVLPNVGHCPQDEAPQLVNPLVESFVARHAKSSSPTPSVG >CAK8575339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:88596570:88600140:-1 gene:gene-LATHSAT_LOCUS27609 transcript:rna-LATHSAT_LOCUS27609 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSSSSLKLNHLRRTLCYHLKQNRCYSKLNHGSTSASSEFEKVADDVPTSGICRPLSEILKELNKKVPDSLVKTRIEKDGFPIRYIPWHVVNRVLNLHAPEWSGEVRSITYSADAKSVSVVYRVTLYGTDAEIFRESTGTASIVDTSYGDPVQKAEAMAFRRACARFGLGLHLYHEESS >CAK8579491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:703860438:703861445:-1 gene:gene-LATHSAT_LOCUS31435 transcript:rna-LATHSAT_LOCUS31435 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFLHFRALYHRISHSTPLIVRKLMAAAAAVPINPSITRLGWIGTGVMGQSMCAHLIRAGYTLTVFNRTPSKAQPLLDIGANLAASPHDVASQSDVVFTIVGYPSDVRSVLLDPNSGALAGLKSGGILVDMTTSDPSLAVEIAAAASSKNCHSIDAPVSGGDRGAKNGTLAIFAGGDESIVKKLEPLFTPLGKVNYMGSSGKGQYAKLANQITIASTMVGLIEGMVYAHKAGLDVGLYLDAISTGAAGTKSLDLYGKRILKRDFAAGFYVNHFVKDLGICLKECEKLGIALPGLALAQQLYVSLKAHGEGDLGTQALILVLERLNNVTLPSLV >CAK8572988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574855135:574855749:-1 gene:gene-LATHSAT_LOCUS25485 transcript:rna-LATHSAT_LOCUS25485 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVKNHLIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8536336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932043497:932045905:-1 gene:gene-LATHSAT_LOCUS5713 transcript:rna-LATHSAT_LOCUS5713 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQGLDSLRRDHTKHDSNAAPKLPHDGKTFSRSEEFATTANNSKPGHRRSASTGSPLIYSGGVNSFGSSPTLPPTGNICPSGKILRPGLPSPGPNRTDVLGLGTVNYGHGSIVRGASSVKSAMRCSDPEEVKRVGNEMYRNGKFAEALVLYDRAVALSPGNAVCRSNRAAALMELGRLNEAVMDCEEAVKLDPAYARAHKRLASLYLRFGQVENSRRHLHIVGVHDDRSEESNLLLLEQHLNQCAVERKNGEWKRVIRIVDDAVAVGADFCPQLVACKAEAYMKLRQFEDAKSCLSKIPQLEGCPPACSQAKFFGMVGETFVHFVTAQVEMALGRFENAVSAAEKANVTCNNNVEVTKIVNTVRMVARARSRGNDLFRSSKFSEACKAYGEGLLYDNSSYVLYCNRAICWYKLGKWENSVQDCNQALNICPNYTKALSRRAMSNAKLERWAEVVRDYQTLKQELPNDNEVTENLGQALLALEKSRKVVNGSTMLGLEVEVEEVTTLDKFKAAIAFAGVSVVHFKEESNELSEEISPFVNTLCVRYPFVKFIKVDVEECIAIAKAESIKIVPTFKIYKNGEKVKEMIRPNHKFLEDSIRKSNSL >CAK8538019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459012383:459013855:1 gene:gene-LATHSAT_LOCUS7260 transcript:rna-LATHSAT_LOCUS7260 gene_biotype:protein_coding transcript_biotype:protein_coding MLILRLVSVTCCIFLIGEIAFADKLVTYIFGDSLTDVGNNNYLQYSLAKSNYPWYGIDYSGGQATGRFTNGRTIGDIISSKLGIPSPPAYLSVAQNVDDLLKGVNYASGGAGILNDTGLYFIQKLSFDDQINSFKKTKDAIITKLGEPAANKHFNEAMYFVIGIGSNDYVNNFLQPFMADGQQYTHDEFIELLISTLEQQFKRLYQLGAQKIVFHGLGPLGCIPSQRVKSKRGECLKQVNKWIQQFNSKVQNLIRKLNHGLPHAKLVFADTYPLVLDLIDNPSTYGFKVSNTSCCNVDTSIGGLCLPNSKLCKNRNEYVFWDAFHPSDAANVILADKFFSSLFSSAPSAAPNPSP >CAK8564886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14924539:14925489:-1 gene:gene-LATHSAT_LOCUS18142 transcript:rna-LATHSAT_LOCUS18142 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQSLSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDNGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILKNITTKASSESYEKSNSSGSSNLWRFRQNLYLQSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKTGEQPQNTLTAYEKLYVKNKTRNESNKRRLFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8565225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:76352256:76355692:1 gene:gene-LATHSAT_LOCUS18457 transcript:rna-LATHSAT_LOCUS18457 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHFLCINHDISAFQNHFRTRPNASPNLHFQTPRFLKLRVMASDSSSTNSSRKKLEIVYDPDERINKLADKIDEDAPISRLNLFSPCKINVFLRMTNKREDGYHDLASLFHVISLGDTLKFSLSPSNTKDALSTNVSGVPLDDKNLIIKALNLYRKKTGTEKFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCPATEKELQEWSSEIGSDVPFFFSQGAAYCTGRGEIVQNIPPLVSSEIPMVLIKPQEACSTAEVYKRLRLDQTSNVDPSILLERILSSGISQDVCINDLELPAFEVLPSLKRLKQRITAAGRGDYDAVFMSGSGSTIVGIGSPDPPQFLYDEDEYRDVFLSDAYFLTREENEWYKEPASNPSASHSAVSESV >CAK8563189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581526327:581527618:1 gene:gene-LATHSAT_LOCUS16623 transcript:rna-LATHSAT_LOCUS16623 gene_biotype:protein_coding transcript_biotype:protein_coding MENISVLPSKEDEKMDLPPGFRFHPTDEELISHYLYKKVIDSSFSAKAIGDVDLNKSEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSVHHLPKAAKNEWVICRVFQKSSAGKKTHFPGIMRLDTLGNEFGCSVLPPLTDSIGKIKQLNDSAYVPCFSNSIDVQRNQQGIFDSFTNSIYGVSSNNNPQDLFQRGSLYSSNQSAANFQFPGSVCGIQDQSILRSLYENNSFKSEQQQQQQHQQIVSVSQETDLTTDLNAETCSVVSNFDIGRRAFENNNHQHRPPPVDFDGTLWNY >CAK8537881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444530865:444531200:1 gene:gene-LATHSAT_LOCUS7132 transcript:rna-LATHSAT_LOCUS7132 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDFPEGCWELIFRFLGQGNDLESVSVVCKQFLSITNRIRISLTIHNPTIIFLPRLLLRFLRLKVIDLSHFTGELKGLLHQISQSGLNLDFIPTRKCFMRMGCENLVQR >CAK8566069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376385074:376390309:-1 gene:gene-LATHSAT_LOCUS19236 transcript:rna-LATHSAT_LOCUS19236 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLIDYAKKNMEKLLDGALKELRYICCFTCIAKEFEEEKAWLKAERRTVRQRVQLAKGRGEDVQANALFWEEEVDKIIEEDSKTKQKCFFGLCPDCIWRYKSGKELENKKEKIKRFVDTGKELAIGLPALLPDVERYSSRHYISFKSRISKYNELLDALEDEQNYIIGLQGMGGTGKTTLAKEVGKKLKQSEQFKYVIDTTVSFSPNIKKIQDDIAGPLGLRFNECSESDRPKKLWKRLTNGENILLILDDVWGDIDFEEIGIPYSDSNKGCRILVTTRNMLVCKRLGCNKTIKLELLSQDDAWEMFKRHAGLTENSSQSLIDLCFKIANECQGLPIAIAIIASSLKGKQHREEWDLALKSLQKHAPIDKIDDDDDDDDDNLVKVYERLKFSYDNMKDGKSKRLFLLCSVFPEDEEIPTERLIRLGIGAGLFGEKYGNYKDARSQVVISKNKLLDSCLLLEVDDDRVKMHDLVRDAAQWIANKEIQTIRLYDKNQKAMVEREKNIKYLLCEGKLKDVLSCKIDSSKLQILIVNMHEDGDFYDQKIEVPNSFFENISGLRVFYLFTDLYQLPLSLPQSIQTLKNIRSLLFYAVDLGDITILGNLQSLETLDLEFCKINEFPKGMENLPNFRLLKLRFCDISWNNPFKVIEGCSSLEELYFIRSFNDCCREISFPLLQRFILTDDPFPSDNDSLSKCVSLIHQEHLLSKRTLKDCIQAAEALRLTGIWWEWVNIIPDIVPMDHGMNDLVELTLSCISQLKCLVHNKHGNSQVTTVFSKLVKLQLIEMECLEELCNGPLSFNSLKSLEYLSIVKCDQLQRIFTCNLNLCNLKSLILMHCPMLITVSELTTSRSLMSLEELKISKCEKIEYIIDERKEKESRGEIVDDECMRSHKPMFPKLRDLHIDQCHGLEFKFLFLSIQDLPALESLSISCRDQLQYIFSQYVQLGSLKNMDLQNLPNLINIFPKCHPTTSSGEASKPEKQLEPMKGSIFLWTNICCLGKKYRHKSMTNASTTLSLNYLSWIKIERCEKLEFVFSTSTLRCLPQLIFLKIEECKELKHLIEDDLENEKSITFRSANPYFPKLEILIVGNCNKLKCIFQTSVCKELPKLKFLMIREAYELQEIFKTEGDDQKLEIPNLKVVSFINLPNLCYAQEKHFQAVKYRLVQNCHKLSLTSALIPNNSHDMDDFEDIFPYMEGLDFQISGDIGFLMQPLINVNKSYDTCKEKPSLEIPQEFAAGVEAEAASESESILTSSSASESGSILTSSQPQVLVNEQSMDQQHSLQETDTTIKPQLDGSAAPDKTLAANSSTISETKKEPPIQLVFPKQKKDGDCQIATTSLSIATTETNDKVSPNADASMKVSSNVEEQFPKDDKIIVSNSKPSPKGDPSQKVEELSSCLPVTRDYEKLSLFSYELNL >CAK8563951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641771047:641771286:-1 gene:gene-LATHSAT_LOCUS17305 transcript:rna-LATHSAT_LOCUS17305 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYITLKIHHSGEFTDEGRSVYENGVVDDLKVDVDRWSYFELVGVLKDLGYREFETIFYKDPQFGMNQLVDDNGVLEG >CAK8532233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:227477488:227478314:-1 gene:gene-LATHSAT_LOCUS1963 transcript:rna-LATHSAT_LOCUS1963 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFAGIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEDTYMIEQTTSQIKTVYAFVGEGLAVKSFTENMDKQYVISKGEALVKGVGTGMLSLVALSITSLATTTPSNSCLPGTKVD >CAK8562707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530466917:530479588:1 gene:gene-LATHSAT_LOCUS16181 transcript:rna-LATHSAT_LOCUS16181 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDHLAFERNKAQFDVDAMKIVWAGSSHALEVSDRMSRLVASDPAFRKDNRAMLARKDLFKNTLRKAAYAMKRIMELRLSEEEASMLRSFVDEPAFTDLHWGMFVPAIKGQGTEEQQKKWLPLALKMQIIGCYAQTELGHGSNVQGLETTVTFDPKTDEFVVHSPTLTSSKWWPGGLGKVSTHAVVYGRLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSRVTREGKYVQSNVPRQLVYGTMVYVRQTIVSDASTALSRAVCIATRYSAVRRQFGATDGSQESQVIDYKTQQARLFPLLASAYAFRFVGEWLKWLYTDVMTRLQANDFSTLAEAHACTAGLKSLTTSATSDGIEECRKLCGGHGYLCSSGLPELFAVYVPTCTYEGDNIVLLLQVARHLMKTLSRLGSGKKPVGTVAYMGRVEQLMESRSDVQKAEDWLNPNAVMGAFEARAARKAVACAKNLSKISNPEEGFHEFSNDLVEAARAHCQLIVVSKFIEKLQQDIPGKGVKQQLEILCSIYALFHLHKHLGDFLSTGCITSKQGSLANDQLRSLYSQVRPNAIALVDAFNYSDHYLSSVLGRYDGNVYPKLYEEAWKDPLNDSVIPDGFQEYIRPILKQQLRNARL >CAK8561918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409763641:409766676:-1 gene:gene-LATHSAT_LOCUS15465 transcript:rna-LATHSAT_LOCUS15465 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSDENKSNAVVPRNCQGGGRIGGQNRRTLSVINQNLVQGRPYPCVVNKRALVSDKHEICEKKQADLGHRPITRRFAAKIAGSQQQSHAEKPKNSNPLNSKPNVFGKAIAVDDDHKTPRDQPVPMSLEQTEPMHGDPHEMEEVEMEDIDGEGVLDIDGCDANNALAVVEYIEDLHSYYRKIECIGCVSPNYMEDQGDLNERMRAILVDWLIEVHDKFDLMQETLFLTINLIDRFLAKQNIVRKKLQLVGLVAMLLACKYEEVSVPVVSDLIHISDKAYSRKELLQMEKLMLNTLQYNMSIPTAYVFMRRFLKAAQANKKLEMVAFFLVELSLVDYGMLKFPPSLVAAAAVYTAQCTVSGFKHWNKTCEWHTDYSEDQLSECAKMMVGLHQKAGTGKLTGVHRKYCAAKFSFTAKCEPACFLLENNQS >CAK8575410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:112823869:112825329:-1 gene:gene-LATHSAT_LOCUS27679 transcript:rna-LATHSAT_LOCUS27679 gene_biotype:protein_coding transcript_biotype:protein_coding MGYINLSCKAESSISTSNSVSSSKPNKEKTIKIQHFHYSDLEAATNGFSDNKLLGKGSHGYVYKAILRGRPVAVKRPSRPHSLLHPNRPISASSPEITNEVDNEIDILSKIQNPRLVNLVGFTNDSKDRLLVVEFMSNGTLFDVLHSSSRTLNWVKRIRLALQTAKAIDILHSSNPPVIHRDIKSANVLIDQNYNARLGDFGLALRGYDRIRSTPPAGTMGYLDPFYVTPDNLSTKIDVFSFGILLLEIISGRKAIDVAYSPPSVVDWAIPLIKKGKFFTVYDPRIAPPKDPVVRKQLVLIAAKCVRSCRERRPSMNEVVNWLCGLCKLVPNHSWNGFNNPCMMVETVAPPVEPRNGRVSSRFAEDGSFNGLDARLSKSALRYSRRVYSDLGISSNLMDLMATTEDAEFRRDDDDAVEHSSKSAEQVSSSRFGSGRYFTRGKTLYRPCGTDKDAFGLSKGHIVGENSSKQDEVSGSALNSLATETI >CAK8571091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:292062744:292064844:-1 gene:gene-LATHSAT_LOCUS23784 transcript:rna-LATHSAT_LOCUS23784 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVLFLQTKFNIPERGKKAVYARINDAWRRHKYSIKKDHFLKYSNMKDRLKHHPKSISEVHFNKLLVYWKDTHIQDISQKNAVNRRKQKFMHRVGPTNFARIRAKMRENKDGQEVTQAEMFIETRKSRKGKQVDEETQFVIDKLQESIETSTEAGTQTFQSLFGKEKPGRVRCYGRNVTPSLLKKNEEISLIKMQYDGKISDMTQKMGAMEELLKSMYMQQNPHLSEEEVNDNMREVLHNDNIPTPRSSTSTYAPADHQKIL >CAK8562211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456734237:456735689:-1 gene:gene-LATHSAT_LOCUS15728 transcript:rna-LATHSAT_LOCUS15728 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFSSITSSSLETNLSTARTIISTVAINAAVVVAAYAAGVVAFNATVDAASAMIARSRSFTDKLFRMGLRHYIYTRIKTTLYRLFPDLTMVIEEFEGLDNNQIYSAAEIYLGTIASPSKKKLCVSKSDHQETFTMERHQSVTDYFKGVKLNWTLFYRQVENLPNKHDITATLKSEIRSLELTFHEKHQDLVLSKYIPFILEEARSKKQELKALRIFTVDHQNLYGNLNDVWLGTTLDHPSTFDRLALDRDLREFIKGDLEKFLRRKEYYRKVGKVWKRGYLLYGPPGTGKSSLIAAMANYLHFDIYDLELTEVYSNYELRTLLIAMPNRSIVVVDDIDCTFEFQDRNSQSGGSCRNTGRSRDLQVRLYGLLNFIDGLWSTCGDERIIVFTTNHKEKLDPALLRPGRLDVHINMYSSYGVIATGL >CAK8578358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623648548:623651093:1 gene:gene-LATHSAT_LOCUS30375 transcript:rna-LATHSAT_LOCUS30375 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIEKVQAGIISPSKLRMKLLGARKKDGSNSNSSRTSPARLEDAEFVNSLLSSNGDNLDDEVTSPSLDVVAALKPSSDAVLERKQNGQFSNEPKETMPRENGDTGRVKMQHFHKVDTGSSSTIHPVRSIEDDNLDYDSQASSSSFEFDKGERPVSNHVTRSLLRPIPSKWNDAEKWIMNRQHMQASYSKKNNVHSQANRLPISMARVVPESGNFDHKLLTSKVSETKRVDYCQPTSHSHTGFEKFSFVPSDAHSVSGQAHGKTPVVESFPQSKDLKEVNGVDLSCSNIDDQTAIPGIRSVAMRDMGTEMTPVPSQEPSQTATPVGSATPIRSPTSSMPSTPRRGAPASTPLGNTTDEDSSKRQLSEEEMKIKTRREIAALGVKLGKTNIAAWASKDDHENQKSSAHDTHAQEQERIEFEKRAALWEEAEKSKHAARFKREEIKIQAWESQQKAKLEAEMRRTEAKVEQMRAQTHAKMVKKIAMARQRSEEKRAEAEARKNREAERTAAQSEYIRQTGKMPNSNYITCCGWL >CAK8562820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:542882429:542885055:1 gene:gene-LATHSAT_LOCUS16285 transcript:rna-LATHSAT_LOCUS16285 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGGPGGRDHLADFHSFGGFGSSRSLMSSMFGGRDPFDDPFFTSPFGRMFQSSIMSGPSGFPSFPEMQRPGFPFPPEIQGPTFPFAQNMQMPGFPFTQNMLPSGFPFGPDMNPSVFHEHQARAPEPSSRRGPIIQQLDSDDENEDETEEKKENPKKHRRSTSTEPTVEHPDDELEGKKIRHLQGRNEFNSFNANELQPQTHSFSFQSSTVSYGGPNGTYYTTSKTRRTGSDGVTLEESKEADSSTRQASHRISRGLHDKGHTLSRKLNPDGKVDTMQTLHNIDEDELAGFEEEWKGKGQKYLPGWSGSIGAGHSRQAERAGQGLLLPLSENSHPLGSSQVRGNVGSSRARERVWTDSNGRDAYHPGRQGQN >CAK8571005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:258902381:258905856:-1 gene:gene-LATHSAT_LOCUS23706 transcript:rna-LATHSAT_LOCUS23706 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAAEALESMSVAESSSNPIPTTPQLLTAEQRFEIVRSIGEECIQNEELLNLLAKKPEPICYDGFEPSGRMHIAQGVMKAINVNKLTSAGCRVKIWVADWFAKLNNKMGGDLKKIEVVGRYLIEIWKAVGMNLEGGKVEFLWSSKEINARADEYWPLVLDIAQKNNLKRIIRCSQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDVIKRKNKPIILSHHMIPGLQQGQEKMSKSDPLSSIFMEDEEGEVNVKIKKAYCPPKVVEGNPCLEYIKYLILPWFNEFTVERNADNGGNKTFKIFEELIVDYESGELHPADLKPALSKSLNKILEPVREHFRKDSNAKDLLKRVKSYRVTK >CAK8566092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378875728:378876102:-1 gene:gene-LATHSAT_LOCUS19255 transcript:rna-LATHSAT_LOCUS19255 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTIFADKTFTLVEARYLSLFIDLDDISGHSWGETALVTLYRYLIDASMFSCKQLGGYLTLLQCWIHEYFPTLGKKGEN >CAK8543917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649177996:649180606:1 gene:gene-LATHSAT_LOCUS12639 transcript:rna-LATHSAT_LOCUS12639 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLFCLLPLFLVPIVNVLPILFYYVMGKVYRLFGWEFRKPEMVPPACPYKPAAKMESKVETEDVPAVAPVKAGGVDVKQD >CAK8535538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860089815:860090372:-1 gene:gene-LATHSAT_LOCUS4990 transcript:rna-LATHSAT_LOCUS4990 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNMKICLSVSAMVLIVVSTITIALIFTVFKVRDPNIIVELSHFDFLTPDISPNITIPVLIIIKNSNYGKFKHEDSFSYINYRETLVGTVPIPSQLVPARGGINVTTHANFMVGELIQNPSFFVDIQNGSKFSLMTKAELPGKVIALGFIKMKAMATNECNISVNIISNDVVSNCISHIKIDH >CAK8537561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:396803681:396805073:1 gene:gene-LATHSAT_LOCUS6849 transcript:rna-LATHSAT_LOCUS6849 gene_biotype:protein_coding transcript_biotype:protein_coding MVERIIQEEENQRRSMKKLVLILNSILLAIGTCGGPLVMRLYFIHGGNRVWLSSFLETSAFPIILIPLAISHIYNRYHYSNPNGNHSNNNNSFVSMKLPLFFASAIIGILTGLDDYLYACGIKRLPVSTSSLIQSSHLAFTAVFAFLVVKHKFTAYSVNSIVLLTLGSVVLALNSKGGDRLVGESTKEYVIGFVMILASAALYGFVLPLMELVYQKSKQVITYSLVMEIQLVMCFFATLFCVVGMFIDNDFKVIPREAREFKLGETKYYVVLVWSAIMWQFFFLGVIGVIFCSSSLLSGVIIAAFLPITEVLAVIVYKESFQAEKGVALALSLWGFVSYFYDEIKEARKMKSRETELPQSHHSNA >CAK8537638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:413167123:413167794:-1 gene:gene-LATHSAT_LOCUS6906 transcript:rna-LATHSAT_LOCUS6906 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFDLPTVGSNFTWFNIAGDSMSILGWFLLSERLTEKWSLRGQFVGDKAISDHCLVTIRENFLYWGRKPFKFFSGWIDHLEFLRLVVEAWSSANITSKNMFVFKDKLKMFKAKLKLWNLKVFEKVNLDVEEAIKNLNLLDQSIKDEGVSPSPVMRERMIEAQNQVWHKLHFKEDFIRLKSRCRWIREGDINSKFFHSFIKSQFRKNGIIAIRGCDGGGCGGN >CAK8564929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15859522:15859973:-1 gene:gene-LATHSAT_LOCUS18179 transcript:rna-LATHSAT_LOCUS18179 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSNDIDQLNLDEVDFEDDEQLNSGENNQNNNIIDEENVANAIDFTADGFDIEEGDPNIEIILPPWN >CAK8578518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634673441:634673665:1 gene:gene-LATHSAT_LOCUS30522 transcript:rna-LATHSAT_LOCUS30522-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYGGNFDFSYDHLSNDATILSNDSSIDFEEFLRRRFDMRDKQIHRQLQQDLIEHIWQHYGHEDNNN >CAK8578517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634673033:634673665:1 gene:gene-LATHSAT_LOCUS30522 transcript:rna-LATHSAT_LOCUS30522 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVASQDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPDVHYTINRTEYNKGYYLSDGIYPEWATFVKSIPMPQGDKRKLFAQHQEGAIKNIERAFGVLQSRFAIIRNPSRSWHLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDATILSNDSSIDFEEFLRRRFDMRDKQIHRQLQQDLIEHIWQHYGHEDNNN >CAK8533847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664978076:664982001:-1 gene:gene-LATHSAT_LOCUS3438 transcript:rna-LATHSAT_LOCUS3438 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLKSQQSHSQQQGMSNFDMKQRVLTALNKVGDRDTHQIGTNQLHAILNSLTPQSISPFLSCILDIHSEQKTSIRKQCIKLMGTMAEVYEALVLPHLPKMVATVVKCFRDSDSSVREACVEMVAVVASKLGNQNNEDKVFVLLASPIFEALVGEQNKHVQSASAFCLATVIHNTHSPPISILHNMLVRILKLLKNPHFMAKPALIELNRSIIQAGGASTQSVLTTAVAGIREALKDTDWKTRKAASVALGQIALSRASFLSSLRPSCIHSLDSSRFDKVKPVRDAVLQALKYWKILPCHSTTEPSETGSSLKENICGGDSVDLSSSTTTESRHRDARLQKGNMKSITGRIPLSVRKACQNDGQLQHPKSDDWHVEVAVPRTHSIVEFPNEEFETSSVTKQLEAVSADVSSMQDVGYEYVPMDDKQECSSVSNYDTKFLTSHDCFVNNVLQKPITRSQRFGEEVSCNEQMYSLKMENPKSSDSTVTEPSPQITHECCAQRANEMTCIRNQLSDIEIKQANMMHQLQIFTTGIMDALSTIQSRMVGLENVIDRLSQESLKGGRHSFSENSKFARQGQNMVSPRISVCTPKPSSETSTKQSGLFSTSAESLEKKSFSKSQLRIHAEDNVDKWKSYKVRSAQKFTEDIINSFVEDRQSMSSAQVSKNGSIVSSAARTNSRNSCPESNTNYWKRMNRLVCEGDLNSAYMEALCSGDKLILVELLNKTGPVIESLSVKTVNVLLSTLAPYLLQGKFFNTIIPWLQQVVEMSTVHGPNYISLSIEVKEQLLYAIEEVVNLNIISHAERRRAAELAIKLDHMWSTVTES >CAK8573385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607181848:607182591:1 gene:gene-LATHSAT_LOCUS25837 transcript:rna-LATHSAT_LOCUS25837 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELGLKITRTKDDSTSISDFQFAKDRAGPVFLSKETDSTFTLTAHLKGYKKENIDININKDGTKISVSGEKEVQEMQMIPFKREVKTKWFRKKFKIPNGVILDKIKAKYNEDEGLLTIVMPKTAKGELCGVGIEEVKEEEGANSIVSEPEQTVAEEQKVLDHKGEEENAPVKKRRSKKPWHPCPPLVFGGSTLLASIIFLVLHYMRVRKS >CAK8562104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440718060:440719980:-1 gene:gene-LATHSAT_LOCUS15630 transcript:rna-LATHSAT_LOCUS15630 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMWNAPPGFRPSKSAPSSPAKPLGVSRTRSESFHITHKVPVGDSPYVRAKNVQLVDKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRIRCSDQAQESLDNILLDLYKRCGRLDDQIALLRHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYVEAEEAYRRALTLAPDNNKMCNLGICLMKQGRISEAKENLHRVKPAVTDGPRGSDSHLKAYERAQQMLKDLESEMMNKGGVDRVEQSRLFEAFLGSSSIWQPQPCKDHVHTSLPPIRTTTASTTTIQDEFGDENVNSNIVKNQTSKFGPLVNNNNSLNVAAPPFYAAPKTSLKESTENLNFSETLKRTRSGNAAGSMRVVNDMSKVNAAELGVPVPGSKARRLSFEKNKLCDLLPDTKDFEDAILSSILGSSNESNESTTTTSGILTKKSDKRRLKVFEDITLSLSPKA >CAK8562512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:504129858:504132163:1 gene:gene-LATHSAT_LOCUS16003 transcript:rna-LATHSAT_LOCUS16003-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPRLVVPIDLKKKPWEQKLPLHNRWHPYIPSVVDVITGEMFRVEMVDWTGGAIKDDNSALDIKLVDLSNVHYLSGPIRVVDNDGLPAMPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPHATKAIWYFEGIYAYSPQIPGVRFPGITHPGIIGTAPSMELLNIWNERERDVAENGLQSLKLCEVLHSRPLANLPTPINCHLGKIEKGSDEWEKIAREAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSLCGAIEMSGFLELKCDIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDEYGKQHYLDATVAYKRAVLNAIDYISKFGYSKEQVYLLLSCCPCEGRISGIVDAPNACATLAIPTAIFDQDIRPKNNKVPVGPRLVRKPDVFKCTYDGNLPITKNDSASS >CAK8562511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:504129196:504132163:1 gene:gene-LATHSAT_LOCUS16003 transcript:rna-LATHSAT_LOCUS16003 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGSKLLVSVDLNKKPWEQELPLHNRWHPEIPPVAEATTGELFRVEMIDFSGGAITKNPTADDAKHVDLSQVHYLSGPIRVVDNDGLPAMPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPHATKAIWYFEGIYAYSPQIPGVRFPGITHPGIIGTAPSMELLNIWNERERDVAENGLQSLKLCEVLHSRPLANLPTPINCHLGKIEKGSDEWEKIAREAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSLCGAIEMSGFLELKCDIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDEYGKQHYLDATVAYKRAVLNAIDYISKFGYSKEQVYLLLSCCPCEGRISGIVDAPNACATLAIPTAIFDQDIRPKNNKVPVGPRLVRKPDVFKCTYDGNLPITKNDSASS >CAK8535940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892826247:892828771:-1 gene:gene-LATHSAT_LOCUS5354 transcript:rna-LATHSAT_LOCUS5354 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGLVSNLQEALLNRKPPQQQDESNDAVSSSADEPIEFDESKPIVLLTNSEGVESPGLIHLVEALVLQGLYDVHVCVPQSDKSVSGHSVTVRETVEACSAKVNGAFAFEISGTPVDCVSLALSGALFSWSKPVLVISGINRGSSCGHHMFYSGVVAGAREALLCGVPSLSISLNWKKDESQETDFKDAVEVCLPLINAAIRDIEKGTFPKDCFLNIEIPRSPLSNKGFKLTKQSMWRSTPNWLAVSNSRYPTGHFLANPQGGLGLQFAQLGRDASAAGAARRLATQKKNLEIIESTGAAGKPDANRVKKYFRLEFLDKQQEEIEDDDLDYRALESGYVAVTPVSVSPHIETDIQTTTSDWISSVIPGGE >CAK8542489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520075170:520078143:-1 gene:gene-LATHSAT_LOCUS11328 transcript:rna-LATHSAT_LOCUS11328 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDTEGEQLGRGTKITLYLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTIEKEISDDEDDEPKKEEEGAVEEVDEDKEKDSKKKKIKEVSHEWELINKQKPIWLRKPEEISKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFAKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFEDLCSVMKDILGDKVEKVVVSDRIVDSPCCLVTSEYGWTANMERIMKAQALRDSSMGSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDEEENGVDDADMPPLEEDGVEESKMEEVD >CAK8577998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601383072:601384346:-1 gene:gene-LATHSAT_LOCUS30049 transcript:rna-LATHSAT_LOCUS30049 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPPEVTKRSKNRTTSFKSVKTLSESPDFNSIPSSYKFTTNPHDKNEIVADPDENDPIPVIDYSLLATPDKRAKTIRDIGKACEDWGFFMLINHFVSKSLLEKMVDQVFAFFNLREEEKQEYAGKEVVDPIRYGTSFNASEDRVLFWRDFVKIIVHPEFHSPVKPSGFRETSEEYSRRTWKLGRELLKGISESLGLEINYIDRTMNLDSGLQMLAANLYPPCPQPELAMGMPPHSDHGLLNLLIQNGVSGLQVLHKGKWINVSSTSNCFLVLVSDHLEIMSNGKYKSVVHRAVVSNGATRMSLATVIAPSLETVVEPASELLDNERNPAAYVGMKHIDYMKLQRSNQLYGKSVLNKVKI >CAK8568182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580099671:580102556:-1 gene:gene-LATHSAT_LOCUS21171 transcript:rna-LATHSAT_LOCUS21171 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTRRSKQSTPVDVAASFAVEKQHFEERIRELEQENKAYQTENAELKKLKGGDSSDSNDGVEKLKKEYLQKLNLLEDQVSELKKKLGSQSQFSTHRKRADESTRQLQNEIQDLKAQKAKLQCTIKLESVRFRLSKALLEKEVLQLKKEGRRNEIKTCSLLTSNERLKMVLQRKTEEASVAIKRLRDMIAARKATSNRSSGAKNKSSQVIQDAEHELEVTTQLHKLCSQYESKIEKMTGEIAQLKEEIEMQRQENSRSELREEDLNSPAKDYDDIQDLKEQVDNLSCLLKELQLRKEENEPKNLAHTLSEASYATAKTDTLEISSSNENSVKRGRAGEGLCCSCSKKSLCKTTKCKCRSTGGSCGPSCGCSLSKCTNRELGTLPENESLILEDSECSTNKDGEAVIASECAQLLQNALVQKPANQEPKKKPLCDIQNSLGNMDAQKKGRKKNVRRPAIHLVTKDPMSSSP >CAK8577053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534366550:534367158:-1 gene:gene-LATHSAT_LOCUS29195 transcript:rna-LATHSAT_LOCUS29195 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRVDIERQPLLENAPQKIPKTSTQKTMRKTLKGTAYLANLLPTGTVLIFQTLSPALTHNGQCPTQTSKIMTICLLSFCSLSCFLLSFTDSLRDERGKVRYGFATLNGIWVMDGSIKLHVEEARKYKLRLIDLFHACGSILVFGAIALFNQSVVTCLAPKPSEEAKEILGALPIGIGILCSFLFVLFPTQRHGIGFPLSRD >CAK8576919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524528883:524537484:-1 gene:gene-LATHSAT_LOCUS29075 transcript:rna-LATHSAT_LOCUS29075 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNSGDDRFSNAYPGSTSAFTFTAPSVSSGLSKPRFVKIRKHNNASAFNRDGSVANAAVTFVGSDKLDSALNFDKRISDRLRNLKIGSEGFVNADGSDLPEEMMSKLKIVTENQGGFDKVRVESELGAELQKKLNIKETEKNERGNNAVSDENSANSVIWQLNNLNVNDVMSSKFNLVDQNVEPNLCNSSAMPSSSAGSASASVLFQPFEVSKKDQFVFQSKPEASGSPFVEFKTHASKIGGKEGKVKEKSGNVRMKKNIVNLKHSAPVQPWSGHGFVFKGSVPQQDHPQGSSETCSPMEVSPYHEKLAENRTSRESSVISNESFGVDTNDNEAMNFVDHIDEDLIGATQNMNINESSEVACEDTKEGKSECDTHEYISVDEETKDESVSGIETESFKSASDSVDQINDAETEASDGDKMLNLDGSFSMRNGSVSESGFTFAAASSAETQLSSPKRHNKKKNWVNVGHDSFNYAPNMKVPYSSSSVPFSVVSGKPLIVSGQDIKAKVSFPQPKIRGSDVNEEHGSREASASAEACEKWRLRGNQAYKNGDLSMAENSYKQGLSCVSKEQTSRSCLRALLLCYSNLAATHMSLGRMRDAIEDCRLAAEIDQNFLKVQLRAANCYLALGEVEGASLYFKRCLQSGTDVSVDRKIAVEASDGLQKAQKVSDFIYHSAELLQRRTSSETERALEHINEALMISMYSEKLLEMKAEALLMLCRYEEGIQLCDETFSSAEKNACPMASGCQVTFLDDSELSKIFYFRLWRCSIMLKAYFHLGKLEEGLSLLEQQEEKVSSINKSGSKVLGSLIPLAATVRELLHHKTAGNEAYQAGRHAEAVEHYTSVLSCNLESRPFAAVCYCNRAAAYKVLGQITDAIADCSLAIALDGNYLKALSRRAGLYEMIRDYSQAANDLRRLLSLLSKGLEDNANHKGTSDRSINYTNDLKQYHIRLSELEEEDRKEIPLDMYLILGVEPSVSISEIKKAYRKAALKHHPDKACQSLTKNDNGDDGIWRVIAEEVHRDADRLFKIIGEAYAVLSDPAKRARYDAEVEMRNFQKRRPVNMGRNNMENQYCPSDQSNRRHWREVWRSYGHSSSQNYETGRPSRK >CAK8570551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59246537:59247319:1 gene:gene-LATHSAT_LOCUS23291 transcript:rna-LATHSAT_LOCUS23291 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHPTTTFHNSAMTFNEVSMERSKSFVNSLQELKNLRPQLYSAAEYCEKSYLLSEQKQMVLDNLKDYAVRALVNAVDHLRTVAYKLTDLLEQHTLDVSTMDLKVSTINQKLLTCQVYTDKEGLRQQQFSQPIQQFPLRVGQQLPIQPQIMQMPIARPNMQTPQCHFLHRLCLHHPLMVKRHKLILILLANTNLFPKSKLPLVLCPRTLLLVQLLRATASNLQLQLSYLRLQTSNHTLPTLAPLIGSSMRPLLEEFFLQ >CAK8531484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114771910:114773162:-1 gene:gene-LATHSAT_LOCUS1273 transcript:rna-LATHSAT_LOCUS1273 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYQGLAVGIDLGTTYSCVAVWLEQHCRVEIIYNDQGNRTTPSFVAFTDDQRLIGDAAKNQAAANPQNTVFDAKRLIGRKFSDPIVQDDMLLWPFKVIAGANDKPMISVKYKGREKHLYAEEVSSMVLTKMREIAEAYLESPIKNAVVTVPAYFSHSQRKATINAGTIAGLNVIRVMNEPTATAVAYGLDKRTDCVEERNIFVFDFGGGTFDVSLLTINNNVYEVKATSGNTHLGGEGFDSRMVNYFVQVFKKKTKVDISGNPKALRRLRSACERAKRSLSFLVTATIEVDSLFQGIDFSSTINRAKFEKMNMDIFNDCMKTVESCLTEAKMDKGRVDDIVLVGGSS >CAK8567782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544383469:544387714:1 gene:gene-LATHSAT_LOCUS20805 transcript:rna-LATHSAT_LOCUS20805 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIQNKTVLTHLLILFLYASSLTVSARIAPLLQNYGNSNFRSTFHTIYDTSNYGLLQINNGLALTPQMGWNSWNFFACNINETVIKETADALVSTGLAELGYNYVNIDDCWSSVIRNLKGDLVPDRKTFPSGIKSLADYVHGKGLKLGIYSDAGAFTCQVRPGSIFHENDDADLFASWGIDYLKYDNCYNLGIPPKKRYPPMRDALNDTGRKIFYSICEWGVDDPALWAGKVGNSWRTTNDINDTWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNMTAETLEILSNKEVIAVNQDSLGVQGRKVQVAGTDGCAQVWAGPLSGNRLAVALWNRCSKVATITASWASLGLESGIHVSVRDLWQHKVIKGDAVSSFSAQVDSHDAHLYIFTPSTASYSAQ >CAK8576420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473706473:473706778:1 gene:gene-LATHSAT_LOCUS28608 transcript:rna-LATHSAT_LOCUS28608 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKQEENIEKIIRGLMKLPPNRRCINCNSLGPQYACTTFWTFICITCSGIHREFTHRVKSVSMSKFTLKEVDALQSGGNQRAREIFLKNLGLPKTTVAR >CAK8565309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:112493424:112494242:1 gene:gene-LATHSAT_LOCUS18532 transcript:rna-LATHSAT_LOCUS18532 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSLKAYCRCAASFATRSNSSFASLFGVVGVYFGLEMLQDVATTKFFADYAEVFSPDEER >CAK8540090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539264007:539269720:1 gene:gene-LATHSAT_LOCUS9127 transcript:rna-LATHSAT_LOCUS9127 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKSLKERQVQEWQEYYINYKLMKKRVKQYAQQIELGTQDRQHVLKDFSRMLDNQIEKTVLFLLEQQGQLASRIANLGEQEQQDAAQQEREPEIRKMSEIREAYRTVGQDLLKLLSFIEINAVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGALSHNLHDLQDRQGSYLSIYDQPTLPFQDPVIISVKAAVDRLTHSTNFLDFLGQHALIMQEELPAPTDEKVDERYHFTSLVLNLVNTFLYMVNTYIIVPTADDYSMYLGAAPTVCGIVIGAMAVAQLFSSVYFSAWSNKSYFRPLVFSSIILFLGNAMYALAYDFNSIWILLIGRLCCGFGSARAVNRRYISDCVPLQIRIKASASFVSASALGMACGPALAGLLQTDFKIYNLTFNKDTLPGWVMTIAWLMYLIWLWIAFVEPSREFEEDHNTEKSNAEDSDALEKGLQQPLLITLEDKVDEDADQDNDDSEEATEESHRPATSIRSAYSLLTPSVKVQLLIYFMLKYVMEILLAESSVITSYYFSWSTGTVAIFLAVLGLTVLPINVVVGTYISNWFDDRQILLASEIMVLIGIVSAFNVIIPYSELQYICSGLIMFVSAEVLEGINLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYMGVGSLLNITLAPSLFICIISIIATCYTYNSLY >CAK8533346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605815644:605818318:-1 gene:gene-LATHSAT_LOCUS2983 transcript:rna-LATHSAT_LOCUS2983 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNLASRKNKEVVDENAPLVPNSRETDGELHEFNGASFSGAVFNLSTTVIGAGIMGLPACVKKLGLVPGLVAIILTALLTEKSIEFIIRFSRAGNFSAYGSLMGDAFGKYGKAFAEICVVVNNMGILTVYMIIIGDVISGTTSGGIHHSGILEGWFGVHWWTGRTFILVFATLFVFAPLVSFKRIDSLRFTSALSVGLAIVFLIIAVGISIIKIISGGIGMPRLFPVITNAASVFDLFTVVPVLVTAYVCHYNVHSIDNELEDPSWMQGVVRTSLTLCSSVYSLTSFFGFLLFGDNTLDDVLANFDTNLGIPFGSALNDAVRFSYAAHLVLVFPVVFYGLRVNVDGLIFTSSRRPLVVDNFRFASITISLVGIIFIGANFIPSIWDIFQFTGATAAVCLAFIFPAAITLRDRYNIATKTDKILSVFMIVLAVLSNAVAIYSDAYALINKNKNGPMD >CAK8533347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605815726:605818318:-1 gene:gene-LATHSAT_LOCUS2983 transcript:rna-LATHSAT_LOCUS2983-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNLASRKNKEVVDENAPLVPNSRETDGELHEFNGASFSGAVFNLSTTVIGAGIMGLPACVKKLGLVPGLVAIILTALLTEKSIEFIIRFSRAGNFSAYGSLMGDAFGKYGKAFAEICVVVNNMGILTVYMIIIGDVISGTTSGGIHHSGILEGWFGVHWWTGRTFILVFATLFVFAPLVSFKRIDSLRFTSALSVGLAIVFLIIAVGISIIKIISGGIGMPRLFPVITNAASVFDLFTVVPVLVTAYVCHYNVHSIDNELEDPSWMQGVVRTSLTLCSSVYSLTSFFGFLLFGDNTLDDVLANFDTNLGIPFGSALNDAVRFSYAAHLVLVFPVVFYGLRVNVDGLIFTSSRRPLVVDNFRFASITISLVGIIFIGANFIPSIWDIFQFTGATAAVCLAFIFPAAITLRDRYNIATKTDKILSVFMIVLAVLSNAVAIYSDAYALINKNKNVSDFLFDNSLV >CAK8560593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27121538:27125058:1 gene:gene-LATHSAT_LOCUS14254 transcript:rna-LATHSAT_LOCUS14254 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTASSNIVSRTSHVNVPTVSCESKMGPMRLGSIRKANTHNGLRVLNSLDEILNRTPIKMKGVQSRKKGVQRKNVRPKGIIVCGMNLIFVGTEVAPWSKTGGLGDVLGGLPPALAANGHRVMTVTPRYDQYKDAWDTSVTIEVKVGDRTEKVRFFHCFKRGVDRVFVDHPIFLEKVWGKTGTKLYGPAAGDDYQDNQLRFSIFCQAALEAARVLNLKSNKYFSGPYGEDVIFVANDWHTALISCYMKSMYQSIGIFRNAKVVFCIHNIAYQGRFAFADYSLLNLPDQFKSSFDFLDGHAKPVVGRKINWMKAGIIESHQVLTVSPYYAQELVSGPDKGVELDNILRRVGVTGIVNGMDVQEWNPSTDKYISIKYDASTVLEGKALLKEELQAEVGLPVDRNIPLIAFIGRLEEQKGSDILVEAIPQFIKENVQIVALGTGKKEMEKQLQQLEISYPDKARGVAKFNVPLAHMMIAGADFILIPSRFEPCGLIQLQAMRYGTVPIVSSTGGLVDTVKEGFTGFQMGSFNVECDAVDPVDVDAIAKTVTKALGVYGTSAFAEMIKNGMAQDLSWKGPAKKWEEVLLNLGVPDSEPGIDGEEIAPQAKENVATP >CAK8578844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657988257:657990401:-1 gene:gene-LATHSAT_LOCUS30827 transcript:rna-LATHSAT_LOCUS30827 gene_biotype:protein_coding transcript_biotype:protein_coding METEHSSMMVTRGALTYAAEVLVTLLASAVVKATLLAPELYELMLTNMPPTKGESKTPSQDKQLDAEGEDGNDDEDEEDDDGNGAFGEGEDELSSEDGGAYGNNSNNKSNSKKAPEGGAGGADENGEEEDDEDGDDPDEDDDEDEDDDDEEEGGEEDEEEGVDEEENEEDEEEEDEEALQPPKKRKK >CAK8531342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:102418271:102419627:1 gene:gene-LATHSAT_LOCUS1142 transcript:rna-LATHSAT_LOCUS1142 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHLNQLLHTNTLPVTPLNSTPSISPPTTTVGFRSKQFGRPIVLADVSRRMVYVRSNINDDEWGTEKEVPSPAVAVTEVKLTDSETEKLKKALVGSFYGTDRGLKATSDTRAEIVELITQLEAKNPTPASNDALTLLNGKWILAYTSFAGLFPLLSSGLLPLLKVEEISQTIDSQSLTVQNSVQFAGPLTTTSISTNAKFEIRSPNRLQIKFEEGVIGTPQLTDSLEIPENVEFLGQKIDLSPFKGILTSVQSTASSVVQTISNQPPLKIPISNNKAQSWLLTTYLDEELRISRGDGGSVFVLIKEGSSLLTN >CAK8542715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540208386:540208886:1 gene:gene-LATHSAT_LOCUS11529 transcript:rna-LATHSAT_LOCUS11529 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSTKKKRRPNIIVTQKKSEFRRPWLSTTDVMNLKNEIKRIKEENEFHKEENEFHKEQLKQKDTVCDEVMKLRVEIEKLREENEVQKVQLKQKDEEKIEVIKQLSLAVDLLKQDNVSMRSFIAKESTKKWKFPFEFSKFGGTFSVKLFNGTPRNQPSVELSTRRG >CAK8542034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457784384:457786293:1 gene:gene-LATHSAT_LOCUS10908 transcript:rna-LATHSAT_LOCUS10908 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIKPHEPKTHSFNLLSGHSIPAIGLGTWKSGSQASNSVFTAIVDAGYRHIDTAAQYGVQEEVGNALQSAMQAGVERKDLFITSKIWCTDLTPERVRPALNNTLKELQLDYLDLYLIHWPFRLNDGASRPPKAGDVLEFDMEGVWREMEKLVKENLVKDIGICNFTLAKLDKLLSIAQIKPSVCQMEMHPGWRNNKMLEACKNNSIHVTAYSPLGSSDGGRDLIHDQTVDRIAKKLNKSPGQVLVKWAIQRGTSVIPKSTNPNRIKENVVVFNWEVPPQDFKNLSNIPDQRRVLDGEDLFVNKSEGPLKSVEDVWDHED >CAK8566759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460425718:460426545:1 gene:gene-LATHSAT_LOCUS19869 transcript:rna-LATHSAT_LOCUS19869 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGTNSAEGVPELSEADMIVLLGDLNYHLDDISYDEARDFVSQSCFDWLRERDLLRAEMEAGNAFQGMREAIITFPPTCKFERYQAGLAEYDSGEKKRIPAWCDRILYRDSRSSLVAECSFEYPVVSAVLQYEACMDVTDSDHKPVRCIISTDIARVDEPIRRQEFGEILESNEKIKCLLKELYKIPETIIRQSTIMEDRKAANHQLRGSFGLPRWLEVSPATGIIRPDQIVEVSVHHEEF >CAK8579074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672667712:672671092:1 gene:gene-LATHSAT_LOCUS31046 transcript:rna-LATHSAT_LOCUS31046 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKCPLRALPESTRDTGGVTSKFHFPLICYYLLLFSVPILAFPSPSHSSFPSPNRYQINMVRTINKLWKIFCHKLQGSMNQCQFSEKKCDVSCLRFCLSLLDANFFKDNQVEEIAKGVNEFNIPIIKANRKLVASNNGGLQYHSPLLFNADWDHQPQSVHCVNKRFRYPSISGIQRPESEEDIAFLSVLELGELIKTKQISSLELTQIFLRRLKKYGPILESVVTYTEELANKQAKEADELLSQGVYLGPLHGIPYGLKDIISVPGYKTTWGSKSFKNQVINMEAWVYKRLRSAGGVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITFPAARCGVTALRPTFGTVGRTGVMSISESMDKLGPFCRSAIDCAVVLDIVRGRDPGDPSSKDSSIDDPFLVDITELTVGYLDDAEMEVVHVLASKGVKMVPFKLNYTVDSAQGILNFTMDVDMLAHFDQWQRSGQDNVYEAQDQWPTELHRARLITAVDYIQAQRARGRLIKEIRESFTVDAFIGNATDWEKVCIGNLVGLPVIVVPTGFKNISDPPSGGSRRRTTITTGIYAPPNRDHIALALAMAYQKVTDHHRQRPPINDLGPNDKIPDVSKVAYPPRVYGP >CAK8579073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672667712:672671092:1 gene:gene-LATHSAT_LOCUS31046 transcript:rna-LATHSAT_LOCUS31046-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKCPLRALPESTRDTGGVTSKFHFPLICYYLLLFSVPILAFPSPSHSSFPSPNRYQINMGSMNQCQFSEKKCDVSCLRFCLSLLDANFFKDNQVEEIAKGVNEFNIPIIKANRKLVASNNGGLQYHSPLLFNADWDHQPQSVHCVNKRFRYPSISGIQRPESEEDIAFLSVLELGELIKTKQISSLELTQIFLRRLKKYGPILESVVTYTEELANKQAKEADELLSQGVYLGPLHGIPYGLKDIISVPGYKTTWGSKSFKNQVINMEAWVYKRLRSAGGVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITFPAARCGVTALRPTFGTVGRTGVMSISESMDKLGPFCRSAIDCAVVLDIVRGRDPGDPSSKDSSIDDPFLVDITELTVGYLDDAEMEVVHVLASKGVKMVPFKLNYTVDSAQGILNFTMDVDMLAHFDQWQRSGQDNVYEAQDQWPTELHRARLITAVDYIQAQRARGRLIKEIRESFTVDAFIGNATDWEKVCIGNLVGLPVIVVPTGFKNISDPPSGGSRRRTTITTGIYAPPNRDHIALALAMAYQKVTDHHRQRPPINDLGPNDKIPDVSKVAYPPRVYGP >CAK8532236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:227999155:228001664:1 gene:gene-LATHSAT_LOCUS1966 transcript:rna-LATHSAT_LOCUS1966 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFKLSDSKILRKILSRNSSETRQEISSSNQTSKNSSRQFYHQTKKIFVGSWNIGGIAPPQDLNIEDWLDTHNDYADIYVLGFQEIVPLNTANVLGPQNKKNSMKWNSLIGATLNNKKPIKIVEEKKAEPQKIYPMKEQVCEEFENVDDFQCIISKQMVGMFITIWARCDLYQPIRHLNVSSVGCGIMGCLANKGSISIRFVLHETSFCFICSHLASGGKEEDKRQRNVNANDILSQTSFPAGPQQDMPRNIIDHDRVVWLGDLNYRIDMPHFATHSLIKRREWGTLLKHDQLKMELKEGHVFQGWHEGAIEFPPTYKYLPNSDDYIGCDENHMSRKRRSPAWCDRIIWFGKGMNQIQYNRSESKVSDHRPVRAMFTADIKVAEANCK >CAK8537974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452436031:452438087:-1 gene:gene-LATHSAT_LOCUS7220 transcript:rna-LATHSAT_LOCUS7220 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPTPSRLSLAMERTGQWVFSQEIPSDVTVTVGEASYSLHKFMLVAKSNYIRKAMMESNESELTSLDLSDIPGGQEAFEKAAKFCYGVNFEITVNNVAPLHCAAVFLQMTDEYCDGNLAGRTEGFLSQVALTTLTGAVMVLKSCRQLLPVAEELNIVKRCVDVVSIKVCNEANFPSRSPPNWWTEELAVLDVDSFGKVINAMKQRGAKCLTLAGAFITYTERTLRELVRDQSGGVGGRIRPSGSTDSDSEIITEQREILQSIVPFFPSEKAAFPINFLCSLLRCAIYLRASSTCKRELEKRISEILEHVTINDLLVLSFTYDGERLLDLDSIRRIISTFVEKEKSTTVFSAGTTFGENCSAAMLRVAKTVDAYLAEIASYAELTISKFNGIAILIPKGARKLDDDLYRAVDIYLKVHPNLDELEKEKVCSVMDALKLSYEARVHASQNKRLPVQIVLHALYYDQLKIRSGAAEERDAAAERNQLQTDVTLVRENEELRTELIRMKMYVSDLQKNGTTSSSGTKKEVKKSTFFSSVSKKLGKLNPFKNGSKDTSNIEDAVDLTKPRRRRFSIS >CAK8562537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:507058381:507058806:-1 gene:gene-LATHSAT_LOCUS16027 transcript:rna-LATHSAT_LOCUS16027 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKANSKGSKLSRYMKAPLRFMIKVRDMYINGMIQCSRDLAYVDHTTMGCPTQFYSLPRSFSVNSTTSDEDFKELVRVASRTIRDENPVEVVSRSRSVGIGRIEEDKVYEFGGDDDIKVKPLLFSRSRSCAIRGSRGTML >CAK8563674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624213559:624214085:-1 gene:gene-LATHSAT_LOCUS17059 transcript:rna-LATHSAT_LOCUS17059 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSTSSGYMSSRRKSPVCGHELPMRIHISKSSSNPGRRYWKCKILRNDDDCHLFHWDAELFQGKQVKPRYGGCCSECENMKVNIRKYGVEFGKEFGHEFEKVFKNKKIGKLNMKAAKDHKTIQTLTYILVASWLFFAIMFCNR >CAK8537507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:379424012:379424650:1 gene:gene-LATHSAT_LOCUS6796 transcript:rna-LATHSAT_LOCUS6796 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLVRVSRRVKWGAHRPTPGARKCRCTPNRRALPSTIVMMTSPRAFQQPRLGPPSQSASVDVSSRLADRHEPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFDIGLSPIFSLGRNLPPDWGCIPKQPDSPTVPRGATGSEHNGALTLSGAPFQGTWARSATEDASPDYNSNAEGDRFSWWAYPGSLAVTKGILVCFFSSAY >CAK8534186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702501238:702503468:-1 gene:gene-LATHSAT_LOCUS3748 transcript:rna-LATHSAT_LOCUS3748 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKKQDSKKVSGLGNQSSRKLHRKGENPIRFIPAADPPPENSHPNSWICKNSACRAVLSKEDTFCRRCSCCICHLFDDNKDPSLWLVCSESSQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLNIAKDARRVDILCYRIYLSYRLLNGTVKFKELHDMVQEAKAKLEKEVGPVNGVSSKMARGIVSRLPIASDVQKLCSLAIEKANEWLVTDDNPESKEGSLPAACKFVFEEITASSVKIILLEMSNVTAEDIKGFKLWYYKSRVESHTQEPVCVFPKAQRRILISNLQPCTEYTFRIVSYTDIGDLGHSEAKCFTKSIEILEKIPTSSIDMNTKKENTQSGEGNELGSKIEPDSRMADSGFKVRDLGKILHLAWAQEQGCIEGFCRSDTKNCCGQNETVKPINLVEQSAPPLISRDLDLNISSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLEKNLARPHGSGGSETWNHKPAGEVPAVDSRVVLEASRKRVASTNEETHDCDSTLINSSPLHVPDSSFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFSNKIVSLN >CAK8560409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18066386:18066876:1 gene:gene-LATHSAT_LOCUS14081 transcript:rna-LATHSAT_LOCUS14081 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIGLDGCFLKGYYGGQILAVIGRGPNDQMLPIVYVVVEGETKDSWNWFLELFVPNLGGVKLCRTYTFSSDQQKGLLSALDELLGNVDQRFCVRHLYSNFKKISLA >CAK8565259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:85680002:85680340:1 gene:gene-LATHSAT_LOCUS18487 transcript:rna-LATHSAT_LOCUS18487 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSFEYEQGVMEFLELAGNNAKKNIAPPKSDAEKSLHLLFLCPCVHCASHEPKLNKKEIMDHLICHGICQSYTQWIWHGEVVAKSNVSQRDNVVQKWMIVWNT >CAK8577306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554444966:554448962:1 gene:gene-LATHSAT_LOCUS29426 transcript:rna-LATHSAT_LOCUS29426 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLSNKLWMIFGPKPPVNCLILCVISVLALIIVLGSSSSNAIDSSSRRPASLIYTNYRRIKERVAVDYLELKSVSSGGLRQKELGLCGKERENFVPCHNITANLASGFEQGEELDRHCQVLMEEDQCLVRPPKEYKIPLRWPSGRDIIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGMIFDGVKDYSRQIAEMIGLGSDTELPQAGVRTTLDINCGFGSFGAYLLSLNIMAVCVAGYEATGSQVQLSLERGLPAMIGNFIARQLPYPSLSYDMIHCAQCGIIWDEKDGMFLIEVDRVLKPGGYFVLTSPTSKLPGSSREKRRITLNPLQELTQQLCWTLLAQQDETFVWQKTADLNCYGSRKQNTIQLCKEGDDTQSYYKPLVSCISGTSSKRWIAIQNRSFDSELRVLSEDFYEDMHFWRSAVSNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMYSNYGGLNAALLEAKKSVWVMNVVPARATNALPLILDRGFTGVMHDWCEPFPTYPRTYDMLHANGLLSQFITERCSLIDLFLEMDRILRPEGWIILSDTVGTIEMVRTLAAQVRWEARIIDLQNGSDQRLLVCQKPFLKK >CAK8535230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827945296:827946285:-1 gene:gene-LATHSAT_LOCUS4703 transcript:rna-LATHSAT_LOCUS4703 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTNLHGVSKTLTPITCLLPNAQRTLRKGQVVLGFLGSKTKNPSECDSNSIHTTRRTAAISFVSLVLTWQFNEKISLAKDNGFWIDGPIPEPTVTNNIANEKTGTRSFIKKKLYMANIGAKGSVFRIKKYSFDLLAMADLIAQDTLNYVRRYLRLKSTFIYFDFDKVISAAPVDDKQQLTDMANKLFDNFEKLEEASRNKNLAETQACYKETEVMLKDVMDKMTIMYKTI >CAK8573796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637760876:637764111:-1 gene:gene-LATHSAT_LOCUS26201 transcript:rna-LATHSAT_LOCUS26201 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGEFSSGEEEEVMEKSEVGTTPFLEQRIVVGYALTAKKKKSFLQPNFISLARSKGIYFVAIDVNKPLPEQGPFDIVLHKLSGEEWRDIIEDFRQKHPEVIVLDPPDAIQHLHNRQSMLQDVVDLNLSESNGKVGIPSQLVITKDKDSSTIPYEVTKAGLKLPLVAKPLVVDGTAKSHELFLAYDEFSLSELQPPLVLQEFVNHGGLLFKIYIVGESIKVVRRFSLPNISKRELSKVAGVYRFPRVSCAAASADDADLDPSIAEHPPRSLLEKLAKELRHRLGLRMFNVDMIREYGTKDVFYVIDINYFPGYGKMPNYEPVFTDFLLNLMQNKCKKKLTV >CAK8575940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:368903439:368907381:1 gene:gene-LATHSAT_LOCUS28165 transcript:rna-LATHSAT_LOCUS28165 gene_biotype:protein_coding transcript_biotype:protein_coding MENISESANPKPQGTLIHDGKYIQYNVLGNVFEVYSKYIPPLQPVGRGAYGIVCCATNSETEEGVAIKKISDAFDNRIDAKRTLREIKLLCHMDHDNVIKIKDIINPAEKEKFNDVYIVYELMDTDLHQIIQSNQALTDEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDVWSVGCILMEIIRREPLFPGKDYVQQLALITELLGSPNEEDLGFLRSDNAKKYVKQLPHVEKQPFAQRFPDLSPLAIDLAEKMLVFDPSKRITVEEALNHPYMSSLHEINEEPVCPSPFVFDFEQTTLDEEDIKELIWRESLNFSQEQIQE >CAK8578618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641760912:641761376:-1 gene:gene-LATHSAT_LOCUS30614 transcript:rna-LATHSAT_LOCUS30614 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHSCTTNINKQDHGKLSSQLICQEILHLVSVDPSVKMSTIIFHVVARFNYTPSYRKAWIGRIKTVEHMYGNLEKSYNQLPQFLLALQKYVPGTVVILESLPAYTSEGTCVDGSRILSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGT >CAK8543736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635429696:635429809:-1 gene:gene-LATHSAT_LOCUS12476 transcript:rna-LATHSAT_LOCUS12476 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDNDLGFFANFLGVFIFVLVIAYHYVVADPKYEGN >CAK8569973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18538561:18555981:1 gene:gene-LATHSAT_LOCUS22766 transcript:rna-LATHSAT_LOCUS22766 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVKSDRKKFYTIDLSDDDDDDDLMPSKSQTTQEKFEKIVRSDAKEHSCQACGESENLLSCETCTYSFHHRCLLFPLKSSHLDNWMCPECDNPLNNIDKILDCDMRSIVAGKGDTKNLESKESLAKQYLVKWKGLSYLHCRWVPEEEFLKAFKNYPRLKTKVNNFHYQKEQVRQLDEGFVAIRPEWTVVDRILACRANDNEKEYLVKWKELPYDECHWELESDISLFQAEIKRFNIFQSRTRKISYSKQKSRDNDDAELIKQHKEFQQYEQSPEFLSGGALHPYQLEGLNFLRFSWANETHVILADEMGLGKTIQSIAFLASLFKENVSPHLVVAPLSTLRNWEREFATWAPQMNVIMYCGSSQARGIIREYEFYFSKNEKNKRVKSKKIVTTIKFDVLLTSYEMINLDTTWLKPIKWECMIVDEGHRLKNKESKLFSSLNQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEQQISRLHKMLAPHLLRRVKKDVLTELPPKKELIVRVELSSKQREYYKAILTRNYEILTRRGGAQISLNNVVMELRKLCCHPYMLEGVEPVLNDEKEKYKQLLESSGKLQLLDKLMMKLKEQGHRVLIYSQFQSMLDKLEEYCVYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGVGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMVEMTKKKMLLEHLVVGSIKTQNFNQEELDDIIRYGSKELFADENDAEGKSRQIHYDDAAIDRLLNRNQVGDEEATLGETDEDGFLKAFKVANFEYIDEAEAAEEKSEIEGKFSVSGSEGKSYWEELLKDRYQEHKVEELNVLGKGKRNRPKFLMVSFKGENFSRLEDGSSDGEDDNYEVDLTDGNSNSTGTTTAKRPSKKKARSADNTDPLPLMEGEGKSLRVLGFSQSQRAAFLQILMRFGVGDFDWKEFMSHIKQKNYEEIKEYGTLFLSHIAEEINDSPTFSDGVPKEGLRIQDVLVRIAVLLLIKEKVKFASENPGTPLFSDDILSRNIGLKLTKTWKEEHDLVLLHSILKHGYGKWPAIVDDMDLNILMVICEELNIPFINVPVGQVGSHMPNDANMTNAESTSNQSRQNNGSVMAVNGAYGSCDAGNQEKLHQESSTLCQFREMQRKHAEFIKKRFLLLEKCINYEYQKEYNDDMKARERTNKELKNEPMATNVGRHRLGETHTQTIDQLPQLDPITFEEICTFSVRDNNPNRLTLCHLYNEMCHAVETNTADLVQASLSRELTEVNAMKNFHQLATICDHVNRILTPQNQTISEPIANSDIKPDVVSQTEVLEPESHFIIPQDESKSRDNGV >CAK8569972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18538561:18555981:1 gene:gene-LATHSAT_LOCUS22766 transcript:rna-LATHSAT_LOCUS22766-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVKSDRKKFYTIDLSDDDDDDDLMPSKSQTTQEKFEKIVRSDAKEHSCQACGESENLLSCETCTYSFHHRCLLFPLKSSHLDNWMCPECDNPLNNIDKILDCDMRSIVAGKGDTKNLESKESLAKQYLVKWKGLSYLHCRWVPEEEFLKAFKNYPRLKTKVNNFHYQKEQVRQLDEGFVAIRPEWTVVDRILACRANDNEKEYLVKWKELPYDECHWELESDISLFQAEIKRFNIFQSRTRKISYSKQKSRDNDDAELIKQHKEFQQYEQSPEFLSGGALHPYQLEGLNFLRFSWANETHVILADEMGLGKTIQSIAFLASLFKENVSPHLVVAPLSTLRNWEREFATWAPQMNVIMYCGSSQARGIIREYEFYFSKNEKNKRVKSKKIVTTIKFDVLLTSYEMINLDTTWLKPIKWECMIVDEGHRLKNKESKLFSSLNQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEQQISRLHKMLAPHLLRRVKKDVLTELPPKKELIVRVELSSKQREYYKAILTRNYEILTRRGGAQISLNNVVMELRKLCCHPYMLEGVEPVLNDEKEKYKQLLESSGKLQLLDKLMMKLKEQGHRVLIYSQFQSMLDKLEEYCVYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGVGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMVEMTKKKMLLEHLVVGSIKTQNFNQEELDDIIRYGSKELFADENDAEGKSRQIHYDDAAIDRLLNRNQVGDEEATLGETDEDGFLKAFKVANFEYIDEAEAAEEKSEIEGKFSVSGSEGKSYWEELLKDRYQEHKVEELNVLGKGKRNRPKFLGENFSRLEDGSSDGEDDNYEVDLTDGNSNSTGTTTAKRPSKKKARSADNTDPLPLMEGEGKSLRVLGFSQSQRAAFLQILMRFGVGDFDWKEFMSHIKQKNYEEIKEYGTLFLSHIAEEINDSPTFSDGVPKEGLRIQDVLVRIAVLLLIKEKVKFASENPGTPLFSDDILSRNIGLKLTKTWKEEHDLVLLHSILKHGYGKWPAIVDDMDLNILMVICEELNIPFINVPVGQVGSHMPNDANMTNAESTSNQSRQNNGSVMAVNGAYGSCDAGNQEKLHQESSTLCQFREMQRKHAEFIKKRFLLLEKCINYEYQKEYNDDMKARERTNKELKNEPMATNVGRHRLGETHTQTIDQLPQLDPITFEEICTFSVRDNNPNRLTLCHLYNEMCHAVETNTADLVQASLSRELTEVNAMKNFHQLATICDHVNRILTPQNQTISEPIANSDIKPDVVSQTEVLEPESHFIIPQDESKSRDNGV >CAK8544228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673228437:673233675:1 gene:gene-LATHSAT_LOCUS12927 transcript:rna-LATHSAT_LOCUS12927 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSNLAESTHDLNEQISQLMQCKPLSEQQVKELCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >CAK8578718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649186886:649187191:1 gene:gene-LATHSAT_LOCUS30706 transcript:rna-LATHSAT_LOCUS30706 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGELNVGFITTSDKLISKSIKKGEVFVFPKGLVHYQKSSGDIASSVISAFNSQLPGAFSTASALFGSTTAVPDDVLAQAFQIDTKQVDEIKTKLAPKKT >CAK8579456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700521811:700525413:-1 gene:gene-LATHSAT_LOCUS31403 transcript:rna-LATHSAT_LOCUS31403 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAEKRGKAMVELKPISTVISSFLQIMKHRGYIKDFQVYDPHRVGRITVELQGRLNDCKALTYRQDLKAKDIEAYRLRTLPTNQWGYVVITTPDGVLDHEEAAKRNVGGQVLGYFH >CAK8543398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605459099:605459545:1 gene:gene-LATHSAT_LOCUS12155 transcript:rna-LATHSAT_LOCUS12155 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSSNSKAHDEATKISSIEEHVPKENMVTFPTEQGHSIKGSTTIKDDEYIGKHKVETPLDNDETFNSFIRRAKNKIRTVTMSKSNIDREHSYKTAPAAPDQEVNVGDNSYKENYQREQFDDFIQIAKKKMRATSSIRNNSFWKKP >CAK8578195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612028329:612029389:-1 gene:gene-LATHSAT_LOCUS30229 transcript:rna-LATHSAT_LOCUS30229 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNQDEVINDGEVPVNGKRSRGEPVIKSGRNIKNQKGKDGKYHDSHHEMHIRNERERRNEMRNMFASLHSLLPDLLSKVDKSTIVDAAVKEIKNLQQILENLEKKKQEKLKSMFPSVSDSSSVTNSPLNSYESRKHIIVDQGPSNNNNKFPISAIETSNALSLYAPPPQQVAFQTWSSKNVMLNICGGEAQFCICSSKKSGLLTIISFVLEKHMIDVVSINITRNGNVYMILIHASHGSYNKISMEETYKQTAGEISIWIS >CAK8573782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636531432:636536060:1 gene:gene-LATHSAT_LOCUS26188 transcript:rna-LATHSAT_LOCUS26188 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRYLLFLLLPLLFTVNSEADDQLIFRYHKCNNDLGNYTGGSAYQNNLAAVLRDIYSNREIDYGFYNFSKGENPDIVNAIGFCRGDINPSDCRDCLKTSAVLLTDRCAVQKEAIGYYDICTLRYSNKSISGVMDTYTGQYYNIESKTVVDDVFNQTLYGLLDELKSTAAEGDSRKKFDEKSVKVNELNSNNETIHGMVQCTPDLTKQNCTKCLDLAFGDLSRWCPEMKGCLYLGPSCSVRYDIVPFSQSIDTESPAPQPSSQEISPKTSTKTFTKGKRRKIRTAIAIVLAFVVAGIVVVGGCIYFKRRKPRSEYSAEIEVQETYEDEDEVKAGNDLKVGDLLQFDFETIKLATSNFSDANALGQGGFGTVYKGTLDGHDVAIKRLDNNSKQGETEFKNEVLLTGKLQHRNLVKLLGFCLHRGERLLIYEFVSNKSLDYIIFDPIKRANLNWERRFKIIKDIARGLLYLHEDSRLQIVHRDLKTSNILLDDDMNPKITDFGIARLFAAKQTHGMTSTIIGTYGYMAPEYIKHGEFSIKSDVFSFGVIILEIVCGRRNTKVRDGENIKDLLDNAWENWKTGTSLDIVDSILEQGFNKEKERCIHVGLLCVQEDIDVRPSMSSVLLMLSSTSFPLPEPSEPPFLMQSKRALSISLSDQYSGLTKSTDSGSGSQFTQGSTTKSSVTDQ >CAK8541170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79847931:79848242:1 gene:gene-LATHSAT_LOCUS10109 transcript:rna-LATHSAT_LOCUS10109 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYSFGG >CAK8538226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471890956:471895111:1 gene:gene-LATHSAT_LOCUS7449 transcript:rna-LATHSAT_LOCUS7449 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQDGGNGSPKSSSLTSSYEEALEALSSLIIRRTRADGSNFGDQFDVLFEYIKMLDLEEQISNMKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLNGIEICQEKFIAYFWWCYDRLKEKTDDNIPMPNYFRFLALLAFKIFAEEQVDVAIMEVGLGGKYDATNVVKAPIVCGITSLGYDHMEILGNTLGEIAGEKAGIFKDRIPAFTVTQPEEAMHVLEEKASKLNVPLQVAPLLDAKLLNGLTLGLEGEHQYLNAGLAIALCSTWLKRTGHLGHTNLERTDTLPEQFIKGLTNASLQGRAQIVTDIHFTSEQSNELVFYLDGAHSPESMEVCARWFSLAIKENNPDQTLFHQQLDNPKASNEIVKTQHGEKGFQRKSTPVLLFNCLTVRDPQLLLPRLMETCADHGVYFKKALFVPSLSVFNKVGSQASTTITDSKVDLSWQFDLQKVWENLMQGNKGKVTDTVSEELKDGMEMSDNNCEYSAVFSSLPVAIKWLRDRSQQNQSVRFQVLVTGSLHLVGDVLKLVKK >CAK8578025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602522862:602525283:-1 gene:gene-LATHSAT_LOCUS30072 transcript:rna-LATHSAT_LOCUS30072 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFCCFSSVSQAVGGRSSCNSGKGKSSQSPVKYGFSLVKGKANHPMEDYHVAKFVHFKGQELGLFAIYDGHLGDSVPSYLQKYLFSNILKEDDFWNDPFMSISKAYESTDQAILSHSPDLGRGGSTAVTAILINNQKLWVANVGDSRAVLSREGVAVQMTTDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDIQYADVNQDTELLILASDGLWKVMANQEAVDIAKRIKDPQKAAKQLASEALNRDSKDDISCVVVRFKG >CAK8575164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:42720201:42720671:-1 gene:gene-LATHSAT_LOCUS27450 transcript:rna-LATHSAT_LOCUS27450 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLKLACVVLVICMVVIAPMAEAALTCGTVTSDMTPCLAYLEGPNTASPPPACCAGVKKLLSAAASTPDRQAACNCLKSAAGAISNLNPNNAAVLPGKCGVSIPYKISTSTNCNTVKF >CAK8536362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934286825:934288117:1 gene:gene-LATHSAT_LOCUS5738 transcript:rna-LATHSAT_LOCUS5738 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLLSRASYENISKKWIPELRHYAPTVPIVLVGTKLDLREDRQYLIDHPGATPITTAQGEELKRAIGAAVYLECSSKTQQNVKAVFDAAIKVVLQPPKQKKKRKKNRSCVFL >CAK8536387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937315702:937316475:1 gene:gene-LATHSAT_LOCUS5762 transcript:rna-LATHSAT_LOCUS5762 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANQLSFFFLFFFLLLLSPQIHARQNKFFSFFSHFKTTNNVNDPQLPQSPAPAPAQEPAAAPEIGRTTILSGPAPEPEFLVETGNGYGLYGIDSSQYSSTKETPKTITDFENELLNEDFNDDKKSYKKGYPQTNLHNNNEVYTKSYNSEEYKNNYNSEEYKNSYNNNNYNKNYGNGYERKGELGMSDTRFMENGKYSYNVNSENENYNNLGGYESGRGNTENEGYYEKNQHPNEFETMEEYEKQQEAQGYTYTP >CAK8537582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:406610240:406611177:-1 gene:gene-LATHSAT_LOCUS6866 transcript:rna-LATHSAT_LOCUS6866 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGTTLKTECCMCGDLGFSDQLFHCKICQFRSQHRYCSNLFPRTDFSETCNWCLSQEDSPNSSNSSSSYKNNGSTEDEGKNKKIIKNCIMKKGLIKDSGGGGGSDGGGGFHLQLPKPMRKPKSPSEAKSPLSSTSPPVIVSTRKRIITNGALEEKLRRTRSEGVMKSSNSVGATTKHVFRNKVRRYKLLEEVSS >CAK8572022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502525134:502526873:-1 gene:gene-LATHSAT_LOCUS24631 transcript:rna-LATHSAT_LOCUS24631 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWILKMGNHVSANIKQALVFESSSSSKKHGPKKHVNKNVLTIGILSFEVANVMSKTIHLYKSLSESEITKLRNEILSSEGVRKLVSSDEGYLLELVKGEKLDELNRVAGVVSRLGKKCSVPALQGFEHVYGDIVSGVIDVKELGFLVKHMEGMVRKMDRYVSATRGLYSKMGVLNELEQTVKKFRIDDNRNEESRRGYEQRLVWQKQDVRHLKEISLWNQSFDKVVELLARTVCTLYARICMVFSESSEKKYSLGFGSCEGSPLVRNECRPASGLINVDVNLEKLKHNRSKKNGFHLNSIGRNGSSRTHIDVKRSELAYVQLEDFGFPCGTSPGRLFMECLSLSSSVSAVDDFDDVVIDREDQFSCVSSSQSPIEIGSIVKKKEHSCSTVGPNSGSSVIYAPPSTLGGSALALHYANIIIVIEKLLSFPHLIGEEARDDLYKMLPTSLRLSLKAKLKIYAKDLAIYDAPLAHEWKATLDGILRWLSPLAHNMMKWQSERNFEQRDIVSRTNVLLFQTLYFADKCKTEEAICELLLGMNYICRYEQQQNALLGCASSFNFEDMMKWKLQRGTSLLD >CAK8576608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497513361:497514653:1 gene:gene-LATHSAT_LOCUS28782 transcript:rna-LATHSAT_LOCUS28782 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLNAEASEFHPSNQVQKLHPPYLTLAPLTHQPSYPFLYYYPAATKHHFHSSTYFSFRVHPNLTTATPTFPPSSDLKKVIAVEAASTEGSDSKQVLRDGVMEDRRSYGLRIPKLEWRKKGIDVAEKEPELKNESSRKNHHRKHVNIHQQLHSRASTDRKNKGSSFPVVPVRHDGDETTVMIKNIPSKYTRDMIVKFLENHCKVENAKDQENGVENTFSFDFVYLPIDFRTGLNKGYAFVNFTKASAAWRFVQTASNQKWELFLSHKIRDVVAARLQGKEKLEKHFVSVNFPCESEEVLPLCFSPPRDGVIKGTQRTLGRLLYKL >CAK8577259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549733096:549735538:-1 gene:gene-LATHSAT_LOCUS29380 transcript:rna-LATHSAT_LOCUS29380 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGFQVMYDKLHAPVIPRSRFRFWFIRVCSSIVLWTCLVQLVTVSELWHSNFFTGLTSRIYHTTQRPIQDDIRLTQSPPTILPARNYTSNGFLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADHSGFEEIFDVKHFIDSLQDEVRIVKRVPKKFSRKGGYSTMAMPPISWSNEKYYLEQILPLFAKHKVVHFNKTDARLANNGLPIDLQKLRCRVNFQALKFTSQIENLGQKLIQILHKRGPFVALHLRYEMDMLAFSGCTLGCTIEEAEDLKRMRYAFPSWREKEIVSEERRSQGLCPLTPEETALVMRALGFDRETQIYIAAGEIYGGERRLAQLRAAFPNIVRKEALLAVNELQQFQNHSSQMAALDFMVSVASDTFIPTYDGNMAKLVEGHRRYSGFKKSILLDRKKLVQLIDMHQNRTLQWNEFADAVRQAHGKRMGQPSYRRVIADKPKEEDYFYANPHECLCEETKCDDLLGPQNSRQVR >CAK8578519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634678524:634683058:-1 gene:gene-LATHSAT_LOCUS30523 transcript:rna-LATHSAT_LOCUS30523 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGGGRSSSSSWAPTTSVSASGKRIQREMVELNNDPPPHCSAGPKGDNLYHWIATIIGTSGTPYQGGIFFLDIIFPTDYPFMPPQVVFKTRIYHCNVEPNGRVSIGILKDGWSPALTITKVLLAVRSILTNPDPYNAVVPGIAHLYLGDRAKHDDIAAEWTLRFAK >CAK8569728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9369221:9373084:-1 gene:gene-LATHSAT_LOCUS22545 transcript:rna-LATHSAT_LOCUS22545-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTKSSSNNHPPIIAPSSSAPPDDEAVITNFTPKTLPSPWAQVVRGGEVESPTGIHQSLPSSSSSSSSSLTAGAADQALSSDHSSKEISTLQQSKEVSAPPAPQPPVVENSGKTVDTSDGSDGNAGRSKKPAWNKPSNGVVIETGPVMGAESWPALSESTKISAKSIAESASKVASADGSTSTSQGPVISHPPQRHGTSNPRPGSAANSNMPNRPRPIRPVGGINIGHGPSHTQSSLSNPPTPPPLPPFPVYQLPPVNFRNMGPSIPDSSPRDHHRNNTWDARPLVGGSSRRGSFGSRTRGDGSYHHNSYSNRRDQDRGNYVSSRDAHVSQPRMPPRGILRHPPPPPPPSTAAFLGPQPIAPFPNPVAYPDVYYFPAVPLDPFRGMPFFPPSPSPATVYPAAESSFSNVIVNQIDYYFSDTNLVKDEFLKQNMDEEGWVPITVIANFPRVKSLTSNVQLLLDSMKNSTVVDVRGDKLRRRYDWVKWSSTSQVQVDSGSVSSGESSYNNLAADLQTINLETTTRAEGESQHSNGGDDSGNTH >CAK8569727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9369042:9373084:-1 gene:gene-LATHSAT_LOCUS22545 transcript:rna-LATHSAT_LOCUS22545 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTKSSSNNHPPIIAPSSSAPPDDEAVITNFTPKTLPSPWAQVVRGGEVESPTGIHQSLPSSSSSSSSSLTAGAADQALSSDHSSKEISTLQQSKEVSAPPAPQPPVVENSGKTVDTSDGSDGNAGRSKKPAWNKPSNGVVIETGPVMGAESWPALSESTKISAKSIAESASKVASADGSTSTSQGPVISHPPQRHGTSNPRPGSAANSNMPNRPRPIRPVGGINIGHGPSHTQSSLSNPPTPPPLPPFPVYQLPPVNFRNMGPSIPDSSPRDHHRNNTWDARPLVGGSSRRGSFGSRTRGDGSYHHNSYSNRRDQDRGNYVSSRDAHVSQPRMPPRGILRHPPPPPPPSTAAFLGPQPIAPFPNPVAYPDVYYFPAVPLDPFRGMPFFPPSPSPATVYPAAESSFSNVIVNQIDYYFSDTNLVKDEFLKQNMDEEGWVPITVIANFPRVKSLTSNVQLLLDSMKNSTVVDVRGDKLRRRYDWVKWSSTSQVQVDSGSVSSGESSYNNLAADLQTINLETTTRAEGSRGGMKVDSLYGDLARF >CAK8535833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884066013:884067040:1 gene:gene-LATHSAT_LOCUS5253 transcript:rna-LATHSAT_LOCUS5253 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQLKLAPSAFTSLSHPSHRFRPNRTIKIRAVGTVPDQKNAETTQSNSEPPSIGFAFVSSVLLPDGTADVHYRSATGGQKLRNIMLNSNIELYGPYGRLLLNCGGGGTCATCMVEVLEGKELLNPRTEKENEKLKRKPKTWRLACQTVVGEADSTGVVVIQQLPEWKGHEWKYEKGDDESAESS >CAK8571157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:318453096:318458064:-1 gene:gene-LATHSAT_LOCUS23847 transcript:rna-LATHSAT_LOCUS23847 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPQFSLIPPSQLPPPQQNSNPSILQDSIPDWDQNPNLTHYLGLSVPKKRRRGRSQRNPALFRSPLTLNASSNYTNNNDLTSYATTSSSSSSVAAPVSFSSIRNQPPSLTQSSSAYDFSDEIIMINKEAKTEAMIALSSGFPADSLSEEEIETGVIPIIGGIEQVNYTLIRNHIIAKWRENVSIWVTKKMFTDYIPLHYHTLLDSAYNYLVSHGYINFGVASPIKDKTPTEPSKPGVIIIGAGLAGLAAAKQLMRFGFKVTVLEGRKRAGGRVYTKKMEVGSRVGAAAELGGSVLTGTLGNPLGIVARQLGDVLHKVRDKCPLYSVDGKPVNPYMDMKVESAFNRLLDKASRLRQLMGEVSVDVSLGAALETFRQVYKDAVNDEEMKLFNWHLANLEYANAGLLSHLSLAFWDQDDPYDMGGDHCFLPGGNGKLVQALAENVPILYEKTVHTIRYGSVGVQVIAGSQVFEGDMALCTVPLGVLKKGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWEMDLDTFGHLSDDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTKVLQILKGIYEPKGINVPEPIQTVCTRWGSDPFCFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATNRRYPATMHGAFLSGLREAANMAHHANNRSMNVKVEKAPSNAHTCASLLADLFREPDIEFGSFSIIFAQKNADPKSPAILRVTFGEPKKKYHEVAKQDQQQHSNKLLFQQLQSHFNQQQQLHVYTLLSRQQALDLREVRGGDEMRLNHLCEKLGVKLVGRKGLGLNADSLIASIKAERGNRKPVSTSMSLKPGLGVSKLKAGIMKRKIIRKAKVVKKSNGSIPRANMNVGSASKVSEENRIIDQVLPDVLVSGNDLSNSNP >CAK8575886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:349478227:349487884:1 gene:gene-LATHSAT_LOCUS28111 transcript:rna-LATHSAT_LOCUS28111 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLVTKKPGRERDKVPLRFRWKKSLVELNGKFEANFRHETMNLLMCSYSEVGSFPHSYSLDDATLCCSNINRVLAEASVQGNPFYRKRNGVTSVDFDNKGIYLASVTKSGCLTVHDFEALYCRTNKLTCLQEDQSKLLLHLSPDHQLDVVKWNPLDQNKVLCASMKRNVLLIFDVTYMTSEPIDELRTRNTTTVSGSNTSKGLSDVAFASNDSRIFGSDTHGTVNVWDRRAKSLPCLELTSASFGTLNSIQLDAENQIIFGAGRHGIVYMWDIRGGRASTSFLSQKEICHPPVTSVKLATLLEKIGSLKAQAEIVPKEIHSIGLNPSFPNQLAFHIADGWSGILDINNFKVTHIHCPPPAWLNDSYASLDQMDLRKPSWLSTCSIYLAGSPFNRGLHMLDFYPSISSPCHVDYKEDIPEFSSSTKQKNQNRFIPLTEEVLSCAAHPLYNAIVAGTKETSLLVISQKRKSCKGED >CAK8564958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18948957:18952400:-1 gene:gene-LATHSAT_LOCUS18207 transcript:rna-LATHSAT_LOCUS18207 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHIAGAFLSSFFQMVFDRLASSNFTDYFRRGKLDKLVEELESTLESINQVLDDAEIKQYQISNVKKWLGDVKHAMYEADQLLDEISCDASLKKLKVESQPSTSNKFLGYFSAFNNSFESRIKELLKNLKHLSEQKDVFGLKNGTWARNEDGVSLKPLERPPTSYLVDASRIYGRDDEKDEMVKILLSNNGSGNQTPVSSIVGLGGMGKTTFAKFVYNESRIEDHFELKAWVYVSEPFDAVGLTKAILKSFNSSEDDESIFNLLQDKLQHILTGKKYLLVLDDIWNGNADYWEQLLLPFNHGSFGSKIIVTTRDKEVAYVLNSTKIFDLQQLDKRHCWSLFVTHAFHGKNMCEYPNLESIGKKIVNKCGGLPLAVKTMGQLLRKKFSQHEWIKILETDMWCLSDVDNSINPVLRLSYHNLPSNQKRCFSFCSIFPKGSRFGKDELIKLWMAEGLLKCCGAGKSEEELGNEIFNDLESISFFQQPYHLILGRYKHHFVMHDLINDLANSVSEDFFMQVNGSMVEGIPERTRHIRCSLQLTYVDKSLEPICQLKGLRSLLLKGDGSILISNDVQRDMFSRLKYLRMLSFNGCGLSELVDEISNLKLLRYLDLSYTHIRSLPDAICMLYNLQTLLLGNFDKLTELPSNFSKLINLRHLKLPYSLKKMPKHIGNLTNLQALPYFKVEEQNGSDLKELEKLNHLHGTLQITGLGNVIDLIDDASTILKNKKYLEEILMTFNGGREEMRGSYVVRQVSVLDALQPNSNLKRLTIKNYRGNMFPDWLRSCHLPNLISLKLQICRSCSYFPPLGQLPSLKELSISSCNGIKIIGEEFYGSNSINVPFKSLEVLEFERMNNWEEWLCFGEFPLLKSLSIRNCPKLKRALPQHLPSLQKLKIFSCGELAVSIPKADNIIELEVKGCDRILISKLSSSLKKFVLSGIRYAEFSEAHNLINNPILEGSELDLGCYNSLHTLCLKGWNLSLPCSLHLFTNLHSLELLDCPELDSFSMGGSSSNLRYLHVHNCPKLIASREVWCLLFQLNFLKVLRLSDKFESVESFPDENLLPPSLESLYLYECSKLRIMNFKGFLHLKSLKSLFIADCPSLERLPEEGLPNSLSYLEIRNCPLLKKKYQKEEGECWHTIRHIPLVFIV >CAK8567067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484396686:484397822:1 gene:gene-LATHSAT_LOCUS20154 transcript:rna-LATHSAT_LOCUS20154 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSSSKDQPKSASTNSNKSQKKRKSNNGSSSTTTTNTTPCCSKLGLKRGPWTAEEDQVLSDYIKKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLINQGIDPRTHKPLDNSNNPSSSSSIIPNVNHQTTAFTSSASPDHHPVITTTTNNESDHHPSPDDHHLAQHHSHNNHYPQQQQQQQQAQEFNGANCDSLISSDVSAMDHHLLNNNHKDFDFDGSNVCCDDVFTSFLDSLINDDAFATHRLENDPLILSAAPPTLWESPLINMSTHFSTKNRDDSPKTGQIHDA >CAK8540142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541595754:541613192:-1 gene:gene-LATHSAT_LOCUS9175 transcript:rna-LATHSAT_LOCUS9175 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTVFLKKDRKPICHVECLQLPADLYGLPQQLNIDSIVSRRKISDISALPQFSVAPAPKSTRDRKEWGLFLNFLQESDKIAITSFEQCKFYILPPPKAYISGSVNVAYQIGSTCNVDTRPRDSESGSHLAEEYGGHNTILARGQPNGGDASSSLLKFSPAEDRAHHFTSETCGGIQSHVMKEKLPEKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSRDAKATKIDIFVDMIKMKKSGNDVPMLSVIDDGQGMNHDEIMRMVSFGHKNSDYDDKDQIGRFGVGFKTGAMRLGKDVLVLTQTANSRSIAFLSQSLNEGKDNIEIPIVSYCRQGQQMEVDTKAQSDKLAKYNLKAIKEFSPFNKYLIGEKAALFCGGTGTQIYIWNLDEWGSGCCLEWHEGLKGGSSFHQGDIFIRSKRSRSRVGQLNQKVLLDYSLRAYLEVIFLVPRMKICVQRKLVKSRPLANFLTKTVIVSGDILGRPVELTIGFSQLEWDQASCGVFLYWHGRLIEAYKRVGGMIHSADVGRGVIGVMDVTNLMDDTDGRVWVHNNKQGFQDCEAYACLEQWLGKKADEYWDTNFDSLNLDKDDCVYKPDCEWVQCDKCRKWRMLPSTFDSRALATQWFCYMEPFKGKCADAEQKVKPGIVTVSTKRSGYDFTTKDSRSIKVEAVSDASNTDGKLSNSENVKHKALKRLKKGVSNRKRNDQTS >CAK8561243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128400598:128400943:1 gene:gene-LATHSAT_LOCUS14852 transcript:rna-LATHSAT_LOCUS14852 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEHFDAFTSASESVEYLGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVTGHGLKLTQRVSLQLPREMESWISRSGLASL >CAK8567572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526245085:526248323:-1 gene:gene-LATHSAT_LOCUS20613 transcript:rna-LATHSAT_LOCUS20613 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNAGYHSGRMLSDKKWIIPFFASLLVSASLVLTVILGEFSSFGEEEQLPLFDVISLNGLEDNSSGYFVESDLERSLNGSVVVKMKVPKFAYLISGTKGDSRRMLRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPIFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLVTQDDMLHVFSNLSRDLNFIEHTHISGWKLNQRARPIIIDPAFYLSKKSDLAVTSKKRTLPTSFKLFTGSAWVVLTRSYVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEKFRHTAISHDLHYIAWDSPPKQHPISLSMKDFDKMAKSNAPFARKFGKDDTVLDKIDKELLGRAHRFSPGAWCIGTSDGGGDPCSLRGNDTVLRPGPGAEKLHQLLQVLLSEEFTSKQCL >CAK8572477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539611059:539616169:1 gene:gene-LATHSAT_LOCUS25040 transcript:rna-LATHSAT_LOCUS25040 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTDQEEDNKPGRNNKVVDEGDGPGTKSSGSVSCSICLEVVTDNGDRSLAKLLCGHQFHLDCIGSAFNIKGAMQCPNCRQVEKGQWLYANGCRSYPEFNMDEWTHDEDLYDLGYSEMSFGVHWCPFGNLTQLPSSFEEGEFPSTAYPDIIGQHAVFAEHTAVSSATHPCPYVAYFGPVHPSSSNSGGAVSEPPNFNHWNGSSMPGEMPGSYAFPALDLHYHNWEHHTPPFSTASSRLVAADQPSVSPASQRPVRGGSDVPRSGSFMHPFLVGHSSPARAGSSIGSPMIPPYPGSNARARDRVQALQAYYQPQQHPNSTTMRAPVASSTRRASSHNGSTQLTQLTPVATSPDQNGGFFLIPSSSSGRNYQEENHLPSRFHAWEREHLPSLSLSLPDRDSSWRPYHQSANRSDPGTTRSSNFRLRHGSDRMPSQNR >CAK8571181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:327900378:327907528:1 gene:gene-LATHSAT_LOCUS23870 transcript:rna-LATHSAT_LOCUS23870 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSSSNSENSGELLCVGTLEIATPKPVGFLCGSIPVPTDKSFHSFHSALLPTPQTVNAPRYRYRMLPTETDLNTPPLLANFQEKVLPVGAVQSKATAGDFPWESTSIASNFTRKCEALAVSGFVDYGDEIDIIAPADILKQIFKMPYSKARLSIAVHRIGDTLVLNTGPDIEEGEKLIRRHNNQSKCADQSLFLNFAMHSVRMEACDCPPTHHVSSEEQSNSSVFPGKTPHIVVQNDDVVQAEGYNCHSDYSQVGHDSLFWSSKKSRRNKSHSPVNKVSQVGEKPRSSMQESEKQRKIGNDNFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVKRQVTPLTWLEAWLDNVMASVPELAICYHHNGVVQGYELLKTDDIFLLKGISEDGTPAFHPYVVQQNGLSVLRFLQDNCKQDPGAYWLYKGAGEDDIQLFDLSVIPKNHSSNSSDDASSSLPSLISSGRSDAVYSLGILLYRIAHRLSLSMAANNRARCVRFFRQCLEFLDDSDHLAVRAIAHEQFARLILNYDEELKLTPESLAVECELSVTEANESSLDGENSNSELVAHEMFYLLADGNSGEHRNISEHLESEGPAKMVSEAPNSVSGELIPAGNTELSNQGGVEPCLSSGVRSSVCEVSPVSEPVVQTVADPISSKLAAVHHVSQAIKSLRWMRQLQSTEPEMMAQFNNNHDTPPSSFNVSVCACGDSDCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALAEAYKEDGQLYQALKVIQLSCSVYGSMPSHLEDTKFISSMASYSSLRREHIDMNENMKWLDDREEEPVYGYIEWKSSTYLFWAKVWALVGDVKIEFHRIKGKEASAQDMTKSATTGLRMSSEVVKEVKRLKKKLVQLNQNCSSCSLVNCSCQSDRASSGNSASSSNADVTLTYGRKHSKRLSSKIANLSPARDSGDDKFIQDKESRKDSDAEYFEDNNNAGSLTETLQSNRTEVESSATMNSRNVEGSSETDNSCSSAVSQTEVNSKETGKAKIGGIFEYLAEPLVGDVEHNLLSALKCYEEARKAFLKLSSGLSELQSVVKKKGWVCNELGRIRIENKELHKAELAFTDAIDAFREVSDHTNIILINCNLGHGKRALAEEMISKMENLKHNIFQIAYNHALETAKLEYKESLRYYGAARLELNAIKEDTDTSTSSLRNEVHTQFAHTYLRLGMLLAREKTTAEVYENGSLVKTRSHTNSHGRKAKKDLRKHEISANEAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFMNSDNKKSGLSKGENSTVQRIKQYASLAERNWQKAMDFYGPKTHSSMYITILMERSALSLSVSTHLHSNVMLESALAHMFEGRHVSNTNVDTFGISYPELHAKYWSQLQVVLKKMLAMVLSSSANKSLSQPSSTSSRSGDSQKIKELYKMSLNGTDMAQLHTMYSLWIS >CAK8565475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233549471:233550033:-1 gene:gene-LATHSAT_LOCUS18689 transcript:rna-LATHSAT_LOCUS18689 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSASETMGDKLHRREGNSPDHQLRPLNDRSVIKEVGVHRQPGGERSALERSTRASRVGRSGSENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8564214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658434030:658434554:1 gene:gene-LATHSAT_LOCUS17537 transcript:rna-LATHSAT_LOCUS17537 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCFAFSLLIFLITFTFHAATGSYIPNKKHSSYRSFRNQFLIPQNIARASVGLHPLIWDEKLTDYAQWYANKRRNDCALEHSNGPYGENIFWGSGVGWNPAQAVSAWVDEKQYYNYWHNSCVDGEMCGHYTQVVWGDTKRVGCASVVCSEGKGTFMTCNYDPPGNYYGEKPY >CAK8562947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560368359:560369959:-1 gene:gene-LATHSAT_LOCUS16407 transcript:rna-LATHSAT_LOCUS16407 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIISSTFLFLFLLIKFYSYSTKTKNSPPRLPLIGNLHQIGSFPHRSFYALAKKYGPFMQMYFGKVPILVISSAEAAREITKTHDHVFANRPPKINYDILLYNFRDVSTAPYGEYWRQLRSICMLHLLSAKRVKSLRAVREEELVLMMDKIRDYSSKSLPVNLSELIASKTNDVVCRATLGNKYSGESGTGFAKLMTDFTELLGTFMVGDYVPSLDWMTHLSGYYSRAKRVAKQFDDLLEGVVEERFKNPKGDNEEQTDLVDVLLWIQRTESLGFPIDRTTIKALLLDMFVAGTDTISTLLEWEMSELMKNPHMMKRLKEEARTVANGRTYITEDDLSNMKYLKALVKETLRMYPPIPLLVPRESRQDVKVNGYNIKAGTRVFINAWGISRDPRYWDQPDEFRPERFLDTSVDVKGTDYQLIPFGSGRRGCPGLVYAMAANDIVLANLVHQFNWELPGGAGAKLDMSEAFGFTVHRKFPLMAYAKVSNEK >CAK8576237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:433237438:433238823:1 gene:gene-LATHSAT_LOCUS28443 transcript:rna-LATHSAT_LOCUS28443 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKIHIVMFPYLGFGHLSPFVQLSNRLFSHGIQISFLSPLSNIPKIKSSFNLNPAIQIIPLNFPNNIPSITSELHPDMFGALFQAIDLLQDQVKTILLKLKPHFVFFDFVQNWLPKLASELSIKSVYFSVYSAICISYLSPHSRLSVADIEKRDLTYEDLKHSPFGFPQKSIISLQTFQARTIFTMMVQTFGDNPTVSDRIAQVLSECSLIVLKSCREIEGPYIDYYQNQVKKPVLFSGVLVPEPSMDVLDEKWTKWLDNFPTQSVILCSFGSETFLSDDQINELAIGLELTNLPFILVLNFPSNLNTEVELERALPKGFIERVKNRGIVHSGWLQQQLVLNHSSVGCYVCHAGFSSVIEAIVNDCQLVLLPFKGDQFLNSKLIADDLKAGVEVKRNDEDGFFQKEDLLEAVKTVMVEVDKEPGKEIRENHMKWREFLLDREIQNKFIIDLVEQLKCLA >CAK8574120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663479900:663480388:1 gene:gene-LATHSAT_LOCUS26495 transcript:rna-LATHSAT_LOCUS26495 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFAVAEEQLASQRLRQKLEEVNVAAQTHLAPVRDHVNYTLQKAYFKCAYECFDRSRRQEEITHCVENCSIPLTNVQQTFDNEMAKFQEKLNRSLMVCQDKYEGAKLQQKTGAMNVVVSCADEAIQDSIKMLPLLTNKLKSSFGIRDAPVLPSKIFFSQHL >CAK8577809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589987951:589988390:1 gene:gene-LATHSAT_LOCUS29880 transcript:rna-LATHSAT_LOCUS29880 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFIEDGSHEAIIAVIHALIAQLTTFPVTTSTNLTRRQERTKVFCEAIRALDATGLLHDIYKSFLLIIFKVFSGILAMV >CAK8538270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474234890:474237117:1 gene:gene-LATHSAT_LOCUS7486 transcript:rna-LATHSAT_LOCUS7486 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRDDDCFDYLHLSPPTKSSKLDYYEMTTMEMEEDTPANVAPPLKQFDEEKSLVLYHDHPSNSNTPLLKSPTSPPLTIVVATHLIPGLKDYLLSRGTLKLEELGEDETRREKTSKDCLAVIPWVPNPLARQEIVPETCQTFEAEVSEMMDMDDPHANNNNQKVEACGVSSPWQQQQCMMSNMLQPSFGAYLRGSIFWRTTKLSAQVS >CAK8538271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474234890:474236916:1 gene:gene-LATHSAT_LOCUS7486 transcript:rna-LATHSAT_LOCUS7486-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRDDDCFDYLHLSPPTKSSKLDYYEMTTMEMEEDTPANVAPPLKQFDEEKSLVLYHDHPSNSNTPLLKSPTSPPLTIVVATHLIPGLKDYLLSRGTLKLEELGEDETRREKTSKDCLAVIPWVPNPLARQEIVPETCQTFEAEVSEMMDMDDPHANNNNQKVEACGVSSPWQQQQCMMSNMLQPSFGAYLR >CAK8579573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708653222:708658349:1 gene:gene-LATHSAT_LOCUS31508 transcript:rna-LATHSAT_LOCUS31508 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSDRKIIVPKELDNLDDVFYGVERNGHLGLSANGEVEAKHRLVLVQWLNNLLPSLDFTANVTDGELRACLSNGTVLCLILNKLRPGSVTMVSESDHSLPSQSENVKTFLKALDGLGVPRFEISDLEKGSMKPVVDCLLILRVKSLMNSLGENVSSTSSTASSPRGYAASSFHYSPPFSVDKRRASSESRFHGVMSSPTMAETPASLIYQVGNKFHEVFQIKPRSYADLPDAKISEMMKSNSLDNAPTQSLLSVVNGILEESVERRNGEIPHRVACLLRKVSQEIERRISTQAEHLRTQSNLFKAREEKYQSRIKVLEAIASGNKEESEIFASQLQRLKVEKVKEEEKKENEKKIIRLMKMLEDKNLVILELTQKLEATKNQYETQCSQLEEAAGDAKAELRQKSQEYEDQSEELRNEVKELETSSDSKYQEWNMKKNQLQAVINFQCSSLQKLKLSWESIRQDVMKEKMVYAEECNRLGVNLKPLVHASQNYQVILAENKQMFNEIQELKGNIRVFCRIRPFLAGKKETQSIIEDIGENDLVVENPSKGKDALRSFKFNKIFGPAATQGDVYSDIQPFVRSVLDGYNVCMFAYGQTGSGKTYTMTGPSGATSENLGVNYRALNDLFRISTSRANFTDYEIWAQMVEIYNEQVRDFFFFSGFLDLHTLGISTQSQSNGIAVPDASMFPVKSPSDVIKLMDIGLKNRAVSATVMNERSSRSHSVVSIHVRGKDFKSGSTLHGNLHLVDLAGSERVDRSEVTGDRLREAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQLLQNSLGGHAKTLMFVQINSDASSYSETLSTLKFAERVSSVELGAARNNKDARELMEQVTSLKNAISKKDEEIERLKSLNMPPVGGISKQVQKQLSGSYKHLVEGDIQQRTIDHIQQNEFLHPSENAKRKTQGFSDTSNNERSSDISDNNSLVLGNETDGSSDNSISRESKKTSDTTKKSKLLAKTVKAVRKLGRIPSSVGLEKDSLKKGSGLTKSPSISHIKASKRWQ >CAK8536464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943432126:943432335:1 gene:gene-LATHSAT_LOCUS5831 transcript:rna-LATHSAT_LOCUS5831 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTKEMQRSKPAAGTCSRCGGCASVADMKTATRFCYVPFYTKSWRAIVCTFCGAVLRSYHQ >CAK8533290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600625311:600627884:-1 gene:gene-LATHSAT_LOCUS2930 transcript:rna-LATHSAT_LOCUS2930 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQEKDHLYGNNNNNNKKQMIPKLIFTFIFVTILVLVTPSLLMMNQTKSKQLSSSSSSSSNIEQETLPSTSLKTCDIFSGEWIRNPKAPYYTNKTCWAIHEHQNCIKYGRPDSDFMKWRWKPNECELPIFNPFQFLEIVRGKSMAFVGDSVGRNHMQSLICLLSRVEWPIDVSHTKDEYFMRWKYPSYNFTMAVFWTPFLVKTRQENSDGPTHTGLYNIYLDEFDEKWTSQIEDFDYVIINGGHWFYKPMVFYEKGKVVGCHYCLLENVTDLTMYYGYRKAFRTAFRALNSLENFKGVTFLRTFAPSHFENGLWNQGGNCVRTKPFKSNEARLEGTNMELYMIQLEEYKISQKRAKRNGLKFRLLDTTQSMLLRPDGHPSKYGHLPGENVTLYNDCVHWCLPGPIDTWNDFLLEMLKMEIVKSKRERRSIDS >CAK8573374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606687139:606687504:1 gene:gene-LATHSAT_LOCUS25828 transcript:rna-LATHSAT_LOCUS25828 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSNNETQQALSSAALIEKTDEGQVVSGPSAVGFIDSLEQRYQKIKEHAEAYPYVWASYIVVYGGFGLWTTYRWRKLRRTEDSVRKLQQRLRELVEAEQPASSAKVVEKGSTSSEKPSK >CAK8566120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381949070:381950074:-1 gene:gene-LATHSAT_LOCUS19283 transcript:rna-LATHSAT_LOCUS19283 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIKPTLVKNSSINNCEKSGRHVAFEIPSKNNYQSHSPDHNHNIQTSVDDFDTRHSHPCCLACCVWSCLVVFIFIVIFLLFGISYLAFLKSGMPTVNVRAFSINKLQVNYNSHKMDATINLGLRFSNKNEEFKLLYGPLFVDVISEDVLLGNTKLKGFSQMPRNDTDLDITMKTNHEVVDTDAADDLKSDIGAYETVFNVYISGKIGVQIGGLHMINVPFLSICEQIKKIDVDYGRNHECDIKMFSLRRSAN >CAK8539665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520666661:520667560:1 gene:gene-LATHSAT_LOCUS8743 transcript:rna-LATHSAT_LOCUS8743 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKNVLSIALICIVFAGVGGQSPSSAPTTSPTTVSTPTVSPVAPVAAPSKPKSPAPVASPKASASTPASSPVAVTVTPAKSPAAPVPVAKSPASTPPAVAPVSSPPTTTPVPVSSPPTPVPVSSPPTPVPVSSPPAPVPVSSPPTPAPTTATPAVTPTAEVPAQAPTKPKKKGKKGKKHSAPAPSPSLLGPPAPPVGAPGPGLDASSPGPASAADESGAESMRCLQKMMGSLALGLAAILFMF >CAK8533802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661231385:661233823:-1 gene:gene-LATHSAT_LOCUS3398 transcript:rna-LATHSAT_LOCUS3398 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRNLHRHFSFKPFSSFFQLQPNLFRNVVVPLGQGIEQRNIDDDKVGFYCYVKPLNLLNGSLYRTMSTSRGRSMRSKVERRMQKESGKTLREIRRAKKLKKKLMTEEERLVYNLKRAKKKIALLLQKLKKYDLPELPPPRHDPELFTPEQLQAYKKIGFKNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMASMLARLSGGIVLNVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKIEQELRRMGVNPEDPTAMASIQRVASTFFNAIDKKEGSPYVFRGDKPSVIEPNEGLEESDPSADSDQEELDRFIADIEDAADKEYEEEEAKEKEEFGRLRYWNREEYGGRFRRSDDSRNDNYNGEVRGSRARQPIHPKYRTNDSDDEENAHFDNDDEDDDDDDEWHSGSIVDDIDIENNSDGSDEARGRFKESRGRGERKNNVGIGKAQVNGGPSRHSEAKFMRNIAIQDSESEGMLSEVENAMWESDEEENDSANLKRASSGNYKSSSSDDDEHNDQLKSNKKNGARDRNSFNAPKSVRGRQEGIGRTKSESTDIFSGSEDGMWKSDGEEDSRED >CAK8534562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742527931:742579117:1 gene:gene-LATHSAT_LOCUS4100 transcript:rna-LATHSAT_LOCUS4100 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSESEKKKSSEAVKTASTGSVSSRKRVEARNVTDSGSVTKRSGSGSVSSSSVPRRNSTGGLAQRSSLSLSLSSDGRTKTTVRDKTLSSSSASTSSVTEPVRRSLPEIRRSSISALHAGKPVAASPVGSSKTEVVKRPLSKPALTVSASSSSSRRVGSSMVDVSGGGPGGAVRKSVAKVSSPSMSGRSPTVSGGLRAGSMSSSSERSSSLSGRRKLGTPDSRNSRFIVLPHIEVKANDDLRLDLRGHRVRSLTAGGLNLSSNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLESCKVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNRISTLAGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKYNDRDLSREEMAIARRYPAHTALCIRDGWDFGRPEQAAESTFRFLVEKWKDHLPPGFFLKEASIDKPLEEDVCHSHFTFVHDGAMSTDPPLVLKYQWFCGDVTLSNFVPIQEATDEFYLPKHDEIGKILKVECIPTLGEIEYPSIFAISSRVKPGSGIPKVINLEVHGELIEGSIIRGCAKVAWCGGTPGKGVASWLRRKWNSSPVVIVGAEDDEYQPTIDDVDSSLVFMYTPVTEEGAKGDPQYKYTDFVRAAPPSVSNVRIVGDAVEGITIKGVGDYFGGREGPSKFEWLRKNRDTGDFLLVSAGTSDYTLTKEDVGYCLTFIYIPINFEGQEGKSLSVTSSVVKQAPPKVTNVKIIGDLRENGKVTATGIVTGGTEGSSRVQWYKTYLSTLDESSLEALSTSKIAKAFRIPLGAVGCYVVAKYTPMTPDGDSGEPTFVISDRAVETLPPSLNFLSIIGDYCEDGILTASYGYVGGHEGKSIYNWYIHEVEGDFGSQIPGVSGLLQYRITKEAIGKFISFQCTPVRDDGVVGDKRICMGQERIRPGNPRLLSLRTVGNAVEGTTLRIEKMYWGGEEGDSVYRWLRTSYEGLQSEIMGATTASYMPSIDDIGFFISVSCEPVRSDWARGPIVLSEQIGPIIAGPPTCQTLEIIGSEIEGQRLNFNAVYSGGERGECTHQWFRVKDNGLREKISTQDFLDLTLDDVGACIELVFTPVCKDGTKGNPKNVVSDMISPADPKGIELIIPDCCEDRQVTPFRIYFGGHEGVGEYIWYRTKIKLEGSALLNISNASDIEICGTELMYKPTLKDVGSFLALYWVPTREDSTSGEPLVAICNTPVSPAPPVVANVRVKELSLGIYSGEGEYFGGYEGESLLSWHRKDSEGTVELINGASSRTYNVTDSDYTFRLLFGYTPVRSDSVVGELKLSDPTDIVFPELPYAEMLALTGKAVEGDLLTAVEVIPNSEMQQHVWSKYKKDIRYQWFCSSEVGDSFSYEPLPNKSSCSYRVRFEDIGRCLKCECVVTDVFGRSGEVVYIETTPVLPGIPRIHKLEIEGRGFHTNLYSIRGTYSGGKEGKSRVQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGVEGQSVSVSTDPIAVEPDVLKEVKQNLDLGSVKFEVLCDKDQTSKKISSVGTYERRVLEINRKRVKVVKPATKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEAHLMVQSRHIRDVIVLVIRGLAQRFNSTSLNSLLKIET >CAK8539725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523115897:523117453:-1 gene:gene-LATHSAT_LOCUS8797 transcript:rna-LATHSAT_LOCUS8797 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGTSQKLGSFLSPREKNIENQKSWNSERVLLQPTTSNSSNRKQGFVAGFSPFNSGRTVPSKWDDAERWICSPVSVSGSGSGSGYVQHQRGTKSKSGPILPQGTAYYSNYSPAIPLRNGLVVKNLMMNSPFTTGVLAPDVVSVHHYYGHDNSYAPHYDVEDSSSSVVNENGFDVSSGMNAPSWSELLSDPSSPNSHDEKCDGSKNDGTVMSPMTKIDRGTQMSSPETENEDHSSPKSSSPILAMDQKSCDSEKLEIRDVQVDCQANVMKGSKSYASKLSATEGKVSGLDIAESSLDTSSSKFERDEAKIVAWESLQKAKAEAAIQKLEMKLEKKKSSSMDKILNKLRRAQIKAEKMRSLTPDQQERHVSKTWKAFPSAKYGWFPNSCFTSQAL >CAK8561976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420390830:420395953:-1 gene:gene-LATHSAT_LOCUS15516 transcript:rna-LATHSAT_LOCUS15516 gene_biotype:protein_coding transcript_biotype:protein_coding MGMYMFPWRKPISRNTPIISFLLHFLLLVSLICHVYSSEKSGQNQTFLPEKEFHKLKNNVNIHLRQINKPAVKTIHSFDGDVIDCVPSHKQPAFDHPLLKGQKPLVPPDKPRGQKRLDNFSDNFQLWSLSGESCPEGTIPIRRIKEQDMLRGCSISTFGRKLKRVGRDTTGDGHEHAVGYVSGNEYYGAKAGINVWDPQVESQYEFSLSQIWVIAGSFGEDLNTIEAGWQVSPELYGDNYPRFFTYWTSDGYQGTGCYNLLCSGFVQTSSKFAIGAAISPTSTYNGGQFDISLMIWKDPKHGNWWLEYGSGELIGYWPSTLFTHLKDHATMVQFGGEIVNSMSSGSHTSTQMGSGHFAEEGFAKASYFRNMQVVDSDNSLIPLPNLKVVADHPNCYNIQPGTNNGWGNYFYYGGPGRNVKCP >CAK8560956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:63116474:63116785:-1 gene:gene-LATHSAT_LOCUS14591 transcript:rna-LATHSAT_LOCUS14591 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITTITYRFCVNGNYTKELKAKRGLRQGDLISPMLFVIIMDYLDRKLQDLDRIPNLNYHSKCGRLKITNLCFVDNLLMFARGAEGSVNLMMEKFWEFSKEI >CAK8567069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484586934:484588333:-1 gene:gene-LATHSAT_LOCUS20156 transcript:rna-LATHSAT_LOCUS20156 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLPLVLKSEDSANLIQQNWWKLLDSAELKRSSISFFDVENHETAISRWSRARTRAAKVGKGLSKDFKARKLALLHWLEAIDPRHRYGHNLHFYYDKWLKSQSMEPFFYWLDIGEGKEVNLEKCSRAKLQQQSVKYLGPMERLSYEVVVEDGKLLYKESGEFLHTIKEGSRSKWIFVLSTSKKLYVGKKKKGSFQHSSFLAGGATSCAGRLVVEHGVLKAVWPHSGHYRPTEENFKDFTSFLEKNNVDLSNVKMGGVNDGIHTFEEDLTGNMSGLEDE >CAK8531544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:123264532:123266023:-1 gene:gene-LATHSAT_LOCUS1328 transcript:rna-LATHSAT_LOCUS1328 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKREAYTNPTNSSSTTTMAFSDEIPSTTTTINNNTSLFPFQPSISTIFDTLPSSSCDQKVSSFGFMDLLGSHDYDTNNNTFLLSDWALPTTTTVIQTLPSPASSNVLDSSEVLNTPTSPNSTSISSSSNEATFNNNTIQQRTKVSTNEHDADAEVEEDGNGVKENDQNQDKTKKHLKAKKKNQKKEREPRFAFMTKSEVDNLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAACGVKKRVERSSDDSSIVVTTYEGQHTHPSPATSRPSLSFVNEPTSFGAGAFGGCSSGSHSHSHFVLPHASSLLYDNTNPTINSTTTPPSLGSSGGYVNTSSFGGFVHDQAIIQRGFGTSHEALLRDNGLLQDIIQMKNEEKDLIKEQL >CAK8536690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7498759:7499651:-1 gene:gene-LATHSAT_LOCUS6026 transcript:rna-LATHSAT_LOCUS6026 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSIELGEVSKNASGSKGVKRGLSIMDFILRIVAGVATLASAVAMATTDERLPFATAFIQFRAEYDDLPSFVFFVMGNSIVCGYLALSLVLSIFHIVRSAAVKTRILLIVLDMVMMGVLTAAASAAASIVYIAHYGNTQANWFPICQQYNSFCERISGSLIGSYIAVAMFVIIIILSQVAISRN >CAK8569818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12634950:12638450:-1 gene:gene-LATHSAT_LOCUS22627 transcript:rna-LATHSAT_LOCUS22627 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYMLMISAELENLTNLEPQGGCDDPNFSYFFKLKCGRCGELSQKETCVSLNDTVPLPAGRGTTHLVQKCKFCGRESTVTMIPGRGKPLTQETSESGKFSPLMLFDCRGYEPIEFVFGTGWKVESLEGTKFENVDLSSGDYSEYDEKGECPVMISNLKATFDVVK >CAK8575397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:108223588:108225768:-1 gene:gene-LATHSAT_LOCUS27666 transcript:rna-LATHSAT_LOCUS27666 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSTYIHKDIDEPLLEPNEPTPQELPSSFTHSFGSKHESDGELERILSDTSVPFVKRLRLATWVEFKMLFYLAAPAVIVYLVNNVTSMSTQIYSGHLGNLELAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAFGAKKYDMLGIYLQRSTVLLTIAGLILTLIYIFSKPLLIFLGESPEIASAASLFVYGLIPQIFAYAVNFPIQKYLQAQSIVAPSAYISTATLFIHLILSYVVVYKIGLGLLGASLVLSFSWWIIVIAQFVYIVKSEKCKHTWKGFSFQAFSGLAEFFKLSAASAVMLCLETWYYQILVLLAGLLPHPELALDSLSIW >CAK8542789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546747314:546748455:1 gene:gene-LATHSAT_LOCUS11596 transcript:rna-LATHSAT_LOCUS11596 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFWTVCLILASLVSSSFCALPRKPVDVPFGRNYYPTWAFDHIKYFNGGSEIQLHLDKSTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGQGNKEQRIFLWFDPTKEFHRYSILWNMYQIVFYVDDVPIRVFKNSKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCEASVNAKFCATQGKRWWDQVEFRDLDAAQWRRLKWVREKFTIYNYCTDRKRLPQIPPECKRDRDI >CAK8544757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704893844:704894194:1 gene:gene-LATHSAT_LOCUS13412 transcript:rna-LATHSAT_LOCUS13412 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSTDSQNWIMKAILHQRENMPHLQGLWQHLLRGDRFVSKKVYMRLRTTGMPTISWNQLLYGHVTRPRAIMTMWQACHGRLPTKERLHKIGMLNNVNVVSIQMMKPSITFSLVV >CAK8563728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627108454:627109413:1 gene:gene-LATHSAT_LOCUS17107 transcript:rna-LATHSAT_LOCUS17107 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESDSKAKLVLEICSISTRSATCVHTILSNPNKTTFIDWYCILGVEENAGVNAIRKRYRKLALQLHPDKNKHPKAEIAFKLVSEANACLTNAAKREAFDFARYKHFCIECKKIPYTTDNVSGNSNGSSFKAWNIITRSRSLKFWRNIRDIRERFKEEANVIENCLRVNSMSRTESPLYNPDSHLDRSKSQNRFDKDTPIFNPSDYSYQDYPHMRGHVNKNSSTFWYLQTNSMLHNEKRGPRLSSPVFEVKSRSMFTNQFAFVPTRY >CAK8562667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524286042:524286320:-1 gene:gene-LATHSAT_LOCUS16145 transcript:rna-LATHSAT_LOCUS16145 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKCEDRGKKEQWIPKLKPPETSANITPVKPPEREETTRAGRDSWTRARKFVRDKGKNIVTDTTNNINCNNGFEALEVLNDHQVTTNPEPC >CAK8571629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:451795860:451796300:1 gene:gene-LATHSAT_LOCUS24271 transcript:rna-LATHSAT_LOCUS24271 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRVILEGLMFTLDLGFRRVEVNTNSFEIARDLSLGRSIRSDGLEMLRKIESLLSRFDEAIVSHTYRETNMCADALAKFGCRLSSDMACLSSAPSFIKRLSWGFPPLRRCSCSVFFFFWAFGLHLYKKKKVNYRDSIHPFLIRN >CAK8544727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702516066:702525361:-1 gene:gene-LATHSAT_LOCUS13382 transcript:rna-LATHSAT_LOCUS13382 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSTGNANNSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSAISDGSDYLFTGSRDGRLKRWALSEDVATCSATFESHVDWVNDAVLVGDNTLVSCSSDATLKTWNALSTGTCVRTHRQHTDYVTCLAAAGKNSNIVASGGLGGEVFMWDIEAALAPVSKCNDATVDESTNGIANSLPSTNLRNISSSNSISLHTTQTQGYIPIAAKGHKESVYALAMNEGGSILVSGGTEKVVRVWDTRSGSKILKLKGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTKESSLLCTGEHPILQLALHNDSIWAASTDSSVHRWPAEGCNPQKIFQRGNSFLAGNLSFSRARVSLEGALPVPLYKEPTLTIKGTPGIVQHEVLNNKRHVLTKDTSGSVKLWEITKGVVVEDYGKVSFKEKKEELFEMVSVPAWFTVDTRLGTLSVHLDTPQCFSAEMYSADLNIFGKPEDDKVNLGRETLKGLLAHWLRKRKQRMGSPASANGELSGKDVTSRSLIHSRAEVDVSSENDAMVYPPFEFSVVSPPSIVTEGTHGGPWRKKITDLDGAEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSPVQILTQGKLSAPRILRIHKVINYVVEKMVLDKPLDNILADGNFPPGLTGSQSQLQAVGDGSFRSGSGFKPWQKLKPSIEILCNNQVLSPDMSLATVRAYVWKKSDDLVLNYRVVQGR >CAK8562780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:536399785:536401574:-1 gene:gene-LATHSAT_LOCUS16248 transcript:rna-LATHSAT_LOCUS16248 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSLDHLPPSEQLCYVHCNICDTILAVSVPCTSLFKTVTVRCGHCTNLLPVNMRALLLPSPNQFHLGHSFFSPTHNLLQEEMPNQVPNFMMNLTNTPNEFSMPPRTVADELPRPPIINRPPEKRQRVPSAYNRFIKDEIQRIKSVNPDITHREAFSAAAKNWAHFPHIHFGLMPDQNMKKTNVCQQEGGDGQALMKDGFYASANLGVSPY >CAK8534962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:797618911:797624255:-1 gene:gene-LATHSAT_LOCUS4452 transcript:rna-LATHSAT_LOCUS4452 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERSFEAWEEVQRHGQDLADRLTQGFTDLIHTHITPPQFVWPNPPKSKLFDLEFSTQSFGKRDFGLPVDDYGVSAIFDIGNRIGQAGMDFGASLNGMVQQFFRSLPLPVPFKHEEDTVRVGGGEGRQRRGLVGVSVNEDLGLGSLTERLRNHGFSESVSGTVEEEIGGFNLGSAGHMGRRQGIINFTSTYDSRTQEVEGSVAARGDLWRVEASRGGSTSGNENSSLYLVQLGPLLFIRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMICLNPIACSFVDLQFPNGQLTYVSGEGLTTSAFLPVCGGLLQAQGQYPGEMRFSYSCKNKWGTRITPMIQWPDKSFSFGLCQALAWKRSGLLVRPTIQFSVCPTFGGSNPGVRTELIHSVKEQLSLICGCALTTYPSAFASVSIGRSKWNGNVGNSGLVLRVDTPLCSVGRPSFSVQINSGIEF >CAK8575508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:144513198:144515526:-1 gene:gene-LATHSAT_LOCUS27765 transcript:rna-LATHSAT_LOCUS27765 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFSCFHVNVQGNKAKKIIQPPTETMPRVLQDSSKIPVRKDPSIATNIGSSLSKQQSSKLMYVSENDILDQDSVELAAKLEDLNKKFYVEFDGKVHQTGRLRKSQSESVLCQVVTEGGRNLEFSKSLNNSPNSSSSPNDQCKMNSNFEFQAVPGRDNDGSVFSIGDPTHSDKDAHEISDTPLSGDLAGYSTEHTSGPSTPTLRKSRSLPDFKAPTLSFKYASSMSRSSDDLCALGLRKKDVFINVSYDQTRETQEKESSMEKTEDFRMDRYFEDGYNSHLLSCLPKDRIMPITDDISDAEPLQGDSSSEYPNKDFKVKRIEDWVNGLQQCAPPLEDITELPEPVDPVVDVNTAIAVTPSVVDHKISPGMEAAKRYISSLTANASAAQLANHGLVVIPFLSAFVSLKVLNLSGNGIVKITAGALPRGLHTLNLSKNNISTIEGLRELTRLRVLDLSYNRILRIGHGLASCSSLKELYLAGNKIGEVEGLHRLLKISILDLRFNKISTAKCLGQLAANYNSLLAINLEGNPCQKNVGDEQIKKYLQGLLPHLVYYNRQPFKANGLKDSADRAVRLGMSSQQFDRGLRVDRKTTRKVPTSARRPSSGSSPKYSKGKQGHLPPIGTKASPQSRHHLDSFDKVLSLNSWNFMRKSRSEGTLGAF >CAK8574027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654658293:654658721:1 gene:gene-LATHSAT_LOCUS26418 transcript:rna-LATHSAT_LOCUS26418 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQKFKIFATQCGVEKSPTLSPRTSPLVQLPRPKTTLRSLLGLSLTRPPRRQGHVSVLEKKEKDSMRRHSLKDLFVSSPPREEDAEGGDGSPMLGGMGLFRSDSWRVGPGLSNPVLTGFRCRSLLKRKAWRPMLLTITEQ >CAK8571279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:360327398:360330471:-1 gene:gene-LATHSAT_LOCUS23956 transcript:rna-LATHSAT_LOCUS23956 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKIVQYRERLDRTLASDDLTNVEILKKLVKSQLLPSSELEDEGYKEKLIDRKTKEIANFLDMLRSASDDHGRLNTSHNDWKLKQDSDEFRVMYRQGPEGTPFHTMLVEGFVDGPVDVCLCISWQTSLYKKWWPQSTIPTFKILSSECLQKVQIGEQISLVRMKVSWPLSMREAVVHYYLFEYFQEDLLVVLTNSVPDSKSVAETLCGFNDEEIPEAKDVVRVDLVGGFALQKCTSERSYFRTIANMDVKVDFVPPSLINFISRQLIGNGFRLYQKVVASVMSQDKEEFSKALGDPLYARIREALYSTSSGELPQVARIHPVEDLVESKKGEINDVSKEDKRSQHSNNVMSSAMNAGVLENSETFCEIVELGKEMIVQSVEDDMKVNDEVGADGKRSVYIRSEVEQALQTLDKAISIVREYRLCSPIASSSFANKEVCMKKYGRVDSFSIKSVKPCDKNEVSVGSSPFENGAILGQSTRDNKQINDDLVQGISSDDKAKSRRKEKTNTIVNQGMSSNKPKLSRHRKYLCCSFPH >CAK8533367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:609940597:609943513:-1 gene:gene-LATHSAT_LOCUS3001 transcript:rna-LATHSAT_LOCUS3001 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFPFLKHCPCILLFLLFTLFYTLTEAYDPLDPTGNITVKWDIINWTPDGYVAVVTMNNFQQYRHISAPGWSLGWTWAEKEVIWSMVGGQATEQGDCSKFKGDIPHCCKRNPTVVDLLPGAPYNQQIANCCKGGVLTSWAQDPANAVSAFQLSVGGGTADTTNETTVRLPQNFTLKTPGPGYTCGPAKIVKPTRFITPDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVALSSFYNDTIVPCPRCSCGCQGDSAQTGSCVDPSAPHLASVVSSQGKNSITPLVRCTSHMCPIRIHWHIKLNYKLYWRVKVTITNFNYRMNHSNWNLVVQHPNFDNLTQIFSFNYKSINPYGLINDTALLYGVKFYNDFLMQAGPIGNVQSELLFQKNKSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNAGSKIEVSFLALMMASLVAILLHAHS >CAK8565124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:42539676:42539879:-1 gene:gene-LATHSAT_LOCUS18361 transcript:rna-LATHSAT_LOCUS18361 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAIQIFSFLFVVVFAAVASAQDLSPSLAPAPGPDAGAAGSVTNSVAMIGASIVLSMIAIFKN >CAK8562213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457070740:457073764:1 gene:gene-LATHSAT_LOCUS15730 transcript:rna-LATHSAT_LOCUS15730 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLSREELVMVVQNYYDIIMVLFLSIGLTYLASRAWKSVTNKREDIPGRLGLPLIGETFSFLSATNSTKGCYDFVRLRRLWHGRWFKTRLFGKVHVFIPNPEGARTIFANDFDKFNKGYVKSMADAVGKKSLLCVPVESHKRIRRLLSEPFSMSSLSAFITKFDKMLCGRLQKLEGKERSFKVLDFCMKITFDAMCGMLMSITEDSTLRQIEKDCTAVSNAMLSFPVMIPGTRYYKGIMGRRRLMETFREIIARRRTGEESPEDFLQSMLQRDSFPASEKLDDSEIMDNLLTLIIAGQTTTAAAMMWSVKFLHDNRDAQDILRDEQLSLTKMKPEGASLNHEDINNMRYGLKVVKETLRMSNVLLWFPRVALNDCTIEGYEIKKGWHVNIDATCIHYDSDLFKDPLKFNPQRFDEMQKPYSFIPFGSGPRTCLGMNMAKVTMLVFLHRLTSCYTWTLDDLDTCLEKKAHIPRLKSGCPVTLKSISKSTTETEA >CAK8568961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659828235:659828555:-1 gene:gene-LATHSAT_LOCUS21865 transcript:rna-LATHSAT_LOCUS21865 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQPINHNFLVERKGFAFSSDIEYENLPDFCSHCKKIGHDVQYCKQLKKPIFQLIQKAKVTYLTKSVSTLQGETLRPNIIGDDVVLNSNRNDTREEGQDLDINQ >CAK8540765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20054158:20055106:-1 gene:gene-LATHSAT_LOCUS9737 transcript:rna-LATHSAT_LOCUS9737 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVHCSWHHRSLALSSINLEEIRNENINLERIPIEEVFEQLKCLRKGLSSEEESNRLEVFGPNKLEEVEDDKLLKFLGFMWNPLSWVMEAAAIMAIALASGGGKPPDWQDFVGIVVLLVINLTISFIEENNAGNAIAALMDGLAPKAKVIFIYSSLLFLF >CAK8564905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15151846:15153312:1 gene:gene-LATHSAT_LOCUS18156 transcript:rna-LATHSAT_LOCUS18156 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHNQTVEHLSLKSICSMCYNNRPKLDWTIRDFTYAELHAATQGFSIKNFLSEGGFGSVYKGEILGQKIAVKQCIHANHKQEKEFKSEVDALTKARHENVVMFLGSCSEGNNRFLVYEFVCNGSLDQHLSQYSRKPLCWKDRVKVANGAAKGLLYLHQNNIIHRDIKPNNILLTHGCEVLLGDFGLAKIVSEEFSCSIECPGNLAYWAPEYAASGKVSNKTDVYSFGVVLVELITGMKTTDKRLGGKNLVGWARPLLKQGNCQKLVDGRIMDSHDCHQLFWLSRLAGNCLNKDPHKRLDMNTVVKALTHIEEGCSGCIVGKDSIDMSSYSKSKDDMHDSSESDDESGNIGSSSITANCKNLKL >CAK8536006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897354756:897355931:-1 gene:gene-LATHSAT_LOCUS5413 transcript:rna-LATHSAT_LOCUS5413 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAIVCPQVSSSKKGMDLHQVFDNRRKSLPFFEENGYSASFHSDTNNWLAFWLYLVTSSYFLLRENVNFFSCSGIKSEVNVSLCDKSTPNERTENAATVVDDAVSYSESLGSPHSTCSSTYFDASSEISDFDRTSYWHSLLSLEEEDSEWLSDSMISENPSSPLSYSGDSFTEFSDVDTPSYWDSLLKLEEEDREWNMGKVSYWHSFSLLNLEDEDSEWLSDSIISESPSSPLSLSGDSFTDISDVGTPTYWDSLLRLDKEENSECISDSNQGLECFQDGSPVSLYKINWGNVVLPPVSTISSFNCDEPLFWPFEGEFDWDYEETSFCNSPRKKDIFYSNMDSPLEYFAVDQEFAIETLVGLKEFDGHEGLELDYEIIGDGFMLEEFLQ >CAK8569760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9849625:9861399:-1 gene:gene-LATHSAT_LOCUS22574 transcript:rna-LATHSAT_LOCUS22574 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPEKNPNPKNVYYIGGLPVEFPYQPYGSQFAFMGRVISTLNRAQTEGHCHALLESPTGTGKSLSLLCSTLAWQHHYKPTVNDKPAPEATADPLGHGGGFIPDEVSLTSASENPDHANNKQDKKKRAPTIYYASRTHSQISQVVRELRKTSYRVPMAVLASRKHYCTNKNVQGKENIDEECKLLLKDQAIGCPEFKNAHKVKAHPSIQKGGCNEVHDIEDLVKVGQSVKGCSYYAARSMSDDAQLVFCPYSYIINPVIRGAMDVDIKGAIVIFDEAHNIEDISRDAGSVDIEEDILDKLQMELQLLCHSNTAIYQPLYEMTQGLTSWMEQKKNNLEKRDFQHHVSCWTGDKALQELEEANILKQCFPILLECATKAIKIATDLETDAPRLTGMSVLTLEGLFSSLSYFFSKNGSQMLDYQLALQRSVRKQDGRAFGNWTYTFSLWCLNPEVVFRDVADLSLSVILTSGTLSPMASFSSELGVKFETRLEAPHVIDIDSQVWPAIISTGPGNYPLNASYKTADAYAFQDAVGRSLEEIFKIVSGGCLVFFPSYKLMEKLRARWSETGQWSRLNTEKSLFVEPKGGSQDDFELELKGYYDSIHHGKRPASGRKRRIKKTDLNHVHAVDTLQDSNKGGAALLGVCRGKISEGIDFSDDNARVVIIVGIPFPNINDIQIALKKKYNDTFKSSKHLLGGNEWYCHQAFRALNQAAGRCIRHKLDYGAIILLDERFCQERNKAYISKWLRRPLRVYNNFDSSLEGLKSFFENAKERYGTNTVHATENLDLNVDDGVQNKDGIMRFIGKKNRKLNKSRNGGEKETSVIEDNISIPIPSSDNLAESKPSAQGNSNSYNFKDHINLHCCNLTERFTGASSSTFTHDETSIVKESPYLDICSGAVSSDYSKDDNSDSTIIEASARSAIDRLSSFHESSTTGSKISITVTPEKCVTANNIRETESSFNMSVNSHNPKRRKPMVIPFINLMEEENTTSCVNTPTWYTKSSVEVRDATNGSESSFGKILNSQSPQLPTSKLRGLCSLTVPLLDKKLQLFCSLCKNPLGRHENHQYLTCSLISSSKVHLSFLLKERLESYTASTEKSVPVFVTDSSFVDQRICNRKPTDTQEQGIWCPEDGCVFSPIFCPFCSNTNNLLGVQIMATNSSNVQLLDKILFFVDSLEVKNHEETGKNASDEVSFLRVINSSMDGVAALNSFEKYSYVPQPGKSEVWNARKSKPRLRGLP >CAK8564279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665431715:665436497:1 gene:gene-LATHSAT_LOCUS17601 transcript:rna-LATHSAT_LOCUS17601 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQTVLSLRPGGGRGNRLLAPRFESSSSNSSVSPAFGSFPSDLLRQHGAAQPRFAFKIGDSQFEGRERVRYSREELLHIKETVEETPEDILKLRHEIDAELFGEDQSWGRVESNAPTQTQTRYAEPDNRDWRGRSAQLPANADERSWDNIKENREFGTSQPNSQYPRSNQGGGPAPTLVKAEVPWSFRRGSLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIESGITSADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNEKLPPFPSEEPDGKEITFKRVLLNNCQEAFEGAGKLREELAQMTSPEQESERRDKERVVKIRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGASDSKVCPAEENVEAICHFFNTIGKQLDESPKSRRINDVYFGRLKELSTNPQLVPRMRFMVRDVIDLRASNWIPRREEAKAKTISEIHSEAEKNLGLRPGATASMRNTRVTGIQGNTSAGGFPIARPGTGGLMPGMPGVRKMPGMPGIDNDNWEVPRTRSMPRGDMSQTGGRGQSPFLSKPSPALNSKLLPQGSSGLVSGKNSALVHGGRPTSFASALEPAPQISSPVKPVSAVSPEKPQAPAAKLNIDDLQRKTVSLLQEYFNVRLLDEALQCVEELKAPTYYPEFVKEAISLGLDKSPPCVEPVAKLIEYLFLKKILSARDIGTGCLLFASLLDDIGIDLPKAPSNFGEIIGKLVLAGGLDFKVVREILNKVSDDYFQKAIFTAAVQVISSSSGQAVLDAQASDIEACQALLQ >CAK8565794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:334965117:334965833:-1 gene:gene-LATHSAT_LOCUS18976 transcript:rna-LATHSAT_LOCUS18976 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPPPPLHPTTSETKQVPLDQIVISNEAKFSTTTKLRRPTNLRQLRFQRTNPIIWFGAILCLIFSLVLIFFGVTTLIIFLAIKPKNPTFDIPNANLNLVYFDSPQYFNGDFTLLANFTNPNTKIDVRFESLDVDLFFSDAIISAQSIQPFTQRKKESRLQSLNFISSLVFLPKDLGVKLQRQVESNQIVYNVRGTFKVRVNFGLFHLSYMLHSRCQMVLTSPPNGVLVARKCITKR >CAK8536075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905037718:905039691:-1 gene:gene-LATHSAT_LOCUS5476 transcript:rna-LATHSAT_LOCUS5476 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNTFLPDFCVPDTINQVTDGFELEGSTSSPSSFTSSNEEYPEGTRYSNNFLRYITDILMDEEDELERQPCMLQECLRLQAAEKSFYDVLGQSYPNSSCDDTTDPDGSFGPAASFESNNSSCTCTTDNTYESDWVNLVGEINSSLPLQTPLVEQNYYEMTEPDPVVIESQAANYFHNGTWSSNDIQPVIVAEDSASLVPREKRSHKTDDNDDTSNEKEGRDSKVSAIFSDELEAPEILDEVLLCQIGRTQNLQASQNLDSGEKATATSSRSKKVSRNNNATAVDLWTMLTQCAQAVASYDQKNTNELLKQIRQHSSPFGDGLQRLAHYFADGLEIRLAAGTPSYKALDVATAGDMLKAYKLFVTSSPLQRMTNLLLTKTIRSVVKNESSIHIIDFGICYGFQWPCLIKTLSTRHGGPPKLRITGIDLPQPGFRPAERVEETGRRLENFCKKFNVPFEYNCIAQKWETIRLEDLKIDRNEITLVSCLYRLKNLPDETVAVNCPREAVLKLIKKINPKIFFHGVVNGSYSAPFFLTRFKEALYHFSSLFDMFEANVPREDTQRLMLEKGLFGRDAINVIACEGAERVERPETYKQWKIRNRRAGFKQIRLDTKLVNETKAMLKREYHKDFVVDEDGKWILQGWKGRILSAFSAWVPV >CAK8541303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:109954522:109955809:-1 gene:gene-LATHSAT_LOCUS10233 transcript:rna-LATHSAT_LOCUS10233 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTISPRKLHSDLYSFSHQQDSSTPLVINVLASLIERNMARTKRIVKNCSKSLSKAISTNIFDCREIPDLSIQSYLERIFRYTKAGSSVYVVAYVYIDRFCQINPGFRINARNVHRLLITTIMVASKYVEDLNYRNSYYGRVGGLTTIELNKLEVEFLFLMGFKLHVNVSVFESYCCHLEREVGIGGGYHIEKTLRCAEEIKARQRKEIRGYTQIPRVML >CAK8566561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436274033:436276460:1 gene:gene-LATHSAT_LOCUS19683 transcript:rna-LATHSAT_LOCUS19683 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDIGVTEVVLAINYQPEVMLNFLKDFEKKLDIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKEMIKFHKSHGGEASIMVTKVDEPSKYGVVVMEETTGEVEKFVEKPKLFVGNKINAGIYLLNPSVLDHIELRPTSIEKEVFPKIAANKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNTSSKLASGPHILGNVIVHETAKIGEGCLIGPDVAIGPGCIIESGVRLSSCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKTSILNPEIVM >CAK8573200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593233024:593237875:-1 gene:gene-LATHSAT_LOCUS25675 transcript:rna-LATHSAT_LOCUS25675 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKKIGTPWPEFNDGLSYHDVVRPSDAGSTLIEFYSNKYKSSAPLQGWLQRIKNDQITVDEEIVTDPNTLLRIGSKLVYSRLPWKEPDAPHTIEVLYEDDDIIALNKPSSLQVLPGGLFQQRTVLTQLQWETSNESTSQVHQKPQPVPVHRLGRGTSGILLCAKTKLARANLASYFADGTSRLGGKRDTNQEPGKIAKIYRALVSGIIDNDTVTINQPIGIVKYPGVAKGLYVASHSGKPALSVVDILERNVKENSTLVQVKIQSGRPHQIRIHLSFIGHPLLGDPLYTVGGQPKCLDFDFVDESFAKDGGYTRPAKPVPGDCGYSLHAHKLFLSHPITGELIEINAPLPSILQTHEETKETAIVQQTTA >CAK8530660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38850547:38851988:-1 gene:gene-LATHSAT_LOCUS508 transcript:rna-LATHSAT_LOCUS508 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNKEQTLIFPSHTPFSEDHTLPLSHLDTDRNLHLTIRYLRAYTATTTIHHHNNPFHVISSSLSQTLPHYYPLAGTLRHRKHPDNRLELFCTANQGIPLIHTTVDFTLDSVNYLDDPASPFVEQLVPDPEPEEGMEHPCMLQLTVFKCGGFTLGAAINHSLCDGMGGTLFFNSVAELARGATRITVEPIWDREKLLGPRDPPRVDSPLIKEFLSLDKEFLPYKENVGPVVRDCFHVRDKCLENFKRLLFDQSGFNFTTFEALGAYIWRSKVRASRVEDNEKVKFAYSINIRRLVKPSLPIGYWGNGCVPMYVQMSAKDLVERPIWETAELIRKSKSNVSDEYVRSFIDYQELHFGDGITAGKWVSGFTDWRHLGHSTVDFGWGGPVTVLPLGRNLLGSVEPCFFLPYSTASAEKKDGFKVLVTLNEAALPAFREDMQMFAGGQETALLPRI >CAK8532687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:480300814:480300939:1 gene:gene-LATHSAT_LOCUS2369 transcript:rna-LATHSAT_LOCUS2369 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSKRRHPHNAISSR >CAK8578822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656963221:656966180:-1 gene:gene-LATHSAT_LOCUS30806 transcript:rna-LATHSAT_LOCUS30806 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSRSKSNANSEMTKANNHNNLSLSPIHPTTTTTNSTPDTPNVKNEKKKKSILPKIFGSRRNGRGSDEDALKSNPEGDSVSISCDLEKKPESKKKSFLEAPSIMRKSFSERETSPGIEGLNLCTFDPQPMPPENEIQSFRVFVATWNVGGKSPNYDLNLQDFLLVEGSADIYILGFQEIVPLSAGNVLVIEDNEPAAKWLALISQALNTPKNECSDSSDSGTGSKSKDSKSPANLNFFQKPSLKAISRNFRAEGSSLLKACNCPVESPSKERRRQRKFSDPMSKLEPEIREERSMDELFSIAELPTPGQSKYSLISSKQMVGIFLTIWTKKELVPHIGHLRVDSVGRGIMGCLGNKGCISMSMSLHQTSFCFICSHLASGEKEGDELRRNSDVAEILKGIQFPRICKNPCRRAPEKIVDHDRIIWLGDLNYRVALSYEETRVLLEENDWETLLEKDQLNIEKEAGRVFNGFNEGRIVFAPTYKYSHNSDSYAGETVKSKKKRRTPAWCDRILWRGSRIDQVSYIRGESRFSDHRPVCAVFSVGVEVRSRNNRFRKGYSYTSPRLEYEDLIPQRHSFYDY >CAK8544608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695330769:695331422:1 gene:gene-LATHSAT_LOCUS13268 transcript:rna-LATHSAT_LOCUS13268 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFNFPIHCPANLQPSCEQIRAVVILLATLSFSASMIFLLVITFGHSNLKFHITDASLTNFNLTSNNALDYKLEANITSRNPNKDVEIYYMKITAIAWYKDNEFARVSLSSFDQGHENTTFLHVVFEGKGVIKLKPKQLFEYNEETRVGIYNDLAVDLDLLIRCKLGCQRTYPYNPPLVKCRRLSLSLNSNANSSSAPSFHVTRCRTGAFFSNRY >CAK8543584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623468850:623470478:1 gene:gene-LATHSAT_LOCUS12332 transcript:rna-LATHSAT_LOCUS12332 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQIQVLKALDAAKTQWYHFTAIIIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVEGAEKPGTLPPNVSAAVNGVAFVGTLLGQFFFGWLGDKLGRKKVYGVTLLLMVVCSVGSGLSFGHTPKSVITTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGLFAIIVSAAFKANYDSPSYEVDPLRSTVPQADYIWRIIVMVGAIPAGLTFYSRTKMPETARYTALVAKNTAQAAADMSKVLQVDIEADPVKEEDASKVKPFGLFSKEFLRRHGIHLFATASTWFLLDIAFYSQNLFQKDIFTSVGWIPPAKTMNAIEEVYKIARAQMLIALCSTVPGYWFTVALIDRIGRYTIQLMGFFFMTVFMFILAIPYEHWTHKENRLGFVVMYSLTFFFANFGPNATTFVVSAEIFPARFRSTCHGISSAAGKLGAIVGAFGFLYLAQNKDKNKTDAGYPAGIGVKNALIVLGFVNMLGFLCTLLIPEAKGKSLEEMSGENEEEEVESQDVEKSHSNNNSTVPHNLV >CAK8532020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:192764447:192765037:1 gene:gene-LATHSAT_LOCUS1765 transcript:rna-LATHSAT_LOCUS1765 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMAEGTNLVFHNNVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFHQATATSISLGIDNLLTIPSKGWLVQDAEQQSSVLEKHNHYGNVHAVEKLRQSIEIWYATSKYLRQQMTPNFRMTDPFNPVHIMSFSGARGNASQVHQLVEMRGLMSDPQGQMIDLPIQSNFREGLSLTEYIISCYGARLVLIIG >CAK8535478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851616561:851618467:1 gene:gene-LATHSAT_LOCUS4939 transcript:rna-LATHSAT_LOCUS4939 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGAPKKSDNTKYYEVLGVSKSASPDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGFPGGGSRGRRQRHGEDVVHPLKVSLEDLYLGTSKKLSLSRNVLCSKCNGKGSKSGASMTCSGCDGTGMKISMRHLGANMIQQMQHPCNQCKGTGETITDKDRCPQCKGEKVVQQKKVLEVHVEKGMLNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFTRKGEDLVVEHTLSLTEALCGFQFVLTHLDARQLLIKSNPGEVVKPDSYKAINDEGMPMYQRPFMKGKLYIHFTVEFPESLTLDQVKALESILPARPLSQLTEMEIDECEETTLHDVNMEEESRRKKQAPQEAYDEDDDMPGGAQRVQCAQQ >CAK8572202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519849096:519850066:-1 gene:gene-LATHSAT_LOCUS24793 transcript:rna-LATHSAT_LOCUS24793 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNNIWFFLSSLLFFTFLQIQTKVFSFQYKVGDLNAWSLPSSTNPQIYTKWSKLHNFTLGDSLLFLYPPSQDSLIQVTQQSYKNCNIKDPILFMNNGNSLFNITSSHGDFYFTSGVNGHCQKNQKIHISVGGIGNVDVEEANSPNSLPANGPSYQAAFGNIPVAPSSSNSHHIALTFHVFIIGFGSVIYALFFGELGKD >CAK8537701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421736666:421737966:1 gene:gene-LATHSAT_LOCUS6965 transcript:rna-LATHSAT_LOCUS6965 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNENINTNDPFMDRSLYGQMIHYPNHQENNASVFPYSYGSNYDEFMWPNTQESSFVVDHGVLPNEEALKWTNFNQTSTLCLKDTNGYGENTKIVGRNTKKEKEKEKDTSMVLIKGQWTDEEDRKLIRLVKENGERKWTQIAEKLEGRVGKQCRERWHNHLRPDIKKDSWSEEEEKILVAMHAKMGNRWAEIAKRIPGRTENAIKNHWNATKRRQNSKRKNKKKNETSNGSNPRSSILEDYVKNTITTTITNIPSSTHNTLSQNLEEKLSNPNPNPMFKEMPSGSFCNEYLEFFQGNIVDVDESGLVNSIQYPNYNNMNLDYETTSTNHINNLLSYDLYLSQLLNS >CAK8569975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18609514:18610755:1 gene:gene-LATHSAT_LOCUS22768 transcript:rna-LATHSAT_LOCUS22768 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRIITTPILIFLMTLFFNASSSSCSTNFGVRSAYWPAGGDFSPSSINTNYFTHIFYAFIQPEPVSFNLIITESDQKWAHNFTNELRHRSPPVKTLLSIGGGGSNSTLFSEIASTKQNRQIFINSTIHVARKYGFDGVDLDWEFPQNQNDMFNLGLLFEEWYSALLAEAKVRRKPRLLLTAAVYYNSTIRLIGNGPRSYPAQAINKYLDWASPMCFDYHGSWANNTGFNAALYDPKSEINTHFGIGSWIKAGVRVEKLVMGLALYGRTWELKDPNVNGVGAEAVGPAVDTDGSMNYVEILKFNKENSAKVVYDKVAVSFYSYAGSTWIGYDDGLSIRIKVWFAKSLGLKGYFFWALGKDKDWTISKQASNVWGH >CAK8544540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691396660:691400282:-1 gene:gene-LATHSAT_LOCUS13209 transcript:rna-LATHSAT_LOCUS13209 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGAAAPNTEAQYTTAKISVWWDIENCHVPKGFDPHQIAQNISSALVRMNFCGPVSISSYGDTTRIPASVQHALSSTGISLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLVAAAKNVWLWTSLLSGGPPLSNGESQQLGNSSLLSSSETLQVPVSNATQTQQGGPYSEVQAGNSKYQNGGRGFDSRYQGRPNWRNPNQPNGSKAMSPPPVGPQDNRNNVNNYRPGNYNPNVPHTGSATNFVRANSDQLWSNNGNQHPYSQPLRPNDFPLQPPFAPSNSFSPNSHTFATVPVPPRTSGPNFGTGSNTPVPNIGNLNISGHPNNAHNPRTVPQRSGELKPNPKGSAPLLVRSTNEQNGHMVHSSSTQGYPHGPEYQPTSSAAMGNNNKLPANGKWGSSGISKPSEYVQGLIGVVLLALNSLKNAKMMPTEKNITDSIRYGDLKHRNTDVKKALESAMEQQMVVKQNLGALTLYVGKNDKLWKCVNPLGGNPKQHSKEIWDEIQIFLTTPAGREAIMGTQCKYEAGMVIKNMCFKDLALGDVLQILNMLILHKKWIVHQQSGWQPLTLTLPESNLDSGVTAGA >CAK8544315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678142428:678143848:1 gene:gene-LATHSAT_LOCUS13006 transcript:rna-LATHSAT_LOCUS13006 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSITEMKTAGQVLSTTPMKGRKAPPSHYTFKIQSFSLLSKASIEKCVSEEFEAGGYKWSLSIYPTGNTKGNGQGHVSIYLMLMDTTSLPNDWEINAIINFSAYNFNDDEYVTTHDATVRRFHVMKTEWGISKFINLETFLNPSNGYLNDDDCVFGAEVFIVKTTNKGNCLSMIQKSATVSHSWKFSKFSFANLDNYEFESFVAGDYKWKLLLYPNGVVEGKGICVSLFLALDASTLPANTKLVVECTLRAKDQISGQHAQRKFNRKFSSSNLVWGSRQLVTLTKLKNLSNGFLVEDTCIFEVEFLVVGLVTPRID >CAK8569844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13519092:13521818:-1 gene:gene-LATHSAT_LOCUS22653 transcript:rna-LATHSAT_LOCUS22653 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLTAGADSSAQDSQNGRTALHTAAMTNDVDLVQVILVAGVGVNIRNVHSSIPLNHSLTIVITLTSTPPSDSPSDSSMETVTECHNSENENCKLQRRRRGELVVNGYFVYTRSKRIEHHSDNEIVKRLRTEENEQVKSETDSGAAEKNAVVLWTSKRQRRPSFKLKADSQEDTSADKASAVSNTKSVVANEKLMTVKELFDTGLLDGVPVVYVGCKKEASDSGLQGVIAGGGILCSCCLCNGRRIIPPSKFEIHACKIYKRATQYICFENGKSLLELLGVCRTAPLHTLEATIQNFLCIPPEEKYFTCRSYRGCFPVSTVKRVGLICHSCMETSKSEDGSIRAVGKRVRIPRPYLFSSPSSISETSISSQTKRQQKKKTKSSKRVSMTKSSKKSASRPIMQKTSLCSMETKSSKLLVKFKIAPITSNSKCSSPQNKSQWRINKKHQRLHKLIFEEDGLPDGAEVAYYARGQKLLEGIKKKSGIICRCCNTEISPAQFEIHAGWASRRKPYAYIYTSNGVSLHELALFLSKDRKYTAKYNDDACIFCWDGGNLLLCDGCPRAFHKECASVSSTPRRGRYCPICQHMFLGEGSVALNPDAVAAGRVEGVDPIEQIAKRCIRIVKDIEAEIGGCALCRGSDFSKSGFGPRTIIICDQCEKEYHVGCLRDHKMAYLKELSDGDWLCCNDCTRIHSILGNLLVKEIKRLPESLLDVIKKKQEERYLEPLNEIDIRWRLVNGKVASPETRPLLLEALSIFNECFDPIVDVATERDLIPSMVYGRNLQTQDFGGMYCALLIVNSSVVSAGMLRIFGRDIAELPLIATRHKNRGKGYFQTLFSCIERLLAFLNVKNLVLPAAEEAESIWINKFGFSRMKLEQLTNYRKNCQQMMAFKGTVMLHKTVPQCRMSNL >CAK8544499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689007967:689008900:1 gene:gene-LATHSAT_LOCUS13172 transcript:rna-LATHSAT_LOCUS13172 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGEMQGDGGIRDMQNTSSGHHTPIFSASGQQTTSGQQTTATTDLPPTGVKKRRHNANGNRKRSEVWDHFNLIPNSDPPTAACKYCHQMYMCDSKKHGTSNLKSHMKTCPKYPLNVSTDPTQTILSYSTTEGSGLLSLSSRFNHVTCRNGLAYFIILDEKPFKTVEWEGFKHFCRQMQPQFCIPSKRTIARVCYQLYVDDN >CAK8532553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:293614840:293624022:1 gene:gene-LATHSAT_LOCUS2246 transcript:rna-LATHSAT_LOCUS2246 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRSLILLAAICYCLLPIAASDGDTDPIYKGCVEQCEKSGCVGARCFQHCKFSSDGKPIDGPWYMHEPLYLEWKQWDCRTDCRYHCMLVREEERTKLGETPVKYHGKWPFRRIYGIQEPVAVALSALNLAMQFHGWVSFFILVYYKLPLRPDKKAYYEYTGLWHIYGILSMNAWLWSAVFHSRAVELTEKLNYSSAVALLGFSLILAILRAFNVRDEATRVMVSAPLVAFVTTHIMYLNFYELNYGLNMKVSMLMAVVQLLIWAFWAVVSSHPSRWKLWTVVVGGVLAMILETYDFPPYMGYVDAHAVWNAANLPLTFLWWSYIRDDAEFRTSALLKKVK >CAK8539879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530113298:530113459:1 gene:gene-LATHSAT_LOCUS8937 transcript:rna-LATHSAT_LOCUS8937 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYPPVDVFSRSESSSVRSKI >CAK8539772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524792603:524793349:-1 gene:gene-LATHSAT_LOCUS8836 transcript:rna-LATHSAT_LOCUS8836 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVASTPTTQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVLSRPEEDGTMTSVTATAEIDKKIQSLDYTSFRVEVLSADAQPSLNNGVMVVVTGCLTGTDNVKRKFAQSFFLAPQDKGFYVLNDVFRYVDEYKSVDIESVPANDVDESAPPSEALTPEPEAVHVPEEIPPSQTVIADTDTIISKEVSLPLENGKLPVPETVIPVNHVKEPIHQELPPSLFFPLTTQKISNLFLQPSNNLHLPNPN >CAK8572394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533946812:533947522:-1 gene:gene-LATHSAT_LOCUS24965 transcript:rna-LATHSAT_LOCUS24965 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASAPSQSGLNTLSTKTKGRGCTRMKKLQLLTARDERIPIDFNSDGHPIGELAKDFKYHVACLTREKISILIDECDKVGSEDRKEIWKGLEQIWDISKNDVVDKKTMVYAGEHWRSFKNNLTSRYLNNGIKSVVSPTDDYPYIDEETWKGFVKSREDPSFLEKRKKGQETQSYNNYSLHI >CAK8544659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698153788:698164071:-1 gene:gene-LATHSAT_LOCUS13317 transcript:rna-LATHSAT_LOCUS13317 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAVAPASFAGSCHNLAVPDIAIALPISLHKYAFGWRCGSSHNSNAALTGEIASSSIDAGNVTATSSSSNSYPKYDRLLPCPKHKFPPRIEHLVVSEGGPVLEYICKALDLPHLFVADLIQFGAVYFALVSPEPPPTATAEQIRIFKEVTEPLVLQKRDSIKGKTISEAQKTFRVTDVNQFVEPGSYLRVHVHPKRFPRCYEIDWRSRIIALEESYVVLDKPAGTSVGETIGNIEESCANFATRALGLTTPLMTTHQIDNCTEGCVVLARNKEYCSIFHSKIREKKVKKIYLALAASPLPIGIIKHYMRPINMAPRLVSEDFIKGWHICQLEVLECRKIPWPTIVVQDEYCVEDCGWPSQDYGYECKINLLTGRTHQIRAQFAACKAPIIGDSMYMHAAIAEMSNPGLNPFGKYKRDFISESEKETAEINWITQHGKEPRVAIGLQACQISWDDDEHIYKAGLPWWRC >CAK8568219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582882149:582884088:-1 gene:gene-LATHSAT_LOCUS21199 transcript:rna-LATHSAT_LOCUS21199 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCASSLLCTEDTSVFEDAEYQGTLEVYEDSWRPRFDHRRGQDNFGAVADELPLQSEECFRLMLEKECQQWVGEDYLNRFQFGDLDFGARNEAIDWILKVVAHFGFGPVCAYLSINYMDRFLSAYELPKGRVWTMQLLAIACLSLAAKLEETDVPMILDLQIGESKFLFEAKTIQRMELLVLSTLKWRMQSITPFSFIEYFLTNIIDNDKSSLSSSISQSTKLISNTVKGIEFLEFKPSEIAAAVATYVVGETQAMDASKSILTLTQYIEKERLMKCVEKIEATSLSSVVTGKNSSASVPSVPQSPIGVLDTTLCFSYKSDDTTNGGDGPCSSSHNTSPDPKRRKLNKSCGSELL >CAK8571692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462015839:462016741:-1 gene:gene-LATHSAT_LOCUS24330 transcript:rna-LATHSAT_LOCUS24330 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKIRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKTIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNSWCDNSPLIVKILSDNLITGQCPLLFFIKFQVPWIWRWTITIS >CAK8544690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699354598:699354771:-1 gene:gene-LATHSAT_LOCUS13346 transcript:rna-LATHSAT_LOCUS13346 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPKFSKLTFYPKSTK >CAK8572105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510292095:510293390:-1 gene:gene-LATHSAT_LOCUS24704 transcript:rna-LATHSAT_LOCUS24704 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFLGQIKKQTTSFLQEKYKSARMTFTDVTGAELLTEEATNKDDCSPDAKTMTKIAEASFDIDEYWRIVDVLHRRLYNVDWDQWRQSYKALVLLEFMLTHGPTYFAQEFQCDAETIEELGNLNYIDKRGFNWGSRMQNLSNEILKLLQGGEALKQARLKALKITNEIQGFGSGSSLNSPTSTVSSPSSLSSDQLGSPGSSSFFSFSTNSSPKNVDEKHFWNGPTIEEILIDSDNDDDVEDDDDDNYNGEDGKPKGFVSEICSKIIGGNGRENIEFRCISDVGRKVPKKCYDRQYSNWF >CAK8568653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:628682797:628683039:-1 gene:gene-LATHSAT_LOCUS21592 transcript:rna-LATHSAT_LOCUS21592 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKGKKKVKLVGHKRSSDMLMMLKTKTHKGPRTTIVEPLVIQEDEEGTLTQEDAKVGSDPKLGTCVRAMKSWSNISRA >CAK8542721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541156407:541156817:1 gene:gene-LATHSAT_LOCUS11535 transcript:rna-LATHSAT_LOCUS11535 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDVVKARLATMRESGWDSLFANVQDFCVAKGIPVPNMDDEISVRDRSRAEGRTITILHHYRVKKCYDAIDKICVDMDHRFSEGSNIILDFFSCLDPKNSFSKFDVDKLVRLTDIYHADFFDDDRETIRNQLDT >CAK8543017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567325251:567325652:-1 gene:gene-LATHSAT_LOCUS11804 transcript:rna-LATHSAT_LOCUS11804 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSKFDVARVMVRSSYALVVNEVMRFSINGEEFHIKIIEDNVGPLRLVSEKNIEVAGNEEVDLMEVHCSGEVDILVVMVEVDEEDIVHLEVVGGTLDNRLMGDFSKVDDVTKVGGFFVDTKDVSDVMLDTNS >CAK8543336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601198881:601199384:-1 gene:gene-LATHSAT_LOCUS12099 transcript:rna-LATHSAT_LOCUS12099-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETTKSSTHQVRIFDYTNNVFSVKETIDHGEGKPMGHYKVDLLNGWCDYGKFQAYHVPCSHVIVACSNVRHDAYALLSDVYRVTNLFGVYNTNFRVLSYDEYWPVYEGDQICHNPRMRRNEKGRLVSTRIRTEMDNFDKLKRKCFMCRETGHNRTRYPNVGTSNR >CAK8543335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601198881:601199791:-1 gene:gene-LATHSAT_LOCUS12099 transcript:rna-LATHSAT_LOCUS12099 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIDMESTDALRWIDNISAEKWTRAFDGGRRWGHMTTNLVESLNDVFKGTRNLPITALVRATYYRLGSLFAERGAKWSAKLNSDQTFTGSCLKVMKEETTKSSTHQVRIFDYTNNVFSVKETIDHGEGKPMGHYKVDLLNGWCDYGKFQAYHVPCSHVIVACSNVRHDAYALLSDVYRVTNLFGVYNTNFRVLSYDEYWPVYEGDQICHNPRMRRNEKGRLVSTRIRTEMDNFDKLKRKCFMCRETGHNRTRYPNVGTSNR >CAK8542050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460624200:460625486:-1 gene:gene-LATHSAT_LOCUS10924 transcript:rna-LATHSAT_LOCUS10924 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKQWYHNLGAFKQDELHRLETTASVLGVLHREFIGDMEIFDRKNRQEFFEMKCCSLKTKDLDRHYHRMAQRYYVLNGYNDPSLKNTYVSSLPQELQPKIHRMLATAQKDIKTMSLGQIHQVTLEALKKLCSFHHQFSEVIEQKSKFTQACKKPYLEIKCKDKRCGCSTKKKHKKYTKPHRIFKGKKRKNMKLFRRKPFRGKGKNRRCFICGKKGHFAKECPNNTDKAAKLINFLQPLEGDSESLYSEQSSADEETVFALQNSSSDKASSSESEDDRYLSVYSIKEMGSSLPTTPLPCVEVHILATKFSRPKKVIAYMDTGAQITMMNPNILPPESWVTHVAYFVAADGKVFKTNLMTKEKIGIKFFLDFIVWTKVIRSNLPNKDILVGMDVYSAAIKLQILPHRNQIQKRIQTLFWDTKTIFTF >CAK8572912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571360064:571361125:1 gene:gene-LATHSAT_LOCUS25418 transcript:rna-LATHSAT_LOCUS25418 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFPKIEIFTQKELPTCLQESKYLEDTIIRRGSYSLTSSPQNFFWCASNSTSMKQEVAPLGLTTSNVFASDIKHAFLPLNLLETLPTTSVNPVFDETTESIDSSSDTPKYPNLSLFLQEPSMLYSSTSHIKSNEVMPITSNPSYHVPQFGLTQHQQKIGYNKSFNENWLSVTKTQPLKYSGRGKLFKGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEDAAIAYDTAAYILRGECAQLNFPDLKHVIRANSLNGTTASLVEAKLQAISQQGSSSSDRKQGDSLARSNSKHVDKIENGKDETRKELKIGGETNERSKSMQNEICDVETVQLSRMPSLDMDIIWNELLVSGS >CAK8565246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79787788:79788054:1 gene:gene-LATHSAT_LOCUS18475 transcript:rna-LATHSAT_LOCUS18475 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLHHQIIYRLQNPSIDLPLSGCSSDSPLVVTNREEDIPSIVHIPRKITGKELYHLIPLKWITNYERLHVDKWPIQFQEATFKKIR >CAK8574674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5959493:5959843:1 gene:gene-LATHSAT_LOCUS26998 transcript:rna-LATHSAT_LOCUS26998 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARSHVSFRRSGSSGLVWDDRFLSGELNKLNQEQQQCKSTSTEEYNNNNNIKELHDGTTIRRSRSTGAARGYRAGKVSPPAIDPPSPKLSVCGFCSLFAENSLRSKSRKRRSR >CAK8532423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259782846:259785047:1 gene:gene-LATHSAT_LOCUS2130 transcript:rna-LATHSAT_LOCUS2130 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVYKQHGNSDASIASFITTGFVGQLRGWWDHYLTESQKLEILNHKKIVKSEPRTSTSTIVATTTTGEEDAVYTLCLSILQHFVGTNVPIGEKIQTLLQNLRCPSLTHFRWYKDTFLSRVYQLNNPNSLHWKAKFIDGLPHFFSEKVRQSLRQKNDGININFSDLTYGHIISTCVNEGLTLCNDIKLRNQLKKQKLSEKHQIGEFCEQFAFDLGKSPDNKKKKEKIFCNKPYRDKPKNSYKNSYKNKKRGHYNKSRPKEKSFDPKGKRKAKRLDITCHKCGKPGHYANQCWTKKTLNEIEDEQLRSQLEKVLLLKSDSEEYSSEEDINMIYESSSDYSSESSNNNNCQCNQLDYWKSIVDMNGLNVLTSEQDGAIKAIESISDKNLKRKMLEVLIQENSKRESPIITEAPYQLSEVLSRFRQSNIRETPVSIMDLNREINLLKNEIAQIKKENYGLSQRLTFLESNNSRVEEIASTSNPSPSDDFLSLIDRVTSQKWFVRITLVINRNFILENEVALIDSGADLNCLQEGIIPTKYFDKTTQSLTQAGGDKLTVNYKLSNAYVCNKDICLPTHFILVKNLTHRIILGTPFLHKIMPLINVDQKGITSIINNKRITFEFITDPHTRMINEVKDILLKKEKQICFLKEEVGLLNITSQLKNPEIQNQIKLIDQHFREEICNDLPNAFWDRKKHVISLPYLDDFNESQIPTKARPAQMNHEYLDLCKKEIESLL >CAK8543645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628633572:628635478:-1 gene:gene-LATHSAT_LOCUS12391 transcript:rna-LATHSAT_LOCUS12391 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDTYSGSGIGTKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8533804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661421055:661424586:1 gene:gene-LATHSAT_LOCUS3400 transcript:rna-LATHSAT_LOCUS3400 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDDNVIEHKAYARVGLLGNPSDVYYGKTIAFSLSNFYATVQLRPSDELVIQPHPTHDLVSFDSHHQLVYRLKSEGYYGGVRLLMAIYKVFYNYCKDNEIHLHHTNFTLSYDTNIPRQSGLSGSSGIVCAALNCFLDFYKVRHLIKVEVRPNLILAAEEELGIVAGLQDRVAQVYGGLVYMDFNKESMDKLGHGTYIPMNLSLLPPLYLIYVANPSDSGKVHSKVRQRWDDGDELIVSTMLEVANIAEEGKTALEEKDYSKLASLMNKNFDLRRLMFGDEALGDVNIKMVEIARKVGAASKFTGSGGAVVAYCPEGTSQVKLLEDECHEAGFVLIPLEPFPSRLNEIDLKTMQL >CAK8538602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488726268:488727110:1 gene:gene-LATHSAT_LOCUS7783 transcript:rna-LATHSAT_LOCUS7783 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDLGLDYKKIDACPNDCMIYWKDHENDTSCHVCGAPRWNEDVKGNDHVEKNHKSHKVPSKVLRNFPLIPRLQRLFMCSKTAISLRWHDEERSKDGKLRHPADAEAWKEFDKCHSEFADEPRSIRLGLASDGFNPFRTMNLSYSTWPMVLIPYNFPPWWCMKAGYSMLSLLIPRPLSPGNNIDVYLQPLIEELKVLWNLGVETYDASLSQTFQMQAALLWTISDFPGYAMLSEWSTKGKLACPCCNYNTNSIYLNYSKKVCYMDHRVLYRFFVTIKHWN >CAK8571234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:348132103:348132924:1 gene:gene-LATHSAT_LOCUS23913 transcript:rna-LATHSAT_LOCUS23913 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIVDRETHDLMNVDSFSQLPFIRPPPKEKGIRLFGIEFGGRAAAEVNDDSSESLETTFEVENPNINKETNPNTNTNNSGNNNNENNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRQHLQSTMVHATSFSDPHLYHHHHHHHQQQQQQHPFYRFTSSSPSSLSSSPSYPTWNSNSATARFYNHPTSSYSQQPINGSPLAFWRIPNGTVQNNPSFNHERPLPLLVSEERVNPSQDGHPRGVVCSNVSHNRYVCDTKRSDHVSLDLHL >CAK8535361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843098053:843100583:-1 gene:gene-LATHSAT_LOCUS4826 transcript:rna-LATHSAT_LOCUS4826-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSILHFLEGRTILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAKDSESATQRFRTEIIGKDLFKLLKENQGSNLDSFIHEKLTLVPGDISEEGLSLKKSILEEEICNQTDVIVNLAATTKFDERYDVALGINTLGVKHVLRFAKKCVNLKVLVHVSTAYVCGERGGLILEDPHAFGVSLNGVQGLDIDMEKKLVEEKLNHLQAKGATEHDIEVAMKDLGIERATEYGWPNTYVFTKAMGEMLVETYKENTSVVIVRPTIVTSTYKEPFPGWVEGLRTIDSIVAAYGKGKLTSFMADLNAVFDVIPADMVVNAMLVAMVAHANQPSDDIIYHVGSSVRNPITYRSFRDFNYRYFAAKPWINKEGKAVRVGKITMFSSIASFKRYMFIRYLLPLKGLELANSILCQYFHGTYHELNRKISTVMRLIDLYLPYLFFNGIFDDMNTEKLILAAKERGVETNMFYFDPKIIDWDDYFMNIHFPGIFKYAFK >CAK8535360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843098053:843105443:-1 gene:gene-LATHSAT_LOCUS4826 transcript:rna-LATHSAT_LOCUS4826 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSILHFLEGRTILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAKDSESATQRFRTEIIGKDLFKLLKENQGSNLDSFIHEKLTLVPGDISEEGLSLKKSILEEEICNQTDVIVNLAATTKFDERYDVALGINTLGVKHVLRFAKKCVNLKVLVHVSTAYVCGERGGLILEDPHAFGVSLNGVQGLDIDMEKKLVEEKLNHLQAKGATEHDIEVAMKDLGIERATEYGWPNTYVFTKAMGEMLVETYKENTSVVIVRPTIVTSTYKEPFPGWVEGLRTIDSIVAAYGKGKLTSFMADLNAVFDVIPADMVVNAMLVAMVAHANQPSDDIIYHVGSSVRNPITYRSFRDFNYRYFAAKPWINKEGKAVRVGKITMFSSIASFKRYMFIRYLLPLKGLELANSILCQYFHGTYHELNRKISTVMRLIDLYLPYLFFNGIFDDMNTEKLILAAKERGVETNMFYFDPKIIDWDDYFMNIHFPGIFKYAFK >CAK8562110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441372716:441374725:1 gene:gene-LATHSAT_LOCUS15636 transcript:rna-LATHSAT_LOCUS15636 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQLLAKPIQLADQVSKAAEEGSSSFKQECLELKSKTEKLASLLRQAARSSSDLYERPTRRIIGDTEQVLEKALALVLKCKVNGLMKRVFSIVPSAAFRKMSSHLENSIGDVSWLLRVSAPTEEGGYECLGLPPIASNEPILGLIWEQIAILHNGSVDDRSDAAASLVSLVRDNDRNGKLIIEEGGVGPLLKLIKEGKKEGQENAAKAIGLLGRDAESVDVMIHAGVCSVFAKILKEGPMKVQAVVAWAVSELVSKNPKCQDVFAQHNIVRLLVSHIAFETVQEHSKYAIVSNKPNSIHAVVLASGNSNSNSNNGDNSGSNDVKKETEDEVKIRMQHPLGDRSTNQMHKVVASTMAMHAASANNNKNQQTSVGNEVNSQVASHSNGSGNGNTKQSYSYSGISMKGRELEDAESKAEMKAMAAKALMVLAKDNSGICRSITESRALLCFAILLEKGPEEVKYHSALALKEITAVAEKDPELRRMAFKPNSPACKAVVDQVIDIIDKEDKRLLIPCIKAIGSLARTFRATETRIIGPLVRLLDEREAEVSKEAADSLTKFACIDNYLHLDHSKAIISSGGAKHLVQLVYLGEQPVQYSALVLLSYIALHVPDSEELARVEVLGVLEWASKQLNVTQDETVAMLLQESKSRLELYQSRGSRGFQNLHQ >CAK8566012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367144546:367146902:1 gene:gene-LATHSAT_LOCUS19180 transcript:rna-LATHSAT_LOCUS19180 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPILRTAMMISQSQPLIQYSISAIDETAKRRKRVVFSSSHSSELNPVIRSSEVSISVGTCLIPHPKKVEKGGEDAFFVSNYNGGVIAVADGVSGWAEEDVDPSLFPRELMANAYNFVGDEEQVNNDPQILIRKAHAATFSTGSATVIIAMLEKNGNLKIANVGDCGLRVIRNGNVTFSTSPQEHYFDCPFQLCSERVGQTYLDAMVSNVELMEGDIIVMGSDGLYDNVFDHEIALTVGRYQDVSEAAKALANLASSHARDSNFDSPYSLEARSKGFEAPLWKKILGMKLTGGKLDDITVIVGQVVSS >CAK8566011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367144546:367146902:1 gene:gene-LATHSAT_LOCUS19180 transcript:rna-LATHSAT_LOCUS19180-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPILRTAMMISQSQPLIQYSISAIDETAKRRKRVVFSSSHSSELNPVIRSSEVSISVGTCLIPHPKKVEKGGEDAFFVSNYNGGVIAVADGVSGWAEEDVDPSLFPRELMANAYNFVGDEEVNNDPQILIRKAHAATFSTGSATVIIAMLEKNGNLKIANVGDCGLRVIRNGNVTFSTSPQEHYFDCPFQLCSERVGQTYLDAMVSNVELMEGDIIVMGSDGLYDNVFDHEIALTVGRYQDVSEAAKALANLASSHARDSNFDSPYSLEARSKGFEAPLWKKILGMKLTGGKLDDITVIVGQVVSS >CAK8564667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5904810:5905431:1 gene:gene-LATHSAT_LOCUS17949 transcript:rna-LATHSAT_LOCUS17949 gene_biotype:protein_coding transcript_biotype:protein_coding MNFASSLCRRLNIKELVTNVPVYRSTADVSGEGLSLMFCRWATKKTAGSTKNGRDSKPKNLGVKKYGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGTVKFERNKLTGRKWVHVEPKDGHVLHPVYADASAPEPQFAV >CAK8578018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602014526:602020417:1 gene:gene-LATHSAT_LOCUS30065 transcript:rna-LATHSAT_LOCUS30065 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDVDMVGADNNEADLDDMKKRLKEMEDEAAALREMQAKVAKEMGSVQDPANGSASQVNREEVDSRSIFVGNVDYACTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFVEVEAVQEALSLNESELHGRQLKVTAKRTNVPGMKQFRPRRPSNPYMGFRGRTPYAPPFAYAPAPYGYGKVPRFRMGMRYSPYY >CAK8569195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681806557:681809183:1 gene:gene-LATHSAT_LOCUS22076 transcript:rna-LATHSAT_LOCUS22076 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGSISSMFHLCLFLLILIGTLSPSHAVDDKCAACKAVAGELEIGLSREKPRNHLDMRHRLDSKGQRQGKLIDYRISELRVVDLLDGLCDKMQDYTLRIFPDSHEWYKVGNWDNLKTNKQEAKAYSKDISSYCGRLLEETEDELTELIKKGSVKVGDVSKVLCQDLSKHCNQTSVSREAEVDVDDDDNEEDDDGEL >CAK8564471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676758162:676758716:1 gene:gene-LATHSAT_LOCUS17774 transcript:rna-LATHSAT_LOCUS17774 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLKFFITMLLATLSFQASGQFMEWCIADEQTPDDELQRAMDWACNVGGADCSKIKVNQPCYLPNTIKDHASYVFNNYYQKFKNKGGSCYFNSAALINALDPSHGSCKFEFIP >CAK8532497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271207698:271215718:-1 gene:gene-LATHSAT_LOCUS2196 transcript:rna-LATHSAT_LOCUS2196 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLFKPTFILTLTKQFTTKPLNYSHLHFLHNHHHCPFFHSKLSSSFLNLNTHPISLRSTTTTTTATSAGIPNYGGYLDLTDDDLMRQCEMGTFKSSGPGGQHRNKRESAVRLKHLPTGIIAQASEDRSQHMNRASALKRLRSLIALKVRKTVDLEAYAPPRELLQILPPKSLIRGSEIGSQIGPNNPKFAMGMQALLDLIFAVDGSVSDAAKYLGLSTGAVSRLILSDDSLRKEVNDLRASKGMKPLK >CAK8531615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130958511:130959741:-1 gene:gene-LATHSAT_LOCUS1393 transcript:rna-LATHSAT_LOCUS1393 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSMNRPEHVWNQTWHYLVDGILYSKRVITRNPGLTLIDEELKQLTLIEIETHLQKNNRSLKDFKSMPYPNDFVLSFLGNRLIYEERQYDSSAQKIIFDTFFSSLTNEQKHIYFDVMDVVERQNGGVFFLYGYGGTDKTFMWNTLAAAIRSKHKIVLPVASSGIASLLLPGGRTTHSRFKILVPTLHSSICNIDKKDDFAELLNITHLIIWDEAPMANRFCFEALDKSLRDIMSEIPQAANKLFGGKVVIFGGDFRQILPIVPRGSRSDIIHSTINASYIWDHCKVLKLTKNMRLQSGTTSKEIEEVRIFSE >CAK8534743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762576320:762579612:1 gene:gene-LATHSAT_LOCUS4259 transcript:rna-LATHSAT_LOCUS4259 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIEDEENIVLEEQEQEENVECEGDAEKNGTTKKRTRGPTCCLKIYARDVKDRQEVTLDDFGEPIGPDDQTVSDLGYFLGTIARNANFCPLIYTNFKELLKDETDPKRHNYHIWKYVNTKFNIPERGKKAVYARINDAWRRHKYSIKKDHFLKYSNMKDRLKHHPKSISEVHFKKLLVYWKDTHIQDISQKNAANRSKQKFMHRVGPTNFARIRAKMRENKDGQEVTQAEMFIETRKSRKGKQVDEETQFVIDKLQKSIETSTEAGTQTFQSLLGKEKPGRVRCYGRTVTPSLLKKNEEISLIKMQYDGKISDMNQKMGAMEALLKSMYMQQNPHLSEEEVNNKMREALHNDNIPTPRSSTSTYAPAHQKVINEDDPQDEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQNDDSHDSQYNEYNEDLH >CAK8541898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:426645416:426653655:1 gene:gene-LATHSAT_LOCUS10787 transcript:rna-LATHSAT_LOCUS10787 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGFKIEVFEGDVRLGELDVTPVTAFQSFRFPNNEIRIHHRTFRSERCPPLSILQAVSAFNVRCKLDSSFPVEQPALINLHASCFHEMKTAVAVVGDEELHLVAMPSKRKKFPCFWCYAVPVRLYDACMGMLNLRCLAIVFDLDETLIVANTMKSFEDRIDALRSWLSRESDPSRVQGMSAELKRYLEDRLLLKQFIETNSVIDSNGKLYQVQMEEVPSLTEQKVLRPVVRVQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTARGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQVFDRVICVKSGSRKSLLNVFHDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDENFLQRIAEVFFEDEVGSLPHPPDVSSYLMSEEVPNGNGQANAPISEGIGGTEVERRLNQPDDKLSADLVCRPMVNSVEFRHDTSQSNAGIVPNVTGPGSSRPLIPSQKPGLLGPPVKHDGSFIDRDYDMKKGILNMRHSPDIRGQSSAEPPLISRPPVPAYGSWLVEDDISNRSQTNNWPFVPAKESNVVKSEKHQAQQKQFSHKMEVPVSNVPLSQTPQLKAEEATSVSDFRRQNIPTKLPLSESTISLNHASSNSKDFQNEVGKLNFVPSLSIGVLQEIGRRCCSKVEFKSIVSTSKDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALRSLAEKYVTHMEPQCKAVDKEFDKLSLEHENGFLWDVVDAESSELQREDGLPRESASEAPDAETRSLNPNPITQQMEKRASFPRTHQSVHSKRMKE >CAK8576185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:420449993:420450364:1 gene:gene-LATHSAT_LOCUS28392 transcript:rna-LATHSAT_LOCUS28392 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGYGPDGIYRSPRPSLELPQTPNLSLVSFLFTNVSSFPNRTALIDADSSQTLSFSQLKTQVAKLAHAFLHLGINKNDVVFLLSPQQHPIPYMLPRRHLHRSNRHHRESSLHRRGTFQTIQ >CAK8540892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29625475:29629343:-1 gene:gene-LATHSAT_LOCUS9850 transcript:rna-LATHSAT_LOCUS9850 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLSPNAAPVALSPSSSVVVLSPPPSPLPGSSQLNQTSNSPPPSSPSDPSALPPSDPSAPPPSPPQTVPVTPPPSPPVSPPPSPAVSPPPSTTPPPSSPPPSPRASPPPPVVTSPPPAPVVTSPPPAPATTSPPPPVNTAPPPDETSPSPPSLSPPPPATNSPPPKSSKPPPSQTISPSQPPPPPPDKVSKPPSANPPPAPHAKPPKRTPSPPSPDASPPSVSQPPPSHDASPPSVSQSPPSPSTVPPPSPLPSTLTSGPAAPPAPLPRLPTNETVPGGSPIVPLPLRPSVDGSNNIATNNRPSHSGGLNTGAAVTIGVVVSLIVLGLLGLAVCFVQKKKKGKASRSDYAAPSPFTSSHNSGTLFLKPYPPANLNGSGNGSEFVYSPPEPSGVNNSRSWLTYEELIQATNGFSEQNLLGEGGFGCVYKGLLDGREVAVKQLKIGGGQGEREFRAEVETISRVHHRHLVSLVGYCISEHQRLLVYDFVPNDTLHYHLHDEKAPILNWPIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDHNFEALVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYATSGKLTDKSDVYSFGVVLLELITGRKPVDASQPIGDESLVEWARPLLIEALDTEDFETLVDPRLEKNYNRNEMFRMIEAAAACVRHSSVKRPRMSQVMRAFDSMAEFSDLNNGMKPGQSSVFDSAQQSAQIKMFRRMAFGSQDSSSFFNDSQSSYGSRNQDSTTTLSQNKSRPWNVRDDPL >CAK8538272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474330274:474330573:-1 gene:gene-LATHSAT_LOCUS7487 transcript:rna-LATHSAT_LOCUS7487 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKLGELYLRYSNTILVSLAKNILANVLSTASVKNLWEKLEGLYQKKVILNQLLLKGHFHSLCMDEHRKIFSHLCVTNGTVYVLGWPKELTIQAKRK >CAK8542166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:484544071:484545284:1 gene:gene-LATHSAT_LOCUS11030 transcript:rna-LATHSAT_LOCUS11030-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKGCFIILSFVGIVSAQLSSDFYSTTCPTALSIVKAGVTTAVLADKRMGASLLRLFFHDCFVQGCDASVLLDDTSSFRGEKTATQNAGSLRGFDVIDTIKSQLEISCPNVFSCADILAVAARDSVVALGGSGWTVQLGRRDSTTASLDSANSDLPAPDSDLSTLIASFVKKGFTTIDMVTLSGGHTIGEARCKSFRDRIYNEDNIDSTFASSLKASCPTTGSDDDLSLLDTAASDTFDNSYFKNLQNKKGLLHSDQQLFNGGSTDSQVNSYVNNPASFLNDFANAMIKLGSLSPLTGSSGQIRQKCRFVN >CAK8542165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:484544062:484545284:1 gene:gene-LATHSAT_LOCUS11030 transcript:rna-LATHSAT_LOCUS11030 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMIVKGCFIILSFVGIVSAQLSSDFYSTTCPTALSIVKAGVTTAVLADKRMGASLLRLFFHDCFVQGCDASVLLDDTSSFRGEKTATQNAGSLRGFDVIDTIKSQLEISCPNVFSCADILAVAARDSVVALGGSGWTVQLGRRDSTTASLDSANSDLPAPDSDLSTLIASFVKKGFTTIDMVTLSGGHTIGEARCKSFRDRIYNEDNIDSTFASSLKASCPTTGSDDDLSLLDTAASDTFDNSYFKNLQNKKGLLHSDQQLFNGGSTDSQVNSYVNNPASFLNDFANAMIKLGSLSPLTGSSGQIRQKCRFVN >CAK8544826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707486622:707487149:-1 gene:gene-LATHSAT_LOCUS13474 transcript:rna-LATHSAT_LOCUS13474 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPKVFFDILIGKAKAGRVVMELFADATPKTAENFRALCTGEKGIGQLGKPLHFKGSGFHRIIPDFMCQGGDFTRGNGTGGESIYGSKFADENFNLKHTGPGILSMANSGPNTNGSQFFICTTKTQWLDGKHVVFGKVVDGYSVVQEMEKVGSQNGRTSEPVVIEDCGQVKNE >CAK8564031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646955390:646955767:1 gene:gene-LATHSAT_LOCUS17376 transcript:rna-LATHSAT_LOCUS17376 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFVAPKLVDGEIEVEIEDEDVELEVRFWEFSIIMYVIGANLSMNVVNSYMIKFWNLVKLPKTYYNDDGYFMLKFKSKRDRDGMMMKRPYTIHNMPMILMDWKLDFSIECDMLRALPIWIKLP >CAK8532729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:505711467:505715464:1 gene:gene-LATHSAT_LOCUS2406 transcript:rna-LATHSAT_LOCUS2406 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSHHHQQRTWAELCCLGCSSIQLFWARVVMRKWLNIGSNQSDYSADPEDEDDDADYDDDDPQNDIQNEVWGRQSRFMDGTSFDAPPESNDFVPKLRKQKSSTYRSQYINTKELRVCAATWNVGGRLPPDDLDIDEWLGVDEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIREALNRVQPSVTKTKCFSDPPSPSKFKPTDDGPDIEEEVLFESDSDIGEEVHPVDEAQNISDGSATNEIMNTSLLDSDVADSANSSVPIVTDYRRQYTFPKKFDWPQSPSENWDASISQKTKKLTRMLSGSERIGLSWPEPPLHLVSQRVLERPTSFKSFKSFKSLKSFKTYNTFKSIMDEMPGMGLLPEIDLEALIKRKRRSPYVRIVSKQMVGVFITVWVRRSLRKHIHNLKVSTVGVGIMGYIGNKGSVSVSMSIYQTLFCFICTHLTSGEKEGDELKRNYDVHEILRRTHFHSPSYVGLPRGILDHERIIWLGDLNYRLNLSDVETKALILKKQWSKLAEKDQLMREIKNGAFGGWSEGVLNFPPTYKYEVNSDKYYGNDPKAPKRTPAWCDRVLSYGKGMKLLSYRRTELKLSDHRPVTATYIIEVESFSPRKLQRALTFTNAEIENEEAIKHLVSWK >CAK8532728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:505711467:505715464:1 gene:gene-LATHSAT_LOCUS2406 transcript:rna-LATHSAT_LOCUS2406-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSHHHQQLFWARVVMRKWLNIGSNQSDYSADPEDEDDDADYDDDDPQNDIQNEVWGRQSRFMDGTSFDAPPESNDFVPKLRKQKSSTYRSQYINTKELRVCAATWNVGGRLPPDDLDIDEWLGVDEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIREALNRVQPSVTKTKCFSDPPSPSKFKPTDDGPDIEEEVLFESDSDIGEEVHPVDEAQNISDGSATNEIMNTSLLDSDVADSANSSVPIVTDYRRQYTFPKKFDWPQSPSENWDASISQKTKKLTRMLSGSERIGLSWPEPPLHLVSQRVLERPTSFKSFKSFKSLKSFKTYNTFKSIMDEMPGMGLLPEIDLEALIKRKRRSPYVRIVSKQMVGVFITVWVRRSLRKHIHNLKVSTVGVGIMGYIGNKGSVSVSMSIYQTLFCFICTHLTSGEKEGDELKRNYDVHEILRRTHFHSPSYVGLPRGILDHERIIWLGDLNYRLNLSDVETKALILKKQWSKLAEKDQLMREIKNGAFGGWSEGVLNFPPTYKYEVNSDKYYGNDPKAPKRTPAWCDRVLSYGKGMKLLSYRRTELKLSDHRPVTATYIIEVESFSPRKLQRALTFTNAEIENEEAIKHLVSWK >CAK8564169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654988833:654989126:-1 gene:gene-LATHSAT_LOCUS17498 transcript:rna-LATHSAT_LOCUS17498 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNEPEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGEGQEDEASAEDFWDAMIQSLIAKGKAKK >CAK8534890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787558981:787559466:-1 gene:gene-LATHSAT_LOCUS4392 transcript:rna-LATHSAT_LOCUS4392 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLVLALSNGVRVNGERGGRQCGVNPSLKPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGAQLYVPPGTWLTQSFNLTSHLTLFLEKGAVIVGSQDSHHWEVVDPLPSYGRGLEVPGGRYQSLINGYKLQDVVITGNNGTIDGMGLT >CAK8563059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570218813:570223630:-1 gene:gene-LATHSAT_LOCUS16506 transcript:rna-LATHSAT_LOCUS16506 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSVTPTVRVFNIPQCATAKDLLNFLESTLGPSSVFALEIFTDHSNWKSRGSGRIQFETFQAKSKALSLSLNNKLLFNSHFLRLSSSSDDIVPRPSLPCNRLHNGVLHAGFPVGPDCMSVLQSWEGVQGWIMPERNRLDFLVTHNDQCFKLEIPFENMLECDGYCSDDSKPNALLLKLKYAPRIYQKKEGPNVASKFKDNRYRFCKDNFEFTWVRTTDFTNLKSIGHSTSFFWEIVEESFDSEVFRNFPLYRENLKDLSLEDGEELCSPTETVPLVKCRSDSKLPYEALFQLNSLVHTQKISLASVDDELIDLLASLDEETKAVIFQKLHKLNSTCYEPLKYVKTQLHVLSIKKKSVIPLQQKRLADNNIMRCHRALITPSKIYCLGPELETSNHVVKHFAPYASNFMRITFVEEDWSKLPTNAISTSLQRGIFAKPFKTEIYKRVLNILRDGILIGSKRFEFLAFSASQLRSNSVWLFASNDKVKAADIREWMGSFNNIRSVSKCAARMGQLFSSSRQTFEMAPQDVDLIPDIELISDGIPYCFSDGIGKISQTFARQLAQKLKLDQNRIPSAFQIRYGGYKGVIAVDPHSFRKLSLRNSMLKFESKNSMLCITKWSESMPCFLNREIISLLSTLGVKDEALLALQEDQLQLLGKMLTDREAALDVLESLSGADSKSILVKMLHGFYEPNSEPYLSMMLKAHYAYQLSDLKSRCRIFVPKGRVLIGCLDETGILNYGQVFIRISVTNTKEKSGDENLRNVNGDDSTCIIVGKVVVTKNPCLHPGDIRVLDAVYHEELEENGLKDCLVFPQNGPRPHPNECSGGDLDGDLFFVSWDKDLIPAQTDNPMDYTGRRPRIMDHQVTLEEIHHFFVDYMINDTLGAISTAHLVHADREPDKARSRKCLELAELHSMAVDFAKTGAPAEMPRALKPREFPDFMERFEKPMYVSKGVLGKLYRALVESTLQVRSNTVLSEKLTEEAYDHQLEVNGFEVFLETALSHREMYTQKMSSLMSFYGAETEDEMLTGNLLKRASYLQRDNRRYGDMKDRILISVKDLQSEAKGWFESDCQPDEYQLMASAWYHVTYHPKYYHESSTFLSFPWIVGDILLHIKSANSSL >CAK8541070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:56489238:56491865:-1 gene:gene-LATHSAT_LOCUS10021 transcript:rna-LATHSAT_LOCUS10021 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGKKPTGTPSLPWSCVVVICSLLAGASVVHNIYKPNLTLPPIDGVDGTKKKLDAKE >CAK8565883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346656198:346659043:1 gene:gene-LATHSAT_LOCUS19060 transcript:rna-LATHSAT_LOCUS19060 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHFRIVLLLAACLLPADAMVRHYKFNVVMKNATRLCSTKPIVTVNGKFPGPTIYAREDDNVLIKVVNHVKYNVSIHWHGVKQLRTGWADGPAYITQCPIQPSQTYLYNFTLTGQRGTLWWHAHVLWLRSTVHGAIVILPKLGVPYPFPKPHMEQVIVLGEWWKSDTEAIINEALKSGLAPNVSDAHTINGHPGPAQACASQEGFSLEVQPKNTYLLRIINAALNEELFFKIANHQLTVVEVDATYVKPFKTDTIVIAPGQTTNVLLTAENKLGNYLVAASPFMDAPIVVDNKTAIATLHYSGTLGSTTTTLTSLPPKNATSTANTFTDSLRSLNSKKYPAKVPLKIDHNLLFTVSLGINPCATCVNNSRVVADINNVTFVMPKIALLQAHFFKIKGVFSDDFPGNPPVVYNFTGNQLTNFATTKGTRLYRLAYNSTVELVLQDTGMLTPENHPIHLHGFNFFVVGRGQGNFDSRKDTKKFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESILPPPSDLPKC >CAK8569863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13966042:13966869:1 gene:gene-LATHSAT_LOCUS22670 transcript:rna-LATHSAT_LOCUS22670 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSSHSANPKFSLSCGNGKVELPLLKQPPPLLAHLLFDEDIVSRKFRQQIRIYNMMFAFTSPGAKLDNRFNNGGGPLTLRIQGQSCHHIGSLIPPEGQPPKFAQLYIFDTDNEVHNRMQGLRNTKNIDQVIVQQLSGMLYKHNPHAKSFQMAKHWLLNSDTQNLKLGLISNRSTDGRVYNQPTVSEVVALIVGDLDTAEMRDIIMQTKGGELQRINVLHASYLAYQYPLIFSCR >CAK8564350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669196740:669199656:1 gene:gene-LATHSAT_LOCUS17665 transcript:rna-LATHSAT_LOCUS17665 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILLLFLIFTITPYALGDASPFPSNFLFGTASSSYQYEGAYLSDGKGLSVWDVFTHKAGSTSDGSNGDVTVDQYHRYQEDIDLMEAIKVNSYRFSISWTRILPKGRFGEVNLAGIDYYNRLIDALLLKGIQPFVTLFHLDLPQELEDRYGGWLSPQSQEDFVLFAEICFKSFGDRVKYWVTFNEPNYHVSLSYRKGKLPPCHCSGKFGNCSEGDSEKEPFVAAHNIILSHATAVHIYRNKYQTEQGGEIGIIVHSDWFEPLSDSVADQLAAERAQSFSINWILDPILFGKYPKEMETILGSILPEFSAVDIENLNQGLDFIGINHYASYYVKDCISSVCESGTGTSTTEGLFLQTAQKDGVPIGELTPFDWLNVYPQGMRKTVNYIKDRFNNTPMFITENGYGNLYDPNNTKEEYLNDVKRVNYMSRHLDNLVTSLREGADVRGYFVWSLLDDFEWTYGFSVKFGLYHVDFTTQKRTPKLSASWYKHFIAMHKTESISPERDTEMRYSNKLLRTG >CAK8578981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665935329:665946594:1 gene:gene-LATHSAT_LOCUS30958 transcript:rna-LATHSAT_LOCUS30958 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGFARGYADEPREIESKSNLTSDSSENGWLIRFFDSSFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLQGLESYLFQICYMMIHKPSPSLDKFVIDMCSKSLKIALKVHWFLMAELEDNDDNDGISNIQEKCQTAATLMGEWPPLIRPQTEPPSPGGKSQVLNRLLSSKNRLLSLTTSPPKSLSFSSSPANNLQEDGNLLSPEENRIFKKFMPSPKFRDALLFRKSADKDDGDSEKDGFFKRLLRDSKGDDELGQKIRDAFHFRKSSEKDALDSEKDSFFKRFLRDSRDSTRGDDEESEKDGFFQRILRDSRSEDEDVTSSSEGFFKKLFRDNKNDSEDRTDAKSVEDEEKDGLFRKFFREKFEDRKDVRDRNDSKNVAISEEKCPKPEEEDEKDGFFRKFFKDKFEDKKDTKDKVEEGTANGEEDEPSELSLFKRLFRVHPEDDKSSPANENSNNGGLSQSSPGTENFFRKLFKDRDRSIEDSELLGSKRQKEKHPGSPMLQSEKSSTKPPLPINPSQFRRGAYHDSLEFVQSLCDTSYGLVDVFPIEDRKSALHESLREINVHVAKVQNTGGVCFPLGKGMYRVLHMPVDEAILLNSREKAPYMICIEVLKCEMPSNFKETSSSQKLSQGGIPLANGDAFLQKPPPWAYPLWTAQEAYRNSNDRMSRSTAQAIDQAMTHVSEPKFVSLILSLETRYNGQAGKTYREGAHGAAGVEHDSDLEWVRVVLTADPGVRLEDIEDQAPPRKKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAQPRANGITPKASDALSGELWEAKKDRVRKASIYGKLPSWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASLHSIKSRYPNISSLREFFDAKYQENSPSFKLAQRNFVESMAGYSLVCYFLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGLPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGIRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >CAK8575823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:331410322:331420628:-1 gene:gene-LATHSAT_LOCUS28057 transcript:rna-LATHSAT_LOCUS28057 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAHGCTMGLSAYRTTVILLFFFLSHFSTTIAIRKDIGFKFTPFCKTTVQGRYLLSDNIGYVCNALSIDSKSRCCPQTGKKFSCHGCNLLSQCCNSYEYCVSCCLNPALTRKEQVLKMKIAKPATARSYESIFDYCTGRCRHSSESVVHENAYLSDLHHCFSLPSNSSGANSTLTEARLNGINVVVGRQGESCNSVCKSRGQSCVPNKLVVLNHCDIIQKYMNCKGSCLASVGTDQPAEVVYDAPKFMNPGSCLYTETQSILSCDGSHQHTKRLCPCA >CAK8564733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7846611:7849075:1 gene:gene-LATHSAT_LOCUS18005 transcript:rna-LATHSAT_LOCUS18005 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTYTCEIVESREEILPEFETDEKPESSCSYRLAKRYSIEDDIDRLFQAIEINKGSPRGHSMSRSHKSALKRPIRVCSSQASGIGIAERVSLKQALRGLCISQASEMAALKRLSKQCGSSRVSDVGTGKKLVEISLMPEISSSKETTTRFVSRDQIVPLPPEVEADKPTIETEEGLGVRTNLATESKSCLGSSMPDKDKDENLPRASCPWRSSNDSSMDKPSSISTCLAKPIFNNMNFLKKKVKKDLCSASSCSTSRFDKENGNSDLKREVKENDEKLSPCSSNHSIEVNSINVNRDSDSKRGFGLNCNKKTKFLLTKVDEKSRSKEKGEFSHSSKSSIGEYSTSSTSISEESNLSSSSRSGQRPHMSKHSRWEAIRAVQQQHGNLNLRHFRLIRKLGSGDIGTVYLAELIGTSCLFALKVMDNEFLASRKRTFRVQTEREILQMLDHPFLPTLYSHINTYKLSCLVMEYCPGGDLHVLRQKQTYKSFSEHATRFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVNPMLVKSSSPDADVVKKISGSCSGTSCIHPFCLQPDWQVSCFTPIHLSPGAKSRKMKADNACARPLPQLVVEPTNARSNSFVGTYEYLAPEIIKSEGHGNAVDWWTFGILLFELLYGKTPFKGPSNDDTLSNVVSQNLKFPGTPIVSFHARDLIRGLLNKDPESRLGSVKGAAEIKQHPFFDGLNWALIRCAAPPELPNFHDFGTSALPMAAHKENANDEDIDDCEEFELF >CAK8541575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:258090973:258091407:1 gene:gene-LATHSAT_LOCUS10485 transcript:rna-LATHSAT_LOCUS10485 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLFHAIAYPIIWVYSTRKLSGRDTLKLEAQAATPEVVHSCRDCVVHLVVNDIYQASTAYSVNGLRALKLEETRMLLTSVAYVHLKHAEVSKYTQNLAPASQTILLSGPTELYQQIQSRYGSPNNGASFKRSTSDRILARFI >CAK8568031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565673930:565674569:-1 gene:gene-LATHSAT_LOCUS21031 transcript:rna-LATHSAT_LOCUS21031 gene_biotype:protein_coding transcript_biotype:protein_coding MELESVKKFLEKGGETASMVNGFPPKFMETLIMSSLRVDLIEPGRVICSMIIPPRLLNSGNSLHGGATATLVDVVGSAAIPASGHVGLTGVSVEINVTYLDAAYVDEEIEIEAKALRVGKSVAVISVEFRKKKTGKVFAQGRHTKYLPVASKL >CAK8566375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415058473:415060132:1 gene:gene-LATHSAT_LOCUS19508 transcript:rna-LATHSAT_LOCUS19508 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENQTQTHPLQVAKRLEKFKATIFTQISVLAIKHGAVNLGQGFPNFDGPEFVKEAAIQAIRDGKNQYAPGHGFPDLNIAIAERFKEDTGLVVDPKNEVTVTAGCTEAIAATVLGLINPGDEVIIFAPFYDSYEATLSMAGANIKSITLRPPDFAVPIDELKSTISKNTRAIIINTPHNPTGKMFTREELCTIASLCIENDVLVFADEVYHKLTFDMEHISIATLPEMFERTVTMNSLAKTFSLTGWKVGWAIAPEHLSWGMRQAHTYLNFTIASPLQCGAIAALRAPDSYYADLKSDYIAKKTILVEGLKSVGFKVFPSSGTFFVLVDHTPFGFENDVAFCEYLIKEIGVAAIPCSVFYLNQEEGKNLVRFTFCKDEETLKAAIARMKERLRK >CAK8562237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459769297:459770403:-1 gene:gene-LATHSAT_LOCUS15751 transcript:rna-LATHSAT_LOCUS15751 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTLTLLIAFLTFVVEITSGEVIANVTVAADGSGNYTTVMEAINAAPDNSMTRYVISIKKGIYVEKVFIDEKKWNLTMIGEGMGATVITGNMSCSQNTSSECTYDSATFAVSGPRFIAQDISIWNTAGPENYQAVALKSDSDFSIFYRVEISGYQDSLCVNTNRQFYRECKISGTLDFIFGYATVVFQNCTILVKKGLNGQQNTITAQGGYLGVSSGFSFQFCNILADYDLLPLINSTSTFLGRPWKPNARTIFMQSNISNVLSPKGWLEWEGAPEYLDTLFFAEYMNYGQGADTKNRVKWSGYHLLSFNQASNFTVANFILGDQWLPSTGIPFTSGLVN >CAK8562238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459769297:459770283:-1 gene:gene-LATHSAT_LOCUS15751 transcript:rna-LATHSAT_LOCUS15751-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINAAPDNSMTRYVISIKKGIYVEKVFIDEKKWNLTMIGEGMGATVITGNMSCSQNTSSECTYDSATFAVSGPRFIAQDISIWNTAGPENYQAVALKSDSDFSIFYRVEISGYQDSLCVNTNRQFYRECKISGTLDFIFGYATVVFQNCTILVKKGLNGQQNTITAQGGYLGVSSGFSFQFCNILADYDLLPLINSTSTFLGRPWKPNARTIFMQSNISNVLSPKGWLEWEGAPEYLDTLFFAEYMNYGQGADTKNRVKWSGYHLLSFNQASNFTVANFILGDQWLPSTGIPFTSGLVN >CAK8573038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578727188:578729491:1 gene:gene-LATHSAT_LOCUS25532 transcript:rna-LATHSAT_LOCUS25532 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLCATRSRPWISSSLHSSSSHHIARLAHASVASPPFHATFVARRRHSSACKLQIPGGAASIWHAIMPCGGESFRRDALMVHHDHELRGEGSWNVAWDARPARWLHRSDSAWLLFGVCACLAPPLVIVDVDPEVPKTPVISPGESSDVLGNKDERNEEVSPDYRVTGVLADGRCLFRAIAHGACLKKGEEAPNENRQRELADELRARVAEELLKRRKETEWFIEGDFDAYVTRIQQSYVWGGEPELLMASHVLKTPIYVFMRDAGSLDLVNIAKYGEEYINDEEISINVLFHRHGHYELVEAL >CAK8565443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:212286083:212286928:-1 gene:gene-LATHSAT_LOCUS18660 transcript:rna-LATHSAT_LOCUS18660 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQAAFKEELDSIKGKIDQILEAILTARREEEQCEAAAVVNNNGQGQGSTAIPLVPIPNPHGMPLNFNNAAQGNTSQPIPAPGVTVGAIPQAQPTVVQIQAPHTEDTLMDHYDDVQNYHAAIPISSPVAAQDSEAMKMCRDLAEKFRVMEGNNSNPLSTLEMCLVSDVVIPPMFKVPKFSKYKGLSFPNIHLKMYCRKMASYARDEKLMIHCFQDSLSGASLEWYMQLERNSVRTWAELADAFVKQYKYNTDLAPNRTQLQSMTQKDSESFKEYAQ >CAK8543609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626176437:626177169:-1 gene:gene-LATHSAT_LOCUS12357 transcript:rna-LATHSAT_LOCUS12357 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIDSLHGYGEDGWPMVRRDLGLEIIHNEKSSLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVNMKEGFPLPPVTIDWKKFRSPTTTFWMLGFAGCLQHWQ >CAK8539837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528346408:528347328:-1 gene:gene-LATHSAT_LOCUS8897 transcript:rna-LATHSAT_LOCUS8897 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFEGTMRSATAPNSSCSSGNRFNNDVCNFECNICLDLAQEPVITLCGHLFCWPCLYRWLQHRSHPQGCPVCKGVVDEEKLVPLYGKGRSATEPRIEIPPRPSDIHTLFARLEQMRLSCEATEQRFKEYRESTEQRFKEYRESTEQRFEEYRESTGERFKAYVDQRIEEFCVAQERRFALLQEPQQRRFDRLECRIKECCMDAEYHIKKCRADAELHVNECRAEAEQRIRECRADEKQRIKKLRADAEQRIKKLRADAERRIKELRAQCRAEAEQRIKEYRAEAEQHVMERRAETEQLFQIINN >CAK8541245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:96380301:96386906:-1 gene:gene-LATHSAT_LOCUS10177 transcript:rna-LATHSAT_LOCUS10177 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLQFNLHPLPPSSSPLLTTTTNISSFSIFYNNNNNHRLRFNLKTLKNHRVFAMSSNSTFKMNLNEYLVTLEKPLGIRFGLTSDGKIIVHSLAKGGNAEKSRIIMVGDTLKKAGDSSQNSLVEIKDVGDTQKVLNEQTSSFSLVLERPTSTFPIQLLHNKTNDLEIVYNRGRVPVVTWNKTLLASNLQSSSESCGSSGFSMFNSKFLNSNGSKLLGNQNQHAITHGERNSLTEQTIQLACVFTEEACGDGDWAHGSFPLEEYIKALDRSKDEMYYNHSLGMRYSKITEQIYVGSCIQTDDDVETLSKVEGVTAVLNFQSGTEAENWGINVKSINESCQRNNILIINYPIRDGDSYDMRKKLPFCVGLLLRLLRKNLRVFVTCTSGFDRSPACVIAYLHWMTDVSLHAAYTWVTGMHTCRPDRPAIAWATWDLIAMVERGEHDGPPTHAVTFVWNGHEGEDVNLVGDFTVNWKEPLKAKHRGGSRHEVEVKLPQGKYYYKFIVNGQWKHSTSSPAERDESGNVNNIIMIGETASVRPSVQHQQKDANVVKVMERPLNEKERFMLAKAARCIAFSICPLRLAPK >CAK8533147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:584631757:584631960:-1 gene:gene-LATHSAT_LOCUS2794 transcript:rna-LATHSAT_LOCUS2794 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKNWVELPPHSQEYKDDVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVYDHLCSKGFVN >CAK8565470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:227135779:227136111:-1 gene:gene-LATHSAT_LOCUS18684 transcript:rna-LATHSAT_LOCUS18684 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFDFEAGNDGVVVITMCTPPVNALALPIIRTLKYKFDEATKKNDAKDIVLTGKGGRFSRGFDISVMQKVHQTGNITFVPDVSVELVVNSIEDSKNPIVAALAGRAV >CAK8565974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:363809605:363811175:-1 gene:gene-LATHSAT_LOCUS19147 transcript:rna-LATHSAT_LOCUS19147 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKKILCINSRKQKLPPGPKPWPIIGNLPEILLNKPVSKWIYKIMEELNTEIACIRLGNVHVIPITSPDLACEILKKHDATFASRPLTMSTDIVSEGYLTSIVVPFGEQWKKMRKIIASELFSPLRHQFLQDKRNEEADNLVSYVYNNGGLVNVRVASRHYCGNVYRKYFFSRRYFGKGMEDGGPGVEEIEHVDAVFLLLKHLYAFSVSDYVPWLGVVDWDGHKREVKKGMAIMKKYHDSIVEERFKEWNDGSKTVEEDLLDVMISLKDVNNNPLLTLKEVKAQVTELILAVVDNPSNIVEWTLAEMINRPELIQKATEELDKIVGRSRLVQEYDIPQLNFVKACAKESLRLHPTVAFNVPHVSMNDTLVGSYFIPKGSHVIIGRDGLGRNPKVWKEPYEYKPERHLKNDESDVTLTEPNLKYLAFSVGRRGCPGVMLGTTMTIMQLARLLHGFTWSAPDDTSGINLVEAEGDMFLAEPLLAVAKPRLALNLYNFLLK >CAK8568958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659586979:659587305:-1 gene:gene-LATHSAT_LOCUS21862 transcript:rna-LATHSAT_LOCUS21862 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSNKNNKATKRRGDVSNEKRLEVGAEEDEESVMSVTSQLSMKPIENCKNLNKEVILRRIRHRKRMNKVRAAVGGIFRGFSKDSGDGGEGSVQQKRWVDDAFAAL >CAK8531786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151506843:151507256:1 gene:gene-LATHSAT_LOCUS1552 transcript:rna-LATHSAT_LOCUS1552 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKADKLQVKTLKHYFQFIHSRIVTEDGKTWNLSIVYASPVEERKKDMWKELTNIAKNMNNGWLVGGDFNDILYMVKKRVGTLSFLRRCTLFQNKINDSDIIDMGSSGYKFTWRGLMVHGDMRIYEKLDSVFCNVN >CAK8562990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564760531:564760725:1 gene:gene-LATHSAT_LOCUS16444 transcript:rna-LATHSAT_LOCUS16444 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVVGAFVVVAGVFGMNIHIELFNSDKYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8569082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669896585:669896842:-1 gene:gene-LATHSAT_LOCUS21973 transcript:rna-LATHSAT_LOCUS21973 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSMFSHFDISQGKQWSFSLGLGPVKDGNPKPNKEATSSSKATVKDDPKTLMPGGDKKNPTRLRTRFAPEFDGLNCFECIVPSA >CAK8564232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660263130:660264236:-1 gene:gene-LATHSAT_LOCUS17555 transcript:rna-LATHSAT_LOCUS17555 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8565583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283918943:283919824:-1 gene:gene-LATHSAT_LOCUS18782 transcript:rna-LATHSAT_LOCUS18782 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEQENRVLRDEVATLKENLERLNGIVEYLSNQSALNNQEVQGTVISEIVSTLIPVVSTVAEVTYSMPPGFPWGMPPYFTPAGYRPLVPEVPAVTTVQAIPAITVVMTSAPPRVNITPHILDEPIFHRVPSETVGLEERMDGFQGQFSEMQKELRALRGKDLFGKSASDLCLVPNVKVPLKFKVPDFEKYKGNTCLQSHLIMYARKMSAQTDNDQLPIHYFQDSLTGAALRWYMGLDSAKIRTFNDLGGAFIKQYKYNMDMAPDRDQLRAMAQKDKENFKEYAQCWRELAA >CAK8562364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478760553:478763718:1 gene:gene-LATHSAT_LOCUS15866 transcript:rna-LATHSAT_LOCUS15866 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAVIGKVVDELLSSVVEMKNRALKFKSTLERLEKTLQSLAPLITQIDELNKKLDRPATETERLIKQMKDGKELVLKCCGQDKIQWWNCCYKKAEYQQELEELDGEIRRFFELDLRAQDARNGLEALLEIKEFHAEFRSVGLRNERIELRGVCLPPQPPGFTVGLDGPLNELKMRLLKDGVSVSVVTVTGSGGSGKSTLVKKFCWDEQVKGKFKDNIFFITFAKTPKLNAIVQKLFQHTGYQVLDFQSDEDMFNQLAQLMKQIVKNGPILLVIDDVWLGSESLVDKFVFEIPNYKILVTSRFAIGRFGHPFVLKPLSDANAINLFKHSASLTKSNSDIPDDVVKEIVRGCSGSPLALRVSGRSLSNEQQIVWLNRARELSAGGTILDSNTDVLSCLQKSLDVLDPKSMDCFRDLGLFPEDQRIPVAALVDMWAELRNDDDATAIERIYKLVNLNLADIIVTRKVARGAIDYNYHYVTQHGLLRDLAICNNSQAPEDKRNRLIIDTSANNLPSWWTSETEYHIAARVLSISTDEAFPSKWCNLQPTEVEAFVLNLREKKCTLPMFMKKMNKLKVLIITNYDFYQAELENFELLNHLSSLRRIRLEKVSIPLLGKTIVQLKNLQKCSFFMCNVNEAFKNCTIQDSEVLPNLVEMNFDYCDMVELPNVISYIVSLKKVSITNCHKLRALNEGIGKLVNLESLRLSSCSGLSKLPDSITNIHRLKFLDISECISLSQLPENIGELEKLENLNMRGCSSISELPSSVMELEGLKHVVCDEETAEKWEPFRTILGDLRIEVVQEDFNLDFLYNQ >CAK8562614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516043616:516043846:-1 gene:gene-LATHSAT_LOCUS16099 transcript:rna-LATHSAT_LOCUS16099 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNSDNYDQEFWELVEEEFMDDRDEEQQLQNERRSESSSRPKRRTTVDRGREEGNNRLFNDYFSKNPIYTDVQF >CAK8564150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654618049:654618390:-1 gene:gene-LATHSAT_LOCUS17479 transcript:rna-LATHSAT_LOCUS17479 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRWPRVLNPTHLSQIIRTQKNPLKALEIFNIAKSKYPKYSHNGPVYATMITILRSSSRLKEMSDLIEKMKQDSCVCKDSVFVSAIKTYAKQGLVDEAISLYKKIPQFNCVN >CAK8534887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787052866:787053462:1 gene:gene-LATHSAT_LOCUS4389 transcript:rna-LATHSAT_LOCUS4389 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLIKITNLSLLLWLFMSTTILAQSENEPVLDTKGNPLERGKEYYIKPAITDSGGRFTLINRNSSCPLYVGQENTDLGKGLPVIFTPFAKEDKVIKVERDFKVRFSASSICVQSTEWKLGDRDSKSGRRLIIAGTDRYNYFRIAKTQFGGIYNIEFCPSVCDTCRFECGTLGGLRENGKILSALGDNKLPVQFIRA >CAK8562322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473425143:473433899:-1 gene:gene-LATHSAT_LOCUS15829 transcript:rna-LATHSAT_LOCUS15829 gene_biotype:protein_coding transcript_biotype:protein_coding MDESICIENCEPDILQWDVPSMQELSFLERLEIDEPIAVWFKFKGKWHAGIKCAKDDLPVSTQKDKPVHDDKNKYFNIFSPSAKNYSWVKRRFVQSIDEFPQPIAYETHQHGLETVQDLTIARRFTMQNLVIEMMNIKEQIHSQALIEDARNIMVWKQFAMEASDSKSYSDIGRMVQGLQNSIMQHYIVADWKLHCSKSWAYRCEMAKTAEEIEMINEELVDCILWNDVFGLWNVAPEPKLGDVWKNWKHDVMKWFSRNPLVSSSNGSQPHASSSYGLCQTSFQAGFKRPKLQMLRPCTPSTHKSTVEVPMETEFPSQFMNELSDIGVEGVDSDVSPITEMVSRPMEDEERPMGDEEMPMDDEEAIELFVEPDNNKSRQCGKFIKAKGRQCRRQVIGSDKYCFAHFSIKPEKQVKAPTPMCGGTTVAGSKCKHHSLAGFSFCRKHLSSVKTNNSSNSKRRRSKRKPRVNFSGSTSKRRVREDLGVAPPKSPLDIDPVSVIKDDYFIARNIFGETLTLSGNDHNEALQWIESPPNRNDDDNDNAVKCKVCFEEFSDDRSLCNHWMENHEREAYWLFMSYACAICLDSFTNKKLLKSHVQNRHHVQFIKHCLLLKCNACGGNFGIMDELWLHVRSVHSSEFKVTRVPNPLTLTTEDDSPSMIEHENEASLEEPQTNNLNILPIASTTSCEVNLEASLKEKFGYLPERRHLKATGDCSRREMLENSHQDEIHSVNSPHSGSLQKAIILCEDISFGKESTPVICVLDQEILNSLFEKERYINLPSPWECFSYVTKQMLDRFPSHNHEESLQMRCSCSSSSCCRKTCDHIYLFDYGLDNAKDIFGKPMHGKFPYNKNGRLMLKEGYSVYECNNLCRCSKTCQNRILQKGVQVKLEVFKTKEKGWGVRAGEDILCGTFVCEYIGEVVEKTEVHKRRERYGTENGEYFYDIGAHSNDMSGITEGNARRYIIDSTRYGNVSRFINNSCSPNLANYQVFIESINSEHAHIGLYANRDIALGEELTYSYQYEFVGGEGYPCLCGSLKCRKLCIC >CAK8560292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13214250:13223254:1 gene:gene-LATHSAT_LOCUS13979 transcript:rna-LATHSAT_LOCUS13979 gene_biotype:protein_coding transcript_biotype:protein_coding MADYAQEQEMEIEALEAILMDEFKEIHSGESGLSTSNRCFQIKVTAQEEEEDGSITNPAQLALIFAHTEKYPDEPPLLNVNSLQGIPSEDLRILKEKLQQEASENLGMAMIYTLVSSAKEWLAERFGEDPDGAIAEAEEAAKEDIVIPHGEPVTVETFLAWRERFEAELALERAKLMPESVLATPKEKKLSGRQWFESGRMKGAAVVTEELDEDDEEDIDFDDDEDFEDDEDDMLDHYLAEKSDSSTHSSMRAS >CAK8573313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602615443:602615748:1 gene:gene-LATHSAT_LOCUS25776 transcript:rna-LATHSAT_LOCUS25776 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLSNIRASFQKSFYEVKHAHVSPFYNNLRGSVSRDALRRITES >CAK8573699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631171044:631172503:1 gene:gene-LATHSAT_LOCUS26110 transcript:rna-LATHSAT_LOCUS26110 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKRISLSFFNSPKLFTSFASKGFHESETTMMSPTSILDSKPFKKNHFWSETTNSPRAQEHHKRCCWEKKHESKVVGLGLVDVLVDDEKNSEVNSKLENRTVLFGSQLKIQIPSFSSSSESSSSPLSRGDFGIKTMNSHLGCSSGSFSVSLVGKSSSGCGGDSVVENENLNSSNGVFKGCVSASEMELSEDYTRVICHGPNPRTTHIFDNCVIESGCFDFGCSVSVKENGFYFPHPIGYYQQYENFLSFCFYCKKNLEQGKDIYMYRGEMAFCSHECRSQGMSILEAKDVYET >CAK8530907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62264185:62264556:-1 gene:gene-LATHSAT_LOCUS736 transcript:rna-LATHSAT_LOCUS736 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEQYPWSSKEDHQRRFQHAWFSLFPSWLEYLPSEDDAYCLPCYLFIQRLSGRPGSNVFISTGFRGWKKVRNGKNSAFLKHIGKDPCSPQNNVMKACQDLLNQDGYIRNIVQAQGSIEIVNN >CAK8541875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419887186:419888499:-1 gene:gene-LATHSAT_LOCUS10765 transcript:rna-LATHSAT_LOCUS10765 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKPKKFLHIAVFPWLAFGHISPFLELSKLIAQKGHNISFISTPTNIKRLPQIPPNLQPFFNFVELPLPHIDQLPENVEATADIPQHIVPYLKKAFDGLQQPLTQFLKTSTPDCIIYDFAPYWLPPITSKLGILSIYFSTFNAFTMSFGVDFLVRAQEAENKDKIVVSVYFEPNESGVSDIFRMKETLFGADFIAVRSCMEIEGQSLKSLENQSKKKVKPVGLLPPSLEFSEEEKDENWDTILKWLDKQEKKSVVYVAFGTEVILSDEELTEIAKGLELSSFPFLWILKNHDKHDWFVENDSNKNGLIWNNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPLIMLPFITDQGINARLMEEKMVGVKVERNDEKFSRDSVAKALRLVMVEEEGKGYRSKAEEMRKIVGDKKMHQKYIDEFVNHVELHIPSSKQ >CAK8537041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:65900142:65900903:-1 gene:gene-LATHSAT_LOCUS6358 transcript:rna-LATHSAT_LOCUS6358 gene_biotype:protein_coding transcript_biotype:protein_coding MQTISGSSSSLSEVSGKFENDFFSKLKIEDDKIDKIENEVKQEKDVLDEEDDDDDDEEFSFVFADPNGSPISADDVFDNGQIRPIFPIFGQDLHFTDDYDSESGNRSPVKKIFVESPSSSGKATSSTSAAAEGTFCEWNPKVAKKSNSTGSSKLWKLRDPKLRSNSDGKDAFVFLNPAKVEKSSSGETKKAVVRKVKVAKGKPAPSAHEKHYMMSKARKESDKRKSYLPYRQELFGFFSSTNGLGLSRNVHPY >CAK8536808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22481332:22481701:-1 gene:gene-LATHSAT_LOCUS6140 transcript:rna-LATHSAT_LOCUS6140 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYHLFLLAILVVIIPTLVHANVKEKNSYLDNIFPFINDTYWRKKTVDAEKENNIAYTPDPYAVSRNMTSLLTR >CAK8541659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:338143003:338143395:-1 gene:gene-LATHSAT_LOCUS10563 transcript:rna-LATHSAT_LOCUS10563 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDYQKIHPANDLESQTKPTIPLYPRNISKSDRRDPLQYDYFNPPIPKRKFQVRHSPPPKKKRSCCCRFLCCTFTILLILIIAISITIGTLYLDFRPKLPKYSVDRLRITQFNLSDNNNLCYLRCNSNC >CAK8541924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:433548307:433552728:1 gene:gene-LATHSAT_LOCUS10812 transcript:rna-LATHSAT_LOCUS10812 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHSASAIPSSSAAANNAEATQPDENAGASENRPRESRRVSITFGRTNLRMADDSALVRDEKWSCLAVIFSFWFFVAVTMIMGVYGSMTVVLGPCSSIVLQPNPVFVQYVKVENVEAIPGLVLYSTYQYPPLDVVSIWRETRNVSIPYGTDKEWKYYLNRGSQVNISYSMSSESSSIYLVIAEGAESLSLWLEDPTYPNTTLSWNVIHGTGMITQQIFRSSYYYVALGNLEEEVEVELNLTVRAFLHNTTNAFYKCAPTSSPCSLNIFFPHGNEAVLVSPAPQQNTSNDEWYVQLTYGPRWLTYIFGIGVLTLIMFWVFNFLNKLQCANDDRVGSRSEGTGPDRAPLLSRKDDDLSSWGSSYDSLPQDDEDLNFLTGGSTDGKFLADGETSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEAAGTCPVCRRNMKKVRKIFTV >CAK8579016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667415680:667417541:-1 gene:gene-LATHSAT_LOCUS30992 transcript:rna-LATHSAT_LOCUS30992 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNTTKTSLSHHQSFDIERSIDDVVSQPDSSSKCYDDDGRLKRTGSVWTTSSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMILFSLVTVYTSSFLADCYRAGDVNSGKRNYTYMDAVRSILGGVNVTFCGIFQYLNLFGIVIGYTIAASISMTAIKRSNCFHQSKDKNPCHMSSNHFMIAFGVMEIFLSQIPDFDQIWWLSSVAAVMSFTYSIIGLALGIVKVAENGTIMGSLTGISIGAVSETEKIWKTSQALGDIAFAYSYAVVLIEIQDTLRSPPSEAKSMKKATKISIAVTTTFYMLCGCMGYAAFGDAAPGNLLTGFGFYNPFWLVDIANAAIVVHLVGAYQVFSQPIFAFVEKSATQRWSNINREIRIVIPFLPPYNLNLFRLVWRTMFVILTTVISMLLPFFNDMVGVIGALGFWPLTVYFPVEMYISQKKIPKWSNRWICLQIFSVACLVISVVAAVGSIAGVLNDLKKYKPFQSNY >CAK8571633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453074220:453075569:-1 gene:gene-LATHSAT_LOCUS24275 transcript:rna-LATHSAT_LOCUS24275 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQLDYFQNPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEDMVNKPIPNWFYEWWAKFGPSLKILPKEILNLYNPWCDNSPLIVKILSDDLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8531580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126559229:126559585:-1 gene:gene-LATHSAT_LOCUS1362 transcript:rna-LATHSAT_LOCUS1362 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPGSVNSWSKWESPNGKLDWAHNADGVGKFRRSSSFELGNNGEEPDLSWVQSLVKESPTEIKEKLTTSISNVAPTGTSGEGLNMNMNAQMDFADHAVLGTWLEQMQLDHLVAQQN >CAK8542155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:480771262:480771771:-1 gene:gene-LATHSAT_LOCUS11020 transcript:rna-LATHSAT_LOCUS11020 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHSKVCHKNPNLLQKDPTQRNLVSGDAGFLGSTSQRFNSQACRKAITPFVILDEHSFRVVEGEGVKKLCKQLQPQITILSRRIVARDCFQLYLAEKLKLKAFFKSDCARVALTTDCWTSIQNLSYMAIIAHFIDNAWIYHKKIISFSLVPNHKTDTIGKKVEDVLKE >CAK8574222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670657792:670658277:1 gene:gene-LATHSAT_LOCUS26583 transcript:rna-LATHSAT_LOCUS26583-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNDTVSYTVKVTVTVTITDEDELLPDRETQATLKDNGDVGGNEPVEKDGESSKLDDGGEKETVEKDGETRKLNDVARGVTFTPALLNKEKNRRGRKRKFDSDVSRNTRNKKVICNEGDSSMCHQCQRNDKGRVVRCTKCKRKRFCIPCITNWYTLLLF >CAK8574221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670657792:670674588:1 gene:gene-LATHSAT_LOCUS26583 transcript:rna-LATHSAT_LOCUS26583 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNDTVSYTVKVTVTVTITDEDELLPDRETQATLKDNGDVGGNEPVEKDGESSKLDDGGEKETVEKDGETRKLNDVARGVTFTPALLNKEKNRRGRKRKFDSDVSRNTRNKKVICNEGDSSMCHQCQRNDKGRVVRCTKCKRKRFCIPCITNWYPKLTETDVAKACPVCCDNCNCKACLRSFKLINEMKQRVETNITKEEEVEFSEYMLKRLLPYLRQLDEEQIIEKEREAKRQGIPFSKLEVKEGDFYKDERVYCDNCKTSIFDYHRSCTKCPFDICLLCCRELRDGKLLGGADPIEFDFIFRGCNYLHGGNEERIVRQNKPYDAAEPEIREWSRSRWHANGDGSIPCPKANNECDHGFLELRRILPLNCISALVRKAEVLAEKFELHVVHVAEETLDDRCSCWKSVRSADGIYSNLRKAAFRRDSSDNFLYCPRAVGLHQEDLRHFQWHWSKGEPVIVSNVLECTSGLSWEPLVMWRAFRQITNSKFDVLLDVKTINCLDWCEGDINIHQFFTGYTNGRKDWLNWPEMLKLKDWPPFDLFQELLPRHHAEFISSLPFKEYTDPFRGALNLYVKLPDHCVKPDMGPRTYVAYGCAQELGRGDSVTKLHCDVSDAINVLTHIAKVELKPEETTAIEKLKREHLEQDKRELHDDEKVTLKQKNSSLVGEDSLDGALWDIFRREDVPKLQEYLKKHFREFRHVHCNPLKQVIHPIHDQTMYLTTEHKMKLKEEYGIEPWTFVQKLGDAVLIPAGLPHQVRNLKSCFKVAHDFVSPEHVGECIRLTEEFRKLPINHKASEDKFEVKKIIIHAMRDVVEKLENARYR >CAK8532657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:448543432:448546077:-1 gene:gene-LATHSAT_LOCUS2340 transcript:rna-LATHSAT_LOCUS2340 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDEKSPITFNFHQLLLKLEDNILIETVGISVEDDKGSVHSTTCVSSQVGFPLRCSFCATGKWGFSRNLWSHEIVEQEWVGWPQQIYKGNAKYTCCSWPGGVSYELGRSCNRRRCKSYIPIIWVNWKNMES >CAK8541509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:210703499:210703799:1 gene:gene-LATHSAT_LOCUS10426 transcript:rna-LATHSAT_LOCUS10426 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLMMKSVGSMRILKEVRRSQSMCRHIFLWYREGKKKGFGKLHVIDKGFGQQPWALIQSNFVKLVATLAVF >CAK8563137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577060798:577063954:1 gene:gene-LATHSAT_LOCUS16575 transcript:rna-LATHSAT_LOCUS16575 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCLSSVYHLCSNITKSVLHQIKDMEEEARVQVWEGYVDWRNRPAIKGHHGGMLAASFVLAVEVLENLAYLANASNLVLYLSKFMHFSPSTSANIVTNFMGTAFLLAILGGFLADAFFTTYSIYLISAAIEFMGLLMLTIQAHMPPLKPPNCVKGLGSTNSLCQTVQGGKEAMLFAGLYLVALGVGGIKGSLPPHGAEQFDETTAEGRKKRSEFFNYFVFSLSCGALFAVTFVVWIEDNKGWQWGLGVSTASILLSIPVFVLGSRKYRTKIPAGSPITPMFKVLAAAIYNSYKSKNSSANAINSMPTTPSDTIEKGDQEQTINRKEMMVMKDQTPITQRLKCLNKAVMKPVHPKLKCTVKELEEVKTVLKILPIFMSTIMLNCCLAQLSTFSVQQSSTMNTMLGSFKVPPASLPVFPVLFIMILAPLYNHVIVPFARKITKTEMGITHLQRIGTGLFLSIIAMAVAALVETKRKETASNYGLLDSTKPLPITFLWVALQYLFLGSADLFTLAGMMEFFFTEAPWSMRSLATALSWTSLAMGYFLSTVLVSFVNKLSGAFGQTPWLLGENLNHYHLERFYWLMCVLSGLNFVHYLFWANSYKYRSTPNHGY >CAK8563138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577060873:577063954:1 gene:gene-LATHSAT_LOCUS16575 transcript:rna-LATHSAT_LOCUS16575-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEARVQVWEGYVDWRNRPAIKGHHGGMLAASFVLAVEVLENLAYLANASNLVLYLSKFMHFSPSTSANIVTNFMGTAFLLAILGGFLADAFFTTYSIYLISAAIEFMGLLMLTIQAHMPPLKPPNCVKGLGSTNSLCQTVQGGKEAMLFAGLYLVALGVGGIKGSLPPHGAEQFDETTAEGRKKRSEFFNYFVFSLSCGALFAVTFVVWIEDNKGWQWGLGVSTASILLSIPVFVLGSRKYRTKIPAGSPITPMFKVLAAAIYNSYKSKNSSANAINSMPTTPSDTIEKGDQEQTINRKEMMVMKDQTPITQRLKCLNKAVMKPVHPKLKCTVKELEEVKTVLKILPIFMSTIMLNCCLAQLSTFSVQQSSTMNTMLGSFKVPPASLPVFPVLFIMILAPLYNHVIVPFARKITKTEMGITHLQRIGTGLFLSIIAMAVAALVETKRKETASNYGLLDSTKPLPITFLWVALQYLFLGSADLFTLAGMMEFFFTEAPWSMRSLATALSWTSLAMGYFLSTVLVSFVNKLSGAFGQTPWLLGENLNHYHLERFYWLMCVLSGLNFVHYLFWANSYKYRSTPNHGY >CAK8562176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451064655:451070136:1 gene:gene-LATHSAT_LOCUS15695 transcript:rna-LATHSAT_LOCUS15695 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSGEEGTLGSTGNEERILVSVRVRPLNDKEIAKYDLSEWECINDTTIMYRSNLSAPERSPYPTTYTFDRVFNTDCPTRQVYEEAAKEVALSVLNGINSSIFAYGQTSSGKTYTMSGITEYAIADIFNHIEKHKEREFVLKFSAMEIYNESVRDLLSNDTTLLRLLDDPEKGTVVERLTEETLRDWDHFTELISFCETQRQIGETSLNEASSRSHQILRLTIESSPSEFLGNDKLSSLTASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSIGGNARTAIICTMSPARSYVEQTRNTLLFACCAKEVSTNAKVNVVMSDKLLVKQLQRELARLEGELKNSSSTRRKPDSAELLREKDLQIEMLKKEILDLAMQRDLAHSQITDMLKVVGDDMSSSDMEGLGLQYPKLRVRCSMDFDNQIEEPNLLGFDIMETVRSFDASQYSDGHSVSSEENYFQLPNLEKNLPIRISSRALSVVSHDDETNDSDQNIVQEQPNDKLGDSCIKVRCVESEDPITNTDTLSNPASLSPNRDTDSNASSPGETTVVSGLTEVDNIDKENQDLCSSPGETTAVSGLTEIDNIDKENQDLCSSPGESTAVSGLTEIGNIDKENQDSCSFGLKESKELSHFHHASFLPSSEKISPWMLGKSVPSSRILKLTRSRSCAASLMKGSSSCWFDEEYDRRTYPLNFNPNAERLSWSGFGYCVKCSTCDIQNVKPSFDMEIDDGDLSPVRREKKENESSKPPPYRKVSGSGVESTVSAKKFKDAGSNTLQSGEEKHLEWSLEFKRLQKEIIERWHSCNVSLVHRTYFFLLFKGDPSDSIYMEVELRRLSYLKENQILEDGRTLTPESSKRYLRRERQMLSRQMERKLSKSERENMYFKWGISISSRHRRLQLAHRLWSETNIDHVRESATIVAKLVGTVEPDQAFKEMFGLNFAPRRRRKKSFGWTSSMKHIL >CAK8570491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50285081:50288125:1 gene:gene-LATHSAT_LOCUS23235 transcript:rna-LATHSAT_LOCUS23235 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGSANLMIVSDLDYTMVDHDDPENLALLRFNALWEGYYRHNSLLVFSTGRSPTIYGELRKQKPLLTPDITIMSVGTEITYGESMVLDEGWKRYLDHKWNRDIVVEESAKFPELVSQSETEQRPHKVSFYLEKGKASEVMQALSKDLEKRGLDVKIIYSNGVALDILPQAAGKGRALAFLLEKLKANGLGPLNTLVCGDSGNDAELFGVPDVYGVMVSNAQEELVEWYAENAKDNAQIIHATERCAAGIVQAIGNFTLGPNVPPRDIRDSLLNMKILSPGHEVVMFYLFYERWMRGEIENSEQYIQNLKSIFHSTGNFVHPSGVDRPMQQIIDTLPKVFGEKQGLGFRVWIDRVSFAEVSLGSWMVKFDKWELSGNELRGCLTKVLMNSKVDTPNEFTWMHIHQTWLDGSKGKDDTPWFM >CAK8542033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457596492:457598187:1 gene:gene-LATHSAT_LOCUS10907 transcript:rna-LATHSAT_LOCUS10907 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHLGLSEFPHSSSMDHSSSSTSIITSSTPFLETPKHPSPKPHKKTIVKTLMGAANFTSSSFKEDTYFVSHLKSSEKKALQEFKKRLLASKEINNSASMWGVSLLNGNDAASADVVLLKFLRARDFRVSDAYTMLVRCLAWRKEFGAENILDEELGFKELEGVVTYTHGYDRKGHPVCYNHYVVFKDKDLYERIFGDGEKLKRFLRWRVQVLERGIKLLNFKPGGVNSLIQVTDLKDMPRKELRTVSNEILTLFQDNYPEMVAHKIFINVPWYFSILYSIYCPFLTQRTKSKFVISKEGNATETLYKFIRPENIPVQYGGLSRPNDFQNGPPKPASEFSVKGGEKVNIQIEGIENGARVKWEIVVGGWEVQYSAEFVPNAEGSYTIAVDKARKVDASEEVIESSFTSKEGGIIVLTVDNSGSRKKKVGAYRHVVCKCNNTNTGSDNMQLIVY >CAK8535277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833708615:833709118:1 gene:gene-LATHSAT_LOCUS4749 transcript:rna-LATHSAT_LOCUS4749 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNSHVASAQDYPEDYLNLHNEARSALSGWNMSDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLYGENIAVSTGNMSGKEAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8575955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374331746:374332057:1 gene:gene-LATHSAT_LOCUS28179 transcript:rna-LATHSAT_LOCUS28179 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8539590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517338092:517339063:1 gene:gene-LATHSAT_LOCUS8672 transcript:rna-LATHSAT_LOCUS8672 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTTTTVITNDDDDRTKTSLSKPPRPKRRICFSFTTYANNLIHRLKSSNVIIEQGLSDTEFLFLESKLNITFPPDLRAILQQGLPISPGFPNWRSSSHQQLRILLNLPVSSILRRVSDNRFWHPSWGPLPEDPLASAERILNGAPRLIPVYRHCYIVSSPNTAGNPVFYIDHGGDVRLASFDVVGFFRDAGFLNGVEEVEDPVWAATKARSIGVWSDVADGRGERKWKWWWDDRRDELGGCMDGVLQRLREGGWREEEILEMMNEEEEEREKRAQHVSFLGLKLLGAGWSREDVVYSLGVDMEEAAATVLAADVGVTMNQQ >CAK8534736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762456575:762458380:-1 gene:gene-LATHSAT_LOCUS4255 transcript:rna-LATHSAT_LOCUS4255 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIPSVYFKSIIKLLHHSDKNVGKKALGLLCDAARNHEKVSLALKDNKGSRSRSSFPWLHMDESSQESLDKMCLEILQVLDDSSNTSLKVAAVSALEVLAERFPSNSSIFGVCLGSITKCITSHNLAVTSSCLRASAALINVLGPKALAELPQIMDNVMKSSRIVLSSQDLKPKTNEVLSVSNEPHFISVLVTLEAVVDKLGGFLNPYLTNIMELLVLHPEYVSGMYPKVESRAHGLRKLIAGKIPVWLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVDNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8534737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762456575:762457741:-1 gene:gene-LATHSAT_LOCUS4255 transcript:rna-LATHSAT_LOCUS4255-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLHPEYVSGMYPKVESRAHGLRKLIAGKIPVWLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVDNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8534738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762456575:762457408:-1 gene:gene-LATHSAT_LOCUS4255 transcript:rna-LATHSAT_LOCUS4255-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVDNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8538242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472870811:472871275:1 gene:gene-LATHSAT_LOCUS7462 transcript:rna-LATHSAT_LOCUS7462 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRVFRTGRRSNVFDPFSLDLWDPFQNFQLTTGTTNETAAFANAHIDWKETPEAHVFKADLPGVKKEEVKVEIEEDRVLKISGERKTEKEDKNDTWHRVERSQGSFHRRFRLPENAKVDDVKAAMENGVLTVTVPKEEVKKPDVKPIQITG >CAK8573060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580370968:580373381:-1 gene:gene-LATHSAT_LOCUS25551 transcript:rna-LATHSAT_LOCUS25551 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWSGFFELRPLFHLLLPLSIHWIAEEMTVSVLVDVTTSSLCPQQSTCSKVIYINGLQQTIVGIFKMVVLPLLGQLSDEHGRKPLLLVTMSTTIIPFALLAWNQSEEFVYAYYVIRTFSYIISQGSIFCISVAYVADVVSENKRAAVFSWITGLFSASHVLGNVLARFLPQNYIFLVSIVLLTFCPIYMQIFLDETVKMNPRKNQELGLCKKIVSVVNRRYKSMRNAAEIVIFSPTLRGMALISFFYELGMSAISSVLLYYLKAVFGFNKNQFSELLMMVGIGSIFSQIVLLPIINPLVGEKVILCSALLASIAYALLSGLAWAPWVPYLSASFGIIYVLVKPSTYAIISKASSSTNQGKAQTFIAGAQSISDLLSPIAMSPLTSLFLSNNAPFECKGFSIICASICMIISLIFACMLNPNPHSSNDLEGNPEDPLLSYD >CAK8568273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589237069:589243783:1 gene:gene-LATHSAT_LOCUS21247 transcript:rna-LATHSAT_LOCUS21247 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISQGVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSKEKIVQINVNDVDSDLQMHLDNKGEAFFLREIDAETVLIDSIESGDGEGDDLDVQSLKSKSSNFGSEDGKIVGRTNSNSRRSRILGLMFGRRSLSGEFEDAIGNKERAEIAANLLDIKWSTNIDGENNGEGDHAVVVENVDGSFGVAKVEKKLSGVEVSVVEDVEESSKVGMVCCSSEQTHDVMYLAHGESGEVHVHDQVLHSLATKGMENEEVAKNADVVEVFEFHSKAQLINSSDSEASMYDVEDVEDLATSPKPQTNNLDVGHCSSEKFESYCVDLTTSPKPQTNDVDIGHCSSEKVESYCVTNPSSYNSQDDRGLYENNMKDKDVSSTLSTPSHSLGDCLPSEISRRSLSPSSDEENFLFGDFDDSEVNDQFEGSLSPKYIDKEDSISYENGTENSKVTSCPIVIPRNEDAGEEDGRHTGSLPNISSGSNSMSQHVRYPLSQSLDSTFPGRDNLECLKLDEYKEKQLPHEQADAKDCQDSDEPKDTALNLPPGGTSTGNWRIWPFSLSSSVSRESLPPLPNDAKNTSFGNSSENKIFTDVNKIDSKPNSTKKMVRETTPTSEEIASLNLKEGRNIVTFTFSTAMLGKQQVDAQIYLWKWNDRIVISDVDGTITKSDVLGQFMPLVGVDWSQTGVAHLFSAVKENGYQLLFLSARSISQAYITRQFLLNLKQDGKILPEGPVVISPDGLFPSLYREVIRRVPHEFKIACLESIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPLGKIFIINPRGEIVVNRSLDTKSYTSMHALVNGMFPPTGSDEQEDFNSWNFWKLPPSAIDI >CAK8573007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576668507:576669304:1 gene:gene-LATHSAT_LOCUS25502 transcript:rna-LATHSAT_LOCUS25502 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRTHELFSLVSLIFVLLATNVHALSFNFPKFTSGNSAITLQGDAKIIDNGVLALTNSTQIPPTTTYQTVGRALYTTPVPLWDSATGNVASFVTSFSFVIENPSGRSPTDGLIFFIAPPDTVIPDNSNSQFLGVVNSKSSINRFVGVEFDLYPNPFDPYMTHIGIDINSLISTKTVRYNYLSGSLTNVTIIYDSPSNTLTAVVTYANGQFSTISQEVDLKAVLPKTVKVGFSATSTIAVALNIHSWSFTSNLEATTGNILQKI >CAK8530802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50901366:50902331:-1 gene:gene-LATHSAT_LOCUS642 transcript:rna-LATHSAT_LOCUS642 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKKKRTCLKSMKKKKKRTCIKSDFYLPDDCWEYVFSFIINPVDDIKKLNFKSLSLISKKFLSITNRLIFSMKIDHLHISYLPCFFHRFSSLNSLDLSFGSCYLDSSHAATALALRDRSTLKSLSISWIMLMDANHTTSYYIVSFVSLKGLNSLKFLSSRISGDLLYSIAREALPLKTFVLQNCTGYSYQGIYALLSECHWIQHLDLQGVDFITNHQFSQLSLLPDLVSINLSYCFKLTQSTLFAFIKNCHSFDEIKMHYIERQSLENSDTFKDFHVNPPLKFLNLSQNSFINDDIIILLASILPNLQLFDLSCCYHIS >CAK8570949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:186326729:186327558:1 gene:gene-LATHSAT_LOCUS23655 transcript:rna-LATHSAT_LOCUS23655 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWVLSFLAGDYFATSDGSGIPSFTFIFVYAAIAVVACIVLIGRAFMYTYLGLKTSQSFFVGMLQSILRAPMSIFDTTSSGRILSRVSTDILWVDITIPIFTNFVMIAYLSLFSILIVTCQNSWETVFLVIPLVWLYNWHKKYYLATSRESTHLDSITKAPVIHHFSETLSGVMTIRSLRKHNEFCDENIDRVNATLRMDFPLQTCYFYKYLNCV >CAK8560248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11868988:11876709:1 gene:gene-LATHSAT_LOCUS13938 transcript:rna-LATHSAT_LOCUS13938 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLSRSISRSLSRSSWKMEEVFASGRYSRRTSQVDEDEEALKWAAIEKLPTYDRLRTSIMQTFTEEPQQGNRMQHKEVDVRKLDMNERQQIIDQIFKVAEEDNEKYLKKFRNRIEKVGIRLPTVEVRFKNLTVEADSYVGSRALPTLPNVALNIVESALGMFGINTAKRTKLTILKNASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDAELRVKGEITYNGHKLNEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKEAGIFPEAELDLFMKATAVKGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLSEGTILMSLLQPAPETFDLFDDIILISEGQVVYQGPRDHIVEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADKNRPYRYVSVTEFANKFKQFHVGILLDQELSVSFDKSSAHKAALVYSKNSVPTMDILKACWDKEWLLIKRNSFVYIFKTAQICIIAIIAATVFLRTEMKRNNEDDAALYIGAILFSMIMNMFNGFAELALTIQRLPVFYKHRDHLFHPAWTYTLPNFLLRLPISLFESLAWMIVTYYTIGFAPEASRFFKQLLLVFLIQQMAAGMFRFIAGTCRTMIIANTGGALMLLVVFLLGGFIIPKREIPNWWMWANWVSPLSYAFHSLTVNEMYAPRWMHPGSSSDNTTTLGLAVMKNFDVYANPNWYWIGAGALAAFTVFYNVLFTLSLMYLSPLGNKQAILSEEDASEMENEGDVNEPRLVRPPSTRDPMHRSLSKADGNNSREVAMQRMSSQASPNGLRNAETDAGNAPRRGMILPFQPLAMSFDSVNYFVDMPAEMKEQGVTEDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKNQETFARVSGYCEQTDIHSPQVTIRESLLYSAFLRLPKEVGDEEKIQFVEQVMDLVELQSLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELILMKRGGQLIYGGPLGRNSHKIIEYFEAIQGVPKIKDMYNPATWMLEVSSIAAEVRLGMDFAEYYKSSSLFQRSKALVKELSTPPPGTSDLFFPTKYSQSTYGQFKSCLWKQWLTYWRSPDYNLVRYFFTLACALMIGTVFWKVGKNKESSSDLTLIIGSMYAAVVFVGINNCQTVQPIVAVERTVFYRERAAGMYAPLPYAISQVFIEIPFVLFQTLYYSIIVYAMVSFQWKAEKFFWFVFVSFFSFLYFTYYGMMTVSITPNHQVASIFAAAFYGVFNLFSGFFIPRPKIPGWWVWYYWICPVAWTVYGLIVSQYRDIEDPIRVLGSPNRNFTVKEYIEDHYGFKSDFMGPVAAVLIAFPCFFAFIFAFCIKALNFQSR >CAK8560255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12007208:12009338:-1 gene:gene-LATHSAT_LOCUS13944 transcript:rna-LATHSAT_LOCUS13944 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKTTPISFNHDNQLDVHSSLTLLHSDLSSTFHQIDELVVKALEVKKGVSKEGKREMESFSNLLSEMLSSLKPWIPKLQTSLSSTSVESESKSEEVSCDESNVSDCESPKVTALVSPSPLVSWHANCTVQRGRQMFMLTPVPLSKSYCQPQPELDFTELASSSNTSILYGVLTKPTPIKPALSIVSEDATNTEEVELISSPEFSQRDTSMLYMMTPCLKISPPKTCVLLESIPEMRHVGGNKQFRKSTPYPVGVHYSDSEDSALDHVSQDLVLKYPELMAIRRVPKSGIGKKNVEASPIWLTSPPKTCVVLGTSDEKLYELEKGDNDSCIDISESILNQQVSKLNLKEEISKGHNQAKKSCKDHFVGDLSHIESTPKWLNPENTLQRGKRAGENTLKKELWAKFEEASSCGFEPKFHTGSKMSHKGFLDLLEEASCDK >CAK8543364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603056213:603057036:1 gene:gene-LATHSAT_LOCUS12126 transcript:rna-LATHSAT_LOCUS12126 gene_biotype:protein_coding transcript_biotype:protein_coding MYLALLDDIDKIKTYSWGSATLAHLYRSLCNNAVANSGNFTGCGVLLQAWGWSKMTNLAPIQQNNFEFPYATRWSSLGMNYDNCPHFSITQYQNLIDHLGQDDFIWRPYLGLEAFHQVEQHNSAMWSAKVPIINFTTVEMHNSDRVKLQFGMLQDILCPPKCIPDKYHTGKVSDQWEYTPWTKYAKHECHEWRHRNHYVLSDTVFPFEIKQSIQYMN >CAK8543141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582780146:582780457:1 gene:gene-LATHSAT_LOCUS11923 transcript:rna-LATHSAT_LOCUS11923 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYNFFPTDLFYPRPQPQPSTASSPTVLPLQTPNTQDHSQTQQQQQQQSATTMIKPTPSTSSLVYTHKTQSFDVIDNNKSKLSPNPLSYMVWIDEEDEE >CAK8560651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34348418:34350664:-1 gene:gene-LATHSAT_LOCUS14310 transcript:rna-LATHSAT_LOCUS14310 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVTTLFMLGLCFMYNTIYNVKLMAQGSVMGETTRFYDFKVQTKRVTKLCNSKEIVTVNGMYPGPVVYAEEDDRIIVKVTNKTPFNVTIHWHGIRQKLSCWYDGPSFITQCPIQAGQSFTYNFTVVNQKGTFFWHAHVSWLRGTVHGAMIVYPKNRVPFPFKNPYQEQIIILGEYWLQDLQQVENATLVSGGAPPPADAYTINSHPGPNYNCSITDVYQLNVIPGRTYLLRLINAGLNTENFFAIANHKLKIVEADGEYTKPFTTNTVVLGPGQTLNVLVSADQPVGKYSMEVAPYRSGRIVKYQNVSAIAYFNYKGAVSNGSCLPAKLPKLDDKLAVKTVMDGLRSLNQVNVFKEIDKNIFITVGLNVQKCHSKTPKQNCQALNNGVLKASMNNISFVHPRISILEAYYNKVNGSYTEDFPDAPSKFYDFVNGAPNNIPYDTESLNGTRTKVLEYGTKVQLILQNTGTVNIENHPIHIHGYSFYVVGYGAGNYNPLTAQFNLVDPPYMNTIGVPVGGWAAVRFVADNPGVWYMHCHIDIHQSWGLGMVFIVKDGKGDLESLPHPPSDLPIC >CAK8536873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:31349254:31353412:-1 gene:gene-LATHSAT_LOCUS6200 transcript:rna-LATHSAT_LOCUS6200 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKLEFFTLSNRLTLIQIFTLLMLIYMLFMSFEIPLAFKAGVGSEKVAIGFLTDSMPLLAEQNRQEIRPFGFRLQKVSTLSFNKSFDGASELQKVAKQAYISGKKLWEEVESGKVKSFSGFRVENSSDTCLNSVSVSGFEFREKMKGVMVLPCGLTLWSHVTVVGTPRWAHGESDSKIGVVKDGDEPVMVSQFMMELQGLKAVDNEEPPKILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSRADEETVDGQVKCEKWIHDDDNRSEEWKATWWLNRLMGRKKVVHVDWPFPFAEGKLFVLTISAGLEGYHITVDGRHVTSFPYRTGFALEDATGLSINGDVDVHSIYAASLPTSHPSFAPQMHLELLPQWKAPPILGVNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSHIVARFFVALHTRKDINVEIKKEAEYFGDIIIVPYMDHYDLVVLKTIAICEYGIRTVAAKYIMKCDDDTFVRVDSIISETREFGSDESLYMGNMNYHHRPLRDGKWAVTYEEWIEEEYPPYANGPGYIVSSDIAQFIVSEFEQQRLKLFKMEDVSMGMWVEKFNSSKEVEYVHSFKFCQFGCIEDYYTAHYQSPRQMTCMWDKLQHQGKPLCCNMR >CAK8564370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670601021:670601401:-1 gene:gene-LATHSAT_LOCUS17682 transcript:rna-LATHSAT_LOCUS17682 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCKASSSMEWDGQDCGSLKSSSNKVFVEAVHLDHHQDKENDILEKLRASCDANGKVTLKISKSELAELLGAIQQNNDNQRPQKEELASAEQVLSRLMKARDQEIAEKHHCSSNWKPVLDTILE >CAK8575992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380991802:380992248:-1 gene:gene-LATHSAT_LOCUS28212 transcript:rna-LATHSAT_LOCUS28212 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTIAEKSPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8576478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482303466:482305636:1 gene:gene-LATHSAT_LOCUS28661 transcript:rna-LATHSAT_LOCUS28661 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKVVEAKPQKHIQDFDPKKKPKRNNYAFACAMLASMTSILLGYDIGVMSGAAIYIKRDLKLTDTQVEVMMGIINIYSLIGSCLAGRTSDWIGRRYTIVFAGAIFFVGALLMGFSPNYAFLMFGRFIAGIGIGYALMIAPVYTAEVSPASTRGFLTSFPEVFINSGILLGYVSNFAFSKLSLKIGWRMMLGVGAVPSVILAVGVLAMPESPRWLVMRGRLGDAIKVLNKTSDSKEEAQLRLAEIKQAAGIPEDCNDEVVQVKNKNTGEGVWKELFIYPTPAVRHIVIAALGIHFFQQASGIDAVVLYSPKIFEKAGIKGDTHILLATIAVGFVKTVFILVATFNLDKFGRRPMLLTSVGGMVISLLTLAVSLTIIDHSKTKLIWAIGLSIATVLSYVATFSIGAGPITWVYSSEIFPLRLRAQGAAMGVVVNRVTSGVIGMTFLSLEKAITIGGAFFLFGGIATIAWIFFYIMLPETQGKTLEEMGESFGKIWAKPKNAKGVENDNERVSQVQLGTNVST >CAK8543910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648942543:648945000:-1 gene:gene-LATHSAT_LOCUS12633 transcript:rna-LATHSAT_LOCUS12633 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYMDRFQRDYGYRLDHFERKRKKMARDVHKHSKTAQKTLGIKGKMIAKKNYAEKAQMKRTLAMHEESTSRRKADDNVQEGAVPAYLLDRENTTRAKILSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVITKGSIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >CAK8537552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:393803868:393804671:-1 gene:gene-LATHSAT_LOCUS6841 transcript:rna-LATHSAT_LOCUS6841 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMAEGTNLVFHNNVIDGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSSVLEKHNHYGNVHAVEKLRQSIEIWYATSEYLRQQMTPNFRMTDTFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNFREGLSLTEYIISCYEARKGVVDTAVRTSDVGYLTRRLVEVVQHIVVRRTDCGAIRGISVNIRNGMMLERILIQTLNGRVVADNIYIYRFTVHCR >CAK8574801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9649713:9650303:-1 gene:gene-LATHSAT_LOCUS27107 transcript:rna-LATHSAT_LOCUS27107 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQYSLIFFFFLFLSCNTITSSSSSSQNDDTFDFVRPIDRKLLGLTKKEKLSHFKFYWHDILSGKNPSSVSILPPSLNSSTYFGSVNMIDNPLTLGPELSSKLVGKAQGFYASASQVELGLLMAMNFAFIEGKYNGSTITILGRNPAFNKVRELPVVGGSGLFRFARGYAQATTYSLDLKSGDACVEYNVYVFHY >CAK8575497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:140461160:140461663:-1 gene:gene-LATHSAT_LOCUS27756 transcript:rna-LATHSAT_LOCUS27756 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCPKYPLNVSTDPTQTILSYSTTEGSGLLSLSSRFNPVACRNGLANFIILDEKQFKTVEGDGFKYFCRQMQPQFCIPSRRTIPRDCYQLYLDEKVRLKAFFKSNCSRGAVTTDCWTSVQNLNYLTLTAHFTNRDWNYQKRIISFTVIPNHRGKTVGKKVEDVLKE >CAK8571552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439245386:439247602:1 gene:gene-LATHSAT_LOCUS24202 transcript:rna-LATHSAT_LOCUS24202 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLLQPPPPSPISTSHQPLALHHHRTSSSPFNFPKTTTSNSFVLNSTNPSSLSATSKSEPRDQKLLTLLQQRKTEEAWIAYTLSAHLPNPTCLSRLLSQLCHQNTPTSLTRAKSILTRLRNESQLHRLDSNSLGLLAVAAAKAGHTLYASSVVKSMLRSGYLPHVKAWSAVVSRLASDDDASGPVEALRLFHSVTRRLRKLPDLNMAADSRPDTVAFNAALNACANLGDGKMFLQVFDEMSQFGVVPDSFSYNIVMKLCCGSNRKDLLVFVLERILQLNIGLCMTTLHSLVAAYVGFNELETAEKIVQAMREKRRDLCSILRESNSNYSDENNVDGDDDVDSVFHKLLPNYMMEKSGNGSVNDSPLLPKVYTPDTRIYTTLMKGYMKAGRVTDTVRMLEAMRCQDDSASHPDHVSYTTVVSALVKAGFMDRAREVLAEMTRIGVPANRITYNILLKGYCNQLQLVEARELLKEMAEDIGIQPDAVSYNILIDGCILVDDSAGALSFFNEMREKGIAPTKISYTTLMKAFALSGQPKLAQRVFDEMVNDSRVRVDLIAWNMLVEAYSRLGLVEEAKKVIQKMKENGFHPNVSTYGSFANAIALARKPGEALILWNEVKERCEVAKGGKSDSSVPPLKPDEGLLDTLADICLRAAFFKKTLEIVACMEENGISPDKTKYTRIYVEMHSRMFTSKHASKARQDRRVERKRAAEAFKFWLGLPNSYYGSEWRLEPLDGYE >CAK8539093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503570401:503570643:1 gene:gene-LATHSAT_LOCUS8224 transcript:rna-LATHSAT_LOCUS8224 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAQIGSSGAQSNNQEDETPQFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKKR >CAK8544656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697868007:697871371:-1 gene:gene-LATHSAT_LOCUS13314 transcript:rna-LATHSAT_LOCUS13314 gene_biotype:protein_coding transcript_biotype:protein_coding MCWNLRKTLANVLGSHDEKKKMMRGKVVLMKKNVLDFNDFSASIIDDIHELLGKKVSLQLVSEVKLDHGNGMRGKLGKPAYLEDWITTNTSLIAGESTFKVTFDSDDFDDIGTPGAFLIKNNHHSEFYLKSLTLENVPGQGVIHFICNSWVYPAQKYQKDRVFFANKTYLLSETPEGLVKYREEELETLRGNESNVQLEEWDRVYDYAYYNDLADPDKGSEYVRPVLGGSSEYPYPRRGRTSRPPAKSDANNESRLKLAMSLDIYVPRDERFGHIKLADFLAYALKAIAQIIQPELESLFDKTPNEFDTFEDVLKLYEGGIKVPEGVLKGIRDKIPAEMLKEILRTDGVGLLKYPVPQVIKEDKSAWRTDEEFAREMLAGMNPVIIRCLQEFPPTSNLVPKIYGDQSSTIKKEHIESKLDGLTIDEAIAQKKLFILDHHDALMPYLRRINSTSTKTYASRTILFLQNNGTLKPLVIELSLPHPDGDQQGAISEVYVPAENGVENSIWQLAKAYVAVNDSGNHQLISHWLHTHAAIEPFIISANRQLSVLHPIHKLLHPHFRDTMNINAIARQILINAGGILEATVFPAKYSMEMSSALYKDWTFPEQALPVDLIKRGMAVEDSSSSHGLRLLIKDYPYAVDGLEIWFAINTWVRDYCSFYYKTDETVKNDVELQSWWKELVEKGHGDKKDEPWWPKMQTVKELIDTCTIIIWIASALHAAVNFGQYPYAGYLPDRPTISRKFMPVKGTEDYDELVANPDKAFLKTITAQLQTLVGISLIEILSRHSSDEVYLGERDGENWTNDAEALEAFEKFGKKLKEIEERIVAMNGDVSLKNRVGQVKVPYTLLYPTGEKGLSGKGIPNSVSI >CAK8560112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8046262:8052007:1 gene:gene-LATHSAT_LOCUS13823 transcript:rna-LATHSAT_LOCUS13823 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEHIEQIRRTKFSIGGNANPLTEDLHHAVKNLSAELYAKDVHFLMELIQNAEDNHYMEEVKPTLEFVITSDDITDTGAPATLLIFNNEKGFSPKNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQIRFNEKPCPHCSLGYVVPEWVEEKPNLTDIKKIYGKDSLPTTTIVLPLKLDKVDPVKQQLSKVHPEVLLFLKKIRHLSVREVNKNPTQNTVTSVSISSEIDFVTRKNMNAESYTLHLSAGENRNAEKECSYYMWTQRFPVRSENVVERRTDLEEWVVTLAFPFDERLHTSKSSPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLDNKWNQGILECVPSAFMDAFKTLVLGSGQAPVSSLARNFKFLPIDSSAFEKFNNVRDKIKAKLVEENIVPIETYTEQKHFYKPGEVSRLLPEFWNILTKARNEGVYLLNLSSHDGRKVLSSSFDTSEYDQILSFLGVEPVNADWYAKCIQSSNLVDGVSEDLYLQLLLFVAKNWSSIFKGTNIYSIPLIKYVALDGTSSSFSLHECTQSHAGAKRVVITDSSQSNACSWLINWNKEFACAANRFFMPERTQEAMSRLSQRQTLMEWLVDEVNVANLSVYSFANVLCSSVKKSRKHVIVYAHFLYHSLSKSYLSKREVDALCNSMPLVDSYGAVTEIGQGVLVPANVSKWADLIVSNPWRNENYVELGKEYLNSSSYAGQYTDSAKLINFLRTHVGASDIPHISPPNAGFSAVNTPLTKENAYLLLEWIQNMKYKGVSLPERFLNCIKDGSWLKVTVNGYRPPSKSFLIRSPLGKILQKGSVLVDIPLIDESFYGDRINTYEEELKTIGVMSSCEEACKFIGRELMSRASSSTLSKNHVLLMLNFIRYLRNSLLPLDKFVKSIKDGPWLKTSQGVRSPVGSVLKDSEWEVASQISDIPFIDQTYYGYEICSYKEELKLLGVIVGLSGNHEIIIEHLKSPANLAYLSAEAVLLTMHCMRLLNDQSILTTSLKGTRCLKTNMGFQIPTECYLYDKVWGSILEIFSGLPVIDNKFYGEKILSYKAELKKIGVVADFEDAVEKVASLFKLNASKNSFSKENVLSFLSCCKRLKGTGHKFPSDFSTIFHNQKWLYTKIGCYMCPGECILYGPEWKSISLITCLPFIDDSDKLYGTGIHEYKEELKNIGVVTEFKRGVRFVPKCLKFPSNSSTITPESVFSLLECIRSLLSEHKLSIDDEFKKRLSRNWLKTHAGYRPPEMCLLFDSKWSSFFSPTDGPFIDENFYGPKIASFQKELNAVGVIIDLEKGCALLASHLDSLSNTDNIVKIYRFLAENNWKPERKNDQKIWISNGTEGGSWVNSEECIIHDPDKLFSLKFYVLEDIYDKKILPFLTFSLEVRTKPSLDNYVDLWNDWEKLPEELSYDKCCKFWMLIMKHLGTNTEKKLSERLVKLPVTTGSKEIFLQDKEDVFIPDNLHLKRLFEQDKIFVWYPQQNFGPSSIAKLYDIYRKIGARNISESLCKEESSFVNDDGVEMVQVDGNNIFNLKGLVKLILGFLACSSLKMESDKRHEAVQGLLNLSFFKTIVPVTVSYSLSLSSGDIIMKKDDRMVCWDKKSSKFFIHNNEPQGNDLKYATYFCETISEGVLTENHDFVPALSELITLGFVLKFKNEDIEFLMESKNLQIFCEDEKFLSSAFPSV >CAK8532127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210305779:210306214:1 gene:gene-LATHSAT_LOCUS1862 transcript:rna-LATHSAT_LOCUS1862 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYGVVAKITLYVGWICFAQGVVGITVLRIVGVWLMRARGFAACGCIHIIVRRGVKGKTWFVLVSGVLQLGC >CAK8532128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210305829:210306227:1 gene:gene-LATHSAT_LOCUS1862 transcript:rna-LATHSAT_LOCUS1862-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCARCCGNHGAAYCWCMVDACERFCSMWLYTYHCTSRGEGFESFYVMLCMVYVCRGRHGLCWFLECCNLVVEVQV >CAK8536210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917627981:917631433:1 gene:gene-LATHSAT_LOCUS5601 transcript:rna-LATHSAT_LOCUS5601 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTTDVDVRVDEGGDSINAATTPASPSLSKLNSGSLPSPHLPEGAVIPRKIAGASVAWKDLTVTIKGKRKYSDKVIKSSTGYALPGTLTVIMGPAKSGKSTLLRAIAGRLHPSARMYGEVFVNGVKSQMPYGSYGYVDRETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGEHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFLDEPLYHLDSVSALLMMVTLRRLASTGCTLIITIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAASVETMVLKLTEKEGPALKSKGKASNATRVAVLTWRSLLVVSREWKYYWLHLILYVLLTLCIGTAFSGLGHSLSSVGGRVAAIFVFVSFCSLLSIARVPALMKEIQIYACEESNQHSSTFVFLLAQLLSSIPFLFLISITSSLVFYFLVGLEDQFSLLMYFVLNFFVTLLLNEGIMLVVATLWQEVFWSVLTLLCIHVVMMLSAGYFRIRSTLPGPMWMYPMSYIAFHTYSIQGLLENEYLGTSFAVGQVRSISGYQALQSAYNISPDANSKWKNLLVLFLMAIGYRIFVFILLFLFIGRKISLLKSFKCSNRDTTDTS >CAK8536211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917627981:917631433:1 gene:gene-LATHSAT_LOCUS5601 transcript:rna-LATHSAT_LOCUS5601-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTTDVDVRVDEGGDSINAATTPASPSLSKLNSGSLPSPHLPEGAVIPRKIAGASVAWKDLTVTIKGKRKYSDKVIKSSTGYALPGTLTVIMGPAKSGKSTLLRAIAGRLHPSARMYGEVFVNGVKSQMPYGSYGYVDRETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGEHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFLDEPLYHLDSVSALLMMVTLRRLASTGCTLIITIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAASVETMVLKLTEKEGPALKSKGKASNATRVAVLTWRSLLVVSREWKYYWLHLILYVLLTLCIGTAFSGLGHSLSSVGGRVAAIFVFVSFCSLLSIARVPALMKEIQIYACEESNQHSSTFVFLLAQLLSSIPFLFLISITSSLVFYFLVGLEDQFSLLMYFVLNFFVTLLLNEGIMLVVATLWQEVFWSVLTLLCIHVVMMLSAGYFRIRSTLPGPMWMYPMSYIAFHTYSIQGLLENEYLGTSFAVGQVRSISGYQALQRRKISLLKSFKCSNRDTTDTS >CAK8574466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:259178:259510:-1 gene:gene-LATHSAT_LOCUS26810 transcript:rna-LATHSAT_LOCUS26810 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQVQNGVAASSSSALNQGTATAATTIVTHGVAASSSALNQATATVTTTPTAATACEVSNPPKKKKICCACPDTKRIRDECIVEHGEDACAKWIEAHRLCLRSEGFNV >CAK8572879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569329098:569329433:-1 gene:gene-LATHSAT_LOCUS25388 transcript:rna-LATHSAT_LOCUS25388 gene_biotype:protein_coding transcript_biotype:protein_coding MFVREAEALALREAIQVAFDLNMENVAFEIDSQTVVQVIHSNYKSGSEFYFIINSIKALLILNPSFKVKLIKRQANMVVHSLARAANSWPRCNLLNLAPSCIERFPINDKH >CAK8575322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:78368183:78388136:1 gene:gene-LATHSAT_LOCUS27594 transcript:rna-LATHSAT_LOCUS27594 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGDIAKLHPQDLTSLLKKVSQYLRSKKWDTRVAAAHAIGSIAENVKHISLNELIASVVTKMSESGISCSVDDLCAWPYLQAKITGSSFRSFDMNKVLEFGALLASGGQEYDIGSDNIKNPRERLVRQKQNLRRRLGLDVCEQFMDINDVIRDEDLLAHKSDSYINGIDHKVFTSCSVHNIQKMVANMVPSVKSKWPSARELNLLKRKAKNTSKDQTKSWCEDGTEASGTQNLTSKGTCPDSVNYSKAFTQVNHDEYGFEHDEDGQWPFSTFVEQLIIDMFDPVWEVRHGSVMALREILTHQGASAGVLKHDSHLGGTSFVELENKNTSNMLKRERDIDLNMQVSADDCVLNLKKPKLEDVSLSTSMDSVMACSNEGDTENIISSETQGCTIPLDYVNGKFDGSSIDMNMETHYEDLHDTCKKPANIADQKGYSDDQKILSGNHNMLRNLPQNCELMNSVKVARSSWLRNCDFLHDCVIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHAALVNETLNILLKMQCSPEWEIRHGSLLGIKYLVAVRQEMLSDLLGRVLPACKSGLEDPDDDVRAVAADALIPAAAAIVTLQGQTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSHEEMVPKMYKVFKLEDKEIENGASACGDDVEENPFVLSTLAPRLWPFMRHSITSVRYSAIRTLERLLEAGYKRSMSELSSASFWPSSIFGDTLRIVFQNLLLETNEEVLQCSERVWSLLVQCSVEDLEIAAMSYMTSWIELASTPFGSALDASKMFWPVAFPRKSQFRAAAKMRAVRIENEYGGNLGIESTISTIPQDRNGDVSTNSVKIIVGAEVDTSVTRTRVVTATALGIFASKLPDASLKNVIDPLWSSLTSLSGVQRQVASMVLISWFKEVRTRSLSESLNGIPASLKDWLLDLLACSDPAFPTKGSLIPYAELSRTYSKMRSEAGQLLNAVMSSGMFSELLTTTNIELDNLSVDDAIGFASKIPALCNDSPANESLRKNTMDDIESSKQRLLTTSGYLKCVQSNLHVTVTSAVAAAVVWMSEFPTRLTPIILPLMASIRREQEEILQIKSAEALAELIYHCVSRKPCPNDKLIKNICSLTCMDPSETPQAKSICSIESIDDQGLLSFRTPVNKQKSKVHVLTGEDRSKVEGFISRRGSELSLRLLCEKFGVLLFDKLPKLWDCLTEVLKPSSSESLIAANEKQATIAIESVSDPQTLINNIQVVRSIAPLLSEELKPKLLTLLPSVFKCVKHSHVAVRLAASRCITSMAQSMIVKVMGAVVENAIPMLEDASSVHARQGAGMLISFLVQGLGVELVPYAPLLVVPLLRCMSDCDQSVRQSVTHSFAALVPLLPLARGVPQPTGIGEGISKNAEDLRFLEQLLDNSHIEDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIVEHQTQITNEDLLPSLIICPSTLVGHWAFEIEKYIDVSVISSLQYAGSVQDRILLRESFCKHNVIITSYDVVRKDIDYLGQQLWNYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQSTYGKPLIAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSTVQLKLYEQFSGSRAKQEMSSIVTTNESAAAEGSSSSTKASSHVFQALQYLLKLCSHPLLVIGGKIPDSLSSILLELFPAGSDVISELHKLHHSPKLVALHEILEECGIGIDASGSEASVGIGQHRVLIFAQHKAFLDIIEKDLFQTHMKNVTYLRLDGSVETDKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFVEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAEIPKGSSVVKSSEDNTDGEIGSGKGLKAILGGLEDLWDQSQYTEEYNLSQFLAKLNG >CAK8565703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:310031940:310037844:1 gene:gene-LATHSAT_LOCUS18893 transcript:rna-LATHSAT_LOCUS18893 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKTISEALNLIDTKKENLKKAFENLQPHCSFLHTLPLSWLELDSHFTSLQHSLTNRFHILQSLEQSHSQSHSKTLTSYTNNRTSKYSNFPSNAYGISSNQNKLNILCEKMDGIGLMNYVTYNFKDKVRVQEELLEALRYAPDAAVLVLQMLEGFHGLNGNCNDWRLRKMGMVCVLLLRLLSFAGVNVSFKAREKAVKVALDWKVNLMGDCGNILKALGFIYLVYAFGIVSEFSTNELVEISAVAAINLEFMQLCRDVGLTDRVPEIVQKLVDRGKYVLAVKYVFEFNLADKIPPIPILKACVDASEKLATRLSLEGRPRIEVTDREMRVLKTVIEIIENYKLESEYPLASLEQRIEQLKGKGANMKDQSPASILTRHTLQRRQRKRRMKKQQQNGIKLPRTSTSVGPEAVLMNVSNNNSTICQYEQPLLKSSGLFPNHPNPYASSPATPLGMVAPTPTMPSYTGPSAGPSENPNLGGSHLNSSEPHVPSAYYDGTY >CAK8578359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623674831:623675130:-1 gene:gene-LATHSAT_LOCUS30376 transcript:rna-LATHSAT_LOCUS30376 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIHEIKDFLLTARRKDARSVKIKKSKDAVKFKVRCSKYLYTLCVFDTDKADKLKQSLPPGLTVQDL >CAK8530537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28275737:28280701:-1 gene:gene-LATHSAT_LOCUS395 transcript:rna-LATHSAT_LOCUS395 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLAPDAKDENLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKANLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKEGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEKVGSPSGVEDTDCVSGWLEGSNKVYIPFLACGDLTGYDSDRSYPLPKVVGGTYQSLDPVQPPIAPPYKRALELKKASTQGFRELENLSLDS >CAK8564571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:727897:728226:1 gene:gene-LATHSAT_LOCUS17866 transcript:rna-LATHSAT_LOCUS17866-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKPQGTVPPQEVPSDTKTSWPELVGVSADEAEKKIKEDLPEAYIQVVPHDQLAVTSDFVFNRVRLFVDEANKVIKTPTIG >CAK8564570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:679387:728226:1 gene:gene-LATHSAT_LOCUS17866 transcript:rna-LATHSAT_LOCUS17866 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKHQGTIPPQEVASDTKTSWPELVGVSADEAEKKIKEDKPDAYIQVVPHDQPVVTGDFSPTRVRLFVDEANKVIKTPTIG >CAK8538645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490595556:490600482:1 gene:gene-LATHSAT_LOCUS7824 transcript:rna-LATHSAT_LOCUS7824 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAFIFLFSLIITIAPSFLAHSQSQSNQFCEAGIGYGESSCGASIPSSSSKLLIKGGTVVNAHYQQIADVYIEDGVIVAVNPTITVGDEVRVIDATGKFVMPGGIDPHTHLEFEFMNTVTKDDFFSGQAAALAGGTTMHIDFVIPIDGSLTAGFEAYEKKAKKSCMDYGFHMAITKWDETVAREMELMVKEKGINSFKFFMAYKGALMISDELLLEGFKKCKSLGALAMVHAENGDAVDEGQKKMIELGITGPEGHALSRPPVLEGEATARAIRLADFVNTPLYVVHVMSIDAMEEVARARKSGQRVIGEPVLSGLALDDSWLWHPDFVTAAKYVMSPPIRKQGHDKALQAALSTGLLQLVGTDHCVFNSTQKSFGIDDFRKIPNGVNGIEERMHVVWDIMVESGQISVTDYVRLTSTECARIFNIYPRKGAILTGSDADIIILNPNSSFEVTSKSHHSRVDTNVYEGRRGKGKIEVTISGGRVVWENNELKVAPGTGRYIQMSPFSYLFDGLGKKDASYLNSLQAPVKRAKSAA >CAK8574016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654075972:654076794:1 gene:gene-LATHSAT_LOCUS26408 transcript:rna-LATHSAT_LOCUS26408 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTTKSGGVGGSGGSTRFLVKTYQMVEDSYTDNIVSRSQSNNCVIIKDPDECVSNLSKYFRHNNFSSFVRLLNTYRFHKIKHDQWEFSNEHFLKDQYYLLGNIHRKKTVHSHSLGEVERLAFEEEIEKLANEKTSIELDISSFNKYMPTENLHVVNLVQRLEASRYRHNNLKNSFELVLQYPKFVKKNK >CAK8531512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117916105:117916812:1 gene:gene-LATHSAT_LOCUS1299 transcript:rna-LATHSAT_LOCUS1299 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTNDDNDATAAETNLSIVPVPHETILYKPNNQFEINVMGNVTDINFYIPDVGDSTGVAFRKAYSAAKYDSKNNKELCSYVGSMLCAVVPGFRNSVVEALNEICVRPRFISLPSQADENRILISRLPELGWPSIVVVFGYCILLLFKLSFHDDSDSNYNHCMSSFIMNLQAKVRWDPSNELLVPFDVRQAKSVTTMLGSDDLRATIKTFLIDNANHNDYNLRNICEILNRRLR >CAK8532718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498516229:498516540:1 gene:gene-LATHSAT_LOCUS2396 transcript:rna-LATHSAT_LOCUS2396 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSFDGSDRGWAIFCRGQSEMARAKGDAALTSLRDYDKWKHNIEQDGWVPALNDYIKEIQQPHHCNRLILLGSTGGIPQKVVCAEYGRQMEKYFMYRCCVE >CAK8541880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420280620:420281924:1 gene:gene-LATHSAT_LOCUS10770 transcript:rna-LATHSAT_LOCUS10770 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPTNKFLHIAVFPWLAFGHISPFFELSKLIAQKGHKISFISTPRNIKRLPPLPHNLQPLVNFVELPLPRIDQLPENAEATMDIPPHIVPYLKKAFDGLQQPLTLFLETSTPDCFIYDFGPYWLPPILSKLGVLSIYFSIFSAFGISCIVKVFVQKSNEEENTISHVHYEQNESGVSDIYRVKGTLFGADFIVVRSCMEIEGKALESIENQSKKKVIPVGLLPPSLEFSEEKKDKNWDTILKWLDKQEKQSVVYVAFGSEVILSDDEFTEIAKGLELSSFPFLWIVKNHDKHDWFVENDSNKNGLIWNNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPLIMLPFHNEQGLVARLMEEKMVGVKVERNDEKFNRDAVAKALRSVMIEEEGKSYRSKAEEMSKIVGNKELHQKYLDEFVDYVELQASKH >CAK8538835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497521064:497521621:1 gene:gene-LATHSAT_LOCUS7991 transcript:rna-LATHSAT_LOCUS7991 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVDKSQVIVLSFLAFLLVITHFLPSSLRPSYLYLIFNIIIIALAAQAGLLSAFSEPSEDRKHHVSMSSTQKHAMQESQDKEDLAINSANSVSEEQIVKKPKMVEKSAFGESKVDKVKKCPSMPSLFYIEDEEDDLEVNKHEEVKVEDEICGVNGQELFAKAEAFIGNFYKQLKMQREECGYAS >CAK8534493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:735136710:735136985:1 gene:gene-LATHSAT_LOCUS4036 transcript:rna-LATHSAT_LOCUS4036 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKRMVVKCVYGCPFHNRCSMRTTNQYWQLVSLTDHHGCHRTAKNRQAKTSWLGLQFVYTIRHTPEIKTKGLIAEAIKK >CAK8572872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569117710:569118909:-1 gene:gene-LATHSAT_LOCUS25384 transcript:rna-LATHSAT_LOCUS25384 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMSYSCFLLFCLCLIQTHIFVVAILDPTDFLALQSIRKSLEDMPGSDFFSSWDFTADPCSFSGVLCDSDKVIALNLGDPRAGAAGLTGRLDAAIGKLSSLAEFTVVPGRIYGALPQTVSNLKSLKFLAINRNFISGEIPAELGELRSLRTIDLSYNQLTGKIPPTVGSLPGLTNLILCHNRLTGSIPRFDSQSMTRLDLKHNTLTGSLGPNSLPPSLQYLSLSWNQLTGSMDRVLTRLEQLNYLDLSLNQFTGPVPGRIFSFPLSNLQLQRNQFSGSVEPVDQVAIPTVDLSFNRLSGQISPMLASVQNLYLNNNRFSGRVPASFVDRLLDASIQILYLQHNYLTGIEISPTAVIPERSSLCMQYNCMVPPVETPCPLRAGKQKTRPTTQCNQFKG >CAK8577602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577436954:577440291:-1 gene:gene-LATHSAT_LOCUS29693 transcript:rna-LATHSAT_LOCUS29693 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKVAMGFQKSPSPAHVHSTPPPQKKKQPPPPSPSTTTSSAKSSSHKSSFSRIGFHFPRSSAQVQPRPPDGTELLRIVEELRESESRLKTELLEHKLLKESIAIVPVLENEITARETEIQRNRKRAEEAETENERLKKELQEMKLQMEEERRENEKKMKALENEITELKKTTSLHSEEHEHFSTSHRFQGIGEISVRSNIMKTLKKTMSDHAIVIQRHNDGVDLKREFAETEPQRHSRCNSEELAECTDSGSVRSRVPRVPNPPPKPLSSSPSSPTVKENNNGETEHNNGETEQAISQPLQPAKTAPPPPPPPPRKPASKAAPPPPPPPPKGGRVASAKVRRVPEVVEFYHSLMRRDSQSRRESNSGTATEVPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRYLIKEVEGAAFMDIEDVVPFVKWLDDELSYLVDERAVLKHFNWPEQKADALREAAFGYCDLKKLESEASSFRDDPRQLCGPALKKMQTLFEKLEHGVYNISRMRESATKRFKVFQIPIDWLLDSGYATKIKLASVKLAMKYMKRVSAELETVGGGPEEEELIVQGVRFAFRVHQFASGFDADTMRAFQELRDKARSCHVQCHGQQQKFLCRPAAC >CAK8565199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67131398:67131910:1 gene:gene-LATHSAT_LOCUS18432 transcript:rna-LATHSAT_LOCUS18432 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERWRRETNTFHFDCTQITLAPSPQLPPFLSLTLSSNPFLDYCRYFVAKRSSCGGLIFVDKDKTACGGGSVYLLMFIAKLFL >CAK8535934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892229653:892231146:-1 gene:gene-LATHSAT_LOCUS5348 transcript:rna-LATHSAT_LOCUS5348-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNPLSKSANKYLRKFRKWPHSPYKTSWHHNFGEQQARQILKQATTAQPQPQNNNNNTFLLSTVIDSFKAYNTDPTPKAYFFLIKTLTKNNPLQFHEIPHILNHLEHNEKFESPEFIFLYLIRFYGFSDRVQDAVDLFFRIPRFRCTPTVCSLNLLLSLLCRKRECLKMVPDILLKSQQMKIRLEESSFRVLIKGLCRIKRVDYAVKIMNCMIEDGYSLDDKICSLIISSLCEQNYLTSAEALFVWGNMRKLGFCPGVMDCTNMIRFLVKEGKGKDALEILNQLKEDGIKPDIVCYTIVLSGIVKEGDYVKLDELFDEILVLGLIPDVYTYNVYISGLCKQNNFDEALKIAVSMETLGCKPNVVTYNTLLGGLCMKGDLGKAKRVMKEMRLKSVEMNLHTYRIMLDGLVGKGEIEEACVLLEEMFGKCLYPRSSTFDSIILQMCQKCLVTDAVVLMNRIVEKSFVPGATVWEALVLNSESKVGYSETTFVRLLSTE >CAK8535933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892228345:892231146:-1 gene:gene-LATHSAT_LOCUS5348 transcript:rna-LATHSAT_LOCUS5348 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNPLSKSANKYLRKFRKWPHSPYKTSWHHNFGEQQARQILKQATTAQPQPQNNNNNTFLLSTVIDSFKAYNTDPTPKAYFFLIKTLTKNNPLQFHEIPHILNHLEHNEKFESPEFIFLYLIRFYGFSDRVQDAVDLFFRIPRFRCTPTVCSLNLLLSLLCRKRECLKMVPDILLKSQQMKIRLEESSFRVLIKGLCRIKRVDYAVKIMNCMIEDGYSLDDKICSLIISSLCEQNYLTSAEALFVWGNMRKLGFCPGVMDCTNMIRFLVKEGKGKDALEILNQLKEDGIKPDIVCYTIVLSGIVKEGDYVKLDELFDEILVLGLIPDVYTYNVYISGLCKQNNFDEALKIAVSMETLGCKPNVVTYNTLLGGLCMKGDLGKAKRVMKEMRLKSVEMNLHTYRIMLDGLVGKGEIEEACVLLEEMFGKCLYPRSSTFDSIILQMCQKCLVTDAVVLMNRIVEKSFVPGATVWEALVLNSESKNGCYYCWCFMAEMIYLLVYVNDIMITSNGPPKTASRTT >CAK8563634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621430236:621430544:1 gene:gene-LATHSAT_LOCUS17026 transcript:rna-LATHSAT_LOCUS17026 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKMISERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYELDEISRGREIEQALSRFGCSPSVPTVFIGGELIGGANQVMSLHLNRSLIPMLKKAGALWV >CAK8540147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542150017:542150457:-1 gene:gene-LATHSAT_LOCUS9180 transcript:rna-LATHSAT_LOCUS9180 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISYNRVSSSSKGSSSSSSCHGKCRGFRLNLTRLYFSRLRKRVDFFLRFFDKLKLSHAEAFGLLKKVFCRKSGLKRNNSNSSRNGLMKEERGKNQGDCRVSSHGMNNSFYAEAIADCLEFIKRTSISSMDQIQDPIGHIHDRNL >CAK8544143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666991707:666992534:-1 gene:gene-LATHSAT_LOCUS12846 transcript:rna-LATHSAT_LOCUS12846 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSPQVESIIEFTPISQHDHDHGQTLNNNNDHNHIRVNNNINRPIVIEPIEKPFDWELLVIILRLALVGTLIVGVSLRETKVNKPKTIPNPPRIFINKLDIPEIKIIDGELSAIWEITLTISNVMNATINIGRLDTTLCYKEDDTLAWKTPILRKYDVNSPIFSLIGNDAKKVHFKLNTTGWEKDQAVVDDSVIQSIAQDMQKGNTRFSLHMLVLGEVESSDGWVLPFGMFPICKTLGVKFERDDHKGETGTMIDSNPIECLGIVEWEEERMG >CAK8531087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:79614070:79614714:1 gene:gene-LATHSAT_LOCUS901 transcript:rna-LATHSAT_LOCUS901 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKLTTSQSERFLGTYGYSQNQGSTAADPSELREEDVWSTGGGDSGEHDLNFPDEWESTNADTVVSNGGSSYRDRGRITQNGDGSRQLGGLSLAFEDPADNISATVTARIVHQFRTHESVAPSPRGRQMATSLPVNVPDWSKILRVDSVESLHDDSLDDDDDLGMVPPHEYLARSRKTAAKSVFEGVGRTLKGRDLSRVRDAVWSQTGFDG >CAK8577488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568240375:568241987:-1 gene:gene-LATHSAT_LOCUS29592 transcript:rna-LATHSAT_LOCUS29592 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLSLTLPIFSSSSSPSSRLNPLPSFSFHSRITHIPLQLHIQNPLLFPKIRSLLQQTRATPVNKDLWDKSILKSETPVLVEFYANWCGPCRMVHRVIDEVATEYAGRLECFVLNTDADMQIAEEYEIKAVPVVLLFKNGKKFDAVVGTMPKEFYVSAIERMLN >CAK8538150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466089781:466091031:-1 gene:gene-LATHSAT_LOCUS7381 transcript:rna-LATHSAT_LOCUS7381 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKENINKGAWSKQEDQKLIDYIQVHGEGCWGSIPKAAGLHRCGKSCRLRWLNYLRPDIKRGIFAQDEEDLIIKLHALLGNRWALIAGRLPGRTDNEVKNYWNSHIRRKLIKMGIDPNNHKLHKGLPIINPHVSTAGTSSWDESMNKDIDNNNNNKLCDAITKKEASTNNSMSSLNLDLTIALPSNDCESQKIMDMDRDIDLNC >CAK8536867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:30909807:30911693:1 gene:gene-LATHSAT_LOCUS6194 transcript:rna-LATHSAT_LOCUS6194 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTILLPFTFLFLLFPISTHASSISYPNKTKTHPCPPFSSTPPFPFSSSPGCGHPSFQLNCSSPHSFISINNLSFSILSYKPNSSSITLSPHNPQNNNNNTCPSSSSSSIPNKPINLSNSPFTLSDELCSRLSFLQPCSPPTLPNCSHCPWQCKIIKNPTEIFTNCRSMHHSVSDSEPSCQSDVLDYLNEILTFGIEVQWDEALTQDTYFTNCTECINRKGFCGYNSSDPEKRFICFHSKETISPPWIHKMKLNKIAVFAVIIAFTSLLLFITVTISILRSRSLNSQSVSEDPTTAFLHNHHSANLFPPVFTYDELNSSTNNFDPNRKIGDGGFGSVYLGNLRDGKLAAVKHLHRQNHTAAFLSKSFCNEILILSSINHPNLVKLHGYCNDPRGLILVYDYVPNGTLAEHIHGSKSKGKGYKLTWQTRLDIAIQTALAMEYLHFAVKPPIVHRDITSSNIFVEKDMRIKVGDFGLSRLLILQETSQTTSSGGFVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELITGLKAVDYCRDKREMALADMVVSRIHTGQLKEVLDPVLDLGNDGEALDAVAAVAELAFRCVASDKDDRPDSREVVGELKRIRLRRSVNDDVAKE >CAK8567006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479342783:479347093:1 gene:gene-LATHSAT_LOCUS20097 transcript:rna-LATHSAT_LOCUS20097 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVNENPHSDDSISDDDELQANRTNNVPAPNKQEELPLPARLDLLKGRFCNQNLASSFQNLLEDEEVEMPDFNEGGFQDILGEVVADSGDEDIVSEDEGSTVLSTRLLHKYGPQYIKNEEVKDTKRKSEALLCFKESASCSASHATCSKPNSSGIWGKAKPRFSFSSVTTKYGHNGPSISNIDRLPEIMKGVNPRASASLDGNHLEDDDIVELDLDTEPSETEALPHEFNLPVMADLFDNLQNKTDLCPYENQRKGKSVQLFQKSRPLLPETVIDSEDSPEPVDSRSSSDNEESDQRMKTTFPGKKMQTMADRFHHALGSSSVIAEKVGGLNPLKTGIFEKLQQVMQREKERDMDFSKKLHAGARPDREIGCVDVGIISRYLDGKLIVCHCSFSKCTENFPLQAKGTGFDGSKDGQRTIIFSPRVCGNVDLEVGSLIRINQPWKEVQVGNDNIILCSYFSEISSPI >CAK8570069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22935886:22936630:1 gene:gene-LATHSAT_LOCUS22853 transcript:rna-LATHSAT_LOCUS22853 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVKQMSLIVSAFGVMSFILGVIGENKKPAAGKPIPNNDGVSVTCQYPSDPTVVLGFLSAVFLIASSVVGYLSLFYPYNGKAVPQGTLLKHTSFIIFFNVALFTTGLAAMFILWPTITEHIHLTRNVHRDVNYDCPTAKTGLLGGGAFLSLDSSLLWLVALMLACNAREDFFEEQGNKKESNVEVDVSD >CAK8574149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665783225:665784671:1 gene:gene-LATHSAT_LOCUS26522 transcript:rna-LATHSAT_LOCUS26522 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >CAK8565728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313791715:313792284:-1 gene:gene-LATHSAT_LOCUS18916 transcript:rna-LATHSAT_LOCUS18916 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLSSNFIDRSEANTSEAFEKLTPEFLSSLTTSGLPSHKIKLKIGTPIMLLRNLDQKEGLCNGTRLIVTRLANHVLEAKIISGKNIGSRIYIPQMSLSLSQSPWPFKLIRRQFPIIVSYAMTINKSQGQSLDYIGLYLSRSVFSHGQLYVAISRVKSKQGLKILIHDDERQSLNITTNVVFKEVFQNL >CAK8538157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466236047:466238212:1 gene:gene-LATHSAT_LOCUS7387 transcript:rna-LATHSAT_LOCUS7387 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSARIKAESPPRNGSNSKDGGKENGLSGRSSGKASAPPTAPPTPRTQGEILKSSNMKSFTFSELKTATRNFRPDSVVGEGGFGAVFKGWIDEHSLAPVRPGTGVVIAVKRLNQEGLQGHSEWLTEINYLGQLHHPNLVKLIGYCLEDEHRLLVYEFLTKGSLDNHLFRRASYFQPLSWTIRMKVALDAAKGLAYLHSDEAKVIYRDFKTANILLDSSYNAKLSDFGLAKDGPAGDNSHVSTRVMGTYGYAAPEYMATGHLTKKSDVYSFGVVLLEIMSGKRALDNNRPSGEHNLIEWAKPYLNSKRRIFQVMDARIEGQYTVRQAMKVANLAIQCLSVEPRFRPKMDEVVIALEELQGSSEVPAGEAGTSRDHQNVKRSSHSSRSNGPRQHRSNKQSETILNEK >CAK8576932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525803046:525806901:1 gene:gene-LATHSAT_LOCUS29088 transcript:rna-LATHSAT_LOCUS29088 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPLLALIVVLFIPNGIFASPSTVPAFLWSSHYNLVSDNGLKGSVNYQVISPKDLAKSVLSEAGWSNFLCKGKKFQDPLDLALIFVGGELQSSDLSLNADSSLSELLKDSFVRSNTSMAFPYVSASQDVNLEDSLVSGFAEACGDDLGIGNVAFLGSCSMGNGNHEETAALHSVQGYLTQRMEKSHKGKTDLLVFCNEQSQASKNVDRTQSEGEILSELISSVEKSGAKYAVLYVSDLSKSIQYPSYKELQRFLAESTIGNGSVNSTVCDGVCQLKSSLLEGLFVGIVLLIILISGLCCMMGIDSPTRFEAPQE >CAK8572482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539681260:539682917:1 gene:gene-LATHSAT_LOCUS25044 transcript:rna-LATHSAT_LOCUS25044 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQTQIESIRKWVIQHKLRTVGCLWLSGITGSIAYNWSRPNMKTSVKIIHARLHAQGLTLAALAGAALVEYYDHKSDERAARDSRR >CAK8567289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501529139:501529693:1 gene:gene-LATHSAT_LOCUS20355 transcript:rna-LATHSAT_LOCUS20355 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSDMIYASMASLNSYQISTTIIFLLHLLLLPVSCFYQSQSAIPPRGLLFEEKNRLGSAPPTCHNKCNQCHPCMAVQVPSHEHLQPGHTHSAASSSAAEGGFFLQDNGNTNNRYSNYKPLSWKCHCGGHFYNP >CAK8567290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501529172:501529693:1 gene:gene-LATHSAT_LOCUS20355 transcript:rna-LATHSAT_LOCUS20355-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSYQISTTIIFLLHLLLLPVSCFYQSQSAIPPRGLLFEEKNRLGSAPPTCHNKCNQCHPCMAVQVPSHEHLQPGHTHSAASSSAAEGGFFLQDNGNTNNRYSNYKPLSWKCHCGGHFYNP >CAK8562829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:544722734:544728884:-1 gene:gene-LATHSAT_LOCUS16294 transcript:rna-LATHSAT_LOCUS16294 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYRVISVDIPRVWHHAEWIQSFEKFLDARYVHHIHLYGTSLGGFLAQLFAQHRPRRVRSLVLSNSFLETQSFSAAMPWAPIVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETVSREDLASRMSLTTDNASVGPLLLSDSFITIMDTNDYCAIPLQLKEQLSERYPEARLASLKIGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVHNIPKGDIGGSPSKENDGDDSDKSPKDDRGGSKNPSSKSEISPAPESSGSHNLDNQIVESSEGCNLSNEITLYVFPGGFMKEKHVVPRETPVHFAWEYIVLFHVLRHISSLYIIILNYSFEFRQVV >CAK8539350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509905190:509905846:-1 gene:gene-LATHSAT_LOCUS8453 transcript:rna-LATHSAT_LOCUS8453-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPPAYCRIKGTICVQKPSRFSLHGMWPANKTVEPRRCSNDQVKTKLTKNMISATALAELPTSWPNLKGDNFIFWQHEWFQHGTCSYSTFNQTQYFDQANNIWKGLQLFDILQKDGLSPNTIKYQKTEDFKKAIGKHIGIGTNAVIEFHCSPSSSELLEIRICRNHAGNDYTNCTMYGNCGSSFKWKP >CAK8539349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509905190:509905933:-1 gene:gene-LATHSAT_LOCUS8453 transcript:rna-LATHSAT_LOCUS8453 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKLFLSFIVFLSLFLSSAAQYEFFIMAMQWPPAYCRIKGTICVQKPSRFSLHGMWPANKTVEPRRCSNDQVKTKLTKNMISATALAELPTSWPNLKGDNFIFWQHEWFQHGTCSYSTFNQTQYFDQANNIWKGLQLFDILQKDGLSPNTIKYQKTEDFKKAIGKHIGIGTNAVIEFHCSPSSSELLEIRICRNHAGNDYTNCTMYGNCGSSFKWKP >CAK8573804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638195438:638198158:-1 gene:gene-LATHSAT_LOCUS26209 transcript:rna-LATHSAT_LOCUS26209 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESSFSVEDSKYNEDDLINRTGTCMTATAHIVTAVIGSGVLSLAWAVAQLGWIAGSAVLVLFSIITCFTSCLLCDCYRYPDPVNGIRNHTYIEAVKNILGGYQQKLCGLAQYAILVGTTIGYTLTSSISIVAIKKSNCYHKYGREADCDTPNYPYMAIFGVLQILLSQIPDFHELSWLSIVAAVMSFGYASIGIGLSIAKIAEGGHRLETSLTGLVVGVDITSSKKIWNTFQALGNIAFAYAFSMVIIEIEDTLKSNPPENKTMKKASLIGISITTFFYALCGILGYAAFGNKAPGNFLTGFGFYEPFWLVDIGNLFIIIHLVGAFQVFAQPIYSLVESWGSKRWPESTLMTKEYNVRIPMVGIWRMNMFRLIWRTMYVIIVTLLAIVFPFFNSVVGLLGAMSFFPLTVYFPTEMYLKRAKVPQYSSLWIGMKLLSLFCLIITLIAAVASVQGIISELAIYKPFE >CAK8572107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510717422:510729710:-1 gene:gene-LATHSAT_LOCUS24706 transcript:rna-LATHSAT_LOCUS24706 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEETGRLVDLDPDMLQLQEVSPLALKSNLYVAEELYSQWLSLPDTCRLIKSLLADAKAGVPFSAPESSTSTNALSINPLPSLFPAGSTPPLSPRSSSGSPRTTKQRTSPSSLGSPLKVVRESVREIIPQFYFKNGRPPPNEMKDHFMFQVDNRFYSHMDGLQLLEFKSFTKEICKIPSFFSTILFKKIDVNFTGTITRDQFIDYWIRGNMLAKDLPTQIYTLLKQPHQAYLVQDDFKPILRELLSSHPGLEFLQSTPEFQERYAETVIYRIFYYMNRSGNGRLTLRELKRGNLIDAMLHVDEEEDINKVLRYFSYEHFYVVYCKFWELDTDHDFFIDRENLIKYGNHSLTYRIVDRIFSQVPRKFSSKVEGKMNYEDFVHFILAEEDKSSEPSLEYWFRCIDLDGNGVLTPNEMLFFYEEQLHRMECMAQEPVLFEDILCQIVDMIGPENEGYITLRDVKSSKLSGNVFNILFNLNKFIAFESRDPYLIRQEREDPNMTEWDRFAHREYIRLSVEEDGEDMSNASGDVWEESLEAPF >CAK8531125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82674060:82678228:-1 gene:gene-LATHSAT_LOCUS937 transcript:rna-LATHSAT_LOCUS937 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLTAMNGGGERVCENGFKEEGDSLGDHLIEEMESFFLDIDERLIISRMVSDSVIKGMVNAVEEQAAERIAQKESEVVGLKKKLSSLCVGLDETKRMWYSVRCNGAREDVMKPVDSLKFELHEQLNQLKKEINKIRGLSSLRRFSSGSDLVGLGGILQENVPRRWIYVDKAFDSLKDAMDSFYKRIETMDRLSKETTHSEWQEEMEFQLEIEQMVIRNCIQSLQQEFEQKLCGICESESRNCSNQFEEISSLRQDLDSIFRTLAVYETGTLISHGSLEHTEDWCHNKRAEHIHLKLSTDHLPPSIVEENGKHEDSKITKPDNLDSASLKNMSKDDLITYITKMRRNHESQVQEKTEENFCLRRELLNLKERGSSFSLKKDKDFDLLKKKIPDVVSKLNEILDGNEKLRQFSENIESLNNFKDRLDFLQTENHQLKDMLTDTKKEVKSLSNQLSVAIEKSSQQQLNEEILLRTVQKLEDDVGDAHAEVSIIQDVYKCLFEDMESKCRFITEDLHLKYGFMQEIYEVILKDTVDSVQASNGLKIEEANIESVMMQGFLDIYQIIFKEALVDVDEALKLKASENKKLIYEIHMLKSKVEEKENSIIGATNALEQEKRKVTSASEVLDNLRAQADSQQKWIVENSKVLDVTKSNLVAAAKEIEKYKKQIYELDQNLEQKMNELGETDKERRELCAVIEKQQDALKCNEAKERETTKQMESTVNLVHKLLTMVTDLEVSVNKDISRNCSRLESMRSEFCFLKNKASALKTMGLVYKQKLETKTSNLANAEAEVDLLGDEVDTLLRLLERIYVALDHYSHILQHYPGIMEILKLVRKELTGDSTKLV >CAK8576646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500440656:500443323:1 gene:gene-LATHSAT_LOCUS28820 transcript:rna-LATHSAT_LOCUS28820 gene_biotype:protein_coding transcript_biotype:protein_coding MELILQYVEPSTLIFLLITLSTLVIFSLTFRKKKLPYPPGPKGLPIIGNMLIMDQLTHHGMAKLAEKYGGFCHLRMGVIHIVAVSTPEMAREVLQVQDGVFANRPANIAITYLTYDQADMAFANYGPFWRQMRKICVMKLFSRKRAESWASVREEVETTVKAVASKIGSPVNLGELIFSLTRSITFKAAFGSNLNKGQDEFMGILQEFSKLFGAFDIAEFLPWLRWFNGQDFNKRLASARKALDVFIDEIIDDHVTKRKNSMENKDSENEGDQDMVDELMAFLDESDVNAAETSQSTLKLTRDNIKALIMDVMFGGTETVASVIEWAMAELMKSPKDLERIQQDLANVVGLDRRFNETDLENIPFLKCIIKETLRLHPPIPLLLHETVQDTTVSGYFIPKSTRVMINAWAIGRDKTAWDEPEKFNPSRFLNEGMPDFKGNNFEFIPFGSGRRSCPGMQLGLYGVDIAVANLLHCFNWELPNGMKPSELDMNDVFGLTAPRAVQLVAVPSYRLNCPL >CAK8569838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13256926:13266027:-1 gene:gene-LATHSAT_LOCUS22647 transcript:rna-LATHSAT_LOCUS22647 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIPIRMSPSTLKLFPSSTNTLFSIHTNKFITTTNNNRTKLLLLCLSSRNGTECPVPQEQQPINEYQSLSTSFPFSWAAGDVVEYGSRLFVVGFSFALFVGLPVAWFGTVGAQSEPAKRIVCAASSGVLAVTFAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLTRDRLLGSFSVKPVLSRLKITLVGLAACLVTCALIFVNIDESYLTSRETGVRAIPGAYNDESARSFEPDAFCGEPDLQE >CAK8538564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487327501:487329270:1 gene:gene-LATHSAT_LOCUS7748 transcript:rna-LATHSAT_LOCUS7748 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYSQKERSKALRELSGLVISRGPKLCNFVEWRGLKVVYKRYASLYFCICNDQEDNELETLSIIHHYVETLDRYFGSVCELDLIFNFHKAYFILDEVLLAGMMQETSKRTTLRLIAAQEELVEAAKEEASSLSNIIAQATK >CAK8575911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:358289911:358290561:1 gene:gene-LATHSAT_LOCUS28136 transcript:rna-LATHSAT_LOCUS28136 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIDMANADALRWIDNIPAEKWTRTFDGGRRWGHITTNLVESMNSVFKGTRNLPITTLVRATYYRLGSLFAERGGKWSVVLNSGQTFTNNCLKVMKEETTKSSTHQVRIFNYANNVFSVKETMYHGEGKPMGHYKVDLLNGWCDCGKFQASFKHIVSLVHMLSLHV >CAK8566228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393345180:393347892:1 gene:gene-LATHSAT_LOCUS19380 transcript:rna-LATHSAT_LOCUS19380 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFQQSKSIDSSTNDPQLGSSPVLHHDHNDSELSDLNHVTQLDEELQTKLDLKDEDQIHDKGSNFEVAAVESDKGQECDNDDDDGWNGDDEVNDWVENVDVSGEVGQVENKDERSNGNGRAELYPLRPEAEDCSFYLKTGTCKFGSNCKFNHPLRRRNPAFKERVGERDELEKRSGQTECKYYSRSGGCMFGKDCKFDHTRGKYSSVHVLEFNFLGLPIRLGEKECPYYMQTGSCKFGATCKFNHPDPTSVGGYDSASAYGNGSSIPLHNVSQSSSTVKFNETATFVPIIISPSPVVSPRSTDWNGYQAPLYLTERSTHPPSPYAMNNPAIETNVRMHRHKQTSAEEFPERPGEPECSFFVKTGDCKFKFHCKFHHPKNRITRSPPCNLSDKGLPLRPGQNVCTHYSRYGICKFGPACKFDHPINMPPPTMPGLYQQPSYTNSASVEEAGNGGASDATIQ >CAK8568813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643459280:643462412:1 gene:gene-LATHSAT_LOCUS21736 transcript:rna-LATHSAT_LOCUS21736 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNIRSILWVLLLLVCIVIVSGERRLVKTQVRGFNVTSTMIQEQERSFLRKAVNFLWKSDGSGYTHVWPEMEFGWQIVLGSFIGFCGAAFGSVGGVGGGGIFVPMLSLIIGFDPKTSTAISKCMIMGAALSTVYYNLRLRHPTLNMPIIDYDLALLIQPMLMLGISIGVVFNVVFPDWMVTILLIILFLGTSTKAFFKGMETWNKETIMKKEAARRQESSGSGEYKALPTGPDVVTEKEDTKVSMFENVYWKEFGLLVFVWVSFLALQIVKQNYTTTCSTAYWVLNLLQIPISVGVTAYEATALFTGKRVIASVGDQGKEFTVIQLAIYCVFGVLAGIVGGMLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYLSLVATIAALVGQHIVRRLIILFGRASLIIFILAGTIFISAVSLGGVGISNMVHKIAMHEYMGFENICKYGS >CAK8530428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20541937:20542422:1 gene:gene-LATHSAT_LOCUS294 transcript:rna-LATHSAT_LOCUS294 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNQTHRPATNTSSSSAVFLRKLQDNLHASNSTHLAAILTLLVTGSIFLLLTGLTVSGTVFALIFFSPLIIVSSPIWVPAGTFFFLLVAGFLSMCGFGVIAVAVSSWSYRYFRGLHPPGSDRVDYARSRIYDTATHVKDCAIEYGGYLQSKVKDAAPGA >CAK8537133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104548881:104549550:-1 gene:gene-LATHSAT_LOCUS6446 transcript:rna-LATHSAT_LOCUS6446 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERFIASVNESSKPQLQMGFFINYDVEDILKQENESTLRYHKGESISMLDGVLVSIKDEIDCLPYSTTGGTKWLHKRRPCTDDAFCVKRLRLCGAILVGKTNMHELGAGTTGKNPYYGASRNP >CAK8579167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681116600:681117097:1 gene:gene-LATHSAT_LOCUS31133 transcript:rna-LATHSAT_LOCUS31133 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERRGTLPLKFKIPSVASLIALGSKLTHMNEKDVIKSYGKIMNLLTVEANTRALVSLAQFYDPSLRCFTFQDFQLAPTIEEFERILGRHLKDFKPFTDLRETPSPDMIAVALSLLIRDVAICLMIKGATHGLSRKPLKIKAWDLEKEKNRRAFNVVLALQIME >CAK8530890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59613386:59613736:1 gene:gene-LATHSAT_LOCUS721 transcript:rna-LATHSAT_LOCUS721 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPEPESNIPLIGDWTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEKMDYFENPVTEKIMYIDDEDMKINPNDGWSIKTRYLE >CAK8561038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79954780:79960038:-1 gene:gene-LATHSAT_LOCUS14664 transcript:rna-LATHSAT_LOCUS14664 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVNLLPAKRPAPTGVSSEEGCKKRKLSSGVNVVDYKNPYAISDVLSHLESGKFGSVTKDIEALINRKMQIIVGPHIAKFPKLINQFPKVVTNHDEETPILGNKKVNGMSHQNVIDLDLEGGHVEKNVLEPKRENDVPGASFPIVIIDSDEEDDMDQKSHLPFYEVALPRPVQSPALKMTGYHHAPIAYHDAPNAYHGESADVKFETSLPPKASPKDNTRKDKGVYVGVHEEEDHEVDAVDDGLDDIWREMSMAIETSKDVSADPQPEEEEEEDADCDHSFVLKDDLGYVCRVCGVIDRGIETIFEFQYKVKRSTRTYASDSGNAKEKMDAFGVMVTKDDLMVTDVSAHPRHANQMKPHQVEGFNFLVRNLAGDRPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQTWQVEDIPLYDLYTAKADSRLQQLEVLKQWMNNRSILFLGYKQFSSIVCDNSNTNASMNCQEILLKVPSILILDEGHTPRNENTDMVQSLCKVHTPRKVVLSGTLYQNHVREVFNVLNLVRPKFLKMDTSRPIVRRIKSKIHNPDVKNFYDLVENTLQKDPDFKRKVGLIHDLREMTNQVLHYYKGDFLDELPGLVDFTVFLKLTPRQKHEVEKVKRISRKFKMSSVGSAVYLHPKLMPIAQKIGEKFTKSGEKTTIHDHVIDNFIEDIDIRDGVKSKFFRNMLNLCESTGEKLLVFSQYLLPLKYLERLAIKWKEWSIGKEIFVISGETLPEQREFSMEMFNNSSDAKVFFGSIKACGEGISLVGASRVIILDIHLNPSVTRQAIGRAFRPGQKKKVFVYRLVAADSPEEEDHTTCFKKELISKMWFEWNEYCGDRAFEVETLDVKRCGDFFLESPLLGEDVQALHKR >CAK8565955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358630873:358632720:1 gene:gene-LATHSAT_LOCUS19128 transcript:rna-LATHSAT_LOCUS19128 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGTNRKPSQTGLIRNHEYGRPEELQHEVSDVLVFSATDDVIAFKEAVEKDHHDVNEVGLWYGRMIGSKELGYEERTPLMIASLYGSKAVLSYILETGRVDVNQACGSDRATALHCAVSGCSASSSEVIKLLLDASADVNSADANGNRCIDLILMMPNSISGSKKRLLQAILEGIDDDCDFLKEVCFQMEKQQQDIGTPRIEKKDYPIDISLPDINNGIYSTDEFRMFIFKVKPCSRAYSHDWTECPFVHPGENARRRDPMIYQYTCVPCPEFRKGSCSKGDSCEYAHGIFECWLHPAQYRTRLCKDETQCTRRVCFFAHKPEELRPLYASTGSALPSPISYSNSPSASSMDYFALSSPATSTQSTPTPPLTPSPSSSPAGGTMWPTQSHAAVPTLQLPRSRLKTALNARDDTEFLELENRLMQKLMIEEMTGLSFPSNRLAGVNPANLDDILDSQMQSPTSNYVHPNVTQQLWGFSSDLTNSNVIGSPQITVDPSVNSEPNAMSKRSQSFIERSRTASFISELPSATSVAMESYTNFSDWGSPDGKLDWSIRGDELNKMKNSYSFVIKNQSCNSTMVTQNGDDQDVLLRQESWVNSLVKDAPTTELDQYCAAV >CAK8572192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518381354:518386477:-1 gene:gene-LATHSAT_LOCUS24783 transcript:rna-LATHSAT_LOCUS24783 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILSSSNDSGGNRRKPGSIGDVSVYVPGLRIPKPVDFAQSLGDYLSKSTVERLSALRTRIVVMAGQEGPTVTRTKRKSATQHGGSTLADLLQALEDYLPVLLGLVDDGSNLQYKVQFIWVNQEDEAEETAMSNAWYEVLSVLHLMATLLLSQANLLLLPRTSTDGSQPKVSEENRRASVDIFLKSAGYLDCAVRDVLPQLPAEIRRNLPVDLAEGVLRALCLQTLGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNITNLPLANGWGEKHRLYVKWKYIESKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNAAAPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYTYERIMETAPTLPDFALALKPDDYQLPQVSPSWRTENVKGGQTGSPNNNIKG >CAK8567242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497228074:497228451:-1 gene:gene-LATHSAT_LOCUS20311 transcript:rna-LATHSAT_LOCUS20311 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPLYLGRCRLKPYFVEVKGFIAFAFAFAQECCRREGGVRCLCLKYRYRCIISYLEEVENRLKRKSFRKDYWIWTSNEEKLLSNVPETRNTQQGSTSRSHMKYEGQFNLINDMVVDVLGGKCDI >CAK8536416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939612016:939614088:-1 gene:gene-LATHSAT_LOCUS5786 transcript:rna-LATHSAT_LOCUS5786 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKLLITLLQSVTMILCCVSTTEFVYNTNFNSTNIDLYGNATINKSILTLTNGSFFSIGRAFYPKKLPTKLPNSSTLLPFATSFIFSVAPVANFFTGYAFAFIFTPSRGVNGTTSGKYNGLFNLTTEGNPNNHVFGIEFDINRNKRLFHDINDNHVGVDVNSLTSLTSHEAGYWSGKHDNEFKVLKIKSGENYQVWIEFMNSQINVTMARAGRKRPRVPLISVNVNLSGVLMDETYVGFCAATGQLIDSSKILAWSFSNTNFSIGDALVTENLPSFVPYKGWFSRAKVIAVGVTSVVCLLIISCGYVLFFILYRGEKGEEKIEEWELEYWPHRVSFQEIHAATRGFSEENVVDVGGNGKVYKGILQGVEVAVKRIPQEREGGMREFLAEVSSIGRMKHRNLVGLRGWCKEEKGSLILVYDFMSNRSLDKWIFECEEGKMLTWEERIQVLKNISAGILYLHESWEVTVLHRDIKASNVLLDKDMNARLGDFGLALMHEHHGQVASTTKVLGTLGYISPEVIRTGRASTMSDVFGFGILVLEVICGRRPIEVHKPGLIEWVRSLMMLNQLQNAVDERLKAKGGYPIEEAERLLHLGLMCSNSDPSVRPTMRQVVKILEGEINNIDSDEENMEMSLLGKLKSGVMWSKAISAVPYRDHPTFGEVKSYNSKASTSGSSVIQASDSDTIWEGR >CAK8566804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464351354:464352589:-1 gene:gene-LATHSAT_LOCUS19911 transcript:rna-LATHSAT_LOCUS19911 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHYDTPSSSSHSNHFLQLAGSTSLTSQRSLLSVPSLNTHNNHHHNSHLITTLKSHDTSYISSLTLFGKFLLTGSSDREITTWNITTPEQQQNSTNKVVAGKGAVKSLVIQSNTNTLFSAHQDHKIRVWKLTNDQKYTHLATLPTLGDRASKILIPKNHVQIRRHKKCTWVHHVDTVSSLALSKDGTLLYSVSWDRTIKIWRTRDFTCLESITNAHDDAINAVVVSYDGVVYSGSADKRIKVWKTLQNDEKHKQKQKHCLVDTLEKHNSGINALVLSSDESVLYSGACDRSILVWEKNYDADADADDDDDGKMVVVGALRGHTNSILCLAVVWDLVCSGSADKTIRIWRGVGRDYCCLSVLQGHQGSIKCLTAVVENCDDNSESEEASFLVYSSSLDCDIKVWQIFVPAI >CAK8578693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647325899:647329021:1 gene:gene-LATHSAT_LOCUS30683 transcript:rna-LATHSAT_LOCUS30683 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGSFEENSLSNGDVYIGQFKGILPHGNGKYTWSDGTIYEGDWIDGKMTGKGLITWTSGSKYEGEFSGGYLHGQGTFTESNGCVYTGGWRMDAHHGVGRKVYWNANNYDGLWKEGIREGCGKFTWNNGSVYIGNWRKGKIDGRGIMKWYNGDIFDGFWLNGLREGSGVYRFSDGGLYIGTWSMGLKDGKGTFYPVGSKQPSLKKWCSIFNSDDIGFKLPNSRFNRSLSDKPRAKGISKISRHLSLSTSLMDANWEHQNSSEDCICRDSSSALSQTSNEGQSEVSGTSNMAFEREYMQGVLLVERMRKFSEIPHNKIKRQNKFSVKQVKKKSFVGKIQNRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGERARIRMYFPKKGSQLTPPHSSLDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDSGLKDITSPGKSGSIFFISHDDKFVIKTLKKSELKVLLNMLPKYYHHVGSYENTLITKFFGIHRITLIGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRYVSKDKINSDATLKDLDLKYEFHMDKILRESLFKQISLDCKFLESQHIIDYSLLLGLHFRAPENLKAFVETPASIPRQTSLPLPSANGPLKHADPSIIPKGLLLVAHEPSFVKTTPGPHIRGNPLRAYSIGNREADLLLPGIARLQVQLGVNMPAQATSLIQDDKVEESEVELFEVYDVVLYMGIIDILQEYNIKKKIEHTYKSIKFDPLTISVTDPKVYAERFINFMDKKVFREIP >CAK8541556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:245497490:245501746:-1 gene:gene-LATHSAT_LOCUS10469 transcript:rna-LATHSAT_LOCUS10469 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTAFKNPNFGDEEDEEVPDSFSCCVCLDLLYKPIVLSCGHICCFWCVYKSMNCLRESQCPTCRHQYYHFPTVCQALHFLLLKIYPVAYNRRINQTLEEEKKSGFYSPQFDPDTCESQAKFGHSCSPSSSSMIYPVPNSCNVETFECTKQPEFTAHEAEPETTGTLAEEKTLPHDKLDIKQKISVADVMCTMCKQLLFRPVVLNCGHVYCETCVYKLADEMLRCQVCQSPHPRGFPKVCLEFNHFLEEQFPEEYARRSDAIELKEIKVKPETPSCSLDKGNKGENTEWWSDPDLKVHIGVGCDFCGMFPIIGDRYRCTDCKEKMGFDLCKDCYDTRSKLPGRFNQQHTSDHSFKLVQPNYIRNIMLRLVTGQLGDRSIDTESLSNIDFTAEASGLFDDGEDNQNDPEATG >CAK8535591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865227900:865230725:-1 gene:gene-LATHSAT_LOCUS5035 transcript:rna-LATHSAT_LOCUS5035 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGFSLRKLGTLLVVSFIAFSVLLIFTVKHQNSIFDFVGGFSNHNLTASSTLLKQRTRNNTVKAESLVLGDKDQNAVTGIFQEPPLQTHTILDIGPKDHSLEDQKKDTSLSSITIANDQLLDGLLVSAFDEASCLSRYQSHLYRKASPYKPSAYLISKLRNYENLHRSCGPRTKSYNKVMRKGPKLGKIDARTNCKYLVWTASNGLGNRMITLAAAFLYAILTDRVLLVKFGADMFGLFCEPFPNSSWLLPRNFPYWKDQKRIETYENMLMNSKKNRSNGLLPQFLILNLQHTHDGHNNFFHCDRSQGLLQKVPVLILSSDQYFVPSLFMIPSFTQELSNMFPKKDTVFHHLGRYLFHPSNEAWELISRFYEEHLAKVNEKIGLQIRVYNTHRAPHETIINQILACTLKHKLLPDIGVPKTSVTSVLKKQTSKAVLVASLYSEYGEKLRTMYKANTTVTGEVIYVYQPSHEGHQNSKNDTHNIKAWTEIYLLSLCDALITSPKSTFGYVAHSLGGLKPWILERAYGETIPNPPCRRAKSMEPCFHYPPKYDCRANNTVDFTSLFHHMKHCEDVSSGLRLVSVNH >CAK8540330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552965002:552968140:1 gene:gene-LATHSAT_LOCUS9344 transcript:rna-LATHSAT_LOCUS9344 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRRNLINGKQNPTQAVASGVFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSDKLKQASEIDHHVDVNATKKIADAKLAKEFQAVLKEFQKAQRLAAERETAYTPFVPQDAHPSSYTVSGVGVSSDKSQEQHALVQSKRQEVISLDNEISFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGAMIDDIGSNIEHSHEATAQAKSELVKASKTQRSSSSLVCLLLVIFGIVLLIIIIVVAA >CAK8532487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270159235:270159882:-1 gene:gene-LATHSAT_LOCUS2186 transcript:rna-LATHSAT_LOCUS2186 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVFSFLFFLFAFITNLSQTFSYDDGVPIIDTGLVAVHPYSRYFIVPSFFGIRSGRLKLVKTGDSNCPVTVLEEDFTSDRGTSVKFSIIGTSYDILTGTPIEIEFTKKPDCVESSKWLVFVDKAIQKSCVGIGGPENYPGMEILNGTFNIQKYGGGFVYKFVFCVDGSNTCSDIGWFNNGEGGGRLILTNYQISAFVFFETWAYQDGGVVKSLA >CAK8563359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:595418627:595421212:-1 gene:gene-LATHSAT_LOCUS16780 transcript:rna-LATHSAT_LOCUS16780 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYIEIDSITIDLASSIGKRDVGKCEHFSIREYVSEVRKKDWKLCCPFPIDETKKQPSFPPLDVPKHRCPNSQKENAAKDIPKDNQADYNCCITGCRPDTNCSNAALKPYIQKDPMASIIVRRDIDLNTKLSGFDGCLPINIEKEKKVGVEPSRRIDLEIGLEDNLNRQVENVPSPKIYPGFAQEEHTTKIGCESNEVSYVQFSDKLTYTDKNSAEIYNGGTSSVDKQCQKELVATAVEVDNKCDHPTGPPIESFACNQEVPAGSTDNMIEDDFQDNHSEKSMAVSRRRPRKVRLMTDLLRENAESKTEKTAIQESQIYGTSNNSAASQAHSNFPGKVDFQGDLTLTNLGQSRKRKIVLDEVRSTESMHFQRDGFEAQNLEGNAKTTVMLFNKKSNSKGVLAGTGSQVAEKGNWSKSEPERSHIVGKKKNKRNQVVDNYLIPEQQGQRRQNEDTVYTTDKAYGSKTVSSRLTPSVFTKNGMDNFPIHALRIENEFNPSKEKGKMLQTDEELNSFSCHRNDMLVKDSFPYSGIKIRSNVPADVPIPSVQRVMNGKGLEEGLHLSLNNMSKHGYNKKCIHQIENRLPFSLPFQESTSRVPNLNRKDSKTNVFGGPSIPFRHTTNTISGKGNHYEEITGARNTGKNVEAAEQLGINKTYNEQAAEVPEQGTLDDIPMEIVELMAKNQYERCLPDVENRCSIFEKSSISRNAQMTFGTAVYGKGKMNLLKEGKKEKSKGIPKKNNMVTRGENVKPCKRKPNHYFSPFNGSNLGVNNPYPPQPSFGFEVPQSQKKLSNEFQFSPMVSNQLGSARNIKFNGNLEERTPSSATLQALGGCSLHKNILRRITKLLAFGHPWPQIALP >CAK8574631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4376087:4379816:1 gene:gene-LATHSAT_LOCUS26959 transcript:rna-LATHSAT_LOCUS26959 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGSKRSFFEELPPSPPLSKRLRCSSATSPIRFPSPSLFDQLRNLFPHMEQQVLERALQESDNDLDAAIKSLNELYLGAGGGNFGTAEESEIDVSGDQGKLENDVNDSASENQSTLNNLPADGAEWIDFFVREMMVATSVDDARARAARMLEVLEKSISERAKAEATDSLQKENLMLKQQIEVLIKEKNSFKNAFRIQHERLSDYDNKNHELQQLKQLASQYQEQIRTLEMNNYALGMHLRQAQQSNGFQGNFPPDIF >CAK8535665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873246014:873246520:-1 gene:gene-LATHSAT_LOCUS5100 transcript:rna-LATHSAT_LOCUS5100 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLWQKLKRLLQELKAFSKPFSDIRNKLTTTRDNLKNIQEQLTGDRINTTLIGKARDLTEEVMTLNEIEWKILQQRAKIDWIRKGDGNNNYLYAAVKTKHHSTCLSNLRTSDGRHLSDHNDIEEEVMVFYKNLMDKEDNNINHIDIEAMRMGKQLNLEQREYLTRIS >CAK8537167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:120598889:120599684:-1 gene:gene-LATHSAT_LOCUS6477 transcript:rna-LATHSAT_LOCUS6477 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFRNFYNSEAQMLRSNFQPSFISQTYKSGISKQRSKAENKLLAAKKHSEAERRRRMRINGQYDTLRSILPNLIKKDKASILVETIKQVNELKKKASKLEDSHGTSKEVKFPSGADRLNLEKCNDEEGLVKATLSCEDRPGLMSSIARAVGSVKAKVVKVEMVTVGGRTRSVLWVQGVENEGLGMLKSTLKIVMHKPSFKMRRFTQ >CAK8572782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563372136:563374706:1 gene:gene-LATHSAT_LOCUS25309 transcript:rna-LATHSAT_LOCUS25309 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLDDVVPCSSLAVESILRVGTAGAIWGLCTGPYDARTQGLKGIAQAYFVANSVRVFGIKCGLVAGVFSITHCGVKKYRRRNDWVNGLIGGAAAGAAVAARTRSWPQVIGMAGLISVFCAAADYSRT >CAK8577936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597720442:597720969:-1 gene:gene-LATHSAT_LOCUS29995 transcript:rna-LATHSAT_LOCUS29995-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIADLIRTSTSPTSRPQSCTVPQPYYTNSAAKTLPANKSQYKSHVYANPDNVTPAIVESRYALTKQKGARYLPATFLTGLLDPATFLTGLLDPATSREEFLQLFADLEGKVPVFVVSSKGSPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPDVVAEELYQFLQQYFGSVA >CAK8577935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597720442:597720984:-1 gene:gene-LATHSAT_LOCUS29995 transcript:rna-LATHSAT_LOCUS29995 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAKMQIADLIRTSTSPTSRPQSCTVPQPYYTNSAAKTLPANKSQYKSHVYANPDNVTPAIVESRYALTKQKGARYLPATFLTGLLDPATFLTGLLDPATSREEFLQLFADLEGKVPVFVVSSKGSPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPDVVAEELYQFLQQYFGSVA >CAK8538402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481441080:481441832:-1 gene:gene-LATHSAT_LOCUS7611 transcript:rna-LATHSAT_LOCUS7611 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNAIFLSLCLLAMVLLMSLEVIASDLTETSSNTKKGVNDVKNDNGDDGDNGSDGYNTRYPDNGDGGYNGDHGDEYPDNCDDFPGNGWGYNGGYPSNGWGYNGGYSGNGGRYGGFFPGNGGYFGGYHGRGGVYFGGYGDGYLGHGGFYYGGGFPSNGHGGEIVDGETEDNTHN >CAK8571923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493606084:493616769:1 gene:gene-LATHSAT_LOCUS24544 transcript:rna-LATHSAT_LOCUS24544 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSRTRSGKVSGERKVVQKGRVSVKNASDNLVSGDEGFEAPSLLIEKKGDGNLVSCEEGLEAPTLLIEKKDDDNLVSCDEGLEAPTLFIEKKDEDLVSGDEGLKAPTSLIEKKDEDLVFRDEGLEAPTSLIEKKDEDLVFRDEGLEAPTSLIEKKDEDLVFRDECLEAPTSLIEKKDEDLVFRDECLEAPTSLMEKKDEDLVLGDEGLEAPTSLVEKKDMDLVLGDEGLEAPTLLIEKEDKVECAGEVDCAGEVECAVKTKLDLDLNASPSVDIDLAENESCLDLKVNMSGNVVLAENGNSSPRSCLKDGDSNIEAEVDREVSGARVLRSRLKTGDYKKSDNGGNDTAGNIGEPGGFDELKEAGGILTVGNEIERVEVKMGGKGKKKLKRKRGRPPKTEIKEKDEFVDQHQPPPRKVGRPPKTEIKERGELVDQPPRRVGRPPKTEMKEKDELVDQPPRRVGRPPKTEIKEKGQLVAQPLSKFGRPLKEVIKEDDQLVQAPRKRGRPPKTDQQKYPMTVSHNSNGKARNENGKKVSSKKFKKKRKSNTVKAPAANQRKKREQKQLVSNQIMECLSDAGWTVDHRPRKDGRDYHDAVYVALDGRTHWSITLAYKRLKARYEAGDGEGKLYRPGFKFTPIPEEDFKLLTRVVTKKRCSAKSNVDGVNRKEKKIKPGSGAGKGKSVKGKMKRKRSLAEDGDTDFKSPNRLPVLARDRKRQKTQNKTRGALLVRDATEEVDSEINGYVPYIGKRTILAWMIDLGTIPQNGKVCYMQDKLEIAPLEGKITGDGIHCGCCNSIITVSDFGVHARSKQSDPLKNIYTEDETSLLQCLLDSWNKQDESERKSFHFVDVVGEDPNDDTCGICGDGGDLMCCDGCPSTFHKSCLDIKKFPSGDWHCIYCCCKFCGLVGGSSNQNVVNDEFTMPALLTCHLCGEKFHKSCIEANGGKTDDSGDALFCGSKCQELSEGLERLLGVKHETEDGFSWSFIWRSDVGVDASLTKPHLVECNSKLAVALSIMNECFMPFIDHRSGTNLIRSIVYNCGSNFKRLNYSGFITAILERGDEIICVASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLLNAIERALNSLHVELLIIPAISELKETWTSVFGFEPLDQTRKQITKNMNLLVFPHVDMLQKKISKHDFTNENLIPTEVSNHKKNHTTCKVANLDGNDSTGSDCCKKIDNSLNNTPDITSDNVQNSKSTKDVTCQAVCQTVHEQLVVDNKSTEIAGEHVADSCCQVGDYDGALLEVTENCSNKVKVTTDVGLNNICAEKSALSGAENIPIDSPVVFRTRESKYSADINHDSHKISDSCELRFKTSAQSDGIGSEEVHTQFDNGCSTHSLPDGDIASGVAGLPTNILMNTESQNITQDLPVSCENNLSVSVPNADEAELCYSKTIDLQTNKNPGDCQSILVSSGICKEIADGVNETNKASSEADTGCLPADIEIILDDKPGSHSRSNGRSVSNEAGLTNNVLIHLESQNITKHFPVNCENNSSGHGDCHYILESSAVCEKITDGVSERNKAPSAADANDLPIDIEVVPNNKPEIKESSEPVKPDMQVDQTEQSETNTASGAALHCTSAGSTSCGSADGLVLLNQDS >CAK8571922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493606084:493616769:1 gene:gene-LATHSAT_LOCUS24544 transcript:rna-LATHSAT_LOCUS24544-2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSRTRSGKVSGERKVVQKGRVSVKNASDNLVSGDEGFEAPSLLIEKKGDVECAVKTKLDLDLNASPSVDIDLAENESCLDLKVNMSGNVVLAENGNSSPRSCLKDGDSNIEAEVDREVSGARVLRSRLKTGDYKKSDNGGNDTAGNIGEPGGFDELKEAGGILTVGNEIERVEVKMGGKGKKKLKRKRGRPPKTEIKEKDEFVDQHQPPPRKVGRPPKTEIKERGELVDQPPRRVGRPPKTEMKEKDELVDQPPRRVGRPPKTEIKEKGQLVAQPLSKFGRPLKEVIKEDDQLVQAPRKRGRPPKTDQQKYPMTVSHNSNGKARNENGKKVSSKKFKKKRKSNTVKAPAANQRKKREQKQLVSNQIMECLSDAGWTVDHRPRKDGRDYHDAVYVALDGRTHWSITLAYKRLKARYEAGDGEGKLYRPGFKFTPIPEEDFKLLTRVVTKKRCSAKSNVDGVNRKEKKIKPGSGAGKGKSVKGKMKRKRSLAEDGDTDFKSPNRLPVLARDRKRQKTQNKTRGALLVRDATEEVDSEINGYVPYIGKRTILAWMIDLGTIPQNGKVCYMQDKLEIAPLEGKITGDGIHCGCCNSIITVSDFGVHARSKQSDPLKNIYTEDETSLLQCLLDSWNKQDESERKSFHFVDVVGEDPNDDTCGICGDGGDLMCCDGCPSTFHKSCLDIKKFPSGDWHCIYCCCKFCGLVGGSSNQNVVNDEFTMPALLTCHLCGEKFHKSCIEANGGKTDDSGDALFCGSKCQELSEGLERLLGVKHETEDGFSWSFIWRSDVGVDASLTKPHLVECNSKLAVALSIMNECFMPFIDHRSGTNLIRSIVYNCGSNFKRLNYSGFITAILERGDEIICVASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLLNAIERALNSLHVELLIIPAISELKETWTSVFGFEPLDQTRKQITKNMNLLVFPHVDMLQKKISKHDFTNENLIPTEVSNHKKNHTTCKVANLDGNDSTGSDCCKKIDNSLNNTPDITSDNVQNSKSTKDVTCQAVCQTVHEQLVVDNKSTEIAGEHVADSCCQVGDYDGALLEVTENCSNKVKVTTDVGLNNICAEKSALSGAENIPIDSPVVFRTRESKYSADINHDSHKISDSCELRFKTSAQSDGIGSEEVHTQFDNGCSTHSLPDGDIASGVAGLPTNILMNTESQNITQDLPVSCENNLSVSVPNADEAELCYSKTIDLQTNKNPGDCQSILVSSGICKEIADGVNETNKASSEADTGCLPADIEIILDDKPGSHSRSNGRSVSNEAGLTNNVLIHLESQNITKHFPVNCENNSSGHGDCHYILESSAVCEKITDGVSERNKAPSAADANDLPIDIEVVPNNKPEIKESSEPVKPDMQVDQTEQSETNTASGAALHCTSAGSTSCGSADGLVLLNQDS >CAK8569822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12669947:12675959:-1 gene:gene-LATHSAT_LOCUS22631 transcript:rna-LATHSAT_LOCUS22631 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTALAEIATATKKFTECQLVMNVLWTRLSETGKDWRYVYKALAVIEYLVSHGSERAVDDIIEHTFQISALSSFEYVEPSGKDVGLNVRKKSENIVSLLNDREKISQIRNKAAANRDKYVGVSSSGITYKSGTTSFSSGSSQSSSKYGGFGSRDSDRYSDSYGDKGRYDEDKVDKDYSGKSRYGDSSYDQENSFKKSSARSVSKSKEKASSRASKSSVNASSVPSQSSSVTANNTEDDFDDFDPRGTSTKPPVGSSNQVDLFGQDLIGDLMDAPTSVPIEKPATNDASEVDLFADAAFVSAPPHTDKGASSQPQEEVDLFSSQPAISSATPTVDLFSIPAPSVQPDSKSESSAPVNNSTFDPFASVPLNNFDGSDLFGDFTSQSDTVSSQPSDNAITDGSTSAKSLAVSDVSSKKDAFQVKSGIWADSLSRGLIDLNISAPKKVSLVDVGIVGGLSDGSDEREKGPPTTFYMGRAMGSGSGLGMYGGNPSQPTTGDDIFSNFGSQNYQFGGFQK >CAK8533468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620634950:620636134:-1 gene:gene-LATHSAT_LOCUS3091 transcript:rna-LATHSAT_LOCUS3091 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKVSEVVEEGSMVWDDMMKEAASLGGARRVRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSQSSTSPALSSKITNLLLQRLKERNTMNNNNSCSSFSSPNYTSLLGSSEESQEHQRVNDQVTQQVEETYGEVSKDFSIDQFTDFLNDHEDYSASNNEFINDAAQFDYISSSFESCLTENNVCKGNDNSKTQTSSGDSNSEDSEEEVNELGAPDFGFLDDIAPTSYYSPFEIAEEMEEKIETEDFHDEPSMIRAVMKRMKYERKCSASLYAFNGIPECLKLKLESGKNNGRGLISEQLNNLKESCSKKNKVEKNEENEQVSMEIEACSLSRNDSDVFLWNSLDLPPICFVNLLENGSFN >CAK8565807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337292123:337293294:-1 gene:gene-LATHSAT_LOCUS18988 transcript:rna-LATHSAT_LOCUS18988 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNSTLPICFFKIILQTNLQTIKIPNKFTRKHGAALPNPVMINPPDGTKWKVFWKNINGDIWFQKGWKFFTQNYSLQHGCLVVFKYKERTSELDLIILGQHALEIDYDSSCGILDDEHENLDHSDDESVEILNEWHNQKKPRQRSTLASPRPHKKSRCEIEHISQRTTSLNRPYESRARQVAEEFISRNPFFIVLINSANLAANRPRVPNLKGIIENKTTNVKLQIGKRSWNLKLLPSYNSKTHRCLSAGWSSFARESGVQPGDVCVFELINKEALVFKVHVFQQDC >CAK8565852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343528411:343528764:1 gene:gene-LATHSAT_LOCUS19031 transcript:rna-LATHSAT_LOCUS19031 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGKRGRGRPHMVPLSPVTIPIPSEATGKDTPLKVAEELSKEETKNEKEDLEDEIVEETETLETKVYAKKEDSDNKVVKTDRKLWVDVLSENCNSSKGLTIQYVAPKLINEKMEI >CAK8561857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:397126836:397127575:-1 gene:gene-LATHSAT_LOCUS15410 transcript:rna-LATHSAT_LOCUS15410-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPPRFNFTVIFLIIISLSFGLISFFLCIAAEIKRNKEEDLRWNGKLCYMATSKAFGLSIATLVSLFFAHIIGNYALVKNSYSRWKSISKFKIPTSSKVLYFISCRVSFGIVVILLIAATSMSRKQLYGKGWLNGECYIVKGGTYFGSAMLILVTIGSLNGSSFSILKSRQEQEDRKILKQSGIH >CAK8561856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:397126836:397127575:-1 gene:gene-LATHSAT_LOCUS15410 transcript:rna-LATHSAT_LOCUS15410 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPPRFNFTVIFLIIISLSFGLISFFLCIAAEIKRNKEEDLRWNGKLCYMATSKAFGLSIATLVSLFFAHIIGNYALVKNSYSRWKSISKFKIPTSSKVLYFISWVSFGIVVILLIAATSMSRKQLYGKGWLNGECYIVKGGTYFGSAMLILVTIGSLNGSSFSILKSRQEQEDRKILKQSGIH >CAK8575683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:248493331:248494437:-1 gene:gene-LATHSAT_LOCUS27925 transcript:rna-LATHSAT_LOCUS27925 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKCGFFDQSWVVTINLQSIHSLSNVFFSIDLNLSIKLHSLLAVFLKEDVISPNNNNKDKDKDKWDHRTTMDGVASNLHNSSKPSSLNPTTRSLSSSPTKLDQHGSQIGSDKSRNSIKPETWRSWVVQRTGYSDADFEDAEIVPSDIKKGDVCDVSGRPEIVKIGNFSREPVNRETELDANRNDIKSRIQHLESELSTVLYSLRSNTSDVTMLTEQKNTSDNLEKLSDAWEFQETEIMNAQARLRSLRAKLAVLEGKMALAIMDAQKALDEKQKKIDYVHKALKLLKSTCVVWPNNASEVFLVGSFDGWSSQRKMEKSDTGIFSVVLQLYPGKYEIKFIVDGEWKIDPLRPVVNNNGYVNNLLVVHD >CAK8535556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863419854:863421947:1 gene:gene-LATHSAT_LOCUS5003 transcript:rna-LATHSAT_LOCUS5003 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSNLIVRAPTAFNNVKFVVIFVLLLLLSYKGMSISSSDVEALLKFKRSLKNVVSLSSWDPSTNHRPPCSGNTPNWVGLFCLKGRVWGLRLESMGLTGNIDMESLASMPALRTVSLMNNTFVGPLPNIKMLPNLKALYLSYNHFSGLIPDNAFMDLHRLRKLYLSNNEFSGKIPSSISTLPILVVLRLDSNKFKGEIPNFEHTNNLKIIDLSNNELEGPIPPNLRTFDPSRFSGNLLLCGPPLANTCQSDNGILSKMVVMNILLIVIMIAFVISIMVSIFVICRLRSQKKQLSDDNPSTISKLHMQASNKYVKPPVIYVKTKSLADHYDPNSPKPDQYSHGHSKKGEQGKLIFLKKDGIVFDLQDLLRASAEILGSASFGSSYKAVILDGQAVVVKRYKKMNNVSREEFHEHMRRIGNLNHPNLLPLLAFYYRREEKLLISSFVHNGCLASHLHGNHTSQKPGLNWATRLKIVKGVSRGLSYLYSALPSVIVPHGHLKSSNVLLDESFEPLITDYGLSPLINLDHAQQIIMPYKSPEYAQLGRITKKTDVWSFGILILEILTGKFPENYITPKYNTDSDLASWVNMMITEKRTSDIFDIDMGGIGNSKSELLKLLKIGLSCCEENVERRLDIKEALVQIQDLKEGEIIDPIGLGEYSSSLIRNERDAYRAV >CAK8559934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1951027:1951467:-1 gene:gene-LATHSAT_LOCUS13666 transcript:rna-LATHSAT_LOCUS13666 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQIVCCMCGDVGFTDKLFRCNNCHHRFQHSYCSNFYGELSEIEECDWCQSKGKSSLGSKKPAVTVKIKSSSGCPGENILKQHREDKCSEKRKSPVPSPRQATRRYKLLKDVMC >CAK8574881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13485760:13489118:1 gene:gene-LATHSAT_LOCUS27180 transcript:rna-LATHSAT_LOCUS27180 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHQFVAVLLLALSLFLVAASALTKSDFPPHFLFGASTSAYQVEGAADEDGRKPSIWDTYAHAGNAGAYEGNGDIASDQYHQYKDDVELMAKMGLDAYRFSISWSRLIPDGRGPINPKGLEYYNNLINELTSQGIQPHVTLNHWDLPQTLEDEYEGWISRKVIKDFTAYADVCFREFGDRVKHWTTMNEGNMCSMMGYDYGVVQPQRCSPSIFNCSKGNSSTEPYLVTHHMLLAHASAANLYKNKYKVKQQGFIGFNILVQGYLPLTNTSEDVIATKRAQDFFMGWYLNPFIFGDYPAVMKKNVGSRLPSFTSRQSNLVKGSIDFLGINFYHAFHVKNNPSSLQIKNRDYIADKAVELIHIPANNTFHDKIPITPWILERMLHSLKNDYGNFPIYIHENGQTLPTKSLDDQPRVEYIREYIGSLPDMLRNGLDIRGYFVWSFLDVFELESGYEFGYGLYYIDFEDPTLKRKPKLSALWYSNFLNNKTMDIDSEITMKIEENSSVLSKNPLMHIAT >CAK8536579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:956134185:956134709:-1 gene:gene-LATHSAT_LOCUS5935 transcript:rna-LATHSAT_LOCUS5935 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGRKGGEPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESASTTPKSPSKARKSPKKA >CAK8577390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559596250:559621024:1 gene:gene-LATHSAT_LOCUS29503 transcript:rna-LATHSAT_LOCUS29503 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRQKSLNQDSSLSPTAARSREWDGPSRWADYLGTDGASPLSSTSSRNFGHDGQSQGSTPSQPHKGLNMQWVVQLTDVAEGLMAKMYRLNQLLDYPDPINHVFSDGFWKAGVFPNHPRICVLLSKKFPEHFSKLQLERIDKMAWDSMQDHAELHLQSLEPWVQLLLDLMVFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMLLQTYNLLHAMSRNERDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTAYREWVLFGYLVCPDELRRVTSIDIALVVLKENLVLTLFRDEYILLHEEYQLYVLPRILESKKMAKSGRTKQKEADMEYNVAKQVEKMISEVHEQALFSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGVASSKSKTARVVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYTLSYLSSCAGRIRFLLGTPGMVALDIDASLKGLLQQIVHHLEHLPKPQTENISAITCDLSDFRKDWLSILLMVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESLLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGIASSFPECASPVVPEEVTKFGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALENQLLPEQAASYLNYASRVSIPSYKSPKGTAGFPLPGHESFPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECVLGNFRRRLLGVLKTDNDLQRPSVLESLIRRHVSIVHLAEQHISMDITQGIREVLLLEAFSGPVSSLHLFEKPTDQHTGSATESVCNWYIENIIKDVSGAGILFVPIHKCFRSTRPVGGYFAESVTDLSELQAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNRDVLEAVASSLHAGDRIEREASMKQIVDMETVIGFCIQAGLALAFDRLLSEASGAILEEGAPLIHSLLTGVVKHLPDGVPEKEEIRRMRTVANTAGVVSDHDSIWVRSILEDVGGASDGSWSLLPYLFATFMTSNIWSTTAFNVDTEGFSNNIHCLARCISAVIAGSEFVRLEREHQHRQSLNNGHASEEMDPELSNHMSAEASINSTLQLFVKLSAEMILDSWSETHRSHLVAQLIFLDQLCEISPYLPRSSLETHVPYAILRSVYSQYYADTPSTPLAILNASPRHSPAISLAHASPATRHPRGDSTPPYYGNDSGYFKGSSSHSQEHIYEADISSLRNTRRSGPLDYGASRNKVRSVEGSASGSTGPSPLPRFAVSRSGPLAYK >CAK8534958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795513505:795513846:-1 gene:gene-LATHSAT_LOCUS4449 transcript:rna-LATHSAT_LOCUS4449 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNFLVNMVVVELMWGQYIVKWCVLLSFFCSTLESCAKLFVFKTSWRCMVHRTNSIVCHNLSGMLFLVFLLLLQKDEVCVASLF >CAK8532638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:336148516:336149521:1 gene:gene-LATHSAT_LOCUS2321 transcript:rna-LATHSAT_LOCUS2321-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSASEFIAESETVSVCLKCGNQGFEETLVYCFKCQHYALHRYCLDEPVVFTDDVIWFCEECEGEVIDVDFSDNAIVDSENDEVRFREECDRDNADLENVDVEDCDVEVIDVDNSDNEVADPDPHHIADPIWMGSLQLSNKSFELMAYFSSLACPEVHELTRHLPNVFYADFLQRSAVWPIRFRKVGAKNENIGLYFFRENERCDILHKLKNIV >CAK8532637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:336148516:336150205:1 gene:gene-LATHSAT_LOCUS2321 transcript:rna-LATHSAT_LOCUS2321 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSASEFIAESETVSVCLKCGNQGFEETLVYCFKCQHYALHRYCLDEPVVFTDDVIWFCEECEGEVIDVDFSDNAIVDSENDEVRFREECDRDNADLENVDVEDCDVEVIDVDNSDNEVADPDPHHIADPIWMGSLQLSNKSFELMAYFSSLACPEVHELTRHLPNVFYADFLQRSAVWPIRFRKVGAKNENIGLYFFRENERVERFFYYLVYEMISNDHAIRADVEEAELLIFFFYSASK >CAK8541655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:337510462:337510767:1 gene:gene-LATHSAT_LOCUS10559 transcript:rna-LATHSAT_LOCUS10559 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNTRDIIMRYFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSNVADFL >CAK8578923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662267056:662267739:-1 gene:gene-LATHSAT_LOCUS30901 transcript:rna-LATHSAT_LOCUS30901 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSPLLHDLLVESHTRKLLFQGPINHQSLTTSNVLTHNNSTNLYFGLSETDANVVMIVAVLLCALICSLALNSIIKCALRFSNVAINNNASSSSSSNSSPQLVNKGIKKKALKTFPTVSYSTELTLPGLDTECVICLSEFTKAEKVRILPKCNHGFHVRCIDKWLKAHSSCPKCRQCLLETCRKIVGSEAPPPMLPVPETIITIQPLNREVVERSYREESR >CAK8566838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466602999:466605527:-1 gene:gene-LATHSAT_LOCUS19943 transcript:rna-LATHSAT_LOCUS19943 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNHILATTNEEGEGQPIRCKAAVARKPGEPLVIEEIIVAPPMPHEVRIRILCTSLCHSDFTFWKMQESPGIFPRILGHEAAGVVESVGKDVTEVTKGDVVIPIFLPDCGECKDCKSTKSNRCTNFPFTVSPWMPRHGSTRFTDLNGEIIYHFMFVSSFSEYTVVDIANVTKIDSQIPPDRACLLSCGVSTGVGAAWRTAGVEPGSTVAIFGLGSVGLAVAEGARLCGATRIIGVDVIPEKFEIGKKFGLTDFVHGEESGNKPVSQVIIEMTDGGADYCFECVGMTSLVHEAYASCRKGWGKTIVLGVDKPEARLSFSSSEVLHDGKSLMGSLYGGLKPKSHVPILLKRYMDKELQLDEFVTHEVEFKDINKAFDLLSKGQCLRCVIWMEK >CAK8540474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8485895:8486263:1 gene:gene-LATHSAT_LOCUS9477 transcript:rna-LATHSAT_LOCUS9477 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKKRQRAVLRLVELPAAGYFLRGSAGEKEGPPYSSLLKLYKARRERMDEQRFEAARPKQATTFEPLSEKALVKRASALDLEVRASSCWERKAWN >CAK8534614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748445824:748450036:-1 gene:gene-LATHSAT_LOCUS4145 transcript:rna-LATHSAT_LOCUS4145 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTSTKIFMRLDEHGENRDSENKTYEPSSLHNNTPGSPLLLSLSLNNTTQLPHQIQGKPQIQIGKLRVKLEEEKKENENLKAMLNLVNERCIVLQNRLLLHQLSSLPQNNHNLPGTIQDCQKAVFSTRQLLNIDEASPSDCSKREGFASLENNENINIGRNFAYECVNNIHEGEIISKKEDQVFEVECRRARVSIRARSDFSLMVDGCQWRKYGQKTAKGNPCPRAYYRCSMGTSCPVRKQVQRCFKDETVFITTYEGNHNHQLPPSAKPIANLTSSALNTFLSNSTTNFQYGNNISNTFLFSSPLSPPNSNAIATFSPSPTCPTITLDFTLPPSNYLQFKNHKQSSLFSFPFQGYNNYPQPFEVFPSMIDAERKLALVDLVSEAIAKDPSLKATLFAAMSSFTNGDPLNINNHSQPSKSG >CAK8534613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748445824:748450036:-1 gene:gene-LATHSAT_LOCUS4145 transcript:rna-LATHSAT_LOCUS4145-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTSTKIFMRLDEHGENRDSENKTYEPSSLHNNTPGSPLLLSLSLNNTTQLPHQIQGKPQIQIGKLRVKLEEEKKENENLKAMLNLVNERCIVLQNRLLLHQLSSLPQNNHNLPKGTIQDCQKAVFSTRQLLNIDEASPSDCSKREGFASLENNENINIGRNFAYECVNNIHEGEIISKKEDQVFEVECRRARVSIRARSDFSLMVDGCQWRKYGQKTAKGNPCPRAYYRCSMGTSCPVRKQVQRCFKDETVFITTYEGNHNHQLPPSAKPIANLTSSALNTFLSNSTTNFQYGNNISNTFLFSSPLSPPNSNAIATFSPSPTCPTITLDFTLPPSNYLQFKNHKQSSLFSFPFQGYNNYPQPFEVFPSMIDAERKLALVDLVSEAIAKDPSLKATLFAAMSSFTNGDPLNINNHSQPSKSG >CAK8572139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513861623:513863934:1 gene:gene-LATHSAT_LOCUS24735 transcript:rna-LATHSAT_LOCUS24735 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKNQTVSVEPQRERTKLPNFLISVKLKYVKLGYHYLISKAMYLLLIPLFGAASAHLSTISYHDVIQLYENLKFNLISVTLCSSLMVFLVTLYFMSRPRGVYLVDFACYKPKQDYKCTREIFMNRSELTGTFSDENLAFQKKILERSGLGQKTSLPPALLTVPPNPSMAEARKEAEEVMFGAIDQLLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNVQSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGSAILLSNKPSDSKRAKYQLIHTVRTHKGADDKSYGCVFQEEDEKRSVGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKAFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTESKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTVDPAKEKNPWMDEIHEFPVHVPKVETVGKTS >CAK8532354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249388319:249390046:1 gene:gene-LATHSAT_LOCUS2070 transcript:rna-LATHSAT_LOCUS2070 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLTDPKDKKLGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFCAHYYR >CAK8542176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485910758:485912011:-1 gene:gene-LATHSAT_LOCUS11039 transcript:rna-LATHSAT_LOCUS11039 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDILHLTVNKFLESTGLKINPSKSRVYFSNVSANVKCAILQLTSYQEGTFPFRYLGIQVTSKRLAIIHYMSLLDRLLSRITHWSSRLLSYAGRLQLIKSVLCAITTYWMQCIWFPKTVINRINAICRSFLWTGGNTISRKSPVAWDNVCKPHVKGGLNVMNLEIWNRMFMIKLLWNIFAKSDDLWVRWIHAYYLRHEGILTRTAKASDSGIFKTILLQRDNLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLILHNRARPRAIITLWMICHDKLPTKARLFRLGMLQNNKCGFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWALRNYGGKGWKSDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIVYRGWTSPKLRPHIARLLLP >CAK8542177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485910758:485912005:-1 gene:gene-LATHSAT_LOCUS11039 transcript:rna-LATHSAT_LOCUS11039-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILHLTVNKFLESTGLKINPSKSRVYFSNVSANVKCAILQLTSYQEGTFPFRYLGIQVTSKRLAIIHYMSLLDRLLSRITHWSSRLLSYAGRLQLIKSVLCAITTYWMQCIWFPKTVINRINAICRSFLWTGGNTISRKSPVAWDNVCKPHVKGGLNVMNLEIWNRMFMIKLLWNIFAKSDDLWVRWIHAYYLRHEGILTRTAKASDSGIFKTILLQRDNLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLILHNRARPRAIITLWMICHDKLPTKARLFRLGMLQNNKCGFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWALRNYGGKGWKSDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIVYRGWTSPKLRPHIARLLLP >CAK8576277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:442829927:442830991:-1 gene:gene-LATHSAT_LOCUS28479 transcript:rna-LATHSAT_LOCUS28479 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPPWLERLLSTKFFSPCQSHINAPRNECNMYCLRCTESFCFYCKQSWHNDHQVIQIRKSSYHDVVRVAEIQKVLDITGVQTYVINSARVIFLNERPQNQIKTTNAVISASKTNSHLCEICTRYLLDPFRFCSLGCKLVGIKKNGNASFVLSTKKEEEIGKMQERKLLSKEEEEEILIMREGIHKQQVYKSTSSPYSSQQAYSNSRRRKGIPHRAPLGP >CAK8534645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751886472:751887840:-1 gene:gene-LATHSAT_LOCUS4173 transcript:rna-LATHSAT_LOCUS4173 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRCCSKQKIKRGLWSPEEDDKLVTYITTHGHKSWSSVPKFAGLQRCGKSCRLRWTNYLRPDLKRGSFTAEEEHIIIDIHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLISQGLDPQTHNLLSSHKRNNSSYQNSNSIFILTSQMPPNATYLIETTNQTFSPPSIIQTPTIVSPNQYQTSFNVISNQLRVPEQKQARIGPENIPNICSSSFLDTTDVEMSRKEEGTHAQVEEEKEKMYEKGMDFDEVIEKGDIEMNNCFENSKFDFGLLESVLNSEFISHDLDYMDDFAWNF >CAK8572275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526008519:526008816:1 gene:gene-LATHSAT_LOCUS24858 transcript:rna-LATHSAT_LOCUS24858 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFSPNVSIYCIGFVNRNHWVQVNMKEPVTVDWKKFRSPAATSWILGFAGCLQHWQQLTPILPTHYEL >CAK8569397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699000076:699004261:-1 gene:gene-LATHSAT_LOCUS22253 transcript:rna-LATHSAT_LOCUS22253 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSPHFNTFSTLIPIPIPIPTQRHHHIILQSKLRRNFYLRPHCVLSPSAPSTALLEFDDNHFHAANTPWSYVTSQENFRVATSAESLLTNEDAVIAAAASEALALAKAAAKLAKDAALLVKDKPPQQQQQPVFRPQLPSTSENLLLKWLRHMEAQDAVAEGPQILEDVGTSSSQEEELDEEDPTSEELEEVEEELSGSIDARSGRQTERKARRVRASAKAATNFVSFKCGPIRRKKRAQTKDIDFSDPLRCLRATTRSSKLLTASEEVKLSAGIQDLLMLEKLQEDLAEKCGGPPTFSQWATMAGVDRKTLRKRLNYGVHCKEKMVKSNIRLVISIAKKYQGSGLTLQDLVQEGCRGLVRSTEKYDASKGFKFSTYAHWWIKQEVRKSLSAQSRIIRLPFHMVGATYKVKAARKQLFNENGRQPDDKEIAEATGLSMKRLHAVYLTPKAPRSLEQKIGIDQSLKPLEILADPEAETPEKHLIKQLLKKDIEKVLDSLHPREKEAIRLRYGLDDGRLKTLQEVGEMMGVSRERIRQLESRAFKSLKNKSRAKHLEHYINL >CAK8543524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614965115:614967941:1 gene:gene-LATHSAT_LOCUS12276 transcript:rna-LATHSAT_LOCUS12276 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPSDAPAADDFLEQILSLQTFASPDSGLTGPDISLTGTSPMMLQLNSSDANHHLAAGGSFHAPVYQLGLSLDQGKGGFLKPEEASGSGERFRNDVVHGRPNNVFHGQPMHTTVPAAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQDLVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEDGSEGGRNRPAWDKWSNDGTEKQVAKLMEENVGAAMQLLQSKALCIMPISLASAIYQSQPPDSSSIVKPETNPPSQT >CAK8530857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56563140:56565257:1 gene:gene-LATHSAT_LOCUS692 transcript:rna-LATHSAT_LOCUS692 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMKLGSKPEAFRREGQTWICTTGLPSDVTIEVGEISFLLHKFPLLSRSGLLKKMIAESTNDDCVIQLHDVPGGAKTFELITKFCYGVKLEISSLNVVSLRCAAEYLQMTENYGEDNLIGHTESFLNEVFSNWPDSIKALETCEEVQNFAEELHIVSRCIDSLAMKACSDPESLNWPQTGGNQQDQNEVLNDVSFESKPQNVSDNWWYYDVSYLSLPLYKRLILAIESKGMKSETVAASLIYYLRRFLPLMNRQSSFKDTNHATIPTTTEADQRGLLEEIVELIPNKRGVTSSKHLLRLLRTAMILHASPLCKENLEKRVGAQLDQASLVDLLIPNMGYSVETLYDIDCIQRILDHFMSIYQPASLAASPCITEVSALISGTDTLTPITMVASLIDGYLAEVASDTNLNLSKFQALAVAVPDYARPLDDGIYHAIDVYLKAHTWLRDSEREQLCRLMNCQKLSLEASSHAAQNERLPLRVLVQVLFFEQLRLRTSISGWFFVSENLENSQNPSGNLRLPKTCQEDSAERTENVRERLLELEKECSCIRKELQKLNKTKKGWSIFPKKFGFRRKTEYSNSKESDNVNTSSSMNENPNHESS >CAK8574925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15929748:15933398:-1 gene:gene-LATHSAT_LOCUS27223 transcript:rna-LATHSAT_LOCUS27223 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGPTSTSSNTSPRVAAGPTTTRRRVPDINNNNIDTEKQQHIQQQSFSDFSDLEPDDPVLLNTAHHHAHVHHHPHPITRYLLLRARILLCVLRLEQFFLWLSGSVQGIRSGKNVGRKIFAVLIVMVVMSVFFKVSFIGAGVEMNGKSIENGQLILQRFKEDWASAQRVVTETETETSMPKRVLERMATPEIWVKPNSDKYYQCVSRPRNRMKPSKTNGYLLVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHDSFWTDPSDFKDIFDWRHFMKVLKDDVDIVEYLPIRYASIKPFVKAPVSWSKASYYRSEILPMLKRYKVVQFTHTDSRLANNGLASSIQKLRCRANYHALKYTVEIEELGKTLVDRLRNNDEPYIALHLRYEKDMLAFTGCSHNLTTEEAEELRVMRYEVKHWKEKEIDSVDRRLQGGCPMSPREAAIFLKAMGYPSTTTIYIVAGPIYGGSSMTAFRAEYPNVFTHSTLATDEELEPFKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRSSFVNLIDRFDQGSLSWEKFSSQVKKLHTDRLGAPYLRQVGETPRIEENFFANPFPGCVCNKSEELITSQKLDQRFGILPQR >CAK8579091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673820621:673825227:-1 gene:gene-LATHSAT_LOCUS31062 transcript:rna-LATHSAT_LOCUS31062-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQVMDQRGDNFFDEISFHSERNVGLRKPKFMNVQHPQGVNGMIAPPGNTLNASSPFEVNAKSGFPMSQTNLSEGSVEKLPDIADVLKGSRESFHYNPQSWSDVSRQSAPTSHRLIGNKLVANNDVRRESSLFSSSLSDMFTKNLNLLGNDLLSDQPAASGSLLEEDPYKSLEEMEAHYIHNLLPDEDDLFSGVVDELEYNSHTRMNDDSEDFDLFSSGGGLELEGDEHLSSLKRATGIDGDNGFFGGSKGKLPFVEQPSRTLFVRNINSNVEDFELKTLFEQYGDIRTIYAACKHRGFVMISYFDLRAAQKAMQALQSRPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSVLNDELKHIFGFYGEIKEIYEYQEMKHLKFIEFYDVRAAEAALRALNRIEFAGKQIKLEPGHPRFATRLIQQSHKVQDERDLGQSIIDNLQLRQKPTLSSGVIDSAGMENGYNQRFQSAIRQQPLNGFADNGFFHANSNVQNALRGASVGKVSGVSESTNIVDAMKFASSPTAFHPHSLPEYHVSLSNASPYNFSSTIGNKVGNIGAGVTEVSNGRHIQGISSVGNLAEFNGGGSSGNGIRAHHGLNHMWSSSNSHQQSSPSNMPWQKTPPFANGSPGLPQMSSFARTPPHMLRTQHLDHHVGSAPVVTASPWDRKNSYMGESPEASGFHLGSPGNGGFHGSWQMRPMDFSPHNNMFSHVGGNGTELSSSAGQSSPNPLSHILYGRQHPATTVSKFDPTNDRMRNLYSRKSEANTVSLADRKQYELDLGRILRGEDIRTTLMIKNIPNKYTSKMLLVAIDEQCRGTYDFLYLPIDFKNKCNVGYAFINMTDPAQIIPFHQAFHGKKWEKFNSEKVASLAYARIQGRASLVAHFQNSSLMNEDKRCRPILFQTEGPNAGDMEPFPIGANVRVRPGKIRNAGNEENRVQAPPSTLASGEESANGNSD >CAK8579090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673820621:673825227:-1 gene:gene-LATHSAT_LOCUS31062 transcript:rna-LATHSAT_LOCUS31062 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQVMDQRGDNFFDEISFHSERNVGLRKPKFMNVQHPQGVNGMIAPPGNTLNASSPFEVNAKSGFPMSQTNLSEGSVEKLPDIADVLKGSRESFHYNPQSWSDVSRQSAPTSHRLIGNKLVANNDVRRESSLFSSSLSDMFTKNLNLLGNDLLSDQPAASGSLLEEDPYKSLEEMEAHYIHNLLPDEDDLFSGVVDELEYNSHTRMNDDSEDFDLFSSGGGLELEGDEHLSSLKRATGIDGDNGFFGGSKGKLPFVEQPSRTLFVRNINSNVEDFELKTLFEQYGDIRTIYAACKHRGFVMISYFDLRAAQKAMQALQSRPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSVLNDELKHIFGFYGEIKEIYEYQEMKHLKFIEFYDVRAAEAALRALNRIEFAGKQIKLEPGHPSLIQQSHKVQDERDLGQSIIDNLQLRQKPTLSSGVIDSAGMENGYNQRFQSAIRQQPLNGFADNGFFHANSNVQNALRGASVGKVSGVSESTNIVDAMKFASSPTAFHPHSLPEYHVSLSNASPYNFSSTIGNKVGNIGAGVTEVSNGRHIQGISSVGNLAEFNGGGSSGNGIRAHHGLNHMWSSSNSHQQSSPSNMPWQKTPPFANGSPGLPQMSSFARTPPHMLRTQHLDHHVGSAPVVTASPWDRKNSYMGESPEASGFHLGSPGNGGFHGSWQMRPMDFSPHNNMFSHVGGNGTELSSSAGQSSPNPLSHILYGRQHPATTVSKFDPTNDRMRNLYSRKSEANTVSLADRKQYELDLGRILRGEDIRTTLMIKNIPNKYTSKMLLVAIDEQCRGTYDFLYLPIDFKNKCNVGYAFINMTDPAQIIPFHQAFHGKKWEKFNSEKVASLAYARIQGRASLVAHFQNSSLMNEDKRCRPILFQTEGPNAGDMEPFPIGANVRVRPGKIRNAGNEENRVQAPPSTLASGEESANGNSD >CAK8579092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673820621:673825227:-1 gene:gene-LATHSAT_LOCUS31062 transcript:rna-LATHSAT_LOCUS31062-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQVMDQRGDNFFDEISFHSERNVGLRKPKFMNVQHPQAGVNGMIAPPGNTLNASSPFEVNAKSGFPMSQTNLSEGSVEKLPDIADVLKGSRESFHYNPQSWSDVSRQSAPTSHRLIGNKLVANNDVRRESSLFSSSLSDMFTKNLNLLGNDLLSDQPAASGSLLEEDPYKSLEEMEAHYIHNLLPDEDDLFSGVVDELEYNSHTRMNDDSEDFDLFSSGGGLELEGDEHLSSLKRATGIDGDNGFFGGSKGKLPFVEQPSRTLFVRNINSNVEDFELKTLFEQYGDIRTIYAACKHRGFVMISYFDLRAAQKAMQALQSRPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSVLNDELKHIFGFYGEIKEIYEYQEMKHLKFIEFYDVRAAEAALRALNRIEFAGKQIKLEPGHPRFATRLIQQSHKVQDERDLGQSIIDNLQLRQKPTLSSGVIDSAGMENGYNQRFQSAIRQQPLNGFADNGFFHANSNVQNALRGASVGKVSGVSESTNIVDAMKFASSPTAFHPHSLPEYHVSLSNASPYNFSSTIGNKVGNIGAGVTEVSNGRHIQGISSVGNLAEFNGGGSSGNGIRAHHGLNHMWSSSNSHQQSSPSNMPWQKTPPFANGSPGLPQMSSFARTPPHMLRTQHLDHHVGSAPVVTASPWDRKNSYMGESPEASGFHLGSPGNGGFHGSWQMRPMDFSPHNNMFSHVGGNGTELSSSAGQSSPNPLSHILYGRQHPATTVSKFDPTNDRMRNLYSRKSEANTVSLADRKQYELDLGRILRGEDIRTTLMIKNIPNKYTSKMLLVAIDEQCRGTYDFLYLPIDFKNKCNVGYAFINMTDPAQIIPFHQAFHGKKWEKFNSEKVASLAYARIQGRASLVAHFQNSSLMNEDKRCRPILFQTEGPNAGDMEPFPIGANVRVRPGKIRNAGNEENRVQAPPSTLASGEESANGNSD >CAK8563978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643540733:643543583:1 gene:gene-LATHSAT_LOCUS17330 transcript:rna-LATHSAT_LOCUS17330 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWSLLIVLFCVATAAAGFSFHDSNPIRMVSDAEEQLLQVIGESRHAVSFARFANRYGKRYDSVDEMKLRFKIFSENLELIRSTNKRRLSYKLGVNHFADWTWEEFKSHRLGAAQNCSATLKGNHKITDANLPDEKDWRKEGIVSEVKDQGHCGSCWTFSTTGALESAYAQAFGKNISLSEQQLVDCAGAFNNFGCSGGLPSQAFEYIKYNGGLETEETYPYTGSNGLCKFTSENVALKVLGSVNITLGAEDELKHAVAFARPVSVAFEVVYDFRLYKSGVYTSTACGNTPMDVNHAVLAVGYGIEDGIPYWHIKNSWGGDWGDHGYFKMEMGKNMCGVATCSSYPVVA >CAK8530811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51695332:51695958:-1 gene:gene-LATHSAT_LOCUS651 transcript:rna-LATHSAT_LOCUS651 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFNSRMSPSKTLSLNDCLTIIIDYTRLIISSTSNDPKNLTFRLITPNFPKFEIPLDILCNNNDINNQCLYEIFEALPNYLMNLVIRDMRDCARKMVQRGKLEAVNLALRWVNSHIGEEDEFDLNHAYHNDQQIVGLSSNLEVDITSDSKDQCSICFEEFCNGSETELFYTKCSHIFHKMCIAKWICQCVTHTHIYSCPLCRCEIM >CAK8531324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100823711:100824391:-1 gene:gene-LATHSAT_LOCUS1125 transcript:rna-LATHSAT_LOCUS1125 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKIHPLVFRLGATQSHYSIWFAKPTMYSENVKEDKIIRYCINNFIQKTVPESCEFETDISSYGMGRIKIQKRIDLIKVIIYMGLPDSLKEKVKDLRVEQLKTQVQKKVTCGNRELDLEITKLPSPYLYRDAKIVAEFLSELLRNRISFRKAMQKGIELAEQADTKGVQIKIAGRMEGKEVARVEWMREGRVPLQTIRAPMDFCSVPPVTLFGVFGVKIWIFQNF >CAK8531325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100823711:100824310:-1 gene:gene-LATHSAT_LOCUS1125 transcript:rna-LATHSAT_LOCUS1125-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSENVKEDKIIRYCINNFIQKTVPESCEFETDISSYGMGRIKIQKRIDLIKVIIYMGLPDSLKEKVKDLRVEQLKTQVQKKVTCGNRELDLEITKLPSPYLYRDAKIVAEFLSELLRNRISFRKAMQKGIELAEQADTKGVQIKIAGRMEGKEVARVEWMREGRVPLQTIRAPMDFCSVPPVTLFGVFGVKIWIFQNF >CAK8537517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:382798576:382799520:-1 gene:gene-LATHSAT_LOCUS6806 transcript:rna-LATHSAT_LOCUS6806 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRIKQHLAGVLGNVEICKSVSTEIHFQMNQYLNEWSKKRKTPDVTESESFSVEGGELQMQMHPRISASKKNDARIGTYFLPRTTPGAQPTLKSVMQSKEVVEKYDLAIAKWFIDASIPFNAANSPYFQPAVDALCCMGVGYKVPTMHALRGNLLNKWVDDVKIQLEQYRSIWKDTGCTLMADGWTDRCRRTLINFLVYCPKRTVFIKSVDASGASKTADTLFNLFKEVVLYVGPENVVQIVTDNAANYVAAGN >CAK8541587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:273480490:273515446:-1 gene:gene-LATHSAT_LOCUS10497 transcript:rna-LATHSAT_LOCUS10497 gene_biotype:protein_coding transcript_biotype:protein_coding MAECVTSPFCFKLHTQIRPFTERGYSHQTTNFKTVQRRYSRNGCECFHFSTQKTFEPSVFRAPDLKMSHSLRTFPLNCNGIGALVDFDGSTVSSLVPVVNQVLLMGSILLTYMAGVVPINKSYISDQNINSIKNVLRESSDISGSAMKQNDQVESKYVLDVVREKLLNSLKSLENEAYTGDTILQYAKRPLSLNAVAEGPKLRLLWAAFLQIEEEVNNNSSISRSVGMDGLFKVFSEFIQRSCHSICATWLEKEFFLVKSNTDKEFLSLILEKVKGDNAITQNITRSGKKDLYSELLWYLTFSLLRDDCCYDFSIFAAHGVSILEDLVIALADGVASVYLEFISVDSKVSSKTNSWDMSLCAMSTRELQKLRNEVALNQWLHHNMDTVVSMYEDRFDLCTLEKQPINLPDSSQAEKQSWWRILTKQPSKTMSHELHCIVISHFSMPVKRTKELRALIGWRYYFSLLLELSDIAMPIVRKVINKASEAISFFLVSLIGRSVGLIYTGIRQSLKWK >CAK8539393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511253724:511256261:1 gene:gene-LATHSAT_LOCUS8493 transcript:rna-LATHSAT_LOCUS8493 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8560273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12811833:12812189:1 gene:gene-LATHSAT_LOCUS13961 transcript:rna-LATHSAT_LOCUS13961 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKKFKRMLRSRKSIYPDKTCSGSYAKLSSDTSRKKLKDSHKKTPNGCVCVDVGPERQRFVIKIKIFNHPLFKTLLEGVENEYGYRNDGPLWLPCDVEFFCETLVKIESVFPKSHT >CAK8541302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:109114550:109116022:-1 gene:gene-LATHSAT_LOCUS10232 transcript:rna-LATHSAT_LOCUS10232 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLMDSYVERMQATTRKWYLNILEADKTQPPKKTEDGKLYTPSAVDLFKILGEQVQIVRDNSTDVMLYRISLATFQVMIDFQAAARKECFEAAQAGENGIGSADQMENGGEDEDKDDPLSDGHYGYPKAESYKWASCIRVLDPRTGNTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFTPKRSLAAGFIHIYRFLEDGRSLELLHKTQVEGVPLALSQFQGRLLAGIGPVLRLFDLGKRRLLRKCENKLFPNTIVSIQTYRDRIYVGDIQDSFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTLASADKFGNIYFVRLPQDISDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVITCLQKASLIPGGGECILYGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQDNPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >CAK8535576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864286565:864288011:1 gene:gene-LATHSAT_LOCUS5022 transcript:rna-LATHSAT_LOCUS5022 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNQNQNLGRMFSNPLSEIQTNTTRRSCSNVTDNKENTNVNVFPSLPKSKSLSTRIVKPSSLQFCMQINDSSHFSNSLKIWDYSDSEAAPASSWSTLPNKSLICRPLPIDIGRCTCVIVKEPIPQGLSATTFFSLYTYEGQGRQNRKLAVACHRRRRNGKSQFTVAQNVKGLLSYSDETFLGTVTANLTGSKYNIWDQKHLPNSKSNSNQSNSKQPLAVVEYVPTIPTCTGTHRSIKAYIPNHQSMSFKNTSQVQHIKGLPLNWKGKLDKVHQLYSKDPLYNKSTKQFELDYRDKGRTGLVIQKSVKNFQLTLEENGKQIILQLGRVAKSKFVMDYRYPLTGYQAFSICLASIDAKLCCIV >CAK8577802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589446267:589447010:1 gene:gene-LATHSAT_LOCUS29873 transcript:rna-LATHSAT_LOCUS29873 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLVAKSNWNIPDQCLELFVKMMLDSTPTKDNLPTSFYDAKRLVSKLGLKVRKIECCISGCMMFYDNEFGTNDEALEECKFCKSPRYKVRSKAIDRKQKRVAVKSMFYLSIISRLKRMFASMHSASQMTWHHTNKISSSTMRHLYDGEAWKHFDHIHTDFAAEPRNAGIGLCSNGFAPYVQVSGSGYSCWPVIITSYNLPSEMCMTKPYMFLTCIIPGPSSPKVGIYLYLQSLIDDLKRLWIVE >CAK8568085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:571771412:571772393:-1 gene:gene-LATHSAT_LOCUS21081 transcript:rna-LATHSAT_LOCUS21081 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNSKLINSNGGDVLPAKIRPLLGQKIEEFKKRRSNVQEEDTELSKKQLLKDNDGTSHEQSSDQNEVSKETQLKKEQPTVVRAVAVEKLSQVVPLPVSGCGEKKEKEDEEQKEQKDHDGKIDKDVETKNAKVKSELSVQENIHEKHDMEDEEREEEEEDEDEDEDKDDIGRRIGPGSPSFKIYCVESDEKKEQELNDTNAGNEQILEKVDENTVVHNKSQSTDSDESEEASESENVSNPNEVVKETTSKKKGHHKRKKLEAMKKNLLNVKNLQKNRMNRMMGCAGNDRRSLIADN >CAK8578749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651149311:651151698:1 gene:gene-LATHSAT_LOCUS30735 transcript:rna-LATHSAT_LOCUS30735 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVISILLVIFTVATPVTEAKKCSFPAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFHTPVGRFSDGRLIVDFIAQDLGLPYLSAYLDSIGSNFSSGANFATAGSTIRPQNTTRSQSGYSPISLDVQLVQYSEFKTRSINVRKKEGLFKKLLPNEEYFSKALYTFDIGQNDITAGYKLNMTTEQIKAYIPDVLNQFSSVIRSVYKEGGRSFWVHNTGPLGCLPYMLDRSPMSSADMDDFGCAKPFNEIAQYFNQKLKEAVVKLREELQEATIVYVDVYKVKYALISHARKYGFEKGVIACCGKGGKYNFNNVARCGATKIVNGKKILIAKSCKDPSVRIIWDGIHYTEAANNWIFQQIVNGNFSDPPVSLKMACHDQL >CAK8537030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64251545:64253682:-1 gene:gene-LATHSAT_LOCUS6349 transcript:rna-LATHSAT_LOCUS6349 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSNCVRKSLQIASSSAKTLISRRSPLPSSSNPNKFNASASSFQASPHKRSFSNSWLPVQLAGAQVSLTPLHSATASALFTSLLSLHNNNWGCLSEGFATPL >CAK8535347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:841903496:841904803:-1 gene:gene-LATHSAT_LOCUS4813 transcript:rna-LATHSAT_LOCUS4813 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKRSYDQQQQQIVQQVKNSGMINNIQSPRRDEREEEMSRSVLAMFRAKEEEIERRKLEVRDKVHAHLGRVEKETKRLAEIREEIEGFTDPMRKDVAMVRKKIDMVNKELKPLGQTCQKKEREYNEALEAFNEKNKEKAQLVTKLVELVTESERVRMKKLEELSNNIDILH >CAK8578030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603197413:603222867:1 gene:gene-LATHSAT_LOCUS30076 transcript:rna-LATHSAT_LOCUS30076 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAISVTTTAQMVLFRAFHRHLSRAPHRSFLRRKLKCAVTSYNNRFRIPCSSTSLPLSPSLYTFHAQLSRTPCRTRCLSSSAASFASSGGSGNGGAGAGNGGGGGGSGGEFGDASIKLIGDTAQELSTLSPDAIILDVSGMVCGGCAASVKRILESQPQVSSVSVNLTTETAIVWPVSEAKTAPNWQKQLGKTLAEHLTSCGFNSCLRDSTRENFLQIFERKMEERNKQLKESGRELAVSWALCAACLVGHLSHLFAAKAPWIHAFHSVGFHVSLCLLTLLGPGRQLILDGLKSLFKRAPNMNSLVGLGALSSFTVSSFAVLLPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSMLPSKARLLVNNGETEVASVVEVPSDSLSVEDQIIILPGDRIPADGIVRAGRSTVDESSFTGEPLPVTKELGSEVAAGSINLNGTLTIEVRRPGGETAIGDIIRLVEEAQSREAPVQRLADKVAGYFTYGVMGISVTTFTFWSAFGSQIIPSAVYQGSTVSLALQLACSVLVIACPCALGLATPTAVLVGTSLGAKRGLLLRGGNILEKFAMVNTVVFDKTGTLTIGKPVVTKIVTPTCIENANSSQTKINALSDIEVLRLAAAVESNSVHPVGKAIVDAARAVNCHDAKVVDETFLEEPGSGVVATVNNKKVSVGTLEWITRHGVNNSIHQEAEYKNQSCVYVGVDDTLAGQIYFEDEIRKDARHVIDTLSKQDIGVYMLSGDKRNAAEYVASLVGIPKSKVISEVKPEEKNNFIKELQKDKKVVAMVGDGINDAAALASSHIGIALGGGVGAASEVSSIVLMHNHLSQLLDALELSRLTMNTVKQNLWWAFVYNIVGIPIAAGVLFPVNGTMLTPSIAGALMGLSSIGVMTNSLLLRFKFSLKQKQMHGTLPKIKTYVESDLARRNQKTKYPN >CAK8534871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779628200:779631450:-1 gene:gene-LATHSAT_LOCUS4376 transcript:rna-LATHSAT_LOCUS4376 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVSGIIFIVLTLALICAWKVLNFLWLRPKKLEKLLREQGLHGNSYRILVGDVKDLFKMEKEAKSKPMNLSDDIIPRVSPYLQKSLKTHGKNSFIWFGTKPRVILSKPEQIKDVFNKISEFPKRNNKIFKSLVSGLASHEGEKWSKHRRLINPAFQIEKLKIMTPSFLKSCNDLISKWEELLSSDESCEINIWPSLQNLASDAISRTAFGSSYEEGKRIFELQRELAELIMKDIVKSFIPLWRFVPTTVHRNMDEIDRDIQSSLKDIINKREKALGESTTNDLLSILLESNHKEIGENTHNKNVGMTLDDVVGECKLFYFAGQETTSVLLVWTMILLSRYPDWQDRARKEVLQIFGNKKPDFDGVNNLKIVTMILLEVLRLYPPVIGLARQIEKDVKLENLVLPAGVEVFLPIILLHHDCELWGDDAKKFNPERFSEGVLKATNGRYSFFPFGGGPRICIGQNFSMMEAKMAITRILQHFSFELSPTYTHAPSTMITLQPQYGAQIIIRKVET >CAK8544571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693356254:693358608:1 gene:gene-LATHSAT_LOCUS13236 transcript:rna-LATHSAT_LOCUS13236 gene_biotype:protein_coding transcript_biotype:protein_coding MSKREMSRMKQSSPVPLATLIGRELRNGKVENPFVKYGQAGLAKKGEDYFLIKTDCQRVPGDPSTAFSVFAVFDGHNGISAAIYAKENLLNNVLSAIPQDISREAWLQALPRALVVGFVKTDTEFQKKGETSGTTVTFVLVDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNAVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSNAGGRLIIASDGIWDTISNDTAAMSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPKKKHNILTALLFGKKSENSTNKATSKLSAVGVVEELFEEGSAMLIERLGKDFPFNKNAEIFRCAICQVDQPPGNGLSVNSGPFFSPASKPWEGPFLCTNCRKKKDAMEGKRPSSHTVTAQ >CAK8562719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531228898:531229915:1 gene:gene-LATHSAT_LOCUS16192 transcript:rna-LATHSAT_LOCUS16192 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTVTSRFHESFTRSALLSYLAEFISTFFYVLIVVGSGMSSRKLMPDASLNPTSLIVGAIANAFALSSVLYIAWDISGGHVNPAVTFAMAVGGHISVPTALFYWVAQLIASVIACLFLKVVVVGMHLPTYVIAEEMTGFGASILEGILTFVLLYTIYTARDTRRGQVSSTGTLVIGLIAGAGVLSAGPFSGGSMNPACAFGSACIAGTFRNQVVYWVGPLVGVVVAGLLYDNVVGAKVNK >CAK8533881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666667812:666670427:-1 gene:gene-LATHSAT_LOCUS3469 transcript:rna-LATHSAT_LOCUS3469 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKTKNQINQESNNHTNMDTNNNHLRFQDADEVIEDAAETSNLDQSMGGCELDDSNDKTSADYYFDSYSHFGIHEEMLKDTVRTKTYQNVIYQNRFLFKNKVVLDVGAGTGILSLFCAKAGAEHVYAVECSDMADMAKQIVETNGYSKVITVLKGKIEELELPVPKVDIIISEWMGYFLLFENMLNSVLYARDKWLVDDGIVLPDIATLHLTAIEDKDYKEDKIEFWNNVYGFDMSCIKKQALSEPLVDTVDQNQIATNCQLLKTMDISKMSSGDCSFTAPFKLVASRDDFIHALVAYFDVSFTKCHKLMGFSTGPRSRGTHWKQTVLYLEDVLTICEGETIVGSLTVAPNKKNPRDVDIMLKYALNGRRCNSSRVQYYKMR >CAK8572742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559640933:559641253:-1 gene:gene-LATHSAT_LOCUS25271 transcript:rna-LATHSAT_LOCUS25271 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGYGSDHGPYGSDRRVEIVSGKSYGFSQSYYASRTELSGETTQASHGGAAPVAKPWSFGDAKTKRRKRIARYKVYAVEGKVKATLRDGIRWIKHTCSRIVHGY >CAK8532721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498662598:498663266:-1 gene:gene-LATHSAT_LOCUS2399 transcript:rna-LATHSAT_LOCUS2399 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASLAGSIGFKISFHLLPGVSSISWNIWKFRIVCLKSRDFSSSSVTASYIPITSCRVKIPRLEYCSFADGSAIKINDEKIGHTTIAHCETSQNSDEVLAGLLFRDIEEGSEQMEEVRELTVPQMEDSREQDFIRLDKSINDVEQSAVKLLAFRTLTAVELRKDLLSKRFSPNAVEAVLNKLHRQGFINDKLYAGSFSQYRMVFIYLGSETDQTSPVQEGS >CAK8560043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5991258:5996069:-1 gene:gene-LATHSAT_LOCUS13760 transcript:rna-LATHSAT_LOCUS13760 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGILTDWPWTPLGSFKWVILTPFVANSTYSFLINSPEEKDLSNFLIFPYMMIRMLHDQIWISLSRHRTAKNRIVDKGIEFEQVDRESNWDDQILFNALIFYIGQMLVPESRKLPIWRTDGVVMTILLHTGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFVLFAIPLYTTAITKTASIASFAGYLAYIDFMNNLGHCNFEFIPNTIFTIFPILKYTMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTVDKASETLYEKSLNKEDGTPDVVHLTHLTTPESIYHLRLGFSSLASSPQSSAWYLYFMWPFTFWSVLVTWFYGQTFVLERNTFETLNLQSWVIPRFHVQYLFKWQRETLNKLIEEAILQAELSKVKVLSLGLSNQGDLLNRYGELYIKRYPQLKIKIVDGSSLGVAIVLNSIPKETNQVFLCGRLDKVSYAIVNALCERGTEVTTMYRDDYENLLLRLSLESKNNLVFPGSNSAKIWLVGDQCDEVEQKKAPKGTLFIPISQFPPKKLRKDCFYFSTPAMITPPSLANVHSCENWLPRRVMSAWRIAGILHALEGWDVHECGEVMFSTEKIWQASLQHGFRPLKINHPLA >CAK8536078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905368209:905370407:-1 gene:gene-LATHSAT_LOCUS5479 transcript:rna-LATHSAT_LOCUS5479 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFETTFKFDDNGNLFFLDDPIPSTDSYFYLPHVPPPDFPPSSSNSNSDPLVSPATHSSIEDTDFSETVKYISQILMEEDFQQKPCMCYDPLSLQHTEKIFFDALDSKLPLSPNQHPLDVIEIPDRNCSGTDSGNSSGSNELKPLSPDTPVSGDSGFDSVSYVKSQFVGLNSSSSNNNNISDGVLDLDSSETKLLAQNIFSDADSMLQFRRGLEEASKFLPQKPQLFTGFESVKTSLVSQEAKGKVGVIKMEDSVRENSSSSNLSSYGLLKSRKNHERQGSDDEEGRSNKQSAVRVEESEISEMFDRVLLSVENVPLCAEQKDGSVMESSAHVGELDGGKARSKKQGRKRENVDLRTLLVLCAQAVSGNDNRTANELFKQIRQHSSPSGDASQRLAHYFANAIEARMVGAGTGTQILYMSQKMINASDYLKAYQVFISVCPFKKFAHFFANKMILKTAEKAETLHIIDFGILYGFQWPILIKFLSERDGGPPKLRITGIEYPQAGFRPAERIEETGRRLANYCQRFNVSFEYKAVPSRNWETIQVQDLNIKSNEVVAVNCLVRFKNLHDETIDVNSPKDAVLKLIRKINPSIFVQFIVNGSYNAPFFATRFKESLFYYSALYDMFDTLIPRKNEWRLTIERELLGREIMNVVACEGFERVERPESYKQWQVRNLRAGFRQLPLDKEIMVKFRGKLREWYHKDFVFDEDNNWMLQGWKGRILYASSCWVPA >CAK8570560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60680834:60697025:1 gene:gene-LATHSAT_LOCUS23298 transcript:rna-LATHSAT_LOCUS23298 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQFRYALSPHSTNPRFSRTFPPHFHFPRNSRFISFSRLNGSRRLSRRKQGFSICFSSKTGSQIGTVPIEKHDERPPFDINLAVILAGFAFEAYTTPPENLGRREVDAGGSKTIYLSEEFFRELYDGQLFIKLKKGSSFPAMDPWGTSDPYMVIQMDSQSAKSNIKWGTKEPTWNEEFTFNFKRSSNKALQVAAWDANLVTPHKRMGNAVVDLEWLCDGDTHEILVELEGMGGGGEVWLEVKYKTFEEIDDEKKWWKIPFVSDFLKKNGFDSALRKVIGSDTVQVSQFVEYAFGQLKSFNNEKGQMSDNDKYDIESSEESNESAFMSKTPSREAGSSEFSSEQRNTEEFLSCDSETGNEHALEPSPQASDEELSNQRFWMNFSNVINADIVQKLGLSVPQKLKWDGLEFLNKFGSQSQDIAEDVYIQSGLAMPGGTEESDNKTSGQPAIAAIQSSLPEVKKVSEKLMKQTESILGGLMLLTATVSKMRDEGRSSEERKTKDDSSKGVGSDVQYSTSEKSPSLEKRSLLDDKQTEEMRALFSTAESAMEAWTMLATSLGHPSFIKSEFEKICFLDNASTDTQVAVWRDSVRRRLVIAFRGTEQTAWKDLVTDLMLVPAGLNPERIGGDFKQEVQVHSGFLSAYDSVRTRIISLIRLAIGYVDDYSEFTHKWHIYITGHSLGGALATLLALELSSNQLVKRGAISITMYNFGSPRVGNKRFAEVYNEKVKDSWRVVNHRDIIPTIPRLMGYCHVNQPLFLAAGVPTNSLENKDILGDGYEGDVLGESTPDVIVNEFIKGEMELIEKLLQTEINIFRSIRDGSAYMQHMEDFYYITLLENVRSNYQVASRSQDVNTSLS >CAK8533842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664515323:664518040:1 gene:gene-LATHSAT_LOCUS3433 transcript:rna-LATHSAT_LOCUS3433 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDEKQQKPSYTYWVRKITEDAAPLPVHNKIDATTPTSHSQLGSAWNRAGTWEEKSLNYWAIPRIKELLISVGSLPFSSGRAEVEDVTKCVGDALVVVVRNKKRVSFTYELSLKVKGEWIIQGNKKLVGGHIDVPEISFGELDDLQVEVRLNDASDIAPQDKVEIYNDLKLFLQPIREKLLQFEQELKDR >CAK8577305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553952700:553953245:-1 gene:gene-LATHSAT_LOCUS29425 transcript:rna-LATHSAT_LOCUS29425 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLMNYIKQKYGNPPVFITENGMDDPNSKLISVDEALKDEKRIRYYSGYLSYLQTAIKDDGCNVKGYFAWSLLDNWEWAGGYTSRFGLYFVDYNDKLKRYPKQSVQWFKNFLKPAK >CAK8530540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28456734:28457453:-1 gene:gene-LATHSAT_LOCUS398 transcript:rna-LATHSAT_LOCUS398 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKRGRGRPKATVSPSPENLTCSKTPERESSNTTVAGQTSENTSRTTIGEDKAITNTLVKENKETLTEPIQPQHEERKPWVDVINDNRNPARGMTIEYVAPKLINGVFEINIEQEDIETEIQFWDNALILYVVGDGLSMNTVKNFMQRMWNFVKMSDLYYHDDGYFLVRFSSQEDKEAVMIKGPYMIQNMPVILKEWQTGFDLKKDLLRTLPIWVKLRQHGGPKVLAKLEVLLANHW >CAK8538130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465362804:465367541:1 gene:gene-LATHSAT_LOCUS7364 transcript:rna-LATHSAT_LOCUS7364 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSQLPSPASLSAEHGLPPQLIPTPNYKNLLIQFTQRANIAMPEFRSHNEGASHAPEFRCSVLADGLVFTLPITFFHLRAAEQEVSRVALEYLVKKTKDEGRSIISKSVTFCKGMLHEYAIKLNVKPPTYNTVEYKKQFPYFVCTMDFNCTSYIGEAARRKKEAEDLAARAAILSILDISDSGIMLVQMIKAKAMLFKSVQSKTLQPTCDNVIVSPLEKTALSLELVQELTDENKGIADPAGNDNIETARSEPGQVVSTHQQPEMPKPESAPEAAKSPNGSEQPDSALPVDNAVSAKKRRRNNYKANKKARMEAELKALSSSQVDPPSVAQ >CAK8561128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:98945974:98948209:1 gene:gene-LATHSAT_LOCUS14744 transcript:rna-LATHSAT_LOCUS14744 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYYGCGTNDFVVPGDQDLLATHPSPENWSKWGINAPEGYNSPKNFMSMDSNTTDTEFNFDGESFSNRVKFESSSYEKAQSSSSSVCEGLTDQSFQQTSLSHHHRRQMNYQLQELSSSFEQTDDIFLDSILEDFPCAENLNKSFYFYPENQCSNSPGGLQRDMAASEFLSCNSNSDDCLNIETLQILDHSEQFNGDESMHTHSSIEESTLQNLEAIISQFSDKTRISFRDALYRLARDTKQQHAVDDLDGDISMQEALRSDNKQPMESETNSVDRAVANLMFNGTAYCEC >CAK8566139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384568104:384569028:-1 gene:gene-LATHSAT_LOCUS19300 transcript:rna-LATHSAT_LOCUS19300 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNPHFSSSHGECTVTLEDVHMLLGLRVNGSAVVANTNVNYELVEQLLGVPLERGDRKGQSLKITWLKRIYGNLNITNESPEEQKIYKTRIYLLLLFACFLFPDTNGNTIHLQYLPLLEDLREVSNYSWGAATLAHLYRNLCRCAMKNVHNFAGCGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKILHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTSIISFTIVEMHHSDRVKL >CAK8541277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:103299504:103300235:1 gene:gene-LATHSAT_LOCUS10209 transcript:rna-LATHSAT_LOCUS10209 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFTGERQSTKMKIQYLKAALKQDIQFFDTEVRTSEVVFAINTDVVMVQDAISEKLGNFIHYMATFVSGILVGFTAVWKLALVTLAVVPMIAVTGGIHTTMVDPSSTSNTTFDDFRTMRDKDFQHSQDCMVGNLSSSQDGQSQITSANLAKLDAFLCEITQAVSSSSHVDFDESSFLQNNNSWKQVAAPIRTYTNVQKAGSVGRSIDVTTFKNYQELIRAIECMFRLNGLLNDTKVQNGES >CAK8530379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17652142:17654538:-1 gene:gene-LATHSAT_LOCUS247 transcript:rna-LATHSAT_LOCUS247 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRAITIASSSTYSLLTTNKPFLGPRALRLNNLKVSSRPTRSYTCKAIYYPEVTVKEDGQPQTLDYRVFFHDKSGKKVSPWHDVPLQLGDGVFNFIVEIPKESSAKMEVATDEPFTPIKQDIKKGKLRFYPYNIHWNYGLLPQTWEDPTLANDEVEGAFGDNDPVDVVEIGESQRKIGEVLRVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDIDDVENHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKAVNKDYALKVITETNESWSKLVKRSIPAGELSLV >CAK8568518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613506690:613507214:-1 gene:gene-LATHSAT_LOCUS21466 transcript:rna-LATHSAT_LOCUS21466 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFIPKNGAKVKKTVNCGVHVKGLAEGGKDDFYGIIKHIYELDYFGLKEKIPLFYCEWFDPTKNTGTKVHPQYKTVDIKMDKRYCPYDPFVLAQNARQVYYVPYPKMCRDMRGWCAEITTKPRGHVMIDNIEDEMPYQSDGLLPVLPTIEIESISCLRDDTQVDVFEEIFDT >CAK8576005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:383940304:383941161:-1 gene:gene-LATHSAT_LOCUS28224 transcript:rna-LATHSAT_LOCUS28224 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIISKGNKGNHPIKFKEHFKRELRQWEDIDRRFAQNFQTIEASTSETAGDTEAPSFETTGDHQSPNIEYSTSGAYGIPVVEETNIQLEQNEVELNDNVEIVNDIGDENAGRDGFRRVRRRGRDIATPPAPLTSDRRERKKPDKFTHSTDHVKAIRAKTKRKSMIQILFSIRKKCGISFRKSNTILGPGLHRMHI >CAK8543552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619225044:619225370:-1 gene:gene-LATHSAT_LOCUS12302 transcript:rna-LATHSAT_LOCUS12302 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLPSIINLSQATFVPGQVIHNHIMLTYELLKGYTRKGGTPRAMIQLDLQKACDMVDWHALETVLREMGIRSRFTSWIMKMKSTVAYNFTVNREPTAVMQAKRGIK >CAK8530515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26875835:26877623:-1 gene:gene-LATHSAT_LOCUS373 transcript:rna-LATHSAT_LOCUS373 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGGEDRDGEGVMMAEDFFWSYTDEPHASRRRLILSKYPQIKELFGPDYSALFKISVVVVLQLGTAIFLKDAGWLKILLVAYFFGSFLNHNLFLAIHEMSHNLAFSSPACNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGVDMDIPSLTEAHVVTNIFAKTIWVFLQLFFYALRPLFLKPKPPGLWEFMNFSIQIALDVSMVYFWSWKSLAYLILATFLGGGMHPMAGHFISEHYVFKPEQETYSYYGPLNLLTWHVGYHNEHHDFPRIPGHKLHKVKEIAPEYYDSLSSYKSWSQVIYMYIMDSTVGPYSRMKRKRSKNE >CAK8543732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635310650:635310964:-1 gene:gene-LATHSAT_LOCUS12472 transcript:rna-LATHSAT_LOCUS12472 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCMFLLVLALVVVAATSARDVPSDAGLKDQKNFMTYGGGFYGLGNNGLPFGGIGAGIGGDLGGGGGLGGAGGLGGLGGLGTGIGGGVGTGVGGGSGVLPSP >CAK8534540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740027867:740033208:1 gene:gene-LATHSAT_LOCUS4081 transcript:rna-LATHSAT_LOCUS4081-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKSKVSMSCLAERNDLQICPKSKVISKNSCSKIVISAQEVEVDTTIQNCINVSSRMLPKDIATDQSNDRKEALDYENSDSQHQFSELTNPCTENLETIFSPAFEPIEVHSQHYTEKGSFGDTNMEGVGADEGRNICGFETCDVSDFYISDMIITNLPFCGNSLDDDVSETNYLSDFGSTEPSVVCASEQYTTLPACEDDAKIRCTPDSMSCGEGIVVRESASLYSAIAQIRSCNQESDVKDDLDKEECFDPQSFIKSLPELSEVELNDQPTLFPKQSPRRKSVTLVLDLDETLVHSTLEHCDNADFTFNIFFNMKDYTVYVKQRPFLHTFLERVSEMFEVVIFTASQSIYAKQLLDVLDPDEKFISRRVYRESCLFSDGNYTKDLTILGVDLSKVVIIDNSPQVFRLQVNNGIPIKSWFDDPLDCALMSLLPFLETLAEADDVRPIIAKRYGNKE >CAK8534541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740027867:740033208:1 gene:gene-LATHSAT_LOCUS4081 transcript:rna-LATHSAT_LOCUS4081 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKSKVSMSCLAERNDLQICPKSKVISKNSCSKIVISAQEVEVDTTIQNCINVSSRMLPKDIATDQSNDRKEALDYENSDSQHQFSELTNPCTENLETIFSPAFEPIEVHSQHYTEKGTGSFGDTNMEGVGADEGRNICGFETCDVSDFYISDMIITNLPFCGNSLDDDVSETNYLSDFGSTEPSVVCASEQYTTLPACEDDAKIRCTPDSMSCGEGIVVRESASLYSAIAQIRSCNQESDVKDDLDKEECFDPQSFIKSLPELSEVELNDQPTLFPKQSPRRKSVTLVLDLDETLVHSTLEHCDNADFTFNIFFNMKDYTVYVKQRPFLHTFLERVSEMFEVVIFTASQSIYAKQLLDVLDPDEKFISRRVYRESCLFSDGNYTKDLTILGVDLSKVVIIDNSPQVFRLQVNNGIPIKSWFDDPLDCALMSLLPFLETLAEADDVRPIIAKRYGNKE >CAK8536299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:928021421:928022626:1 gene:gene-LATHSAT_LOCUS5683 transcript:rna-LATHSAT_LOCUS5683 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVMGYTIYGMPPPSSGTLALSLVLNILDSYGSLDAAKGNLGIHRVIEALKHMFVIRMNLGDPSFENVSETVSEMLSPAFAQTIQRRILDNTTFPPEYYMERWSQLNDHGTSHLCVVDADRNTVSLTTTVNKEFGAGIRSTSTGIVINDEMDDFSIPTDISPGKLPPAPTNFIKPNKRPLSSMTPIIITKDGQLVGVIGGSGGRRIIPAVTQVFLNHFILGIKPLDGVLRPRIYTKLLPDTVLYDNLTAYN >CAK8575257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:63867340:63884355:1 gene:gene-LATHSAT_LOCUS27536 transcript:rna-LATHSAT_LOCUS27536 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPFQVGELIESQSFLTGYRGAWFRCKILKIFERSGGMSYLLEYPDYPDQKSSKIKTYRKAPHINKSKGLNKVLMVRPHFPTIYRESEKLDVNAISEVVVIVDDAWKIGDLVDWFADGCYWCGKVTELTGTDKVQIDLLPPPLGEGCSYEALIKDLRPSLDWCPQNGWTVPMPTEDGCRRPARIMNPVNSGNIVNLTAGTDGAENVGQPSNSMENREHMDIEVADNKNGRTSFSDIISSSHDSDASIEILEKTPINRHDDEYPAKMRTTGLCLNSTSSNTTDSAILDLEELVNRVKWLRCVLKCKVPLSGAKRPSWQFLQHHASCK >CAK8566461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425297093:425303900:-1 gene:gene-LATHSAT_LOCUS19590 transcript:rna-LATHSAT_LOCUS19590 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLQSLLGKTRFAVRDRLLCRVSGLRFFNASSEEYSKRNYANNVAEYNTVLGSLNAQRRVFLLRDVYDDMMLDGVKPTRDTFHSLIVGTMRGSRMHDAFFFKDQMKITGLVPDVTFYNFLISTCGKCKNSDQAIQILEEMKSMEVKPNVQTYICLLHACAAQGRIDRVYAIVRDMTAAGLGLNKFCYAGLIVAHKNKTPFTDDFEAKVTEFVERSKIWSSVETDSANAENVMVGVTDEELYNLPSAEYVHRRGGFLNRSFTAYHTAFNAAADFKNVKLTDTLLEMLSKEKKTPDIYIVMQVIRCYCYAGEIDRGLQYFEEFINLGKGNAAELFVTLAEGAMVGYTEKGMQISQDILVRMNERNFFMNVKMGSELLLRAAGEKTGGYTNANYIWDLMRARNLYPTLPAVEAYYQGLKDRQIPQDDPRLVLVTQMYDNLRSRFRGRPNV >CAK8562659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:523579203:523580497:1 gene:gene-LATHSAT_LOCUS16138 transcript:rna-LATHSAT_LOCUS16138 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNYKLEIPSYKQKRANKIKKMIEQSHFARTLLLLLAIMGTSMVIGDGILTPSISVLSAVSGISSSLGQNAVVGITVAILVVLFSMQLFGTGKVGALFAPIILIWFLFIAGIGLYNLFKYDVGVLKAFNPKYMVDYFKRNGKEGWISLGGVFLCLIGSEAMFADLGHFNVRAIQISFSFVTCPAILAAYVGQAAYLREFPDNACYMEEEFIGDMRITTTLVSLIMLVIWTKSLWMVILFFCVFGFTEILYFTSQLTKFTGGGYFPIVLALFLTMIMGTWHYVHKERYMFELKNKVSTEYLKELAINADVHRVPGIGLLYSELGQGTLATREEF >CAK8531262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94234676:94235071:-1 gene:gene-LATHSAT_LOCUS1067 transcript:rna-LATHSAT_LOCUS1067 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIISVAFFLCLNFFFITMVNSNYVIPEIPNPNPIPNPTINPYPKATCSINALKLGVCANVLNLVNAKVGSPPTLPCCTLIKGLANLEVAACLCTALKANVIGLHLDVPIALSVILNNCGLNNSGFQCP >CAK8532105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:204261171:204264807:-1 gene:gene-LATHSAT_LOCUS1841 transcript:rna-LATHSAT_LOCUS1841 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTRSTSLRQRFDGSLTAHRNEILSLLSRIEAKGKGILQHHQIIAEFEEIPEENRKKLVNGVFGEVMRSTQEAIVLPPYVALAVRPRPGVWEYLRVDAQGLVVDELSAAEYLKFKEELVEGSSNGNFVLELDFEPFNASIPKPTQNKSIGNGVEFLNRHLSAKLFHGKESLQPLLDFLRLHNHNGKTIMVNDRIQNLNSLQHVLRKAEDYLIKIAPETPYSEFEHKFQEIGLERGWGDTAERVVETIQLLLDLLDAPDPFTLETFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQIVYILDQVRALEEEMLKRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYNTEHCHILRVPFRTEKGIVRKWISRFEVWPYLETFSEDVANELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFDDKYHFSSQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTETERRLTSFHPDIEELLYSSVENEEHICVLKDRSKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEIAEMKKMYGLIETYKLNGQFRWISAQMDRVRNGELYRVICDTKGAFVQPAIYEAFGLTVIEAMSCGLPTFATCNGGPAEIIVHGKSGYHIDPYHGDRAAETIVEFFEKSKADPTYWDKISHGGLKRIHEKYTWQIYSDRLLTLTGVYGFWKHVTNLDRRESKRYLEMFYALKYSKLAESVPLAVEE >CAK8569807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12039185:12043341:-1 gene:gene-LATHSAT_LOCUS22616 transcript:rna-LATHSAT_LOCUS22616 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFTRGVSKFHSHSKLKKSQSQIMAGNISNPSGSNAVNILLNHDFSDGLNSWHPNSCNGYVISAKVGDHAGISIESDRNYAVITDRNECWQGLEQNVTDRISVGSTYTVLAFVGVSGSGLSQESADVKATLKLEYHGSATQYLFIGSTSVIKGSWEKLEGTFSLSTKPDRVIFYLEGPAPGVDLLIRSVQINCSNPNYNSLSTEGCVSTGDENIIINPQFEDGLNNWSGRGCKIVLNDSMADGKIVPKSGKLFACATERTQAWNGIQQEITGRVQRKLAYEITALVRIYGNNVTNADVRATLWVQTPDYREQYIGVANVQATDTDWVTLQGKFLLNGSPSKVVLYLEGPPSGTDILVNTLVVKHAAKTPPSIPPNAKNVIFGLNIIENSNLSDDTKGWFPLGSCTLSVKTGSPHIVPPMARDSLGPHELLSGRYILVTNRTQTWNGPAQVITDKVKLFLTYQVSAWVRIGSGSSGPQNVNVALGADNEWINGGQTEVSDKQWHEIGGSFRIEKQPSKLMVYIQGPASGVDLMVAGLQIFAVDRRARFRYLKMQTDKIRKRDVVLKFSGLDASSYVNTTVQVRQTRNDFPIGTCISRSNIDNEDFVNFLVKHFNWAVFANELKWYWTEAQQGNLNYKDADDLLSLCQKYNIETRGHCIFWEVEQTVQQWIRSLNKNDLMTAVQNRLTSLLTRYKGKFSHYDVNNEMLHGSFYQDRLGKDIRANMFKTANQLDSSATLFVNDYHIEDGCDTKSCPDKYIQHILDLQEQGAPVGGIGIQGHIDNPIGPIVCASLDKLGILGLPIWFTELDVSSTNEFVRGDDLEVMLREALAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSHGHVDEQGQFNFRGFYGTYNVEIVTPSKKISKTFVLDKGDNPMMVSIDL >CAK8544041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659733899:659735210:-1 gene:gene-LATHSAT_LOCUS12751 transcript:rna-LATHSAT_LOCUS12751 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSFLLSFIFLILHLSGQRVVRGEADVQHDHRIQKLFVFGDSYADTGNIEKGFYPPWNVPYGVTFPSEPSGRFSDGRVLTDYIAKYLKVKLPVSYTKVEHLAPHHLKNGMSFAFGGSGVFETINSLLPNMTTQINFFEKSIQEKVFTTSDIRKSAALVSIAGNDYLRYVKDGSIQSLPSFISLVVNQTITNLIRIKELGVKKVIITNLPPMGCLPSETASSSFKQCNETSNSLLVHYHNTLLTKAVTKLNQQINDDSSPFIVLDIYDSFMSVLKNPSTHNIKNELEPCCVGESSKYFCGMVVNNVKKYKVCENPKSAFFWDLGHPTDAGWRAVYTMLRKSNALEQIQDH >CAK8577841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593584205:593587415:1 gene:gene-LATHSAT_LOCUS29910 transcript:rna-LATHSAT_LOCUS29910 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRKLMKAVHQNSSNPKLAWHLFKRILSSPSTSPSTHHHIPTITRILLAANMHHEIDNLTQLITSNHPNTAHHSFISILHILAQSPHHIDSAFSHFKSLRTQFPSTPLPLPLYHLLLRSSLQHNRPHFVTSLYTDMIQSGVNPQTYTFNLLLQSLCESNALDHALQLFDKMSEKGCYPNEFTAGILVRGFCRAGKTKEALDFVDNKLCFNVNRVVFNTLVSSFCKQDMNDEAEKLVERMREKGMFPDVVTFNSRISALCRAGKVFEASRIFRDMQMDGELGLPRPNVITFNLMLKGFCQQGMMEEAKSLVETMKKGGNFVNLESYNTWLLGLLRNGKLLEARSVLDEMVDNGIEPNIYSYNIVMDGLCRNHMMLDARRLMDLMVSNGVYPDTVTYTTLLHGYCSKGKVFEAKAVLNEMIRKGCHPNTYTCNTLLNSLWKEGRKVEAEEMLQKMNEKNYQLDTVTCNIVVNGLCRNGELEKASEVVSEMWTDGTDSIGKENSFAGLVNSVHNVPTSMPDVITYTTLISGLCKVGRLEEAKKKFIEMMAKNLHPDSVTYDTFVLSFCKQGKISSALRVLKDMERNGCSKTLQTYNSLIWGLGSKGQIFEIYGLMDEMRERGIRPDICTYNNMISCLCEGGKAKDATSLLHELLDKGISPNVSSFKILIKAFCKTGDFKVACELFDVALSVCGHKEALYSLMFNELLTGGKLSDAKELLEASLERSLLSKNFMYKDLIDKLCKNERLDDAHFLLQKLIDKGYGFDHSSFIPVIDGLSKRGNKQQVDELARIMELALEGRDDDRTCRNGNTIFRRNLHKDGGSDWQDIINRDVGSGIALKTLKRVEKGWGQGSISSLLPQKNDFLDY >CAK8540589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11546371:11554860:-1 gene:gene-LATHSAT_LOCUS9581 transcript:rna-LATHSAT_LOCUS9581 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQGVVLLVLFFLGSIALTQSLPFIVLHGIGDQCKNGGVKNFVKLLSDWSGSRGYCLEIGNGMWTSWTKPLIKQTAIACEKVKKMKDLNQGYNIVGLSQGNVIGRGIIEFCDGGPPVKNFISLGGPHAGTASIPLCGSENVCTLIDSVIKFGLYSNLVQNLLAPSGYVKMPIDIAGYLKGCKFLPKLNNEIVNKRNSTYKQRFSSLENLVLIMFDHDTILIPKETAWFGFYPDGALHSVLPPQQTKLYTEDWIGLRALDEAGKVKFLNVSGDHLDISRKDMKTYIVPYLKDNSYIVPYLKDQMQESFQS >CAK8534996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801159047:801161860:1 gene:gene-LATHSAT_LOCUS4483 transcript:rna-LATHSAT_LOCUS4483 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKKISNLLKLAHVVCSSSDPIHAPLGSPDVMSATPTLVVDNVSSQLPNQMRPAQQGIESSHGRTNVSSQLPNQTSSQPAEQGVRRSHRITNGSSQLPNQTLSQQGVRSSHCRVNVSSQLPCQTSSQPGVRRSHRRANVSSQLPSQTPSQLAQKEAESSHCRVNVSSQLPNQTSSQPGVRRSHRIANISSQLANQTSSQPAQQGVGSSHRGANVSSQLPSQTPSQLAQKEAESSLCRVNVSSQVPCQTSPQPAQQEVIRPERRDGRVSTHYWFVDAIDEEHGVIKKLRLNSKDVKDMPNALRIIVDFDEFHSSIGEAAGLLAGVCGLIATNSVFFPIGYDKWSNMPGSYFDEQWIAFFLPRFCFKVHEDLAKRYIEASIGKKWRESRIKLWKAYYHPSLSKSEIINKKPKEIPPNHWALFVEYRLKPETMELCKRNQEIRKNQAYSHTCGAKSLARRRHELSIETGKTIGRGLMWKMIHKKKDGSYVNDKAMEIGEKIDSHINRNHEASSETSPNDVVANIFGREHPGRVRTMGLGVVPTIAFKHTTTRLRGMEFGSSSGSNSSVEQKLAAVTAQLKAVVGYISAKEGGTLPKDLAALFPDQTQQISDIGSEYSSFDMRTLSDGSNIHQNPSNSA >CAK8569720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9221008:9222877:1 gene:gene-LATHSAT_LOCUS22539 transcript:rna-LATHSAT_LOCUS22539 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPTHSYLFSTTFLRFTIFLVVFSKTIAVLKLPPNVSIPAVFVFGDSIMDTGNNNNMTTPSQCNYPPYGKDFQGGIPTGRFSNGKVPSDFIVEELGIKEYLPAYLDPNLQPSELPTGVNFASGGAGYDPMTSKLEVAISMTGQLKLFQDYIVKLKGVVGEDRTNFILANSLFFVVLGSNDISNTYYLSHMRQVEYDFPTYSDFLVNSAYNFYKEMYDLGARRIGVFNVPPMGCVPFQRTMAGGIQRNCVKEYNDASVFFNNKLSLTIDSFNKNFPSSRVVYMDVYNPLLDIILNNQQYGYEVGNKGCCGTGTIEVTYLCNSLDIICSNDLDYVFWDSFHPTESVYRKLVPPILRKYFNKFI >CAK8532964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:556047081:556051795:1 gene:gene-LATHSAT_LOCUS2619 transcript:rna-LATHSAT_LOCUS2619 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSGRQPQAPAQESLIDKIYQFRGACLMVALPLLLITLILYIIPSTSSNESIEDYALTHRKISPDRKLSDSFAVIFDAGSSGSRVHVFRFDRNLDLVHIGNDLEVFLQIKPGLSAYAQDPRQAAGSLISLLDKAESVVPLEYRPMTPVRVGATAGLRALEGDASDRILQAVRDLLKQRSTLKSEPGAVAVLDGTQEGAFQWVTINYLLGKLGRDYSDTVGVVDLGGGSVQMAYAISETNATKAPKVPDGEDPYVKEMFLRGRKYYLYVHSYLRYGLLAARAEILKISDDSGNPCILTGYDGSYNYGGKSFKASSSPFGSSLNECKDIALKTLKVNESICTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADKKSPVAKVLPVDFEKAAKLACQTKLEDAKSTYPNVEEGNLPYLCMDLVYQYTLLVFGFGLDPLQQITLVKQVKYHNSLVEAAWPLGSAIEAVSSVQ >CAK8573250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598073954:598074541:-1 gene:gene-LATHSAT_LOCUS25720 transcript:rna-LATHSAT_LOCUS25720 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGKVLISIIHSSQDAFVPGKNIHDHILIAYELIRGYSATNGATKCMLQMDLQKAYDTVEWIALENILKELNFPQKFINWIMITIKAVSYKFQLNDSYTQLVDANRGFRQGGHLSPLLFVTVMKYFDRLLQKLKGKPNLNYHSKCEKMDIINFSFADDLQLFARGDSQYVEMLMVVYEEFSKSTYLRINPTK >CAK8560328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14513564:14516997:1 gene:gene-LATHSAT_LOCUS14012 transcript:rna-LATHSAT_LOCUS14012 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMGCTAVFFTTFLILISSVHSFYLPGVAPRDFQTGDDLYVKVNKLSSTKTQLPYDYYYLKYCKPSKIVNSAENLGEVLRGDRIENSIYTFHMRTEQSCTVTCHQTLDAESAKNFKEKIDDEYRVNMVLDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGKYQGSKEEKYFINNHLSFRVMYHKDLETGSARIVGFEVTPNSINHEYKEWNDKNPQVTTCNKDTKNLLQGSTVPQEVDTNKDIVFTYDVSFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRPPINSNLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAIFFVLNALIWGEQSSGAVPFGTMIALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMTPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILLITCAEITVVLCYFQLCSEDYNWWWRSYLTAGSSAVYLFLYSIFYFFTKLEITKLVSGILYFGYMIIVSYAFFVVTGTIGFYACFWFVRKIYSSVKID >CAK8564125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653014492:653017815:-1 gene:gene-LATHSAT_LOCUS17459 transcript:rna-LATHSAT_LOCUS17459 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIRTNSFKRLFSFKKRSLEEEKDNTFKIPPYEEQHFQKPTWKCFSYEELFDATNGFISENIVGKGGYAEVYKGTLKNGEEIAVKRLTRTSRDERKEKEFLTEIGTIGHVRHTNVLSLLGCCIDNGLYFVFELSTTGSVSSILHDEKLAPLEWKTRCKIVLGTARGLHYLHKGCKRRIIHRDIKASNILLTKDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGVVDEKTDVFAFGVFLLEVISGRKPVDGSHQSLHSWAKPILNKEEIEELVDARLEGSYDVKQLKRFAFAASLCIRASSTWRPNMTEVLEIIEEGEIDKEKWKMPEEEEEQEDEFWGFEDLEYEYDTSFSMSLIDSVESN >CAK8573082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581972049:581978759:-1 gene:gene-LATHSAT_LOCUS25572 transcript:rna-LATHSAT_LOCUS25572 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRRVMSWRRVAKSFQAMLAHVFLFSFTLLLVLKLDRFFLFSWWTVFFPLWLFHVVVARGRFSLPAPSMPHGRQWAPCHSVIATPLLVAFELLLCIHLGSSYVVNLKIVFIPLIAFELVILIDNIRMCRALMPGDEENMTDEAVWETLPHFWISISMVFFIAATVFTLLKICGDVAALGWWDLFINYGIAQCFAFLVCTKWHNPTIHGNCQITEPCSSSNTIRYLEWSREGIVISTDEDVQQNPFCSLQDIGGHIMKIPLIGFQILLFMHLEGTPSGAKNIPIWGIFSPLFLLQGAGVLFAAYRLIEKIVLLLYHGDVPRSYSSIASKSRDCFGFFHHGSRLLGWWSIDEGSREEEARLFCAGSSGYNTFSPDTVKKMPRGELVEEIWRLQAALGEQTEVTKYSQEEYERLQNEKILCRVCFEEQINVVLLPCKHHVLCSTCCEKCKKCPICRGTIEERMPVYDV >CAK8578728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650268206:650268589:1 gene:gene-LATHSAT_LOCUS30714 transcript:rna-LATHSAT_LOCUS30714 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTQAMAGIIGNRSAKPRLSDDASAMSAICCTAKAGLQAPRVENIAPASAVPAMEVDSLVFSVVVVSFERRFLRERSEVGFVMSFVGLWNFGELGVLKHFVFIMVIEIMVDAIVDECVKVFVLRV >CAK8542927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560510997:560511416:-1 gene:gene-LATHSAT_LOCUS11724 transcript:rna-LATHSAT_LOCUS11724 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPAAEKSPAEKKPKAEKKISKEGGSDKKKKKAKKSVETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8560587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26910291:26911523:-1 gene:gene-LATHSAT_LOCUS14248 transcript:rna-LATHSAT_LOCUS14248 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGTGHSQIIEIRVDLNDPGRKICGEAPCGFLDAGSISLDSKERSTSMRKLLTAVILCVIFMTVELVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFYRIEILGALVSIQLIWLLAGIIVYEAIDRLITGSKNVDGFIMFLVAAFGLVVNIIMAVLLGHDHGHGHGHGHDHGHGHSHGLTVSTHDLTKDEHHHHEHGHHTHDNHTHHDEENHSKDPYNHTHEDHIHHHTDKGVSEPLLAESKDKSKKKRNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWKIVDLICTLIFSVIVLGTTINMLRNILEVLMESTPREIDATQLERGILDIEEVVAVHELHIWAITVGKILLACHVKINRDADAEVVLDKVVDYIRRVYNISHVTIQIER >CAK8571914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492602061:492603662:-1 gene:gene-LATHSAT_LOCUS24537 transcript:rna-LATHSAT_LOCUS24537 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVPSYQHRSLSIKLWPPSQSTRLMLVERMTRNLTTPSIFSRKYGLLSKEEAGEDAKEIEEAAFVTATQHFEEEPDGDGSSAVQIYAKESSRLMLEVLKRGPRVKEDQELISEKGDAGVETFFDISGGRRAFIEKEEASELLKPLMGPNSFTKICFSNRSFGLDAAHVAEPILISIKDQLKEVDLSDFIAGRPEAEAIEVMNIFSSALEGSVLRYLNLSNNAMGEKGVRAFRSLLKSQKELEELYLMNDGISEEAAKAVAELIPSTEKLKILHFHNNMTGDEGAFAIAEVVKRSLALEDFRCSSTRVGSEGGVAVAEALGACTHLKKLDLRDNMFGVEAGLALSKVIPAFVGLTEIYLSYLNLEDDGAEALANALKESAPSLEILDMAGNDITAKAAVSVAACISSKQFLTKLNLSENELKDEGAVIIIKALEEGHGQLNEVDLSTNLITWSGAKVVAEAVVQKPGFKLLNINANFISEEGIDELKNIFKNSPDLLGPLDENDPEGEDIDDEADKGSEEDELESKLKGLEI >CAK8561598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:313661438:313662009:1 gene:gene-LATHSAT_LOCUS15175 transcript:rna-LATHSAT_LOCUS15175 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWVSRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLSIRGVFWSPEDISEALAVEWVVDHLGVS >CAK8536382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936830738:936831079:-1 gene:gene-LATHSAT_LOCUS5757 transcript:rna-LATHSAT_LOCUS5757 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGCECRPLGFLLGLPFALLALILSLVGAVIWTFGSILSCLCPCCICCTGLANLAVSLVKLPIRVLRWFTHQIPC >CAK8560416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18270860:18271429:-1 gene:gene-LATHSAT_LOCUS14086 transcript:rna-LATHSAT_LOCUS14086 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISIFFVSLLFTLTFKAEATNTNFSYPSTFQFFHVPDQTQKHDVFISFRGPGIRHGFLADLVEALSQKKITFFIDNELIKGDEIAESLVQAIETASISLVIFTENYASSSWCLDELVKIVECREKKRRVLLPVFYKVDPRTVRHQNGSYGSDFDEHDMKFSLSKVQRWRYALKKAADVNGFHSSNYL >CAK8537469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365270968:365275053:1 gene:gene-LATHSAT_LOCUS6759 transcript:rna-LATHSAT_LOCUS6759 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGVSGIRVPDSINDSSWDSQSKDKTEKDDFDKRGSSDHTPLGLKSPLRLVFSDKENGFASDPFIVGTPRSRFKLLLFSLKFSLVFIAVLALVGSFWWTLNLSSSSRGRVYHGYRRLQEKLVSDLMDIGEFSRGASRWKELETCSSELENFVPCFNVSDGNEFERKCEYEQRQNCLVLPPVNYKVPLRWPTGKDVIWVANVKITAQEVLSSGSLTKRMMMLDEEQISFRSASHMFDGVEDYSHQIAEMIGLRNESSFRQAGIRTILDIGCGYGSFGAHLFDSQLLTLCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPYPSLSFDMLHCARCGIDWDQKDGNLLIEADRLLRPGGYFVWTSPLTNARNKENQKRWKFVHDFTENLCWEMLSQQDETVVWKKASKKSCYTSRKSGSRPLCGRGLDVESPYYRELQNCIGGTQSSRWVSIEKREKWPSRANLNKNELAIHGLLPDEFAEDSDSWKAAVQNYWSLLSPLIFSDHPKRPGDEDPSPPYNMFRNILDMNANFGGFNSALLQARKSVWVMNVVPISGLNYLPLIQDRGFVGVLHDWCDAFPTYPRTYDLVHAAGLLSLEFSHPRKCTMLDLFIEIDRLLRPEGWFIIRDTAPLVESARALTTQLKWEARVIEIESNSEEKLLICQKPFFKKHAS >CAK8563947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640907315:640909225:1 gene:gene-LATHSAT_LOCUS17301 transcript:rna-LATHSAT_LOCUS17301 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLCSSSSMAANSSLTLPTNSFLTLLHPPPSSHNISSSLSSTSFNSQFLGLKLSHSSISIAPSSSFKRSFIFAKVSKGSKPPAFTLKDQDGKSVSLSKYKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDGTASHKAFAQKYKLPYTLLSDEGNKVRKEWGVPGDFFGSLPGRETYVIDKNGVVQLVYNNQFQPEKHIDETLKLLQSL >CAK8571471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:426722763:426723170:1 gene:gene-LATHSAT_LOCUS24134 transcript:rna-LATHSAT_LOCUS24134 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLMRANQLSFEYEHGVMEFLELAESNAKTNLAPPKSDAEKSLHLLFLCPCVRCANHEPKLNKKEIMDHLICHRVCQSYTQWIWHSEVVAKSNVSQRDNVNAEMDDCLEDIYAVTRINLCTRDAQILHVCQPC >CAK8561001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70638623:70639973:1 gene:gene-LATHSAT_LOCUS14630 transcript:rna-LATHSAT_LOCUS14630 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPSFAFILIFIILFFDICESDDECSSNPLKTISVSQSGKANFKTIQSAIDSVPEGNSQWIHIQISSGVYKEQVLIPKNKPCIYLEGAGSQSTSIEWGSHENATFYIKASNTAAKGITFMNTLNSPVLSKVIRVTQAIAAKIHADKCAFYSCSFLGVQDTINDDDGRHYYNNCYIQGAGDFIYGNGQSLFEASTINFSNGKSSLHQDGIITAQFRDSPNDPSGFVFKNCNISGTEYKTELGRAMGAYARVIIANSYLSDAVKPEGWSQTTYVGHEENLTFVEEGCTGPGADKSKRVKWMKSLSGPELDKFLSLSFIDQEGWISELPPSIFH >CAK8575114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:32085954:32086904:1 gene:gene-LATHSAT_LOCUS27403 transcript:rna-LATHSAT_LOCUS27403 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDSWRFLVDKVKRRLNSWSSRQLSLGGRVILIYSTLNSIPIFSLSFYRILIRIKKELKFVYQNFLWGGIELKTKVHWLNWRLICSKKDKGGLRVKDIDLFNKALLSKWKWRFLVEDNYIWFELIKFKYGKFACDFMGDAFFDRRIKGSVWWRDLRKVDLDKVNNFSCLAGNIQCSVGNRNKIPFWQAIWLEDQSLLNRFSKVFQAAFMKECEIDVGEWIEDCWVWNLEKILLVNGSNISSSVSDLKDVLSNCSPSLVLAQNFCWKPDPSKIYSVHSCYNQLLFLSAGSTILDSSEVEALSKVWRNKIPSKIKFF >CAK8536546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953819932:953820682:1 gene:gene-LATHSAT_LOCUS5905 transcript:rna-LATHSAT_LOCUS5905 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRSVLKLKLKTGSQAEVEMDVLAGVLGRINLSQVLVCLTCGDEGFEEAIVYCSKCGDYAMHRQRFSSGSEDGCSCWCVGENIIVYEINSNDYNLI >CAK8534650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752455573:752458123:1 gene:gene-LATHSAT_LOCUS4178 transcript:rna-LATHSAT_LOCUS4178 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSARWRQSWAPQPLTPLMEGPDPEMQEEGTKKESSWEAIREWFKAQKISPGANISSQQSFYGTIHAKTQDLRLLLGVLGCPLAPIPSDHDPALTIHNHIKDTPFETSTAKYIIQQYLAATGCLKQQKENKNMYATGMVKMICCETEISSGKNVKCLGTRSSENGCFVLWQMLPGMWSLELVVGGHKIVAGSNGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKSTASLFTNAQCLGENRIGNVDCFVLKVCADRETVIERSEGPAEVIRHILYGYFCQKSGLLIYLEDSHLTRVPTQDSDTVYWETTIGSSIGDYRDVDGILIAHQGRSIATVFRFGELSMQHSRTRMEEIWTIDDVMFNVPGLSMDHFIPPADILDTINSP >CAK8578094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606291725:606292243:-1 gene:gene-LATHSAT_LOCUS30135 transcript:rna-LATHSAT_LOCUS30135 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSNPKTNEGPVPVPLPVSATKEVKAERAVEETLIDEIKSLKTLLNENVEEGKKPEVKAEAKEVKAEPKKQNHKKEDSKVQEKPKTTEEAQIEAFFAKREG >CAK8541103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:66534736:66536427:1 gene:gene-LATHSAT_LOCUS10054 transcript:rna-LATHSAT_LOCUS10054 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMVGAFITFLLTFQPLSFIFFLLFYLTFLNFLPVKKLAGTGPTTYPIIGCLLSFYKNRFRLLNWYTELLAQSPTNTILVRRLGACRTIITANQHNVEYILKTNFKNFPKGKPFTEILGDFLGKGIFNVDGGSWIKQRKLASHEFSLRSMNDFIMHTLEDEVNGKLLPLMDSLCIENKVVDLQELLGRFSFNAICKFTLGSYDDDDDNNNNRCCLDPSFPISPLARAFDVAAEISARRGAAPLFLVWRVKKWLRFGSERRLREAVMEVQTRVMEMISNRKKKMNGIGEKLVNGQDLLSRLISSGHDEEVIRDMVISLIMAGRDTTSSALTWFFWLLSSYSEIEQRVVKETLDYDYDYDYESLKNMNYLKACLCESMRLYPPVAWDSKHATCDDILPDGTMVKSGDRVTYFPYGMGRMESLWGKDWFEFRPDRWFVEPVEPGKKEVVILKEVCPFKFPIFQGGPRVCLGKEMAFVEMKYVVASIVRRFKIKIVSDEKPMFVPLLTAHMAGGLKVLVSKRV >CAK8572014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501695955:501701334:-1 gene:gene-LATHSAT_LOCUS24623 transcript:rna-LATHSAT_LOCUS24623 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGISGLVSAYVLAKAGVNVVLYDKEDYLGGHAKTVNADGVDLDLGFMVFNRVTYPNMMEFFESLGVDMELSDMSFAVSLDKGRGCEWGSRNGLSGLFAQKRNALNPYFWQMIREIIKFKDDAISYIDMLENNPDMDHNESLGQFLKSRGYSELFQKAYLIPICGSIWSCSSEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVKEELQSNGSQIIANCEVDLVSESEKGCVVHCKDGSEEIYDGCVMAVHAPDALRLLGDEATYDERRILGAFQYAHSDIYLHRDKSFMPQNPTAWSAWNFLGSNNNKVCVTYWLNILQNIEEIGKPFLVTLNPDHVPENTLLKWSTGHPVPSVAAYKASVELEHIQGKRTIWFSGAYQGYGFHEDGLKAGMVAAHDVLGKCCTLKTNPKHMVPSWKELGARHFVTRFLTYFITTGSLTLLEEGGTMFTFEGTGKKCSPKTVLRVHNPQFYWKIMTQADLGLADAYINGDFSFVDKDEGLLNFFLVLIANRDLNASNSKLKKSRGWWTPILFTAGLTSAKFFMDHVSRKNTLTQARRNISRHYDLSNELFALFLDETMTYSSAVFKNEDEDLKDAQMRKISLLIEKARIEKKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSKEQLKLAEQRVKDAGLQDNIKFLLCDYRQLRKTHKYDRIISCEMIEAVGHEYMEEFFGCCESALADDGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENLGIHYYQTLRLWRKNFLERQSEILALGFNEKFIRTWEYYFDYCGAGFKSRTLGNYQVVFSRPGNVTAFSDSYRGWP >CAK8578379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625513807:625528411:1 gene:gene-LATHSAT_LOCUS30396 transcript:rna-LATHSAT_LOCUS30396 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDSDSDSDGSHVSTTPPRQPNPPPPLPPKPPLPVSNKKSKSKTKTKPSNSNKPPSKPPETLIQQEDNFPLPSISSLPFQIRLRTSDGPSTSSSSSHSMETLPAGFFSKSISFSKIRKPLLNLEPSESQPVLNSPKPVVHSAEKPPNLIGAKKPPNLSDAKKPPNLIAAKRPPNLIGASLPTPAVKVLRGAGEGNFVKLNLSGKRKKFLNKGWKKNGKFGSGKRYRRNSYSNSSSRNKRTKMEGEGEDNEEDGLGLENAKQQQKQNGWENECKVLEEAVVAARAEASDENLVKLLKLIHGYDSFREGQLEAIKNVLAGKSTMLILPTGAGKSLCYQLSALILPGITLVVSPLLALMIDQLKQLPPLISGAILSSAQTPEESSETLSQLRQGTIKVLFVSPERFLNKEFLSAISAGSAVSLVVIDEAHCISEWSHNFRPSFMRLRASLLQRSLNVGSVLAMTATATTTTLDSIMSALDIPFTNLIQNAHLRDNLRLSASLIKNRMKDLLVLIKSPPFADVKSIIVYCKFQSETDQISRYLNDNSISAKSYHSGIFAKERGYVQELFGSNKIRVVVATVAFGMGLDKRDVGAVIHYSLPGSLEEYVQEIGRAGRDGRLSYCHLFYDDEMYFKLRSLMYSEGVDEYAVNKFLSEVFPADKSSRGKICSLIKESASRRFDMKEEVILTVLTRLELGDVQYIQLLPQTNVTCVVNFYKTPAVSLAQKISAIAVILKRSENKHGQYTFDIPTVANDMGVTPFELTNQLYDLKLKGEITYEMKDMAYCYRILEVPTDFLSLSADITKWLSEVESCKVRKMDAMFNAAYFAVNLCDKMNGCSSADHTSCLQRIILDYFAGVDNIDFCKKIDQSSPFLRADIKVFLQSNSHARFTPRAVARVMHGIASPAYPYTIWGKTHFWGRYTKINFKVVMEAAKEELKNFVGKDIL >CAK8560953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62441837:62442568:-1 gene:gene-LATHSAT_LOCUS14588 transcript:rna-LATHSAT_LOCUS14588 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLLIDVSRKISAADRFLRRQLQHASWDFPLGSKLTGKKIGIVGMGRIGSEVAKRLEGFSCMISYNSRNKKPSISYPFYSSVLELATNTNVLILCCELNNQTRHIVNKDVMLALGKEGIIVNVGRGALIDENELVRCLIEGEIGGAGLDVFENEPNVPKEFFSLDNVVLSPHAAVLTSEYFNGISQVVEQNLKAFFSNKPLITPVI >CAK8572166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516391276:516393191:-1 gene:gene-LATHSAT_LOCUS24759 transcript:rna-LATHSAT_LOCUS24759 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSAVHSIGSLNFQTRSSQLEKLNNNGTLFVSTRTTTTQKNPLLHGYLHPINHIKTKSNVCYGTNHASNRKSVIIKSTSSPTSIETSESSSEVEKIKQKCLKWKWKGQYSINYFVSSDSDSPQPNHPPLLLVHGFGASIPHWRRNIKTLSQNYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVIKKPTVLIGNSVGSLACLIAAASGMIQPDSSETLVRGTVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLNQRGIASAIFERVKQRENLRNILSSVYGNKESVDDELVEIIREPANDEGALDAFVSIVTGPPGPTPLQLVPNITSPILLLWGDEDPFTPIDGPVGKYFSSLPSQRENVKLFLLEGVGHCPHDDRPELVHEKLLPWLATLSNP >CAK8540599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12020903:12023361:1 gene:gene-LATHSAT_LOCUS9591 transcript:rna-LATHSAT_LOCUS9591 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSNFNPIQVALFSLILVLTFLVPRSEARLRSFFVFGDSLVDNGNNNYLLTTARADSPPYGIDYPTRKPTGRFSNGFNIPDLISQKLGAESTLPYLSSELSGENLLTGANFGSAGVGILNDTGVQFINVIRMHTQLDYFEEYQPRLTALIGTSQTKRLVNQALFLMTVGGNDFVNNYYFVPFSARSRQYSLLRYVNFIICEYRKHLQRLYDLGARRILVTGTGPLGCAPAELAMHSTNGGRCSFELQRGAALYNPRLEQMLVGLNGKFGRDVIIAANTAQMHKDFVANPSAYGFVTSKVACCGQGPYNGIGVCTPFSNLCSNRDLYAFWDPFHPSEKANRLIVEHIMLGSTRYMKPMNLSTILALDAST >CAK8564541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680394454:680395446:1 gene:gene-LATHSAT_LOCUS17839 transcript:rna-LATHSAT_LOCUS17839 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLFREVPFILRLTSNDETFRFAALDIERNRLFFLSSHNFIYTYHLSSFHDKEAWSNASLLSTDYGSVDLEPDDSVTSFDYLMEKEALLLGTSNGLLLLYDVDANTTQVVGNLDGGVNCISLSPDGELLAIITGFGQILVMTHDWDLLYETPLVVDDDVPQGHHINGENFLEGGFEQHPISWRGDGKYFATMSVCSSTFLRKLKVWDRDSGALLASSDEKTFAGAVLEWMPSGAKIAAVYDRKAENECSSIVFFERNGLERSKFSVGEGVNAKVKFLKWNCSSDLLAGVVECESYDAIKIWYFSNNHWYMKHEIRYLKQDEVRFIWN >CAK8565052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31800144:31800803:-1 gene:gene-LATHSAT_LOCUS18293 transcript:rna-LATHSAT_LOCUS18293 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCDVYEDHSSEFDRNKISSSALTERNFKVEFNCKNMHVLSARRFRMVEVTKCHTFSHVTKDKMIQNTTILSWLSQMDIPQDAYWVVEEKILECLGHMAKTTHKNSRVFSIRVDICITRASEDEGSESDGEGYEINESDEDSSDEDIDEDIEFVPAEKSCIEDLERVEKEGKCSICFEDFNVCLVMPCSHMFHPKCISDWLKIGHSCPLCRFDLPT >CAK8577105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537304694:537306250:1 gene:gene-LATHSAT_LOCUS29238 transcript:rna-LATHSAT_LOCUS29238 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNNSIIRSGSCTSLSLVTSTTRNYFDVFVSFRGTDTRFNFTDHLFAALQRKGIYAFKDDTKLNKGESIAPELLRAIQDSRIFIVVFSNNYASSTWCLRELEHIILNCGQPPTKRVLPVFYDVDPSEVRHQKGTYGEAFAIHEQRFQQDFEKVIRWRAALAQVADLSGWDLRDKPQHAEIEKIVEEIIKILGSKFSSLPKDLVGIHSPIQELEKHLLLDSLDDVRVVGICGMGGIGKTTLANALYNKISPQFDVCCLIADLSKSYRQDPISAQKQILLQTLGDQQLQTFNSCNASSQIGSRLRRVKALITIDNVDQVEQLEKLDREWFGPGSRIIIISRDEHILKEYGVDVVYKVPLLNEKNSLQLLSRKAFKLDHIVSRYDKLAFQILCYTNGLPLAIKVLGSFLFGRNISEWESALARLRESPNKDIMNVLRLSFDGLEEKE >CAK8535906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890485449:890486663:1 gene:gene-LATHSAT_LOCUS5324 transcript:rna-LATHSAT_LOCUS5324 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLVNERIQLEASEDSQRQTKEDDVYSPKIDSRKLVKSQQPLEYNAILQGKNLVEAVSEAATSQHPYAMVEELTVKSYNGSSFDIGRSNSQVQMINNQQKHWQNLYQMTNNSGNGNSLIDIGLISSGQATSSAWEDIGSTSFPELLARKSHSDGQSNVIEHLAAAESKEGACDIHRGMRTKVLSKSGFVEYLIKNSLKSKGVVHKGPSSDRFYVQPKEKNQVKPGSGANQNWIKTSIGANQNEMKTNTGIDQKQMKTGIGAQSNSNKSVKYDSKTANFPFHSDASVPMSSMSECDGVTLREWLKSGQRKGGKVESFTIFRKIVDLVDDSHSRGIALHNLCPSYIKLLPSNQVMYIGLPTQKQTADSVVNPEVLDLDNSFNRKRVSEQVTSSSIDMESKKQKN >CAK8575469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:130003403:130006520:1 gene:gene-LATHSAT_LOCUS27729 transcript:rna-LATHSAT_LOCUS27729 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAGSSDFTADRPQTKRPKYSKFTQQELPACKPILTPQAVISAFLIVTVVFIPIGVASLIASRDVVEIVDRYEDACVPSNWTDKVAYIQSNADKTCIRKLEVPKHMKSPIHVYYQLDNFYQNHRRYVKSRNDEQLRDSKKGNSTSGCKPEDYVNGVPIVPCGLIAWSMFNDTYSFTRNSQNVTVNKNEISWKSDRDHKFGKDVFPKNFQNGTFIGGARLNESIPLSQQEDLIVWMRTAALPTFRKLYGRIEVDLEKGDVINVVVQNRYNTYSFSGKKKLVLSTASWLGGKNDFLGIAYLSVGGLSFFLAMAFTILYFVKPRQLGDPSYLSWNRSPSGH >CAK8561335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:155806075:155845945:1 gene:gene-LATHSAT_LOCUS14936 transcript:rna-LATHSAT_LOCUS14936 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWHFLKQLTCTLGLHSTAICRPLMRSSPSSLSVSSSLPFLFKNHHKVIYHWPSHNIPIKFKIHTAAASSTELPHKTSTPYISVLIQCPKDNADVLGEALLCFGASSVSMNHNDVCQTIDEICIISIYPEGEDINLNISHAFDSIGFKEKPRYEIKAIEEEDWLKRSQESFQPVEVTKGLWVVPEWSTPPDAQATNIILNPGHAFGTGEHASTKLCLLFLHGCITGGEYILDYGTGSGILAIAALKFGASLAVGVDTDSKAIASAYQNTALNNIGPDRMQLQLIATENTLSSKGGGTSGVVKGENASEIQTVTDKDKYDVVIANILLNPLLDNAEKIISCAKPGAFIALSGILSEQVHHIIKRYSPFLEDIEVSKMDDWACVSGRRSINLDACYK >CAK8534951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795251855:795257803:1 gene:gene-LATHSAT_LOCUS4444 transcript:rna-LATHSAT_LOCUS4444 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSQFLFLSLTFVWFISLTAFAATTIHPDEKKALEDIGKSLGKKDWNFDLDPCSNKPNWITPPIPHIYENNVTCDCSIAGDNFCHVIWISLKGQNLQGTLPPELNKLRYLQMIDLARNNLNGTIPKEWGSLTNIRKLALLANRLTGPIPVEIANISHLQELELYNNQFSGNLPPEFGHLTQIRTMRLSSNNFTGELPVTFAKLTTLTEFRIEDNQFSGKIPDYIQNWKSINKVMIQGSGLSGPIPSGISRLRNLTDLRISDLNGSESAPLPQLNNLTLLNNLILRNCNINGTLPENLKTMKSLKILDLSFNKLSGTIPRTYADMNRVKYIFLTGNLLTGLVPAWEKNVSVDLSYNNLSISQGSQICQDENVNLFSTSWARNDIGTASCLSFQCPKPSNSLYINCGGNQTRVNGKSYDDDSDSSGPARFHVSPTGNWAFSTTGIFIESGDLGETYSPQNISKLTMDDAELYTNARVSPISLTYYGFCLENGSYTVNLHFAEIMIPDDQTYGSLGRRIFDIYLQGNVVQKDFNIAKEAGGVGKKITKQFNDVVVSSNTLEIRLYWAGKGTQSLPNKSVYGPLISAISVESSDSAPGSISARAVVGIVVAATIIMLSF >CAK8572186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518253717:518254746:-1 gene:gene-LATHSAT_LOCUS24778 transcript:rna-LATHSAT_LOCUS24778 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRYAIGRMDEANHPDSIRATVAEFFSTCIFVFAGEGSALALRKIYRDEGASSGELVVLALAHAFSLFAAISASMHVSGGHVNPAVTFGALIGGRISVLKAVYYWIAQLLGSVVASLLLRLVTNNMRPQAFNLAINVGAGHGLVLEIAMTFGLMYVVYATAIDPKRGVIGSIAPLAIGLVVGANVLAGGPFDGACMNPARAFGPALVGWRWDYHWIYWAGPLIGAAIAAAIYEYIMVPTVPSSQTHPQHQPLVAEDY >CAK8578068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605005711:605006113:1 gene:gene-LATHSAT_LOCUS30110 transcript:rna-LATHSAT_LOCUS30110 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEHFDASASASKPVGYPGGPYDTSLLVKYEHHVVRHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRFGLTSLQRTSLTKIDTNLVSAFAER >CAK8577822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591037681:591039414:1 gene:gene-LATHSAT_LOCUS29892 transcript:rna-LATHSAT_LOCUS29892 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEELERESELAGVPTGASRLRRAVELICSLLSLSLSIRVFAGKWQLIRNKLEELHSGLVSAENCDSGENPSLSRLVTSIVATVNECHDLGQRCVDVAYSGKLLMQSDLDVAFAKLDGLAKRLSEIYKTGILTNGFALVVSKPSLGASKEDMRFYVRDVLTRMKIGDLGMKKQALRNLLEVVVEDEKYVKVIVVDVSDVVHVLVGFLGSGEVEIQEESAKVVSVVAGFDSYKGVLVSAGVIAPLIRVLDCGSELGKVASARCLMKLTENSDNAWAVSAHGGVTSLLNICGNDDCKGDLVGPACGVLRNLVGVEEVKRFMVEEDAVSTFIRLVKSKEEAIQVNSIGFIQNIACGDELIREKVIRDGGIRALLRVLEPKWSYSVKTKVIAMRAIESLCFISSSSVSILMSYGFVDQLLYYVRNGEVSIQELALKVAFRLCGTSEEAKKAMGDAGFMAEFVKFLNAKSYEVREMAAEALSGMVMVPRNRKRFVQDDHNIALLLQLLDPEEGNSGNKKFLISILMSLTSCNSGRKKIVSSGYAKNIDKLAEIEVSSDAKKLVKKLSTNRFRTMLNGIWHS >CAK8536106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:907832762:907833925:-1 gene:gene-LATHSAT_LOCUS5506 transcript:rna-LATHSAT_LOCUS5506 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPSYLDPLNWQQQPNQHQLLPPLSSQPHGAGSIIRPGSIPNQGQGQGQVQVQAQAQTSQAQEIQTGQTQAQNQTQIAKLPQQETALKCPRCDSTNTKFCYYNNYNLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRSKKTKKSNTTITSTTTPSKSPISNSNEKDYSTSAIHSSSTPFGEFLNPSNKTYMNMTSLQNLSRYGVGNVGNMGFQIGDYSGGGEGGGGLDQWRFQQFPFMNNGYESTTSNVSFPFQSEIVEPQTSSRANTQIMPASVKSEHNGGLSFLRSPLSVSENNNNHYNSWNDFSGLASSSASRLL >CAK8574168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667230241:667232519:-1 gene:gene-LATHSAT_LOCUS26539 transcript:rna-LATHSAT_LOCUS26539 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLVLRSLRHSPCFFLFHHLTSSKLSPLHHQTHLLLLQTPSSSSPQPTKTRRFTITASRGSLRRGHVPPPTPAATQLKDRLPEEQQQEDSSIKSRNQMKREAKRAVKWGMDLASFSSPQIKRILRVVSLDQIVFEAIALVKRMRPDVREGRRRQFNYIGKLLRDVEPELMDRLIKATKDSDHKELQALTGLGSNDLEDDDENLIETEEEEEDEEYKLYDESQVTRWFDGLISKDIQITNEVYSIQGVEFDRQELRKLVRKAHSAQEMNATNGEEEKKIEILDIKTKKALTRFLRSLSKNIANEHS >CAK8533741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656270014:656270427:-1 gene:gene-LATHSAT_LOCUS3342 transcript:rna-LATHSAT_LOCUS3342 gene_biotype:protein_coding transcript_biotype:protein_coding MACSYSSNPPCAACKFLRRKCNEECIFAPYFPPEEPHKFINVHKIFGASNVSKILNEVLPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQKQLLSLQKELDATHADLIRFNHQPSSSLGLGHGHSLPFFNYPSN >CAK8574817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9925151:9925747:-1 gene:gene-LATHSAT_LOCUS27120 transcript:rna-LATHSAT_LOCUS27120 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQFLTLLLFLLISSNTITSTSSLENEENTFDFVRPIDKKLLGLSKKEKLNHFKFYWHNIATGKNATSIEVVPSPKMINSTTYFGSISMMDSPLTIRPELSSKLVGKAEGFYASASQVELGLLMAMNCALIEGKYNGSSITILGRNPVFDKVKEMPVVGGTGLFKFARGYAQVTTHFLDFKTGLAIDEYNVYVFHY >CAK8573853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642646402:642647009:-1 gene:gene-LATHSAT_LOCUS26254 transcript:rna-LATHSAT_LOCUS26254 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSAAMCKSSIIHPPIKTTINLPPIQTKITPLPIETTITHLPIQTTKDVVVEDEDEDVVVGDEPEDDLVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKSVYWDVNVISK >CAK8535905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890301187:890306580:-1 gene:gene-LATHSAT_LOCUS5323 transcript:rna-LATHSAT_LOCUS5323 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRSSDPTSYRDRRSDSGLGGGGASAAAPSSRRDYDDGGSGSPKKINLDGLPHFEKNFYNESPSVRAMTEAEVIEYRLRREITVEGKDVPKPVKSFSDAAFPEYVLEEVKKAGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILDPGDGPIVLVLAPTRELAVQIQQEATKFGASSRIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSEDLKANHAIKQYVDIVPEKQKYDKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELISILEEAGQRVSSELAAMGRGAPPPPSGGFRDRGKSFGGGRPWS >CAK8539408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512083473:512084918:1 gene:gene-LATHSAT_LOCUS8508 transcript:rna-LATHSAT_LOCUS8508 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMAQRVESLSLSGVTSIPKEYVRPKEELTNIGNIFEEEKKEEGPQVPTIDIKEINSSDETVRKKCRFKLRKAAEEWGVMNLVNHGISDELLERLKKAGATFFELPVEEKEKYANDQSAGRIQGYGSKLANNASGQLEWEDYFFHLIFPQDKCDFSVWPKTPAHYTEVTLEYAKELRTLASKIMEVLSLELGLEGGRLEQEVGGKEELLLQMKINYYPICPQPELALGVEAHTDVSSLTFLLHNMVPGLQLFYEGKWITAKCVPGSILMHIGDTIEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLPELVTETEPARFPPRTFAQHIHHKLFRKDEEEKEKKKEKDEEEKEKKKEKEKVEDDHDPKK >CAK8564460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675564656:675565703:-1 gene:gene-LATHSAT_LOCUS17764 transcript:rna-LATHSAT_LOCUS17764 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNMNNFTPLSGLWRTGSTTYDNAPHISSNTHEFNEILVFYRSRHSTRANDERSLGVQDPLRLWEVRRTPTEHHRTLNFPAQPHRRQQTYVHNEEMGRRGFNPTRLGQFLHHSPVRSTSTHQTNTQVPHVVEDSKSNILSKLRKVVYNPAHTLLARKVSLYYRDNASNDLKERVKEKNEDGMKCAICLEEFEAKKEVMLTPCNHMFHEDCIVTWLTSKGQCPVCRFVVFEEVEENPPPLIGVKLAIGNPMG >CAK8533276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599701934:599704718:1 gene:gene-LATHSAT_LOCUS2917 transcript:rna-LATHSAT_LOCUS2917 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIEIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAINELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTHILPAHYTL >CAK8572816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565311200:565312031:-1 gene:gene-LATHSAT_LOCUS25338 transcript:rna-LATHSAT_LOCUS25338 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKVHGNPFSTATMRVTATLYEKQLEFEFIYINLKNGEHKKEPFISLNPFGQVPAFEHGDLKLFESRAITQYIDHEYAEKGTKLTSSDSKKKAIIGVWSEVESQHYDQVASKLVTELGIKPLFGNPTDQKVVEENEGKLDSILDVYEKRLSESKYLGGECFTLVDLHHLPSLHYLMKSQSKKLFESRFHVSAWVADITARSAWSKVLAMLPN >CAK8578656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:644070452:644072353:1 gene:gene-LATHSAT_LOCUS30648 transcript:rna-LATHSAT_LOCUS30648 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQSESESMKLSSEELSSEFKTLVSSDDLRSLNHLQHTILGRLQDSNAVLSHFNDFSQHCFTEISGDMARNTRVLKSIKSDLDYIFLKLRNMKTKLSTTYPDAFPEDSMSKVIDRRPDLEMPK >CAK8561864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398964369:398964692:-1 gene:gene-LATHSAT_LOCUS15417 transcript:rna-LATHSAT_LOCUS15417 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLMDEQLTNSPSRKDGIDEATETALRIYGCDLIQESGILLRLPQEVMATGQVLFHRFYCKKSFARLNVMKVAARSVWLASKLEKNAQAKHESVGVPSR >CAK8577021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532057828:532058091:1 gene:gene-LATHSAT_LOCUS29165 transcript:rna-LATHSAT_LOCUS29165 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHNIRELVNNNASLKVKVIQAHIAEKYGYRISYRKAWIAKIKVVESLYGNWETSYNGLPQWLLVMKTYLPGTVI >CAK8544759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704911996:704912481:-1 gene:gene-LATHSAT_LOCUS13414 transcript:rna-LATHSAT_LOCUS13414 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKIFMDYMNDDMDEELVRLFMKEETSSSKRPRRQRRYIERNHEEGHDRLFNDYFSETPVYTNEQFRRRYRMQKHVFLRIVEALGQHDEYFRMMIDATGRSSLSPLQKCTAVIRMLAYGTSADSVDDYLRIGETTILKCVDKFTKGVINIFGA >CAK8559970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2756153:2758853:1 gene:gene-LATHSAT_LOCUS13695 transcript:rna-LATHSAT_LOCUS13695 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWKLFVVALMPIVKVLLITVLGIFLALDRLHILSNETSRKNLNTIVFFVFSPALVCGSLAETITSKSLLTLWFMPLNVLLTFIIGAVLGLFLVKVIRVPHHLHGLVLGCCAAGNLGNLPLIIVPAVCKQKGNPFGDADICHKNALGYASLSMAIGSIYIWTIVYNIVRVYSSKISNEVKVDDSMITPNSTLQIDQENISKDRSHTNHVKQLEIECTTSNERVKVPKNEKIKKHLKVLVENINLKSLFAPATIGAIVGLIIGIVPIFRKLLIVNNAPLAVIQDSIVMVGDAGIPAMTLLVGANLIKGLKGLGKQFPIIVGIIVVRFIALPGIGIGIVKGAIHFGLIHPDPLYQFLLLLQFALPPAVSMSTITQLFGVSEGECSVIMLATYSCAAFSLTLWSTFFMWLVL >CAK8544437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684017092:684018504:1 gene:gene-LATHSAT_LOCUS13115 transcript:rna-LATHSAT_LOCUS13115 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVYRISVAAEWEELQRNGSTFGRELDKSSRFIHLSKLHQVRSTLDRFFLNCKDELYLLQIDANKLGDGLVYEIVDGSNSFPHFYGPSRSFIPLPLDAVTKAEKLSLSNGRFSCSLLD >CAK8577542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572593979:572596420:1 gene:gene-LATHSAT_LOCUS29640 transcript:rna-LATHSAT_LOCUS29640 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGDLHKVWEIKALKRKPGEEQAHKMLEKIAKQVQPIMHKHKWRVKLLSEFYPNNPSLLGLNVGAGIQVKLRLRRPNRDSDFYPYNQVLDTMLHELCHNAHGPHNSNFYKLWDELRKECEELMSKGITGSGEGFDLPGRRLGGYSRQPPLSSLRKTALSAAEKRSKLGSLLPSGPNRIGGDSVIMKALTPVQAAAMAAERRLQDDLWCGSQSCDPSDHGDGDYEPAENPVNKQMIVGSSKPTENSNLALDPTSRKRSRGKDSSLPLHSSSNHTFVDLTMDTPKKRCVNENPTGSQRRSFGLETIPCSQSSSQAGSTSANLSSSSGSLSGDNRTLHSEENAMWQCLTCTLLNKSLAPICELCGTQQPRDVTTKHNIWSCKFCTLENSAKLERCSACDQWRYSNGPNLGP >CAK8564367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670451194:670452720:1 gene:gene-LATHSAT_LOCUS17679 transcript:rna-LATHSAT_LOCUS17679 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAAAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPAWGVDDFDPFVPGRIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGSGLVENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNRDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKKQGV >CAK8537841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441207223:441214599:-1 gene:gene-LATHSAT_LOCUS7095 transcript:rna-LATHSAT_LOCUS7095 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRASEGVVILEEEINNNNNAGSAKKARIGSTAACSGESTVNESDRSFNSGGGNNNGNSNSAGNLIAASTMAFGNSKPQEIDEDLHSRQLAVYGRETMRRLFASSVLVSGMRGLGAEIAKNLILAGVKSVTLHDEGNVELWDLSSNFVFSENDIGKNRAVASVSKLQELNNAVLVLSLTTQLTKEQLSNFQAVVFTEISLEKAVEFNDYCHSHQPPIAFIKSEVRGLFGAVFCDFGPEFTVFDVDGEEPHTGIIASIGNDNPALVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGAYEKGGIVTQVKQPKVLNFKPLREALADPGEFLLSDFSKFDRPPLLHLAFQALDKFISEIGRFPVAGSEDDAQKFISIANNINGNLGDGRLEDVNPTLLQQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLHPNDLKPINSRYDAQISVFGQKLQKKFEDAQVFVVGSGALGCEFLKNLALMGVSCGGQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNIVALQNRVGAETENVFHDTFWENLSVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPNEYTNAMRNAGDAQARDNLERVLECLDKEKCETLEDCITWARLKFEDYFANRVKQLAYTFPEDAATSTGAPFWSAPKRFPRPLQFSTSDQSHLQFLKAASILRAETFGIPIPDWVNNPIKLSEFVDRMIVPDFQPRKDAKIVTDEKATSLSTASVDDAAVIDDLIVKLERYRSNLQPEFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSIAEPVPAKVIKHQDLSWTVWDRWIIKNNPTLRELLDWLKGKGLNAYSISCGSCLLYNSMFPRHKERMDKKVVDLARDVAKMEIPSYRSHIDVVVACEDDDDNDIDIPQVSIYFR >CAK8534785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767687030:767687833:1 gene:gene-LATHSAT_LOCUS4299 transcript:rna-LATHSAT_LOCUS4299 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEHAYSTRSLSAASEMGSSFMLESGFYITSFSPTIFIAGFATLVLLLITLLVSMAMMLQSCQNNSAGILELRNVNDDYSYCKVHSLHAKFNHLEEHNVPEICKDLTVQYIKGGQYARDLDLTKSVIEEYFNGVKPSQDGFDVVLIDIDGIFPLSPPTDNLESRYLGHFMLLRHISSRI >CAK8573303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601672045:601673457:-1 gene:gene-LATHSAT_LOCUS25770 transcript:rna-LATHSAT_LOCUS25770 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAPIQILLVSYPAQGHINPLLRLAKILAAKGSSVIFTTTKKAGKEMQTVNNNTDKTVTKIGDGSLSFDFFDDGLNDDDPMRTNLCDYESHLEHVGKQYVSQMIKNHAESETPISCIINNIFISWVCDVATENEIRFAILWSESCAVLTTYYNYFHKLVPFPSKTEPYIDVQLPSVILKHNEIPDLLHPFNGFHFRTLLATLILGQIKNLSKALCVFVDTYDELERDFIDYISKKPVNIRPIGPLFKNPKIKGDFVKSDDSSDIIEWLNSKQEDSVVYISFGTIVHLPQEQVSEIAYGLLDSHVSFLWALRQHDDLPKAFLEETNGRGKVVKWCPQVQVLSHPSVACFITHCGWNSSIEALASGVPVLTFPDYGDQVTNAKFLVDVFRMGIRLGYTRAEEKLVTRDDVKRCLLEAMEGPKAQELKQNAIKWKKAADDAVVAGAGSSDRNLDAFLEDIKNCGAVNIQKI >CAK8576566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492910191:492912882:-1 gene:gene-LATHSAT_LOCUS28742 transcript:rna-LATHSAT_LOCUS28742 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSHLYRLPVTRIDVPSPVRFRGGKVFSVRSSADSDFDPKVFRKNLTRSDNYNRKGFGHKEETLKLMNREYTSDIIKTLKENEYEYTWGNVTVMLAEAFGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVQNIPLGEGKKQFEVVNKGDVVILPAFGAAVDEMLTLSEKNVQIVDTTCPWVSKVWNVVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKNMAEAEYVCDYILGGELNGSSSTREAFFEKFKFAISEGFDPDLDLIKLGIANQTTMLKGETEEIGKLVERTMMRKFGVEKATEHFISFNTICDATQERQDAIYKLVEKDMDLMLVIGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNRIAHKLNHGELVETENFLPKGPLRIGVTSGASTPDKAVEDALIRVFDLKREEALQLA >CAK8539318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509214466:509222529:1 gene:gene-LATHSAT_LOCUS8427 transcript:rna-LATHSAT_LOCUS8427 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELVNERIQLEASEDSQPQTKEDDVYSPKIDSRKLVKSQQPLEYNAILQGKNVVEAVSEAATSQHPYAMVEELTVKSYNGSSFDIGTSNSQVQMINNQQKHWQNLYQMTNNSGNGNSLSDIGLISSGQATSSAWEDIGSTSFPELLARKSHSDGQSNVIEHLAAAESKEGAGDVHRGMRTKVLSKSGFAEYFIKNSLKSKGVVHKGPSSDRFYVQPKEKNQVKAGSGANQNWIKTSIGANQNEMKTSTGIDQKQMKTGIGAQSNSNKSVKYDSKTANFPFHSDASVPKSSMTECDGVTLREWLKSGQRKGGKVESFTIFRKIVDLVDDSHSRGIALHNLCPSYIKLLPSNQVMYIGLPTQKQTADSVVNPEVLDLDNSFNRKRVSEQVTSSSIDMESKKQKIDESLRVTGSDLCVEAASHHKVQIPQYEKDNRFSPYNFGRMSSIPCVSNTGEFSSTSLCERWENKWYASPEGGCTTSSNIYCLGVLLFELLGHFDSEKAHIATMSDLHHRILPPAFLSENPKEAGFCLWLLHPEPSSRPTTGEILQSEVINGLQELCSEELSSCIDKEDAESELLLHFLITSKEQKQSDASKLVEQLKCLESDIEEAERRHSSRKSFVSSGLQNNYSCQKEIMPLRKELLSVDMRPTVSPISNTTEMRLMRNIGHLESAYFSMRSKVQLSETGATDHPEKDILRTRENWCGTQKGEEKHKSKDALGTFFDGLSKYARYNRLEVRGILRNADFNNPANVICSLSFDRDEDYFASAGISKKIKIFDFNTLCNDSVDIHYPAVEMSNRSKLSCVCWNNYIKNYLASTDYDGVVKLWDASTGQEFSQYSEHEKRAWSVDFSPVCPTKFASGSDDCTVKLWSISERNCLGTIRNVANVCCVQFSAHSSHLLAFGSANYSTYCYDLRNLRSPWCVLVGHRKAVSYVKFLDSETLVSASTDNSLKIWDLNKTSSVGASTSARSLTLAGHTNEKNFVGLSVADGYIACGSESNEVYTYYKTLPMPITSHKFGSIDPISSKETDDDQGQFVSSVCWRGKSNMLLAANSSGCIKVLQMV >CAK8576497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:484318760:484322696:-1 gene:gene-LATHSAT_LOCUS28680 transcript:rna-LATHSAT_LOCUS28680 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQALTVPAFPTFTIASHTKIPSQLAFSHRNPCTVASSLPSPYVHSSKIGLSSKTNGFRLKLDEKNTHDVSTSYGVIEAKKGNPPITPAVMTPGGAIDLSSVLFRNRIIFLGQPVNGQVAQRVISQLVTLATIDPDADILMYINSPGGNTYSVLAIYDCMSWIKPKVGTICFGVAASQATLLLAGGEKGMRYSMPNARIMMGQPRCGFGGHVEDVKRLVNEAVQSRHKMDQMFCAFTGLPLEKVQEYTERDNFLSVSEALEIGLLDGVLETEY >CAK8544333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678879251:678880633:-1 gene:gene-LATHSAT_LOCUS13022 transcript:rna-LATHSAT_LOCUS13022 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLLGAQRARKFYRFLRHCKVTILCLVLTIVVLRGTIGAGKFGTPEQDFVDIRNRFTSRKLPESHRILAEIHSSSTSSSSRSDISANNYNAFDINTILVDEEEQKEDKKSSSDKAYRLGPKISNWDKQRSKWLNDNPDFPNFIRPGKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEVFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNLVMHGWDEMVYDEKNWIGLNTGSFLLRNCQWSLDILDVWAPMGPKGEVREEAGKILTRELKDRPVFEADDQSAMVYLLAKEKEIWGDKVYLENGYYLHGYWGILVDRYEEMIENYHPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLVSRRVRRIRNETSNPLEVKDELGLLHPTFKAVKVSSSS >CAK8542770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544831926:544832279:1 gene:gene-LATHSAT_LOCUS11578 transcript:rna-LATHSAT_LOCUS11578 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLLAHAAIFMEGVKFTPAYLQSAGLNVRQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKHVVVIVSSVIIFKTPVSPVNALGTAVGLAGVFLYSRMKRIKSKPKAV >CAK8570466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48678324:48679070:1 gene:gene-LATHSAT_LOCUS23212 transcript:rna-LATHSAT_LOCUS23212 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNLNMEAENSLEVSSQVGSNFYFQETTSYDVTKDSATTSCLTTLPDSISLDLTLTLDPSDEDFKETSDTNSEIVGADQANNTTVSAPPVHRVFSCNYCKRKFFSSQALGGHQNAHKRERTMAKRAMRMEMFTQRYTSLASLPLHGSSSCHSLGIQAHAAMHNNHMPSLSSMRVNDIRATVKFENEYFGAAPMFMHNEDSGVFWPGSFRQIDQSVHAHNSRLNTGFVVATEPPQQTSTVSPDLTLRL >CAK8567826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547671160:547672405:1 gene:gene-LATHSAT_LOCUS20847 transcript:rna-LATHSAT_LOCUS20847 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTNIVNHHSLFDEEQQDHEIPMQMGFNIPFPPNMTLPPLGNCHDQSLKGVSAIITPEAATFAETLLATAVHKPREFEDLASGFGCVGGQNLDLNRSRMNSWAWGEVSDCWMGKRSSGGDDHNHIGVSAAIKMKKMKGRRKVREPRFCFKTMSDIDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDTCRVKKRVERLAEDPRMVITTYEGRHAHSPSNELEESQTQSELANFFW >CAK8576322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459223614:459224546:1 gene:gene-LATHSAT_LOCUS28520 transcript:rna-LATHSAT_LOCUS28520 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVSCFSENSINISNPSCSSYSNNTCITPNPLSPSIQTSISSIYKLVLSTLKQIVITVTWCKNHSNQGLTIAFNNEDPILPPFRLNTNSRFFRKKKGSKLLDFEDSKVTVLWDLSTAKYETGPEPVEGYYVVVVIDSEIGLILGDSETVTKRMKENVSMAKITLLSRRENCSGCSGNTMYSTKAQFCDGGSFHEVMIKCSTENEGSFNGKSSSSSPVLSVNIDKKNVIRVKRLQWNFRGNQTIFVDGLLVDLLWDVHDWFFNSVSGCAVFMFRTRSGLDSRLWLEEKNSQKDKDRIEFSFFIYACKSS >CAK8576110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408640269:408641682:1 gene:gene-LATHSAT_LOCUS28324 transcript:rna-LATHSAT_LOCUS28324 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKLSILVAFFVLLISMEASIAQGQGNGNGNGSNGNGNGNGNDNGNNGNGNGNGKGNNGNGNGNSGKGKEKAKDAKKKTPKDDESAMYEEIPVLPSGQERAFCKAKNTCQFKTLVCPEECKIRKPKKNKKNKGCFLDCSSKCEATCKFRRGNCDGFGSLCYDPRFVGGDGVMFYFHGATGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALSVMFDTHTLVIAANRVTQWNDNVDSLTVKWDGELVTIPTDDDAEWKTNGDEREVAVERTDDKNSVRVTVSGLLEIDIRVRPIGEKENKAHNYQLPSDDAFAHLETQFKFKNPTDFIEGVLGQTYRPSYVSPVKRGVAMPMMGGEDKYQTPSLFSTSCKLCRFQRPSTSQGLIAQY >CAK8565473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:230369182:230369979:-1 gene:gene-LATHSAT_LOCUS18687 transcript:rna-LATHSAT_LOCUS18687 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPIYGSPKRVLVCLFEKEVEFETVDIDLFKGEHKQPDFLKLQPFGEVPLIQDGDYTLYESRAIIRYYAEKYKNQGTDLLGKTIEERGLVEQWLEVEAHSFHPAIYNLVVQVLFAPLKGVPSDQKVIEESDEKLKKVLDIYEERLSKTKYLAGDFFSLADLSHLAFGHYLVNQTGRGNLVRERKHVSAWWDDISRRPSWKKVLELYKYPV >CAK8577161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:541616930:541620526:1 gene:gene-LATHSAT_LOCUS29290 transcript:rna-LATHSAT_LOCUS29290 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTLQSGSSTRKTWKYDVFVSFRGEDTRNNFTDHLFGALHKKSIITFRDDAKLKRGEDISSELLQAIEGSQILIVVFSTNYAASTWCLQELAKIADCIQVSGQTVLPIFYDVTPSEVRKQSGVFEKSFQEHEERFKAKLEEVQRWRRALTQIANLSGWDVKDKSQYTEIGKIIKEVTCLLGNKYSNLRSDIVGVHSRVEELENLLTMDSDDDDVRVLGICGMGGIGKTTLATALYAKISNQFDACCFIDDVSKVYGDHGPIGVQKQLLCQTLNEENLQICNLPMASNLIRTRLSRIKSLVFLDNVDEVEQLDKLDMKKELLGTGSRIIIISRNEHILREHGVDEVYRVRLLDRKCALQLFCRKAFKSDDIMSGYIYLTYDVLKYARGLPLAIKVLGSFLYGRDVTEWRSALSRLRANPRTDIMNVLRISFDGLEDTEKDIFLDIACFLHGHDEVYVKEVLDFRGFHPEIGLRVLVDKSFITCENLIIRMHYLFKELGKSIVREISPREPRKWNRLWDYKDVHNVISKNMATENLEAIVMQCDPENDKEIQKITLRAEALAKMSHLKLLKLLQFNFSGSLNFLSSELGYLFWDKYPFTSLPSSFQPHKLVQLVLPHSNIRKLWEGTKCLPNLKYINLSKSKNLIMMPSFKEIPNLESLCLEGCVKLVKIDPSIGTLRRLSRLSLKNCINLVSIPDNIFGLSSLQDLNLSGCPNLFNSKMLEIQRQTEQLEMLDNKESTTQYQLTLFISKFLEPHFRYLIFRKPEDSGCLLLPSLSRLSCLQYLDLSYCNLLQIPNAIGLLHCLETLYLGGNNFVTLPPSIKELSKLRQLNLEHCKQLKYLPELPSKYVLPVRRTTYFNAAEFNIFDCPSLMEMECCYGMAFSWMIQLLQVHMQSEIPLQEIRIVIPKTQIPKWFNKQNVCNSISMDPSPIMHDKNLIGVACCLTFVAHDNPTNLGDKEPHVGFGFHSKQHGRGIYSSFLNYLRKDLVTVDLEHFLLLFLSRERFIHWISRITDELDDISGIELRAMVVQPVGLHVEVKNCGYRWISKEDLEQLNPQMMYSGNLSVQPYH >CAK8533184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588828591:588829052:-1 gene:gene-LATHSAT_LOCUS2831 transcript:rna-LATHSAT_LOCUS2831 gene_biotype:protein_coding transcript_biotype:protein_coding MKRINKLLEPGSVSKKDTSRTDFEFATSALTELPNDVVFFGKVITRKTEPQTRTENAVVPGIRSSLGKESRYQRSGSERKRYTGLFGMVKFPLQMELSDIKMRQERREPVPLPKFTTKEDGGGESCWEMARPLRRRGTIMSYLKASFGCIHIV >CAK8535944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893354887:893356976:1 gene:gene-LATHSAT_LOCUS5358 transcript:rna-LATHSAT_LOCUS5358 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKQYDTKMNELLSTDGQELITTYDEVYDTFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQTGVHTVVGTPGRVFDMLRRQSLRSDSIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTMDDARMMSDIQKFYNVTVEELPSNVADLL >CAK8572976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574049035:574050277:1 gene:gene-LATHSAT_LOCUS25473 transcript:rna-LATHSAT_LOCUS25473 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLKEESNHEPVLSLIHQRVTESDNEKLLVPIRKKELLEALKQMHLDKSTRPDGFNLDFYQRLWEASGQEINLTKSEVLFSCNISRPDQEYLSRLMGVQHVLGIRTHLGLPSMIGRGKKETFSFIRKRIRKRINSWIG >CAK8543422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607042412:607046392:-1 gene:gene-LATHSAT_LOCUS12179 transcript:rna-LATHSAT_LOCUS12179 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQESRADQPKTVQVPSQLPPPLLVSDAFAKDAILAWYRGEFAAANAIIDALCGHLAQVASSSNDYDSAFAAIHHRRLNWIPVLQMQKYHSIADVTLELRNVAEKKIESVDGKTKIVERDGVDEKPETNVEKDGDEAPAEYDSPDSEITDSGLLEVQHSFINNSICSNHEECEGRPSEIKLTQGFTTKEFVKGHPVNAVKGLRLYEDVFSESQLCKLTDFVKEIHAAGQNGELSGETFILFNKQVKGNKRELIQLGVPIFGQIKDNAKSQIEPIPSLLHGVIDHLIQWQLIPEYTRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILMSENDGNYKGPLMLTLKQGSLLVMRGNSADMARHVMCPSPNKRVSITFFRVKEGSYQGQSTNPPPMTTTAMTVWQPGTASPLALPNGPISGHEAMGMIPKLGMFGPPMFMLAPMHPMTMNPSKLPRGGTGVFLPWKGHPRKHSRYLPPRAHKGRVMALPSLVESHREGSTSEPTIAVEG >CAK8538001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:456540316:456541307:1 gene:gene-LATHSAT_LOCUS7244 transcript:rna-LATHSAT_LOCUS7244 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHLTALSLFCLAFVGITATSPGEDYWQSIWPNTPLPKTFSDLLIPNGKTNSLPIKTEELNQYSTLFFEHDLHPGKTFHLGNTHPVGNVIRPFTQSKQGITDSIWLANKDEQSLEDFCYSPTAIAENKHCVSTLKAMIDQVISHFGTTKIKAISSNFAQDQNQYVVEEVRKVGENAVMCHRLNFKNVVFNCHQVKKTTAYVVSLVGQDGTKTNALTVCHHDTRGMNAELLYEALEVTPGSVPVCHFIGNKAAAWVPNHTVDNRC >CAK8539581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516909933:516912631:1 gene:gene-LATHSAT_LOCUS8664 transcript:rna-LATHSAT_LOCUS8664 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLKALPSNPCATTTTATEHILFNQFRKANSCSFKELKPRANVSANRLQVVKAVSHIDTTVEVSEAKKGSGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGIKELDGLEAKAKASGASQLVVKDLQEEFVKDYVFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGAEAVAHGCTGKGNDQVRFELTFFALNPKLNIVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPQKDMYMLSVDPEDAPDQAEYLEIGIESGLPISLNGKTLSPASLLTELNEIGGRHGIGRIDMIENRLVGMKSRGVYETPGGTILFSAVRELEFLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMQKITETTTGSVTLKLYKGSVTVTGRKSPFSLYRQDISSFEGSEIYDQADAAGFIRLYGLPMRVRAMLEQGV >CAK8532121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:208830339:208831238:-1 gene:gene-LATHSAT_LOCUS1856 transcript:rna-LATHSAT_LOCUS1856 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPNHTSSNDLLITVTVFAVTVIVFVTIYFIFRYRRNSVSRRLTPSSSSTVLSSGNRISPEISSSSSSVVDSLPMFTFSSIKRRSSSVVSGDCAVCLSKFEQNDLLRLLPICCHAFHAECIDAWLRSNLTCPLCRSAVIASESELVKIFRPASSSSDNSFRLELGNVSNRRGATASENVSGESDRRSYSVGAFEYFVDEEAEIPFGHTNRRIVSGEKDDAPSVPTEEVPVPVASQVSLVGEGNWLKDYMDSLWFRNSGRFFNGSSRRNDVVGVQDYDVEANTFGEEISEVFRWVSGF >CAK8562727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531692316:531693281:1 gene:gene-LATHSAT_LOCUS16199 transcript:rna-LATHSAT_LOCUS16199 gene_biotype:protein_coding transcript_biotype:protein_coding MERHKCKLCSRTFSNGRALGGHMKAHLAIAKSQKQQTVLFSSSSSESEQEEEHEEERTLVSYGLRENPKKSLKTADPGFSFKPDQTESVIVQDRESETESKNNPIRQQRSKRIRKHSSNISNNNNNENFELKKPKMSFTLRTTLSAFNDTEPVEPVEPVSSVSDTSPEEDVAMCLMMLSRDKWSRKNNVNNVVEEQEEEGSVEKIPKANLLKRVRGKHLCENCRKMFRSSRALGSHRSVCCRDGITNDNSNYDKIFECPFCFKVFGSGQALGGHKRSHLIPSSSNSAVNVNLPARFKESFIDLNLPAPLEEDDDLSVVSEA >CAK8539910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530964827:530965723:-1 gene:gene-LATHSAT_LOCUS8966 transcript:rna-LATHSAT_LOCUS8966 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYVELKKQAQKDFQQDPDIELGNLNPTQDPNLSQFFQEVEAIKIEMEEITNLLTDLQQLNEETKSTHSAKVLRGLRDRMDSDMVAVLRKANVIKARLEALQKSNVANRSMSECYREGSPVDRTRVSVTSGLKVKLRDMMNDFQSLRDKIVSDHKEDLKRRYYTVTGEVPSDEVMEKMISGSLKVEFLAGKTDADMGTQVRHEAVMDIQRSLNKLHQVFLDMAILVETQGEKVDNIEDNVVNAGHYIHGGTNNLYYASQLKKRNRKWIYWVIAVLLIVVLVCIIAMVSS >CAK8539662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520338138:520340435:-1 gene:gene-LATHSAT_LOCUS8740 transcript:rna-LATHSAT_LOCUS8740 gene_biotype:protein_coding transcript_biotype:protein_coding MENFMKLFAVLLFVILSVRDVSLARTENSENKKITYIVHVAKSTMPTSFNHHSIWYKSIVKSVSESAEVLYAYDKAINGFSTSLTVEEHQLLKSQPGILKVTPDKKYKLHTTRTPEFLGLDKIASLFPGTNKSSDVIVGLLDTGVWPESKSFDDTGYGPIPRDWRGKCETGTNFTTSNCNKKLIGARFFAKGFEASVGPMNETILSRSPRDDAGHGTHTASTAAGSAVENAGLFGYANGTARGMAIGARVAIYKVCWKDLCTGSDILAAIDQAIADNVNILSLSLGGPSRDYFKDNIAIGAFAATEHGILVSCSAGNSGPTPSSVTNVAPWITNVGAGTLDRDFPAHVSLGNGKNYSGVSLYKGNNLPDTPMPFIYAGNASNQEDEKGAGRCLPDSLAPEKVEGKIVLCDSGYLSRVAKGNTVKSAGGLGMVLANTETDGEIPRADAHILPATAVGFTDGEAIKKFLFSDPNPTSTIVFEGTKLEVKPSPVVAYFSSRGPNYITPQILKPDFIAPGVNVLAAYSKNASPTSWESDPRRVDFNILSGTSMSCPHVSGLAALIKSIHPDWSPAVIRSALMTTAYTTYKNNQTLLDSATNKPATPFEFGAGHVDPVSALNPGLVYDLTSDDYLSFLCALNYSSVNIEIVARRNYTCDPKKQYSVTNLNYPSFAVVFEGGDNVIKHSRTLTNVGEAGTYKVSVKSDVPFVKISVEPEVLSFKKNEKKSYIVTFTTSPSKQNITQTFGSLVWTDGKTGVRSPIAFSWKLH >CAK8571880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488977214:488978618:-1 gene:gene-LATHSAT_LOCUS24503 transcript:rna-LATHSAT_LOCUS24503 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEECEVIDLYELRYSDLSSSSLTKVDSIMEALGSNGPGLLAVTGIPNASNLRSYLLPLARNLSLLDRQTRNRILKEHNLGSDVSLKNPHRSVSSFAMQLNYSKTHSEEKEKEKDKDETQWYGNGFESLGNVFQELGFCMMEVGLCLARICDKAIGGNELEQSLLESLAAKGRLIHYHSQLDAILIRELDKSKTNNKKGVKIKNNIKPLEASCLDSVACDNAVRSDLWQQWHYDYGIFTVLTAPLFLSPSYSELSTLQDSCSCVECLSPTGHTNLQIYDPNKKKVLMVRAPPESFIVQVGESADIISKGKLRSTLHSVYRPLKFENLSRETFVVFLQPAWTKVFSISDYPRGKSAFKGVDGQCLVAGEFDHKEQQSGQDNNKLSMEIQKIVPPLSSRLKDGMTFAEFSRETTKQYYGGNGLQSNR >CAK8541887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:422190821:422191528:-1 gene:gene-LATHSAT_LOCUS10776 transcript:rna-LATHSAT_LOCUS10776 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSYAAMFAPFRNGIIKSMREEDYISNREMDLLSIPSNAGTLRLVQWPLFLLSIQECYCSIGKILYSLVDNEGRLWVERIFREINNSISEESLVITLTLKKLPHVMSRLTALTGLLIRNDPTLAKGAAKAVYELYDVVTHDLVSSDLRENLDTWNILARARDDGRLFSRIQWPNDPEIKELVKRLHLLLTVKDSAANVSKI >CAK8574615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3933154:3936711:-1 gene:gene-LATHSAT_LOCUS26944 transcript:rna-LATHSAT_LOCUS26944 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAMFSPTLNLAISSTTNCCSRKRESSRGLKNMRVRASSTEKDEDEKSSFNPFGFVTDNASSRSAIQLPQTPADDGNVGQMIDKIQDKGKEFGSYVKSGKLRWFVRETGSVKSRRGTIVFLHGAPTQSFSYRVVMSQLADAGFHCFAPDWIGFGFSDKPQPGYGFNYTEKEFHDALDKLLEALKVEAPFFLVVQGFLVGSYGLTWALKNSNKISKLAILNTPLTVSSPIPGLFQQLRFPLYGEFQCQNAIIAERFIEAGSPYVLKNEKADVYRLPYLASSGPGFALLEAARKANFKATFNEIAEGFATNRWDKPVMLAWGLSDKYLPQSIAEEFQKGNPQNIKLKLIEGAGHMPQEDWPEKVVDAFRMFF >CAK8567024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480343318:480345291:-1 gene:gene-LATHSAT_LOCUS20114 transcript:rna-LATHSAT_LOCUS20114 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSQKDIKIMSLRQIHQVTLEALEKLCSFYHQFSEVIEQKSKFIQACKKPYLEIKCNDKRCSCPTKKKYKKYAKSHRTFKGKKRKNMKFFRRKPFRGKGKNQRCFICGKKGHFSKECPNNTHKAANLINSLQPLEGDLESLYSEQSAADEETIFTLQDSSSDEALFSESEDERYIPVYSIKEICSSLPTTPLPCVEILVLATKFSRPKKVITYMDTGAQITMMNPSILPAETWVTHAAYFVAVDGNIFKTNLMTKEKIGIKFFPDCIVWTKVIGSNIPSKDIVVGMDVYSATIKLQILPTGIKFKIEFKPYSGILKLYSLSKVPAGYEEIKSNHADYVHIAMKHSATQNLYGRIKTSSFNLPSSLMNMLIPTKATHPWMSPSDYALARKECNQLLKQGLIGPTKSEWACESFYVEKRYETLRGKKRLVIDYKPLNHFLKDDKFPIPKASSLNVFIKDAQIYSKCDLKSGFWQLGIDPEDRYKTAFCIPNAQYQWTVLPFGLKVAPSLFQKAMTRIFEPIFNNILIYINDVLLFSKDEKTHKQLLGQFLQIAQRHGTMLSKKKSQLGQTEIDFLGMHFSRGKYQPQPHIARELLNFPDENLTVKQIQQFLGIINYIRDFLPKLARYTSPLSQMLKKKPPPWGTQQTEAVKALKKIT >CAK8571171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:321962172:321963392:1 gene:gene-LATHSAT_LOCUS23861 transcript:rna-LATHSAT_LOCUS23861 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLCRNFQRGSCSYGERCRFLHQQPNQQQRKSNAFGGQTNKNLFGFGSASASAPNQQQKNNPFGFGSHNTSQSNGAPRSDSKPNQFQPFENKWSRTSSKTQNGTQRPSDNNSQTVNHKCTDPEICKRQIAEDFEQEKPLWILTCYGHCKGAPCDIIGDISYEELRASAYEDAKNRMSLPLIVEKERNILKSKLAEFDKLLSEPYKMPLNSSLDIQKYQSNGANANAFSPATQNNGPLSVSSFSQLGASLNTGFERPSAPPAIAPAQLNSFGSGGNFFTSNTGNLFGSGISGSQNNTGNSFTSNIGNLFGSGVSGAQNNTGNSFTSNTGNLFCSRVSGAQNNNPFSTPEELTMFPGSTSQFQQPSIVLNNASSATMLQTASSDVQLNMSQVCRFQTLPQYKLQTLR >CAK8577600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577339935:577341909:1 gene:gene-LATHSAT_LOCUS29691 transcript:rna-LATHSAT_LOCUS29691 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVHDLFFFSLCSLALLVSMHQVRAKESYIRGQKQLNSCNMFSGSWVIDNSSTPLLYDSSTCPFLEPQFDCKKFGRKDTQYLKYSWKPNSCSLPRFNGVDFLNKWKGKKIMFVGDSLSLNMWESLSCMIHASVPNASTTFSKKDPLSTVIFKDYGVTLHLYRTPYLVDIIKEDVGQVLTLNSIKAGDAWIGMDMLIFNSWHWWIHTGKSQRWDYIRDGSNLVKNMDRLEAFYKGLTTWAHWIEANVDPSKTKLFFQGISPTHYQGKEWNEPKNTCRGEVEPVLGPKYPTPLPPSTDVVNKVLKNMKKQVYLLDITLLSQLRKDAHPSVYTKDHANDCSHWCLPGLPDTWNILLNAALIM >CAK8564410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672651589:672652652:1 gene:gene-LATHSAT_LOCUS17717 transcript:rna-LATHSAT_LOCUS17717-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSISLLLTIIQLTIANSQSTLCRTSCGSIPIQYPFSIDDGCGSPYYRYIVSCSQSSDHSHNLQLRTPSGSYLVHNVSYIDPHIVVTDPFMWNCEDGESYRPTRPFSLDTSTRFKLSPQNQYLFFNCSEEKVIVKPKPAFCEKFPEHCDSSCDSASYLCRHLPMCSFAMSGSSCCSYYPKATESLRLMLMYCSSYASVYWRNVGAPQPYDQAPEYGIRVDFDVPVTTRCLQCQDQSKGGGGTCGFDTQTQSFMCLCKEGNFTTHCNDYDAARHSRNVHVIAGTVTGISAFGALGIGAFIWYIKKVKAKAPVTCGVETNENRLF >CAK8564409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672651571:672652652:1 gene:gene-LATHSAT_LOCUS17717 transcript:rna-LATHSAT_LOCUS17717 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLTMSFHSISLLLTIIQLTIANSQSTLCRTSCGSIPIQYPFSIDDGCGSPYYRYIVSCSQSSDHSHNLQLRTPSGSYLVHNVSYIDPHIVVTDPFMWNCEDGESYRPTRPFSLDTSTRFKLSPQNQYLFFNCSEEKVIVKPKPAFCEKFPEHCDSSCDSASYLCRHLPMCSFAMSGSSCCSYYPKATESLRLMLMYCSSYASVYWRNVGAPQPYDQAPEYGIRVDFDVPVTTRCLQCQDQSKGGGGTCGFDTQTQSFMCLCKEGNFTTHCNDYDAARHSRNVHVIAGTVTGISAFGALGIGAFIWYIKKVKAKAPVTCGVETNENRLF >CAK8575254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:61396132:61397144:-1 gene:gene-LATHSAT_LOCUS27533 transcript:rna-LATHSAT_LOCUS27533 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVHDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRIFELAFPTMTFMCPPPEKIKTKGEVKKKDKKPVGYDVYRDPLYHEYVDQASQSSQRQSQPSQTSKNLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIKSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRYLGLEIIHNERSSLYANLFIDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFLMTTSFSPNVSIYCIGFVSRNHWVQVNIKEGFLLPPVTVDWKKFRSPVATS >CAK8539265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507774447:507784204:-1 gene:gene-LATHSAT_LOCUS8376 transcript:rna-LATHSAT_LOCUS8376 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVIMAPATSPVTSDGKRKFEDLHSEPTEQKLIESSTDGGETDAAVADEGENKRPRLDDDNKNDLASTNGHQEVKENAATLENASLEAVQDVSKDNTEEIAKEQTSSEISEAADAKDVPVEESKDVPVEESKDVPVEESKDVPVEESKDVPVEESKDVPVEESKDGPVEESKDGPVEDSKDGSVEESKEIPVDDSEQEKEKEPSKETEQLSKESNEEDASGDKLPDSSSIDPASQNEEVPDNKQNASSGQKQPLSGSDTTTRRIEVPSNKVGVLIGKSGDTIRYLQYNSGAKIQITRDAEADPHSSTRPVELIGTVESIDKAEKLMNAVIAEADAGGSPALVARGLSPAQAIVGSDQVQIQVPNEKVGLIIGKGGETIKSLQTKTGARIQLIPQHLPEGDDSKERTVQVTGDKRQIEIAQEMIKEVLSQPIRSSTGGFGQQAYRPPRGSGGPPQWGQRGSHYGHPQSYDYPHRGPYPSHNQSYAPTPYGNYPQHMAPRSSYGSGWEQRPHQSLQGPPSHNGGYDYYGGQGSHSSEAPSSAQHPSSVPHHGAGPSPLPSMGPSPAQVNYNYGQPQGQDYGHQTPYQQAGHPQQGYGQGYDESKYENRAPAQYPYGGHPNSQPNYPQASAQANYAPQQQYGKPPLYGMPPSQGQHPQSYGHPRATQPGEVQYQGSTPAQSYGTMQQSYPYASTGPAQAAYPTYGSAPAADGYSHPQSAPGQAYTQPGGQPGYGQPGAQPAASYAQVGPTGYGSYPSQQTYAAEQPASNNAVYGYQVPQDPAYSSGAAQAYSAAPTGQPGYVQPTQTQAGYDQSNPQPAVYAAVPAAGTAPAAYGKTVSPQPAYPQYDSTQVYGAPR >CAK8539264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507774447:507784204:-1 gene:gene-LATHSAT_LOCUS8376 transcript:rna-LATHSAT_LOCUS8376-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVIMAPATSPVTSDGKRKFEDLHSEPTEQKLIESSTDGGETDAAVADEGENKRPRLDDDNKNDLASTNGHQEVKENAATLENASLEAVQDVSKDNTEEIAKEQTSSEISEAADAKDVPVEESKDVPVEESKDVPVEESKDVPVEESKDVPVEESKDVPVEESKDGPVEESKDGPVEDSKDGSVEESKEIPVDDSEQEKEKEPSKETEQLSKESNEEDASGDKLPDSSSIDPASQNEEVPDNKQNASSGQKQPLSGSDTTTRRIEVPSNKVGVLIGKSGDTIRYLQYNSGAKIQITRDAEADPHSSTRPVELIGTVESIDKAEKLMNAVIAEADAGGSPALVARGLSPAQAIVGSDQVQIQVPNEKVGLIIGKGGETIKSLQTKTGARIQVLIPQHLPEGDDSKERTVQVTGDKRQIEIAQEMIKEVLSQPIRSSTGGFGQQAYRPPRGSGGPPQWGQRGSHYGHPQSYDYPHRGPYPSHNQSYAPTPYGNYPQHMAPRSSYGSGWEQRPHQSLQGPPSHNGGYDYYGGQGSHSSEAPSSAQHPSSVPHHGAGPSPLPSMGPSPAQVNYNYGQPQGQDYGHQTPYQQAGHPQQGYGQGYDESKYENRAPAQYPYGGHPNSQPNYPQASAQANYAPQQQYGKPPLYGMPPSQGQHPQSYGHPRATQPGEVQYQGSTPAQSYGTMQQSYPYASTGPAQAAYPTYGSAPAADGYSHPQSAPGQAYTQPGGQPGYGQPGAQPAASYAQVGPTGYGSYPSQQTYAAEQPASNNAVYGYQVPQDPAYSSGAAQAYSAAPTGQPGYVQPTQTQAGYDQSNPQPAVYAAVPAAGTAPAAYGKTVSPQPAYPQYDSTQVYGAPR >CAK8571833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482221153:482221771:1 gene:gene-LATHSAT_LOCUS24459 transcript:rna-LATHSAT_LOCUS24459 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGTLSGYLFECNYMPLSDSEHDNCLMTPDSVLEPAGLNQTSSGSGGYGGMDCRTTLACTATTEIIRRKRSGCSAFRPPCRPACSSVMEITGTEKPLWKNNGRSPLGWLTFYNKTEILDRRWHILGLGHNSGVDRNEIEQAVVIH >CAK8537321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:262013321:262013918:-1 gene:gene-LATHSAT_LOCUS6618 transcript:rna-LATHSAT_LOCUS6618 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHGLDPNVEIVPKGILGWGSKSSSSASNAWGSSVSPNANVGPSSPSHLSTRPSSGGSGTRPSTSGSDRASELTTGAWGSSSRPSSASGPPTSNQTSQASLHPRSAETRPGSSQLSQVAEHGAKNPVAWNAARTTETLVGFCSYLWLVTSYGVFTVIYMVSKD >CAK8532822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:521660039:521660976:-1 gene:gene-LATHSAT_LOCUS2486 transcript:rna-LATHSAT_LOCUS2486 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLGTLSSVPATKLGFIAIEAALKRANVDPSLVEEVFFGNVLSANLGQAPARQAALGAGLSKSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMENMSSVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCTELCADNHSITREYQIILQFRVLNMELLFKKVVLLLGESLYL >CAK8574474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:343011:346056:1 gene:gene-LATHSAT_LOCUS26818 transcript:rna-LATHSAT_LOCUS26818 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGYKSQYIGGQKEKFVRLDDLDSNSSKQSSSSVMKKLRFNINGLPIPGRGKKNTSKSFRFGVKRGSDGLKNIGRSIKSGVTWSVFPEDLKVSQKKVFDPQDKNLLYWNKFFEILCIISVACDPFFFYLPYFNHKSFCLAIDNKLASFIVTLRTICDCVYLLRISFQFRTAFIAPSSRVFGRGELVIDPALIAKRYLQRYFIFDFLSILPFPQAVVWKYLYSSRRMEVLDTKTSLLRNVIMQYLPRFVRFIPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSVWYLLAIERNDTCWKNACKEVIGCNSHFLYCGSSSKHIRGYEKWRNMSESVLKSKCFVEDGNSAFNYGIFSQAIESDIVASIQVFPKFCYCLWWGLQNLSTLGQGLLTSTYPGEVMFSIVIAIMGLVLFSLLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPSELRERVRRYDQYKWLNTRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEKTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGVLKEGDFCGEELLTWALDPKSAANLPSSTRTVKAMSEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIYIQAAWRKHLKRRRRKEEEEYYEGIDESTRALVPQAQNSSRFGINTTVYASRFAANIRGYRHRMPSSNNLLSIPKPPEPDFSALDNED >CAK8573902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645570372:645571796:-1 gene:gene-LATHSAT_LOCUS26297 transcript:rna-LATHSAT_LOCUS26297 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPIQILLVSYPAQGHINPLLRLAKCLAAKGSSVIFITTEYAGKNMRTVNNITDKSTTPIGDGSLTFHFFDDGLPEDDPIRSSLSGYSEQLELVGRKFLSEFIKNHAESNIPVSCIVNNPFLPWVCDVATDHDIPSALLWIQSVAVFVAYYNYFHKLVRFPTDTEPYIDVKLNSSFVLKYNEIPDFLHPYSKYQFLATLILEQFKNLSKVFCVLVDTYEELEHEFIEYVSEKSILMRPIGPLFNNPRIKDASDIRGDFVKRDECNIIEWLNTKAKGSVVYVSFGTIVYLAQEQVDEIAYGLLESQVSFLWVMKPPSKEAGRKPHVLPEGFLEETSGRGKVVKWGPQEEVLSNPSVGCFLTHCGWNSSMETLSLGVPVLTFPAWGDQPTNAKFLVDVFGVGSRLGYSQIEDKLVTRDEVKKCLLEAMTGEKAEELKQNAIKWKKTAEAAVAAGGSSDRNLDEFMKDIKKRDL >CAK8530331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14729666:14730646:1 gene:gene-LATHSAT_LOCUS202 transcript:rna-LATHSAT_LOCUS202 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARESWPFPEHVAWPSLYLNGASTLPDSSFCGHSAYLNPALSAFPGFTTPATPSLQTDQTNEVQGFVQDPKTEPCLKERNNHGAMQNANPASLQKKFIIFDRSGNKTRLFYSPVFPLNQSPIDTTMQFARATDFGEKHLPKYSFPDESDQDHVVNEESEMHENTEEINALLYSDDDEDEDENDEVTSTDHSPLSQKPFEDIKEDVASSDWPSKRHKLIDSGYARLPPPLDSASSVRLNEPSECVSDAESKYSGRQMYFTRKTEEDNSAVSDIQLRKAKIRESLRILENLTPGAKGKHPLLVIDETIDYLKSLMSQTGMLGVKNH >CAK8530336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14922016:14922958:1 gene:gene-LATHSAT_LOCUS207 transcript:rna-LATHSAT_LOCUS207 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQTFTPIEVMQENLAVSNVDESNVVASNGPNVEINSTPNEIESPISCEVDTEGLKRKLTSEVWNHFKRQKIDGKLKAICNYCGAKLLGAPKQGTSHLKSHIKSCPRRTNRDIKQALIKTEQVDGQTVMVGSYAFNQDIARYGVAKMIILHEYPLAMVEHIGFREFCASMQPLFKVVSRNTIKNDIIKIYNDEKENTMKLLSKNQSGIAITSDMWTSSNQNKGYMTITTHFIDDSWTLQSRLVRFIYVPAPHTSKTLADTLIQSLMDWNLDTKLSTMTVDNLFYE >CAK8578048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603786913:603788158:1 gene:gene-LATHSAT_LOCUS30092 transcript:rna-LATHSAT_LOCUS30092 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMSLKTSNKKMRRGFMCHSQSSTAVCMNTRDPRSVVVPKRIEKSLTLDDTRIINFAKYSKLVESPISNPVPKIMLRENSAKNQNYQAIEPRELQKTPTDNVFQVVVMRVAIHCQGCAGKVKKHISKMEGVTSFSIDVESKRVTVMGHISPVEVVDSISKVKKAELWC >CAK8565603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:290182816:290184980:-1 gene:gene-LATHSAT_LOCUS18800 transcript:rna-LATHSAT_LOCUS18800 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDMMKNLFISFFIFNLGFLEAEKVPAVYVFGDSLVDVGNNNYLNGTLAKAIFPYYGIDFPTNKPAGRFSNGRNAADLIAEKLGLSTSPPYLSLVSSMVKNSKSNVSFLNGVNFASGGAGLFNGTDQSFWKSIDMTKQVEYYSQMYEQLAHQIGASKLQKHISESIFLVVIGNNDIFDYFNSIDLQTNNTQQQYVKSMVSSLKLQLQRLYKNSARKFEIAGVAAIGCCPALRLKNKTECFSEANLLSVKYNEELQSMLKKWQSENKNLSYSYFDTYTAIQDLIQNPTSHGFVDVKTACCGIGELNAELPCLPSANICSNRQDHIFWDSVHPTEATTRIIVDRLYNGPSQYTSPVNMKQLLHVSIAKSNFPFNFFILLSLMVTCYQIKVK >CAK8538013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458493803:458494717:1 gene:gene-LATHSAT_LOCUS7255 transcript:rna-LATHSAT_LOCUS7255 gene_biotype:protein_coding transcript_biotype:protein_coding MATREVNKPQSLPPYPELILKAIDALNEPNGSNKSAISNYIESIYGDLPEGHSVVLLYHLNQMKESGDLAFAKNNYMRPDPNAPPKRGRGRPPKAKDPLASPPSGAVSTPRPRGRPPKEPKDPNAPPKTPKTSGSGRPRGRPKKIARTDDADVSTPAPLSVAAVNVDVVVPCVAAVPTSSGRPRGRPPKVKPQMTQVSVS >CAK8562951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560766583:560766879:1 gene:gene-LATHSAT_LOCUS16411 transcript:rna-LATHSAT_LOCUS16411 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNQRSAAEIGKKVHREFAHWFCNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLE >CAK8564945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18048118:18048477:1 gene:gene-LATHSAT_LOCUS18195 transcript:rna-LATHSAT_LOCUS18195 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPLSNNLKIPADLCLGHPLNQRASRIRVEQLAATTSARLEEATKAIQASNEIARKATEQYQASNEFAKKLESELNALKAFIMQKLDATNGQSTSVVIRSSNPHYDDDLDDQSLSED >CAK8530333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14845308:14848760:-1 gene:gene-LATHSAT_LOCUS204 transcript:rna-LATHSAT_LOCUS204 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTCTKAILPLSKLRAPMHQTYARVAAAPIIEDKVEPLVNLDKMFWAKPCSLALPRDSPLRVDEPDYQGVKRFMLKLMLFYSKQSKSIRGANVVYKRIVSQVDKPLIYEVFNLEKTFKTTFSLLVLHMWFYLRRIKQEGSEGVEFGQYLYEIYNHDVEVRVSKAGVNLLLIKWMKELEKIFYGNIVAYDAAILPEAKPGDFASIIWRNIFSDDGSSTPDKAALQSVQALARYAQREVSCMTLTDKEALLSGNFMFTSLKHEIKSKEGHQL >CAK8560429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18929251:18929653:-1 gene:gene-LATHSAT_LOCUS14098 transcript:rna-LATHSAT_LOCUS14098-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIVNRYNVVLVCLGNECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEEFPLPTVTVDWKKFRFPAATSWMLGFAGRLQYW >CAK8560428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18929251:18929755:-1 gene:gene-LATHSAT_LOCUS14098 transcript:rna-LATHSAT_LOCUS14098 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIVNRYNVVLVCLGNECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEEFPLPTVTVDWKKFRFPAATSWMLGFAGRLQYW >CAK8577798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589161828:589162506:1 gene:gene-LATHSAT_LOCUS29869 transcript:rna-LATHSAT_LOCUS29869 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLSSVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >CAK8537721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:423269751:423271451:-1 gene:gene-LATHSAT_LOCUS6984 transcript:rna-LATHSAT_LOCUS6984 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSGFSRPGGFRPENFGQNTMAMIGNVCFSVFVIGVLVFTIMAATYEPEDPLFHPSTKITTFLTSKSNATFKSDNSVVRTGEDFMAANESVFGSIINMTDVDNSVSGESNEAEATQCEGNSGPIDCRDPEVFHLMMRATIEKFKDIHFYKFGKPVAGSNDSTCDMAWRFRPKDGKAAAFYKDYRRFVIERYENCSRSIVSIGEYHTGMNARKRKKYQKGGLEKTSLQPDQVNALPVVGEFVNDSLPVVESESSFSRGKYLVYMGGGDRCKSMNHFLWSFLCALGEAQYLNRTLVMDLSICLSSIYTSSKQDEEGKDFRFYFDFEHLKEAASVLDKDQFWADWSKWQQKDGMNLHLVEDFRVTPMKLMEVKDSLILRKFGSVEPDNYWYRVCEGETESIVQRPWHLIWKSRRLMEIVSAIASRLNWDYDSVHVVRGEKARNRDLWPNLDSHTSPDALLSTLRDKVDEGRNLYIATNEPDTSFFDPLKDKYTTHFLDEYKELWDETSEWYSETTKLNNGVPVEFDGYMRVSIDTEVFLRGKKQLETFNDLTSDCKDGINTCNVAAN >CAK8541943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439752631:439753468:1 gene:gene-LATHSAT_LOCUS10829 transcript:rna-LATHSAT_LOCUS10829 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGASLGVILTSVVVFEQRRYIFASISDSTSHSQVREPIFGKKSRSEFAHSWNKTVDQTFGPLIKSLSSRGW >CAK8541010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:42468984:42469685:-1 gene:gene-LATHSAT_LOCUS9963 transcript:rna-LATHSAT_LOCUS9963 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKKGNIFILTLTGNNDHLLNPTLLNSIKSALHRVRQEATVSSALITTAHGKFFCNGYDIDWAQSITDRIILIDDLLRSVISELLTLPMPTIAAVTGHASAAGYILALAHDYVLMRSDRGFLYMSELDIDHVLPAYFIAIVEAKVGNAAARRRIVMQAEKLPAKEAVRLGIVDSAHDSAEETVKAAVSLGGDLVKRGWNGHVYADNRKKFLSHVIRAVENKSERAIESKL >CAK8530876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58752201:58757217:1 gene:gene-LATHSAT_LOCUS708 transcript:rna-LATHSAT_LOCUS708 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGKRNRPQRDRGDRNGDNKNQKRRVNDKDERDRGELVVYRILCPDGVIGSVIGKNGKVINSIRQESRAKVKVVDPFPGAKNRVITIYCHVKDKEEIDAEDEFDNDKPLCAAQDALIKVHSAISNATEAAGDSEKKRKSKEECQILVPSSQSAIMIGKAGATIKRMRVKTRTNIKVISKDAADPEHSCALDFDNFVVITGEPEAVKRALFAVSTIMYKFSPKEDIPLDTSLPETPHSIIIPSEVPIYPPGGLYPASDPIIQPRSFPQIIGATTVQDLHGYADTGNAWSLYSSSLPVVSSLGASQSEELIIRILCPSGKIGHVIGKGGGTIKRMRQTSGARIEVDDSKARHDDCLITITATESSSDLKSIAVEAVLLLQEKINDEDDTPVSIRLLVPSKVIGCIIGRSGSIINEIRKRTKADIQISRSNKPKYADDNDELVEVVGEVDCVRDALIQIVLRLREDVLRKRDIDQKPPIGAESLYTGSSVLSAPSMLPSVPAAALAYDQRTGSATATGLGMHSSSSRYGYDSYSMPDNGYGSMSSYATKMYEGHNLPPLSTSEMLVPANAVGKVMGKGGANLANIRKISGATVEISESKSYRGERVALISGTSEEKRAAENLIQAFIMAT >CAK8566523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432837532:432837843:1 gene:gene-LATHSAT_LOCUS19647 transcript:rna-LATHSAT_LOCUS19647 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVYAHNQLNKRRELWDHIQGLTITIKDPWVVIGEYNNVLTVDDRVGGCPVHEVEYQDLDMMMRMKITGLFEHNTTEKHYTWSKNHTNGAIYSRIDRAICNK >CAK8570484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49937613:49938098:-1 gene:gene-LATHSAT_LOCUS23229 transcript:rna-LATHSAT_LOCUS23229 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVTSVSYRFSINGSPSKLLREKRGLRQGDIISPLLFVITMEYLHRILQTLARNLNFKFHPRCERLNIINLFFADDILLFTWGDGISLQLIMEKVQKKLDATGLCISNTKSKMYIGGVDEETRKHLYHLTGLTEGEMPFRYLEVPLSNKKTNCQELPTLN >CAK8543953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651045170:651045712:-1 gene:gene-LATHSAT_LOCUS12673 transcript:rna-LATHSAT_LOCUS12673 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTYFSLLLIIPTILISHAISQTSNPNLYEAICAGGVAEKHQQERCLKLLESNPQITSAKDYLTLSKAYLEMAIEKATKGKEYLKSLINKYPSSQALNTCATKNYDDLIYGFQLATSVVAGDPDGAHDDVSNGSEGPRTCDQSLANENIVHDPSVSALNDDMVFLCYIGGEAIDAISH >CAK8538439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482662931:482665079:1 gene:gene-LATHSAT_LOCUS7633 transcript:rna-LATHSAT_LOCUS7633 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFFLLLLFASSFIQGHARSPPETQGFISMLITQNGLDFVKDLLVKKAISSLISLHLPNIEKGMKIPFLGNVYLVLSDITIYQIDVPSSVVKPGETGILIVASGVSCNLSMNWYYDYSTWIGPVKVSDQGLAHVQVEGMEVELSLGLENQNGSLDLKLKNCDSSVKDISIKLEGGASWLYQGVIDAFEGNIGSAVENAITKKLGKGISKLDSYLKSLPKEVPVDDNSSLNVTIINNVLLSESSVGFETNGLFIERNDSVHIPNLLHKNSKLPILCTNSSKMLAITLDEAVFNSASALYYDAKFMHWIVDQIPDQSLLNTAGWRFIIPQLYKKYPNHRMNLNISLSSPPVVEISNQKAGVHVFADLTIDVLEENEVIPVACISLMIQASGLVKINGNNLVGTIRLDDFGMSLKWSNIGNLRMFLIQPAMWTIIETVFLPYANSHLSKGLPLPIIHGFTLQDAEIILSTSKVGVCSDVSFAESNKHFSQFIMYR >CAK8571543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:437336586:437337047:-1 gene:gene-LATHSAT_LOCUS24194 transcript:rna-LATHSAT_LOCUS24194 gene_biotype:protein_coding transcript_biotype:protein_coding MELEYPEFLITILPSDGQRQSLLALVCLASLQSTGIWVYVERLSDDKWCQLFLWGNVGRTDLCGYYVNWVSRNLSSNKRNYNRSYKPTGGKFML >CAK8532681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:477384257:477387634:-1 gene:gene-LATHSAT_LOCUS2363 transcript:rna-LATHSAT_LOCUS2363 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEAHENLCAIRLLYRLLEDNSTALKDSNSENVVERARVLLKSLLDVAVEVVFETHLKTTATQAGKSKTSIEQEKLVTMPQSKSAVADNNSQTSENNQTRSKMALQKDEQPQLELLCNKEQSKLSNFSISDTGGTNSRTLSSLSEENGMMQHNLVKEQNQSNEDALPNIESNHHEQQHNNVNALYGLGKHAEESLQMLDTKVGESNNDSNMPLQSASRFSECLEQKGDFSDDLVNAIKRIESRILAFKLCSNLGESSKSNSAVRDPLHKVANLESPKIQRKDSAARSHFNCKKSLFEGHRLMDQHTRDSSSKGVNLISESAAEEPFLDRNASSRQSQVAYQNCRLRTNNAESAKSVNIPKHISTQLVSGEEELRSGTRIQPSVQNMDMIERVKSLNKLVSGDAHFGNQASECIQGLRVPLNQADLTKKSSMFSSQTNRESLVRKSPIAGWSKPDLNHKEKNSESSHAQNLQRFTPTVGRREKPQPHKMVIKPTLLDQRSNEIKVNYHQHRDESVLYRRGTHKTGHVEPCKTRVETQLREPEESISDSSSQWTSQQDSASTGSESEDFLSVGTQGSKSGRMVDALHEESSKESSDSNFNISNASSCRVKSHGYHSERYPKKTIGGLKRLKNKLGLIFHHYHHHHHHHHDGNNGSLYSYEGPRNSVWNHLQNVFHHKNKHGMITNAKVEKTRRGAITKVVPHRNQIGHFHRLVEGLLRQMQHSKKPKPSKHGLVKGSHNNAHGHGAKKLHWWQTLRRSRGVKLKNKGRVKKLGLISQKSLNN >CAK8543602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624784868:624785323:-1 gene:gene-LATHSAT_LOCUS12350 transcript:rna-LATHSAT_LOCUS12350 gene_biotype:protein_coding transcript_biotype:protein_coding MNYACILKLVWQFINGVVDLWCRVLHGLYNDDKLNKYKIRKNYGSCLWKDFLKAMSLLLQFSKWSIRDGKTVDMWDDNWIKVGSSLREQMQAILEEWQGRKVRDFVIVTGEWNWQVLQNWLSRENLDKISHITLPYEDQDMDKFKLVGVSK >CAK8535375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845039357:845040880:-1 gene:gene-LATHSAT_LOCUS4840 transcript:rna-LATHSAT_LOCUS4840 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMRHYLKLLTQNQQGQTRKLCRCFYQGTQLSPNNDDSVDDLSMVSSRIRLRDGRHLAYVERGVPKDKAAYKIIIVHGFGSSKEMNFLAPQELVDELGVYLLQYDRAGYGQSDPNPKRSLKSEALDIQELADQLQIGAHFYVIGVSMGSYATWSCLKYLPHRLAGLALIAPVINYRWPSLPGSLIKDDYRRRFIKWALWLANHCPKLLHWWVTQKWIPSNAVIEKNPTFFNKNDIDILKTIPGFPMFSKDRLREQVVFDTLLHDWKVAFGKWEFDPMKLSNPFPNKQSSFHIWQGYEDKVVPSEIQRFVSSQLPWMQYHEVPDGGHLIVYYKGLCEAILKALLLGQENHAYKPRSSLLFKDECYQETI >CAK8569497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3124602:3133367:1 gene:gene-LATHSAT_LOCUS22342 transcript:rna-LATHSAT_LOCUS22342 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADSDYEGAAIHSLGSLFSVTEVFLWDDGFQVKPSSEAHHNNRESNPIPDRSNIIIVPEDLELNKQMNELGLPLSFETNKKCGLVKSKKKRVGSKHPRTCNNPIDEALNEVSTEEVISPAKFHAKTNSSLSCISMLGQSEKSYCDGEVEVDMAQCLSDEGDDASCCAGLANGISKEGNNNIKVAETNDVQNSDFDLKIACALDTGVSAGSHLTDAGVNFCGIELGEVDNECLEISPIVCKTADCETVFNDDGAATWQPQANESESLPMSLEWNGSDRNDWSNDCGELGDWMVLWDTFYKQRYFYNVKTDISTWDPPLGMEHLAFGECTELDDSEALKSAEECETQSSIKATKETMVEENLLGMQHEEYSAEIGVAAGNLVSDIATNSEGQCLHHSDENLERSSCNDGVSCCSVPNTLDHVISSNDRCSQATSEVDHTPMENMVIDTPGLDSKSDPFMSKQKKKVNRKPRQKKFDYETEDLQLQKMPEAYSAIIEKYWCQRYILFSRFDDGVKMDEEGWFSVTPEVIAHYQASRCAGGTVIDCFTGVGGNAIQFAQRCRTVIAIDIDPLKIDYARHNASIYRVDDQIDFIMGDFFLLAPKLKADTVFLSPPWGGPDYAKVLTYDMKTMLRPCDGYTLFNAAKEIASRVIMFLPKNVDFNQLAELSLSACPPWSLEVEKVHLNGKLKAVTAYFCRAPVGGD >CAK8533495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625782198:625782653:-1 gene:gene-LATHSAT_LOCUS3118 transcript:rna-LATHSAT_LOCUS3118 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEVPPCRSTDCRCIPAGLLVGVCRHPSGVFLRTIDEHPNLCESDADCRKKESGNFCGHYPNPDIEYGWCFESKSKAEDVFSKITPKDLLSTV >CAK8573739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634079593:634081899:-1 gene:gene-LATHSAT_LOCUS26147 transcript:rna-LATHSAT_LOCUS26147 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFLLLLFLFSHFLTPSLSQPPPLKGFLINCGSSSKTIIDNHSWLPDSNFISSGTPRNITSSVLIPTLRTLRSFPHQVKKHCYNIPVYRGAKYLVRTTFFYGGVNGPDHPSPPVFDQIVDGTLWTVVNTTEDYAHGNSTFYEGVFLAKGKFLSFCIGSNSYTDSDPFVSALEFLILGDSLYNTTDFKNFAIGLVGRHSFGYSGVPIRYPDDQFDRIWEPFGQSNTTKANTDNVSVSGFWNLPPSKVFETHLGSDQLESLELRWPTASLPRSKYYIALYFADDAAGSRIFNISVNGVHYYRDLNAIPSGVVVFANQWPLSGPTTITLTPSASSSSGPLINAGEIFNVLSLGGRTSTRDVIALERVKKSLRNPPLDWSGDPCMPRQYSWTGVTCSEGSNIRVVTLNLTSMDLSGSLSPFVANMTALTNIWLGNNSLSGQIPNLRSLTKLETLHLEDNQFSGEIPSSLGNIGGLKEVFLQNNDLTGQVPANLIGKPGLIVRTSGNNFLSPPAP >CAK8531275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95318994:95319278:1 gene:gene-LATHSAT_LOCUS1078 transcript:rna-LATHSAT_LOCUS1078 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSEKLQKYRYPITIAILISILFLLVIYAAPHFLTILTYFWPLFASTTVFLIAIIAFGGVSKFSSETHGEKAGEGLLDYVAGKPEHTQEAQYF >CAK8561802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386445034:386447427:1 gene:gene-LATHSAT_LOCUS15358 transcript:rna-LATHSAT_LOCUS15358 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDDAKSEIDKLKAELRSKSDSLENLKRSLNAQVNQTREAKSKSERLDHELLRKADEIAEVKNLYEDLKGKLKEQESIVKHLRGAIDKIRVDCDEQTNKLEDGNRGLVLALEEANDKVENQEQKICEYRKEIERLKSCISVSKKCSCSESQKSLKSSKEVIERDDMFYKLEEEKGKLEDQLKWKKEQFKHLEEAYEKLKGEFRSSKKEWEMEKTTLLDEISSLQIKLDSQIRLYEDLQHQLQTCHQALAHVENQKKQLEVEVSDFRLQLECAGSEYHDARQQLDCLNSDRDKDVADLRYSLKTQAAYIKEEKYRTEKLEQENRELRMLVKELQEAQIQEAGASYSQSKLRTKLKNLEQTHKECALTLKAREAEWNSQIEQLNGDLNRCQSELEAKIAAVEELQMELENSHSEAEWNSRIEHLNGDLNRCQSELEAKIAAVEELRMELEKSHSVTIETRLLNEEMYVMLLVLKQAISEAQLKFVNYKDEMDLINKEKEGKIIQLMKELEMKDDALVSTRKGLNEEREKAACLKKEVESYGSNKDLQPSQQNELDKYKEMLEESTKRQQILEEQVLQMEHDSKGQLRETHEALDIAISELDERICERNEMEFELQIWKSIVERLKNDLEKSHSARKELETSLLAQVEIGESIQQELQKEVVLLEQESFRREFESVVIAKGTIERKLIMENEKLIENASKLSLEKENLLAFVQGLSDRIYELSNADTQLMDMLRSMEKTVEIDCHGMNLKKEDSFCHVKENLGVQVSPTTSTNRLKKDESMSDMRSPFKELNSC >CAK8544553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692320680:692324508:1 gene:gene-LATHSAT_LOCUS13219 transcript:rna-LATHSAT_LOCUS13219 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSKGSFLSLFDWNAKSRKKLVWNNPTLPEVSKQGKENLENLTDSQFYRIKGDENGASPSDIASGDFNCAVSGCSDEGCGSKAPGLVARLMGLDSLPTSEVGELSGASFYKSHGGSRYNVGDLRFTDDFCRANYLNTPLKPEKSSWDAMESKARKMENRPMKRFQTEMLPPKSAKPIPVTHNRLLSPIKSNGFLPPKNAAHIMEAAAKIIDGSPQPYMRNRMLSSGSSSVPLRILDLKERLEAAQYAPIPRKQADPNNTNPSNCKPSERSSNLYKCTSAFKGSRDSEKRSSCHLTSKGKSGSLTMASKNNAQSRDTLVSNGNRKYMRQKEQNDIKSNQPTRSQKPNINRVLPQKTNANRNSNVLVQNNQKQNGMISKGKSASKIDSNKPTARGSSSESSTGIRKATNKGATNVNIPPKRSGSRATDNRKEFPPSKTQSISQKKKHNSRGFHEARSPDHAKSDFESKSIKCNFTTDGSIDQNAFNMNESSDVISFTFTSPLRKSMPESLSSDQVTETRTRFGADSLGHSDNLHPKKLSLSPTHMIDSDALSVLLEQKLQELTSRLNLPQCTLASEEPSTDLRSSLQDKASSIVNTTDKEQDKMFSDELNRVHNYRFYSSDEPVLNMNQQLQTSEVREDPSYSSNNESGNDVGCQHSNADTNFPGPSVSESYMDSEDSIYGSTVYSSMQDEEVSSISQINRSESLENEAMSSEQSSSVSTLRNMSVTQIIRTPNMVDFKRSGIMELDYVQNILSNAEFMAEEFIMGRTNTVIMPNLFDLLENYSTGPTYCEEECSKLERKVLFDYVSECLEIRCEQAFVGSCKSWPRWVTSIRRKNILAEELYKEMLGFRNMEDVMVEELLNNDMSSGYGKWLDFDIEAFEEGLDVEEDMIESLIDELVSDLLVV >CAK8561694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:357316596:357369493:-1 gene:gene-LATHSAT_LOCUS15260 transcript:rna-LATHSAT_LOCUS15260 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIKNSNDENTLVPEFLQQDDIGEECKELLPTLPLVKGWIESDLYKYQGFWFFPKVLHGVLSCQKHFQAIDTDILLVTFPKSGTTWLKALMFALVNRNKYPNIHDDHPLLTKNPHDLVPFWEVGLYYDKDLVPDLQTISPPRLFSTHISYESLPKSVRDSNSKVVYLCRDPKDNFVSLWHFANKNRPKSRETVPLQDAFESFRRGETPFGPFWEHVLGYWKKSLESPNKVMFLKYEEIKMRPNFYLKEIAKFLDCPFSKQEESKGLDNDILNLCSFDKLSNLEVNKIEKTSFKVENKSFFRLGQVGDWKNLLTTEMIEHINTMTEKKFLKHGLKF >CAK8561695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:357316596:357317606:-1 gene:gene-LATHSAT_LOCUS15260 transcript:rna-LATHSAT_LOCUS15260-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIKNSNDENTLVPEFLQQDDIGEECKELLPTLPLVKGWIESDLYKYQGFWFFPKVLHGVLSCQKHFQAIDTDILLVTFPKSGTTWLKALMFALVNRNKYPNIHDDHPLLTKNPHDLVPFWEVGLYYDKDFVPDLQTISPPRLFSTHLSYESLPKSVRDSRCKVVYLCRDPKDNFVSLWHFANKNRPKSRETVPLQDAFESFRRGETPFGPFWEHVLGYWKKSLESPNKVMFLKYEEIKMRPNFYLKEIAKFLDCPFSKQEESKGLDNDILNLCSFDKLSNLEVNKIEKTSFKVENKSFFRLGQVGDWKNLLTTEMIEHINTMTEKKFLKHGLKF >CAK8568375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599805628:599805971:-1 gene:gene-LATHSAT_LOCUS21336 transcript:rna-LATHSAT_LOCUS21336 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNQNPPPVNVNVSAPPPPGGYPPPGYQGYPPPPPPPQPQVVVSQTAPQNSAAAQTGLMACLAGLCCCCCLEETCCLCCL >CAK8570112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24249432:24250968:1 gene:gene-LATHSAT_LOCUS22893 transcript:rna-LATHSAT_LOCUS22893 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMGFPKLDEQKAIQEAASEGLKGMEHLILTLSHQPTQLNTQLTDLTVSKFKKLISLLNRTGHARFRRAPVQPPPVQAQSTLSSSSLPPPPPPPQPQTSTPKQFPSPPPSLSPPLAPTPISIRHAPSTFPQPQHHSLTLDFTKPNDVVLSSNAKNSIVELEFSKDTATFSVSSTSSFMSSAITGDGSVNGKQGSSIFLNPAATPAISGGKPPLSAAPSKKRCHDHGEHSDDVSGSNKCHCVKRRKNRVKRTVRVPAISSKTADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERAPDDPTMLIVTYEGEHRHAIQAAMQENAAGIVGLVFEST >CAK8530882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59051581:59055283:-1 gene:gene-LATHSAT_LOCUS713 transcript:rna-LATHSAT_LOCUS713 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQTNLFPSPMLTPQSSTKTLPTTTVTATVTKRRAIVFNSGLLVASWLNLRNLASSQSQQLQDELQQQEHHLVQLFQEASPSVVSIQDIELTKDPKNPSNLAVLDDDEDSKVEGTGSGFIWDKFGHIVTNYHVVAKLATDTSGLQRCKVFLVDAKGNRFSKEGKIVGFDPSYDLAVLKVDVDGYEIKPVLLGESKNLLVGQSCFAIGNPYGYENTLTTGVVSGLGREIPSPNGGAIRGAIQTDAAINAGNSGGPLIDSHGHVVGVNTSTFTRKGTGASSGVNFAIPIDSVIRSVPYLIVYGTPYSNRF >CAK8561986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:421816673:421820681:1 gene:gene-LATHSAT_LOCUS15525 transcript:rna-LATHSAT_LOCUS15525 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQLQPINGISQLLVRTPFPLKKCARKLFIFRPQAVSSKTQRIMEGVSVSGEVGGAGGAYSYQALKRLDQLWSNICSPQQVVQEPQQVVYTIPSLFTSSDLADKAEGSYDVIVCGGTLGIFIATALCARGLRVAVVERNVLKGREQEWNISRKELLELVEVGVLEEDDIERVTAAKFNPNRCGFERKGDIWVNNILNLGVSPARLIEIVKKRFISFGGVVFEGLSVSCINVYDDAAVLKLSGDKILSSRLIIDAMGNFSPIVKQIRRGRKPDGVCLVVGTCARGFDKNSLSDVIFSSSTVKKVGDSKAQYFWEAFPAGSGPLDRTTYMFTYVEPQPGSPKLEELLEEYWDLMPEYQGVSLDNLEILRVIYGIFPTYRESPLPAAFSRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSAGIHEAIRGDYLDSYNLSLLNPYMPNLSASWLFQRAMSAKQQSDDVPEDFINELLYANFSCMQRLGDPVLRPFLQDVVQFGPLSKTLGLVMLTRPQILPSIFKQVGIPVLLDWSRHFLMLGYYTFLATFADPIVRPFLSTLPSKTSFRWKRHLEAWKYGSGLDYNL >CAK8536166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913670423:913670935:-1 gene:gene-LATHSAT_LOCUS5559 transcript:rna-LATHSAT_LOCUS5559-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGQYRVELHKNWCDCRKFQTFCMPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSKRITTEMDTTEKMERLYGICRLPRHTRNNCPNVGTSSR >CAK8536165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913670423:913671295:-1 gene:gene-LATHSAT_LOCUS5559 transcript:rna-LATHSAT_LOCUS5559 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKVVNVGYALNQPSFMYYREEIRLSSAEALRWVDSIPVEKWTRSFDGGCRWGHMTINLVESLNGVFKGNRNLPITALVRATYYRLGSLFAARGKKWSVVLELGQIFSESCMKYMKDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGQYRVELHKNWCDCRKFQTFCMPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSKRITTEMDTTEKMERLYGICRLPRHTRNNCPNVGTSSR >CAK8574661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5855995:5858828:-1 gene:gene-LATHSAT_LOCUS26986 transcript:rna-LATHSAT_LOCUS26986 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKNEIQLSSVPQTKIGFQKDIISQPQIHNKGGFITMPFIIANESLARVATLGLLPNMILYLMGSYNLHLSKATHILLLSVATTNFMPLIGAFIADSHLGRFLAVGLGSIITFMGMVLLWLTAMIPQARPPPCNPATEKCISATTAQMTMLISSLALMSIGNGGLQCSIAFGADQVNRKDNPNNQRALEIFFSWYYATSAISVIIAFTGIVYIQDHLGWKLGFGVPAALMFFSTFFFFLASPLYVKNKTNSSLFTGFLQVVAAAYKNRKLRLPPKKSDGMYHRSKDSEHIVPTDKLRFLNKACLVRSPEKDIASDVAASEPWSLCTIDQVEEFKAIIKVIPLWSTSIMMSLNIGGSFGLLQAKSLNRHITRNFEVPAGSLSVIMIFTIFIWIALYDRVFIPLASKLLGKQVRISAKSRMGLGLFFSFLHLVTAAFVETIRRRRAIAEGYGNSPQAVLNMSAMWLFPQLCLGGISEAFNAIGQNEFYYTEFPKTMSSIASSLFGLGMAVGYVISSFLFSIVERVTSKGGKDGWISDNINKGRFDKYYWVIAAVSGVNVLYYLVCSWAYGDTADVESKVSEENDSNEEELPLIELRNEGSYGKNRLVKKS >CAK8541751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:384182769:384183327:-1 gene:gene-LATHSAT_LOCUS10647 transcript:rna-LATHSAT_LOCUS10647 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLGPVNRNPFTFPYANKWSVPGMNYQRCPRHSLIVYQNLIDHLGLDDFVWMSYEGLDHQPDPNDAAVWTSRTPTIRFTMLEMHQSDRVKLQFGMHQDIPDAPTSLGQWHQQQVDSQCNTS >CAK8569046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666285990:666288336:-1 gene:gene-LATHSAT_LOCUS21943 transcript:rna-LATHSAT_LOCUS21943 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFTFIPQLLSLFLFFSLSSFVSASNTSKLFEDWTKQHAKTYASHEEKLYRFKVFQDNYAFVSKHNQMGNSSYTLDLNAFADLTHREFKTTRLGLPPSLLRFKFNKFWDQPVDDDNYLVEVPSEIDWRQSGAVTSVKDQGSCGACWSFSATGAIEGINKIVTGSLVSLSEQELVDCDTRYNSGCDGGLMDYAYQFIIDNKGIDTEEDYSYRVRQEPCKKDKLKRRVVTIDGYTDVPSKDEKKLLKAVAVQPVSVGICGSARAFQMYSKGIFTGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGKYWGMNGYIHILRNTEDSAGLCGINMLASYPTKTRPNPPVPPPPGPVRCNLFTHCSEGETCCCAWKFLGICFSWKCCGVNSAVCCKDKRHCCPQDYPVCDIRKSQCLKRVANGTITMASDKEDTFHQKRDWRSQ >CAK8574431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681249583:681251629:-1 gene:gene-LATHSAT_LOCUS26779 transcript:rna-LATHSAT_LOCUS26779 gene_biotype:protein_coding transcript_biotype:protein_coding MEENENYQEKSGRVSEMKTGGTKVATLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNAEAANNVSKWTGTVYMFSLIGAFLSDSYWGRYLTCTIFQLFFILGLALSCFSSWRFLINPRGCGDGHISCNPTSFGVNLFYFSIYLVAFGYGGHQPTLATFGADQYDEKNPKERSSKLAFFCYFYFSLNVGSLFSNTVLVYYEDSGKWTMGFLVSLMSAIIAFLTFLAGSPQYRYFKPSGNPIVRVAQVFTAVARKWDVVPAKAEKLFEVDGSKSAIKGCRKILHSEDLRLMDKAATITENDDEDEESRNNPWRLCTVTQVEETKCVLRMLPIWLCTISYSVVFTQMASLFVEQGDVMNSKIGEFRMPAASMSVFDICSVLICTGIYRQILVPLTGRMIGNPKGITELQRMGIGLIIGMLSMVASGVTEIVRLRNIIPGEKTSSVSIFCQIPQYVLIGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVNMIMEITARGEEKGWIPENLNKGHMDRFFFLLAGIVAFDFVIYLFCAKWYKNINVQGDQEELEFDDTKDSKVVIKLEMNTY >CAK8567268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499297066:499297624:-1 gene:gene-LATHSAT_LOCUS20334 transcript:rna-LATHSAT_LOCUS20334 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLYKKGLGRPKKLRFIEHDETGSRMRWLGVAYRCTKCDKFVHDSRKFQSTEQDPNALKRKRKTPRTNASSIVREGVSSTFGEGVSSIVNDDLDFNVIIEEMMAEFEHQPFQYPQSKACNTTNFAKKIILNLSTPSKVKNKLREKKKHAHKKKDHE >CAK8570859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:134546395:134546673:-1 gene:gene-LATHSAT_LOCUS23571 transcript:rna-LATHSAT_LOCUS23571 gene_biotype:protein_coding transcript_biotype:protein_coding MENYDDYDFNEVRCNDSDTSDVQSKDAQEDNYSISSGYQSSGDGDGDDNIYNDDLVELDAVVGDRVVNINSITPDEIRAMEFGVIDEAYEFY >CAK8544194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671384574:671385786:1 gene:gene-LATHSAT_LOCUS12894 transcript:rna-LATHSAT_LOCUS12894 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLVQIEGVNTKEEVAWYAGKRLAYIYKAKVKKNGTHYRCLWGKVTRPHGNSGIVRAKFTSNLPPKSMGSRIRVFMYPSNI >CAK8561461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:229042248:229043390:-1 gene:gene-LATHSAT_LOCUS15050 transcript:rna-LATHSAT_LOCUS15050 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTSRNIDVDSQTPPSIPPQPNNNNSITPTTTLKSSPFTNGTHKRHHTTTTTTTPPPSSTVVSYKECLKNHAASLGGHALDGCGEFMPSQSVNPNEPRSLKCAACGCHRNFHRREPQEQHITTNTTNANATINTTTNATTNPTFLNCIYTPPSTTVPPPQPPPPLQLPHRGPISQSTSPSQSTSPTSSPSPTSSPSSPPPLSHLPPYHHRSSAPHMLLALGNAYSTPPQSDHDQHQHQHQQPHQRNLNFSSSVIKLDNTNNNSNNSSGGKKRYRTKFSKEQKDMMYGFSEKLGWRMQKGDDGIVQKFCNDIGVSRGVFKVWMHNNKNLRKRPESEVGIATPISNNKNNNNNDGSNNVIHMDEDGCVNVHVSSVNVLPSN >CAK8536319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931195613:931196208:1 gene:gene-LATHSAT_LOCUS5699 transcript:rna-LATHSAT_LOCUS5699 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNTTINRVESTHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLSNIRASFQKRFYEVEHAHISPFYNNLRGSMSRDALRRIAEELKRVDYVGTNKEICRCTLRTTYRLPCACELIGYRIDGLAIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIVGCCWEKGIKK >CAK8533904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670297625:670298917:1 gene:gene-LATHSAT_LOCUS3491 transcript:rna-LATHSAT_LOCUS3491 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNTLVLSFFILILHLATTKASFSVGGSVGVGVGVGGGVVWAGGGNSNQNQNPKSYESPISKMDSAFSALQAWKSSITDDPLKILDSWVGSNVCSYKGIFCANPENGNAMSSASAESASLVVAGIDLNHANLQGTLVKELSLLTDISILHLNSNRFSGTVPETFKDLVFLQELDLSNNQFSGSFPLVTLYMPSLIYLDIRFNFFSGFLPQELFNKNLDAIFINNNQFEGEIPTNLGNSPASVINLANNKLSGNIPASFGFMGSKIKEILFLNNQLTGCIPEGVGLFTEMEVLDVSFNSLMGHLPDTLSCLQNIEVLNLAHNQLSGELSDIVCSLRSLANLTVAYNFFSGFSQQCSKLFFRNVGFDFSLNCIPGRNMQRPHPECSMIPGGSLSCFRIPTPKPLMCGSMSVSNSENTDSTSESHSQTQSP >CAK8531004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69297648:69299866:-1 gene:gene-LATHSAT_LOCUS826 transcript:rna-LATHSAT_LOCUS826 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRKPLLMYFTGGDGEFRKPHQTFCSISDPSKYYYTWNSALREPMLLTVQHGWYLCKNLLSEYVSSNLFLWSPCNLKKIMLPPLVHVDDGTNFRCILSSPPSANDDQTCSIFIFPSYSLSMFYYQLGDAQWTEVHFYKYVVSALAMQSKELRQEREAYFDKPVYCNGRVYACLVTRYYSDSVIVVIEKVLNGFTINCTTDLMVNHQPTSFQQLISHLIGSNNVLFRVEICHEHDRVTAVFVYKFDCARRLWEKVESIKDKVFYISSTDSAFACEAINQETEGGRVYIALTNCNFVYIYNIQDNCFVTSHHFSNLSENRSYSRWFMPDTEIANSLEEELREKKSICDPVYLKEAEEKTYDECVLPLELVELIAKHINGVLDYMHFRASNKFLRLAAPRIQWRSSSSMSRFDDRSMCPLFVFSKDNIFTFVNPKYGLEYKYNINFPQHNGCSLNSENCYSKDGWLLMVSVNEEFRVFFNPFTKQMLPLLHKIIENTICFGMSHSPTSSECVTVQMDKKFSTKIYLRHLWDRSQLLFRFEDREFHVYNVSPAFHNGLFYFLSITGNLAVVEATTEHNTWKVLQEPQAPCNTCFNNFLVECDGNLLSVFESPMAKGVQVFKLNESTMTWIKVESLKNHMLFVGKTSFSAVANIPGMENKIYFPRFYGQNFVFYSLETNNYHTFQNDQVINFHHVREHLTGVWIQPRWH >CAK8578172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610923042:610927827:1 gene:gene-LATHSAT_LOCUS30210 transcript:rna-LATHSAT_LOCUS30210 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQIQQLPSSLRPSPLEPLRKKPSNVAGKTKFASKRVSHVTAAATTAAPQRQKDPKKRVVITGMGLASVFGNDVDGYYEKLLAGESGITSIDRFDASKFPTRFAGQIREFSSEGYIDGKNDRRLDDCLRYCIVAGKKALEHAGLGGVNLSKIDKERAGVLVGSGMGGLTVFSEGVKNLIEKGHRKITPFFIPYAITNMGSALLGIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPRTASRPWDKDRDGFVMGEGSGVLVLESLEHAMKRGAPIIAEYLGGAVNCDAHHMTDPRADGLGVSTCIQSSLVDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPAVDFDTVPNVKQQHEINVGISNSFGFGGHNSVVAFSAFRP >CAK8578079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605384788:605387538:1 gene:gene-LATHSAT_LOCUS30121 transcript:rna-LATHSAT_LOCUS30121 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMSNGKMKVSKLRTLNENFSPLQIELDEKRGRYLQSATMSPGFQHYTEKFDAGSPLAQYFYAGSPISSKSGEAFNSPLFGSSKYRSANSSFGSRGRLSLSPLSSIENFELKPPQKSPPMYRTPVKVEEEVIVMDDIQVRPMFGGKGGRSSSSSSSRGSSSSSSSTKSLFKTDICRAWEESGNCRFNSKCQFAHVREELHPGRFSMKNKSEAQMGKISIRQGPYIYDPNTHIVQEHHEVAEPERVVTIPMISQPPSPEHHRMHTNSNTISDWSPLDDGIECFLPNCSDRVPSKEEVDAHIFGILNQPTTKRRLPVFAALC >CAK8565374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156448952:156449854:1 gene:gene-LATHSAT_LOCUS18594 transcript:rna-LATHSAT_LOCUS18594 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPGWQNRSLTSSSIKRAAVGQVKHSIFVRLKLKGIRERKFLFPGISMGIRAGALSPISDWRMVLDPSDSLSTTQKERLKQKNGLREEAQIKMPTSPYRLFTVTSKYEGSEIFSNNKNGTSGLFLVILL >CAK8564266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664650334:664651666:1 gene:gene-LATHSAT_LOCUS17589 transcript:rna-LATHSAT_LOCUS17589 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGTTTKIDLKQDGIENLSGRVHLLPCCIKHDGPTEVSHYFKPKPTGVVGEDGLQLQQSHFRGRLLEGTTLQLPHNYSGFVLGKKNSSGKSDDGSDSWETSARFNDITYWNHDCVPSQNDDFFRAFHWITVAEALHSPVTPEELASFTL >CAK8541974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445297943:445311885:-1 gene:gene-LATHSAT_LOCUS10851 transcript:rna-LATHSAT_LOCUS10851 gene_biotype:protein_coding transcript_biotype:protein_coding MESIDDVLKLSQVYSQKVSEPGVVLVEFVFSILWQLLEASLDDERLLDHIPEIKPRWLSKSDDMDIDEPVSNNKMDTQQKERLQRGNTTLAIEIIVEFLQNKMTSRLLSLVHRNMPLHWGYFNYQMQLLASNSSILRNSKHNADTLLSLMENIRSNTKFKLESIVGIPSGSQISFAGQSYGSSWSSFWLSIDLILEDALDGGQVAAFSAIEIITGLVKILHSVNGSMWQNTFLGLWSAALRLVQRERDSKPGPIPRLDTCMCLLLSITTHVVANIIEEEESELIEEAERVPTNQGKIEQIRGKRRGELIASLQLLGNSQYLLTPPQTVLMEANQAAVKATKFVSQNPVGTGHLESLTMDDLPTNCSGNLLHLIVEACIARNILDTSAYYWPGYVNPRSNQIPSSISNHVDGWSSLMKGSKLTPGLVDVLTETPASR >CAK8542236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492506462:492506837:-1 gene:gene-LATHSAT_LOCUS11090 transcript:rna-LATHSAT_LOCUS11090-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFSSQHDTFYAPLSKKHRNLRLTHLKSRRRTQERKKMIIVKEEMKMKNLKLYMENQSIIEENEKLRKQAMLLHKENQDLMSQLQIKLSLQNINTNNN >CAK8542235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492506462:492506837:-1 gene:gene-LATHSAT_LOCUS11090 transcript:rna-LATHSAT_LOCUS11090 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFSSQHDTFYAPLSKKHRNLRLTHLKRRRTQERKKMIIVKEEMKMKNLKLYMENQSIIEENEKLRKQAMLLHKENQDLMSQLQIKLSLQNINTNNN >CAK8541003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:41628009:41628681:-1 gene:gene-LATHSAT_LOCUS9956 transcript:rna-LATHSAT_LOCUS9956 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLENHHCDRVFGMTIEQIEILRKQIAVYAYISELLIQRHNNFSSRQHFTSGARTKLIYDPLLINKISLKQRWKPTNSQIQALEQIYADDQRTPNKERIKKITVDLSKFGPISEFNVYIWFQNRRARLKKKKNNVDVKSKVEINGNSKDKKIEQNKSVAFSSDKNLGHQNSQVSSDFSPYLNPELEDLFAIL >CAK8541919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:432956286:432959218:1 gene:gene-LATHSAT_LOCUS10807 transcript:rna-LATHSAT_LOCUS10807 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSRPQIVLFGSSIVQLSFLKEGWGAILSHLYSRKADIVLRGYSGWNSRRAVQVLDTIFPKNATEQPSLIVVYFGGNDSILSHPSGFGPHVPLQEYIDNMKKIATHLKSLSKKTRLIFLTAPPCNEVQIYGNSCIQTPPRNNESCRIYSEACLELCREMNIKAIDLWSAIQKRDSWRDDCFTDGIHLTHEGSKIVAKEILNTLKEADWEPCLHWKSLPNEFGEDSPYDPLGPDEKTINIASLTFLETEEYD >CAK8541839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413385324:413387742:1 gene:gene-LATHSAT_LOCUS10732 transcript:rna-LATHSAT_LOCUS10732 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDIYTQDGTINIRKKPANKKKTGNWKACWFILGNECCERLAYYGMSTNLVNYLGERFNQGNAAAAKSVTTWSGTCYVTPLLGAFLADSYLGRYWTIASFSVIYVTGMILLTLSASAPGLKPSCDSNGCHPTSAQTVAMYIGLYLIALGTGGIKPCVSSFGADQFDETDEKERKRKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPAVAMVLALIFFFAGSYLYRLQIPGGSPLTRICQVLVAASRKLRLQVPDDKSLLHETIDVESVIKGSRKLDHTDNLRCLDKAAIETQSDRTKRLTNPWRLCTVTQVEELKSIICLLPVWATLIAFATVYSQMNTMFVLQGNTMDQRIGPHFKIPSASLSLFDTLSVIFWAPVYDRVIVPFARKFTGHEQGFTQLQRMGIGLVISIFSMIIAGILEVARLNTIRKNNYYDLKTIPMSIFWQVPQYFLVGCAEVFTNIGQLEFFYGQAPDAMRSLGVALSLTTNALGNYISTLLVTIVTKVTTRHGKIGWIPDNLNRGHLDYFYWLLSVLSFLNFLVYLWIAKRYKYKKVAGNAP >CAK8578211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612657167:612666221:-1 gene:gene-LATHSAT_LOCUS30244 transcript:rna-LATHSAT_LOCUS30244 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSSVGTESNSVASPSPPPRALLERLKDYGQEDVLALWDEISPEERDFLVKEIESLDLSRIDRIIRCSLRSQALPAAAIEPVPESNVSKVEERSQEQREKWWKMGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAEKILCVQRLAAQATNESSASSVQIHWYIMTSPFTDEATRKFFESHKFFGLEAEQVTFFQQGTIPCVSKDGRIILETPYKVAKAPDGNGGVYSALKSTKLLEDMASKGIKYIDCYGVDNALVRVADPSFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVQRGKGGPLTVVEYSELDSSLASAVNQATGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSTHGYTMGLKLEQFIFDAFPYAPTTALFEVLREEEFAPVKNAIGSNYDTPDTAKMLVFRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEPICRGRTFHAPCEITF >CAK8572790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563844653:563852584:-1 gene:gene-LATHSAT_LOCUS25316 transcript:rna-LATHSAT_LOCUS25316 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSPPPSPAPSISPSLSESTFSHLPVMSMKKKIVDKVQQNRVTLIIGETGCGKSSQIPQFLLEENMTPILCTLPRRFAVVSVAKMVAKARNCQLGEEVGYHIGHSRHFSASSEIVFKTAGVLLDEMQEKGLTALNYKVIILDEVHERSVESDLVLVCVKQFLLKNNDLRVVLMSATADISRYRDYFRDLGRGERVEVLAIPSSNQHMVFQRSVSYVDQVAESLGISSEIMHSKYSSCLDLSKSNAYIKSEVHKLIHELVLHIHKTEPDIEKSILVFLPTYYSLEQQWRLLKPLESTFRVHILHGSIDTEQALMSMKIWKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVYWDKSRKKDCATLVWVSKSQANQRSGRTGRTCDGQVYRLVPRSFYNDLEDHESPVILKLSLKLQILSLCCAGSKAINDPKVLLQKALDPPDPQIVEDALDLLVQMRALVKTPPRSRYEPTFYGRLLASFSLSFDASVLVLKFGDIGMIREGILLGILMDTQPLPIIHPFGEDELFAKYIDCYYGDRTILAGRKEMEFMANFCAFQFWQHIFKDKHRLEHLKNVLKSDNVYPDTQLMPKLEEDWCSFHNLYQSSLHQVSEIYNDILNTIHRFRPKFLSSFRGPIPDYDPYEFRHICLFQNQPDGHSDVVSVDEEGFEPSSETTKCVAVPYVTLNHLNSYEVAKMFDLIVKETRAQYQDDSFHHQPEDANNGNFHINGEVSPCVYFIRGSCSRGSSCSFLHTFQAKRPQCKFFFSLQGCRNGESCVFSHDLDRPAVLASQNICLPEDNTMSSASLLNLFPKSSDKSILILDDTDLRFSSCLACHYDPSKVISTTSVSEITFTEPSLTGVRILWGLNHPYQTIIAKAGKTLIPWNEVQCVLWSPCFDSYGEDLDGKKKVVQNFFEYLAIRILADDLKEMKVIITMNNIRFSQLQVEKLGRDCFFILKESFAFDEISFGILHDMVNNRRPMVVSRSFSYVFSLQPPTDNLFSDYISTLEKLLHKIKIK >CAK8572791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563844653:563852584:-1 gene:gene-LATHSAT_LOCUS25316 transcript:rna-LATHSAT_LOCUS25316-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSPPPSPAPSISPSLSESTFSHLPVMSMKKKIVDKVQQNRVTLIIGETGCGKSSQIPQFLLEENMTPILCTLPRRFAVVSVAKMVAKARNCQLGEEVGYHIGHSRHFSASSEIVFKTAGVLLDEMQEKGLTALNYKVIILDEVHERSVESDLVLVCVKQFLLKNNDLRVVLMSATADISRYRDYFRDLGRGERVEVLAIPSSNQHMVFQRSVSYVDQASESLGISSEIMHSKYSSCLDLSKSNAYIKSEVHKLIHELVLHIHKTEPDIEKSILVFLPTYYSLEQQWRLLKPLESTFRVHILHGSIDTEQALMSMKIWKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVYWDKSRKKDCATLVWVSKSQANQRSGRTGRTCDGQVYRLVPRSFYNDLEDHESPVILKLSLKLQILSLCCAGSKAINDPKVLLQKALDPPDPQIVEDALDLLVQMRALVKTPPRSRYEPTFYGRLLASFSLSFDASVLVLKFGDIGMIREGILLGILMDTQPLPIIHPFGEDELFAKYIDCYYGDRTILAGRKEMEFMANFCAFQFWQHIFKDKHRLEHLKNVLKSDNVYPDTQLMPKLEEDWCSFHNLYQSSLHQVSEIYNDILNTIHRFRPKFLSSFRGPIPDYDPYEFRHICLFQNQPDGHSDVVSVDEEGFEPSSETTKCVAVPYVTLNHLNSYEVAKMFDLIVKETRAQYQDDSFHHQPEDANNGNFHINGEVSPCVYFIRGSCSRGSSCSFLHTFQAKRPQCKFFFSLQGCRNGESCVFSHDLDRPAVLASQNICLPEDNTMSSASLLNLFPKSSDKSILILDDTDLRFSSCLACHYDPSKVISTTSVSEITFTEPSLTGVRILWGLNHPYQTIIAKAGKTLIPWNEVQCVLWSPCFDSYGEDLDGKKKVVQNFFEYLAIRILADDLKEMKVIITMNNIRFSQLQVEKLGRDCFFILKESFAFDEISFGILHDMVNNRRPMVVSRSFSYVFSLQPPTDNLFSDYISTLEKLLHKIKIK >CAK8532056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197020732:197023277:-1 gene:gene-LATHSAT_LOCUS1794 transcript:rna-LATHSAT_LOCUS1794 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSCIGKVVINVHLPAKIVKNLEIKMLEEWNQIISQNMKEYHNIHQPALIIAGCSVLVAIVLSLFLIFQHLRSYSNPSEQKWIVAIVSMVPIYATESIISLWNPRLSLPCDILRSYYEAFALYAFGRYLIACLGGEGRVAELLEDESEENLEKPLLHDSDENIDTKQRSFCNFFWRPCKLGKDVLAIEKFGLVQYMILKTVCAFLAIILELFGVYGDGEFQWNYGYPYIAVVLNFSQMWALYCLVQFYNVTHERLQPIKPLAKFISFKAIVFATWWQGVGIVLLCTYQVLPNDGKLQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFVPASSANRKVREERIEATLDIDEDGEKKPAVLKETMTQVEAPRTSVTESVQDIVVEGGQRVVKDVVMTINQAIEPVTKIQKTFHHKTVVSSDEEKEESDIEIETNVTENLTEKGNDIAMV >CAK8532057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197020732:197023199:-1 gene:gene-LATHSAT_LOCUS1794 transcript:rna-LATHSAT_LOCUS1794-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEWNQIISQNMKEYHNIHQPALIIAGCSVLVAIVLSLFLIFQHLRSYSNPSEQKWIVAIVSMVPIYATESIISLWNPRLSLPCDILRSYYEAFALYAFGRYLIACLGGEGRVAELLEDESEENLEKPLLHDSDENIDTKQRSFCNFFWRPCKLGKDVLAIEKFGLVQYMILKTVCAFLAIILELFGVYGDGEFQWNYGYPYIAVVLNFSQMWALYCLVQFYNVTHERLQPIKPLAKFISFKAIVFATWWQGVGIVLLCTYQVLPNDGKLQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFVPASSANRKVREERIEATLDIDEDGEKKPAVLKETMTQVEAPRTSVTESVQDIVVEGGQRVVKDVVMTINQAIEPVTKIQKTFHHKTVVSSDEEKEESDIEIETNVTENLTEKGNDIAMV >CAK8541510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:212184088:212184504:1 gene:gene-LATHSAT_LOCUS10427 transcript:rna-LATHSAT_LOCUS10427 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPLVPCVYVIEDCVCKWKSGSSSLKVSQPVPMLRFLYELAWTMVRGIVSQMAQDLTLSGEFRSRLIKLARWFVESELVPVRLLQERCEEEFLGEAEFIKIKAQELKGKEDLNFNIIRRMLSFLCCSLAPSYGCIQR >CAK8566083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378083470:378084201:-1 gene:gene-LATHSAT_LOCUS19249 transcript:rna-LATHSAT_LOCUS19249 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTKVKLAFIENNSARKATYNKRKKSLVKKVDELTTLCGIDACAIIYGPYDSRPVIWPSPSGVQEVLSKFRTASEIGQSKKIVNQESFLKQRISKVEKQLEKKWSDNREQETTMLMFQCLYAANTVSNHMSLGDLNDLAWMIDRNLKEIGRRMESGDNQMIIHQNQSENQVHLQMVPPLSLLPSPPPPPIEPNNDEIAMMGHEHVGMAVNNNDIMFMKMMMNSAEHDETIPFGYDTNLQNGF >CAK8569222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:684445446:684446225:-1 gene:gene-LATHSAT_LOCUS22101 transcript:rna-LATHSAT_LOCUS22101 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGVEKNQELQLFTAPQPEIKTPNTNTTVNNKHHASSSTVSRRPRGRPLGSRNKPKIPVITHDNTNVVSSHTLEISDRDDISKSVFDYVHRQGRAICILNGDGLVTHVRLHLPTRRVVTLQGRFEILLISGTIFPTPTTMNVGGLAVYLSGTNEQVIGGSVMPPLVASGSVTLMVASFANTTLEKLSSMVIDRKEHHLPCLEGVGQVRVGDNDLFNTGDSTSGTRNIMSSIIIYQSSSTQRRDATATVIPPPKHPRF >CAK8564968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19707121:19708449:1 gene:gene-LATHSAT_LOCUS18216 transcript:rna-LATHSAT_LOCUS18216 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSQQTRNPTLWLTEWAPEPRDVYWPNLAIPYVSLTARRLIVAVAFFFLTFFFMIPIAIVQALASLDGIQKAAPWLHPLVRVPFIKSFIQGFLPGIALKLFLIFLPSILMMMSKFEGFGSISSLERRSASRYYLFSFVNIFLGNILTGTAFQQLDSFIHQPANEYPITIGTAIPLKASFFITYIMVDGWSGIAAEVLMLKPLIIYHLKNFFLVKTEKDREEATDPGSIGFNTGEPRIQLYFLLGLVYAAVTPTVLPFIIIFFGLAYVVFRHQIINVYNQEYESGAAFWPDVHFRVIIALIVSQLVLMGLLTTKRAASSTPFLIALPVLTIWFHIYCKGRFESAFSKYPLQEAMMKDTLERATDPNLNIKGYLQHAYVHPVFKASLEDADEEEDVTSLKWETESATVPTKRHSRRNTPLPSRVSGASSPPMLDGIKDDPES >CAK8564969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19707286:19708449:1 gene:gene-LATHSAT_LOCUS18216 transcript:rna-LATHSAT_LOCUS18216-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIAIVQALASLDGIQKAAPWLHPLVRVPFIKSFIQGFLPGIALKLFLIFLPSILMMMSKFEGFGSISSLERRSASRYYLFSFVNIFLGNILTGTAFQQLDSFIHQPANEYPITIGTAIPLKASFFITYIMVDGWSGIAAEVLMLKPLIIYHLKNFFLVKTEKDREEATDPGSIGFNTGEPRIQLYFLLGLVYAAVTPTVLPFIIIFFGLAYVVFRHQIINVYNQEYESGAAFWPDVHFRVIIALIVSQLVLMGLLTTKRAASSTPFLIALPVLTIWFHIYCKGRFESAFSKYPLQEAMMKDTLERATDPNLNIKGYLQHAYVHPVFKASLEDADEEEDVTSLKWETESATVPTKRHSRRNTPLPSRVSGASSPPMLDGIKDDPES >CAK8560236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11426744:11427310:-1 gene:gene-LATHSAT_LOCUS13926 transcript:rna-LATHSAT_LOCUS13926 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPCPIELEPRTLSGVELTQARELAAEVVQKMEPSEASALFFEGIIHPIKEETNMDENKCKNEKLIDFTKKKETIPYEKVCQCQCSSSTESPFCIAGGKEPLSAPF >CAK8544349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679309223:679312154:-1 gene:gene-LATHSAT_LOCUS13037 transcript:rna-LATHSAT_LOCUS13037 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFQYQEEFWRNSRGVQLFTCKWLPLSSPKALVFLCHGYGMECSRFMRECGVRLACARYAVYGVDYEGHGRSEGVRCYIKSFDNIVNDCYDFFKSVTLLQEYKGKCRFLYGESMGGAVSLLLHQKDPSFWDGAVLVAPMCKISEKVKPHQVVINILTKVEDIIPKWKIVPTKDVINLAFKDPAKRERIRKNKLIYQDKPRLKTALEMLRTSMSLEDTLYKVTLPFFVMHGETDTVTDPEVSIALYERASSKDKTIELYPGMWHGLTSGEPDDNIEKVFEDIITWLDKHANSNSVAFESLNPTTETYNYDIEKLTPNTIMKQTHRRKSYLCGLKGSRLLHHSAN >CAK8565413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:178613140:178618293:1 gene:gene-LATHSAT_LOCUS18631 transcript:rna-LATHSAT_LOCUS18631 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIGIMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQEVFNKLKIEKHIEVSRLIKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRVKGGKDRNHKGLRSSKSLQSNAMNYSGSGDAFSLTRTSSGPKHSRSSGGSNGSNSSAEIQALSKQVTDLKLSVDILEKERDFYFSKLRDIEILCQASELENEPISIAIKKILYAADAKESALDEAQTYINETLDAVEDEPESET >CAK8532420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259529842:259534828:1 gene:gene-LATHSAT_LOCUS2128 transcript:rna-LATHSAT_LOCUS2128 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKILWAFAFYAVIVLLCEVPSVLAGDIVHDDSTPKKPGCENQFVLVKVQTWVNGVEDAEFVGVGARFGKAIVSKEKNARHTRLVLSDPRDCCSPPMNKIAGDVIMVDRGNCTFTKKANSAQNANASAILIINNQKELYKMVCDPDETDLNIHIPAVMLPLDAGTKLENMLMSASSVSVQLYSPRRPTVDIAEVFLWLMAVLTILCASYWSAWRTREASVELDKLLKDASDDIPNTKDAGVSGVVNMNAKAAVVFVLVASCFLFMLYKLMSSWFIEVLVVLFCIGGIEGLQTCFVAILSRWFKNASETYIKLPFIGAVSYLTLAVTPFCITFAVFWAVYRDKSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCSLLYDLFWVFVSKKFFKESVMIVVARGDRSGEDGIPMLLKFPRILDPWGGYSIIGFGDILLPGMLLAFSLRYDWLAKKSLVSGYFLWAMFAYGFGLLITYVALNLMDGHGQPALLYIVPFTLGTILALGRKRRELKILWTSGEPERFCPHVRLQNSGESSPE >CAK8533476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:621904688:621905074:-1 gene:gene-LATHSAT_LOCUS3099 transcript:rna-LATHSAT_LOCUS3099 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLVDAQLTITILDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRSVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8532462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266858467:266859213:1 gene:gene-LATHSAT_LOCUS2165 transcript:rna-LATHSAT_LOCUS2165 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWSAENATKAFLSTFKMGQKSKEPSVAEYISALAAGNNAQLMVVACAAAADSTIFALIAAANQTNGNVVCIVPNHEDLIASKNFLGVVSNEVQFMTGKVAQELSVLNQADFVVIDCNLVNHKEILKLVQIGGCKEKGRVVVGYNAFSCKGSWRSNGSITKLLPIGEGLLVTRFRGNARSRWIVKVDRYTGEEHVFRVRLPQGKVI >CAK8539618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518380257:518381600:-1 gene:gene-LATHSAT_LOCUS8698 transcript:rna-LATHSAT_LOCUS8698 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYQPSMVVGFEGGGDGQILDLDTAVKDGVLGGGGGVVGSGVVGGEKLDLRKMIQELELCEVPSVFICPISLEPMQDPVTLCTGQTYERNNILKWFNLGHLTCPTTMQELWDDSITPNTTLYRLIYTWFSQKYLLMKKRSEDVQGRASELVETLKKVKGQARVHALKELHQVVAFHATARKSVIDEGGVSVLCSLLGPFTSYAVGSEVIGILVSLTLDSESKKNLMQPAKISLMVDILNEGSIETKINCTRLIESLIEEKDFRSEIISSHSLLVGLMRLVKDKRHSNGICPGLSLLKTVCFYKEVKILLVSIGAISQLVELLSGMDHDCLELALCVLDSLSSIPEGRVALRDCVNTIPIMVRFLMRISETCTQYALSILWSVCKLAPEECSAIAIDAGLAAKLLLVIQSGCNPILKQQSAELLKLCSLNYSDTIFISKCKLTRTIQ >CAK8532985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:560530152:560532617:-1 gene:gene-LATHSAT_LOCUS2638 transcript:rna-LATHSAT_LOCUS2638 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRLASRLLGLDSKIEKSLLIPFREIKVECSIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPEVEQDEVNALAQLMTWKTSVANLPYGGAKGGIGCDPSELSVSELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEYGLNVSGQRFIIQGFGNVGSWAAQLINEKGGKIVAVSDITGAIKNSNGLDIPSLLKYSKENKGIKGFHGGDSIDPKSILVQDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKKGVVILPDILANSGGVTVSYFEWVQNIQGFMWEEEKVNNELKRYMTKGFKDVKEMCKTHNCDLRMGAFTLAVNRVARATVLRGWEA >CAK8542297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499357155:499359147:1 gene:gene-LATHSAT_LOCUS11144 transcript:rna-LATHSAT_LOCUS11144 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKEEQGRKLFGISLSDKPRWQQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLFLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPIHEYISAILLVVGLILFTLADAHTSPNFSVVGVVMITGALVMDSFLGNLQEAIFTMNPDTTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWTSCSQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLILIAMGITLKMLPENKPTINRKTLDSSPRINTSKPTTGDDEEMGRVQDSVGEDDERRPLV >CAK8562188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452583340:452586050:1 gene:gene-LATHSAT_LOCUS15707 transcript:rna-LATHSAT_LOCUS15707 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIGMEVEETVAELRQYFKTGKTKSVAWRKNQLQAILNLVHENEDAISKALYQDLGKHPVEAYRDEIGGVEKSAQNSLDSVEKWMAPKKSSIPFLFFPAKGELLPEPLGVVLIFSSWNFPIVLALDPIIGAISAGNVVVIKPSEQAPACSSFLANTLPRYLDTNAVKVIEGGGDVCEKLLLHQWDKIFFTGSPRVASIVMTSAARNLTPVTLELGGKCPAIFDHLSNPSDFKMAVKRIAGAKWGICSGQACIGIDYLLVEEKYSSELIELLKKFVRIFYGDNMVDSKVLSKIVNKQHFQRLCNLLKDPLVAASIVHGGSVDEANLFIEPTILLDPPLDAEIMTEEIFGPFLPVITVNKIQDSIEFINSKPKPLAIYAFTKDEAFKRKIVSETSSGSVTFNDTLVQFLCDTLPFGGVGQSGIGRYHGKFSFDTFSHEKAVMHRYLCLEIEPRYPPWSKFKLEFIRLAYRLNYFGLALHMLGLKKQN >CAK8560800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44440679:44484212:-1 gene:gene-LATHSAT_LOCUS14447 transcript:rna-LATHSAT_LOCUS14447 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQSKLLEAATDFAHYPGSHSDDSATQFVNRFPLPLIINVLQTQFDVPGVETTLVVCLERLFKTKFGASLIPQYMAFVQVGLQSDSQAVRSLSCKTVTCLLENLDNSYTVAAHLIKEFNIYPLLLDCLINGNEEVAAGAINAIQKLASFLEGLGIIFPSTKGGDTDLGVIASQCSSLGRVRILALVVKLFSVSMSAASAIHSLNLLSLLEAEIRSADDTLATLSVFELLYELAEVEHGSEFLSKTSLFQLLSSIISDDSKDSFLRSRAMMISGRLLSKEITYSFVDEPCAKIVISSIDERLQSLDSSNRDEFETALESLGQIGSSVRGAKLLLSGSSPAARHVIYAAFDRQGPEKHGRQLAALHALGNISGETRSENFIILDAEAEGNLLRLLYETASRSSKLTPSGLFLSVLQQDSEIRIAGYRMMSGLVSRPRCLMEICSRQEIINIVTDPSTETTKIGMEARYNCCKQIYKSLTQSSGVSADPTFAGIAAKLQEAVGMGPYLHKKRVEAQPIVMTADRF >CAK8537888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444707198:444707527:-1 gene:gene-LATHSAT_LOCUS7138 transcript:rna-LATHSAT_LOCUS7138 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQLTSTDIASASNNSSIGSPQLVITAHISSMMEQLQSFMTALQHENSNFIQMLLEHIKNYVEEYSELRVTDPNLLMDALKQKTIKGLEETSKIMINAGFEKDFSDV >CAK8570185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28062073:28066807:1 gene:gene-LATHSAT_LOCUS22960 transcript:rna-LATHSAT_LOCUS22960 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQNQKPLEIHLVTATDSPEFIQLTRSLTQTSLVGLDAEWKPVRTQQKSFPTVSVLQIACQLGDDSVVFLLDLLSIPLSSLWELLQEMLVSPDILKLGFRFKQDLVYLSSTFCSQGCNPGFDKVEPYLDITSVYNHLQYTKHGRSVSKQNKSLSTICGEMLGISLSKELQCSDWSQRPLTEEQMTYAAMDAHCLLGIFKVFQAKVAEEGELDLKTNKLSISSANLGLKELFKKHDMTDKVLSTQFCEALAIVQATTSSGVVQVLPSEGEVIKKSACRDTKPMDAFLLKIVKKHGDRILLKESDREPKTSKKKRKKQLPINGISGEKHIENFDEWQGTAPWDPLVGGDGYPKFLCDVMVEGLAKHLRCVGIDAAVPNSKKPEPRELITQAQKEKRVLLTRDAKLLRHDYQIYRVKGLLKNEQLLEIIETFQLNISENQLMSRCTKCNGQFIQKPLSTEEAIEAAKGFQKIPSCLFNKNLEFWQCMDCSQLYWEGTQYHNAVQKFVDICKLSD >CAK8536161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913470862:913475040:1 gene:gene-LATHSAT_LOCUS5555 transcript:rna-LATHSAT_LOCUS5555 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKVSRLDSECSPLSKETMSSGDEEDVQRRNSVNESDEDDDEFDDADSGAGSDDFDLLELGETGGEFCQIGNQTCSIPLELYDLSGLEDILSVDVWNDCLSEEERFELAKYLPDMDQENFVQTLKELFTGCNFQFGSPVKKLFDMLKGGLCEPRVALYREGLNFVQKRQHYHLLRKHHNNMISNLCQIRDAWLNCRGYSIEERLRVLNIMTSQKSLMCEKMEDLEADSSDEQSGEGMWSRKNKEKKNAQKLGQFPFHGVGSGLEFHPREQSVVMEQEKSSKQNPRGILKLAASKTHSANPTGHSSSVYHGLDMNPRLNGSAFARSQHIKSTGYDVGSIHRMRDPLWNEDSENMSFGLDVHRDRNSFRGSLMDKSSAPRVGKRHDFLRGDEIEGGNLVGLSMSSKTDLHGYSRNPNQFSDMQLSTANPPSKRGSHDFPRKGKYAENVQQFVGSVQAKSRSRGSKTSHKVDMIDSPYHDDVFCNITPTQEFGTDSVLKYDDWNPKSNKRKADRESPDLSYTAYRSSSPQVSDRLLSSDFRTKSSQEKIRGNFVQNGVKDMKSLRASHILLRSEETESDSSEQLDDDEDDNPLLQRKFTYPVGTVAGSLTKSLKSHLDSKKAKFGKTDMKAHVIAQSKKKGSFAEQGNMHGAENYLSKNAKQKSKILNGNSLRNPAGKFIEENYPSGSDMLNGGHDDWRQLYKSKNERMRGEPVERFDVSSSIAYAAEHKIKGRTGLDHSILRSKYLHDYGNDEEESLENRLLGGDENGVGHGRFWRKGQKNVAYKDEHNERSDAPLLGCNSTLKKRKMKHGAADSFGRDEDANLLLSNPPKTDDLPSFSMKKKSKKKIGADMVVSEMENSELLVTDTVTADMELEIMPQKKPFILITPTIHTGFSFSIMHLLSAVRMAMLSPPAEESLEAGKPIEQQNKTQEGSLNGVISSDKVVVNGEASDQLNMPSLTVQVIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKVLAIYEKSTRSWSWTGPVLQNSSNRGTIEEVASPEAWGLPHKMLVKLVDSFANWLKCGQDTLQQIGRLPAPPLELMQVNLDEKERFRDLRAQKSLHTIKPSSEEVRAYFRKEELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSTGTRADVCTLIRDSQYIVEDVSDAQINQVVSGALDRLHYERDPCVQFDGERKLWAYLHREREEEDFEDDGTSSTKKWKRQKKDATDQSDQAPVTVACNGTEEQNGYDLCSDLNVDPPCIEDDKGAVQLMSNNTRLNAEDHVDVNPASVEGNVCEDNSMAWETLDLNPTRELCQENSTNEDFGDESFGRERPVGLLSASLL >CAK8574004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653571835:653576957:1 gene:gene-LATHSAT_LOCUS26396 transcript:rna-LATHSAT_LOCUS26396 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMTEACIRTAVEAIHSSPYQAVLYLAGGASQALGLLLSVPGASNTVLEAVVPYSRMSLIQLLAKIPSQFCSQQTAEDMALLAYNRALKLSTPGSPVVGVGFTGSLVSSRPKHGEHRFYMSTRTANRLWISRVTLTKGLRTREEEDRVSSHLLLKAIANACKVSSNAIPEMSESDVSDECEKQFNEDQELEQLINGQICFKIYPFRSEIPAERKIILPGSFNPLHDGHLKLMEVATRICGDGYPCFEISAVNADKPPLSVSQIKDRVNQFEKAGQTVIISNQPYFYKKAELFPGSAFVIGADTAVRLVNPKYYDGDYNKMLKILIGCKETGCTFLVAGRNVDGVFKVLEDLNVPEELKDMFISIPAEQFRMDISSTEIRKKSGM >CAK8532959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:555395974:555397246:1 gene:gene-LATHSAT_LOCUS2615 transcript:rna-LATHSAT_LOCUS2615-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSRDPLVLGGVIGDVLDPFQACIPLRVSYNNKDVTNGCEFKPSQVINPPRVSVGGDDLRNFYTLIMVDPDAPSPSNPNLREYLHWLVTDIPATTGPTFGHEVVPYESPRPLMGIHRIVFAVFHQLGRETVYAPGWRQNFNTKEFAELYNLGLPAAAVYFNIQREAGSGGRRLCR >CAK8532958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:555395974:555398669:1 gene:gene-LATHSAT_LOCUS2615 transcript:rna-LATHSAT_LOCUS2615 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSRDPLVLGGVIGDVLDPFQACIPLRVSYNNKDVTNGCEFKPSQVINPPRVSVGGDDLRNFYTLIMVDPDAPSPSNPNLREYLHWLVTDIPATTGPTFGHEVVPYESPRPLMGIHRIVFAVFHQLGRETVYAPGWRQNFNTKEFAELYNLGLPAAAVYFNIQREAGSGGRRWKYETTENTVGTSESSKTSKRSAQTSMSNSSTSSKRLAARLRSRADRPEQ >CAK8574608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3699166:3706883:-1 gene:gene-LATHSAT_LOCUS26937 transcript:rna-LATHSAT_LOCUS26937 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKQERDNEDNLSSGFSRKYCKCGSLKWWTSSFSYPSMDLHAQKGECPSLLDLCVQKISEDLDRHNTFSMLPRDISQQILNNLVYSRRLTGGSLEAFRDCALQDIYLGEYAGVDDSWMDVISSQGSSLLSVDLSGSDVTDFGLTYLKDCQSLISLNLNYCDQISDHGLDYISGLSNLTCLSFRRNDSISSQGMSAFSGLVNLVKLDLERCPGIHGGVVHIQGLTKLESLNMKWCNCITDSDMKPLSELASLTCLEISCSKVTDFGISFLRGLQKLVLLNLEGCLVSSACLDSLAELPALSNLNLNRCNISDRGCEKFSRLEMLKVLNLGFNDIGDTCLAHLKALSKLESLNLDSCKIGDEGLVNLAGHKQLICLELSDTEVGNNGLEHLSGLSNLEKINLSFTAVSDSGLRKLCGLSSLKSLNLDAYQITDAGLATLTSLTGLTDLDLFGARITDVGTTYLKKFKNLRSLEICSGGLTDAGVKNIKELTSLKCLNLSQNSHLTNKTVELIAGLTGLVSLNLSNSRITSAGLQHLKTLKNLRSLTLESCKVTANDIKKIKSMHLPNLVSFRPE >CAK8569007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662544817:662545285:-1 gene:gene-LATHSAT_LOCUS21905 transcript:rna-LATHSAT_LOCUS21905 gene_biotype:protein_coding transcript_biotype:protein_coding MMNERTLIPTFVFWAFLTIITPTLILLSENSKTDLHLSGNITETMKVRRMNRHTQNYIIATPPPPDKLVVEDEELAPAPAASYHNHNHSALIRSSGNITDEDAPKEASTPKEEGSISKLVWSSFRNPE >CAK8541447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:174482227:174482901:-1 gene:gene-LATHSAT_LOCUS10367 transcript:rna-LATHSAT_LOCUS10367 gene_biotype:protein_coding transcript_biotype:protein_coding METKDSDANGSSNAVRILHAKRQYRTILDLTCVSSVFSQLDSAFLKLSTGSAVWLPEEVLKHNYHEVPP >CAK8570498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:51806755:51808891:1 gene:gene-LATHSAT_LOCUS23241 transcript:rna-LATHSAT_LOCUS23241 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRICSTQLQALTQETETLVKQAITLATRRGHSQVTPLHLATIMLSNPTSLLHKACLQCHFHPLQFKALEICFNVSLNRLPTSTISSPLLSSPQYSSPSLSNSLIATFKRAQGHQRRATSIESQQQSILALKIEVEQMIVSILDDPCISRVMREAGFSSTFVKAKVEEAVISHSKDKTYKPQVHCGNNVSSSRSFNQVDGSIDNFTTCVGSLNLTLSLDSNFQAQERSKVKFKDESFEDAVKVSKHQVLPTWLQNFKEERVQTMENQKNAKQWNKECELYTEAESENISDDCYDGSLIMFMPQSVPKQDFLSNPNSSPNSSSSSEEVDGLKSSQMLNELNDENMKILCDALEKKVPYQKKTLTKEIASTVLLCRSGMRKGGEKDNYLVKRDGKQETWMLFLGEDSQAKELISKELAKVVFGSYNDFVTIGISSFSCKGTDKSKKKRLRDEFGSSYMQRFGEAVNENPHRVFYMEDFEQVDYFTQKGIRKAIENGSITLPCGGESLSLKDAIVIFSCETSSSHVDKLEEKKTCLSLDLNIAIEVDEQNVHLNGDFGVLELVDKQINFKT >CAK8578574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639114710:639121967:1 gene:gene-LATHSAT_LOCUS30572 transcript:rna-LATHSAT_LOCUS30572 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALSSSATPLCFSQWKTYNSNFPTRFSSNSFTSFRNTTLPLRKTSLVASPFRHKFSSRCNGLNNDSDTYEYSATYSLNDVEDLDEKFIEKVQKKLKDAGSPWEGAVIYKRDASVLHLEYCTTLERLGLGKISTDDTKKKASVMGLRVAKSVKDYPNGTPVQISIDVTRKKKKLRLDGIIKTVITLPCNRCGKRFAEGLFTEFALLLTEEPPVEDPGINDLGFLYGVDQIKTLGKSAEDDEDALIEPDDQLYFPRGEKEIDISKNIRDRVFIEIFISVCDPGCKGICLTCGKDLNITSCNCSKEEVKEKSFGPLRNLREKIQAKQSKQS >CAK8541089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:62182606:62183200:1 gene:gene-LATHSAT_LOCUS10040 transcript:rna-LATHSAT_LOCUS10040 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVESAHWKLKQMLGNNIGDMVKCWEAMNDNLKFQLGNIIASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEICRCTLKTTYRLPCACELIGYKIDGTPIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKGH >CAK8544745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703882395:703887102:-1 gene:gene-LATHSAT_LOCUS13400 transcript:rna-LATHSAT_LOCUS13400 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTEESQLNNLEIQVDNGGGGAWEYLSLVRKLKVRRSDKVLKHGLSILNDSKLRSNLGPEEWTLYEQVAVAAMDCQSLDVAQDCTKVLRKRFPESKRVGRLEAMLLEAKGSWEMAEKAYTSLLEDNPLDQAIHKRRVAMAKAQGNTSGAIEWLNKYLEIFMADHDAWRELAEVYLSLQMYKQAAFCYEEVILAQPTVPLYHLAYADVLYTLGGLENVQTAKKYYASTIDLTGGKNTRALFGVCLCTSAIAQLTKGKNKEDKEGSQLQSLAAKALEKDYKQRAPDKLSQLTTALKTLTLSS >CAK8533341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605017483:605024733:-1 gene:gene-LATHSAT_LOCUS2978 transcript:rna-LATHSAT_LOCUS2978-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESDRKNKTIVEENTPLLPETQESDVGFHGASFSSSVFNLSTTIIGAGIMGLPACVKKLGMVPGLIAIILTPLLTKKSIDFLIKFSRAGNISSYGSLMDDSFGKYGKALVQMCITVNNIGCLIVYMIIIGDVVSGTSSSGTHHFGILEGWFGIHWYTGRIFILFFTTLVILAPLVSFKRIDSLRFTSALSVGLAGIFLIIAMGISIIKIISGGIRIPRLFPIITDASIFKMFTTVPVLVTAYNCHSNGINTA >CAK8533340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605017346:605024733:-1 gene:gene-LATHSAT_LOCUS2978 transcript:rna-LATHSAT_LOCUS2978 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESDRKNKTIVEENTPLLPETQESDVGFHGASFSSSVFNLSTTIIGAGIMGLPACVKKLGMVPGLIAIILTPLLTKKSIDFLIKFSRAGNISSYGSLMDDSFGKYGKALVQMCITVNNIGCLIVYMIIIGDVVSGTSSSGTHHFGILEGWFGIHWYTGRIFILFFTTLVILAPLVSFKRIDSLRFTSALSVGLAGIFLIIAMGISIIKIISGGIRIPRLFPIITDASIFKMFTTVPVLVTAYNCHSNVHSINNELKDSIHMQGVVKTSIVLCCFVYLLTSFFGFLLFGEETLDNVLANFDTDLGIPFGFILNDVVRVSYALHLVFVFPVLFYAVRINIDGLVFSSSSTRPLIIDNYRFFSITFFLVSIIFLGAVFIPSIWNIFQFTGATTAVCVGYIFPAAITLRDKYCIATKTDKIISVLMIVIAMLSSVVATYSNAYALIKKNEADHYFQIKK >CAK8540817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23407187:23407573:-1 gene:gene-LATHSAT_LOCUS9784 transcript:rna-LATHSAT_LOCUS9784 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQTQQLKDAIEKLLI >CAK8568298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591825595:591826930:1 gene:gene-LATHSAT_LOCUS21270 transcript:rna-LATHSAT_LOCUS21270 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLHIPQSEDRISALPDSVLDRILSFLPTKQAVATGILSKRWKPLWRSQLSLYFNDRSFPNNFAFCQFFYSFVTMRLRESILPILSFHLHFHYCFCHQDFYNFLYVPVTRGVQTLIIHISRSDYSTSLPSFVLTTATLSVLKLKRITLDHVPCVDLPNLKVLHMKYVTFPCYEYLEKLLSGCPILQELETKGLRKKIPNEKFDLDFAIPNLVGANISGDITIGLEWLHNVERLQIQLNWRPITSISGMFHNLTHLELIFNFHYPSLVSLKWPWLIKLLQNTPNLQTLIIDQVHVIRRHYVNKWEDPEVVPECLLSHLTTCELRNYNHINCELPFPKYIMQNSRLLNTMAIQTANFVDRNTKLQMLIDLSSCPRISPRCKLLFT >CAK8563103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573731270:573748397:-1 gene:gene-LATHSAT_LOCUS16546 transcript:rna-LATHSAT_LOCUS16546 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNTVKEALNALYHHPDDTVRMQADRYLQDFQRTLDAWQVADNLLHDPSSNLETLIFCSQTLRSKVQRDFEELPSTAFRPLRDSLNNLLKKFHKGHPKVRTQISIAVAALAVHVPAEDWGDGGIVKWLRDEMDSNPEYIPGFLELLTVLPEEVLNYKIAARPERRRQFEKELTSQMEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLSSHPLVLTALSSLNSELLSEASVNVISELIHYTASGSIDGVSTNVPLIQVIVPHVMSLKSQLSDSTKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVASHPEYDIASMTFNFWHSLQLTLTRRESYISNGNEACIEAERNNRLQVFRPAYESLVSLVSYRVQYPEDYQDLLYEDLREFKQTKYAVADVLTDAASVLGGDATLKILYQKLLEAVSGNSNNEQKEWRPAEASLFCIRAISNYVSVVEAEVMPQIMALLPKLPHQPQLLQTVCLTIGAYSKWLDSASCGVTILPSVLDILMNGMGTSEECAAVAALAFRHICDDCRKKLCGCLDGLFHIYNRTVSGEDSFKVPAEDSLHLVEALSMVVTELPLDDAKRALEALCIPVISPLQEAINQGPEHLSKRPSRQLTVHIDRFAYIFRYVKHPQVVADAIQRLWPIFKAIFDVRAWDMRTMESLCRACKYAVRTSGRFMGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKNLIESLFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSPVFPSLVDCSMIGITVQHREASNSILHFCSDIFDLANSTMGEQFIPIRDSIIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSIMLIPPTAATDLERSRFLKALSDVASGGDTNGLTIPIEELSDVCRRNRAVQEIVQEALRPLELNLVCVS >CAK8562525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506371311:506374865:1 gene:gene-LATHSAT_LOCUS16016 transcript:rna-LATHSAT_LOCUS16016 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHALSLRPLAQFQPDTDQIRRNLIKKGVNPTPKIVHNLRKKQIQKHNRKLNRQAQESPPLSKTQKQTLEEEQHFQEIKHEYKQFTKALERNSEENKGLSLVGKPWEGVQKVGFLERTKEFQGVKLKRESLMELKEMFHARKMDELKWVFEDDLEIDEVWFDESSDRKKTSKRSEVQVVRFLVDRLCDREIGAKDWKFSRLMKLSGLPFTEGQLLMILEMLGVRACWKQALSVVQWVYDCKDRRKFQSRFVYTKLLAVLGKARRPQEALQIFNLMRGNIHVYPDMAAYHSIAVTLGQGGLLKELLNIVECMRQKPETFKYMYRKNWDPSIEPDLVIYNAVLNACVPSKQWKAVSWVFQQLRESGLKPNGATYGLAMEVMLQSGNYDLVHELFQKMRSSGEVPEALTYKVLVRTFWKEGKVDEAVKVVRDMERRGVMGTASVYYELACCLCNCGRWQDAILEVEKIKRLPHARPVEVTFTGMIRSSMDGGHIDDCVSIFEFMKDHCAPNVGTVNIMLKVYGQNDMFSKAKVLFEEVKVAKSDLRPDAYTYTLMLEASARSHQWEYFEHVYKEMILSNYHFDQNKHLALLLKASRAGKLHLLEHAFDMILEAGEIPHHRFFFELVIQAMTRDDYERAVVLLSTMAYAPYRVTEKQWTELFKENKDRIDHENLELLLDSLGNCTVVSEATVSNLSKSLHVLCGLGSSTNTSSIHLGSENVNGLKEANESAEPWNDTFLGTDQAEPDTFTFNHDQVDSVNNNDVMVSMPQNSDIEDKVSIHADKLNSFDHLEHDKSSDDELSDDESDEDDDVGEGVIDKPSAYQILEAWKEMRQVDRSLLDSEINCG >CAK8537652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415855900:415858056:1 gene:gene-LATHSAT_LOCUS6918 transcript:rna-LATHSAT_LOCUS6918 gene_biotype:protein_coding transcript_biotype:protein_coding MNFETLHSILAKSLTIIAWPPFSLLCPLYASFRALESDCRSNNQRCLAFWVLFSFSMIIESHFPLLFNWLQWWPHVKGVITILLLIPYFGAAPYIYRLLVKYYCTRNIFAWTMNIFNLKITQFELGEDRKEHLELDADRKIFFESVDDSERVEISGQTIITNHLQEKKVLIYQGRDGLAGCENTKSGCTSEKKVQKEWSCALCQISTTSENCLRSHLQGKQHKTKEKELRVGLHATDIPFVISFNQERIKGMVLLRNFNKIANILNPVSRSIVWCEWKKPEFGWTKLNTDGSVNRETAGFGGLLRDYRGEPICAFVSKAPQGDTFLVELWAIWRGLVLSFGLGIKSIWVESDSASVVNTINRIQHCPKAGTCLKQIWKLLSKFDEYRISHSWRETNRAADHLAKMDLCGNDVVLWPVDFSDSLCNIIQEDARGTKYLRR >CAK8533351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:606734983:606737163:-1 gene:gene-LATHSAT_LOCUS2987 transcript:rna-LATHSAT_LOCUS2987 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNGHVSRILNFLESSSTFRSLDETKCLHALSITTPPIPNQSIFINNNIISSYIAHSNFTQARKVFDEMPKRTLVSYNTLITSYTRRGNVVEAWSLLNHLRVCGFAPNQYTLTSLLCCETLKLVQGFQLFALSIKNGVFDSDAFVGSTLLGFFGRYGCLNEAFMVFDDMTYKSTVTWNTMLSLLACNDFIEDAKALFCELLGLGVLLSEGSLVALLSGLVDSEEDLSYGEQIHCLMTKCGFDGYVSAVNSLIGVYFRCRALCLAERLFEQVPVQNVVSWNMIIDSMVKSGRSQVALEVFSNMLRKGLTPSQATYVAVIESCTGLRSLVCGECVHAKVIRSGFESDVIVGTALVDFYAKFEKLVSGHYCFDQIEEKNVVSWNALILGYSNVCSSTSTLLLRVMFRLGCVPNEFSFSAVLKSSSVLDLHQLHSLVIRMGYENHEYVLSSLVMAYSKNGFINEALSFIQEFNSPLPVIPSNIIAGIYNRTGQYSESMKLLSLLERPDVVSWNIVISACARSNNYYEVFELFKRMLSTHIRPDKYSFMTVLCVCTKLCSFDLGSSLHGLIVKTNSCDSFLGNVLVDMYGKCGNIENSVKVFEEITDKNVITWTALISALGLNGCARGAVKIFHNMILMGFKPDALALRAVLSSCRYGGLVSEGMKFFKQMGTIYGIQPEQDHYLCIVDLLAKNGQIKEAEEVMASMPFPPNAHIWRSFLEGYKKQEIAV >CAK8574322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675077632:675077847:-1 gene:gene-LATHSAT_LOCUS26677 transcript:rna-LATHSAT_LOCUS26677 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSTKVTLKLLIDTKNQKVLFAEASKSVIDFLFNLLLLPIGTVVKLLTKNGMVGSIGNMYSLHSLL >CAK8564836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11835148:11836497:1 gene:gene-LATHSAT_LOCUS18095 transcript:rna-LATHSAT_LOCUS18095 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKIDKLWTDVVWASDEVEYDQRLNQIEQACADCNEFIGYVKDTWLTPHKQRFVGAWINRVLHLGNTTTNRVESAHWKLKQMSRNNIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHGSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEIYRCTLRKTYGLPCACELTGYRIDGIPIPIDDVHVHWRKLSMEVKLDKDVDDGSEVDMSSSIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKSPVGYDVYKDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSESSKQFILQFPNHIRSYIDDVVNAVSDGNYGFRVIASLHGYGEDGWPVVRRDLGLKIIYNERSSLYANLFTDQLAVVRESLMIEEFGP >CAK8535862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886745325:886745570:-1 gene:gene-LATHSAT_LOCUS5282 transcript:rna-LATHSAT_LOCUS5282 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYRYYHSWIYDRLYLERRILKPNFEKGVKWFITWTFSQKCCRSKGEVRCPCLKCECRPIISDLEEVERHLKRMSFIEND >CAK8578420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628289582:628291094:-1 gene:gene-LATHSAT_LOCUS30433 transcript:rna-LATHSAT_LOCUS30433 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRRLSVRKVEKFEKNITKRGFVPETTVKKGKDYPVGPLVLGFFVFVVIGSSLFQIIRTATSGGI >CAK8565672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:303416106:303418542:1 gene:gene-LATHSAT_LOCUS18863 transcript:rna-LATHSAT_LOCUS18863 gene_biotype:protein_coding transcript_biotype:protein_coding MVISDDEVSEAIHSLFRETNPRTRTFTTLNQVVQELQSKLGHDLTHKLDFITSQINLLFASQQPPPQISHRQLQHPQQPPPPPQQQQLQQQQTQQTQQLCFSDKDRFTLHQNPNPYSVPVTSVFRTNPVAPAGAADDSTVAHHPPLSPNVVPKDSTQPKPKRRGGPGGLNKLCGISPELQVIVGQPSMTRTEIVKQLWAYIKKNNLQDPSNKRKIICNDELRMVFETDCTDMFKMNKLLAKHIITLEPTKKPAPKKQKVEVELGTRSTEPAPSVIISDSLANFFGVTGREMLQTEVLKRIWEYIKVNHLEDPVNPLAIMCDAKLKEIFGCESISALGIPEVLGRHHIFRRS >CAK8575612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:206008598:206010817:-1 gene:gene-LATHSAT_LOCUS27861 transcript:rna-LATHSAT_LOCUS27861 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWFNLVKRLFIWDTHSTQEEKEKRRKWIFGKLKTKKLPSITAPPTTSNKAEEEEKSEQFQVVMLNEVSQSAHQKNQDSSEESEPVKTRNGVPQSIFLCQREIQEFAAIKIQTAFRGYLAKKALRALKGIVKLQAIIRGRAVRRQAMSTLKSLQSIVSIQSKICARRLQMVEGRWDSVEDEEIHYSKDKIIRMDSNSERKWDDSTLLKEEVDAFCMIKKEAIIKRKRIKEYTFNHRRSAESERSKVNGRWRYWLEQWVDTQLSKSKELEDLDSVFSSHSRTGEEFSGKQLNLRNTTRRQNPNQLEEMDSPVVLSRKTFSHRRQSSIGEEQSFSSSPATPAYMAATESARAKVRSTSSPKARSWNNEMNSDSYLSPCKKKLSIVSSVNSEVVNNGGRMGKLSGCNQQRSPSLKGLSVPIKSSRIIKDLSINSDFPLSNWDRQSSFK >CAK8531813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:155133051:155134033:1 gene:gene-LATHSAT_LOCUS1578 transcript:rna-LATHSAT_LOCUS1578 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKEKTTFCFVLKNEKIPDGCASNISRCVQLVEKKVSGYKSHDAHFMLHYLLQVDVRCTIPKQVAHPLIRLCSFFRCLCQKVIEVADLDILQSEIAETLCQLETIFPPSFFDIMVHLPIHLVNEVRMGGPVQFRWMYFPERYLGKLKSYVRNKSRPEGSIAEGYLVEEYLTFCSRYLHSGVETRFARMTRNSDRFDPHEHESPSSCLNVGHPIGGKRKGEAISLDCKSRSLSHRYILFNHEDVQKFISEHENSNSNKRKGWSKPKSQGLDFVEWFKKRALLSDVSGNLRTLSRGPNKIA >CAK8571564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:440645151:440645561:1 gene:gene-LATHSAT_LOCUS24212 transcript:rna-LATHSAT_LOCUS24212 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELEVYVNDVLYMSKVIDESWKRFMSLIFVGKSALRSRIGELPYPITTSMCPPHVKLKTKGEVKKKGKKPVGYDVYRDPSYHEYVDQTSQSSKRQYQLIHHHDSLLKRPSHSSRKSTIISFQFSHLFTIVGRYS >CAK8541533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:231993924:231996312:-1 gene:gene-LATHSAT_LOCUS10448 transcript:rna-LATHSAT_LOCUS10448 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVLKKNSKGKKDDLYHVIHKVPFGDSPYVKAKHAQLVDKDPEAAIVYFWKAINVGDKVDSALKDMAVVMKQLDRTEEAIEAIKSFRGLCSKHSQESLDNVLLDLYKKCGRVEEQIDLLKRKLRLIYQGEAFNGRTTKTARSHGKKFQVSIKQETARLLGNLGWAYMQKTNYMMAEVVFKKAQMIDADANKALNLTLCLMRQSRYEEAYLVLEQVLQGKLQGSDEIKSQNRAEELLIELNANLPQPKFMDDLGLDDDLVKGIDGLLNVWSPIRSRRLPIFEEISSFRDQLAC >CAK8577138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539528029:539532004:-1 gene:gene-LATHSAT_LOCUS29269 transcript:rna-LATHSAT_LOCUS29269 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPAMGSGREFKDRRRLPRVMSVPGFVPEMDDDQAVSVSSDNPSTISTDRIIIVANQLPLKAKRRDDNKGWSFSWNEDSLLLQLKDGFPEDMEVLYVGSLRIDIDPAEQDDVSQYLLEKFKCVPTFLPPDVLAKFYDGFCKRQLWPLFHYKLPFSTDKSHRFDRALWEAYVLANKLFFQKVVEIINPEDDYIWVHDYHLMVLPTFLRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIESVMRMADEECKARELKQKFEGKTILLGIDDMDIFKGINLKILAMEQMLKQHPKWQGRAVLVQIVNPARGKGIHVEEVLAEIEESCTRINRVFGRPGYEPIVFIDRAVPITEKVAYHSLAECVIVTAVRDGMNLTPYEYIVCRQGISGSESSSNVNDPKKSMLVISEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMSDGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSIDAMVSAYKRARSRAILLDYDGTVMPQNSINKSPSKEVISLLKTLCADPKNVVFIVSGRGRISLSEWFAPCEKLGIAAEHGYFLRWSQDGEWETCGNCSDFGWMQIAEPVMKLYTEATDGSSIERKESALVWQYRDADLGFGSSQAKEMLDHLESVLANEPVAAKSGQFIVEVKPQDVSKGLVAEKIFTSMTESGKQADFVLCVGDDRSDEDMFEIVSSAISRNILSSNASVFACTVGQKPSKAKYYLDDTSEVINMLESLAEESDSSPRRKTEDSGDSS >CAK8541357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:135310356:135313322:-1 gene:gene-LATHSAT_LOCUS10282 transcript:rna-LATHSAT_LOCUS10282 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESVGVSSDFNPTPPIQHNHHQNYQRQHLCPRHHSIDISPTQPTLPQKQPPVNKPPFKPQNTPFFSLTVATSSAAFRILRRLKKHRSLFLISLPLLYLYLLASRSFFLDFLTALAFSTALLFSLNIALPSLRSFPLHLTLRKLHSSSPSSRSPPPLPVFWTIGSRPKSEKRLGSGCWVQVFGNGDFYEGEFHRGKCSGSGVYYYSMSGKYEGDWIDGRYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGAYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDVPSTQNTIYPVSPVGVNHSKVLNAVQEAKRAAEKAYDVGKVDERVNRAVSAANRAANAARVAAVKAVQKQLHHHVNNESFQIPVM >CAK8537706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421972539:421976466:1 gene:gene-LATHSAT_LOCUS6970 transcript:rna-LATHSAT_LOCUS6970 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSRDIKLHLLKVFHLSIEKSYTFMKKYPKISGAMLVFLIMYIFLSCIYNLLVFFSPFIVFTAILITIFWSSEQPLLKCVEKKESEKIVEAKNPPNVYMKDRRGMLYKYPSQNATSRRRNFTGKKLDVYGDLEQKAKNLSAVFRNEFTKKNTEIRSGVRFLDKEMDPYFDSKSTSRKVDDPLKQTLHATKPSMDDLVTCNASYYDCQEMNTEKTEEEKKAIEDSSSGNNKGAEHKEEDQKKLMDLGICEMERNKRLESLIARRRARKQLNLEIENGLLDMESISPSQISPLLIARMDPFDSPRYFEGIEMPGSAPSALRSPFDIPYEPFEEKPNLKGDILDHELTSDMHLEPKHDLLQVREHRQPGSRVRRVSGLSNHEGPEKLNSSEGSESKLQAPNLSNEGDETTHEGKGKCKIDMVSEEVDDNDPTLTNIEGVIASAPNPQDEFLDFPLSTTNVTNINDSLYESLSTPVFKSDENMLVTNGLIRHKQSLSLASDLQVEFSEIGSPTLTVDEGHEDMWGANESSDHDNLEPNNWRDVGSSSYSLQNIDEENDGELSFMSPRSDTRDDTPTYISRRDHNLFGNARKISVPRYSSDVLARWKRLMWLMDTRVNQSPHKMLPDKVEVCNQTDNLTNKEQISSEVNDSEATEQDNTNDLRSNEEPGASVTQKEAPNDLINKEQISNDENDSEANEHDNTNDVMSNEKPGASVTQQETPNDIINKEQISNDENDSEATEQDNTDDLRSNEEPGASVTQQEAPNDLINKEQISNDENDSEANEHDNTNDLMSNEKPGASVTRQEAPNDLINKEQISNDENDSEADEHDNTNDLRSNEKPGASVTQQEAPNDLINKEQISNDENDSEADEHDKTNDLMSNEKPGASVMRQEAPNDLTHKEQISNDVNDSEATEQDSINELRSNEEPGASVTRQEAPDDLTHKEQISNDVNDSEATEQDSINELRSNEEPGASVTRQEAPDEISIITTSPSSSLTSVLQIPHTTVADQEIHTGIQPSYIEDVTQETVNGEGLLDSMSQNIQLFVDDSNVESHNRDLDNSQEQLISPMVESSSEIHIENEGKSQASLTEEASMKPFINFETVNTNSAEDFEDKQDSLKENEAENHSSNEDNYLQSESNQVVNDHIDKEQLDKGNDISDDSSLPMVTEETINADDTLRESSMMNNNEANDRELDENNETVVSSEPAGETDKVSNIAHMNDP >CAK8579635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713937408:713947942:1 gene:gene-LATHSAT_LOCUS31566 transcript:rna-LATHSAT_LOCUS31566 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEDEVVYRDVTNAGLVVSDRIGREVSSQLDLEEALEASRYASHPYSTHPREWPSLVEVANTWELPPVLIERYRAAGGEGTAFCGIFPEIRRAWASVDNTLFLWRFDKWDGQCPEYSKEEQAICAVSLAKSKPGVFVEAIQYLLILATPVELILVGVCCSGGADGSDPFAEVSLQPLPDYTVSSDGVTMTCVACTDKGRIFFAGRDGHIYELLYSTGSGWQKRCRKVCVTAGLGSVISRWVIPNVFNFGAVDPVVEMVFDNERQILYARTEEMKIQVYVLGPIGDGPLKKVAEERNLVNQKDAHHGSRQSTGSRASSRSPKPSIVSISPLSTLESKWLHLVAVLSDGRRMYLSTSPTSGSLNGFNTNHHKPSCLKVITTRPAPPWGVSGGLTFGTMALAGRPQNEDLSLKVEAAYYSAGTLILSDASPPTMPSLLVLNRDSSSQSSPSGNLGTGTRNTRALRESVSSLLVEGRMLSVADVLPLPDTSATVQSLYSEIEFGVFESSMESCERASGKLWARGDLSTQHILPRRRIVIFSTMGMVEIVFNRPLDILRRLLESNFPRSVLEDFFNRFGAGEAAAMCLMLAARIVHSENFISNIIAEKAAEAFEDPRLVGMPQLEGSNALSNTRTAGGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPLWELPVMVIKGSAGASGNSFENGVVVCRLSIGAMQVLEHKLRSLENFLRSRRNQRRGLYGCVAGLGDVSGSILYGSGSALGAGDRSMVRNLFGAYSRNMESNGGGATNKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHVTRLIQGFDANLQQALVQLTFHQLVCSEDGDRLATRLISALMEYYTGTDGRGTVDDISKRLREGCPSYYKESDYKFFLAVEALERAAVTIDDEEKETLAREALNSLSKVPESADLRTVCKRFEDLRFYEAVVSLPLQKAQAIDPAGDAYNDEIDATVREQALAQREQCYEIIISALRSLKGDSDTLRKEFSSPIRSASQSALDPASRKKYISQIVQLGVQSPDRIFHEYLYQAMIDLGLENELLEYGGPDLLSFLQSAGRKPIHEVRAVTSTTSPMGQSGAPMSSNQVKYYELLARYYVLKRQHMLAAHALLRLAGRPSTDGVPALEQRCQYLSNAVLQAKNATNSDGLVSSTRSSSDTGLLDMIEGKLAVLRFQIKVKDELEAMASSSEALQSTSSSVENGIVPEASSTFDANVTREKAKELSSDLKSITQLYNEYAVPFKLWETCLEMLYFANYSGDSDSSIVRETWARLIDQAISSGGIAEACSVLKRVGPRLYPGDGTVLQLDIICLHLEKAGLERLHSGVESVGDEDIARALVSACKGAAEPVLNAYDQLLSNGAILPSPNLRLRMLRSVLVVLREWAMSIYSHRMGTAATGSSIILGGGFSLERTVASQGIRDKITSVANRYMTEVRRLALPQSQTEVVYRGFKELEESLISPNSYGRF >CAK8566993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478467320:478468006:1 gene:gene-LATHSAT_LOCUS20086 transcript:rna-LATHSAT_LOCUS20086 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAPKIVDGEVEIQIEEADVEAEVKLWESALIMYALGVDLSMNTVKQFMSRTWNFVTLPEMFYNEEGFFILSFHSFHDKELVLMKGPYSIRNRPMILREWKPDFSMNKDMLRTISLWVKLPQLPLHLWGARSLSKIGSEIGTPLVTDECTTNKPRVSYARILVEVDVTQELKTEILIRDEKGVRMKQLIEYEWKPLYCQRCHKVGHKCAKPAKLTKEWRVKGQVQP >CAK8567413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512892128:512893250:-1 gene:gene-LATHSAT_LOCUS20469 transcript:rna-LATHSAT_LOCUS20469 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPFDLDSQKVRLALEEKGIDYTSFHANPVTGKNLDSTLFQMNPSGSLPVFQNGSHIIYKTIDIIQYIERIAVVSAGSEDISSNRKEVIEWMQKIQEWNPKYFSLSHIPEKHLVYVSKFIRRVVIARMSESPELAGAYHRKLREAYQTDEKLKDPDVLRRSEQHLVRLLDEAERQLSETPYLAGEEFTMADVMLIPVLARLKLLDLENEYITGRPNIAEYWVLVQQRPSYKKVIGKHFDGWRKHKTLFKTWCFVRIRSLLKRY >CAK8563583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618726822:618727616:1 gene:gene-LATHSAT_LOCUS16978 transcript:rna-LATHSAT_LOCUS16978 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTMKLTLFSVLTYVSFYAVASVTPLHVQHPLDPLTKEEFLAVQTIVQNKYPISKNKLAFHYIGLDDPEKHHVLKYERHPTLVKIPRKIFVVAIINSHIHEILINLRIKRIVSDNIHNGYGFPIISDAEQSLAIELPLKYPPFIASVRKRGLNLSEIVCSSFSMGWFGEEKNVRTVKVDCFMKESTVNIYVRPITGITIVVDLNLMKIVEYHDRDIEAVPTAENTEYQVSKQSPPFGPVQHSLTSHQPQGPGFQINGHSVR >CAK8572579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:548578816:548579070:1 gene:gene-LATHSAT_LOCUS25132 transcript:rna-LATHSAT_LOCUS25132 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRQNKSSPGFFSIFACFTSKNKHGAGYYDYDTGRRTWPSDEDKGNWGVAEPNINRKAEDFIRKYKNRVSESERYEVDPAA >CAK8572690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555769937:555771419:1 gene:gene-LATHSAT_LOCUS25223 transcript:rna-LATHSAT_LOCUS25223 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKTHVQCILFLLSLLYTSCRLEIMESDFDKWISWNMKSHQRKTIMENKRSGLDLKLQRAESNKTIIRVSKDGGADFNTINEAINSISPHNTRRVVVSIAPGVYREKVVIPETLPFVTFLGDANDRPTITGNDTSSVTRSDGTTLKTFNSATVAVNASYFIAINIIFENNASYLESKVEQAVALRISGNKSAFYNCSFYGVQDTLYDHKGLHYFKSCFIQGSTDFIFGYGRTLYENCTLNSVTKNVTSITAQKRSNSTLDSGFSFKNCNVTGSGHVYLGRPWGEYSRVVYSYTFMDKIVLPKGWDDSWGDQKRNLTVYYGEYKCSGPGSNLSKRVPWARMLTDEEAQPFIGIHFVQGDTWLISP >CAK8570422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44850078:44860987:1 gene:gene-LATHSAT_LOCUS23170 transcript:rna-LATHSAT_LOCUS23170 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTTTSETQEAPLLPSRHEGGRSTSLALLLGRAAGRRGPSVLVRETAARELEERRADWGYSKPVVALDISWNMAFVVVSAVMLSCTVDENPITPIRIWIIGYALQCFVHVVLVWLEYNRRSRRDSRRWQRENDGGASSDGSDSDDGDGNSSQSSFTKRCESINTGVSFIWWMVGFYWVVSGGDILLENAPSLYWLAVIFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFRLSNNEEKASGGAGSMVPTDTSSEYLANERILLPEDAECCICLCPYEDGVELHTLPCNHHFHSSCIVKWLKMNATCPLCKYNILKGNEQV >CAK8566098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379780359:379781907:1 gene:gene-LATHSAT_LOCUS19261 transcript:rna-LATHSAT_LOCUS19261 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHNKKILEPKPNLEELYQGIPDESVNLTFQDLPNVKKNTIVSQGIQSPSLSPMNMSPIRDFKKGFKVYSNDNYNPHQDLGHRGVVGPQSTPSKASEYNLRYDTMSGESSSTSGKGGVGRRRRQGIPHSKICTICSNYVYFFRTRCLVCGRVYCKQCVEIGMGDLREGRKCVNCLGLRFSQRYIERAGLLGCLNWRYPSTVKQTELKWAEKGPRRNGDKGYGHHQSRPTTPTTPTSPFSIASSEASYAMSATYSPFTPHHHHHPL >CAK8579637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714140450:714146191:1 gene:gene-LATHSAT_LOCUS31567 transcript:rna-LATHSAT_LOCUS31567-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYAQSHAPLLRPRRVGRTPVLALLLGRRGPSVMVRETAARELEERRADWGYSKPVVVLDVTWNTAFVVVAAVMLACSVEENPNTPIRLWICGYAVQCLVHVALVWLEYRRRNGVGGRRDEESLDEDVNDSEDDDDVEFRNSSRPGFAKRCASLNTMLSLIWWMVGFYWVVYGGDILMQEAPRLYWVAVVFLAFDVFFAVFCVALACLIGIALCCCLPCIIGILYAVAGLEGASESDLSILPKYKFHVASNEETSSPRGGSMVPIENSSGANERVLSPEDAECCICISPYEDEAELHALPCNHHFHSTCIVKWLKINATCPLCKFNILKGNEQV >CAK8579636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714140450:714146290:1 gene:gene-LATHSAT_LOCUS31567 transcript:rna-LATHSAT_LOCUS31567 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYAQSHAPLLRPRRVGRTPVLALLLGRRGPSVMVRETAARELEERRADWGYSKPVVVLDVTWNTAFVVVAAVMLACSVEENPNTPIRLWICGYAVQCLVHVALVWLEYRRRNGVGGRRDEESLDEDVNDSEDDDDVEFRNSSRPGFAKRCASLNTMLSLIWWMVGFYWVVYGGDILMQEAPRLYWVAVVFLAFDVFFAVFCVALACLIGIALCCCLPCIIGILYAVAGLEGASESDLSILPKYKFHVASNEETSSPRGGSMVPIENSSGANERVLSPEDAECCICISPYEDEAELHALPCNHHFHSTCIVKWLKINATCPLCKFNILKGNEQII >CAK8570468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48789023:48789928:-1 gene:gene-LATHSAT_LOCUS23214 transcript:rna-LATHSAT_LOCUS23214 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIGVSGLAHLFGIVSIVLLLVWLLHYREGIDYDSDDGLRVFNVHPLMMFLGFIFLVGEGVMAFQTVPNQRPIPKVVHMTLHLIAIVLGIVGLCAVFKFHNMRNIADVYSLHSWIGIGTFCLFGLQWMFGFVTFLVPGAQGSTRARVLPWHRASGRVLLFMAICAAETGLMEKSGFINLKPYQRETNLVNFLGLTILLFGVFVNMSVGVR >CAK8532939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553002027:553003211:1 gene:gene-LATHSAT_LOCUS2598 transcript:rna-LATHSAT_LOCUS2598 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEDVSERCGSYSPSADISESESSSSFYGRRFDAEGASSSVNLSPRQLAAHFNLPTAAQVMLPVIGGKDVVVWDHKRDLDLSEVEMMKERFAKLILGEDMSGGGKGVYTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEWLLCVSNSIVELVPSVQQFPGGGTYEVMATRPRSDLYINLPALKKLDGMLLSMLDGFCDTQFWYVERGIVLADSKDCDEYGRPSVRQEEKWWLPSPKLPPNGLCEDDRKRLQQCRDCTNQILKAAMAINSSVLAEMEIPAAYVESLPRNGKACLGDIVYRYITAGQFSPECLLDCLDLSSEHHTQDIANRIEAAIHVWRLKDVQRLKNSVKARRSWSGKVKSLVADGEKNHFLVQRAETLLQA >CAK8576970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528599106:528600344:1 gene:gene-LATHSAT_LOCUS29122 transcript:rna-LATHSAT_LOCUS29122 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDEEQQLQNERRSGTSSRPKRRTTVDQGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGFSPLQKCTSVICMLAYGSPADLVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDVEHLLQMGESRGFPGMLGSIDCMHWVWKNCPVAWKGQFCRGDHGKPTIMLEAVLSQDLWIWHAFFGIAGSNNDINVLNQSNVFNDILEGRAPNVQYTINETPYNMGYYLADGIYPEWATFVKTISMPQGEKKKLFAQHQESARKDVERAFGVLQSRFAIIRGPARAWHMDTLKHTIYACIILHNMIVEDERHTYRGNFDYSYDNVDINNSTTETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEHIWERFGHEDDEI >CAK8536107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908156835:908157650:-1 gene:gene-LATHSAT_LOCUS5507 transcript:rna-LATHSAT_LOCUS5507 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVSLCVTLSLVFGFLILFIFAQVFYFLWWKKRRTNMDIEMEQASFAKGVFYWWCWKKTSCSLDATNVNRDIETSNHEQDIELGVEGKDLLLKPYGEESLELELMRLHNLPGPPRFLFTITEETKEDLESEDGKSKCGRSRKGSRTKSLSDFVESPFGLSPLKCSLDSLDQSCKHQGFNNPLFEATGESEFNRFRSSPPPKFKFLRDAEEKLYRRLMEESRRKELEAELQVQDSPRKQIHQCLPQSSSQVLPLTSSPTIFKSLENSSMLH >CAK8539647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519594781:519598336:1 gene:gene-LATHSAT_LOCUS8725 transcript:rna-LATHSAT_LOCUS8725 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRATSAWVASRSSHVTVDSSGIEKVVSTIESIPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDDLASGLKAALQNDKSAFDADRLQKYTGPQLQKLLNWPRPLPLEDERVRLLHEVGIELERNFDGKASNLVEQCGKSAVNLVALVARHFPGFRDHSVYKGRQVFLYKRAQIFVADLWGAFSGQGYGEFKDISSLTIMADYIVPAVLQQLGILKFSPKLASTIEASGEICPGTEEEVELRACSIHAVEKMRELISVKSGKQVLSVELDLWLWASGVQSESLKHHRTLSIYY >CAK8565715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311823980:311829220:1 gene:gene-LATHSAT_LOCUS18903 transcript:rna-LATHSAT_LOCUS18903 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDALQESLLNGVSNKSSEENEILTGYSKAGFFSILTFSWMSPLITLGSKKTLNHQDLPLLSTNDTAYGSFSTFTKNLELECGNVRMVTPIKLLKVLFLSTWKGIIISGIFAFLYVCATYVGPYLIDNLVQYLNDENKVKSEGYVLATAFIVAKLVECLSQRHWMFKFQQVGVRMQAMLVSMIYAKGLTLSGQSKEGHSSGEIINLMNVDAQRIGEFCWYMHDIWMAVLQISLALLILQRSVGVASVAAFAATVVVMLLNLPVTSLQERFQAKLMEFKDKRMKATSEILMNMRILKLQAWEMKFLSKIIQLRKLEETWLKKFLIGNAIVRFLFFNAPTFVAVVTFGACVLLGIPLESGKILSALATFRILQMPIYGLPDTISMIAQTKVSLDRIVAFLRLNDLQTDVVEKLPRGSSDIAVEIVDGNFSWDLSSVNTTLRNINLRVCHGMRVAVCGTVGSGKSSLLSCIIGEIPKISGNLKVCGTKAYVAQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRVQIARALYQDADIYLLDDPFSAVDAHTGSHIFKECLLGLLKTKSVIYITHQVEFLPDADLILVMKEGRITQSGKYNDILTLGTDFMELVGAHTAALSSVKSLERRATFKTLSITGEDTGSLSDFELEQEIENVDDQNGKLDETIVPKGQLVQDEEREKGSVGFKVFWKYITTAYGGALVPFLLLTQILTVVLQIASNYWMALATPVSATEEPEIGNLTLMIVYVSLAIGSSFATLGRAVLAAIAGYKTATMLFNQMHLSFIRAPMSFFDSTPSGRILNRASSDQSTVDMNISNLAWGFTYNMVQLLGTIAVMSQAAWQVFVVLIPVMAACIWYQRYYSASARELARLTGVCQAPVIQHFSETISGSTTIRSFEQESRFTELNMQLIDKYSQPKLYSASAMEWLSFRLDLLSSTIFAFCLVFLVSFPSSIADPSIAGLAVTYGINLNAIQTNLIWFLCNLENKIISVERILQYTSIPSEAPLVIKDNQPDHSWPSFGEVHIQDLQVQYAPRLPLVLRGLKCTFTAGAKTGIVGRTGSGKSTLVQALFRLVEPVAGQILIDNINISLIGIHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDMCQLGDEVRKKEEKLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKQHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAQLVAEYTRRSNTGFGS >CAK8540306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:550364720:550367764:-1 gene:gene-LATHSAT_LOCUS9321 transcript:rna-LATHSAT_LOCUS9321 gene_biotype:protein_coding transcript_biotype:protein_coding MKELTVEPEPIHGHAQGVAPSEIGKGNSTTRPDLHNRAVKILRAREAYNGGYNDEVGEKPSRFEVFGWYLYEFCFYFVQTVLVPVVFPLIISQLQKQPTVSLQEWNKLHPGTHCSQKEFHLYSKLTGHTISSKFSPLEWTSIAWAIGLAIAAPILGFLSFHLDGNFPKLITVAATGVGVFFCLPAGFFKVTAIFIPYIAGIIAASTVANAAHTQHFGLMIRAFTGTSLKKTQFFIRQGVSTRLSLHATSAGCFGGALIAAFTYHMIHELNDNERDVMSLWVVSIFSGLIWLVGILHLATATSRTTDSISFSSRLHPFSIFKYPHAIGGLASVFLASFTTMTIFMGGVIFIVGQLCIRPLHLLLFWLTYFLFPLVSLSLLQPLLHLIKTSSVKMQIVGFFFSLLSSGFGFYYGHSHWKWGHLVLFGAIQSTATGILHAFGRVLVLDCAPSGKEGAFSIWYAWMRAAGLCVGFTVGSVGPGRIRTSFGVAFCTAIAGIIVLLFGNISDAGGAVAAGNVNDDNERSSTVVSGLDSKEAVRV >CAK8533480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:622491111:622493479:1 gene:gene-LATHSAT_LOCUS3103 transcript:rna-LATHSAT_LOCUS3103 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFKKNSHHQFLLHLLILILVLPTFVLSECTCDQDKQSNISKAYGYRAIAFLSILIAGAFGASIPFLGKVIPALSLDKNIFFIIKAFAAGVILSASFIHVLPDAFESLKSPCLNDHPWGDFPFTCFLALCSAMAILMVETYANIFFMKLTSKEAHVDVNSNTDVEKEVLESYVPFVDKSYQFIRQKMMSPGLEFCIIFHSIIIAISLGASESPNTTKPLMAALCFHQFFEGLGVASCIDQAQGNMRMFFYLTTPVGIGIGVFISNTYDENSPTALIVEGILNATSSGILIYIALVNLLAADFMSERIQKSCKLLLSCHLSLLLGAGCMSLFAKWA >CAK8543354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602677491:602678008:1 gene:gene-LATHSAT_LOCUS12116 transcript:rna-LATHSAT_LOCUS12116 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITTVTSISPTATVTTATDAKVQRVTKKSSDELLRKFAEVGTNQKKELRIIKRRKKMKENQCENQSPSKTGTAVVERRSLLPPTVSRRSVLLRQLRVRDNRNKSSILGTIQKTWRRTVEGASRVFMEKHYHRHKRLINDIV >CAK8543262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593372736:593373485:-1 gene:gene-LATHSAT_LOCUS12031 transcript:rna-LATHSAT_LOCUS12031 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWMFASRASKEYEDGVQEFVRFAIAHAEDTSKIICPCLKCCYTYVNANVLEDHLICNGIDKSYTCWIMHGGKKNKSTKRSNGRDKSNDFEQDTNYEFDRVEEFVNVIEEDLRDCPQMFERLVSDAETPLYEGCTKFTRLSMVLKLYNLKARHGWSDRSFTDLLTLLNEILPKNNVLPSRTYEAKRLLCSIGMSYEKIHACTNDCMLFRNEYASLDMCPKCSAPRYKKKETTSAKTLWYFSIIPRVK >CAK8567633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532675118:532679550:-1 gene:gene-LATHSAT_LOCUS20669 transcript:rna-LATHSAT_LOCUS20669 gene_biotype:protein_coding transcript_biotype:protein_coding MQAINRFISVTRRLTAEQNRLHFAPSRTFSTTTPGGGSLDDANWETPSTWSTGLTKDHFNGEANPQTTLSDLQEMEDKLQELEEENRKSKSYVDSWKKRMADTCVLLKQVREPGARGSYLKDSEKAEMYRLHKKDPEVYTVEKLAKDYRIMRQRVHAILWLKQLEEEEEKKLGHRLDDSVELLLDTFPEFFISHDREFHVASLPYKPDFKVMPEGWDGITKDLDEVHYEISKKEDDMLYRDFVEKMNFNKKKMAGEVKCHKYSRRRPENGWTFTVEKMGARGKRGGDGGWKFASMPDGSTRPLNDIEKMYVKRETPRPRRRILP >CAK8540324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552763574:552766283:1 gene:gene-LATHSAT_LOCUS9338 transcript:rna-LATHSAT_LOCUS9338 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTIAALRFLPPNPTSRKTPHFFSKPNVASLRSFSHSSPLFSLSHKFPLSLPPCKCYFTAPVSTSTYQFTDGGSEMELRLHIGDTDIISTKDISVDADDTSISITVLRSGSPVTLIQTDPLFDRIKPSETIWYIDDDHLVVNFKKHDPELKWPDIMESWESLAAGSSQLLKGTSIYLVGDSTEINKKVAEVLATGLGYTPLSTQELLESYTKQTVDSWLLAEGSDTVAEGEGAVLESISSHVRTVVATLGGRHGTAARSDKWRHLYAGFTVWLSQTEASDENSAKEETHRNVKDGITAYTKSDVVVKLQGWDPDYAKSVAQGCLKALKQLILSDKKLPGKKGLYIRLGCRGDWPNIKPPGWDPSSEGGETLGTQ >CAK8540698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16418653:16420287:1 gene:gene-LATHSAT_LOCUS9677 transcript:rna-LATHSAT_LOCUS9677 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFFPLKWEATGNQWWYASPIDCAAANAHYHLVREMLKFDNNHLFNLTSLRRIRRLELVWDDDREQFKDVAKCRSEVARKLFLECESKKGKKKENSLIRAGYGGWLMYTAASAGDLCFVQQLVEMNPLLVFGGGEYTVTDTFYAASKGKNCEVFKLVFDFALSPRFVTGKGRVILPSVYKWEMSNRAVHTASRGGNAEVLEMFLANCCDVLAYRDAQGSTVLHSAAATGQLEVVKYLTSSFDIINSTDDHGDTALHVAAYRGHLAVVETLISASSSLISIRNHAGETFLHKAVSGFQSPAFRRLDRQVELLRKLLSGDKKFHMEEIINAKNTDGETALQVATTGNTKIPTDLIKLLKTAPMIKPLQGLGYNSRKATTASSSQMKNDRICISPGTSFRMSDAKMLVLAGIEKNASDASSNTDQGSERMSSTTSDSAAENRPSRGTNQ >CAK8579109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675611524:675615816:1 gene:gene-LATHSAT_LOCUS31077 transcript:rna-LATHSAT_LOCUS31077 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRLGLLLALASGFAAISIYITGLSDPSVYTGYHLTDDETESLLLLHSSFEKCVSANGLGLKAARGSDYCQTTISFPSDTIPKWKDPKTGELEALSFDFNLCEAVATWEQVRNSTTILTKEFIDSLPNGWEEYAWRRINKGVQLNRCENRTLCMEKLSLVLPETPPYFPRQYGRCAVIGNSGDLLKTKFGKEIDGYDAVLRENGAPTQNYTDYVGRKSTFRLLNRGSAKALDKVVELDEKRKEVLIVKTTIHDIMNKMIKELPIKNPVYLMLGASFGSAAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVIKWVPSPRIIKAARIASEKLLRRVGAGSEDPLRACSIIKKQAKRNLNAISNLRKAALDHIRYVKSTTMYPLEHNPGHGLLCTVPTD >CAK8538197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468516484:468517797:1 gene:gene-LATHSAT_LOCUS7424 transcript:rna-LATHSAT_LOCUS7424 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQETLVNSSWELFKQNPDYSVLFYTIILKKAPAAMGMFSFLKDSAGVVDSPKLQAHAEKVFGMVHDSAVQLRASGEVVLGDATLGAIHIQKGVVDPHFVVVKEALLETIKEASGDKWSEELSAAWEVAYEGLASAIKKEMS >CAK8535728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877431311:877438011:-1 gene:gene-LATHSAT_LOCUS5157 transcript:rna-LATHSAT_LOCUS5157 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDIYRATNSLRARSSTVWRNSGVEVFSKSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGVANEVDVTDIGFQDKQKLLERLVKVAEQDNERFLLKVKERVDRVGLDIPTIEVRYKNLKIDAEAFVGGRALPSFINAVTNVVEGLLNVLHILPSKKRHVSILKDVNGIIKPRRMTLLLGPPGSGKTTLLLALSGKLDSSLQLSGSVTYNGHGLNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDVDVYMKAIATEGQEYSISTDYILKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIASSLRQYVHILNGTAVISLLQPAPETYDLFDDIILISDGQIVYHGPREYVLDFFESMGFKCPERKGVADFLQEVTSKKDQAQYWMRRDQPYRFVTVTQFAEAFQSFHVGRKLAEELSVPFDKTKSHPAALTTKEYGLNKTELLKANFSREYLLMKRNSFVYIFKLCQLFVMALIAMTLFFRTEMHHNNQDDAGVYAGALFFTLMTIMFNGMSEISMTIAKLPVFYKQRDLLFYPSWAYAIPAWILKIPITLMEASLWVFLTYYVIGFDPNVERLLKQFLVVIFLSQMASGLFRSIAALGRNMIVANTFGSFAILTFLALGGFILSRKDIKKWWIWGYWISPLMYGQNALMANEFLGHSWHNATVDLGKQYLDTRGFFPHSYWYWIGVAGLAGFVFLFNVVFAVALTILGPFDKPQATIPEDSEDDSSNDRTVQEVELPRRESSGRGDSVSESSHGKKKGMVLPFEPHSITFDDIVYSVDMPAEMKEQGVSEDRLVLLKGVSGAFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSKTRKMFIDEVMDLVELNSLSNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFESIDGVSKIKDGYNPATWMLEVSSTAQEFNLGVDFTDVYKNSDLYRRNKQLIQELGQPAPGSNDLHFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTAVRFFFTTFIALMFGTMFWDLGGKHASRQDLLNAVGSMYTAVLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSALPYAISQILVELPYVFAQAVVYGVIVYAMIGFDWTAEKFFWYLFFMYFTLLYFTFYGMMAVAVTPNHHVASIVAAAFYAIWNLFSGFVVPRPSIPVWWRWYYWACPVAWTIYGLVASQFGDITTPMTSEGEKEVKLFLEDYFGIKHDFIGECAIVVAGIAVLFAFIFAVAIKSFNFQKR >CAK8544805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706424474:706435830:-1 gene:gene-LATHSAT_LOCUS13455 transcript:rna-LATHSAT_LOCUS13455 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIAGSHVWVEDPEVSWVDGQVAKITGQDAEIETSNGKKIVAKLSKILPKDMEAPPGGVDDMTKLSYLHEPGVLENLKIRYELNEIYTYTGNILIAINPFQKLPHLYDAHMMQQYKGAPFGELSPHVFAIADVAYRAMINEKKSNSILVSGESGAGKTETTKMLMQYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVNDPERNYHCFYLLCAAPQEEIEKYKLGHPKTFHYLNQSKCFELADVSDAREYLATRRAMDIVGISQKEQEAIFRVVAAILHIGNIDFAKGKEVDSSVPKDDKAKFHLKTTADLLMCDVDGLEDALCKRVMVTPEEIIKRSLDPQSAAVSRDGFAKTIYSRLFDWLVDKINNSIGQDASSKSLIGVLDIYGFESFKSNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFSNKLYQTFKSHKRFIKPKLSRTDFTIAHYAGEVQYQSDQFLDKNKDYVVPEHQDLLGSSKCPFVAGLFPQLPEESSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYVRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSILAPEVTEAQNDEKAVCHKILEKMGLKGYQIGKTKVFLRAGQMAELDAQRAQVLSIAAKTIQRRVRTYQARRHYLALRKKTIYVQSLWRGRLACKLYQNMRREDAAVKIQKYIRRHESRKAYSKLHASVLTLQTALRAIAARKEFTYKKRTKAATIIQARWRCYRASSYFKRLKKGSIVTQCRWRGRVARKELRSLKMASRETGALKEAKDKLEKRVEELTWRLQLEKSLRTNLEESKAQEIAKLQNSLQDLQSKADETNAMLVKERENAKKTIEEAPPVIKETQVIVEDTQKVESLTAEVESLKTSLEAEKQKGNDFERKYNEAQALSEERGQKLEDTEKKARQLQESLTRLEEKISNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRVDSGHIGAEAKPPHLDIHSSSMNHRDSAEVEDKPQKSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQENNNVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGAPAGVSLSMINGSNSAGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLNTLKENNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGPAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSKEQIDISDIEPPPLIRENSGFSFLLPRPD >CAK8569819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12641919:12643921:1 gene:gene-LATHSAT_LOCUS22628 transcript:rna-LATHSAT_LOCUS22628 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKVIFILLMLLITDYVTNSDGAFSKQKILEVERKLHHLRKHSLKTIESEDGDIIDCVDIKKQPAFDHPALKDHKIQMAPSYNSAKKDPIERTRSKTKNTMKKQKDEEPYVTVASQIWQKNGSCPKGTVPVRRILKRELLKARTIDEYGRKKPSFLNRQVNHRLNNNLDSFVQQQNHSKAILFADGFRYVGGKGDIRVFGPSVEKDDEYSTAQVSLLSGGSYNYYECIESGWAVNPSVYGDRKTRLFAYWTADGSHETGCFDLTCPGFIQTSNEIALGAAIYPIPVPGGLPYQITIYIYKDPITNNWWVQYGEKTNIGYWPPEIFRAICYHAESVEWGGEVYSTTVGHTPHTKTQMGNGQFPRGHYSASILRMRVHDNYPILKIPEWVQYYSDEYNCYDVYYQSDYLEDPEFSYGGPGSNPMCP >CAK8562622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516918985:516922249:-1 gene:gene-LATHSAT_LOCUS16107 transcript:rna-LATHSAT_LOCUS16107 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCWECVLKLLNSLLTITGLAMVGYGVYLLVQFGKAPNNSLTVATVSDDQTYILLGRPMLMALPLSNNFFDDLPKAWFIYLFIGVGAVLFVISCFGCIASCTRSGCCLSCYSVLVVLLILVELGCAAFIFFDKNWKEEIPRDKTGNFNMLYDFLRENWTIVKWVALGIVIFEALLFILALIVRAANRPADYDSDEEFINPRQQARQPLLSRPAGPAPGVPVTGTVVDPRSNRNDAWSARMREKYGLDTSEFTYNPSESHRFQQVNSQPTEERSRCTIM >CAK8568782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640625107:640629500:-1 gene:gene-LATHSAT_LOCUS21707 transcript:rna-LATHSAT_LOCUS21707 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGWNRGGGAVLSNDDGEAVVMKCCFGVRKLKGCLFVCIHEEEVLEEGE >CAK8535444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849335165:849342640:1 gene:gene-LATHSAT_LOCUS4908 transcript:rna-LATHSAT_LOCUS4908 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRFETKKQVVLILWAWWWSTSICVKAGNDSLKAGERLDANSSLCSKQSRYCLLFQDIVNSEDNYNYLVIVSKKNFSDRGSVIWMYDRNQPVDINSAVLSLNNTGVLKIEFLYRKEIIIYSSPQPMHNTVATMLDTGNFVLEQLHPNGTKRVLWQSFDYPSSILIPTMKLGVNRKTGHNWSLVSWLTHSLPTLGEFSLEWEPKEGEMNIKKHGKVYWKSGKLGNNRLFDNIPANVQQNYQYIIISNNHEDSFSFEMKDRNSKMSSKWSLSSEGRLTSSEGELGNADICYGYNSDRGCEKWEDIPTCRTPGEVFERKMGRPNIDYATFQDNVSYVYNDCKMSCWRNCGCNGFRKYYGNGTGCIFFSWNSTQDVDWDDDIKFYILRKPAKTVPDHHGKRKWIWIGATIAVALLIICALILCMAIKKHKYDLKEKKVKRKENEMQELNASNELYSIKDLEDDFKGHDIKVFSYASILEATVDFSPENKLGQGGYGPVYKGILVSGQAVAIKRLSKTSGQGITEFKNELELISELQHTNLVQLLGCCIHQEERILIYEYMPNKSLDFYLFDSTKRKLLDWKKRFNIIEGISQALLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQHESIVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIVSGRKNNSFYDVDRPLNLIGHAWELWNDGEYLHLMDPSLSESFVPDEVKRCIHVGLLCVQQYANDRPTMSDVISMLTNNYEPVTLPTRPAFYVRSETFEEETNSKVLDTDTYSTTAISSSDVERQ >CAK8565341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:140198422:140201358:1 gene:gene-LATHSAT_LOCUS18562 transcript:rna-LATHSAT_LOCUS18562 gene_biotype:protein_coding transcript_biotype:protein_coding MGQENHLTQKRTTSVGGVLPTTTPTTPTASRNRALPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGTSQNDAVNQNVIEETNRILAEIRSDSDPADRNQTETFLSLNETYALGPKIRNWDTERKSWLDKNPEYPNFVRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNMAHLDMELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYNDYNLILHGYPDLLFEQNSWIAVNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSKKDKWMEKVFLENSFYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVEKCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETITPLEFVDQFDIRRHHTGKVESRN >CAK8573048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580009528:580014053:1 gene:gene-LATHSAT_LOCUS25541 transcript:rna-LATHSAT_LOCUS25541 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRRRDEDHAARSLSPKLRSHHRLDSAPDLVRRNRVDGLDRLPVQQRKLNPLRGDIDGNKRGGDGFEGRDSDWHLSDRRSGRVQSRSPPVDQMRKRSHFDDGVDHRSSSPSSLGLRPKYEYSKSMDYSGVDDENLDVKRVYVNREKSFIESRLGGGKSTVDQRFSRNGNEVGGSYRSIPDIGVSVQSQYEEDGGKFHPLSRRVPTERFAYERLQHREHLPVDKIPITEPHRGADKSKTMFHTRDASYSKESPSYAKDFAGTSHLRDYGNSSVEMRSDFLCSHGDCVCSRSSYDQPRGSGKLAEGVGFSGRGQRPHVDTSRGPEIRERNITCHQCELSPSHTRIEHSDYFNSRLHSRAAHDEYLYQYDDIPRRVDPHGRLDYEQAVIEYDNRELSRHYISHPDIDRTGKSEDYYGNPRRGVMHEHDHSTSENPKYVDYHDMRRTSVASKQGNAYLRSGYNHTEIGKRMPNDYEVSYQDAPEADHQISNLRTEYKFGRDGARGLQQERYQSSPLSKHDSETYRQAARVQEMNQDVGIHNRSDRHMKRKYYANEEIDVHDLRTIKSSKWDDTPDEYEDYYVNEEWVDDEDMNMLYSYDNVGSKHKIYRKHNNKYNELESEEGFPSNNRISPEGSMERVQRPPFLFQKYSNQNMRHSKSSSSNWYKSQHFSRRNANQKQPKGWKKYHGYNENKHTTNDESYEDLVSAAEPQPTEDSEEFLQMVHENFLMYSKKLNLNLSVQRRYQKQGKAGALYCIVCGRSSSKEFMDTRSLVTHAFMSHKPGLRAKHLGLHQAICVMMGWDTAVPQDTVTWVPQVLSHPETLAQKEDLILWPPVVIIHNISMSDDNPQNWKVISMETIEAFIRGKGFVRGRIKLCLGKPADQSTILVKFLGTFVGLGDAERIHKYLSDNNRGRAEYEKVKSEGVKSSNIKETDQGDKVESLLYGYAAIAEDLEKLDFNSKSWSSVKSRKEIDDLDKAPVKTDDRQ >CAK8530648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37896222:37896811:1 gene:gene-LATHSAT_LOCUS497 transcript:rna-LATHSAT_LOCUS497 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLNGPAALKLQRKPSVVFIREETSTSKTLVSVASPSSNAKGTPPLKGSTKARRLSFPITEKEKTVVEGGVK >CAK8537237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174340264:174341451:-1 gene:gene-LATHSAT_LOCUS6541 transcript:rna-LATHSAT_LOCUS6541 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETEIIYQQSIPVLDVKYHLCVSQENAVKVDVSPASNVVPIFNQLRVSSESNSTETSLFESTRSRSEMIIDAIIEEAVAKFTPNVRSCSCADIGPRGSMDDDHIQIDDLAAHLGFVLKYPTPSAFYAVFDGHGGPDAVVFVKNNAMKLFFEDTTMLQSYDTDALLMQRLEDSHRKAFLGADLAMADEQSISSSCGTTALTALILGRHLLVANAGDCRAVLCKRGVAVDMSHDHRPSYLPERKRVEDLGGYIDDGYLNGYLSVTRALGDWDLKLPLGSASPLTAEPDVQVVTLTEEDEFLIIGCDGIWDVMSSQEAVGLVRRGLRRHDDPQQCARELVKEALRLHTTDNLTVIVISLSPVESIVESCPPQRRRFRACSLFEEARDKLRSLLESN >CAK8564485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677828052:677828879:-1 gene:gene-LATHSAT_LOCUS17787 transcript:rna-LATHSAT_LOCUS17787 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESFEGSSWVVEIRGGRKGSFRRGFKKVYQLVINGNRCWEFNGLIQLAKQTAQMFKGLHEFHTWVILCRDYLVGLGNNFSFLPILSDFVGDVLALIWERMLCPFEFRYYLAS >CAK8561827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389950436:389951251:1 gene:gene-LATHSAT_LOCUS15381 transcript:rna-LATHSAT_LOCUS15381 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADTNGNIDLTIYRQYIFDIPSKLNFNQNDFILGFASEEYIEGKGTGIFKPTWNGNDLSLENLKTFKDNNPQLRVIISIGGVGHEFPFNPFVKSTWVVYAVNSIKQIIVRYNQIYQNLIDGIDIHYDVIKTEENDFTNFVGEVIKQLKNDAPLAIKVVSIAPTKLVESYYEKLYSENKEIIDLVDYQFYNQEFSSEDEVVELYKKLVVTYTPSKVLAGYKYPPDVVMGAINYLIKNKLIPGVFYWNPKHSTTASNSFFLEKMLLADQKS >CAK8565969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:363129834:363130082:-1 gene:gene-LATHSAT_LOCUS19142 transcript:rna-LATHSAT_LOCUS19142 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSPQQLEDDIVQFSFDKNYALHGKIILLVVVIGFSLFMVFIFMIPCVKKHATRCQEPETGHGDSMEESNNTLYTLKSRR >CAK8542509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521166633:521166929:-1 gene:gene-LATHSAT_LOCUS11346 transcript:rna-LATHSAT_LOCUS11346 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASAKRTKNSTLGAYSSSSNPLTPTSNEYNSPSPIMLRRPIGQKAAKKGERKACGNVFYSQC >CAK8561480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241116885:241117346:-1 gene:gene-LATHSAT_LOCUS15068 transcript:rna-LATHSAT_LOCUS15068 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELLKFPKIEDPDQEMEFQLFAETYQLVEPSIKEKDAVYELLTYSSKLYISSGLIWKNSLDIQEQIIFIGNIPLMNSLGTFIVNGIYRIVVNQILQSPGIYYRSKLDHNGISVYTAKIISD >CAK8543205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588053595:588056951:-1 gene:gene-LATHSAT_LOCUS11978 transcript:rna-LATHSAT_LOCUS11978 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAFCSDCKRQTEVVFDHSAGDAVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPNNPLLTDGGLSTVIAKPNGASGDFLSSSLGRWQNRGSNPDRGLILAFKTIGTMSERLGLVGTVKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEEIPRTVKEICSIANGATKKEIGRAKEYIVKQLGLENGGQTVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQESVKKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLSSP >CAK8562957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561748518:561751145:-1 gene:gene-LATHSAT_LOCUS16417 transcript:rna-LATHSAT_LOCUS16417 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMQISQKFYDAFKHCSFFSKSPHIARKLHAQLILSGLDSSLFLLNNLLHMYSNCGLTHDAFQVFQETNHRNIFTWNTMIRAFVNFGRITEADKLFDEMPVRVKDSVSWTTMISGYIQNGFHERGVETFSLMRRDSNGRDQCYDPFLFTSVMKACGLLGDSRLALQLHGLVIKFGFGMETCIQNSLVGMYVKCGDVGLAETVFFDIERPSLFCWNSMIYGYSQLYGPYKALQIFNRMPERDEVSWNSLISIFSQHGFGVDCLAMFVEMCNQGFSPNFMSYGSVLSACASISDLKWGAHLHARILRMEDSLDLVLGNGLIDMYAKCGCLGLAKRAFNSLKDRDHVSWNSLITGVAQFGLDEDALILFNHMRQSSVVLDEFILPTVLGVCSGQNYAATGELLHGYTIKSGMDSSAPVGNAVITMYAKCGDTDKANLVFRLMPLRNTISWTAMITAFSRSGDIGKAREYFDMMPERNIVTWNSMLSTYVQNGFSEEGLKLYVLMRSNRVQPDWITFTTSIRACADLAIVKLGMQVVTHAMKFGLSSNISVANSIITMYSRCGQIEEAKNTFNSIGTKDLISWNAMLAAFAQNGLGRKVIETFEDMLKSKCKPNHISYVSVLSGCSHMGLVVEGKHYFDSMTLVFGISPTNEHFACMVDLLGRAGLLEQAKNLIEGMPFKPNATVWSALLGACRIHHDLRLAETAAKNLMELDVEDSGGYVLLANIYGESGELENVADMRKLIKAKGIRKSPGCSWIEVDNRVNVFTVDETSHPQIKEVHMKLEEMMKKIEDTGKYISVDSSVHRSRKYHSEKLAFAFGLLNLPFWMPISVMKNLRVCDDCHLVIKLLSLVTSRELIMRDGYRFHHFKDGFCSCKDYW >CAK8531866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168177858:168178127:1 gene:gene-LATHSAT_LOCUS1628 transcript:rna-LATHSAT_LOCUS1628 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKRHKMIMRDNIWGITKPAIRRLARRGGVKRISGLIYEETRLFLKVFLTKIIHDVASYTDHARRKIVTAMDVVYTLKRQGRTLYGF >CAK8561822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389565185:389565892:1 gene:gene-LATHSAT_LOCUS15376 transcript:rna-LATHSAT_LOCUS15376 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRPPKNTVPPPTKSPLMSPPKQQSELRSHQECNSRLIEEEILEIETLDERPGKPNQEEIETATQSNDALLDAVPKKSETGKPIHEGASEEGCKLWVDVQKDNRNPTKGGAMKFIAPQIVNGKLEVVIEEDDIISEVKFWESSLILYAMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILQFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDELLRTLPI >CAK8566529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433278493:433279710:1 gene:gene-LATHSAT_LOCUS19653 transcript:rna-LATHSAT_LOCUS19653 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYAHQMEQQHSGVSLSDNSDTSSHYGIDSGFYMSSFTATIFLASLVTLGVLFITLLVSLVIMLQSCQSRNTGVIELVNANDYYSYCRVYSLHADINRLEGYDLPDICRDLAMHYIKGGHYAKELTLTVSMIDDYFKTLRPSENGLDVVLMDIDDIVPPHSYSSNLHRWFHRDSISDCVKEAKDVKLMFILRLYMNLQTEGWSIILVSRESEMYQNVTSNHLVSAGFRGWSSLMMRAEDSESTTTENEYFSRQRSLIQKNGFHIKSIVGSHLDALSTPDTRIRNFLLPGFLCNKFEHQIESIDAEH >CAK8535616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:869889103:869889450:-1 gene:gene-LATHSAT_LOCUS5059 transcript:rna-LATHSAT_LOCUS5059 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDEGAADPWLGADKFYHIFMCFSITLLFYFLASLTSHPFLRRHAISIASLVSLLAGAAKESADHLGYFRSSGASFRDAVADIIGVIIASLALSLFRSKTSLSRSLPQGISLV >CAK8572952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572660398:572664477:-1 gene:gene-LATHSAT_LOCUS25453 transcript:rna-LATHSAT_LOCUS25453 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAHHHLLHFSMFFRSITDLRGRMVPEVVNTLSKSVADEREVLTVDVKKQVEWIEKELSSMQGLLEHLQQQRYNNQEQALNDWEEKLNTIARDAKDLIETFRMKTVNMRRWGFLYWKDKYKVRKEVLQIRARLIDISQTGINLNTGDVRIPVETTPPGEDASSSAISGVVVTAIQKLDHILSQTQVTGDEVIEMVEQVKGELSYLQNIGYNLKLINERENLWLKEVKKVCNDTERIAQNFIVTKERWSKIDKLEKLLFRGNNYASEEEFKKKMTYIRTQIGDAFRRNLTYEVGEQLDKHTTPITTPLPELSPESLLRSVLFSNVVFPMELLVKLWWYVDKNLESVQRDLDLMLAFLRDTAAESEQGLNLNERQRVWLCQLKLMAQNAHSLVDAYAKAGFLWGFVRRFQYAKGINYMLKEILSISQKKNIYGVANILGTQQELARATTSSPSIQENLVEPRPVPATASYLHLTGLKGKVQSMREEVELMDALAWDVQNMGGELDRRSRIWVDQMKGIATEARSIIDEYDTKLKHKSLVPMIFDKNLTWHFLHDKIDNIRKKIDDTWRRRKTYDLVHIQSRAVSSATVQILHGRTQPRVFVAAEKESCILGFDEDAQVLIAQLLSGEKRHCITWIVGIGGTGKTTLANMIWEDKAVVDHFDCQIWVSVPSTSSSNSNYTAQQLLEEIAKESAKQTEGTLSSDLVLEALAHKRYLIVVDGIEETNSEVYLLNTVKEAIPDMLTSSRLLLTTRNTNVAQHTAGTITFVHPLQLLDDESSWLLFRRHLKMDTIPRELETVGKKFVAKCGGLPSQIVKMSDLLSHEEDTTRTREEWLCVFKQIQDQIQSWSEAPINTDLPLYLRRCLSYFILFPDEFEIPVRRLVILWVAENLAHHSEEDDVPPELVAERYLTELIDRNMVQVAARKRNGKVKTCRLPNALRQLWLTDSGSLQGRRTTTDMNADPRNSIIHRVADHLNSEDVWHDHIHGNTSLKSSSLGTYYKDVLSFMSFDSQEGSKPGQQIGNFLKGCISSDCFLLLRVLDLERVYKPKLPKRIARLTRLRYLGLRWTYLESLPSSISNLLKLQTLDLKHTYIHTLPTSIWKMELRHLFLSETYRTRFPPQPKDNSLSDLQTLSGLFVDEETSVQGGLDNLVNIKKLGLACQSMSLQEGAMKKQLEAVADWITKLEHLQSLRLKSRDEQGKPWVLHLNSFVNHTNLTDIYLLGSLNSSNVLSQFPLSLIELTLSHSKLKEDPMTPLKDFPNLQTLCLLAESYVGTTMVCESQSFPQLRVLKLWVLEQLDEWIIEPGALPCLRQLEVRSCPRLKMLPDGLKHVNTLLELKLTNMPKEINAGMHNIPPNCQIV >CAK8572953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572660398:572664438:-1 gene:gene-LATHSAT_LOCUS25453 transcript:rna-LATHSAT_LOCUS25453-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRSITDLRGRMVPEVVNTLSKSVADEREVLTVDVKKQVEWIEKELSSMQGLLEHLQQQRYNNQEQALNDWEEKLNTIARDAKDLIETFRMKTVNMRRWGFLYWKDKYKVRKEVLQIRARLIDISQTGINLNTGDVRIPVETTPPGEDASSSAISGVVVTAIQKLDHILSQTQVTGDEVIEMVEQVKGELSYLQNIGYNLKLINERENLWLKEVKKVCNDTERIAQNFIVTKERWSKIDKLEKLLFRGNNYASEEEFKKKMTYIRTQIGDAFRRNLTYEVGEQLDKHTTPITTPLPELSPESLLRSVLFSNVVFPMELLVKLWWYVDKNLESVQRDLDLMLAFLRDTAAESEQGLNLNERQRVWLCQLKLMAQNAHSLVDAYAKAGFLWGFVRRFQYAKGINYMLKEILSISQKKNIYGVANILGTQQELARATTSSPSIQENLVEPRPVPATASYLHLTGLKGKVQSMREEVELMDALAWDVQNMGGELDRRSRIWVDQMKGIATEARSIIDEYDTKLKHKSLVPMIFDKNLTWHFLHDKIDNIRKKIDDTWRRRKTYDLVHIQSRAVSSATVQILHGRTQPRVFVAAEKESCILGFDEDAQVLIAQLLSGEKRHCITWIVGIGGTGKTTLANMIWEDKAVVDHFDCQIWVSVPSTSSSNSNYTAQQLLEEIAKESAKQTEGTLSSDLVLEALAHKRYLIVVDGIEETNSEVYLLNTVKEAIPDMLTSSRLLLTTRNTNVAQHTAGTITFVHPLQLLDDESSWLLFRRHLKMDTIPRELETVGKKFVAKCGGLPSQIVKMSDLLSHEEDTTRTREEWLCVFKQIQDQIQSWSEAPINTDLPLYLRRCLSYFILFPDEFEIPVRRLVILWVAENLAHHSEEDDVPPELVAERYLTELIDRNMVQVAARKRNGKVKTCRLPNALRQLWLTDSGSLQGRRTTTDMNADPRNSIIHRVADHLNSEDVWHDHIHGNTSLKSSSLGTYYKDVLSFMSFDSQEGSKPGQQIGNFLKGCISSDCFLLLRVLDLERVYKPKLPKRIARLTRLRYLGLRWTYLESLPSSISNLLKLQTLDLKHTYIHTLPTSIWKMELRHLFLSETYRTRFPPQPKDNSLSDLQTLSGLFVDEETSVQGGLDNLVNIKKLGLACQSMSLQEGAMKKQLEAVADWITKLEHLQSLRLKSRDEQGKPWVLHLNSFVNHTNLTDIYLLGSLNSSNVLSQFPLSLIELTLSHSKLKEDPMTPLKDFPNLQTLCLLAESYVGTTMVCESQSFPQLRVLKLWVLEQLDEWIIEPGALPCLRQLEVRSCPRLKMLPDGLKHVNTLLELKLTNMPKEINAGMHNIPPNCQIV >CAK8543486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610752288:610752923:1 gene:gene-LATHSAT_LOCUS12241 transcript:rna-LATHSAT_LOCUS12241 gene_biotype:protein_coding transcript_biotype:protein_coding MALISLTFLIIISSLNLINGQISSPSCTSSMISSTFTPCANIITGSTNNGLVPSSTCCESLRSLITTNMDCACLVMSSNSPFYQVLAMSLSQACNIINGVSVQCKGVNSPLPAPTAPTPLSPQGSSKLQIMPFGTDSKTLAVDDKHIYENLQLAEAGGLAPSSAPMEAEAPSKTSRVIPVLTPLPHSASSTSYYSFLPSALFIGIAIVLVC >CAK8570523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55163968:55166693:-1 gene:gene-LATHSAT_LOCUS23265 transcript:rna-LATHSAT_LOCUS23265 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDVMVKRVPLLCLQIAYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQYGILAVETFANLGIMYYVFLSGLEMNADTILRSRKKGTSIAIAGIVTPMLFGVGFLALQQKLIDKNDVFAQTPKENHGEAYLFWCLTLSVTSFPVLARILANLKLLYTKLGKDALTVAMLTDTYGWVMFTLLIPYSSRGGKPYLSVISTLLFIVFCFVVVRPILTPIVEHKTSTNTWRKSLLLDVLTGVFICSYITDCLGTHPIVGAFVFGLILPRGKFADMVLEMSADFVSGILCPIYFAGFGFRLNLPLVLKHKNAGLMLLIMLLLSIPKVLSSLVVTFFFGMPARDGVAIGLLLNTKGIMAVILLNVAWDKRILDPYSFMVMMLAIIVMTVMVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRIVACVHNVKHANNMIHVIEATNATRLSPIHVSVTHLVQLTRHGTAILVSQMDNSNSTIGGTEATNYGSQLEFESITNAFEKLVEQYNGVRFDMSSVVSSYTTIHEDIYNVAEEKRASLILLPFHKEFSTVEDALEIIHSEHCEINKNVLQQAPCSVGILVDRGLRSLLKTKLRIIMIFIGGPDDREALSIAWRMAGHPGTQLHVVRINLLGNAAEETKQKMEKSKSRHEMLSTVIDNVMQKELDEECIISFRHKAVNNNDSIVYSEKEVHSNTGEEIPTLLNDIDKPGYDLYIIGQGSGKNSVIFSRLLEWCDHPELGVIGDILASNSFGTQSSVLIVQQYLVGRKRVVKKCHEVKSGTENL >CAK8532884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:542880225:542881032:-1 gene:gene-LATHSAT_LOCUS2546 transcript:rna-LATHSAT_LOCUS2546 gene_biotype:protein_coding transcript_biotype:protein_coding MEATHPLSIQSFSYTWLLNLKPSLEQSLETSSSFRISTDASDELGSSFIEMDPRMPSSRRFFVTSQDFKFDFPISQESSLNTLIDADKLFSNGYLMPLFDESLKNIEPYEYDSSNSNSTLPSSFISHVPKKVVSLENSRNSSLKRCRTLSRRVFQKYLNFLKPLCRKLRGQKSGSSKHENGMKRTQSVKNYRGSYYESSPRTSVACSTDNWRMSCDSDSSIYEAVLHCKRSIESMS >CAK8568666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629895585:629898060:-1 gene:gene-LATHSAT_LOCUS21604 transcript:rna-LATHSAT_LOCUS21604 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDIALQQRHENEILEAANQPLPDDDDDAFE >CAK8543363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603054275:603054592:-1 gene:gene-LATHSAT_LOCUS12125 transcript:rna-LATHSAT_LOCUS12125 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDKNLRKKVVNARYSLNQPSFMYYRKEIRLSSAKALRWVDNIPVEKWTRAFDGGCRWGHMTTNLVESLNDVFKGTRNLPITALVRATYYRLGSLFASRGKK >CAK8536739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12189981:12190823:1 gene:gene-LATHSAT_LOCUS6073 transcript:rna-LATHSAT_LOCUS6073 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKGLILIKLLLLLQLSVLCLSQQQDFDFFYLVQQWPGSFCDSKKSCCYPTTGKPAADFGIHGLWPNYKDGTYPSNCDPNSPFEESEISDLTSSLQKNWPTLACPSGDGIQFWTHEWEKHGTCSESVLKQHDYFETTLNLKQKSNLLDALTSAGIEADGNTYSLSSIKEAIEKGVGFTPFIECNVDSSGNSQLYQVYLCVDTSGSNFIQCPVFPHGKCGSEIEFPTF >CAK8577638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580413003:580415870:-1 gene:gene-LATHSAT_LOCUS29728 transcript:rna-LATHSAT_LOCUS29728-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQGTLPFNTCHVQKPLLIINRLHMLLHSTALGFLFYYRVRFLFQNPENRGSNLLPWLLVFASEIILSFIWFLGQAYRWRPVSRRVFPERLPEDDKLPAVDVFICTADPIKEPTLDVMNTVLSSMALDYPQEKLHVYLSDDGCSPITLYGMRKAFEFARWWLPFCRRYRINNRCPKAYFSLEIDDSDFARSSVYMEDKQKIKGKYEAFKEEIQTFQQDKAFSKDSITVGDHSSVIEVMQENIIDDVDNVKMPLLVYVSRERKPFSPHHFKAGALNALLRVSAVMSNSPYILVLDCDMLCNDPTSARYAMCFHLDPKISSSLAFVQFPQKFYNISKNDIYDSQLRSLFTLQWQGMDGLKGPVLSGTGFYIKRVSLYGNHTNEGRTHMLPLQEYFGSSNEFINSLTQNDTSVLLSGQNTLLEEPHLLVSSRYEIGTKWGQDVGFLYDSVVEDFFTGFILHCNGWTSVFCEPSRPQFLGTATTNLNDVLIQGTRWYSGLFENGISRFCPLIYGPLRMPLLQSLCFAELTYFPLYCLPLWCFATIPQLCLLNGIPLYPKVSDPYFIIFLFIFLSSLSKHLLEVFLTGGALHKWINEQRIWMMKSTTCHLYGCLDALLKKIGIREASFLPTNKAENDEQTLLYQIDKYCE >CAK8577639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580413003:580415870:-1 gene:gene-LATHSAT_LOCUS29728 transcript:rna-LATHSAT_LOCUS29728-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQGTLPFNTCHVQKPLLIINRLHMLLHSTALGFLFYYRVRFLFQNPENRGSNLLPWLLVFASEIILSFIWFLGQAYRWRPVSRRVFPERLPEDDKLPAVDVFICTADPIKEPTLDVMNTVLSSMALDYPQEKLHVYLSDDGCSPITLYGMRKAFEFARWWLPFCRRYRINNRCPKAYFSLEIDDSDFARSSVYMEDKQKIKGKYEAFKEEIQTFQQDKAFSKDSITVGDHSSVIEVMQENIIDDVDNVKMPLLVYVSRERKPFSPHHFKAGALNALLRVSAVMSNSPYILVLDCDMLCNDPTSARYAMCFHLDPKISSSLAFVQFPQKFYNISKNDIYDSQLRSLFTLQWQGMDGLKGPVLSGTGFYIKRVSLYGNHTNEGRTHMLPLQEYFGSSNEFINSLTQNDTSVLLSGQNTLLEEPHLLVSSRYEIGTKWGQDVGFLYDSVVEDFFTGFILHCNGWTSVFCEPSRPQFLGTATTNLNDVLIQGTRWYSELTYFPLYCLPLWCFATIPQLCLLNGIPLYPKVSDPYFIIFLFIFLSSLSKHLLEVFLTGGALHKWINEQRIWMMKSTTCHLYGCLDALLKKIGIREASFLPTNKAENDEQTLLYQIDKYCE >CAK8577640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580413003:580415870:-1 gene:gene-LATHSAT_LOCUS29728 transcript:rna-LATHSAT_LOCUS29728 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQGTLPFNTCHVQKPLLIINRLHMLLHSTALGFLFYYRVRFLFQNPENRGSNLLPWLLVFASEIILSFIWFLGQAYRWRPVSRRVFPERLPEDDKLPAVDVFICTADPIKEPTLDVMNTVLSSMALDYPQEKLHVYLSDDGCSPITLYGMRKAFEFARWWLPFCRRYRINNRCPKAYFSLEIDDSDFARSSVYMEDKQKIKGKYEAFKEEIQTFQQDKAFSKDSITVGDHSSVIEVMQENIIDDVDNVKMPLLVYVSRERKPFSPHHFKAGALNALLRVSAVMSNSPYILVLDCDMLCNDPTSARYAMCFHLDPKISSSLAFVQFPQKFYNISKNDIYDSQLRSLFTLQWQGMDGLKGPVLSGTGFYIKRVSLYGNHTNEGQNTLLEEPHLLVSSRYEIGTKWGQDVGFLYDSVVEDFFTGFILHCNGWTSVFCEPSRPQFLGTATTNLNDVLIQGTRWYSELTYFPLYCLPLWCFATIPQLCLLNGIPLYPKVSDPYFIIFLFIFLSSLSKHLLEVFLTGGALHKWINEQRIWMMKSTTCHLYGCLDALLKKIGIREASFLPTNKAENDEQTLLYQIDKYCE >CAK8574947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16725514:16729114:-1 gene:gene-LATHSAT_LOCUS27244 transcript:rna-LATHSAT_LOCUS27244 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNNHLDHDEMKFVHDSSLDYHGKIPLRASTGSWKASFFIIAIEFSERLSYFGIATNLGMYLAKVLHQDLTTAVKNVNYWSGVTTLMPLFGGFFADSYLGRYTSVIGSSIIYLMGLVLLTLSWFLPSLKPCAHTTTCTKPRKIHEVFFFLAIYLISIGTGGHKPALESFGADQFDDDHIKERRQKMSFFNWWNCGLCSGLILGVTLIGYVQDHVSWGAADVILLCVMAFSLVVFVIGRKIYRYRMPNGSVLAPMFQVIVAAISKRKLPYPSSPSQLYEVSKSEGNNGRFLAHTKKLKFFDKAAIIENEGNLTKSQSPWRIATVTKVEEMKLMINMIPIWIFTLPFGMCAAQTQTFFLKQGQIMDRKLGNSFEFPTASIFTISAIGMIISVALYDRFLVPILRKITGNERGMNILQRIGFGMVFSIITMIVAALVEKKRLKIVEANPSKGSLSMSVFWLAPQFLIIGFADGFTLVGLQEYFYDQVPDSMRSFGIGLYLSVIGAANFLCSLLITIVTHVTIKNGKSWFGENLNTSRLDKFYWLLGGITTLNMFVFVFFARKYSYKTVQNVGVGDCYDGKNDHGGEEVRMV >CAK8561404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:199778268:199780446:1 gene:gene-LATHSAT_LOCUS15002 transcript:rna-LATHSAT_LOCUS15002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKTTTEQYPCLRNLKQTTFIPEIPIVDLSKPDAKNIIVKACEEFGFFKVVNHGVSMGSISLLESEAVKFFSMAFDQKEKAGPANPFGYGNKKIGQNGDIGWVEYLLLSNNQDFNSFKLSPAFGKDSQKLRCLLSDYMSSVKKMGCEILELMAEGLNIEEKNVLSKVVIDKDSDCIFRLNHYPPCPESRLNNNDNDGENVIGFGEHTDPQIISLLRSNNISGFQISLKDKSWISVPSDHNSFFVNVGDSLQVMTNGQFKSVRHRVLTNGFKSRLSMIYFCGPSLSEKIAPLPCLIRGNERLLYREFTWFEYKKSAYATRLSDNRLCHFETIDDS >CAK8566538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433765842:433766816:-1 gene:gene-LATHSAT_LOCUS19662 transcript:rna-LATHSAT_LOCUS19662 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTTTSKYDRQFPALERKMGPVTSRTSKPFIHPSEVQPDAKLKPLTQAEEVLNWQSENMVSQNEILQNLDKKVDKIAEKIDKTDEDLKVLSQKMQKHYRSLKAQVSQLDRDLWQMLEERAFGKTFDQKEREIRSLQSQVKEIDNFLRASHERKPKPVEKSFLDPPTFPTYFKRLERPSSFYPVYVSSPSDPVKYIPTAYRPRSSRTTTTSTSKTKGKAACLSASSSNSQDIPETPPSKIQKEQEIPNKGFQAMAITTNHESPQKDHSESSKQKGDESFSDDDNNSDPESSSDETPRSFSTKSESEDNYFPRLYDECKRGRILF >CAK8569151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676598075:676602785:-1 gene:gene-LATHSAT_LOCUS22035 transcript:rna-LATHSAT_LOCUS22035 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSEFDPSLAVSHKFPDSTYSYTERDAALYALGIGACASDAVDEDELKYVYHEDGQKAIKVLPTFAALLTLESLPNGFDLPGLQYDPRLLLHGQQYIELYKPLPSSCHMQNKVSVAGLHDKGKAAILEIETKSYEKESGDLLCMNRSTVYLRGAGGFSKSSKPFSYSSYPSNQTSAVKIPESKPFSVFEDRTHPSQALLYRLSGDYNPLHSDPQFAKVAGFSQPILHGLCTLGFAVRAIIKTICKGDSDRIKSITGRFLLHVYPGETLVTEMWLEGSRVIYRTLVKERKRTVLSGSVNLCGLNSSL >CAK8570002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20440898:20441236:1 gene:gene-LATHSAT_LOCUS22793 transcript:rna-LATHSAT_LOCUS22793 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSFNVRGCCSSLKRRRISQILQRGSANICRIQETKIIKMEAGIAYSLWRNNDMDWTTQNSSGRSGGIITMWNTTKITACSSFCGKGFLGLHIVWNNQSLIVINVYAPCG >CAK8533855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665357300:665358716:1 gene:gene-LATHSAT_LOCUS3446 transcript:rna-LATHSAT_LOCUS3446 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEQAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8564798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10282666:10283087:1 gene:gene-LATHSAT_LOCUS18063 transcript:rna-LATHSAT_LOCUS18063 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIVGKHKCYSYNMSPTNGMDMWPKVDVEDMFPPSFKKGPGRHMKLRFMEHYESGSRMRRLGVSYRCTKCDKIGNNSRKCRNKEQNPAALTRKRKTPRAKPANKN >CAK8534391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722650463:722651638:1 gene:gene-LATHSAT_LOCUS3941 transcript:rna-LATHSAT_LOCUS3941 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHRNSTSNVIGLCLLPQELIQNIFLSLVLPEIVRLKLLNKSFSSLISDHSFVRQCNSLSNSTTWLFVYKKRWLRDAVLHAFTDRSSDRWFRIPLAELLKPVDFHGEDLYFLSASANVFLFASNNAREVVAVNLVSVTVKKIPPSPLGPRGTSSWRRSGMKLVTDSSGSGHFRFMFAEFVRNRPVLFVYDSLTDTWKSTEAKEKENDEVLPRGGVHVFLSVVHGPRESVLVATSLTCDSDTPVVVRPRFNDAEGLTVGFNWGNVIDRLHVYGDGYMMIVKSEGENRVRVLKGVELWGVSLDGRKWELVSAVPGEVMRVIEKRYGVMMGCLEEKNGIIRVALVSNCDGFWDMLWLSYDTKWKRWNWMPLPDCKMKGWNMAGISFSSGLTLQ >CAK8566569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436479109:436480961:1 gene:gene-LATHSAT_LOCUS19690 transcript:rna-LATHSAT_LOCUS19690 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILGFEYGIVQAPLGPDISGPQLVAAVANAGALGLLRAPDWESPDYLRELIKKTRTLTDKPFGVGVVLAFPHEENLKAILDEKVAVLQTYWGDCTPELVAKVHSAGVKIVPQVGSVESAKLAIDAGADGIIVQGREAGGHVIGQDSLISLVPRVVDLVGDRDIPVIAAGAIVDARGYVAALALGAQGVCLGTRFLATEESYAHPIYKRKLVELDETEYTDVFGRARWPDAPHRVLQTPFFKEWKSLPSHESEANQSVIGHSTIHGVEKDIRRFAGTVPNMTTTGDLESMCMYAGEGVGLIKEILHASEVIKRLVEEAQLIIQQNFK >CAK8561594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:312873125:312873607:1 gene:gene-LATHSAT_LOCUS15171 transcript:rna-LATHSAT_LOCUS15171 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKTRNENGDGTLKQSPLTLFVSNLPYSFTNPQLEETFNEVGPVRRCFMVTQKGSTQHRGFGYVQFAVEADANSAIELKNGSLVGGQKIAVKHAMPRPPRENRRLKPDQEGKDNDLTESKNDDKDSLLPVAEKPVPVPKEEEGTVLNKQKTQGSLWK >CAK8573478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615396264:615396700:1 gene:gene-LATHSAT_LOCUS25924 transcript:rna-LATHSAT_LOCUS25924 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFGPQPPHKWLTLPDMGYVIANHYNVVLVCLGFECCTFFPMITSFSPNASFYCIAFVNTNHWVQVNMKEGFPLPPVTVYWKKFHSPATTSWMLGFAGRLQYWQQLTLILPTHYEL >CAK8540508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9313830:9314508:-1 gene:gene-LATHSAT_LOCUS9508 transcript:rna-LATHSAT_LOCUS9508 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLYKQAHDIAGGLVTSVAAAVSHGETAATDELPTYIATENAFHQHLMSIDSVEEETELQSYISRKCLTFNEKDKEKFDILCWWKHNVGQYPVLSQIVRDIMPTPVSTMASESAFSTGGRVLEVYKSSLKSEMVEALICTQNWLRPTFYQFKSMEFNEDYEIFEDALLGFTETSVGSEALSSSHTQSQISVCT >CAK8544632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696600218:696600583:-1 gene:gene-LATHSAT_LOCUS13290 transcript:rna-LATHSAT_LOCUS13290 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEHLIEDSYMTDELDSEGDEDSCDERPCMIRFNEEDSISKDFCFKVGMKFSSLKQFKDAILEHNILNGLDVRFEKNDANICRMLCKDKAKFDYTVLCSSVLTSTTFRIKTLFAKHKCGR >CAK8576361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466754436:466754750:1 gene:gene-LATHSAT_LOCUS28554 transcript:rna-LATHSAT_LOCUS28554 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHHHHQDCNTQNSAGAKSPPTTGTCCKCGGPTTFAPPPVSPAFSEISPPPTYRPIRAPAIPPDPHSQRAIILSPVPQAQHVPVASPPYQFQIPIKRIQSPD >CAK8569540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4556029:4556349:1 gene:gene-LATHSAT_LOCUS22382 transcript:rna-LATHSAT_LOCUS22382 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLVFTIISILLFNLCISTLARPIIKTHNKLEYSSTYENIINWRRIMLENDATMTTLDSPNLHVGVEAEKFVDDFRPTDPGHSPGAGHSTPTIPTDANVLPRP >CAK8576776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512951449:512954011:1 gene:gene-LATHSAT_LOCUS28944 transcript:rna-LATHSAT_LOCUS28944 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSNPPLLNHYGALLRNSDEPQKSRSSNDQDSINTVVDTCELPLIDLNGLKSCNVEERMACTAAICKAASEWGFFQVINHGLNPDLLRNMREEQMKLFRVPFEKKVSCGLLNNPYRWGTPTATSSNHFSWSEAFHIPLTMISEAACWGEFSSLREAINEFAPAMLEVSRLLAGILAENLGHPTDAVEKLCDASTCFLRLNHYPSCPKSKEEIFGLVPHTDSDFLTILYQDQVGGLQLMKDSKWVAVKPNPDALIVNIGDLFQAWSNDEYKSVEHKVVANDKVERYSIAYFLCPSYTTMISGCKEPSIYRNFTFGEYRHQIQEDVKKIGHKIGLSKFLRKGTYTTTAV >CAK8561029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:78980485:78985193:-1 gene:gene-LATHSAT_LOCUS14657 transcript:rna-LATHSAT_LOCUS14657 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEEVRGKPMAALSAQVCQICAESVGKSFDGEPFIACDFCAFPVCRLCYEYERKDGKQSCPQCKTRYKRHKGSPAIIGDSEEDGGVDDGVSDFNYELENQNQKQKISDRMLGWQLTLGRSEDVGVPNYDKDVSHNHIPRLTNGQEVSGEFSAASPERLSMSSPIAGGGKRVLNIPYSSDVNQSPSMRVVDAGLGNVAWKERVDGWKMKPEKNVAPMSTGQAASERGAVDIDARSDIFGDESLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVVLCIFLHYRLTNPVRNAYALWLVSVICEIWFAVSWILDQFPKWLPVNRDTYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALTETSEFARKWVPFSKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKIRINALVAKATKVPEEGWVMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKLKHKKLGFLSSLCGGNRKKSSKSSKKGSDKKKSSKHVDPTVPIFSLEDIEEGVEGSGFDDEKTLLMSQVSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYINTTIYPITAIPLLLYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDSAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPKAEVCGINC >CAK8530902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:61100159:61101994:1 gene:gene-LATHSAT_LOCUS731 transcript:rna-LATHSAT_LOCUS731 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFILTTLFVFLACSLSPDVGYCFGINYGQVANNLPQPEKVLVLLSTLNLTKTRIYDTNPQILTTFSKSNIEIIVTVENEILNQLDDPQQALQWVNSRVIPFLPNTKITGIQVGNEVFTDDDTTLLQHLVPAVINIYNALAQLGYSNIWVSTPSSLAVLENSYPPSAGSFKGEISSMMYQFLNFLATTKAPFWINAYPYFAYKDDPNSIPLDYVLFNPNAGMVDPNTNLHYDNMLYAMADAVSFSIAKMGFKGIEVRVSETGWPSRGDANEVGASPVNAATYNRNLLKRQMVNQGTPLNPRMRLEVYLFALFNEDLKPGPTSERNYGLFRPDESVTYNVGFSTLATPKSSASTSFSLASSATKIKVAPKGHKNLVYWMFLYVLISILYV >CAK8543216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589241127:589244796:1 gene:gene-LATHSAT_LOCUS11989 transcript:rna-LATHSAT_LOCUS11989 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKGLLKKGLGEMDFNTGGGLINWFPGHMAAATRAIRNRLKLADLVIEVRDARIPLSSINVNLQPHLSAKRRVIALNKKDLANPNIMHKWVNYFETSKQDCIPINAHSKSSVTKLLELVEVKLKEVISKEPTLLVMVVGVPNVGKSCLINSIHQIANSRFPVQEKMKRAAVGPLPGVTQDIAGFKIANKPSIYVLDTPGVLVPSISDIETGLKLALAGSVKDSVVGEERIAQYFLAVLNTRGTPFHWKHLNNRKVDGIANEPEENHRYDLKNLKQRKGVPNRSDLVYVEDIVLGVQHALYSTLAEFNGNVEDESDLENLIDLQFGALQKALKIPHKASEARLMVSKKFLTLFRAGKLGPFILDDVPVAKPV >CAK8562196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456107461:456107805:-1 gene:gene-LATHSAT_LOCUS15715 transcript:rna-LATHSAT_LOCUS15715 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAFDFTQKLFPRNTIAATTTNPRLQTTSPRFFLQSYRMAEPVGTSNTPAPHPIQPKPDSHADKKEIPPPPEKPDPDDCCGSGCVRCVWDVYYDQLEEYDKLYKQGDPNPKP >CAK8543586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623784805:623784913:-1 gene:gene-LATHSAT_LOCUS12334 transcript:rna-LATHSAT_LOCUS12334 gene_biotype:protein_coding transcript_biotype:protein_coding MVE >CAK8537855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442130148:442130472:-1 gene:gene-LATHSAT_LOCUS7108 transcript:rna-LATHSAT_LOCUS7108 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGISKLNMTIMKLPIFYKQRDLLFYPSWAYSLPPWILKIPITLIEVALWECISYYAIGYEPNIGRFFKQYLVILCIN >CAK8533229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:593562968:593567317:-1 gene:gene-LATHSAT_LOCUS2874 transcript:rna-LATHSAT_LOCUS2874 gene_biotype:protein_coding transcript_biotype:protein_coding MMILTTFLVILVTLMIKVFYDTISCYWLNPIRIKKIMEKQGVFCPKPRFLSGNLKEISSFVSKATSQDMKSINHDIVGRLLPHFVAWSKQYGKKFFYWNGIEPRLCLTEIELIKEFLSKYSTISGKSWQQQQGSKNFIGKGLLMANGDDWYHQRHLISPAFMREKLKSYAIHMMECTKEMLESLQNATLECDKIEVEIGEYFTKLTADIISRIEFGTNYKKGKQIFHLLTQLQTLCAQATRKLWFPGSRFFPNSYNREIKSLKMEVERLLIEIIQSRKDCVEMGRSNSHGSDLLGMLLDDSKKSGSLNLQLVMDECKTFFFAGHETTALLLTWTAMLLASNPIWQEKIRNEVKEIFSQGMPSIDQLSKLNVLHMVINESMRLYPPATLLPRMIFQDIVLGDLFIPKGLSVWIPVLAIHHSEELWGKDVNEFNPQRFASKSFIPGRFLPFASGPRNCVGQSFAMMEAKIILAMLVSRFSFTISENYKHAPITVLTIKPKHGVQICLKPLDH >CAK8573544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618913560:618913949:1 gene:gene-LATHSAT_LOCUS25980 transcript:rna-LATHSAT_LOCUS25980 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSNNNDQEFWELVEEEFMDDSDEEQQLQNESRSGSSSRPKRITTVDLGREEGHNRLFNDYFSENLGYTDVQFRRRFRMHKHVFLRIVDALGNHDEYFQMKVDATGKMGFSPLQKCTSYVGVWVSC >CAK8561642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:335150221:335151999:-1 gene:gene-LATHSAT_LOCUS15215 transcript:rna-LATHSAT_LOCUS15215 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVYQAIGTIVPWPMKLLEFVVKCEKNPDQSQNKVKNTQRSVDSVCEEDDEGWIVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFLEHLRVESIKEILDHNWLSPSIITVFSRYLYDKFISPNGLINKFSFISPHVSRKDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDVEVIYYMDPLNGEPTKHQNLKTKFENALQIYRAYSNSKVPKVSKTKKISWSKIRCPRQINSIECGYFVMRFMKEVIMENEIMIPINYFPDHKCRTYSKDKLTEVKEEWATYMMDDIFGKQEAVILSS >CAK8564758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8294101:8295866:-1 gene:gene-LATHSAT_LOCUS18029 transcript:rna-LATHSAT_LOCUS18029-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSFFYVLIDHYYHRFQDLFIRSLSALGIDVTALDIRFVDDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSLVSVEITYGLERIFKNVTAGQLTDFLLE >CAK8564759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8294101:8295866:-1 gene:gene-LATHSAT_LOCUS18029 transcript:rna-LATHSAT_LOCUS18029 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSFFYDHYYHRFQDLFIRSLSALGIDVTALDIRFVDDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSLVSVEITYGLERIFKNVTAGQLTDFLLE >CAK8574239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671565623:671567317:1 gene:gene-LATHSAT_LOCUS26599 transcript:rna-LATHSAT_LOCUS26599 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDDAKSPTNSPQTVSDDDEIDYSTKPEFYDPELDEKDENWIHKKRHGHESDAVLCCPACFTTLCLECQRHEKYLTQYRAIFVTNCKIEDKQVTSQSSSKSRKRNRRDKEFGGSNAMSSSNEKIKQVCCAVCSTEVGVFDEDEVYHFFNVLPSEC >CAK8536139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911048916:911049978:-1 gene:gene-LATHSAT_LOCUS5535 transcript:rna-LATHSAT_LOCUS5535 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGDVSKKTDPKAQALKAAKAVKSGTAIKKKAKKIRTTVTFHRPKTLTKERTPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >CAK8538259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473810142:473812728:1 gene:gene-LATHSAT_LOCUS7478 transcript:rna-LATHSAT_LOCUS7478 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMESRLLAIFMITLSLPLFVQSFVRHYNFSVVLKNETKLCSTKSFVTVNGKFPGPTLYAREDDTVIVRVTNHVKHEVTIHWHGIKQLRTCWSDGPAYVTQCPIKTGQSFVYNFTITGQRGTLLWHAHITWLRATVHGAIVILPKRGIPYPFPKPDKEKIIILGEWWKSDVEAVVNQATNSGLPPNISDAHTINGYPGPAPGCTSQGYTLHVESGKTYLLRIINAALNDELFFKIANHKLTVVEADASYLKPFETDTIFLSPGQTTNVLLTANKLFGKYLIATTPFMDAPIGFDNVSSTATLHYKGTPSYTKTILTNIPPLNATPITKTFTDSLRSINSNTYPTKVSSTIDHSLLFAITVGINPCDTCTNGNKLVSAINNITFLMPTISLLQAHYYNIKGIFTDDFPANPPMVFDYTGTNQPDNLHTENGTRVYRLNFNSSVQIVLQGTAMIAPENHPFHLHGYNFFVVGQGLGNFDREKDPLRFNLVDPVERNTLSVPNNGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFIVDNGRSPNESVFPPPKDLPKC >CAK8538260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473810151:473812728:1 gene:gene-LATHSAT_LOCUS7478 transcript:rna-LATHSAT_LOCUS7478-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLAIFMITLSLPLFVQSFVRHYNFSVVLKNETKLCSTKSFVTVNGKFPGPTLYAREDDTVIVRVTNHVKHEVTIHWHGIKQLRTCWSDGPAYVTQCPIKTGQSFVYNFTITGQRGTLLWHAHITWLRATVHGAIVILPKRGIPYPFPKPDKEKIIILGEWWKSDVEAVVNQATNSGLPPNISDAHTINGYPGPAPGCTSQGYTLHVESGKTYLLRIINAALNDELFFKIANHKLTVVEADASYLKPFETDTIFLSPGQTTNVLLTANKLFGKYLIATTPFMDAPIGFDNVSSTATLHYKGTPSYTKTILTNIPPLNATPITKTFTDSLRSINSNTYPTKVSSTIDHSLLFAITVGINPCDTCTNGNKLVSAINNITFLMPTISLLQAHYYNIKGIFTDDFPANPPMVFDYTGTNQPDNLHTENGTRVYRLNFNSSVQIVLQGTAMIAPENHPFHLHGYNFFVVGQGLGNFDREKDPLRFNLVDPVERNTLSVPNNGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFIVDNGRSPNESVFPPPKDLPKC >CAK8532547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:290505840:290507702:-1 gene:gene-LATHSAT_LOCUS2241 transcript:rna-LATHSAT_LOCUS2241 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNQNESSETCPQQCCCTTSIFTSPNSQTTKSPKHRNSSSECRHTFASSTTSLIFPNTHFTNHESLPSLQESFIEFNKVYPQYSETEQVDHVRAKEYSHLSFSNHTCLDYIGIGLFSYSQMQHHVLDSSKTKLSVHQKTQDYSPRFTDMHMPFFSISCKTGFLKTLLLHGGKDSEFESAMRKRIMNYLNISENDYYMVFTANRTSAFKLVADCYNFQKSRKLLTVYDHESEAIEAMISSSEKRGAKTMSAEFSWPRLRIQSTKLRKMLVRKRKNKKNKKGLFVLPLHSRVTGSRYPYIWISIAQENGWNVLVDACALGSKDMDCFGLSLFQPDFLICSCYKVFGENPSGFGCLFIKKTSIFMLETNSSVGIVNLVPEKNRQEEEPFYLTSLSAKFEKGEASEIKIIDPHEESKPSQRFEIEEVDDKKVEILQHGVKESEKNENFDIECKCLDQVDSLGLILINDRARYLINWLVNSMSKLKHPNTEGVPLVKIYGPKVKFDRGPAIAFNVFDWKGEKVEPVLIQKLADRSSISISFGLLHHIWFADKYGDEKGRVLKDKKKKDEEKDGISVVTAALGFLANFEDVYKLWCFVARFLDADFVEKERWRYTALNQKTVEV >CAK8576081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:401740790:401743257:-1 gene:gene-LATHSAT_LOCUS28297 transcript:rna-LATHSAT_LOCUS28297 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFLPNPMPEFVPEQELQEAVIVTPGDSLQNLLAMPYSPLSERLKRAALDLKETIVVETWGLSGQRVGDFTLYCGLLGTAFLLFKSHQVTGNTNDLTLCAQIIKSCDAASVRSRDVTFICGRAGVCALGAVAAKHTGNDESLRYYLAQFQKIKLPKDLPDELLYGRVGFLWACLFLNKHLGQGTIPSSYTATVVDEIIKNGRALGRKGKCPLMFEWYGEKYWGAAHGLAGIMHVLMDMELKPDELEDVRETLKYMIQNHFPSGNYPASEEDKKRDVLVHWCHGAPGVALTLVKAAKVFGDKEFLDAAVEAGEVVWSRGLLKRVGICHGISGNAYVFLSLYQLTGNKKYLYRAKAFACFLLDRAHTLISRGEMHRGDRPYSLFEGVGGMSYLFLDMVDPSLSKFPAYEL >CAK8565606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:291449653:291450240:-1 gene:gene-LATHSAT_LOCUS18803 transcript:rna-LATHSAT_LOCUS18803 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRVFLFVLLGALVCSSIDARKLGSVKSLRDEKNFYHPGFGGGAGAGGGGGFGGGGGSGGGLGGGSGGGFGAGGGVGGGGGGGFGGGGGNGGGLGGGSGSGFGAGGGSGSGLGGGGGFGGGGGGGLGGGGGVGGGSGFGGGSGFGGGAGGGLGGGGGGGFGGGGGSGVGLGGIGSGGGFGGGAGGGVGGEFP >CAK8574131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664117735:664120291:-1 gene:gene-LATHSAT_LOCUS26505 transcript:rna-LATHSAT_LOCUS26505 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHLYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTIRNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8535401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845974410:845975702:-1 gene:gene-LATHSAT_LOCUS4866 transcript:rna-LATHSAT_LOCUS4866 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDVVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKRKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDKKVLVQLWSFRRNHKLYFALVKL >CAK8536283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:925122469:925132322:-1 gene:gene-LATHSAT_LOCUS5667 transcript:rna-LATHSAT_LOCUS5667 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSRCQEKLTYFRVKDLKDVLSEVGLSKQGRKQDLIDRIISILTDEQVFRICVKKKKILGKAEVVKLVDDTYSKLQESGVTDLASKGQGASDSRNVRMKGEADDSFLADMKVRCLCGSSMETDLLIKCEDTKCPVSQHLNCVVIPDKPTKGMPPIPDTFYCEICRLSRADPFSVSMTHPLFPVKLTTTRIPTDGSNPMQSVEKTFLLTRATKDLVFKPDFDIQAWCMLLNDKVPFRMQWPQHTNLLVNGCDVRAISRPGSQMLGVNGRDDGAIITSKIKEGINKISLTSCDTRIFCFGVRIIKKRSMQQILNMIPKESNGERFEDALARVCCRVGGGNSAGEDDSDSDLEVVSDTISINLRCPMSGSRIKVAGRFKPCVHIGCFDLEVFVEMNRRSRKWQCPICVKNYALENIIIDPYFNRITSMMKNCGEEFSEVEVKPDGYWRVKAKTESECRELGNLAEWHSPDGSLSVSTSGEDKRVETLNVKKEGVSDSPTGIRLGIRKNCNGVWEVSKPKDTNTSSDDRLNADLGNNEVVVIQMSSSGTGSGLDGDDQSVNQSGGGHVEYSTPNGIESDSLCHTNAGSTYGYTIPDTSAPMANAEVIVLSDSEDDDILLSPTVGCNNNQTDDPVDAYSVPPPGIINPYAEDHNIGGNPCLEDFGNPSEGDFGIPSLWPLHSETQATSGFQLFSSEVDVSDALVHGDINCSSSLNSYTLAPNTGLGSNTLIPNSSTDPSDTDLNGGLVDNPLAFGGEDPSLQIFLPTRPAESSVQHELRDNNGVSNGVYTEDWVSLRLGGGAGSSNGDASTPNDLNSRPQITSREDATDSLTDTASLPLGMNDAGSDKESRKRSYGPFSFPRQKRSVRPRLNLSIDSDSE >CAK8541131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:70115906:70119236:1 gene:gene-LATHSAT_LOCUS10078 transcript:rna-LATHSAT_LOCUS10078 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVKQIFPIDYEGEASRRLLEASHSGDLSLASDCISDPSVDVNFAGAVTLKTRNTELLLIPESSSQVSFGIEEFLSDVTPLFLAVHAGNSSLVRKLLSAGADVNQKLFRGFATTAAVREGHIDILETLIKSGASQPACEEALLEASCHGQEGCMELLMSSDLVRPHIAVHALVAACCRGFVDVVKTLIKCGVDASATDRVLLQSLKPSLHTNVDCNALVAAVVHRQVHVVSLFLQNGATTEFEVRLGAWSWDVSTGEELRVGAGLGEPYGITWCAVEYFENSGAILNLLLQYVSSNSYHHGRTVLHHAILCGNVEAVRILLECGANVESLVKTTSKAEYLPIHMACRLGLPAIIQCLIDFGCDLNSLTDSGETALMICAKYKQEECLKVLTRAGADFGLVNIACQSASSLAELYNWSHGFQQAVLGVTKNGKIPKSSNTSTFSPLIFVSKAGDTEALKTVIESGEFDLDYQDDSGFSAVMHTAVKGHVESFRLLVYAGADVKLCNKSGETAIMLSKLNNNCDLFEKVMLEFALENGNKNTGGFYALHCAARRGDLDAVTLLTNKGFDVNVLDGEDYTPLMLAAREGHASICKLLISYGAHYNAKNTRGETALLHARKFGGGKNDAEGVILDEYARKLVLDGAYVQKHTKCGKGTPHVKQLRMLGSSGVLCWGKSSRRNVVCCEAELGPSSILRRNRYNKGDAEEPGMFRVLTNKNREVHFVCEGGFEAAELWVRGIKLVTREAIFHKQRDI >CAK8572934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572014004:572014654:1 gene:gene-LATHSAT_LOCUS25436 transcript:rna-LATHSAT_LOCUS25436 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEYYTILKYLLMIPLFPVDEVCPIFPNVCLDTFRKHAIHCKELPGFKYRHNLVRDILFDIFRRVGVSVKKEVHVNFLTKPREGKSALRPADVLVNEWIGEKHACVGLTGASSLVGFRSVGFFVGQTALKAALSKVVKREKMYFDNQHAFMPFAFDTFGFLAPETMDLLQRVQRLVHNNVVSPRTMNVVFKRIDFAIQKGVATQLVAFLPIIYG >CAK8568171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579750210:579753922:1 gene:gene-LATHSAT_LOCUS21160 transcript:rna-LATHSAT_LOCUS21160 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLNLETSRISTVVPATHRGEDASEYNLNYMDLLMKLHYIRSIYLFDSEAVQDLSISDLKAPMFPLLDSCSHVSGRVRISESGRPFIKCNDAGVRIAESRCEKTLREWMNEKEYSIDELVHDHVLGPDLAFSPLVFIKFTYFKCGGLSLGLSWAHILGDAFSAFNFIAKWSHNLASQKPPKILRFKYLSNFTKTKFLSNSISDYPISVKKATLVDKYWLAANDSYVATHTFHITSKQLHHLATTFTSTKTNIKYFEIISAMIWKCIAQIRGGFGPRVVTISTTNVSKGVENEFPTNDFVLSRLETNLLPEEYNLSELVNMIAEKKMNENYVLKKLVEESGGKGDFVVYGAKLTFVDLEEGDFYGVKMNGKKPIMVNCDIRGVGDEGIVLVLPGPEDEDGDNGRMITVSLPGKELDQLKSKLENEWGIQYYSR >CAK8538531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486270174:486271470:1 gene:gene-LATHSAT_LOCUS7719 transcript:rna-LATHSAT_LOCUS7719 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSFPYHSLFSSLKRVAKPHWLPLSQRSPLYYVSGPIVPRNCCSSDFLLNFQALRSYARGARKPYDLFGGRRPGDEDFKKTWAKEMDEDNTLWTGSEDESDGDKDSKSRLHKDIRKARQEAKKHADLVDADDSDELRSVWSGSDEEKTLWTGDEMDSDDDVPTEAYPNERSDKHIDKIFEFDEMPKYRTISELLKAEQEPEELSPGKQARKIAVDNALKKLKKGPDGRYTNTWEVMSDLDILIGAFENVVSGPEYEELRQGGPKQLNLQFFKDIQARMRDPNYKFSPELKLKPKSKLVTRKKWQKTESRRRKAQRR >CAK8535328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838627567:838630031:1 gene:gene-LATHSAT_LOCUS4794 transcript:rna-LATHSAT_LOCUS4794 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAKLSGFHRQDHNWYSDAGLPSDITLSIDGVNFHLHKFPLVSKCGKIARKYDDFKNSNEKNLTMVLEEFPGGSEIFLIVAKFCYGFRVELTAKNVVLVHCAAEYLEMTEEYEESNLLTKSESFFHKNILRNWKDCILALQSSEPILSRVENLHLVDKCLNALSMMACTDPSLFGWPMMMYGSFQSPGGSILWNGINTGARIRTSESEWWFEDISYLSVSLFERLIKTMRARGMRPESLAGAIMYYSRKHLPGLGRWQGRQGGKTRTVASFSLTPASAAIDQKDLLESIEKLLPQKKGKSFCRFLLGLLRVASILNVSETCKESLERRIGMQLELATLDSLLIPAYADSDALYNTDCIEHIVHHFVLTESNLSAFSPSSLDPQASSSSESLRKVAKLIDSYIAEIASDVNLKPGKLRSLAQALPESSRSLHDGIYRALDIYFKAHPWLSDNEKEELSNIIDYQKLSIHACAHASQNERLPLRVVLQVLFFEQQHLRTSLAGCVNALGGDSAPAPAAHVTARGDTASEIVQRDGWVAVVRENQVLKKDMDRMSTRVGELVEEFTKIKQEMKTVTKSHSARDSPRLFTRKFGCKLVPRFSDVQPESLDRSLCTPRASIEWARRSHKSRHTESFS >CAK8543213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588943029:588943358:1 gene:gene-LATHSAT_LOCUS11986 transcript:rna-LATHSAT_LOCUS11986 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNIRKLLHTRHKDEQLTNLPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNAKKVAASSVWLASKLEKNAQAKYESVGVPSR >CAK8531659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135910028:135910285:-1 gene:gene-LATHSAT_LOCUS1434 transcript:rna-LATHSAT_LOCUS1434 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVDLSIAKIGYEIEKLFGRQKSFGNNTNPPATQNLCQYKFYPSYGATTITKNVEELTCNEAASKFIPEKRADQLHAKGLVGR >CAK8562212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456993266:456993695:1 gene:gene-LATHSAT_LOCUS15729 transcript:rna-LATHSAT_LOCUS15729 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSFKKGPGRPKKLTWREHDETGSRMRSPGVAYRCTKCDKFGHNSRKCQSIIQDTNALKRKRKIPRTNGETSNNNNISKQSVEQAPAETAEPNLTSEPAEPTVEDPFYDP >CAK8560454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20093466:20096685:-1 gene:gene-LATHSAT_LOCUS14123 transcript:rna-LATHSAT_LOCUS14123 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTISLYASPPSSVCSAPHQISPHASYDFDFGSRSSSPASTASTSAKPMIGGLSCLFSSSAAVKHVPLASSFSGGDEDELKELGSSFSYSPSKFGGSWKRDYQNQIQSPVSVFQCPVSCGSSMGTVRSSRSSAGGFFEGFVRSALGSSCLDYDTTGVRLRGGGGGEFDGGSSSGLVDELTFNLEDTFVEGCFGFEFEPYAKKLLMSAQLRHKIFCEEFVIKAFCEAEKAHRGQMRASGDPYLQHCLETAVLLALIGANSTVVAAGLLHDTLDDAFLTYDYIFGMFGAEVADLVKEVSKLSHLSKLARDNNTASKSVEADRLHTMFLAMANAIVVLIKLADRLHNMMTLDALPVAKQQRFAKETLEIFAPLANRLGITIWKEQLENLCFKHLNPVQHMELSSKLVESYDDAMIASAINRLKQALKDEGISYHVISGRHKSLYSIYCKMLKKKRTIDDIHDINGLRLIVEKEEDCYKALEVVHQLWLEVPGELKDYIRGPKFNGYQSLHTVVMGEGKVPLEVQVRTKDMHSQAEFGIAAHWRYKEDHRQLSSYELQMVEWARWVVTWQCEAMSKDSTSVGCVDSIKPSCKFPSHADNCPYSYKPNCAQDGPVFVIMIENDKMSVQEFCANSTVLDLLERAGRASCRLTTYRFPLKEELRPRLNHKPVSDPNCKLKMGDVVELTPAIPDKYLTEYREEIQRMYDRGLTASEMGTTTASSMVGTS >CAK8535128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816128805:816129204:1 gene:gene-LATHSAT_LOCUS4605 transcript:rna-LATHSAT_LOCUS4605 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSVAALSFLLLVLLVAQEIVVSEANTCENLAGSYKGVCFGGCDGHCKKQEGAISGRCRDDFRCWCTKNC >CAK8577737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585700343:585700852:-1 gene:gene-LATHSAT_LOCUS29816 transcript:rna-LATHSAT_LOCUS29816 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFESAKFSIDQVVLILEKVHIIWEPFLLPSTYKKSMCAVLESVFSRISRDILLLDDIAADETLQLQRLIHLMLESLSSLFESLATGDPNLHEFSAEFLEDLIPSLRKIRKLSELLDMPLKSITASWENKELLSCGFTITDVEDFIKAMFADSPLRKDCLRRLQNTSF >CAK8533727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654606683:654609795:-1 gene:gene-LATHSAT_LOCUS3329 transcript:rna-LATHSAT_LOCUS3329 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFLSSNPKMHTFSMLPSHSTLQISNHITIPKFHPFQSSHSHHFSSSPLRLAIDGFSCPSSSFFQSLRKSSPFLISTQKIHSFRILAASLPEGQSHEPTQPSGFVQSLQLGFMFATWYLLNIYFNIYNKQVLKVYPFPATVTAFQFGFASLVINLIWTLNLHPRPNIRRSQVAAILPLVMAHTLGNLLTNISLGKVSVSFTHTIKAMEPFFTVVLSSLLLGEVPTFWVVSSLLPIVGGVALASMTEVSFNWIGFSTAMASNLTNQLRNVMSKKLMANEEEALDNINLYSVITIISFFFLVPCAIFLEGFKFTPSYLKSAASHGLNVRELCIRSVLAAFCFHAYQQVSYGILEKVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPINAFGTAIALVGVFLYSRAKRIKPKINTA >CAK8560908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56815332:56816136:1 gene:gene-LATHSAT_LOCUS14547 transcript:rna-LATHSAT_LOCUS14547 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGANLVSTIIGFGMSATFIVFVCTRIICGRFRERHVGSRTIYQIESRPDIEQPECVGNEPEPAFVAAIPTLNFNQEAFNTIQCTQCVICLGDYKEKEVLRIIPYCGHTFHLSCIDIWLRKQSTCPVCRLTLHNVCEEKHVRPVGFTIRETLDESSSSEENEREVEGNVRETESG >CAK8534499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736395345:736401929:1 gene:gene-LATHSAT_LOCUS4042 transcript:rna-LATHSAT_LOCUS4042 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKIAGSWSGVLEEVDLANWTISSLREEVAKRSNCQNPHFINFICAGRILKDDNGTLTLTQLGVKNNSKILATLSSPQQGQSLVVEEQSSHRLARIRAAATALAERHADGSLPVEDFNIEVEDQNGQKVSLGSELDQRAVMMGLMLHAKGKRLIKGGNYKDALEVLTMGEEAFSICDPKVIELIDNVPILQIDMVWCYFMLRDIRWLSDAGKRLEMARVGIGRAHGKDSLRLRLLQGGRYPELALHLRLELLEGVVAFHTGQLEKSKQALASARAKFVQLQVPDEALSLVMSMGYNERNAKRALRMNNQDVGGAIDFLVEEKEKKMQKREEDLKRRNEIWEQKKFGVTPLKKAVDLERLKELVTIGFEKELAAEALRRNENDTQKALDDLTNPETNSDLQDNIETRKRKRQKQAKDSAIERVVQMGFQRSRVLAAFEEDDKLDKVLQRLTAQPAVENMQPQGNSTAASHDNASSSNPLPDDVSSDILDLMNEVEDDNKAAERDVEMEDELSADIANGDAFTDYDIEVNIEGEAITEYLSMVESAGITSK >CAK8570154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26336266:26343855:-1 gene:gene-LATHSAT_LOCUS22932 transcript:rna-LATHSAT_LOCUS22932 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEDLYNVMCAMVPLYFAMLVAYGSVKCCRMFTPEQCSGINRFVAVFAVPVLSFHFISLNNPYQMDTKFILADTVSKVLVLFFLSVWGIFFARGSLDWVITLFSVATLPNTLVMGIPLLQAMYGDFTETLMVQLVVFQCIIWYTVLLFLLEYRAAKLLIKTEFPGNKASSITKFELDGDVISLYGQDAPLQALSETDENGRIKVRIRRSTSSAAAESTSSITTGITPTRLSNLSNADIFSINTPLNFLDNPSASPRFSGYASTDAYSLQPTPRASNVNETEICGTPVVGRSPVGGGRGLRGNSPVVEGGRMVWESPEKWQGEERQRCKDITMSDKEISFRDSLKVSMAGEAVDPKDQISSSQKMPYAFVMLRLIFIVVGRKLSRNPNTYSSVLGLLWSLISFKWNIEMPSLIKSSIKIISDAGLGMAMFSLGLFMALQPRIIACGTRKAAMGMAIRFLFGPLVMSLSSIAIGLRGKKLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLISLPITLIYYIFLGL >CAK8570155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26336266:26338867:-1 gene:gene-LATHSAT_LOCUS22932 transcript:rna-LATHSAT_LOCUS22932-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAVDPKDQISSSQKMPYAFVMLRLIFIVVGRKLSRNPNTYSSVLGLLWSLISFKWNIEMPSLIKSSIKIISDAGLGMAMFSLGLFMALQPRIIACGTRKAAMGMAIRFLFGPLVMSLSSIAIGLRGKKLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLISLPITLIYYIFLGL >CAK8541407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:155725098:155725577:-1 gene:gene-LATHSAT_LOCUS10328 transcript:rna-LATHSAT_LOCUS10328 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLGSILLGFGFGVVAVVAVQALALLWIIKRLRHSHNLHGEQAHKLETESNQLDHQQSLHFASHKQGVVWVLESGKISKEPKRKGLLEVSPIKMYGTIKGEPLILRKPDGLQPHSTIELKNCTVQAVSASNLSSKKWAKKKVPHQSGKQDLSNIQWK >CAK8569448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1262034:1262381:1 gene:gene-LATHSAT_LOCUS22297 transcript:rna-LATHSAT_LOCUS22297 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDLSILSNKFTWCLSSGSCRSRLDRFLILQRLINLWNIKAQYVGDKDISDHRPIWIKANITNWGPKLFKVFDCWYKHPGFVEFVKNEWNYIVIEGDVAHVLKEKLKHLRNGL >CAK8532592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:311495814:311564185:-1 gene:gene-LATHSAT_LOCUS2281 transcript:rna-LATHSAT_LOCUS2281 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVHQLLLGYLGRYFKDIQKRQLKIRLEEVLLENVELILEAFDYLQLPFALKQGRVGRLSIKIPWKKPWDPIIIILEDVFISASKRDDQEWSADAVEKREFAGKKAKLAAAELRKLSRRVSGGLAGQSFISHVTAKIIDSIQVDIRNFHVLYSDVQNDLGHISFGLKFSNLTMKQNLVGTSNGRASAAQVNKIVEVKGLEMYSSMFHSSTMDSIVMNTMGNFYSASAPGSEGKEYNSILAPFDLTLILSANRSQKLDENAAQYSVAAELSGLVISVDEVQLQQMFIVWDYVCTCRLREKYGRFRPWHCPLPTKNKGWQTTWWHYAQEAVLSDVRKKLKKTSWKYFGDRLSYRRKYMNLYKIKLDFLQQEQPVDDEVLRDLEQMEKESDLDDILNYRSSAEFEMQEYLSRYSTHNNGRILHDITTEKPGSDEHNVKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSFDVKDISDATEFHPLVSSSVDVVTKHELCIFATKIEILQICATLFSKKHCKGIAEIIFEGGVIESKFYKEHGIIISKFKSGKMVEPNSKEIFLQISRPNVENNVLDFVDHSCDLQVKFSSNQDMGMSVKGMLQQLQVTFDANTLLNLLEFYGFVTSIKFYNERVLLSFNRIENDTTRLLSKAEYVGVNHERVMWDVSVFDVSVIFPWRNTASECSNMVIKSRSLCFKTKIGPESFLLEVGDQPYFLKNFSNTISTSKDCLGIRLQDLYNYLDVKLNDFEIAILNNDRSQKHSILEKFSASFFMALCVIPDESILKQLEVYVLIESMKVHFSPSIYGAFIDFITHLNSQLVRDESEILNCVYPSNIVSDVPTCSTFGISIILRLGSVDLEVDLENGGDNSSLLTVSLQEIYVRFASAEFQELFISMKSLGIRTNEVEEEKDVCFVLLAGNLSSPNADVPAHSVPRQSIEFDQYSDEAMLADACFSMHYESPRTDLTCHKCFIYLSNADIHCYPHIVGLLVGFFRRLSACTTGSEESSTSNTVDISKSFSSFGLQKFGFSNYFEVGSADSACIPFDCFPFVTIYNSGPLHNLESSLMYPIPEWRNHFAQRDRKIISPKSFHTSSSECKSNFRKFLESGIARISNNFSIELQLYGIRADFHDSSCIIGSIMVPTCKSSLLFCEDSVDILSSSEGLVLTSSWGPHSFQDYLWGPSTDSLSPILNVRVRKARDLSSTAYLEVCIGIQHVYCMMPSEYISVIIGYFSLSDWGGYSGDQFSFDEQGDIALKNEINITYKFEILDCDLVLPVESNENQFLKVELPQLYCCFIENSGFDDVLKNIPKECLVPIHKLSQINDCLNIFGRDFNVSFLFCSNNILGLATNEWNTKFVTNSLIVPISVDLWIRIPSGSESNNKSSSSICLMTCISSCHIIAEDSYFFDGCMAIWDVIEEFSSIGDQSKCFKTDVLQFLHSKRSLKESGTTSSSLIPSTIILTEVKCCTQSLTISFCHRHEGFVESISKGDFKFTCSASLVDDSLVSLDLRFSRLVIYSSHGSVLAKCASTSSSMFVLCICFSMSNDNMNELSLCLSSLDIWLHLSEWTEIVNFLNHLCLPLVKTPMNAVSEHLSVDTGTSIKEAEVKGESSFLGSRSTSIPSTTQESENAVLLIIRSEKVCITFHIPIWTSEEPHVELHHAEIRNLTTLNVSSDFVEEKDAEFLTISVSMNSFELVIRSRDIQLKSNMERLSSVIIFVVNGSHTSLPLLDIIQVHVDAVLCKSHTGNTTVKVELICDHSDVWLSHPAFYLWGALKFDFPKSESSQYSTGSISFKFQMRKVSVLLTDGKWSYNGPQLEVVVRSILFHASASGKHLECSFTGDLQVNYKNIEKVSWEPFVEPWQFLFTLTRGQEISVLPNRSLSTDIILESTTQLNINITESLVECVSRTAEMLDDALGLMGLKDHEGNRFLHPPCAEYICARKCAAPYVIQNLTSVPLLYHVYNRHINPNDIYDPDLSHAKYVQPGSASTIYMDENDEQQHYSPYHSSERLNEQRPSGLAHRYITVQLEGTSMLSDPISMDLVGLTCFNANFSKSYNENDDDGRMNTAPTFVVPVVLDVSALRYSKLIRIYSTVVLLNATSAPLELRFDIPFGVSPMIFDPIHPGQQFPLPLHLAEAGCVRWRPMGNSYLWSEAHKLSNLLSVNSKEGNFKSFICYPSHPSSHPFRCCLSVKSISLTSSGWLKNNHSVNDAKKHCIHHLILSAPLVINNFLPKEILLISESGGLNHTVRVSEVETSIYHIDPSHDLGLEICIDGFKCCDFKFPRLETFCTMAKLSATKFSISETLIFEPNNSNGHISVTVEKVMDAYSGSRELIIFVPFILYNCMGFPLCVKEASSKTDERGFVIPSYYDVGVNEIISSKRDGLSLLSSSHGTHADVSCEPRSHLNSHTISCRNDGIPDSISFLSNSALFGNYRGNLGRQQRKSNSTVRSSSFGILKRTPSSRVHSTWKYSGSCNNGHEKVVPCMYSPSPSSPVNDVSVKVSGCFPKDVWEKMPYSLWSNSFSLRQPSGSSTICVPQLTSNSAFILAVTSISVSEPFVGRTNAISFQPRYVISNACSKEIIYKQKGTDVTFYLGIGDHAHLHWTDTRRELLVSICYNETGWQWSGSFLPDHLGDTQLKMRNFVFGTSSMIRVEVQNADISMGDEKIVGNIKGNSGTNLILLSDDDTGYMPYRIDNFSKEILRIYQQRCEIFDSVIHSYASIHYTWDEPCRPHRLVVEVPGERILGSYALDDAKEYTPVYLPSTSEKPERTFFVSVHAEGATKILSVLDSNYHSFNELKKSSAPNATEKRLYDHGQVRSAEYKEKISISIPYIGISLINSYPQELLFACIQDIQINLMQNLDRQRLSMRISFIQIDNQLRSTPYPVMLSFDGGYRSCHVDYMKSRDDVTRSRIKKSNQMNSCSTSSIPIFSLEISKWKKKDISFLSFEYIKLRMADFRLEIEQEVILSLFEFFTNISSGLQYGIRPSSNQYYGALLKDSSSFVQTSENLRLNADQSPLGFAPVVDTKSKKSAPLPSIIPIGAPWQEIYLLARTQKKIYIEMFELAPIKLTLSFSSAPWMLRNRLLTSKEFLIHRGLMALADVEGANIYLKDLTIAHHMASWESIQEILIRHYNRQLLHETYKLFGSAGVIGNPLGFARSMGHGIRDFLCVPANNIMQSPTGLIIGMAEGTTSLFSNTLYAISDAASQFSKVARKGIVAFTYDDQIASRMEKEQTSVASGSKGVINEVLEGLTGLLQSPIRGAEKHGLPGVLSGFALGITGLVAKPAASILEVTGKTAQSIRNRSKPNQLRSHCFKVRLPRPVYHELPLRSYSWDEAVGTSVLIEADDGLKFKDEKLVACKALKETGKFVVLTERCIMTVFSPSLINLGKPEFCGIPANLEWMIEWEIGLESIIHADCARGVIHIVGSRPDSSFMHNQHSSKRGSSSRTRPVRWNQYPTHLPLPQTDLELACEDDASNLLQILLSGIEKGKGRAWDCGRILHRASMK >CAK8572842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567038642:567038995:1 gene:gene-LATHSAT_LOCUS25360 transcript:rna-LATHSAT_LOCUS25360 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTQIGSSCAQSNDKERETPQFCTQYSLETINLGEEVASVLVVNTHKQRFQQKDDEVLIQSWLNVSKDSIVKVDKEGDGFWKRIGEAYNKHRDINCNERKPTQLKGQWHKINPFV >CAK8531177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86886225:86892072:1 gene:gene-LATHSAT_LOCUS985 transcript:rna-LATHSAT_LOCUS985 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPPNSTSEFRLGQRVHTSGNSTRIGTVKYVGTVEGYPDTWIGIDWDNAEGKHDGSLNGIRYFHAKSETSGSFVRPKNLCKGISFLEAIEKRYRSNSTKDEEDEMYVFSSSNKRVSIQLLGESELNDKLSRLEELSSVSLSFMGVGFPGIPGQISTTVPNIKELDLSGNLLSEWKDVGIICEQLPSLKALNLSYNLMSPYKSELPLLKSIRILVLNNTGVDWEQVELLRLSLTTIEELHIMGNNISRILPVSSSSMVQGFDSLRLLNLEDNCIAEWSEIMKLSQLRCLEQLYLNKNCLSSLFYPDTGLQYHDSEVTDCKPFKNLHCLLLGDNDISDLASVDSLNLFPNLVETRLSGNPITDTSRGGVPRFVSIARLANIQILNGSEITSRERKDSEIRYVRLVVSKLHVSPEEIKQHPRFSELKNFYGIEDQNPLVGASGGPRAISSGFLSITLKCVGASMGEKEPLTKKLPATTTVGKLKFLCESFFKLKSMKLKLFFQEEGSPLPLLLDNDSSSLMDLGVGNDSVILVDEVS >CAK8575812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329917239:329918776:1 gene:gene-LATHSAT_LOCUS28046 transcript:rna-LATHSAT_LOCUS28046 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQSLSNKLPKCDICQDKPAFIFCVEDRALFCKDCDEPIHVAGSLSANHQRFLATGIRVALGYSCTQGNDKRQIEPSNQDTKQVPIEVPAQQVPSFASSWAVDDLLEFTDFESSDKKQSLEFGELEWLSDAGLFNEQFPHESLAAAEVPQLSVTHASNVASYKAPKSYMSYKKPRIEVHHEDDDDEHFTVPDLG >CAK8537358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:301669157:301670239:-1 gene:gene-LATHSAT_LOCUS6654 transcript:rna-LATHSAT_LOCUS6654 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIVEKYGYRISYRKAWIAKIKVVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCFRGFSYCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTINLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHD >CAK8532124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:209934119:209936693:-1 gene:gene-LATHSAT_LOCUS1859 transcript:rna-LATHSAT_LOCUS1859 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDFDLVSERRKNNAKSHLRKKIAVGITSALLIACVIAAAAFVIVRRTGQGSDNHIKSNPGEASETVRVGKYSRLVKMLCGSSDYKEKCEKTLTEALKKDPKLTEPKDLLMVSMVVAQNEVNRAFNESSKLKFANEEEKGAYDDCKVMFASSKEELGLSINEVGQVDVSKLASKEAELNNWLSAVISYQQTCIDNFPEGDFKEKLKKMFTESRQLLSNSLAVVSQVSHIVNVFHGGLKLPWGKTAPAPPLVAARGAAARGAAAPAGSPGAAPFGAPAGSPGAAPFGAPGDSPIFDPPAGAPSAAPVGDVDAPPPWAAPVLELVGSTEKPTPNVTVAQDGSGNFKTISEALAAIPSTYEGRYVVYVKEGVYDELVTVTKGMVNLTMYGDGGLKSIITGNKNFVDGVRTFQTASFVVLGCGFVGRDMGFRNTAGAIKHQAVAARVQADQTVFVNCNFEGYQDTLYAQTHRQFYRDCVISGTIDFIFGDASAVFQNCEMVLRKPLENQQNIVTAQGRIDKQENTGFVLQKCAIKGEADLPPTTKNYIGRPWKEYSRTIIMESDISGLIHPEGWLPWEGDFALNTLYYGEYNNVGAGANTDARVKWIGRKNINREEALTYTVGPFLQGTWINGTGVPANLGLYD >CAK8543408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606232115:606232771:-1 gene:gene-LATHSAT_LOCUS12165 transcript:rna-LATHSAT_LOCUS12165 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKTFFYPTFFATFLFFSFVNATYYQDMSPSFLGFQQEKLTRIHFFLHDIVTGPKPTMVISSESPLNGKSESPLPFGSIVVLEDPLTIGPELNSEQIGKAQGFYLTVSQDAVLELELVMGMTFVFTEGKYNGSTLSVLGRNTIGSAVREMPIIGGTGEFRFARGFLQAKSHTVDYHTGDAHVEYNVFVVHYPSTSSSPEIFADGSRFMTEPMFGKI >CAK8541840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413443853:413444691:1 gene:gene-LATHSAT_LOCUS10733 transcript:rna-LATHSAT_LOCUS10733 gene_biotype:protein_coding transcript_biotype:protein_coding MMIALKSINPSFRLTNIKCNIYKKRSSTLYLCKFNESDSQAPQPGDTKKQELLAQMAMLQARKIRLTDYLDERSAYLTQFGEMVNAEFEKIGEDALKGLDEAGARITANMESQMLEFEESAEINRQEIKQRENELEEFEVQMENSRNEGLFFKNLRKKVPVVDKAKAKEEAEKIKDVIREKAGSRIRKNVYRFFIGLLTFTVVYSIASPSTDWRKVAVFGAILVALISQFTYEQNMSVETKTTRKINNEEENK >CAK8562448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493845597:493847654:1 gene:gene-LATHSAT_LOCUS15943 transcript:rna-LATHSAT_LOCUS15943 gene_biotype:protein_coding transcript_biotype:protein_coding MALDFSYIPIIDISPLLAKSDDPKMGQDPGVLDVVAQLDKACTQAGFFYVKGHGIPDTLLKGVRDITHTFFQLPDEEKAKIKMTPSNGFRGYQKIGENITEGTPDMHEAIDCYREVTKGMYGDLGKVIEGYNKWPKNPPKFEVLMEEYISLCTDLARKIMRGIALALGGSPYEFEGDRAGDSFWLMRIIGYPGASTVNDIGCGAHTDYGLLTLLNQDDDINALQVKNLSGEWISAPPVPGSFVCNIGDMLKIYSNGLYESTLHRVINNSPKYRVSIVYFYETNFDTTVEPLDTYKTRTNGNKRFERAIYGEHLVRKILTNFVEE >CAK8565951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358162218:358172821:1 gene:gene-LATHSAT_LOCUS19124 transcript:rna-LATHSAT_LOCUS19124 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAPITMKEALTLGSVGINPQFITFTHVTMESEKFICVRETGPSNSVVMIDMNMPMQPLRRPITADSALMNPNTRILALKAQVPGTTQDHLQVFNIETKTKMKSYQMTQQVVFWKWITPKMLGIVTQTSVYHWSIEGDGEPVKVFDRTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFAVDQQRSQALEAHAASFASFRVTGNDKDSILICFASKTINAGQVTSKMHVIELGAQPGKPAFSKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDMETATAVYRNRISPDPIFLTSEASSEGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAEELVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLFIRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGADACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESTFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDGELVNVTNKNSLFKLQARYVVERMDADLWEKVLDPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFNGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFIIFKKFNLNVQAVNVLLDNIKTIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDSTHFLEVIKAAEDGEAYHDLVKYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPVVGDRLYDEALYEAAKIIFAFISNWAKLAVTLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRSEKLMEHIKLFSSRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPEVVNDLLNVIALRVDHSRVVDIMRKAGHLKLIKPYMIAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLVYFIEKGKKECFASCLFVCYDIIRPDVALELAWMNNMIDFCFPYLLQFIREYSSKVDELIKDRIEAQNEEKAKEKEEKDVIAQQNMYAQLLPLALPAPPMPGMGGGGYAPPQPQPPMGGMGMPPMPPYGLPPMGY >CAK8578788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654823263:654830839:1 gene:gene-LATHSAT_LOCUS30772 transcript:rna-LATHSAT_LOCUS30772 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLLKDFDLQHKNPSLEALRRWRSAVTLVKNRRRRFRMVADLEKRSEAEQIKHGIKEKIRIALYVQKAALQFIDAGNRVEYKLSQEATEAGFEIHPNEIASIVRSQDYKNLSNNGGVEAVARKLSVSTDEGVSEASIDCRQKIFGVNRYTEKPARTFLMFVWDAMQDLTLNILMVCAVVSIGVGIATEGWPKGTYDGVGIIISIFLVVIVTAVSDYKQSLQFIDLDKEKKKIFVQVTRDGKRKKILIYDVVVGDVVHLSTGDQVPADGIYISGYFLLIDESSLSGESEPVFITEKHPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETLNEGGDDETPLQVKLNGVATIIGKIGLGFAIVTFSVLTVRFLVEKALHGEFSNWSSNDATKLLNFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICENTIQLKGNESADELKTNISEGVLSILLQAICQNTSAEVVKDKNGKNTILGSPTESALLELGLLLGSDFDARNHSKAYKILKLEPFNSVRKKMSILVSLPDGSVQAFCKGASEIILEMCDKIIDCNGEVVDLPANRANIVLDVINSFASEALRTLCLAVKDINEMRGETSIPNSGYTLIAIVGIKDPVRPGAKEAVQACIAAGITVCMVTGDNINTAKAIAKECGILTDGGLAIEGPSFRDLSTEQMKDIIPRIQVMARSLPLDKHKLVTNLKNMFGEVVAVTGDGTNDAPALHEANIGLAMGIAGTEVAKEKADVIIMDDNFATIVNVVKWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLLKRPPVGRGTNFITKTMWRNIIGQSIYQLIVLAILNFDGKRLLRIGGSDATEVLNTLIFNSFVFCQVFNEINSRDMEKINIFKGMFDSWIFLMIIIATVAFQAVIVEFLGAFASTVPLSWQFWLLSVLIGAISMPIAVILKCIPVENKNTTNKQNHDGYDALPSGPELA >CAK8537158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:115115303:115120083:1 gene:gene-LATHSAT_LOCUS6468 transcript:rna-LATHSAT_LOCUS6468 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPHVITTSNLTTTFRHGLTTSTLRYSNPRLFRSFSVANNVRVFSELKSHNNTTTIDYTDPDWKTKFKENFEERFRLPHVTDTFPDSVSMPSTFCLQMRTPVKSCVPGNYQLDEEWNGYINDNDRVLLKTIYHSSPTSAGAECIDPGCNWVEQWVHRAGPRKNIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFTDIELTEVPLSRKVVQNIHLSGGSLLGVSRGGPDVSEIVESLEERGINMLFVLGGNGTHAGANAIHNECCKRRLKVSIIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINAAYIEAHSAYHGIGIVKLMGRSSGFIAMQSSLASGQIDVCLIPEVPFNLHGPHGVLSHLKYLIESQGSAVVCVAEGAGQNLLKKTNATDASGNVIFGDIGVYIQQETKKHFKEIGIHADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGFSGITVGTCNTHYAYFPITEVISHPQLVDPNSRMWHRCLTSTGQPDFV >CAK8571245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:353273844:353274494:1 gene:gene-LATHSAT_LOCUS23923 transcript:rna-LATHSAT_LOCUS23923 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMEVDTVLSEPKGLPSKPQFAPLKPHEMSSGQVQFRKVSVPPHRYTPLKKIWMEMYTPVYEQMKIDIRMNLKARKVELKTRHDTPDISNLQKCADFVHAFMLGFDVIDAIAILRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENASKTRIVIADTKIHILGSFANIKVARDSLCYLIMGSPAAKVYSKLRAVTSRMAERF >CAK8576375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468049741:468051371:-1 gene:gene-LATHSAT_LOCUS28567 transcript:rna-LATHSAT_LOCUS28567 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMECDVEDNNFIEWLKPSTSSSSSSSSSFSTTQNDLVLETFQFFPILNAKASKEEDHEVPKMEGFDVKEENITVALHIGLPNPKEHLDDENKIFHVKEQEICKKSFQRFWIPTPAQILIGPMQFVCSICNKTFTRYNNMQMHMWGHGSEFRKGPDSLRGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIRSFGKGHNRLLSPDDRDFYEEKECVTVIGSDEDGVIRT >CAK8563493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609999977:610001367:-1 gene:gene-LATHSAT_LOCUS16893 transcript:rna-LATHSAT_LOCUS16893 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNMHVAEEKLFPRSIQEMSMDGDEPPSEYLVKGNTFESKDSSSSLFSIPIIDVSLLSTENELEKLRSALSSAGCFQAIGHGMSTSYLDKAREVAKQFFALPVEEKQKYARAVNESEGYGNDRVVSEKQVLDWSYRLTLRVFPKEKRRLYLWPENPSDFSETLEEFSTNVKSMMDYLLRSMARSLNLEEGSFLDQFGKQSLLHARINFYPPCSRPDLVLGVKPHTDRSGITALLQDIEVEGLQVLVDDKWINVPTIPNALVVNLGDQMQIMSNGIFKSPMHRVVTNTEKLRMSIAMFNEPEPENEVGPVEGLINETCPRLYRNVTNYGDINYRCYQEGKIALETVKVADNYEQK >CAK8573279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600000887:600001510:1 gene:gene-LATHSAT_LOCUS25747 transcript:rna-LATHSAT_LOCUS25747 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRNDEKDNKEEEGGWASTFFKIAGAAAATAAVVGGLYSVLNQPQAEVTPYGVRQPDPEVVILKVDGSLLPGKAGCGGYLSSASEKWIRGFSQKLDPSLREDETERQAILKGLEWVREKGKRKVEVKSDNYGVVDLVNSGRRSNDSVIGEIRDLLGNTDWEAKLNWIPGDQNSVADRLAHKAHGLPSFDLFEIDLPPQNCTNLL >CAK8563843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634640993:634642746:-1 gene:gene-LATHSAT_LOCUS17212 transcript:rna-LATHSAT_LOCUS17212 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVNLARRKGYSLLSSETLRYSFSLRSRAFATGSDENDVVIIGGGPGGYVAAIKAAQLGFKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHSFANHGVKVSNVEIDLAAMMGQKDKAVSNLTRGIEGLFKKNKVTYVKGYGKFVSPSEISVDTIEGENTVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWGRIGSEVTVVEFASEIVPTMDAEIRKQFQRSLEKQGMKFKLKTKVVGVDTSGDGVKLTVEPSAGGEQTIIEADVVLVSAGRTPFTSGLNLDKIGVETDKLGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEYLAGKVGHVDYDKVPGVVYTNPEVASVGKTEEQVKETGVEYRVGKFPFMANSRAKAIDNAEGLVKIIAEKETDKILGVHIMAPNAGELIHEAAIALQYDASSEDIARVCHAHPTMSEAVKEAAMATYDKPIHI >CAK8544126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:665112502:665113419:1 gene:gene-LATHSAT_LOCUS12829 transcript:rna-LATHSAT_LOCUS12829 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRKALASLAVSSVPLSPRQNHTAVITRSVWSSNLDQEFRLLRSVIDLYPLISMDTEFPGVVIGSDPGDPFRDSADTLYSVLKANVDRLNLIQIGLTLSDHTGDLPNFGTDQLFIWEFNFKDFDVGRDDFAMDSIELLRRQGINFEKNRKLGIDSFRFAELMMSSGLVCDPSVNWVTFHSAYDFGYLVKLLTHRDLPEDLDEFLRVVMVFFGDKVFDVKHLLKFCSNLHGGLDGICRSMKVDRLTGKSHQAGSDSLLTLHAFQNIIELYFEKAEGFVKYGGVLYGLEVRYNNNKALSHAVFCMD >CAK8532470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:268081211:268081582:-1 gene:gene-LATHSAT_LOCUS2172 transcript:rna-LATHSAT_LOCUS2172 gene_biotype:protein_coding transcript_biotype:protein_coding MNKWKNIILSKEEEEGVMTVVDEVSGKENFQRMLADKFWIDKNFNARAFTNTMVRAWKLKNPLKTQELSKIFSCLGPLPREIWNVCCEMVLRALTRTSPRSCIRGRTTLRSEHAFWSLLGMDL >CAK8544491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688316466:688317758:-1 gene:gene-LATHSAT_LOCUS13165 transcript:rna-LATHSAT_LOCUS13165 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQVLSFVRRWSSWALSIKKASSSSPTKAIHLYSKMHRSGVPFDSFCILFTLKSSIQLHSLPTIHHLHTHIIKLGFISQIHVANCLLNGYVLLSFIDACVLFDEIPQRNTVTWNTMILGYSRSGDMNKARELFEEMPQRDSVSWSSVISGYTDIGGYMQSLYLFRRMLFVEGTKPDQVTCGAVLSGCAHMGSCGLLRGKSVHGFIVKNGWELNVEIGAALVNMYAKGGVLRNAAMVFELMDERDVMSWTVMIFGAARCGFNKEALIVFEKMQMVGIKPNELTFTGVLSACAHGGFVEEGRRYFKMIEECGLEPRVQHYACLVYLIGKSGNLEEAYEIIKTMRVEPNVVVLGSFLSACKEHKQFEISERVIEQVLRMANPENDRGLYNLIADLYVIGEKLEEAERLKKFMVNEHVRQAKGLNFDRNVFR >CAK8562425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:488045926:488046408:1 gene:gene-LATHSAT_LOCUS15921 transcript:rna-LATHSAT_LOCUS15921 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKAGVLHKIDFREGYALHLLDELLQDENTKGTFDFIFVDADKNNYINYHKRAIDLVKVGGLIGYDNTLWSESVAAPLGAPMIDIIRNFRDYVIELNKYLVQDSRIDICQLSVEDGITLCRRIS >CAK8530740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46346514:46347009:-1 gene:gene-LATHSAT_LOCUS583 transcript:rna-LATHSAT_LOCUS583 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKARVEGSICAHYLHRETSHFCSHYFNHMMLTPRIIRNEVHFRERSQFTLSIFGRPGRPFGKTSVHWLSKKEMQSGHVHVLINYVEVKPYLEAFNTYYLQSMGEQPSTGYTHVYFPTWFKQ >CAK8579716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718160646:718164664:-1 gene:gene-LATHSAT_LOCUS31640 transcript:rna-LATHSAT_LOCUS31640 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRSNGDVLMLEAPPSYGSRSTNSDAEIIDALPYIDDDYSDPRVKIEVDRLVEEEMRRSSKKPADFLKDFPPLPTFNFQEYPMIGREYERVRAGRPPISLDRSRYELELPPINKRNDETAWKLALQRAQRLLQYQTMRMENLDLLLKYGPDAWKQHNLRLEVYLSRMQKLAQEQNEKIEKVNRERKYHQQNTAYELNALSIQWNELCLKNINIRAACASAETHLNDLRREAAERGWNLDAVTENGQYANSAS >CAK8536914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:38958494:38958874:1 gene:gene-LATHSAT_LOCUS6239 transcript:rna-LATHSAT_LOCUS6239 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEIKAYNSWIVRGILKQRDNMEVIRNEWDQIINAQKFKASVFYKVLIDDGTRVLWGKLIKFNKARPRAIFCLWQACHGKLATKDRLKRFGMIEDNICNLCQTEDETLNHLFLLSRDKTHMEGSA >CAK8537019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:60168230:60169342:1 gene:gene-LATHSAT_LOCUS6339 transcript:rna-LATHSAT_LOCUS6339 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFQSQIHDNNGAISNPPILSPYSSSIPYNSNSNSQKQSEPAPISSSGNKISPAILFIIVILAIIFFILGFLHLLVRFLIKHRSSSSSSISQSNRFPEMSESDAYQRQLQQLFNLHDSGLDQAFIDALPVFIYKEIIGLKEPFDCAVCLCEFLEQDKLRLLPNCNHAFHINCIDTWLLSNSTCPLCRGTLYAQGFSFEKNPVFEFEDQGDEDCVIGIGSVSKNMENHIMNGKRVFSVRLGKFRSSNNGEGVGKSEGESSSCNFDVRRCYSMGSFQYVVCDSDLQVALRPSKGDGSTRHLKGIREIQDESFSNDGDVEGKNINIARKGESFSVSKIWQWSKKDNNKIPSSSDTHFHNCVVNESWSWMNKS >CAK8574469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:294793:295386:-1 gene:gene-LATHSAT_LOCUS26813 transcript:rna-LATHSAT_LOCUS26813 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSFFFFFVFLFTFSPLPVSATDHIVGANRGWNPGMNYTLWANNHTFYVGDFISFRYQKNQYNVFQVNQTGYDNCTLDSAVGNWSSGKDFILLNKTIRYYFICGNGQCLNGMKVSVLVHPLPPPPTSSQHNHSRSTSAASMLLEYFGLNSLFLSFGFVFFGYLLV >CAK8562843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547035340:547035671:1 gene:gene-LATHSAT_LOCUS16308 transcript:rna-LATHSAT_LOCUS16308 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTLPSFHSYSIIASSLRMCVFRAMLKAIVGTLFMILSILHQQGETLIFNFDETIAVGCSKLTHPTVVN >CAK8565950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358001537:358002783:1 gene:gene-LATHSAT_LOCUS19123 transcript:rna-LATHSAT_LOCUS19123 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVVVSSSNMFSLPSPCHFSLSSPVLKRKRPAKLHIPDASLNIELPPAVITPAPEKDVVEVEGNGFSVYCKRGSRKHMEDRYSASVDLHEETKQAFFGIFDGHGGTKASEFAADNMEKNVLEEITRRDESDVEEAVKHGYLKTDSDFLKEDLHGGSCCVTALIKNGNLIVSNAGDCRAVISSRGVAEALTSDHKPSRKDEKDRIETQGGYVDMCRGVWRIQGSLAVSRSIGDRHMKQWVIAEPETKVLRIEPHHELLILASDGLWDKVSNQEAVDIALPFCVGNNKQGPFQACKKLVDLSSSRGSIDDTSVMVIKLQKYI >CAK8540715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16891622:16892242:-1 gene:gene-LATHSAT_LOCUS9692 transcript:rna-LATHSAT_LOCUS9692-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETVVLKVKMSCSGCSGAVNRVLEKMEGVESFDIDMKEQKVTVKGNVKPQDVFDTVSKTGKKTEFWEEPENKSTETATEAESDNKPSDSEDAAIVTEAEPKNKSTETATIGVVEPDNKPSETATVAA >CAK8540714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16891622:16898671:-1 gene:gene-LATHSAT_LOCUS9692 transcript:rna-LATHSAT_LOCUS9692 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETVVLKVKMSCSGCSGAVNRVLEKMEGVESFDIDMKEQKVTVKGNVKPQDVFDTVSKTGKKTEFWEEPENKSTETATEAESDNKPSDSEDAAIVTEAEPKNKSTETATIGVVEPDNKPSETATVAA >CAK8537909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:446611425:446612190:-1 gene:gene-LATHSAT_LOCUS7158 transcript:rna-LATHSAT_LOCUS7158 gene_biotype:protein_coding transcript_biotype:protein_coding MELETSDWPYTATEDHHHHIPIDLFGSKKHAGLPRGILSFADSSGNILFKVHPQPPNPNSISSPPPKNIKLLLDPNDVPLFSIHRHHNGNWKCYKGSGDGEKEIMFEVKRTVKTFTRIELEVNFSGERLNGDVCDLRVLGSPFKRSCSIYKNTDLVAQSSLMYKLNQIYVSRSKFRLTIFPGSMDHAVVVALFVIFLNGRK >CAK8544878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710165952:710166173:-1 gene:gene-LATHSAT_LOCUS13523 transcript:rna-LATHSAT_LOCUS13523 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHKVDGHNYPEQLMAL >CAK8540192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543971090:543971692:1 gene:gene-LATHSAT_LOCUS9221 transcript:rna-LATHSAT_LOCUS9221 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGAIFLLFLSFISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWEVYFSFFGNN >CAK8532670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:471961538:471961840:1 gene:gene-LATHSAT_LOCUS2352 transcript:rna-LATHSAT_LOCUS2352 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGPLQFHPNSAAISKGYNFASTWEQNAPLTDHQQNAIILLTHAVSERPLPLKLVQENALVQDNALSVRTEDSYFDDSGAIKTVMVNTNQFYKWFFRS >CAK8566630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444390674:444393147:1 gene:gene-LATHSAT_LOCUS19748 transcript:rna-LATHSAT_LOCUS19748 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRIQVSACALSATVNHLRYRPNYVVSTSRFNNHFSVRPLRISMSSVPPSEPLEIAVKASLTTPNKLGDCPFTQRVLLTLEEKHLPYETKLVDLRNKPEWFLEISPEGKVPVINIDGKWVPDSDVITQILEEKYPSPPLVTPPEKATAGSKIFSTFIGFLKSKDPNDGTEQALLNELNIFNDYLKENGPFINGKDISAADLSLGPKLYHLEIALGHYKKWTVPDSLNFLKSYLKDIFSRESFINTRALTEDVIEGWRPKVEG >CAK8566652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445801064:445804469:1 gene:gene-LATHSAT_LOCUS19768 transcript:rna-LATHSAT_LOCUS19768 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLDMSLDDRIKNRTNRGRGRGRGKALSGRGSGTLGGGRRTGAVNGRRMTGPGNGGRATGAVRRGPLMVNTRPSSYAIAKSIRRTKPFPWQSDLIEDSLRAAGIQGVEAETKLYVSNLDRGVTNEDIRELFSELGDLKRYAVHYEKNGHPTGSAEVVYNRRSDAFAALKRYNNVLLDGKPMKIEIVGAKSELPVTARVNVTGMNGQRKRTVVMTPRGGRGGGPAVVNRGAGWGRRGSSRGGSGSGRGRGRGRPGRGGGRGRGRKDGVEKSAEQLDKELETYHAEAMNIS >CAK8571113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303227952:303228864:1 gene:gene-LATHSAT_LOCUS23806 transcript:rna-LATHSAT_LOCUS23806 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIMQTKGGQLQRINELHASYLAYQYPLIFSYGEDGYRPNIAHRDLGIFRDNKRNRLTIREWLSFRIQSRFNEAKTLLSSRRLFQQFLVDGYTMLESEELEWIQKNQSKLRVSKYNSLNDEGDQSQTPGSSIGKRVVLPSSYVGGRRFMGQLYYDGMAICSKMGFPDLFITFTCNPNWPEIQRVLTPLHLKAQDRPDVISRIFKIKFDQLLSDLTKKGVLGKVLAYMYTIEFRKRGLPHAHILIFLHPSNKYPTPEDIDKIISAEVPDPLKDPKL >CAK8569112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672365135:672368485:1 gene:gene-LATHSAT_LOCUS21998 transcript:rna-LATHSAT_LOCUS21998 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSTYSSTRLILLRFIFLLVLSYRTNSLVKLAPNVTVPAIIAFGDSIMDTGNNNQIKTTVKCNFSPYGIDFEGGVPTGRFGNGKNPSDMIGEELGIKELLPAYLDPNLKPSDLPTGVCFASGGAGYDPLTSKYASVISMSDQSEMFKEYLVKLKDVVGEERKNFIIANTLFNVVAGSDDLVNTYFTIRTPQFHYDIPAYTDLMVKEASNFVKEIYQLGARRIGVFGVPPLGYLPSQRTLGGGVFRNINEEYNEAAKLFNSKLSKQLDFLRSNLPNSRVVYVDIYTPLLDIILKPQKYGYKVADRGCCGTGKLEVSILCNPLTPTCADNSEYVFWDSYHPTESVYRTLVAEVLPKNIDRLTI >CAK8538375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479871824:479873204:1 gene:gene-LATHSAT_LOCUS7586 transcript:rna-LATHSAT_LOCUS7586 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRAALHEKLQLLRSVTNSHALSDTSIVIDASKYIENLKEKVERLNEEIASAESSSVHNPLPMITVETLEKGFLINVFSAKSSQGMLVSILEAIEDMRLTVLEARVSCTDNFRFQAVGGENEEEGETIDAQAVKQAVGQAIKNWSESETSDQV >CAK8573406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609968519:609970075:-1 gene:gene-LATHSAT_LOCUS25854 transcript:rna-LATHSAT_LOCUS25854 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMASVTLTLLLAIVSLTLPSQISANSYLYSSPPPPTTVYPPVSPPHKVYPPVSPPYHYPSPSPPKVYPPVSPPYHYSSPPPPVYSPPKHPYHYKSPPPPHHKPYKYASPPPPVYSPPKHPYHYKSPPPPHHKPYKYPSPPPPVHHVYPKPIYHSPPPPKTPYKYSSPPPPVHHVYPKPIYHSPPPPYYPHPHPHPHPHPHPYPVYHSPPPSPKKPYKYSSPPPPSHPYPHPHPHPHPHPHPYPHPHPVYHSPPPPHKKPYKYSSPPPPTHHVYPHPIYHSPPPPKKPYKYSSPPPPVPTPYIPHPVYSPPKKPYKYSSPPPPVHPPYVPHPVYSPPKKPYKYSSPPPPVHPPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYASPPPPPVHTYPPYIPHPVHHSPPPTPTKKPYLYVSPPPPYHS >CAK8573407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609968519:609970063:-1 gene:gene-LATHSAT_LOCUS25854 transcript:rna-LATHSAT_LOCUS25854-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLTLLLAIVSLTLPSQISANSYLYSSPPPPTTVYPPVSPPHKVYPPVSPPYHYSSPPPPVYSPPKHPYHYKSPPPPHHKPYKYASPPPPVYSPPKHPYHYKSPPPPHHKPYKYPSPPPPVHHVYPKPIYHSPPPPKTPYKYSSPPPPVHHVYPKPIYHSPPPPYYPHPHPHPHPHPHPYPVYHSPPPSPKKPYKYSSPPPPSHPYPHPHPHPHPHPHPYPHPHPVYHSPPPPHKKPYKYSSPPPPTHHVYPHPIYHSPPPPKKPYKYSSPPPPVPTPYIPHPVYSPPKKPYKYSSPPPPVHPPYVPHPVYSPPKKPYKYSSPPPPVHPPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYSSPPPPVPTPYVPHPVYSPPKKPYKYASPPPPPVHTYPPYIPHPVHHSPPPTPTKKPYLYVSPPPPYHS >CAK8541428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162399251:162401047:1 gene:gene-LATHSAT_LOCUS10348 transcript:rna-LATHSAT_LOCUS10348 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWTFLLFLIILLKSDVACSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARAFIVGEPKGNRLVFVNLDACMGSQLVTIKVLERLKARYGDIYTENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIVQAHENLRPGSIFVNKGEILDAGVNRSPSGYLNNPAEERSKYKYNVDKEMSLLKFVDDEWGPVGSFNWFATHGTSMSRTNSLVSGDNKGAAARFMEDWFEQKGSVRKDSVGFEDDSLPRRISNIIPSLNNNHHELLELVASFQSPPGRPATKTSSVARRVRGVLRQDGKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGKGPGYPDEFESTRIIGERQFKRAVELFNGASEQIKGKVDFRHVYLDFSKLEVNVSIAGASKIVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDQGNPFWKLVRNVLKTPDKEQIDCQYPKPILLDTGEMKLPYDWAPSILPLQILRIGQFVILSVPGEFTTMAGRRLRDAVKTVLSGDKSFGSNVHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLFGPHTLTAYIQEFKK >CAK8539117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504187164:504187754:-1 gene:gene-LATHSAT_LOCUS8245 transcript:rna-LATHSAT_LOCUS8245 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKYAIHGQFSKKSDVFNFGVIIIEVGSGKRNVRPIESDDFDDLLSIAWRKWRSETPLELLDPDLKDLYSHSEVIRCVQIGLLCVQESPIDRPTMAQVVSYLSNVLVELPFPQEPALSMDRRRRLNIKTESSSGQFNSNSLGSSTNDMTKSQFFPR >CAK8561663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:344342752:344344286:-1 gene:gene-LATHSAT_LOCUS15232 transcript:rna-LATHSAT_LOCUS15232 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFHNQQNLPVSFLLILSLTTLVSVSSHSRPYTIPTVSHLTDSFPHVTIDSAFSNAFGASNVKFLSNGSMATLALDKITGSGMVSQNRYYYGFFSAAIKLPAGLSPGVVVAFYLSNADKFPHNHDEIDIEILGHDKRNDWVIQTNVYANGSVSTGREEKFYFWFDPTEQHHYYSILWNSYHTVFFVDNIPVREFIHKTTYPFIYPSKPMSLYATIWDGSEWATHGGKYPVNYKYAPFVVSLTEVELSGCITDPNSPISSCSKSNLSGQQDPINGAEFTKLSQQQIAAMDWARRKLMFYSYCNDVSRYKVLPAECH >CAK8562627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517747777:517752723:1 gene:gene-LATHSAT_LOCUS16111 transcript:rna-LATHSAT_LOCUS16111 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDSLISLVNRIQRACTLLGDHGADQASHSLWESLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHRIQEGLQEYAEFLHLPKKRFTDFSMVRKEIEDETNRMTGKSNQISPVPIHLSIYSRNVVNLTLVDLPGLTKVAVEGQPESIVQDIENLIHSYVDKPNCLILAITPANQDVATSDAVKVSRQVDPAGERTYGVLTKIDLMDKGTNALDVLEGRSYRLRNPWVGIVNRSQEDINRKVDMIAARQREREFFATNPDYAHLSSKMGSEYLARLLSKHLESVIRARIPGIASFINRSIDDLEAELAHLGIPVAIDAGAQLYTILELCRAFDRVFKEHLDGGRPGGDKIYIVFDYQLPAALRKIPLERHLSLQNVRNVISEADGYQPHLIAPEQGYRRLLESSLNYFKGPAQASVDAVHFVLKELVRKSISETEELKRFPTLRSEIAIAANEALERFRDDGKKTTLRLVEMESSYITVDFFRKLPQEVVDKGGNPAPASADGHLQRIGSNVLSYVGMVSETLRNTIPKAVVHCQVREAKLSLLDHFYAQLGRKEVMQLAQLLDEDSVLMERRQQCAKRLELYKSARDEIDAVCWSG >CAK8568303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592066912:592067409:-1 gene:gene-LATHSAT_LOCUS21274 transcript:rna-LATHSAT_LOCUS21274 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEERDCCSTITLNRGTNNINPKENHKQEKPYRGIRKRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDTAVFYLRGPTARLNFPELLFQDDEDNNDNNGSVPQGNMSADSIRKKATTVGARVDALQTALQVQASSRSGSTQFNSDVKPDLNEFPKPEDY >CAK8568304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592066912:592067403:-1 gene:gene-LATHSAT_LOCUS21274 transcript:rna-LATHSAT_LOCUS21274-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERDCCSTITLNRGTNNINPKENHKQEKPYRGIRKRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDTAVFYLRGPTARLNFPELLFQDDEDNNDNNGSVPQGNMSADSIRKKATTVGARVDALQTALQVQASSRSGSTQFNSDVKPDLNEFPKPEDY >CAK8531539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122447093:122447650:1 gene:gene-LATHSAT_LOCUS1323 transcript:rna-LATHSAT_LOCUS1323 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAIVSSFAIHIILLASSIPFSCTQQNEKTSNTDLIDQICKKTPFYDLCTSILNSTPQTPKPDLKTVALVMVNNILSNATDTLNFIEALIKKTSDREMEQALAFCAESYIPVVKYTLPQAADAITQNRFGFAGYCVSDAVKEVNSCNKKFSGLLLSPLGDRNGIVQKLVDVASAIIKQLLKG >CAK8535166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819832645:819844244:1 gene:gene-LATHSAT_LOCUS4642 transcript:rna-LATHSAT_LOCUS4642 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLSQFQTIKNSSDRLVISVEDVSDLWPTVKPAFEARLPFKRASLNNKARNPVLVEKLSAEFILTTDSRLRSRFPQEQLLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPANDQANKMAKKVYAKLEVDFSSRKRERCCKYDMHFPEANFWEDLESKIMECIRNTLDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKESLAFMFEMAHLHEDALREYDELELCYLETVNMTGKKRDFGGADHGDDQATIVNPGNKTLTQIVQEDSFREFEFRQYLFACQSKLLFKLNRPIEVASRGYSFILSFSKSLALKERILPFCMREVWVITACLALIEATTSNYSDGLVAPDAEKEFFCLLGDLYSLARVKFMRLAYLIGYGTDIERSPVNSASLSLLPWPKPAVWPSVPADASAEVLEKEKLILQTTPRTKHFGIQRKPLPLEPTVLLREANRRRASLSAGNVFEMFDSRQGPMEGSGFDSSPKMSPQKVLSNSMTRTNSSPGNFDSSIGRPMRLAEIYIAAEHALKQTISNLGMLKSLSSSEEFEKKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVAFKHGHFDQAAKSYEKVCALYNGEGWQDLLAEVLPILAECQNILNDQAGYLLSCVRLLSLEDGLFLTKERQAFQAELIRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDRDPGILSVTVCSGFPDDITLDSISLTLMATYNADEGVKALKSSTAIVLHPGRNTITLDLPPQKPGSYVLGVLTGQIGQLRFRSHGVSKLGPAESDDVMSYEKPAKPILKVSKPRALVDLDAAVSSALLINEHQWVGILVRPLNYSLKAAVLHIDTGPGLEIEETNIVEMESYAGVSDIGEAEVQKDGAQIESLNSEKKFERSTLHDGKIVFPNWASDTPSILWVLIRAISDTLNRGSSSVTTRRESIVDGMRTIALKLEFGAFHNQIFERTLAVHFTHPLYVRTHVTDKCNDGTLLLQVILHSEIKATLTIYDAWLDLQNGFVHSGQTEGRPTSSFFPLIISPTSKAGILFSIFLDKTNTEEDMKQPESILNIKYGISGDRTIGAHPPFINESTGVDGARQELIFKSVIILQRPVLDPCLAVGFLPLPSDGLRVGQLIKMQWRVERLKDLNEKEISEQNDEVLYEVNANSGNWMIAGRKRGHVSLSKNQGARIIISVLCMPLVAGYVRPPLLGLPEIDEANIRCKPSGPHLVCVLPPTLSSSFCIPVNS >CAK8537964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:451618752:451619276:-1 gene:gene-LATHSAT_LOCUS7210 transcript:rna-LATHSAT_LOCUS7210 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELYLVALHGSCTELKVQEIHMMVCQSWENGQWNISPTVPAKSRVMKFSRNLISNNYKVMPDVRQANNVSLGLDDSCLHLPSPSTLKRVCSHGSSRI >CAK8531239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92102596:92103999:-1 gene:gene-LATHSAT_LOCUS1045 transcript:rna-LATHSAT_LOCUS1045 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIPEQIHHQLHTDDEQESEEEEEQELSSNTLKWKKHYSSKHKILFVGEGDFSFSLCLARAFGSAHNLVATSLDSQEKIEKKYGNGVSNARELEERGCIVLYDVDVKVMSQHFFLKTQRFDRIVYNFPHVGFLYPENSYCQIQLNKKLLKGFMANAKALLKKEGGEIHVTHKEGDPYNKWDLVRKAEKRGLLLHQAVPFFKDDYPGYDNKRAHGKLSDLSFPVGEANTYKFKLQTFPTKSEHF >CAK8563406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600798572:600799354:-1 gene:gene-LATHSAT_LOCUS16823 transcript:rna-LATHSAT_LOCUS16823 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPITQTPEGDPKSSVTTDKDKHSSSSKDLEEILHAKLRKCGKLPSPSMTCLRLDTENSHIGVWQKRAGKCSDSNWVMTVQLGKNKNVIEDNGSTSTSINSSVVHSSMVATGDEIMQGEIDEEDRIALQMIEELLNDKNCPSSSINNAKQGSDIHNSFFI >CAK8538825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497141949:497142449:1 gene:gene-LATHSAT_LOCUS7981 transcript:rna-LATHSAT_LOCUS7981 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYIMMSCALPKKSLKEIQKMQISFVWGDIDEDKHVHTFKWSTLTIPKMAGGLGLKILVINSETCLLKLGWKFMNGENGLWCDVLRGKYGRGNEHAKYVTTKNNESSLWRNLGKTETFYNKILNGVLVMGIQGMFGMMCGSLQKHLLMPLQITISIFLLSKLWIL >CAK8576419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473599692:473603037:-1 gene:gene-LATHSAT_LOCUS28607 transcript:rna-LATHSAT_LOCUS28607 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTDTPTIAEVDMGSDFNELTVRATVVQASTVFYDTPATLDKAERLLAEAASYGSQLVVFPEAFIGGYPRGSSFDVTIGRRTDKGREEFRKYRLAAIDVPGPEVDRLAAMAGKYKVHLVMGVIERDGYTLYCTVLFFDSQGHYLGKHRKIMPTAIERVIWGFGDGSTIPVFETPIGKIGAAICWENKMPLLRTAMYAKGVEIYCAPTADFRDLWQASMKHIAHEGGCFVLSVNQFCRRKDYPPAPEYVFQGLEDDLTPDSVVCAGGSVIISPSGAVLAGPNYEGEALISADLDFGEIARAKFDFDVVGHYARPEVLSLIVKDHPTNPVTFASTATKTEEKTK >CAK8536828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24900033:24900470:1 gene:gene-LATHSAT_LOCUS6158 transcript:rna-LATHSAT_LOCUS6158 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWKSIQFSKEEEEGIKAEVEEVCEGEILQRTLAGKQWTNNSFNSRAFISTMTGTWKLRNPVEVQDLNKNLFLFRLATKRDLKCVLKNGPWSFDKYILILSCIFGEEQPFEHALWDFMGANLRASSYASLRSHGKKIGRHLRRI >CAK8534997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801273081:801273374:1 gene:gene-LATHSAT_LOCUS4484 transcript:rna-LATHSAT_LOCUS4484 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSFEYEQGVMEFLELAESNAKKILAPPKSDAEKSLHLLFLCPCVRCANHEPKLNKKEIMNHLIYLSKLYTMDMARGGSSKVKCVPKR >CAK8571802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:476069098:476069658:-1 gene:gene-LATHSAT_LOCUS24431 transcript:rna-LATHSAT_LOCUS24431 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSYLQEDSKSSSDENIDRSSDQNHDDDHDHDMGIGRSYECVFCKRGFTTAQALGGHMNIHRKDRANNNKSVTKTNFIPPSSSNHHHDNYGDLGFYSTINPTHGYYSSSISSKTTPEVDSLNYHQLYFPSHHVQYSEMLCVENQRMFGQDWRGLSLYTNPLIKDKIENNNEVDELDLELRLGHYP >CAK8564972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19782684:19783449:-1 gene:gene-LATHSAT_LOCUS18219 transcript:rna-LATHSAT_LOCUS18219 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTLKDAQDLIHALWSAYSATPTNLKIIDHYVVFAVFTALIQVVYMALVGTFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDFAPERAFADFVFSNVVLHLVIMNFLG >CAK8561114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97047637:97049571:-1 gene:gene-LATHSAT_LOCUS14733 transcript:rna-LATHSAT_LOCUS14733 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGYIIRRAFSCRNLFRIPTSALHVCENSPVKLCISDASARVFTLPTVPSFISESRRSFAKGRKSRDEGGVSTVEVPSNDDPTIKASAASQMESAMAALSVELSKLRTGRASAGMLDHIIVETGGVKMPLNRIALVSVLDQKTLSVNPYDPETLKQLENAIVSSPLGLNPKTDGERLIAVIPPLTKEHVQAMNKLVTKSCEDTRQSIRRARQKAMDAIKKLNSSLPKDDIKRLEKEVDDLTKKSIKTAEDICKAKEKEISQG >CAK8560509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22877366:22877893:-1 gene:gene-LATHSAT_LOCUS14174 transcript:rna-LATHSAT_LOCUS14174 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLLPFFATFMGVMIILFLNLKDVSGEDLELDSAKCRSRAWPPPQCPPNNLFNKKAFACTDNFIGRCVPHVHDACCDCFCKHYGSSKGGFCKTLRWKQPSPHNFCHCYC >CAK8563661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623138668:623139662:-1 gene:gene-LATHSAT_LOCUS17046 transcript:rna-LATHSAT_LOCUS17046 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFEPRFDFEEGWNYVQKRINKLLNNLEALPDTHFSSEDNIMLYTNVFNICTGAQSICVYEKYKEVIYDCIKSTVLPSLQEKKDELLLRELLKRWSNHKTLTNRLSKFFLYLETHHLKTHSLQETSFLSFYDLVYDKLNRQVKDAILAMIDRKLVGETIDETLFNNILVFYSEIGEKTRKEEPKQFAETMMMKANGTFYNDDES >CAK8561026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:78651065:78653230:-1 gene:gene-LATHSAT_LOCUS14654 transcript:rna-LATHSAT_LOCUS14654 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTVITEHSFSSLLEFASNNDIEGFKLLLDKDGASSINEAGIWYGRQNGSKKIVMDYKTPLMVAAAYGSIDVMKLILSYPEADVNFACGVNKSTALHCAASGGSVNVVDAVRFLITAGADVSYVDANGNLPVDVIVVPPMLQSMKAVLEELLLDNGFDNGSVGEFFGPVSVDASSLGSLENGMPLPYSPSVSPPSPVADLKFTEKKEYIVDPSIPDINNSIYASDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHIAEELRPLYPSTGSAVPSPRSSGSALNVMDMAAAMNLLPGSPSSVSSMSPHFAQPMPPSGNGISFSSAAWGQQNVPALHLPGNNLQSSRLRSSFSARDIPPEDFSVLSDFDGQHHLMNDLSCFPQPHPGSCRSKALTPSNLEELFSAEILSSPRYSDPPMPSVFSPSHKSAAMNQFKQHQNMLSPINTNMLCPKNVEHPLLQASFGVPSLGRMSPRNVEPISPMSPRMSAFAHREKQQLRSISSRDLGPVSIEPMSPMSPRVSTFVHHEKQQQQLRSISSRDLGSNIPALVNGSPTWSKWGSPNGLADWSVNGDGLSCSMRSASSFEHKINGEQEPNLSWVQSLVKDSSPEMIKEKFASSGPIASGDELNSNSQIESIDHSVLGAWLEQMKLDRLVV >CAK8543352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602610826:602611980:1 gene:gene-LATHSAT_LOCUS12114 transcript:rna-LATHSAT_LOCUS12114 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTSFPPSIRKSENDTVKRVPFEKPPFSLGQIKKAIPPHCFKRSVIHSFSYVVYDLTIAFCLYYIATHYFQNLPRPLSFLAWPAYWAVQGCVLTGVWVVAHECGHHAFSDYQWLDDTVGLVLHSALLVPYFSWKYSHRRHHSNTGSLEKDEVFVPKQKSSIYWYSKYLSNNPLGRILTITITLTLGWPLYLALNVSGRPYKGFACHFNPHGPIYSDRERLQIYVSDAAILAVCFGLYHLALAKGLAWVVCVYGVPLLVVNGFLVLITFLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYRLDETPFYKALWREARECIYVEPDQGTEKKGVFWYNNKW >CAK8576631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499182246:499185743:-1 gene:gene-LATHSAT_LOCUS28805 transcript:rna-LATHSAT_LOCUS28805 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAVLKQFGGGGYGGSDNMVTDERELHLHQKLEKLYISTRAGKHYQRDLVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGGTLCRAALSYARARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQDAEAQAIEVSKRQAKVREMPGNSENAMKLEAAEAKLQDLKTNMTILGKEAAAALAAVEAQQQRLTLQRLIAMVEAERAYHQVVIQTLDQLEGEMISERQRIEAPPTPSVDNNMPPPPPYEEVNDVYASQTHNGSTDSMGYFLGEVLFPYSAVSEVELNLSVGDYIVIRKVTNNGWAEGECKGRAGWFPFSYIERRERVLASKVSEVF >CAK8530615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35685575:35687194:-1 gene:gene-LATHSAT_LOCUS467 transcript:rna-LATHSAT_LOCUS467 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKYLDELSFKWSEDAKDHFKNSQSEMDILGKLQPCKILKRLIIDGYMGTRFPKWVGDPSYHNLTELSLYRCHNCCILPPLGQLRSLKDLEIRAMSMLETIGSEYGDSFSGILFPSLERLEFREMPCWEVWHHSHDSDVSFPVLKSLAIIDCPRLHGGFPSHLPVVETIKIERCNQVDSSLPRAPAIRKLDIIESNKVALHELPISLEELRIQGREVTQSVFEAIAISLTSLQILEIRDCSSAISFPGDCLPLSLKTLSITNSSNLKFPKQNHQHESLQSLRIDGSCDSLTTLPLDILPNLIYLRIHNCRNIECLSASKILPNLIDIEIRACPKFVSFPREGLSAPNLTWLLVSSCLNLKSLPCHINTLLPKLKDMYIYDCPEMETFPEGGMPPSLRSLHVEDCKKLLRNPSLSFFDMLSSLSIGDLYDAVESFPNNGFALLPPSLTNLHLSRMYCLHTLDCRGLLHLKSLKQLTIGSCPKLENMAGERLPASLIELYINRCPLLGERSLKKHSQIWPKISHIQYINVDSKWIYGAQT >CAK8539906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530922944:530925117:1 gene:gene-LATHSAT_LOCUS8962 transcript:rna-LATHSAT_LOCUS8962 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHTMSFRGHTSLFIFLFGFVGLFSFPVDAAIKKYQFDIQMKNVSRICHAKPIVTVNGRYPGPTIYAREGDRVQINVTNHVNYNISIHWHGLKQYRNGWADGPAYITQCPIQTGGSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKLGTPFPFPQPAREFEIVLGEWWHKDVEEIEKQGNQMGLPPNMSDAHTINGKPGPLFPCSEKHTYAMEVEKGKTYLLRIINAALNDELFLSIAGHNMTVVEVDAVYTKPFTTQSILLGPGQTTNVLVKANQLPSRYFMATRTFMDAPVSVDNKTATAIFQYKGVSNTIIPSFPQLPNANDTNFALNYSKKLKSLNSAKYPANVPLKVDRNLFYIIGLGMNSCPTCINGTRLVASLNNVTFVMPKTALLQAHHFDIKGVFRTDFPDKPLSAFNYTGAPLTANLGTSTGTRVSKIVFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGVGNFNPAKDPAKYNLVDPMERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEDGPGKDQSVLPPPKDLPKC >CAK8562089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439627252:439628070:1 gene:gene-LATHSAT_LOCUS15616 transcript:rna-LATHSAT_LOCUS15616 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSPSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQKKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVEHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGYLNGQLSVTRAIGDWHMEGMKGKDGGPLNATFTT >CAK8533784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659811859:659812317:-1 gene:gene-LATHSAT_LOCUS3382 transcript:rna-LATHSAT_LOCUS3382 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYSNHENGRIWIHWDDNRRNMALLESTNQLIHCKVNDVNGNFLFWMTAIYAQNQLQRRKELWRDIEKINAQQNGPWILVGDYKNVMKTEERIGGNDVTEHEYIDLIEMMSKTGLYEKDSGGDYFTWSNKQGDNAIYSRIDHVICNVEWLQ >CAK8563838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633891327:633892752:1 gene:gene-LATHSAT_LOCUS17207 transcript:rna-LATHSAT_LOCUS17207 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEEELSMPMPRDAKIIESLLKSMGVEEYEPRVIHKFLELWYRYVVDVLTDAQVYSEHASKSAIDVDDVKLAIQSQANFSFSQPPPREVLLELAKIRNKIPLPKSLAPGVPLPPDQDTLISPNYQFAFPNKRSAEPIEETEEEEAANADPNPSSQEEKTDTQQNPSQRVSFPLPKRQKD >CAK8537544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:391288698:391289171:-1 gene:gene-LATHSAT_LOCUS6833 transcript:rna-LATHSAT_LOCUS6833 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRSQAFTEKENDTIGRIKPNGRCKKHPKHNQSPGVCSLCLCEKLIQLSSLSTHRKALVLANDNSSNSSSSFSSSYVSSLSSSSVSSLASPLHCFCFNSDGKSSSLSIFLVHGQNEIVKNKSFGRRKCEDGVKHGNKRCGFWFNLFHAKRKRIEG >CAK8577024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532215172:532216723:-1 gene:gene-LATHSAT_LOCUS29168 transcript:rna-LATHSAT_LOCUS29168 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPDVNDRFLALSRAGPNDFYFTVASSSLLVLCDVRKPLMPILQWKHNIDEPCYMNVLSLSMLRSHSKVDNFKLASEMGFCIILGSFWNSEFNIFCYGPTFPFRKGSITSKFSKISTTFCAWEPPSKINSSSRECLCGICLFMEELSKDALLKWIDWQLKKEMVLGFDIVSNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSPDGKLEDCRKTRARVKILDGPPGTAERAVNLVMVSAKEEPDRPIPPAVEGLLRVHKQVCNADRDPADSASGAGRPGATRLLVADTQAGSLIGKQGATIKTFQDATGCSIRILGSEHLPIFALRDDSIVEIQGESDGVHKAVELIALHLRKFLVDRSIVGVFETQMQRPDVHVNHNVPPHQSWGPSQGFSAPGGGAPAYSPNPQYMPPQHNYDNQYPTPKQQPARTSLLQTRQALHNRTARQNLRTAANT >CAK8574323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675203040:675203737:-1 gene:gene-LATHSAT_LOCUS26678 transcript:rna-LATHSAT_LOCUS26678 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIGNLYDSVENMSENYMEMGQTKEVLLNPRAPSEISGLLPANDDDTNNNHEAGGTLFYKCPSNCTFDVTCDSTTPCSNCKRPMNSLTRYVGKKVVDDNTLSQNGFVKDVITFMVMDDLVIQPMSTISSITMLNKFNVKEVGTLQEKIVEMGMDEGIKLLKASLQSKTVLTSVFIKKDT >CAK8574669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5919567:5920724:1 gene:gene-LATHSAT_LOCUS26994 transcript:rna-LATHSAT_LOCUS26994 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNLPFDVLSSIFSFLSPDSLARARSSCKNWHTCGTFITQYKPHENHTQPWFLALPIRNYQKPFCYAQNPITNNWHEISLDFIPTQTTLKPVAPIGSFVLFKVTNSTTLQLVLSNPFTRQFKYLPKLNVSRTNPACGIVTIKEGNDVCYFPRFRIYVAGGMSEAKHGGATAYETTVEMYDSCVDTWEIVGSVAVEFSVRLTVWTPNENVFVEGTGTRTRTTTLYWITSARAYSVMGFDVGDNCWKEFGVPHADKLESATLVRWNGGLGLVGGTCGGSVCVWEMSEEDDEKWCLVDEMPFELGLRLLGGKRNWEGVKCVSDDDAICLYRELGNGMVICRKVGGVMGRWEWVWVDGCGYVKGKKVVNCLIRGVLVHPSLACSSIFF >CAK8566896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470955681:470956346:-1 gene:gene-LATHSAT_LOCUS19995 transcript:rna-LATHSAT_LOCUS19995 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSCATTKTSLKFHSCLKYQQQRPNTSVTFVRCESKDSSDENGLLEKRTKLDKGSPVIIIEAPKMIKTAASVPCLRVNSGLVKPGDVGRIVSRKPKDVWAVRLSIGTYLIDGKYFKPLDLA >CAK8561965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418877273:418877725:1 gene:gene-LATHSAT_LOCUS15507 transcript:rna-LATHSAT_LOCUS15507 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFWRDKFKVKWPLESDRNTTFFHRISKIKQKFRPISMLMNGDADFKMVEDTIPSIVDYTTNHILTSISSLDEISTAVFNLNKSSAFGPYGFGAVFFQTYWDIIKHDVSNAVLQYWLGAA >CAK8577645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580926606:580927991:-1 gene:gene-LATHSAT_LOCUS29733 transcript:rna-LATHSAT_LOCUS29733 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYYKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKILRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWAREFDGGQCWGHMTSNLAEAINSILKAIRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIQKDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRTKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVVGPSNRPNR >CAK8536597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1663048:1665267:-1 gene:gene-LATHSAT_LOCUS5952 transcript:rna-LATHSAT_LOCUS5952 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLACFVLFLSLTGYSSGAMYCVCKDGVGDQNLQRAIDYACGAGADCSPILQSGSCFQPNTVKDHCSYAVNSYFQKKGQVQGSCDFSGSAMTSPTPPTTSTTTCVFPSSGNAGGGTGTTPGTNTPVGTAPPSTITPTTPAGTTPGTGTGTGTGTGTPTGTGTPTGTGTGTGTGTGTGTGSTTGGPNVFGMSPTSSTGNGSGFTDPNGVVQLKKCSYVLLLSLVFTLWLVTLRD >CAK8538837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497527164:497527553:1 gene:gene-LATHSAT_LOCUS7993 transcript:rna-LATHSAT_LOCUS7993 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRFECVIHHGGGFGEYNKYGYNGLEEIWHVDPDFWSYFEILGGLKNLGYLKVESLWYYDAIDDNELVMLQGDAGENRMKTIALINGNVHLYVMHLVYGEEQILPLETNVGPNGENNVGPNTVEDDG >CAK8579128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677967393:677968788:-1 gene:gene-LATHSAT_LOCUS31096 transcript:rna-LATHSAT_LOCUS31096 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICNILNVALPPLSLIILPIIMLPYLFFKLLIHVKKLVYKENMARKVVLITGAASGIGEHLAYEYARKGAKLSLVDIREENLVEVAEMARSLGSPDVITIGADVSDIHDSKRFVDETVNHFRQLDHLVNNAGIGGTPKSIEDCSDITKYNPIIDINFWGAVHGTLHAIPHLKKSKGRIVVIASTCGWYPLPRFSVYNASKAAVISFFETLRIEFGWSIGITIVTPGFIKTKLASKVYEDEPSFRIIPMGSAIDCAKDIVKSACRGDMYVTNPSWVKVLFPFKMLYPELVDWVQQHIFGVLPNPSCKSNQVLKTD >CAK8576309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:452695893:452696946:-1 gene:gene-LATHSAT_LOCUS28507 transcript:rna-LATHSAT_LOCUS28507 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVNCNEILVGDPSEIKRYEAWLMARQKPSGDFTSEATNLVAYMIGDLVEKSTQDDIVFEGREDVLTIALGTKERPGRIRTAPRGVDFKKFFGKNSRPTSGGVSQDDLLALEKKLNADFQ >CAK8578737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650610174:650612931:-1 gene:gene-LATHSAT_LOCUS30723 transcript:rna-LATHSAT_LOCUS30723 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVPQKMQSRAIRHIFSASDDMVMTKQIRATHAPIEEHVDVRPLLNVVQDIFLHANSLIPDYVQDMKDTTKESDLFEMLEISYHSINKISCEISCKCLLSGGDAHATTIGILGMLSSYSWDAKVVIALAAFAANLGEFWLVAQLYATNRLAKSVALLKHIHETLNQVDDLGPKFESVNKLLKAMLDVANCIVEFHELPSEYIDHEAPETLTASTLIPSAVYWTIRSIVACASHILGIIGLGQGYMTSTIETWELSSLTNKLENMNGHLQKLLTICRQHLDDNKQREVFETLHHLFETSHQDNIKVLKALIHCKGDPLPLFDGSTKQRVSIDVLRKKIVLLYITDLHHISDQELVIFEQMYQESRQDSTRLESQYELVWIPVVEKGAWTETKQKFERLQSMMPWYSVYDPSLLEAATIRYIKEVWFFNTKPMLVVLDPQGKLVNLNAIHMMWIWGSMAYPFSSLREEALWKEETWGLALLADTIDPLLFDWVSAGKYICLYGGDDMDWIRKFTSAAKSLARTLQIPLEMMYVGKNNPGQKLRKINKTIHEENLSSILADSTIIWFFWVRLESMWHSKLQQGKTVESDQIMMEIMRILSYDSSDQGWAVISLGTIKMTQGKGDSFLKCLNEFDEWKDNVNDKGVLPAMDEYIQGIQQPHHCNRLILPGVDGTVPDKIVCAECGKPMEKFYMYRCCNE >CAK8576244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:434396034:434396918:1 gene:gene-LATHSAT_LOCUS28450 transcript:rna-LATHSAT_LOCUS28450 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDSDSESDVRSITDSDVLHEIDNFIHRAEVQAYTYVLHAFIYKANDIDQEKQDLIISLISELKISKDEHNEVLAEIKDDEMIHLVRINHCPVYLQCNLCRILLWNLQKQHLKVH >CAK8576221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:428618049:428619857:1 gene:gene-LATHSAT_LOCUS28427 transcript:rna-LATHSAT_LOCUS28427 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSKIQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHVAQRFNLFEAKPVDMKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKQFSPKIKLSLFFLLVGVGIASITDLQLNFVGTILSLLAIITTCVGQILTNTIQKKLNVTSTQLLYQSAPFQAAILFVSGPFVDQMLTKQNVFAYKYSPIVLTFIIMSCVIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTERNIIGILVAVFGMGLYSYFCTQESKKKLLVDPPLSTQVKDKDISPVLNGNQEKEGLESKKLSKDSLV >CAK8573960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650445927:650446883:1 gene:gene-LATHSAT_LOCUS26353 transcript:rna-LATHSAT_LOCUS26353 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRFMQLVEEKKKKIMEKKEAPLKWEQKLEAASRAKADAEAKEKKLKAAKHKKRSSSDTESDHDTDDESKRASKRSHRKHKKHSHIESGDREKRKEKSSKRKTKKRSSESSDFSSDDSVSSSEEERRRKKRQRKKIRDQGSRSDSSGSDSYDDEANKRKRRHKRRHPSKFSDTDFSGDEGDFPDQKRGHGKHYKRRRHSESSESDLSSGESDDIHRKKSHRRHHKHHRRSHNTEVRSSDSDYHGHGGKYRSYEKSSDENSEEESRRTVHKRSGHHHHHHHHFNKYEACLDDERNHSLHLSPKTNGKYEEEFAKTGA >CAK8531477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:113724226:113726754:1 gene:gene-LATHSAT_LOCUS1266 transcript:rna-LATHSAT_LOCUS1266 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFSRARSQPRAARSMSLGGMDYVDPKRKGNFIGKVCLVAALTTLCILVIKKSPSFTSPSPFSIHEPGVTHVLVTGGAGYIGSHATLRLLTDSYRVTIVDNLSRGNLGAVRVLQSLFPESGRLQFIHADLGDAKSVDKIFSENKFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLLVLEAMAKYNVKTFIYSSTCATYGEPDKMPITEVTEQKPINPYGKAKKMAEDIILDFSKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITPGLKVTGTDYKTADGTCIRDYIDVTDLVDAHVKALQKATPSKVGIYNVGTGKGRSVKEFVSACKKATGVDIKVDFLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLEKSIAVAWKWQKSRPNGYGSS >CAK8574134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664365426:664366004:1 gene:gene-LATHSAT_LOCUS26508 transcript:rna-LATHSAT_LOCUS26508 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDYQMTNVIVGDNFENMLLRELRDLLLLHGKLIKNYDLPMLTTEINEVGGVPTIIQEELSVQVPNEDVQSVVKLNNNQMSAYNIIINAIHQKQCRFFVVDGPGGTGKTFLYRTIMANLRRNNEIVLATASSGIAATLLPGGRTAHSRFGIPIDIEPHSICKIAKNSDLAKLIRITHAIIWDEAPMINNNV >CAK8560682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35681816:35682259:-1 gene:gene-LATHSAT_LOCUS14339 transcript:rna-LATHSAT_LOCUS14339 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIHEQLEIQRKLQIQIGNQGKHLQMMFEKHKHIGGIKGSPPSNAPSTALLDTTIPSHVDKLQTPSDECGEFKCNTKESFQNASRKEMVHEIDVIDEHESVDDQFSAVLPIKRAKIQ >CAK8574496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:953380:958247:1 gene:gene-LATHSAT_LOCUS26839 transcript:rna-LATHSAT_LOCUS26839 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSISSFYTLTTALPSFRFKVSAMVSTTTRVIPAVIVGGGRVGKALQDMGLGQDILVKRGESIPLDFEGPILVCTRNDDLDSVLQSTPLSRWNDLVFFQNGMLEPWLESKGLKEGNQVLAYFAVSKRGERPIDGKTDTNPEGLTAAYGKWSLAVSERLLAGGLSCKVLEKEAFQKQMLEKLIWICSVMLVGARHGGVSVGIVENEFRSELCSLIAELASAAASEKGLTIEEAMEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKATAQGKQDPCPLHTQWLKELKVV >CAK8574497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:956961:958247:1 gene:gene-LATHSAT_LOCUS26839 transcript:rna-LATHSAT_LOCUS26839-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTTRVIPAVIVGGGRVGKALQDMGLGQDILVKRGESIPLDFEGPILVCTRNDDLDSVLQSTPLSRWNDLVFFQNGMLEPWLESKGLKEGNQVLAYFAVSKRGERPIDGKTDTNPEGLTAAYGKWSLAVSERLLAGGLSCKVLEKEAFQKQMLEKLIWICSVMLVGARHGGVSVGIVENEFRSELCSLIAELASAAASEKGLTIEEAMEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKATAQGKQDPCPLHTQWLKELKVV >CAK8543241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591391874:591396524:-1 gene:gene-LATHSAT_LOCUS12011 transcript:rna-LATHSAT_LOCUS12011 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSMGEAHRRITEYLNRFFDAVTYQNGVSFKSLFSLSSNSHFLLSLADALALFNDANRLINQNDNYSQFSDIIVPLFRSLQHYKQSNFVETYNAFEKTANAFVQEFRNWESAWALEALFVIVYEIRVLAEKADRQLASNGKSPEKLKGAGSLLMKVFGILAGKGAKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYYTGRLEVFNENFPAADQKLSYALKHCNPQHEANIRMILKYLIPVKLSIGILPNHRLLEKYNLLEYGNIVQALKRGDPRLLRRALQDHEDWFLRSGVYLVLEKIELQVFQRLVKKIYIIQKQRDPSRAHQVKLEVIVKALKWLEIDMDVDEVECIMAMLIFKNLVKGYFAHKSKVAVLSKQDPFPKLNGKPVSS >CAK8560946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61706798:61708649:-1 gene:gene-LATHSAT_LOCUS14581 transcript:rna-LATHSAT_LOCUS14581 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSPSMLFAPPNANESFMNEQKQCDDNTSSLPLQITNIPSEFIWPDHEKPCLAPPKLEVPPIDLKAFLSNDPESISNACSKVNHACKKHGFFLVVNHGVDNELIAQAHKLVDEFFCMQLSEKQRAQRKIGEHCGYANSFIGRFSSKLPWKETLSFRYSADESRRTVEDYFVNIMGEDFRQFGIVYQKYCEAMSNLSLGIMELLGMSLGVGKEYFREFFEGNESVMRLNYYPPCKNPDLALGTGPHCDPTSLTILHQDQVEGLQVLVDGIWHSVVPKEDAFVVNIGDTFMALSNGIFKSCLHRAVVNDEIVRKSLAFFLCPNEDKIVTPPKELIDKENPRKYPNFTWPSLLEFTQKHYRADERTLGAFAMWLQEKTTT >CAK8562247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:461302102:461302320:1 gene:gene-LATHSAT_LOCUS15760 transcript:rna-LATHSAT_LOCUS15760 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSWTARQNKLFEQALALYDRETPDRWHNVANVVGKSVQDVKTHYEILKQDVQRIEHGHVPFPRYKTNTNN >CAK8563468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607997688:608003992:-1 gene:gene-LATHSAT_LOCUS16874 transcript:rna-LATHSAT_LOCUS16874 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWLNSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVEEVIGFDETDLYRSWVRASSSRSPQERNTRLENMCWRIWNLARQKKQLESEAVQRVNKRRLERERGRREATADMSEDLSEGERGDPVSDVSAHGGDVSAHGGESVKSRLPRISSADAMETWVNSQKGKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPDVDWSYGEPTEMLAPRNTDEFGDDMGESSGAYIIRIPFGPRNKYIPKEELWPYIPEFVDGAIGHIIQMSKALGEQIGSGHAVWPVAIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRLSSDEINSTYKIMRRIEAEELALDGTEIVITSTRQEIEEQWRLYNGFDPVLERKIRARIRRNVSCYGRYMPRMSVIPPGMEFHHIAPLEGDIETEPEGILDHPAPQDPPIWSEIMRFFSNPRKPVILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSSSVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPMVATKNGGPVDIHRVLDNGLLIDPHDEKSIADALLKLVSNKQLWAKCRQNGLKNIHLFSWPEHCKTYLSKIATCKPRHPQWQRSEDGGESSESEESPGDSLRDIQDLSLNLKFSLDGEKSGDSGNDNSLDPDGSATDRTTKLENAVLSWSKGISKDTRRGGAAEKSGQNSNAGKFPPLRSRNRLFVIAVDCDTTSGLLEMIKVIFEAAGEERAEGSIGFILSTSLTISEIQSFLISGGLSPNDFDAYICNSGSDLYYPSLNSEDRLFVGDLYFHSHIEYRWGGEGLRKTLIRWASSITDKKGEKNEQIVSPAEQLSTDYCYAFNVRKAGMAPPLKELRKLMRIQALRCHPIYCQNGTRLNVIPVLASRSQALRYLYVRWGFELSKMVVFAGECGDTDYEGLVGGLHKSVILKGVGSRAISQLHNNRNYPLSDVMPLDSPNIVQATEGSSSADIQALLEKVGYLKG >CAK8563495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610067186:610069496:-1 gene:gene-LATHSAT_LOCUS16895 transcript:rna-LATHSAT_LOCUS16895 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNKLLGMFLWFCFIGVNGIGNFSSSDKGVVVIHGKAFIGRIDNDFVCATIDWWPPEKCDWEKCSWGHASLLNLDLNNKILLNAVKAFSPLKVRLGGTLQDIVTYGTKDNRESCVPFVLTPKDKFNFGFTHGCLPMQRWDELNSFFQKAGVKVIFGLNALAGRSFTSDAGGVAAVGPWNYANAESLIRYTVAKKYTIHGWEFGNELCGHAIGASVTPDRYASDVIVLKNIVQDVYRGVEPKPLIIAPGGFFDTNWFTEFLNKSRESTNVITHHIYNLGPGVDDHLVEKILDPTFLDGVTNTFRDLKNVLQSSRTLAKAWVGEAGGSYNSGRHLVSDAFVNSFWYLDQLGMSATYGTSTYCRQTLIGGNYGLLNTTTFMPNPDYYSALLWHRLMGSRVLSTTFYGTKKIRTYAHCAKESKGITILFLNLDDSATVNARVTLNYSKKPSPPNARTSQRREYHLTAKDGNLHSQTMLLNGNVLSVDSDGDIPPLNPIYVDSSKPITVGPLSIVFAHIPDAAIQACS >CAK8533846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664973699:664977434:1 gene:gene-LATHSAT_LOCUS3437 transcript:rna-LATHSAT_LOCUS3437 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGQKLVSVNNFIGRQHWEFDPTAGTPQEHHEIEKLRNQFTKNRFSIKQSADLLMRMQLRQENECGPIPAGVRVSEEDKITEFALVTTIRRAISYYSSIQAHDGHWPAESAGPLFFLQPLVMALYITGSLHQVLGPHHKKEIIRYLYNHQNEDGGWGFHIEGHSTMFGSALSYITLRLLGEGPEDGEDRAMDRCRKWILDHGGLVAIPSWGKFWVTVLGVYEWQGCNPLPPEFWFLPKFTPIHPGKMMCLCRLVYMPMSYLYGKKFVGPTTDLIRSLREEMYNQPYHQINWNKARNTIAKEDLYYPHPMIQDILWGFLYHVGEPILNCWPFSKLRQKALEIAINHVRYEDENSRYLCIGSVEKVLCLIARWVEDPNSEAYKLHLARIPDYFWLAEDGLKIQSCGSQTWDAAFAIQAIPACNVSEEYGPTLRKAHDFLKASQVVENPSGEFKEMYRHICKGSWAFSMHDQGWQVSDCTAEGLKAALLLSKMPSDLVGEKLETERFNDAVNVILSLQSSNGGFPAWEPQNAYSWLEKFNPTEFFEDTLIEREYVECTGSAMQALALFTKLHPNHRTKEIQHCLAKAIHYIENTQNPDGSWYGCWGICYTYGTWFAVEGLTACGKNYGNSPSLQKACQFLLSKQLPNGGWGESYLSSQNKVYANIEDKRANLVQTSWALLSLIGAGQAEIDPTPIHHGMKLIINSQMEDGDFPQQELTGVFMRNCTLNYSSYRNIFPIWAFGEYRRQVLSAQTPNAAA >CAK8559936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1992852:1994234:1 gene:gene-LATHSAT_LOCUS13668 transcript:rna-LATHSAT_LOCUS13668 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPPAFRSALSNPNLIKPSSSKPRHFPNKTPSNFPAKSRKSQPQQPQPTKPLSPFKSPNLQDAKTLFNSIVDTSNAPNDFRFHNSLLQSYASISTINDSIAFLRHMTKTHPSFSPEKSTYHILLTQSCKSADSTLSPIHQTLNLMVSDGVSPDKGTVDLAVRSLCSAGRVDDAVELIKELSLKHCVPDIYTFNLLVKNLCKCRTLSSVYSFIEEMRSKFDVKPDLVTYTILIDNVCNTKNLREAMRLVKVLHEEGFKPDCFVYNTIMKGYCMLSRGSEAIEVYNQMKDNGVEPDLVTYNTLIYGLSKSGRVLGAKKLLRVMAEKGHFPDEVTYTSLMNGMCRKGESLAALALLGEMEMKGCSPNSCTYNTLLHGLCKSRLFDKAMELYEMMKSDGLKLDMASYATFVRSLCRVGRIADAFEVFDYAVESKSLTDVAAYTTLESTLKWFKKAKEEGLKV >CAK8571466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:424121478:424122529:1 gene:gene-LATHSAT_LOCUS24129 transcript:rna-LATHSAT_LOCUS24129 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNAKLSPLLYTCSSSLLKNGSFPVETFQTHVCQLNQSPWDVIPFHSNNSIQFEDEDTFKTRDFHRAFDESVASKMETEDADINKPPIENFNTTALEDDNIKKVVNSNGADKGLKSDSIAAGPPRRGRGRPKKAVGSSSNNNNNEFYYYSGFGPLWGKRRGDSDNKNGDNGITLGSSEDKVKVNDGVGVGVNAVPCYSAMEIEGLDYVDDYDYDDYGDDDNGKRRMRKPVKERSLKSLM >CAK8541423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:160641112:160645667:1 gene:gene-LATHSAT_LOCUS10343 transcript:rna-LATHSAT_LOCUS10343 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFAARYLDIFTNYISLYNTVMKLIFLGSSFSIVWYMRYHKIVRRSYDKDQDTFRHYFLVLPCLLLALLINEKFTFKEVVWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGGYRALYILNWIYRYFTEPHFVHWITWVSGLVQTLLYADFFYYYFQSWKNNRKLHLPA >CAK8542157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:481183910:481185208:1 gene:gene-LATHSAT_LOCUS11022 transcript:rna-LATHSAT_LOCUS11022 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQFQREATNKSKTGVVLFEKYEIGKLLGVGASAKVYHATNIETGKNVAVKVMNKKKLVNGGFSANIEREISILTRLHHPNIINLFEVLASKTKIYFIVELASAGELFEEVAKQDKLTEEHARRYFRQLISAVKHCHSHGVFHRDLKLDNLLLDENDNLKVTDFGLSALKSQIGPDGLLHTVCGTPSYVAPEILAKKGYDGAKADIWSCGVVLFTITAGYLPFNDYNITVLYRKIYRGQFRFPKWTSCDLKNLLSRLLDTNPETRISVDEILQHPWFNSGGYKLDRVLVKEPELEEIRTGFKSLNAFDLISFSTGLDMSGLFEDRNGSGLAEWIVSAEKPERIIKRVEEVVKGTTVVVTRMGNFSGAKLEGQEGNLIGLVMVYRLTDEFVVVEMKKRGKGEEPGTQLWKNKLQPLLVELAHKPEEPVSR >CAK8577847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593868501:593869460:1 gene:gene-LATHSAT_LOCUS29916 transcript:rna-LATHSAT_LOCUS29916 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVHLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8544290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676747167:676748549:1 gene:gene-LATHSAT_LOCUS12982 transcript:rna-LATHSAT_LOCUS12982 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETIFIFLFLLYFEYADPAPKCTRSSSFVDFESDFTMVQHQLRGHIKIIDCSFRVSQFDMLSSVDVHWWGALAPDFDNLTTGFIVSDHKLNHTYVNSTFVVQLMANITWDMIPVLTVWDVLSASDFGHILIQNLTSTAESPPESDGEKKVNVSVHTEPTMFDNCKVLSKDFRVRWSLKPKEDIVEIGLEGATGVMNYMAFGWANPNATDAELMVGADVAVTGFKEDGLPFVDDFFITKYSECVKNSEDGTVEGVCPDSIYEGPNRVGLVNDTRLIYGHRSDGVSLVRYRRPLSPVDAKYDHRVDRFANMTVIWALGKIRAPDTVLPYYLAQNHGGLPFESFGHLVLNISRHVNECNGPLDAADKEDQDIIIADAKVPLVVTTGPTLHYPDPPNPAKVIYINKKEAPVLRVERGVPVTFSIQSGHDVALYVTSDPIGGNATLRNLTETIYVGGPEAHGV >CAK8573566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621018711:621021896:-1 gene:gene-LATHSAT_LOCUS26000 transcript:rna-LATHSAT_LOCUS26000 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFCGNFEYDCRESELERLFRRYGKVDRVDMKAGFAFIYMEDERDADAAIRALDRIEFGRKGRRLRVEWTKQERGIRRPAERSKRSSANARPSKTLFVINFDTNHTRTRDLERHFEPYGKIVSVRIRRNFAFVQYESEDDASKALEATNMSKLLDRVISVEFATKDDDVRRNGHSPERGRDRQRDRSLDGRRSPSPYRRERGSPDYGHGSSPYKRQRSSPDYGRGNSRSRSPYRRERGSPAYGRRSISPYRRERDDSVPVHVNSRSPYHKERGRTNRSPSHSLEEGETRKGHGSDLSPEEGERTDPKKGHRFGHSPEEGERIDPRKGHESDHSPYVAVKGSPENGHYQRHSPDTIRKPSPYNDSRGSPRPDAKGNPSPFNDYGGSPNTMPEPRDSPNYGGPESPMHEQSQSQSPPAEE >CAK8560970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65514534:65515284:-1 gene:gene-LATHSAT_LOCUS14603 transcript:rna-LATHSAT_LOCUS14603 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLEDVNKIKTYSWGSATLAHLYRSLCNNSIANSGNWTGCGVLLQAWGWSRMTNLAPIQQNNFEFPFAKRWSSLGMNYDNCPHYSITQYRNLIDHLGQDDFIWRSYLGLEAFHEVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYNPWTNYTKHECRE >CAK8570475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49139394:49140165:-1 gene:gene-LATHSAT_LOCUS23221 transcript:rna-LATHSAT_LOCUS23221 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISPIGWPFEESISHNQNPNHFFKDASDQLFHFHHTENTSTDPSQATSSDLSMVKKLVHNASERDRCKKINNLYSSLRSLLPLSDQMKKLSIPLTISRVLKYIPELQKHVEGLIKRKEEILFRLSPKVDHEVKNHSYNSGSVVSSCRVNDSEVSIQISCYSTVQNVPLSEILLYLENDGFLLLNVSSSETFGGRVFYNLHCQVDERKRIESDILNEKLLSIMEK >CAK8575737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:294065997:294083625:1 gene:gene-LATHSAT_LOCUS27974 transcript:rna-LATHSAT_LOCUS27974 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVAESDHGSAVLSNEEREQIGETPSSSSSGGGSPAEQQPQQQGAAAAAQVQHSPVVGPRLAPSYTVVDAILEKKDDGPGPRCGHTLTAVPAVGEDGTPGYIGPRLILFGGATALEGNSAGSGTPTSAGNAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVGVPGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELVRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAASDVQMGRAPGGYGFVDDRTGQTMSDAAADGAVVLGNPVAPPVNGDMYTDISTENALLQGSRRTSKGVEYLVEASAAEAEAISATLAAAKARQENGGVESPDRDRGAEATPSGKQISSMIKLESAGPNSIASGGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPDSATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVIQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHLEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSSPETSPERQIEDTWMQELNANRPPTPTRGRPPGANDRGSLAWI >CAK8540500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9222225:9230351:1 gene:gene-LATHSAT_LOCUS9501 transcript:rna-LATHSAT_LOCUS9501 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQVAVGVPVYERNGIPPNALIGDPKGIPIQQTIYRDTPAPFNCPHCGHTSLTTVRSKISLAALVGCLMPMMVGVCFLCPSMDCLWHKYHYCPDCQQKVADFEKSDICAVMDPPNWTQESFALARY >CAK8540501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9222225:9222817:1 gene:gene-LATHSAT_LOCUS9501 transcript:rna-LATHSAT_LOCUS9501-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQVAVGVPVYERNGIPPNALIGDPKGIPIQQTIYRDTPAPFNCPHCGHTSLTTVRSKISLAALVGCLMPMMVGVCFLCPSMDCLWHKYHYCPDCQQKVADFEKSDICAVMDPPNWTQESFALARY >CAK8578709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648507477:648512130:-1 gene:gene-LATHSAT_LOCUS30697 transcript:rna-LATHSAT_LOCUS30697 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKERKVNVSGKPKHSLDVNRSNVSKTESRSAATVRRLKMYKVRPVRNSGGKILREEYQSKDLPSTRIKPDRQWFGNTRVVNQKELEFFRDEMAKHSSSNYNVILKGKNLPMSLLNDHQKQSRVHLLDREPFSDAFGPKTKRKRPSLLATDYESLAKKADGSQDAFEEKYDASAEANEADGFRDLVRHNMFDKGQSKRIWGELYKVIDSSDVVVQVIDARDPQGTRCYHLEKHLKENCKHKHLVLLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYHNKDTETDVVLKGVVRVTNLKDAADHIGEVLKRVKKEHLTRAYKIKEWVDENDFLLQLCKSSGKLLKGGEPDLMTAAKMILHDWQRGKIPFFVPPPRQNDVSEAEEEPNVNGIDVDETVDPNQASAAIKAIANVLSSQQQRNVPVQGDLFTENEMKGETTDQLLYTADDTDEDSDSSEQDPSTEVPSETLIPDVAVPTSES >CAK8579185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682514775:682515584:1 gene:gene-LATHSAT_LOCUS31151 transcript:rna-LATHSAT_LOCUS31151 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYNLEYEFNLIRRAIHQNHFIISMDTAFPDVIHSPKIDHRRLQPSDYYRYLKANVDDLKLIQVGLTLSDSKGNLPDFGSNNTYIWEFNFSDFDINHDLYNQDSVDMLRRQGINFERNICHGVDSMRFADLMLSSILVFKELIIWVTFSSAYDFGYLVKILTRMNLPNWLEEFLNIIEVLFGRSVYDMKHMMKFCNSLYGGLEQVATILNVNRAVGKSHQAASDSLLTWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8573420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611317720:611324371:1 gene:gene-LATHSAT_LOCUS25867 transcript:rna-LATHSAT_LOCUS25867 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWSVFCGESDCSKRCSYYDVKFLVDPSTCINHFLISCFDVFFLIVLLFIMMIHKSSLKPYQGVIHRERYSNLQLVSAITNAVLGLVHLFYGIWILEEKLRKNQTALPLDLWLLEFFQGLTWMLVGLTLSLKFKQIPRAWLRFFSILIFLVSGINCAISLFYVIGSIHLSFKVGMDVLSFPGAILLLLCTYKESKCRDTDGEINESLYAPLNGESDKDDSVSRVTLFAKAGFFSRISFWWLNSLMKSGKEKTLQGEDVPKLREEDRAESCYLLFLEILNKQKQKDPSSQPSLLKTMVLCHWREILISGFFAMLKVLALSSGPMLLNSFILVAEGYESFKYQGLVLAITLFFIKIIESLSQRQWYFRSRLIGLKVKSLLTAAIYKKQLRLSNSARLAHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSFQLCISLVILFRAVGLATIASLVVIVITVLCNTPLAKLQHKFQSKLMVAQDERLKATSEALVNMKVLKLYAWETSFKNSIEGLRNEELKWLSAVQLRKAYNTFLFWSSPVLVSAATFGACYFLKVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFARILKFLEAPELQSENIGKRCSEDNTRGSISIKSAEFSWENNNVSNPTLRNVNLEVRPGQKVAICGEVGSGKSTLLAAILREVPIAQGKIDVYGKFAYVSQTAWIQTGSVRDNILFGSPMDVQKYQKTLHRSSLVKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAQTATNLFNEYIMEGLFGKTVLLVTHQVDFLPAFDFVLLMSDGRILQAAPYHHLLTSSKDFQDLVNAHKETAGSDRLVDVTSERNSNSAKEIRKTYVEKEKQFEAPEGDQLIKQEERETGDQGLKPYLTYLNQNNGYIYFSVASISHLIFLIGQILQNSWMAANVDNPKVTTLRLILVYLLIGVTSTVFLLMRSLFTVAMGLQSSKSLFLQLLNSLFRAPMTFYDSTPLGRILSRVSSDLSIVDLDVPFGLLFAVGATSNCYASLTVLAVVTWQVLFVSIPMLYFALRLQRYYFASAKELMRMNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFAKNLDLIDINATPFFHSFAANEWLIQRLETVSAVVLASAALCIAVLPTGTFSSGFIGMALSYGLSLNASLVFSIQNQCNIANYIISVERLNQYMHVPSEAPEVIEGNRPPVNWPVVGKVEIKELKIRYRHDAPLVLRGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDGIDISSIGLHDLRLRFGIIPQDPTLFNGTVRYNLDPLFQHSDQEIWEVLGKCQLQEAVQEKEGGLDSSVVEDGANWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLSISDGKLVEYDEPMKLMKREGSLFGKLVKEYWSHFQSAEFH >CAK8543285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595885637:595886371:1 gene:gene-LATHSAT_LOCUS12052 transcript:rna-LATHSAT_LOCUS12052 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINGGAESGDSNDDELWHYFISKKEAFPFFYKAYSHLRMKNWAVRSGAQYGVDFIVYRHHPALVHSEYGVLVVSHDNDDNLDGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCLENYAIEEHTISRWSPEQCRERSI >CAK8567055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483643031:483644773:1 gene:gene-LATHSAT_LOCUS20143 transcript:rna-LATHSAT_LOCUS20143 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFCSVRDSSQPSSGEIHLFVGPMFAGKTTSLLRRIKSEVDNGRNVAMLKSSKDNRYAVDSVVTHDGIKFPCWALPDLMLFKDKFGHEAYQKLDVIGIDEAQFFEDLYDFCCKAADEDGKIVVVAGLDGDYLRRSFGSVLHIIPIADTVTKLTARCELCGKRAFFTLRKTGEKQTELIGGADLYMPVCRQHYVNGQVVVESTKSVLESQKVKSGSILEEAIVA >CAK8578847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658196370:658197554:-1 gene:gene-LATHSAT_LOCUS30829 transcript:rna-LATHSAT_LOCUS30829 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPAKCRVYFRGVENETKNNILAATSFMEGDLPFRYLGVPLTSKRLSTQHYMSLVDRIVNRIRHWSSKLLSYAGRLQLINSTVTAIAAYWLNCLPFPNHVIKTIESICRSFLWTGSEAKSRKSPIVWKMVCKPLRQGGLNVLDLLEWNTTCLTKLLWNLCNKKDSLWVKWIHTFYLKTTDILQVQEKQGMSWIFKALLRHRTIIFAMEDWNEMKDRYSVGKVYQFLKKDEPDVGWKHTFSNSIARPRALFAMWMACHRRLATRGRLKKLGLTTDDSCKFCNKEEIIDHLLFDCPPFKNCWQQILACLGIHHFPCEWRDELKWLVTHCKGKGWRKCILRSVVAETIYEVWKYRNNVVFGTNVNLEIRDLVISTLANRGWVNSRMRHHIATLFIE >CAK8536205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916858311:916861634:-1 gene:gene-LATHSAT_LOCUS5596 transcript:rna-LATHSAT_LOCUS5596 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDHGGKHRILMVSDFFYPNFGGVENHIYYLSQCLLNLGHKVVIATHAYGNRSGVRYMTGGLKVYYVPWRPFVMQNTFPTIYGLLPIIRTILIRERITVVHGHQAFSTFCHESLMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTSMFTPAVGRSRTLKEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIVGGDGAKRVRLEEMREKHSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPSDMVYAIQKAIYMLPKIDPQDMHNRMRELYDWKDVAKRTEIVYDRALKCSNQNLLERLSRYLVCGAWAGKLFCLVMIVGYLFWQLLELWQPADDIEEVLDFTLPHNRDEVISENAQ >CAK8566689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:451011097:451011670:-1 gene:gene-LATHSAT_LOCUS19801 transcript:rna-LATHSAT_LOCUS19801 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSPINPNPFHFPYATKWSAYEMNYEKTPHHCASGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGNKQDIPGPPTCMDTYHKSAANDQWKFDDWRDHNRQERQQWMDRHRTVL >CAK8542348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502711583:502712134:-1 gene:gene-LATHSAT_LOCUS11193 transcript:rna-LATHSAT_LOCUS11193 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFLLFVTLIITSFVYSEAQSCRPSGRIRGKKAPPGQCNQENDSDCCVQGKMYTTYECSPSVSTYTKAYLTLNSFQKGGDGGGPSECDKQYHSDDTPVVALSTGWFNHESRCLKNISISANGKSVVAMVVDECDSTKGCDKDHDYQPPCPNNIVDASKAVWKALGVPKEQWGGLDITWSDA >CAK8539900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530689242:530690099:1 gene:gene-LATHSAT_LOCUS8956 transcript:rna-LATHSAT_LOCUS8956 gene_biotype:protein_coding transcript_biotype:protein_coding METNISPTTLGYNRDSSSSSPTPPQTTPSQTNTNTLVFNIPPQSSHHNNNLHPDPDLIVTTSTSTIPITPQQTTTKVYYRECLRNHAASMGSHVVDGCGEFMPSGEEGTPQSFKCAACDCHRNFHRKHVQLPQQQQQQQQQHEHQQQSQHVVNNGPVQFNTPSSSSQRFSHPTTSGHVPPLMMTFGSGPAESSSEDLNMLGAQFSIQTQQQQQQVSKKRIRTKFSQLQKDKMMEFAQKIGWKIQKHDEQEVQQFCSQVGIKRQVFKVWMHNNKQAMKKTGNVSLV >CAK8536967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46052583:46053017:1 gene:gene-LATHSAT_LOCUS6289 transcript:rna-LATHSAT_LOCUS6289 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSKMVSHSIRELVNIDASLKVKVIIAHILEKYGYIISYRKAWIAKCMAVESLYGNWETSYNELPQWILVMKTFLPGTVIDLQTLSVISSDGSQISGKRILHRLFWAFRPCTRGFAYCKPIVQVDGTWLYGKYRGTL >CAK8536968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46052616:46053017:1 gene:gene-LATHSAT_LOCUS6289 transcript:rna-LATHSAT_LOCUS6289-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSIRELVNIDASLKVKVIIAHILEKYGYIISYRKAWIAKCMAVESLYGNWETSYNELPQWILVMKTFLPGTVIDLQTLSVISSDGSQISGKRILHRLFWAFRPCTRGFAYCKPIVQVDGTWLYGKYRGTL >CAK8564498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678317027:678320379:1 gene:gene-LATHSAT_LOCUS17799 transcript:rna-LATHSAT_LOCUS17799 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGCLQYHDDDESSSYGCGFIGFRKNQVCDYSFNSEIEEDDDDLFEINLKKEEPLDSTKEEGANSTGSSLDIHNDKLGDVVYVAVRIDDEGSSMEALSWALKHSVIPSITTISLLHVFPQIKQIPSPLGKIPRSHVNQEYVDVYLAQEKSKRRRLLQKFIDLCTDSKVKVEVLLIESDNVVKTIVDLVRNLNIMKLVIGIPSSNLRKNGSRRKNSIADMVLKSVEEKCDVRIICEGREVFYQIINECTSSQHDDVDGFVRVKRFMTNPFWLFRSRYTSS >CAK8578201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612162833:612163192:-1 gene:gene-LATHSAT_LOCUS30234 transcript:rna-LATHSAT_LOCUS30234 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSSENNTSTTMKMKDESVSVTMATKSVAATRSSPCCCSMTKLMRKLLMKRSRSRIRQGSFQCCYDPLSYSLNFDTSDCGSLLDEDYYYKFCAFSSRFVANPTTSCHVLQVASRNSQ >CAK8538063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461150506:461153683:-1 gene:gene-LATHSAT_LOCUS7303 transcript:rna-LATHSAT_LOCUS7303 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNIIVLNPLTFSFFLFIITLFSHSQNDDASIMLSLKKAINPPVSLGWSDPDPCKWTHVSCSDDNRVTRIQIGRQNLRGTLPLNLHNLTELQHLELQFNNFSGPLPSLNGLNSLQVFMASSNGFTVFPGDFFAGMSQLVSVEIDDNPFEPWEIPVSLKDASSLQNFSANNANVKGKLPDFFGDDVFPVLTLLHLAFNSLEGVLPKSFSGLKVESLWLNGQKSDGKLTGSVEVLQNMTSLTEVWLHSNAFNGPLPGFEGLKDLAVLSLRDNSFTGVVPSSLVSLKSLKVVNLTNNLFQGPVPVFGAGVEVDNVKDSNSFCLSSPGDCDARVQVLLSIVGAMGYPLKFAESWKGNDPCVNWIGITCSDGNISVVNFQKMGLSGVISPEFAKLKSLQRLILSDNNITGLIPNELTTLPMLTQLNVANNHLYGKTPSFKRNVVVTTSGNIDMGKDKSSLSPQGSLSPNGTDANVGNGGSSGNGGKKPSRVGLIVFAVVGAVFVASLIGFFVFCLLRMKQKKLSRVQSPNALVIHPRHSGSDNESVKITVAGSSVSVGGVSEGHAVPNSEMGDIQMVEAGNMVISIQVLRSVTNNFSEKNILGQGGFGTVYKGELHDGTRIAVKRMECGAIAGKGASEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRYIFNWPEEGLEPLAWNRRLIIALDVARGVEYLHSLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEDSMHLVAWFRRMYLDKDSFRKSIDPTIDINEETLASIHTVAELAGHCSAREPYQRPDMGHAVNVLSSLVEQWKPSDSNSEDIYGIDLDLSLPQALKKWQAYEGASQLESTSSSSLLPSLDNTQTSIPTRPYGFADSFTSADGR >CAK8534750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763473073:763474314:-1 gene:gene-LATHSAT_LOCUS4266 transcript:rna-LATHSAT_LOCUS4266 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTVTSDLAENSPIYDRKSELKIFDDSKTGVQGLIEKGVTKVPRMFHCKQSTLNDVSINESNPNLSIPTIDLTGIHDDPFLRDEVVRKVQNASEKWGFFQVINHGIPVHVLDEMIKGTCRFHQQDANVRKEYYTRDLTEKVVYLSNFTLYQDQSADWRDSLAIFLKPHPPKAEELPQVCSDIVTEYAKEVTALGSCLFEILSEALGLNRLHLKEMGGAEKFFLVCHYYPPCPEPELTIGTTKHTDSSFMTILLQDHVGGLQVLHDNHWLDVTPIHGALIVNIGDLLKLVSNDKFRSVQHRVLAKHVGPRISIASLFRAHETEGMPKVIGPIKELLSKENPPIYRDTSLKEFLTHRFTNGIAFSALSITKL >CAK8542123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475131291:475137701:1 gene:gene-LATHSAT_LOCUS10991 transcript:rna-LATHSAT_LOCUS10991 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQPLLSEPDLVPPVIHHRRRSGSRTTFFFDEAAVTPPTADNHQEVVFATDCSLHPAFCNNTATNNSSSVSISAPPLTEFQNPNSRFPLECPTRERGHLRSWGAMELHDANTVPFEISLSSSTPAGTSTRRIRHKSVQFDEQILHDDSARLIYINDPKKTNDKYEFTGNEIRTSRYTFITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDNNENNRESLVLQSGDFRSMIWKRIQAGEVVKIFADETIPADMVLLGTSDPSGLAYIQTMNLDGESNLKTRYAKQETTSMVSSEVCDVSGIIRCEQPNRNIYEFTANMEFNGIKFSLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAASPSKRSRLEGYMNRETLWLSIFLFIMCMVVAIGMCLWLVRHKNQLDTLPYYRKTYLNNGPDKGKKYKYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDMDMYDSSSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASVHGKNYGNSLVTTDNSSAATAVIPKQRWKLKSEIAVDPKLMTMLHRNSNRDERIAAHEFFLTLAACNTVIPILTDGGISGCGTSESNGYVECIDYQGESPDEQALVSAASAYGYTLFERTSGHIVIDINGEKLRLDVLGLHEFDSVRKRMSVVIRFPDNVVKVLVKGADTSMFSILANGSESNSSLLNATQSHLSEYSSQGLRTLVVASRSLSDAELEEWQSRYGEASTALTDRATKLRHTAALIECNLNLLGATGIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLSADMQQIVINGTSEEECKNLLGDAIAKYAVRCSSKGHRHLKHKTNAEHDALDIPNCSESPSLPKWNLGKEEGTDTPLALIIDGNSLVYILEKELESELFDLAISCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFQFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVLYTSVPTIFVGILDKDLSHRTLLQYPKLYSTGYRQEAYNMQLFWITMLDTVWQSLVLFYAPLFTYKDSSIDIWCMGSLWTIAVVILVNVHLAMDINRWLLITHIAVWGSVVITYGCMVILDSIPVFPNYWTIYHLATSPTYWITILLIIIVALLPRFICKVFSQIFWPSDIQIAREAELMRKRHDRLQSRHQGSS >CAK8542122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475131291:475137701:1 gene:gene-LATHSAT_LOCUS10991 transcript:rna-LATHSAT_LOCUS10991-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQPLLSEPDLVPPVIHHRRRSGSRTTFFFDEAAVTPPTADNHQEVVFATDCSLHPAFCNNTATNNSSSVSISAPPLTEFQNPNSRFPLECPTRERGHLRSWGAMELHDANTVPFEISLSSSTPAGTSTRRIRHKSVQFDEQILHDDSARLIYINDPKKTNDKYEFTGNEIRTSRYTFITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDNNENNRESLVLQSGDFRSMIWKRIQAGEVVKIFADETIPADMVLLGTSDPSGLAYIQTMNLDGESNLKTRYAKQETTSMVSSEVCDVSGIIRCEQPNRNIYEFTANMEFNGIKFSLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAASPSKRSRLEGYMNRETLWLSIFLFIMCMVVAIGMCLWLVRHKNQLDTLPYYRKTYLNNGPDKGKKYKYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDMDMYDSSSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASVHGKNYGNSLVTTDNSSATAVIPKQRWKLKSEIAVDPKLMTMLHRNSNRDERIAAHEFFLTLAACNTVIPILTDGGISGCGTSESNGYVECIDYQGESPDEQALVSAASAYGYTLFERTSGHIVIDINGEKLRLDVLGLHEFDSVRKRMSVVIRFPDNVVKVLVKGADTSMFSILANGSESNSSLLNATQSHLSEYSSQGLRTLVVASRSLSDAELEEWQSRYGEASTALTDRATKLRHTAALIECNLNLLGATGIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLSADMQQIVINGTSEEECKNLLGDAIAKYAVRCSSKGHRHLKHKTNAEHDALDIPNCSESPSLPKWNLGKEEGTDTPLALIIDGNSLVYILEKELESELFDLAISCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFQFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVLYTSVPTIFVGILDKDLSHRTLLQYPKLYSTGYRQEAYNMQLFWITMLDTVWQSLVLFYAPLFTYKDSSIDIWCMGSLWTIAVVILVNVHLAMDINRWLLITHIAVWGSVVITYGCMVILDSIPVFPNYWTIYHLATSPTYWITILLIIIVALLPRFICKVFSQIFWPSDIQIAREAELMRKRHDRLQSRHQGSS >CAK8575756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:309363429:309363800:-1 gene:gene-LATHSAT_LOCUS27992 transcript:rna-LATHSAT_LOCUS27992 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYKEYDPFIMTHNVRQVYYVPYPSIQPSKRGWCVIIKSNPMGYIKSDGLMEDDIAYQDDEISPVNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEKEEESGDSEDDNIVSDEDNDD >CAK8537086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78503678:78506693:-1 gene:gene-LATHSAT_LOCUS6402 transcript:rna-LATHSAT_LOCUS6402 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPVPLFPETNKIRLSHSPHLLSSSNFRYNFSAKCSFENHRNSTIEHFSNMNRDSVHGNNSSFSSSLVSASSSASQLNSKNSKKVVLFYSAETKSLAYNIASESDAIELRSISWGKFPDGFPNIFIPNAQGIRGQHVAFLASFSSPAVIFEQIPVIYALPKLFVASFTLVLPFFPTGTSERMEDEGDIATAFTLARLLSNIPISRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKRRLQDLPDSDNISVAFPDDGAWKRFHKQLQHFPTVVCAKVREGDKRIVRIKEGDPKGRHIVIVDDLVQSGGTLIECQKVLAAHGAAKISAYVTHGIFPNKSWERFGHDNGGNPESAFTYFWITDSCPLTVKELMHRAPFEVLSLASSISASLQI >CAK8568967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660035144:660037205:1 gene:gene-LATHSAT_LOCUS21870 transcript:rna-LATHSAT_LOCUS21870 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLCCALPSQPLQLSKHHRRVSNHQSHSFHFNSSNALFRYQRQKFGFEKASKYLKEVGLNKFQRTLVHASDSTVNGALEVESVQSSSVPVNVVEVEPFHGKSGSVSFYGLTHQSIEEKLESAPIKPDESSYFWVWGPVAFISSLILPQFFIGTVVEAYFNGLILKDIVTSISSEALFYIGLATFLGVADRVQRPYLQYSSKTWGLITGLKGYITSAFLTTGLKITVPLLLLYVTWSVVRMAAVVAIAPFVVGCFAQFAFERYLEKRGSSCWPLVPIIFEVYRLYQLTKAATFADKLMYSMKDLPASPEVLERSGTLFGMMVIFQLLGIVCLWSLMTFLLRLFPSRPVAENY >CAK8543266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593893420:593894970:-1 gene:gene-LATHSAT_LOCUS12035 transcript:rna-LATHSAT_LOCUS12035 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLEVLLLLVLLLILPIVLFLTKPNKKQKPLSSPSTNNNNITIPKSYPLIGSYLSFRKNLHRRIQWLTDIVQISPSATFQLDGTLGKRQIITGNPSTVQHILKNQFSNYQKGTSFTHTLSDFLGTGIFNTNGQNWKFQRQVASHEFNTKSIRNFVEHIVDTELTNRFIPILNSSTQTNQILDFQDILQRFTFDNICNIAFGYDPEYLRPSTNISKFAQAYEDATEISSKRFRLPLPIIWKIKKYFNIGSEKRLKEAVAEVRGFAKKLVREKKRELEEKSSLETEDMLSRFLSSGHSDEDFVADIVISFILAGKDTTSAALTWFFWLLWKNPRVEEEIVKEVNAKSESLVYDEVKEMVYTHAALSESMRLYPPVPMDSKEAINDDVLPDGRVVKKGTIVTYHVYAMGRMKSLWGDDWAEFRPERWLEKDEVNGKWVFVGRDSYSYPVFQAGPRICLGKEMAFMQMKRIVAGIVGKFKVVPEAHLAEEPGFISFLSAKMEGGFPVTIQKRDSLEHSH >CAK8571184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:329469059:329473687:-1 gene:gene-LATHSAT_LOCUS23873 transcript:rna-LATHSAT_LOCUS23873 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDSLLKKKNKKLRKRLNSKNDSTSVSAKIAAVIAAKKRRKAGKRRICEGMCYSLPTLDDPFNDRRGKPDFKTRDPEKKTTSQKEKIERVKEKSAPSGKGTVGGSISQKSNRRTGNDANNEMENVKMDRMGDICCGQRDNEISDFPSKFVFWCLTAIENALRHDDAYTEGEGNSFFLDSWGLEFSKCFSTGEDLMDTSGTFATTEQIAWMVSAAADIFVRKEKQGLSLETPFLLFLVPSEKKAGQVRTVCKPLKSLGIHTVSLHPGASLDHQIQGLKSCEPEFLISTPERLLELVSLKAIDISDVSMLVIDGLNAICNAGHADAIKSIKKLISGNPTLVVFNDSNHTSIPVASHLLTGPICRISVNNSIASLSSCIVQSVQVCTSDEDKHVKSIDVLCQFWSSQNHNSNLLYILRKDVKCHKLVKTLKSMGCSTSLESDATTINDSVDPKRRLVTMIDLEDISTADIGMYDAIVLPSFVPTMDTYEHILTNMARQSVNGILHGFLTKRDTEYAGPLISILEQCGQEVPETLKDLHKSSNMSED >CAK8532079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200635781:200636263:-1 gene:gene-LATHSAT_LOCUS1816 transcript:rna-LATHSAT_LOCUS1816 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRWSANSTSANTMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8543472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610206280:610206564:1 gene:gene-LATHSAT_LOCUS12228 transcript:rna-LATHSAT_LOCUS12228 gene_biotype:protein_coding transcript_biotype:protein_coding MHISDVFKVLSVFKVYRESFLGLPHQQNWPTYEGFTLCHDETMRRNKKGCPNSTRNRTEMDNLEKEKRKCEICREIGHMRRKCLNVADPSNRPV >CAK8543631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628076376:628077570:1 gene:gene-LATHSAT_LOCUS12378 transcript:rna-LATHSAT_LOCUS12378-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGFYKRPLPSLAVDFVSDHGKQLFVESIQSGTMECFYRLIPYFQTQSELTYCGLASLAMVLNALAIDPGRKWKGPWRWFDESMLDYSEPLEMVKARGIAFMNLAHLAQCAGAKVDAFYATQSNIDYFRKYVIKCSSSNNCHLISSYHRAALNQTGSGHFSPIGGYHVGKDMILILDVARFKYPPHWIPLAALWEGMNYVVKSTGKSRGFMLISRPHKETLERNS >CAK8543632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628076376:628077570:1 gene:gene-LATHSAT_LOCUS12378 transcript:rna-LATHSAT_LOCUS12378 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGFYKRPLPSLAVDFVSDHGKQLFVESIQSGTMECFYRLIPYFQTQSELTYCGLASLAMVLNALAIDPGRKWKGGPWRWFDESMLDYSEPLEMVKARGIAFMNLAHLAQCAGAKVDAFYATQSNIDYFRKYVIKCSSSNNCHLISSYHRAALNQTGSGHFSPIGGYHVGKDMILILDVARFKYPPHWIPLAALWEGMNYVVKSTGKSRGFMLISRPHKETLERNS >CAK8538831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497297440:497299122:-1 gene:gene-LATHSAT_LOCUS7987 transcript:rna-LATHSAT_LOCUS7987 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEPNKNIFCIYYSRIATLVSSNSHELVSTPTNFLKPCSKTIHHSEPPFHKTQHTNHHPLPMIHFKGWKNPQTKWGDWVETLTAEHAYTWNQTGLCDALLSSLYHFPKNPSLILALVQHWSPKTNTFVFPWGEATITLEDVMILGGFSVLGEPIALPLSSDLLSIEAKLIELRRRMSKTKSKKADHNTWLKFFKEGLEEVERHELFELEHVGFLCLWLSRFVFPSISDSGIDPRVFSIAIHLSQGTRMALAPSVLASIYHNLSLLKEKLVSKDLDLKVNGLFHLVQLWLFERFPILGPSCPHELKKGEPKAARWHKLNSDSERAGFEFILSALESKENFRWRPYVDDLKNWCFVSHYKENEQFVDVEDGSNCDELRCFGMCLCADNIFSLDCVEKYMPYRVAMQFGMDQDVPPGEFTFMSPFYNESFSLYVPAKCYKPCVSLEYHNWWKKSNVDDSDKLEVMMIAKKYCEDEKDASIGSKRKSSSNEENNSSIVVVESKRLRKNEESFKCGFNNDDDDDDDGKGKKQDGVGSYDNNPLDVEDYACTILGASSSHPIII >CAK8532388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255446251:255448437:-1 gene:gene-LATHSAT_LOCUS2099 transcript:rna-LATHSAT_LOCUS2099 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCDIGAFSKLTNAYCMVAIGGSEGFYSVFESELSGVIPVVKTSVAGTRIVGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDKETEEIIADVLGVEVFRQTIAGNILVGSFCALSNQGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIGAGMIVNDWTAFCGSDTTATELSVIENVFKLRDSKPSVIAEEMRKSLIDTYV >CAK8568642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626190392:626195613:-1 gene:gene-LATHSAT_LOCUS21582 transcript:rna-LATHSAT_LOCUS21582 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGMVAGSHKRNELVRIRHDSSDSGPKPLKNLNGQICQICGENVGLTATSDVFVACNECGFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPRVDGDDDEDDGDDIENEFNYGQGNTKSRRQWEDDADLSSSSRRDYQQPIPLLTNGQTMSGEIPCATPDTQSIRTTSGPLGPSEKGHALPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKHEKNMVQMTGRYAEGKGGGGDIEGTGSNGEELQMVDDARQPMSRIVPISSSQLTPYRVVIVLRLIILGFFLQYRVTHPVNDAYPLWLTSVICEIWFALSWILDQFPKWSPINRETYLDRLAIRYDRDGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFEALSETAEFAKKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVGINAYVAKAQKTPEEGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYMLNVDCDHYFNNSKALREAMCFMMDPAYAKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGHDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGNKKYGDKKRGVKRTESTIPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFISATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPLWYGYNGRMRPLMRLAYINTIVYPFTSLPLIAYCTLPAFCLLTNKFIIPEISNFASMWFILLFISIFTTSILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSFAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFITDPNKASANGQCGINC >CAK8541937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:438979172:438980176:1 gene:gene-LATHSAT_LOCUS10824 transcript:rna-LATHSAT_LOCUS10824 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHHLHHHQHQQHQQHQQHHHQQQQQQRQQQQQQHQQHVQNIIGTSSLNMDVTDRFPQWSIQETKEFLMIRSELDQTFMETKRNKQLWEVISNNMKEKGYHRSAEQCKCKWKNLVTRYKGCETMEIEATKQQFPFYNELQAIFSARMQRMLWAEAEGGSKKKGMHASSEEEEELGNEESEGDHKGNIGKKKKKKGKMVEGGSNNLKEILEEFMRQQMQMEAQWMEAFEARENERRMKEMEWRQTMEALENERMMMEQRWREREEQRRVREEARAEKRDALITALLDKLTREDK >CAK8578058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604546416:604549093:-1 gene:gene-LATHSAT_LOCUS30102 transcript:rna-LATHSAT_LOCUS30102 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFFHRYLDYRKPEVESLAELFGAFDDNQNDDVGSKLQWKLPQHHHPDSPFHLVNLPSEQIARNIANRSILVKGMYELWGEGGNYEELKGSIMSYPDERKLPYLDSNSTFRITVDTFGRVITQQEQKELIQGFSYIPFKGKVNLRNPDHNFWLIEIDNSEGSNGLPRVAQKTIFFGREVGGSDRKLIPTYELKSRNYLGPTAMDAEIAFLMANQALATPGKLVYDPFVGTGSILVAAAHYGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLTMPLGLLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGAVEPYIVPDDKRTDHIPSTAPYSLVECVHDLLDLAARMLVIGGRLVFFYPFLREEESPHNHFPEHPCFKLISSSEQILSSRYCRILLTMVKIGPYTEEVNLAARIKHLEFRENHVKWLEEGNLHSAVFSPADTHTEAGDYKIKDQKPKYRGKYV >CAK8544879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710176819:710177199:1 gene:gene-LATHSAT_LOCUS13524 transcript:rna-LATHSAT_LOCUS13524 gene_biotype:protein_coding transcript_biotype:protein_coding MNCITYNIRGGGTSTKRRRIRETINKGKTDICFIQETKRQNMTEEFVKSFWGVDRCEWSATPSIGQSGGLITIWRLDMMKHVSSLCGKGFLGINMVWKELNCHLTNVYSNPYLVCVEPCVFVSNSR >CAK8570717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:95901629:95905014:-1 gene:gene-LATHSAT_LOCUS23450 transcript:rna-LATHSAT_LOCUS23450 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLELIPIGTILAVLTSQVVRTANAAKDVLIDKESFNVLSKHLLDIAPVLKELQLQELNGSLAARVALESLESDVKQASNLVEKYRNSGRFYLLMKCRYIVKEVEQVIKDIGRSLAALSLANTEVLSRISDQVNRLQSEMQRAEFEASQSQLDIVDKLNHGIKEQKLDQAFANDMLEEIARAVGVPMEPSEISKEIASIRWEKEEAANRKERAEVIFLEQIILLLSQADAASDYEEVKKQYFQRVQVIEKYGSREKYIPPLNSFLCSITEAVMVDPVSLCTGTTCERSAIEVWFDDGNMTDPKTKEVLEDTTLRSNIRLRDSIVEWRELNYCFRIKSIRENLLSNSGLLLHESLSQMQALIKENSINKDWISIGELTDIIISILRNSDSIDVMMKILITLKDAVEGHARNKEKVVESQGWGHIISCLHNDSRVIKEAIDLLYELLQDHSGWNRNFCKKLSEHPRIVHYLVTILKEPASDSTGIAEQILTELFEIDEENISCAAKFGWYKALVDRMVQGTESSRISMAKAIINFNLEEENLKFLGEKGVIPPLLEMLSGSIESKELSLSALVKLAGVHANKGIIAAYGGVPILLDLMFSLRTRAFISIKCSEILEKLSSSSDGIGFFIDREGKQLELDNIITNLLSLQQLPNSAQYFRKPALRALLGICKFETGLVKKAVLAAHGVSLILPLLDDSDSEIRETAISLLFLFSQHEPEGVVEYLFRPRRLEALVGFLENDENNDVQVAATGLLANLPKSERKLTMQLIDLGGLDAIIKILKNGTLEAKENALSALFRFTDPTDIKSQRNLVRRGIYPLLVKFLNTGSMTAKARAAAFIGDLSMSSPKLTVVSKSSFCRCFRSSRAPLCSAHGSVCNVNSTFCLLEANALPGLIKLLQEEVHATAYEAIQTLSTLVIEEFPQRGAHVLHESNAMRPLLKILNWGSDSLKSEVLGLLEKVFVSKEMVEYYGSTARLRLFHLTGMNVYGDGHLRRKAARVLSLLERYSRLSSHSVTGLVLSENLRL >CAK8570806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118366745:118367675:1 gene:gene-LATHSAT_LOCUS23527 transcript:rna-LATHSAT_LOCUS23527 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKSSKSKTSTFFLCCFRSNDSSTVNESNQTPKTKRSSWFSWRWIRIKTKSTSKTVPLETSFTNVKTYSKPRSKSSTLHHKSKTPPTNSPPLALPSTVLRPTTPYHSPTQTRHGPKNNAITEDTREQGRSTPTRPKRQERRLSLATPNQTTRKNRQNARGWYDPIVGMSVLVVTLVIMIFWGRFCAILCTSAWLYFIPRFRKSGVVNVNDEEEDKTKLKNDVDLDSEEYKKRVIMEGLLGRNNRGNNN >CAK8569271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690129298:690132550:1 gene:gene-LATHSAT_LOCUS22146 transcript:rna-LATHSAT_LOCUS22146 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLMSAPVDRPIKLGYEMESSEDEKKKRVGSIKKVALSASSKFKNSFTKKGRKHSRVMSISIEDNFDAEELTIVDAFRQALILEELLPSKHDDPHMMLRFLRARKYDIEKTKQMWSDMLKWKKEFGADTIMEDFEFKELDEVLKYYPQGHHGVDKDGRPVYIERLGQVDCNKLLQVTSVERYLKYHVREFERAFAIKLPACSIAAKKHIDQSTTILDVQGVGLRSMNKAARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTIKSFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPEILKLAQNGVAKCTTKALSGIKEKTIKQEETTYQKGFKESYPETHDVHCLSMDTPKSYAVAKKCGVYQYDSFVPALGKEVDSSWKKTVQTDQYPISKDCFSNNNENNSGKLSSQFVGGIMALIMGIVTMFRMTSNMPRKVTEAALYGGSSVYYNGNMLKAPAISSNDYMDIMKRMAELEEKVAVLSARPVMPPEKEEMLNTALTRVTSLEQVLDATKKALDDALARQDGLQSQIDKKKKKKKKLLCFH >CAK8569272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690129298:690132458:1 gene:gene-LATHSAT_LOCUS22146 transcript:rna-LATHSAT_LOCUS22146-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLMSAPVDRPIKLGYEMESSEDEKKKRVGSIKKVALSASSKFKNSFTKKGRKHSRVMSISIEDNFDAEELTIVDAFRQALILEELLPSKHDDPHMMLRFLRARKYDIEKTKQMWSDMLKWKKEFGADTIMEDFEFKELDEVLKYYPQGHHGVDKDGRPVYIERLGQVDCNKLLQVTSVERYLKYHVREFERAFAIKLPACSIAAKKHIDQSTTILDVQGVGLRSMNKAARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTIKSFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPEILKLAQNGVAKCTTKALSGIKEKTIKQEETTYQKGFKESYPETHDVHCLSMDTPKSYAVAKKCGVYQYDSFVPALGKEVDSSWKKTVQTDQYPISKDCFSNNNENNSGKLSSQFVGGIMALIMGIVTMFRMTSNMPRKVTEAALYGGSSVYYNGNMLKAPAISSNDYMDIMKRMAELEEKVAVLSARPVMPPEKEEMLNTALTRVTSLEQVLDATKKALDDALARQDGLQSQIDKKKKKKKKLVRYMCCAFP >CAK8574073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:657385342:657385551:1 gene:gene-LATHSAT_LOCUS26451 transcript:rna-LATHSAT_LOCUS26451 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKLYDLVKKLFEQYMVEYPVSIETCGSNMIPSNVATSEANGESFEEDDWKNQFRFNMRIKQDEVQRN >CAK8577395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560138290:560140808:1 gene:gene-LATHSAT_LOCUS29508 transcript:rna-LATHSAT_LOCUS29508 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPNLSISLHRNAKKTNYHSLSLHNKLGFSSFVQFNKSVISLKSYGTAKYDGVVVDEELDKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAISESFGEWVVNGLEKEKGYLVENVSVSLGRDPRITGSKLSVAVFAGLTRGGCMVFDMGLATTPACFMSTLLPPFEYDASIMMTASHLPYTRNGLKFFTKRGGLTSLEVEEICDNAARKYANRMAKVSSLLKVLPIKVDFMSAYSKHLREIIKERISHPLHYETPLKGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLKPDGMFPNHIPNPEDKVAMATTRAAVLENSADLGIVFDTDVDRSGVVDNEGNPINGDKLIALMSAIVLKENPGSTIVTDARTSMSLTKFITDRGGHHCLYRVGYRNVIDKGVHLNKDGIETHLMIETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLGGSDEGIGSLIKDLEEPYESIELRINIVSEPRDAKAKGLEAIETFRNYIEEGMLKGWELDSCGDCWVSEGCLVDTNDTPTHIDAQMYRARVSNNEHGQHGWIHMRQSIHNPNIAVNLQSSVQGGCLSMARALRDDFLKASGVDTFLDIAQIDKFVENGSLA >CAK8534812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770982143:770983092:-1 gene:gene-LATHSAT_LOCUS4324 transcript:rna-LATHSAT_LOCUS4324 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDFWPSPFGIRLRIALAEKGVKYEYRDEDLSNKSPLLLQMNPIHKKIPVLVHNGKPVCESLIALQYIDEVWNDKSPLLPSDPYQRSQARFWADFGDKKIYETGKNLWTKKGEDLEPAKKEFTEVLKLLEQELGDKSYFGGDKLGFVDVALIPFYAWFKGYETFGNINIEKECPKFVGWTKRCIEIESVSKSIPDQDKVYQFIVEIRKKMGIE >CAK8533088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576740039:576741743:-1 gene:gene-LATHSAT_LOCUS2738 transcript:rna-LATHSAT_LOCUS2738 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIYFLVILVFLSSFRFTFESNILESKYVSVFEQKSLDLKLREAESKKIQITISKDGNSNFTTITAALSSIQPPNNRRVILLIGPGVYREKIVIPQTLPFITFLGETRNEQTISWNDSSSIIGRDGHPLGTFNTPTVAVNADYFMAINITFENSASYFGKKVEQAVALRISGNKSAFYNCTFRGVQDTLYDHKGLHFFKNCFIEGSIDFIFGFGRSLYEECTLNSIAKNIGYITAQKRSSSSLDTGFSFKNCTVKGTGQVYLGRPWGEYSRVIYSYTNMKEIVLPKGWEDTMNGTHYPKTIYYGEYKCSGPGSNFSGRAPWARNLTDEEVQPFLEIDFIEGETWLINPN >CAK8533914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670852030:670858008:1 gene:gene-LATHSAT_LOCUS3501 transcript:rna-LATHSAT_LOCUS3501 gene_biotype:protein_coding transcript_biotype:protein_coding MFIELDCPASVRKKLANLFAESLKETVPSEPDVVPLIDACVAKNGVKHADYQCNNAMSLFAKIKGKQTEFKNPRSLGEGIMKNLPPSEMIESCSVAGPGFVNIVLSKKWIAQSIQNLLTKGIDSWAPQLPIKRVLVDFSSPNIAKEMHVGHLRSTIIGDTLAPMLEYCKAEELIRRNHIGDWGTQFGMLIAYLFEKFPNSEDVSESDIGDLQAFYKASKLRFDSDPDFKYNAQQSVVKLQRGDPKYRTAWQQICDVSRTEFNKVYQRLGVSLIEKPESFYNPIIPPTLEKLEKLGLIEDSEGARVIFVEGVDIPLIVVKRDGGYNYFSTDLTAVWYRLNVEKIDWNIYVTDVGQWQHFDMVFKASRRAGWLPKDPNEYPICTHVGFGLVLGDDGKRFRSRSSETVRLVDLLDEAKRRCKVALLERDNAKEWTEEEIEKTSEAIGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDKELEELKQKENLVLDHEDERTLGLHLIQFSEVFVESCENLLPNVLCEYLYNLAEIFTKKFYSNCQVVGSPEESSRLLLCEATLVVMRHCFYLLGIEPVYKL >CAK8561393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:188100440:188101726:1 gene:gene-LATHSAT_LOCUS14992 transcript:rna-LATHSAT_LOCUS14992 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLALRSLTRHCHFSVEDSKWLTLPPVNTTSVNTCSNQLSSSTSTTALKWVTRCCPQLPKTLVHKLFRLKQVRMLPPQEQEQDHKFKKVTPKDTLNAGDRIFLPHSVKQQTPAPPKRLDSPLTPTLTSKQINFMSALVIYKDAEILVLNKPPGMPVQGGINIKWSLDDVAASCLKYECPESPRLVHRLDRDCSGILVMGRTKTSTTVLHSIFRDKTSRASDDNGTEKRILQRKYWALVLGCPRRSSGLVTALLGKVVVDNGKSDRITIVDNSTSLSPQHAITEYQVIASSSHGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWGQFDLSNTEDSTEELLKEEALPFGLNLNKGSISDKRPHLHLHCKQIVLPDISQALQNVQSLSALSYDLSAVKALELEADLPPFMKKSWDVT >CAK8530792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50513588:50514752:1 gene:gene-LATHSAT_LOCUS633 transcript:rna-LATHSAT_LOCUS633 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSSSNGNHEIALNVNDDSSKNCEDNCVPLLQKLVAEVVGTYFLIFAGCAAVVVNLDNDKVITHPGISIVWGLTVMVLVYSLGHISGAHFNPAVTIAHASTKRFPLKEVPAYLIAQVLGSTLASGTLRLIFNGNKDHFPGTLPAGSDLQAFVVEFIITFYLMFIISGVATDNRAIGELAGLAVGSTVLLNVMFAGPITGASMNPARSLGPAIVHKEYRGIWIYLVATTLGAVAGTWAYTFIRHTNKPVREISKSASFLRGVQNGGSK >CAK8571146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:313269091:313270482:-1 gene:gene-LATHSAT_LOCUS23836 transcript:rna-LATHSAT_LOCUS23836 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVVPLGIASTISQEQHSSVIAMKDIKVNNHALSPPFPKPSNPNFDINNFIIPPQPPSKVYISVFAHKLFVKIPLTPIKTIKNNMVETLNMLGLTKRIEDRFLLTSRSYAYGDVLAIDKQMRHMGIANLTISFASELLHSAEELIRRGLHPSKIMNGYTKAINKTVETLDKLVEEGSDNMNVFDKEQVVSRIKAVVFSKQFGQEDTICSLVAGACIQVCPKNYVNFNMDNVHVAKLLGGGLRNSTVVRRMVLRNDIVGSIKRMEKAKVVVFSGGVDTSITKNQGTVLIRSAKQLENSSKTKEDKVEELIKEVGELALHFCECYKLMVLKISSKFKLHRFFHTTGVVAMSKLIQPNPDDVRYVDSILVEEIGGARVTIVKKEEGGNSVSIVVLRGSIDSILDDIERAVDDKVNSYKAMCRDSRIVPETAATGIELAKWVKEFSFKETGLGQYAIAKSAECF >CAK8569435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:777854:780858:1 gene:gene-LATHSAT_LOCUS22285 transcript:rna-LATHSAT_LOCUS22285 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVMSLDGLVSSDPDQKSKWYNGSGLLKQERSGTSTEDELLRTFKLAKTDQQQRNSSLLRSNVTTLFSDAQHQQQMLSFSTPNPKSDSFLLDKNAPTLSYAYHHPNHNHHHNPMSTYNRNTGYGSGSMHGGFNGVRGPFTPSQWMELEHQALIYKYITANVAVPHHLLIPIRKALDSAGLSTFSSALLRPNAYAMGWGGFHLGYSNNTDPEPGRCRRTDGKKWRCSRDAVVDQKYCERHMNRGRHRSRKPVEGQSGQALTTGGTTNTATTNVTSTITTPSSSSKQINVTASPSSSIVVPGGNNATNTLSFAHQEHSKNMNSLIGQDNASSAVNTINRMFMNSKENNNNSERIQDGSALPMLPPTLELKPKDNNSFMIQKQHIPYDESSRNNEFGFVTSDSLLNPSQKTTTLLGTRTFGSSSQNPTNRDTDPQHSLRHFIDDFPKTHSDHHNPHNRSSGFSWPELDMQSDRTQLSISTPMTASDFMSFPSSATNEKLTLSPLRLSREIDPIQMGLGVGNSTLNNNESSNPSTNNPTSRQANWIPITWESSMGGPLGEVLHLSTIACNASDDHYGGNNLNNNNSSALNLMTDGWDNHNSPPMGSSPTGVLQKTAFGSLSNSSAGSSPRAENNKTTQEGASLCNDHLGSTLGNNNTSFTP >CAK8542630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533615618:533617372:-1 gene:gene-LATHSAT_LOCUS11454 transcript:rna-LATHSAT_LOCUS11454 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLASSPSLSLTYPRNNLHHPRFSTKPSVKTSFSVRCSVPHTNNETKVEYTPWLIVGLGNPGNKYNGTRHNVGFEIIDSISQNEGILMNTIQSKALIGLGSIGEVPVLLAKPQSYMNFSGESVGPLAAYYRVPLRHILLVYDETSLPNGVLKLQPKGGHGHHNGLKNVMGHLDGSRDFPRLAIGIGNPPGTMDLRAFLLQKFSSVERKQIDESLEQGVQAVRTLVMNGFNHHVNRFNIGQKYKYNKV >CAK8569645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7153693:7156495:-1 gene:gene-LATHSAT_LOCUS22473 transcript:rna-LATHSAT_LOCUS22473 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSLLSLIKRTNINNGYHHHRSSRFVTSGAVSSDYDEETSTTAEVGDDDLRSRILRLRLPKRSATNVLQKWVLQGNSIPVSQLRDISNELRSSQRYKHALEISEWMVSHEEYELSDSDYADRIDLMTKVFGIDAAERYFEALPLAAKTCETYTALLHSYAGARMTEKAEELYERIKDSNLPFDALTYNEMMTLYMSVGQVEKVPSVVEDLKKQKVAPDMFTYNLWISSCAASLNIDEVRRILEEMRNDAGSDESWIRYLNLANIYVTAGHLDSASSNSLVETEKKITQSQWITYDFLIILYGAMGNKDKLDHIWNSLRMTKQKMISRNYICIISTYLILDHAKEAGEVIDQWKQSTTPDFDTHACKRIMDAFTDIGLDDKANSLNLILIEKNLNPDLENNN >CAK8573615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625019918:625024113:-1 gene:gene-LATHSAT_LOCUS26045 transcript:rna-LATHSAT_LOCUS26045 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGDENENSLELVEPKGSREDKEKNKKKKKVKSVESVAVEKKMEDHNAVSRFKISEPLREKLKEKGIESLFPIQAMTFDTILHGFDLVGRARTGQGKTLAFVLPIIESITNGPAKASRKTGDGRSPSVLVLLPTRELACQVNADFEVYGAAMGLISCCLYGGASYQAQEIKLKRGVDIVIGTPGRIKDHVERGKIDLSQLKFRVLDEADEMLRMGFFEDVELILGKVRNVGKVQTLLFSATLPDWVKHIAKKFLKPDKETADLVGDTKMKASTSVRHIILPCTGSARSQLIPDIILFYSSGGRTIIFTETKESASQLAELLPGAKALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNISKIERESGVKFEHVSAPQANDIAKAIGAEAAEMITQVSDSVIPAFKSAAEDLLNNSGLSVVDLLSKALAKAVGYTEIKKRSLLTSMEDYVTLLLETGRPIFTPTFAYKMLRRFLPEEKVEAVKGLAITADGNGVVFDVLAEDLDTYLAGKKNASDVSLEVLKALPPLQQRDQSRGGRFGDGNGRGGRSGGGGRNSRFSNDRFTNGGGGRGRGNWGGKRW >CAK8531763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148538814:148539065:-1 gene:gene-LATHSAT_LOCUS1529 transcript:rna-LATHSAT_LOCUS1529 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCIILPNMIVEDERATYGVNFDFSYDHLCNDATILLNDSNIDFQEFLRRRFDIRDKQVHRHLQQDLIEHIWQCYGHENNNN >CAK8543245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591671388:591671849:1 gene:gene-LATHSAT_LOCUS12015 transcript:rna-LATHSAT_LOCUS12015 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFSAGEEPSLHFRWWYIVRLLQWHHAEGLILHSLVIDWILNQLQEKDLLEVWQLLLPIIYGFLEIIVLSQTYVRTLSGLTLRVIRDPAPGGSDLVDNSRRAYTTYALIEML >CAK8544970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715294339:715297227:-1 gene:gene-LATHSAT_LOCUS13607 transcript:rna-LATHSAT_LOCUS13607 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPTPHTHTTAPDEENKWSIDFGKSEAVEYVRTLTDVGAMTRLLHECIAHQRSLDMQLDDLLSQRTDLDRHLTQLQRSSDVLEIVKSDSDYMLSNVTSTSHLADQVSLKVRELDLAQSRVRSTLHRIDAIVERGNCLDGVLRALESEDYESAARYVQTFLQIDAQFKDSGSDQIQIQRERLLDVKKQLEGIVRKKLSFAVDQRDHPVILRFVRLYTPLGLEEEGLQVYVGYLKKVIGMRSRMEFEQLVESMSMLMSNEQRNVNFVGCLTSLFKDIVLAIEENSEILSGLCGEDGIVYAICELQEECDSRGSVILNKYMEYRKLAQLSSEINAHNNNLVAVGGVSEGPDPREVELYLEEILSLMQLGEDYTEFMISKIKGLTSVDPELLPRATKAFRSGSFSKVAQDLTGFYVILEGFFMVENVRKAIMIDEHDPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLNNEYHEALQQKIREPNLGAKLFFGGVGVQKTGTDIATALNNMDVSSEYVLKLKHEIEEQCAEVFPALADREKVKSCLSELGDSSNAFKQALNYGIEQLVATIAPRIRPLLDSVGTISYELSEAEYADNEVNDPWVQRLLHAVETNVAWLQPLMTSNNYDTFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDARALVSHFSIMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >CAK8573323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603107352:603108758:1 gene:gene-LATHSAT_LOCUS25784 transcript:rna-LATHSAT_LOCUS25784 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSDALKTSNYGAEPLLQSCGITISTGFTQVEGHVLPAPKLKFGNGEDFSPRNGRWNFNNKKFVQPTKIEKWVVANFYARCDVRAVVRDLIRIGNTKGIMIDQPFDLIEENPHFRRAPPMVRVEKMFEIIQSKFPGAPQFLLCLLPDRKNCDIYGSWKKKNLVGYGIVNQCTCHGRVNDQYLGNIMLKINAKLGGLNSLLSVEISPSLPIVSRAPTLILGMDVSHGSPGQTDIPSIAAVSDCWVLFDHERYNLF >CAK8533650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646206962:646210565:1 gene:gene-LATHSAT_LOCUS3261 transcript:rna-LATHSAT_LOCUS3261 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSINGFSFSSLSPAKTSIKRTTLRPFVFASLNSSSSSSSSTFPSLIQDKPVFASSSPIITPVLREEMGKGYEEAIEELQKLLREKTELKATAAEKVGQITAQLGTTSSSDGIPKSEASERIKTGFLHFKKEKYDKNPALYGELAKGQSPPFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQAKYAGSGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTEFIEEWVKIGLPAKAKVKAQHGDAPFAELCTHCEKESVNVSLGNLLTYPFVREGLVNKTLALKGGYYDFVKGSFELWGLEFGLSSTFSVKDVATILHWKL >CAK8533651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646206962:646210271:1 gene:gene-LATHSAT_LOCUS3261 transcript:rna-LATHSAT_LOCUS3261-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSINGFSFSSLSPAKTSIKRTTLRPFVFASLNSSSSSSSSTFPSLIQDKPVFASSSPIITPVLREEMGKGYEEAIEELQKLLREKTELKATAAEKVGQITAQLGTTSSSDGIPKSEASERIKTGFLHFKKEKYDKNPALYGELAKGQSPPFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQAKYAGSGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTEFIEEWVKIGLPAKAKVKAQHGDAPFAELCTHCEKESVNVSLGNLLTYPFVREGLVNKTLALKGGYYDFVKGSFELWGLEFGLSSTFSV >CAK8572998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575600630:575602174:-1 gene:gene-LATHSAT_LOCUS25494 transcript:rna-LATHSAT_LOCUS25494 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENNNLDLFSLLPESLLLAIVSFLPFKEAAARTCILNKKWLKIWQSGTDINFDENFFVDSTSDEIKQAQRKVFIDFITNWIAHFTERNINKFSLKISNPQSCSNTIESCVEFAAQRRVKDLTLDFSGKKNFNNNNNNALFPMPTQVYQLSSSLETLKLISCGFDVPDSLNFNALRDLSLRWIDVKIETLKTLLSNCKTIQSLSLEKCRGLQHFDLGYVPISLRSLVVNKCEIELDYLSFNAPILEYFKYSGLVFTSDINASDIEEVEIDFALESRFNDCGNELCKILLDFSAAKILKLCSYLLQVIPSGDEPVGIQEDLNVKHLILNTQMHPNELGGLEFLLNSCPLMEKLTLNLGSGVIFEDYKHPYDVDHKNFWKGRRVVPKCLIRSLKVVEVNNAKTTDSEVITLYFFMQAGKVLEQINIHVCSADDGLTEIRYVRARCLKNANKCSNNLQISVY >CAK8532067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:198113901:198114704:1 gene:gene-LATHSAT_LOCUS1804 transcript:rna-LATHSAT_LOCUS1804 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVDSEPEPPPPNVVNEFNPNEIVRDSGRRKQINEYAPDIQDQVRRPYILKGPMKPELPSFPRTPFGSVKRAFSKSWYKNYTWLEYSEIKDVAYCFYCFLFKKPGRAEHFGFEVFTKSGYKDWKHASQGLKDHVGSHNSFHNSCVKQYDDYNNQRQSVASKFVKVTKESKELYKIRLTCSLDCSRYLIAQGMSFRGHDESSISLNKGNFREMVDWVKFKNEQLQDAFDHGGKNCIMISSDIQKELAMCCAHEVTKVILEELGDR >CAK8561558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:291670212:291672616:1 gene:gene-LATHSAT_LOCUS15138 transcript:rna-LATHSAT_LOCUS15138 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGENAKVVVESLDVCKSKGRKKKKKQDGVMEDEETETGCWLSFRFIGSCISSRSKVDSSVSGASTNYAESKSTIDTSRDQPSVPVASSTTTTTSNAESNSSASKLEEELKVASRLRKFSFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAAVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLVHQNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRALPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAHLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRFSASPNTRNGKQGAFTRNGQQQRSLSISHGTHASPFHHQNPQHSPKPTGKA >CAK8572448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537584124:537587155:1 gene:gene-LATHSAT_LOCUS25018 transcript:rna-LATHSAT_LOCUS25018 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNTRHHVEGTVVLMKKSVLDYNDFTASFLDGLHEFVGEGVSLQLISAVNTDPENGLKGKLGKPANLDWISTSTPESLTTGESAFKVTFDWDDEEMGTPGAFLIRNNHHSEFYLKNLTLKHVPGQDDDIYFACNSWIYPAKNYQKDRVFFSNKVYLPSETPMPLMKYREEELETLRGDGMGELEKWDRVYDYAFYNDLGNSDKGPEHARPILGGSIKYPYPRRGRTGRKPAKSDPNYESRLDLELSLKIYVPRDERFGHLKMSDFLAYALKSIVQVLKPELESLFDSTPNEFDSFEDVLKLYESGIDVPEGLLKDVRDNIHGEMLKEIFRTDGEKFLKFPMPQVIAADKSAWRTDEEFAREMLAGINPVMICSLQEFPPQSKLDRQFYGDQSSKITKEHIEINLDGLTVDEAIRERKLFILDHHDSLMPYLRRINSTSTKTYASRTILFLRKNGTLQPLAIELSLPHSEGDQYGAISKVYLPAKQGVENSIWQLAKAYVGVVDSGYHQLISHWLHTHAVVEPFIIASNRQLSVLHPIHKLLHPHFRDTMNINGLARQILINGGGALESTVFPSKYSMEFSSFLYKDWSFPEQALPQDLLKRGMALKDSSSPHGLRLLIDDYPYAVDGLEIWFAIKTWVTDYCSIYYRDNDSIKEDSELQSWWKEIIEKGHGDKKDEPWWPKMHTLEELIEACTIIIWIASALHAAVNFGQYTYGGFPPSRPSMSRRLMPEKGTQEYNELVENPDKAFLKTITSQFQAVLGISLVEILSRHASDEVYLGERDTPYWTCDGKAMKAFEKFGSKLSEIEKRIEMMNNDEKLRNRFGPVKMAYTLLKPSSEGGLTGMGIPNSISI >CAK8534213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:706648659:706651034:1 gene:gene-LATHSAT_LOCUS3773 transcript:rna-LATHSAT_LOCUS3773 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIREKLKLIVGLLALQFCFAGFHIVSRLALNIGVSKVVYAIYRNLIALFLLSPFAYFLEKNQRPPLTLSLLVQFFLLALLGITANQGCYLLGMYYASPTLASAMQNSVPAITFIFASALRLEETNIARREGIAKVVGTIASIGGAIIITLYKGPPLLHLKMNQMQENITLQIDESSTKNQNWTWGCIFLLGHCLSWAGWIVFQDPVVKKYPAKLTLTSFTCFFGLIQFLIIAAFTENDFEKWKIQSIEELSTILYAGIVASGVMLSLQTWCIQKGGPVFVAVFQPLQTFLVVLMAALILGDQLYSGGIIGAILIVLGLYLVLWGKANENKVIEPSITRPLLDSDEENKITDAAAKDLS >CAK8565665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:301283300:301286949:-1 gene:gene-LATHSAT_LOCUS18856 transcript:rna-LATHSAT_LOCUS18856 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTVPNDSCSKENLDLYQEWFNYADADGDGRFTGNEASKFFAMSNLSRQDLKQVWGIADSKREGYLGFKEFVISMQLVSLAQNGYTITHDLLTGDILRDVKPPIMEGLDALVAKKKRKQKDNNVSPNLPSPSSKWFTAKSAKKISGSSVTSIIDGLKKLYIQKLKPLEVAYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHMLQSSYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDSPGVLSGEKQRSQRSYDFTGVTSWFAAKSDLILLLFDPHKLDISDEFKRVISSLHGHDDKIRVVLNKADQVDTQQLMRIYGALMWSLGKVLNVPEVMRVYIGSFNDKPGNNTVNGILGNELFEREQDDLLTDLKDIPKKACDRKINEFVKRARAAIIHAYIISHLKKQMPAMIGKAKAQQKLIDNLEDEFAKVQREFHLPAGDFPDIDHFKEVLSGYNIDKFEKLKPKSIQAVDDMLAHDIPNLLKSFRNPYG >CAK8575102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30180866:30181630:1 gene:gene-LATHSAT_LOCUS27391 transcript:rna-LATHSAT_LOCUS27391 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERIGRNARLGRKGGISVWKENNGKGTLDGEPTVSFFITEFPDKVNAKELFEVFKVYGVISEVFIAGRHDKRGRRFGFAKFRKVHDPRVLACNLDSIVLEGKKMHANIPRFSMDQKLKNPVGEKEWKHSKQRRPSYSEKFVTAARSFANMVSEREPKPGSKIWGGGSCANLEVDEDWEQRLKRMRVGIVMEGGNAFNIQKIINEEGFFNIKVIPMGASKCLLEDNSGGDVEVFIKEARDWLELWFSEIRPWD >CAK8540091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539429090:539434277:1 gene:gene-LATHSAT_LOCUS9128 transcript:rna-LATHSAT_LOCUS9128 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAAESATEPQTTDGVKINIRCSNGSKFFVHVSLESTVRSFKDVVAQNCDISADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFTPANATGGTNTSGANTTTTNARAAGANEGGGLGGAGLGASLFPGLGINGMGGGGGLNSLFGANPPDLDQLQQPFMSNPNLVREIMNSPAMQNLLNNPDIVRNLLMSNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNTGNDSTGILGTQGGQTRNQSTNPSTTSAEATSPVPNTNPLPNPWSSAATGGAQNNVRRSTTGVEARQQTPTGLGGLGMPDLEGMLGGLPDASSLSQFMQNPAISQMMQSILSNPQTMNQILGMNTDQHGVPDLNSMREVMQNPEFLRMFSSPETMQQLLSMQQALMTQLGQQQSTQEPGQTGGGTGPANNVGLEMLSSMFGGLGAGSLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNPGQ >CAK8538277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474505266:474508708:-1 gene:gene-LATHSAT_LOCUS7492 transcript:rna-LATHSAT_LOCUS7492 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISWRAIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDITNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSAKLTALKGLDARLKEIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVTDLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEREEDSKSEPVPSTAGS >CAK8562130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:444198383:444199657:-1 gene:gene-LATHSAT_LOCUS15654 transcript:rna-LATHSAT_LOCUS15654 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKTLTYLAQKSTLDSTFIRDKDQRPKVAYNQFSNEIPVISVAGIDDVDGLRTQICDKIVEACENWGIFQVVDHGVDSNLISEMTRFAKMFFDLSPEEKLQFDMSGGKRGGFNVSSHLQGEPVKDWREIMIYFSYPINQRDYSRWPNKPEGWKAVTEQYSEKLMSLSCKLLEVLSEAMGLEKEALTKACVDMDQKLVVNYYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVKPIEGAFVVNIGDHGHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPDATVYPLKIREGEKSMLEEPITFSEMYRRKMSKDLEIARMKKLGKEEKELMELQKAKHEAKPLNEILA >CAK8572718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557923662:557924093:-1 gene:gene-LATHSAT_LOCUS25248 transcript:rna-LATHSAT_LOCUS25248 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHRRVSSGSDGGDLLLDEKKRKRMLSNRESARRSRMRKQKQIEDLTEEAGRLKSENEGLKQKIKATEDAYTEMEAANNVVRAQTMELKDRLRFLNSVVKNAQDANGCSNDMSLFSDPLLKPWFMPHSSYSLIASNDMLRH >CAK8543711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633179608:633184671:1 gene:gene-LATHSAT_LOCUS12452 transcript:rna-LATHSAT_LOCUS12452 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVIFLFALLNLLFSSQVESFSLSVPFASLEQAKLWLVKPSYAPSYPPVSSPSFQGPSATPKHKHHHRHQRHHSMRPYVGAPPPSKEQACDQICTDPLTSTPFGSPCGCVFPMKVKLTLDVAPYAVFPVMNELEYEVALGTYLEQSQVKIMGATADGQNQGRTIVDINLVPLGEKFDNTTAALTYERLWHKKVPLNKSLFGDYAVVYITYPGIPSSPPYGTSIGSGPSENADGSLPVSANFASKNQKTNLRTIIIIALSSFVLLLVLVGAFSVALKWRKTRRPSSAVGPAFTSSLNKRSGLGSMLSSSITSSTSVSLMSTMPTSILSVKTFSLSEIEKATHKFNSKRVLGEGGFGRVYSGTLEDGAEVAVKLLTKDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRRRCLVYELVPNGSVESHLHGDDKNRGPLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSNHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPQGQENLVTWARALLTSREGLEQLVDPSLAGSYNFDDMAKVAAIASMCVHSEVTQRPFMGEVVQALKLIYNDTDETCGDYCSQKDSSAQESDFRGELAPSDSSWWNGGGLTPRLTYGQASSFITMEYSSGPLEDMENRPFSTSSFNGDEISLPIRHGNRSGPLRTIRSKLSLYRFSGSRSEHGERSSKRSWV >CAK8569298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692638643:692640868:-1 gene:gene-LATHSAT_LOCUS22168 transcript:rna-LATHSAT_LOCUS22168 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPPPPESATTVKRYAPPNQRNRSTHRRKSSDRLDRTNSAGSDLEKNQVTSSRNFQVPDHGDASSSNILHENHYSRFIALEGCSSSAASQLLNDRWTAAIQSYNNPKDSSEKPVMYSGGTSVWTQFRLPHQIMSPAASAPPSVSQRDFLVELRRQMHSANSSFNT >CAK8571300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:367217525:367219165:-1 gene:gene-LATHSAT_LOCUS23974 transcript:rna-LATHSAT_LOCUS23974 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFTNSFSRFHSEEATPDRHHVIEMADGGSPGTGARVNLDKFFDDVEGVKEDLKELEGISQKLNKSHEQSKTVHDAKGVKDLRSRMDDDVSVALKKAKMVKLKLEALERSNAANRNLPGCGPGSSSDRTRSSVVNGLKKKLRDSMETFNSLRELISSEYRETVQRRYFTVTGENPDDKTLDLLISTGESETFLQKAIQEQGRGQIIDTINEIQERHDAVKELEKNLLALHQVFLDMTVLVQFQGEQLDDIESHVARASSFVHTGAEHLETARKHQRNTRKWTCYLIILILIIVLVIVLIVVKPWQHNGGGGDSQPAPAQTTPSPPPPAST >CAK8538849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497842669:497843580:-1 gene:gene-LATHSAT_LOCUS8004 transcript:rna-LATHSAT_LOCUS8004 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIFKYSDKTLVFAYFFIFGLSAIMLSFFISTFFKRAKTAVVVGTLSFLGAFSPYYTVNDEGVSMILKVLPSLLSPTAFALGSVNFADYERAHVGLRWSNIWRESSGVNFSACLLMMILDTLLYCAIGLYFDKVLPREYGLQYPWNFIFKKDLWRKKKIVNNCSSSFKVKITGNSSEPKGNLFGQDTTNPAIEAISLDMKQEELDGRCIQIRNLHKVYDAKEGDCCAVNSLKLTLYENQILALLGHNGAGKSTTISMLVGLIPPTSGDALIFGKNIVSNIDEKQKEFAWLLTSVLIFLSLRT >CAK8576383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469036141:469039592:1 gene:gene-LATHSAT_LOCUS28573 transcript:rna-LATHSAT_LOCUS28573 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHHLILILLLFAILQSSSPFVSASPSNHIYNVGQNVPFFVNKLGPFNNPSETYQYYQLPFCKPDPIVKKKESLGEVLNGDRLTNGLYEFKFREDKIDETLCQKKLTIDEIGTFKQAINSEFYFQFYLDDLPFWGFIGKVEDESLTHSGGGSNYYLFTHVQFDVLYNGHRVVEVKAFGDPNRAVDITKDVDIDNVKFSYSVIWNTTDLHFENRMKRYSRTSLLPLYRQVHWFSFINSAVIILLLVGLLVLLYSRHLKSDIKKYSSANEEDREVGWKSIQGDVFKHPPNSSLLFAVVGTGTQLLILLCVILFLAFIDTLYPYNRGGLSNCLVFLFTLSSVFAGYSTASFHGQFAEDGWERSVGLAGILYIGPVFVTVSILNIIAISYRVTAGLPLGSILVILSLFVFVAIPLLAFGGLIGYRLRSKFQVPSATKRYPKEIQQLPWYRRTPFQMFIGGFVPFSAIVLQLHQVYASMWGYKIYTLPGILVATLITVVVIIMLVNIGLTYIQLSEEDHDWWWRSVLCGGSPAIFMFAYCIYFYARSRMSGFLQLSFFIGYNACICYAFFLIFGAISFRVSMLFVRHIYHNVKRE >CAK8532258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:232629217:232630386:1 gene:gene-LATHSAT_LOCUS1987 transcript:rna-LATHSAT_LOCUS1987 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKSKNSVAAEKVRNHVPNDLTMSIISKLPLKSLVRFRCLQKAWSLLFENPHFMNMYRVHFASNKKFSYDDDSYLALEFKFSYYGFCGNLFSRYGENEVKLDWPPVFQEDNRCINILGSFDDKTLCIYTGILVPKTVFWNLSTKEFKVLPPSPIESHPSHYKFVFKLMGLGYDCVRDDHKVIRNAMEWIRYNIEDTESNEYIWELYSRRTNSWRKLDVDIPLGSDALGATVYTNGACHWWDRDGNCLVSFDLICEVFYKTPLPLHVDGNFNCESKDKRFMALNGSIAFITTYATNYESTTSTLHISILGEYGVKESWTKLFIIESLPSYVDRPIGAGNKGEIFFKTKYKELVQFDLNTRKIDKLPIKDLLHTFQILLYKKILLSIED >CAK8540069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538239825:538240100:1 gene:gene-LATHSAT_LOCUS9107 transcript:rna-LATHSAT_LOCUS9107 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDMNIPLMICDKKQTSVDTTKVFSTTGKFATREEATRWIREVGIKNGVTVVITHSDIKTGKRGRSDKVIFGYDRGGKFKEGDSETQSAT >CAK8571049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274055555:274060493:1 gene:gene-LATHSAT_LOCUS23746 transcript:rna-LATHSAT_LOCUS23746-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVRLAVNRAVEAGGQSNLTRTVRNYADTVVHHASNAVAGGAKIIQDRIVSRNMQSFRHTVKRLEEVSVSCRGIERVQLLRRWLVALKEVERLSATSTATNTANAKDQDDHFVSDDNKDSPTAPTLIYYVDPGAPGEPKNFQDVFLHSQALEGITLSMILEEPNEEEFSLLSEIYGLCIKGGKEEQTALLSSVQKLAQAFSGYEDEVLAKREELLQYVQASISGLKVNADLMRIEVEACNLKDKIDKMKASNSVETAPVTIEALDEAMKNIQMFSKLEELLLKKKDFSSDDSPELYAEKVDKMKILSESLANSTTKAQSRISEHRSQKEEALHFRLTKSNEVSEIEKELGVEIEELEKQKNELEDKLKKVNTLLTSARTRLRNAKEEKDQFDDASNEIIVHIKTKEDEMSRAIASYTTETKVVDTWVNFLEHTWIFKTSLTKRNEEQINAELERYGDYFVNLVVNLLSSYKENLGSSVPQIRTLVENLRSSQGLEIPVNNDGSRVENPRKKLEEEYLDIESKFLTTLNIVDFMDKQFHIQKEGIFRKDKDKVTELSHAIEKIKQDFESIERPKLQIEAPIEKSETPSATPSPMLKLPNKNKQDKKKKSPSFIMRTISIEELDKSSEDDSAEEISEWEFDAIDRDHS >CAK8571050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274055555:274060493:1 gene:gene-LATHSAT_LOCUS23746 transcript:rna-LATHSAT_LOCUS23746 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVRLAVNRAVEAGGQSNLTRTVRNYADTVVHHASNAVAGGAKIIQDRIVSRNMQSFRHTVKRLEEVSVSCRGIERVQLLRRWLVALKEVERLSATSTATNTANAKDQDDHFVSDDNKDSPTAPTLIYYVDPGAPGEPKNFQDVFLHSQALEGITLSMILEEPNEEEFSLLSEIYGLCIKGGKEEQTALLSSVQKLAQAFSGYEDEVLAKREELLQYVQASISGLKVNADLMRIEVEACNLKDKIDKMKASNSVETAPVTIEALDEAMKNIQMFSKLEELLLKKKDFSSDDSPELYAEKVDKMKILSESLANSTTKAQSRISEHSRSQKEEALHFRLTKSNEVSEIEKELGVEIEELEKQKNELEDKLKKVNTLLTSARTRLRNAKEEKDQFDDASNEIIVHIKTKEDEMSRAIASYTTETKVVDTWVNFLEHTWIFKTSLTKRNEEQINAELERYGDYFVNLVVNLLSSYKENLGSSVPQIRTLVENLRSSQGLEIPVNNDGSRVENPRKKLEEEYLDIESKFLTTLNIVDFMDKQFHIQKEGIFRKDKDKVTELSHAIEKIKQDFESIERPKLQIEAPIEKSETPSATPSPMLKLPNKNKQDKKKKSPSFIMRTISIEELDKSSEDDSAEEISEWEFDAIDRDHS >CAK8570692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88749842:88750591:1 gene:gene-LATHSAT_LOCUS23426 transcript:rna-LATHSAT_LOCUS23426 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTIALLAMFATLSHLTISITAVDPIAATGKEPIIELYMHDILGGSNPTARPVTGLLGNIYSGQVPFATPIGFNTPAGGTLIPNANGAIPTVNGVTGIPLGTGLAGTSFAPNNNNNQNNVQVQLGPDGLGLGFGTITVIDDILTSQPELGSQIVGKAQGVYVACSADGSRQMMVFTALFEGGEYGDSLNFYGLYKIGSTMSRLSVIGGTGKFKNARGFAELRPLIPPGQIATDGAETLLRMSVHLSY >CAK8568051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566954610:566955986:1 gene:gene-LATHSAT_LOCUS21048 transcript:rna-LATHSAT_LOCUS21048 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKDKSRKDKRLQEISLLRTIPYSDHQRWWSKETIAVVTGGNRGIGFEISRQLADHGVTVVLTSRDASVGVESTKVLQEGGLDVDCHQLDILDSSSVNEFAEWLKEKYGGLDILVNNAGVNSNMGGSDNAVENARKCIETNYYGTKRMIEAMIPLMKKSAAGGRIVNVSSRLARVSGKRNRIENEALREKLSDVESLSEEFIDETLNTFLQQVEDGSWTSAGWPQTLTDYSVSKLAVNTYTRYMAKKLSDKPEGEKIYINCYCPGWVKTALTGYAGSVTVEQGADTGVWIALVPDQEITGKFFAERREINF >CAK8574593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3145769:3149755:-1 gene:gene-LATHSAT_LOCUS26923 transcript:rna-LATHSAT_LOCUS26923 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRLITTRLKTHTRTNSPSLRHLFSTSNAGGNGGDDGNKPPSDSFADALRDLRSSLKRPLTSNTPSPLLSPNSQQSESPSEIMSKFQSFRSRSSAPLPDDPSSQSQKKQISFMEIYSNRNRSGDSGEIAGSETSKRATGGGGGAMSLEVIRGSLMQLKGNPTPSPRWRASPSSPLFSGTSTTPDSIFGKEIRERLAKPNDPLVSLKNLGSFLYEDLGDKLKKLRPPVKGKEWFSIAELNERLKKVMEMDESEANTNSSEKGMYNILKDSVNHIRAKEVEKPKTASLQRIDYLSIMGGTPSYMTKPPKEHLVEKYFHPDNMSSAEKLKIELTKVRDEFKMSESDCGSARVQVATLTTKIKHLSSVLHKKDVHSRKGLIAMVQKRKKLLKYLRRTDWDSYCFVISKLGLRDNPEHTYKARTGKSGDVAN >CAK8533786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660292400:660294493:-1 gene:gene-LATHSAT_LOCUS3384 transcript:rna-LATHSAT_LOCUS3384 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKHYSFSTLTFLLVLVQNLSTIECHSKGIRPIHSTGNGLATNNTTRTELSEQQFMKWVSFVGGLKHTVFKTAKNKLFASYTLHVDKNPSFGDFTTIQDAIDSLPPINLVRVVIKVHAGVYTEKVNIPPLKSFISIEGDGADTTIVQWGDTAQTPGPRGTPMGTYGSATFAVNSPYFIAKNITFQNTFPVPAPGAVGKQAVAFRISADTASFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEECHVHAIARNTGALTAQGRSSILQDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTNMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGPGASFAGRVSWSRELTDEEAKPFLSLTFIDGTEWINF >CAK8566874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468784951:468787118:1 gene:gene-LATHSAT_LOCUS19974 transcript:rna-LATHSAT_LOCUS19974 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLQTQRIEVISMAVALVAIVGGTAYYYYLTKKCKRCLNPEKFKEFKLVKRTQLSHNVATFRFELPTSTSVLGLPIGQHISCRGKDSLGEEVIRPYTPTTLDTDIGYFELVVKMYPRGRMSHHFSEMREGDHLAVKGPKGRFKYQPNQVKAIGMVAGGTGITPMYQVTRAILENPEDKTSINLIYANVTYEDILLKEELDDLAIKFSNQFKVYYVLNQPPEIWDGGVGFVSYEMIKTYFPAPASNIKILRCGPPPMNNAMAAYLGLLGYSDEMLFEF >CAK8566873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468784951:468787118:1 gene:gene-LATHSAT_LOCUS19974 transcript:rna-LATHSAT_LOCUS19974-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLQTQRIEVISMAVALVAIVGGTAYYYYLTKKWCLNPEKFKEFKLVKRTQLSHNVATFRFELPTSTSVLGLPIGQHISCRGKDSLGEEVIRPYTPTTLDTDIGYFELVVKMYPRGRMSHHFSEMREGDHLAVKGPKGRFKYQPNQVKAIGMVAGGTGITPMYQVTRAILENPEDKTSINLIYANVTYEDILLKEELDDLAIKFSNQFKVYYVLNQPPEIWDGGVGFVSYEMIKTYFPAPASNIKILRCGPPPMNNAMAAYLGLLGYSDEMLFEF >CAK8541592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:281456540:281457429:1 gene:gene-LATHSAT_LOCUS10502 transcript:rna-LATHSAT_LOCUS10502 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRTFEEFDETISTTPVYRGCHGLFTFLLLMMKISCHFCVCLCSSRIVATWSFVAHVWLRFEQIWRD >CAK8564219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658648533:658655381:1 gene:gene-LATHSAT_LOCUS17542 transcript:rna-LATHSAT_LOCUS17542 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFTRLFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAREEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTESLELHKIKNRQWSIFKTSAIKGEGLFEGLDWLSNTLKSGGS >CAK8530330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14729085:14729523:1 gene:gene-LATHSAT_LOCUS201 transcript:rna-LATHSAT_LOCUS201 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLGLLVDMFRQVEVMVGQAAGKTTFRALKHWNGIEGEPAIIVRVIACFQPLHDCQADYFRQLLKPVT >CAK8565119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:41800809:41801012:-1 gene:gene-LATHSAT_LOCUS18356 transcript:rna-LATHSAT_LOCUS18356 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTMKFLSFFFVVVFAAVASAQDLSPSLAPAPGPDAGAAGSVTNSVVMIGASIVLSMLAIFKN >CAK8565103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38185432:38186811:-1 gene:gene-LATHSAT_LOCUS18340 transcript:rna-LATHSAT_LOCUS18340 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRKPDMIMAKEHNNLNKNKLRKGLWSPEEDEKLIRYMITKGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHFHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKNSSTPSPNNSDISEQPMDSVFDVKNDMMMPINEQELMTLCMDSSSSTSSSSMQSMQMHAMGLAEQFDPFSLLSSNRYDMTTSFHAHAHDMPACLTQVGNMVDDYGILEDNKMGLLENDFELPPLESRISIEDKSVPIDDDLSMKSHINHFNNSCFNNTNHIQNSNVEDLFGFGNHGQGENLRMGEWDLEGLMQDTSYFPPLDFQV >CAK8573973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650877621:650882113:1 gene:gene-LATHSAT_LOCUS26366 transcript:rna-LATHSAT_LOCUS26366 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAPASERDNHHIEEALNLLRSRICDTGFIFKDSPDGNYSKLKFMISSSVAEACNNSILLLGPRGSGKLAVLDLVLQDLLIQYPDSISVVRLSGLLHCDDISAFKEIARQLCMEHQLLFSKAASFDDNSQFMVAVLKECGLAHKSVIFILDEFDLFAQGKQRLLYSLLDAMQSVSSQAVVIGISCRLDADQLLEKRVRSRFSHRKLLFLPPSIEDSQRLLAHMLTLPIDSSLPSDYAVQFNKKVNNIIEDKKFKEIFTNYLNFDSSVKHLLRFLFYAVSHMDLQTGFLSRENFETAYSSIQRQPKLECLKNCSILELYILVCMKRLEVKEKSLCNFNAVMKEYKSVHDSFQTSDYYARNVCLRAFEHLIHRELICFTDNRGHSLSVEFRPVKLIISSAELHQGLKAYHSCPAILQKLVERDC >CAK8544576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693559416:693560829:-1 gene:gene-LATHSAT_LOCUS13240 transcript:rna-LATHSAT_LOCUS13240 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLPGTSIDLQTLPAISSDGSQISGKRIFHRLFWAFRLCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENLWQHPPSSHVYCIRHIAQNFMCEIKDKDLRKKLVNMGYTLMEATFNYYREEIRRTNIEASNWIDNIPREKWARAFDRGQHWGHMTSNLTEAINSVLKTTRNLPITTLVHSTYYRMGSLFGKQGHKWTKMLSSGKVFTDGCNKGMADEVAKANTHNVMQFDHEKLCFMVQEKINYNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFTVLNIFKVYKECFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDDVEKEKRRCGICREIGHMRRRCPNVVGPSNRPKR >CAK8544577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693559416:693560376:-1 gene:gene-LATHSAT_LOCUS13240 transcript:rna-LATHSAT_LOCUS13240-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIKDKDLRKKLVNMGYTLMEATFNYYREEIRRTNIEASNWIDNIPREKWARAFDRGQHWGHMTSNLTEAINSVLKTTRNLPITTLVHSTYYRMGSLFGKQGHKWTKMLSSGKVFTDGCNKGMADEVAKANTHNVMQFDHEKLCFMVQEKINYNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFTVLNIFKVYKECFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDDVEKEKRRCGICREIGHMRRRCPNVVGPSNRPKR >CAK8544578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693559416:693560240:-1 gene:gene-LATHSAT_LOCUS13240 transcript:rna-LATHSAT_LOCUS13240-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFNYYREEIRRTNIEASNWIDNIPREKWARAFDRGQHWGHMTSNLTEAINSVLKTTRNLPITTLVHSTYYRMGSLFGKQGHKWTKMLSSGKVFTDGCNKGMADEVAKANTHNVMQFDHEKLCFMVQEKINYNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFTVLNIFKVYKECFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDDVEKEKRRCGICREIGHMRRRCPNVVGPSNRPKR >CAK8543526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:615288677:615295269:1 gene:gene-LATHSAT_LOCUS12278 transcript:rna-LATHSAT_LOCUS12278 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFNSTTARVPSLPINKSDTRDRNPFSLSIVPRNGFVHLSSSPTRFRTNKNSLFKVLSAEKNHVQVVEGSAVDEIYDALVRRILSLASVSLNPTYKFFVGLAGPPGAGKSTIAHEVARRINKLWQEEASSFDSQVQPPDVAVVIPMDGFHLYRSELDAMKNPEEAHARRGAPWTFNPMRLLTCLKNLKVHGSVYVPSFDHGVGDPVEDDIFVNLQHKIIIVEGNYLLLEDGVWKEISSLFDEKWFVDIDIDKAMQRVLKRHISTGKTRDIAEQRIENNDRLNAELIMKSKKNADIIIKSVDF >CAK8540123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540640016:540641899:1 gene:gene-LATHSAT_LOCUS9156 transcript:rna-LATHSAT_LOCUS9156 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSPSKINIKPEYCREALIEWYRLAKLGDHKESFHKKDLVLKAKQHLLFLGWKVQYINKKYRWKMCYTSPTNGKHYFTLRRACKNCIKDEGYSVNQLSTTLQASPTNLISSTTLPSKKRPRALEETDESNFNKDYEASISNPVKKPIMITTSTSEENEKHGCQSESKFTDLVGNNGRREKVINMSVMEKNSESHGKRGKVLNMSTRERYTLVSWLINNQVLIPNTKVSCRGRNNIVKRGSLSFDGIVCDCCQVIFTITKFEAHAGCTRHRPSTSIMLDDGRSFLECQRDALSLRDQKKDRFVVEENVKQENDSVCSICGLGGNIILCDRCPSSFHIYCLNLDQVPDGDWFCPSCCCKICHQPKSKQECYDLNDNNILVCVQCEQNYHFGCVNNEGIGLWKMDRNAKNKNWFCSVVCGNIFLNLNKLLGKSIKVADNLTWTLMKNTSIVVDDDEGDNDKEFISKEFSQKESKLNAALGVLYESFDPTIDASSGRELIKDVVFSRGSKQRRLNFRGFCNVILEKKGEVISVATIRIHGQKVAEIVFVATKEQYRGHGMCRMLMNELEEQLSRLEVESLILHSSEEAINTWTKSFGFVTITGEDKRRFINHTFLEFQNTIMCLKYLK >CAK8573474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615163433:615166166:-1 gene:gene-LATHSAT_LOCUS25920 transcript:rna-LATHSAT_LOCUS25920 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHNGSKDANLNNTLMEAETDDSQAKDDQKEELSESMKKLGIEGSSSGIGNGSPNFKRKPVIIIVVGMAGSGKTTFLHRLVAHTHMSNIRGYVMNLDPAVLTLPYGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVVSVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRAENPTTFMSNMLYACSILYKTRLPLILAFNKVDVAKHEFALEWMKDFEVFQAAASSDQSYTSNLTQSLSLALDEFYSNLRSVGVSAVTGEGIEGFFKAVDASAEEYMESYKADLDKRREEKLRLEENRRKENMDKLRREMEKSGGETVVLSTGLKDKKEDDDDEEDEEMDDDDDDDEVIYTEDEDAIDDEDEEVGRFAF >CAK8575485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:134520935:134523036:1 gene:gene-LATHSAT_LOCUS27744 transcript:rna-LATHSAT_LOCUS27744 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGLVIFSAHGKLYELATKGTMQGVIERYLKFTHGTQSEAITEPHHLLVDAKEETNVLKQEIDTLQKGIRYLFGGGLGTMAMDELQVLEKNLEIWMYHVRSMKMNIMSQEIQALRDKEGTLKAANTYLHDLVLENTSVTNFVPFATDISYPLFLQDGIFQL >CAK8571848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483100698:483101753:1 gene:gene-LATHSAT_LOCUS24472 transcript:rna-LATHSAT_LOCUS24472 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDATLVKENMPTSYYDAKRTVSKLGLKVKKIYCCIGGCMLFYDNEFSTNDGGLEECKFCQSLRYLAHSKGVDRKQKRVAVKSMFYLPIIPRLQRMFASIHSASQMTWHHTNSNSSGMMRHPSDGEAWKHFDRVHTDFAAEPKNVRLGLCLDGFTPYIQSLAIAYSCWPVIVTPYNNPPEMCMTKPYMFLTCLIPGASSPKAGIDVYLQPLIDDLKRLWIREGTYDVSRRQNFNMRAALIWTINDFPAYGMLSGWGTHGKMGCPHCMGHTKSFTLQMGGKSSWFDYHHRFLHMNHVFRKNKNAFRKGIKVTDLPPPRLSSTEVWNSVSDLPKFTDNGKTCRIPGYGDTHN >CAK8572495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541523272:541524977:-1 gene:gene-LATHSAT_LOCUS25056 transcript:rna-LATHSAT_LOCUS25056 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTATLCTFVSLFIILIFFLSPSLASIISSQNTTCNITPYPSFCATTLPSDYLSIQNQTLFFLQQSLSLTKTILQLTSFYLNQSTIPFSTLLVLQDCLNLAELNTDLLSTVIETIINSINNGNINIFSNQVYDLQTLLSAVITNHQTCLDGFLDVNPYPKIRTTLSNPLTDGIKLYSISLALFTHGWIRIDVRSSSIENTITMNNRKLLQTSVDNVMVTQKVVVNPDGSGDFVTINDAVDAAPNKTGTNNGYHVIYVVAGIYSEYVSIAKNKENLMIVGDGIDRTVITGNRSVVDGWTTFQSATFAVVGKGFVAVNMTFRNTAGSIKHQAVAVRNGADMSVFYNCSFEGYQDTLYAHSLRQFYKNCDIYGTVDFIFGNAAAVFQNCNMYPRLPMQNQFNAITAQGRTDPNQNTGFSIWNCYIVAASELGGVNSNYNDIKTYLGRPWKEYSRTVYMQCFIDGLVDPKGWIEWLGEFALSTLYYGEFGNWGEGSDTSNRVTWEGYHLMDGKDADEFTVYMFIHGDKWLPMTGVPFRAGF >CAK8539421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512281654:512282511:1 gene:gene-LATHSAT_LOCUS8518 transcript:rna-LATHSAT_LOCUS8518 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALNLSKPCFFTPKIPFLCNSRVLPHSSCRFGTLKPKAGLSHFLNIVETTSSYIQLPLQLELHEPSNALSLPTWAVHVSSVVEWIIAMALVWQYGEKSGYEAWKGLSWGMVPLLGGAFCACTWHFFYNSDSLEVLVALQAALTVIGNFTMCIAAYRIYKSSRESPENL >CAK8540282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548783355:548786335:-1 gene:gene-LATHSAT_LOCUS9301 transcript:rna-LATHSAT_LOCUS9301 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDNPYRDEDGEPLMDYDDVQSDGEATPEPQQLDDFEEEDVDDWRGRERSQTPVHDTDSSKSKPRKRLIKKSDTVKHTGKLSVAPELEDELEEEGYPGMADEEEGRKRKKGKDIGSGKKEKRLKGEKRFGESSGGKSGSRFGGSKKGFAGKAGNDHDGEVKEMWDTIAGGDSEDDHEGNRNMDDDNFIDDTGVEPALYGYDEPRSPGDAPQAEEGEEDDEVKDLFKVGRKKKKNERSPAEIALLVENVMAELEITAEEDAELNRQFKPAINKLKKLPLLQEVLSKKQLQLEFLDHGVLTLLKNWLEPLPDGSLPNINIRTAILKILNDFPIDLEQFDRREQLKRSGLGKVIMFLSRSDEEINVNRKLAKDLVDKWSRPIFNKSTRFEDMRNTEDDRAPYRRPSVKKPSNKAAGMASRDGDHDLDLSQPRAGQSSSRQHASRPEATPLDFVIRPQSKIDPDEIRARAKQGPQDQHRMKMNKKLQQLRAPKKKQLQATKLSVEGRGMVKYL >CAK8578303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619072158:619073689:-1 gene:gene-LATHSAT_LOCUS30327 transcript:rna-LATHSAT_LOCUS30327 gene_biotype:protein_coding transcript_biotype:protein_coding MMTELLEQVWPYGTLLELFMEVSYDVIANGCDGYVAGCGLRFGIELLSFPPFCNLHTKCSVNCLNQVYFPFSFLFLTHPWVDAISKKSKENASKMEAQLVKDVVPFNFLTLMRIIRNAISEWGGSPAPVVVSEGANTMDVGRGVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLAVVVVVFNNGGDRRSPKEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTPDELKSALSESFSARKPTVINVVIDPYASSESGRMQHKN >CAK8534152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699471987:699472643:-1 gene:gene-LATHSAT_LOCUS3719 transcript:rna-LATHSAT_LOCUS3719 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRGKERVQVEEKNMMNKMRKVRILYSDPYATDYSSEEEDMFLRNEYQLDGSKRIVQEIFVPFMPLDYENKKNGLEGFFQTSPSSVLDVTVTATEPAKGINDINGSVKESDVNELRGDGKRGNVVKGVCDTEDSSFLYLLEEANVASLACRDLLFLDEMEMWLGDGFCNLLENEIECGSMWKVENDEGSSILPYIDCDFNDHELDWFDETPNWDCH >CAK8574703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6864694:6866862:-1 gene:gene-LATHSAT_LOCUS27022 transcript:rna-LATHSAT_LOCUS27022 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGLGLEITELRLGLSCGEPNKNENKRKFSEIDGDRRSVDKKNQVVGWPPVCSYRKKNMNEGSKMYMKVSMDGAPYLRKIDLCLHKGYLELAMALEKLFDCCGIEEALKDAENCEHVPIYEDKDGDWMLVGDVPWEMFIESCKRLRIMKRSDAKGFDLQPKGSLKRFI >CAK8533478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:621961827:621965203:-1 gene:gene-LATHSAT_LOCUS3101 transcript:rna-LATHSAT_LOCUS3101 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSILLLEILTLALTCSLVNSMRFELKSGHTKCITENIPNNAMTVGKYSVVSPYEGQPIPDTHRITLKVSSPMGISNHYGDHVESGTFAFTATESGDYTACFWIPDSRMAPSIVTIEFEWRSGVAAKDWSKVAKKGQVEVMEFELKKLYDAVTSIHDEMFYLREREEEMQDLNKATNSKMFIFVFLSFVVCISVAGMQLWHLKTFFERKKLL >CAK8564215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658457176:658457793:-1 gene:gene-LATHSAT_LOCUS17538 transcript:rna-LATHSAT_LOCUS17538 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHFFFFFFVFLTFTTKTLSIFLTSPQSPSSAAQLYNQYLTQQKNPNNDTIYKVSKQLCWGCMAESVEFLFRHNIVRAYKWELPLTWDFQLEQYARWWANQRKPDCKVEHSFPENGFKLGENIYWGSGSDWTPSDAVKAWADEEKYYTYLTNSCVSGQMCGHYTQIVWKSTKRIGCARVVCDDGDVFMTCNYDPVGNYVGERPY >CAK8573950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649770438:649771145:1 gene:gene-LATHSAT_LOCUS26344 transcript:rna-LATHSAT_LOCUS26344 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRKGRGRQKTEMKKMTNESHLQVTFSKRRSGLFKKASELCTLCGTDIALIVFSPSEKVFSFGHPDIYTVIDRYLSQIPPQNNDTMRFIETRRSANVRDLNAQLTEFNHTLDAEKKLGDELSRIRKAVEAQFWWACPLDQMNTSQLELLKKALEQLKILVVEHANKVLTQGAPSQTLPTFVGNDSSSNIYLHHQPNPQQPQNFQPQHFQNPMLQPHLFDGSMMAHDDFINMVL >CAK8567584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526907074:526907739:-1 gene:gene-LATHSAT_LOCUS20625 transcript:rna-LATHSAT_LOCUS20625 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKKDATELIGNTPLVHLNNITEGCVARIAAKLEYLQSCCSVKDRISLSMIEDAENKGLITPGKTVLIEPTSGNTDIGLASIAALRGYKLLATIPSYASLERKIIMRAFGADVYLTDPAKGVDGVFEKADELLAKTPNSFILNQFENPANPKSKLGSLLLRPAVIMVRHSAIFSFNQNTISPYHNVLREIMEEINKLERDMPDLRSKNGSHFGCNS >CAK8578852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658594721:658595728:1 gene:gene-LATHSAT_LOCUS30834 transcript:rna-LATHSAT_LOCUS30834 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIYFSIFLLSLAFLWLWRTKKNTNKLPPGPKGLPILGSLLKLGPNPHIDLHQLSQKYGPIMHLRLGLVPTIVVSSPQAAELFLKTYDHLYASRPPTEAIKHIFWGQRNMSFGEYGSYWRNMRKMCMSELLSHAKINSFRPMREHELDLLIRFLREKSNDGTKVDLSAKISSLSADMSCRMVFGKKYADKDLDEKGFKAVIQEGMHLTATPNIADYIPYIGKLDLQGLAKRTKAIGKIFDDFFEKIIDEHIHFDNKDDKNKDFVDVMLGFVGIGESEYRIERSNIKAIMLDMLAGSMILLQQQLNG >CAK8567890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555121723:555124310:1 gene:gene-LATHSAT_LOCUS20905 transcript:rna-LATHSAT_LOCUS20905 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDEGDNGVFFDALDCFSPSQESLLRNQYFGYDFWLNEPLSVKERKENFLQKMGFVDASSEFTSENKIVHWDERITDCSETVSNDCVLSSTARVVFESRDDDDKTDASLQGEELHELRNREAKIVEEFQVIDNGKKKKKNWWKHFVNSGKLAGGIVRSKFINANTNETCRINVRHNKKSWNESSAVHGGQEIRAHKGLIWTMKFSPRGQYLATGGEDGVVHIWHVSSLNASSICYAKQASAVSKLKHGVSFSSKKCCQSPVVVPSKILKIEESPLQELYGHSSDVVDLAWSDSDMLLSSSMDKTVRMWKIGYNQCLKVFHHKDYVTCIQFNPVDENYFISGSIDGKVRIWGIHEERVVDWADTRDVISAISYQPDGKGFVVGSLCGICRFYVTSGQQFVHKAKIRVNEKKKRSSGNKITGIQFSHKNHRRVMITSRDSKVRIFEDAELVQTYRGLLMSRSQMSGSFTSSGNHIISVGEDSRVYIWNFNDSGNYFSKQRKTDSSCEHFRSKGVTVAIPWSGMTSERSCSFGDFVNYSSEKQRKLVAAPSFRESERFSFGSWFSIDGSCHRSMTWPEEKLPSWGSPLSEIEFDHDELSFKDLWHENRVPETWGLSVVAAGLDGTIKTFHNFGFPVRL >CAK8575892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:350877938:350878543:1 gene:gene-LATHSAT_LOCUS28117 transcript:rna-LATHSAT_LOCUS28117 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPPFASSTPISPAVSDVSRRKLTTTSIHIMALDGIVNANSLFTLALFLGITSTNTNSTLIGDNPACIAGPSVAESLMAYHVYSFSSFLFSSLIALAIKNVISISKGIDGAVATNNLVRGYELTGEVNTVALRLGTLVSAFGSVFGCGFLVMALVDLVQIKLGTLACGSHHTLSAIAPLLILVPSALLIYVILVLYAFTR >CAK8540888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29394902:29395763:-1 gene:gene-LATHSAT_LOCUS9847 transcript:rna-LATHSAT_LOCUS9847 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIFMGNNSDDDDSTNIALPKFDIVDITAIEYSLHREYTLWIFTQSEHHRLSEITKAPYDKNMDSGIVTSLLKGYVSF >CAK8565898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:348563001:348564149:1 gene:gene-LATHSAT_LOCUS19074 transcript:rna-LATHSAT_LOCUS19074 gene_biotype:protein_coding transcript_biotype:protein_coding MASIATFFNKFCYLFILILHLGCFMFTTAAVTAGKQKQKQDSRHLLPSKKRKILPLSQPSSPSHPKFKTHKALSSSWHFVKHLFSAKSCKTAITAATTQASPHSTSTTVKSSQQSLISLVQSDLSFTDPPRKKQPESNISADNNNLFFPLRNDIFPCTVCGEIFQKPNLLENHQSTNHAVLELTGSDPGHNIVQIIFKSGWPEANESPAIHRILKIHNSQKILSKFEEYRESVKAKATRNTRRRDERCIADGNELMRFHCSTFLCELGHNGESSICSQQFCNICGIIKSGFSQKLDGISTLSTSWRANMSIPDDIEREFRFMNVKRAMLVCRVIAGRVGSDSDDEMDKTDGGFDSVMARGESELVVFNPRAVLPCFVIVYSM >CAK8539037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502190360:502197820:1 gene:gene-LATHSAT_LOCUS8174 transcript:rna-LATHSAT_LOCUS8174-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETAIQWINRGSEVRVEDLDHPSIRAPLADKLILPRSSLSATVSNNLTPKSPSLPPLKFHSSLLPTRNLPFGFSDRHVSDDDESVASLSCPTVESDDDYDDDEERVIDSLNTPIEQCYDEDRLFGFGSGTKLKSLKPSGILRRGLVNENITIQVPNSVSARRFTDGELGFNKCVQKQMTPCGSGSGSGSGSGSGSGSVSGSRGGSGIGTGGGSVRFRTMSNLNDLVDLATPSAPPIFNGEVDLPYSEGSVTNEVDETTQPHRSWTSRDSVNCDDGGRSECSIEQKYSNVAERQETTSTGDIERQPLPHLQYLNNSCNSQHAWQTLITYDACIRLCLQAWARGCAEAPEFLKDECMALRSAFGLHEFLLQPRGIKPTEGCTRNSEQTVPLKTKKVVGKIRVEVKKLRIIQRRKLKGTFSNRGSMYKQAGMDYVRQVSSLVKSGINSVKSSSSFSVTTEEPMYCLIQLKSATEENASESCSAIFLRPGSGDYHDFLPLSQGDALLLEVQDSKKAIYGEARIPISYLNDNPSDKIRWWPINHDDNECVGKIQLSIGSTMTSDDNNHIKSGPVVETQAYDILLEGAMHAQRFHSRNLRLNGPWKWLLDAFADYYGVSNSYSKLRYLSQVMNLATPTKDCLELVKELLDPLMKARSERSLTRQERSILLDCETQIERLLATVFENYKSLDENLPTGLTDHFGPASDSAAPALHPALQVFSSLHDILSPDAQTILQNYLQTAARKRCRKHMMETDEFMSGASESYQLDTITISTAYLKMKNLCISIRNEIQADIKINSHNTIHGQHIFPSSIDLAKITAAIYSTVLCKRLRTFLSAWPPSSPQAHVNELLVATADFERDLESWNISSVQGGVDSRNLFHNYIMVWIQDMQLNLLDLCKAEKVPWAGVTTNHSTSPFAEKMYEDIKDNLIQYEVVINRWPQYSLYLENAVANIERAIVKSLEKQYSDILTPLKDSIPKRLHLQVQKLARRQSATVQLVPNQLGIFLNTIKRILDVLHCRVEDILNSWASCLPVMGDKKLFGEQMNGITVLLRTRYKTYLQAIIGNIVNNVQGNKSTRLKKILEETREADGEADVRERMQLLNSQLIDFISNLHEVFTSQIFIATCRGLWDRMGQIILKFLEGRKENRIWYNGSCYALGILDDTFASQMQRLRGNALQEKDIEPPRSVIEARSILCKDTTNATDQSSYFYI >CAK8539036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502190360:502197820:1 gene:gene-LATHSAT_LOCUS8174 transcript:rna-LATHSAT_LOCUS8174 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETAIQWINRGSEVRVEDLDHPSIRAPLADKLILPRSSLSATVSNNLTPKSPSLPPLKFHSSLLPTRNLPFGFSDRHVSDDDESVASLSCPTVESDDDYDDDEERVIDSLNTPIEQCYDEDRLFGFGSGTKLKSLKPSGILRRGLVNENITIQVPNSVSARRFTDGELGFNKCVQKQMTPCGSGSGSGSGSGSGSGSVSGSRGGSGIGTGGGSVRFRTMSNLNDLVDLATPSAPPIFNGEVDLPYSEGSVTNEVDETTQPHRSWTSRDSVNCDDGGRSECSIEQKYSNVAERQETTSTGDIERQPLPHLQYLNNSSCNSQHAWQTLITYDACIRLCLQAWARGCAEAPEFLKDECMALRSAFGLHEFLLQPRGIKPTEGCTRNSEQTVPLKTKKVVGKIRVEVKKLRIIQRRKLKGTFSNRGSMYKQAGMDYVRQVSSLVKSGINSVKSSSSFSVTTEEPMYCLIQLKSATEENASESCSAIFLRPGSGDYHDFLPLSQGDALLLEVQDSKKAIYGEARIPISYLNDNPSDKIRWWPINHDDNECVGKIQLSIGSTMTSDDNNHIKSGPVVETQAYDILLEGAMHAQRFHSRNLRLNGPWKWLLDAFADYYGVSNSYSKLRYLSQVMNLATPTKDCLELVKELLDPLMKARSERSLTRQERSILLDCETQIERLLATVFENYKSLDENLPTGLTDHFGPASDSAAPALHPALQVFSSLHDILSPDAQTILQNYLQTAARKRCRKHMMETDEFMSGASESYQLDTITISTAYLKMKNLCISIRNEIQADIKINSHNTIHGQHIFPSSIDLAKITAAIYSTVLCKRLRTFLSAWPPSSPQAHVNELLVATADFERDLESWNISSVQGGVDSRNLFHNYIMVWIQDMQLNLLDLCKAEKVPWAGVTTNHSTSPFAEKMYEDIKDNLIQYEVVINRWPQYSLYLENAVANIERAIVKSLEKQYSDILTPLKDSIPKRLHLQVQKLARRQSATVQLVPNQLGIFLNTIKRILDVLHCRVEDILNSWASCLPVMGDKKLFGEQMNGITVLLRTRYKTYLQAIIGNIVNNVQGNKSTRLKKILEETREADGEADVRERMQLLNSQLIDFISNLHEVFTSQIFIATCRGLWDRMGQIILKFLEGRKENRIWYNGSCYALGILDDTFASQMQRLRGNALQEKDIEPPRSVIEARSILCKDTTNATDQSSYFYI >CAK8569211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682759868:682761260:-1 gene:gene-LATHSAT_LOCUS22091 transcript:rna-LATHSAT_LOCUS22091 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAMEEVLCELSDHEKKNDQGLPPGFRFHPTDEELITFYLASKVFKNTFFNNVKFAEVDLNRCEPWELPDMAKMGEREWYLFSLRDRKYPTGLRTNRATGAGYWKATGKDKEVYSNNSTRALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLNTHFSPSTCKEEWVICRIFHKSVEKRSSVLLQVQGHLGVHNNSNSTPPKSCLPPPPTLHPPSFTHTHNFPLHAFQPSFHQITNTRNNNNNNNSPSLELLFKSQAIPKTEAMFYEQYQPQSIEEAINLRWNIDNNNSNDFENLSLPVEMDAELIAFSGAAATDDEFTSTPFINSRGIVALDAPIGIDSWPQAQLV >CAK8569212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682759868:682761248:-1 gene:gene-LATHSAT_LOCUS22091 transcript:rna-LATHSAT_LOCUS22091-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLCELSDHEKKNDQGLPPGFRFHPTDEELITFYLASKVFKNTFFNNVKFAEVDLNRCEPWELPDMAKMGEREWYLFSLRDRKYPTGLRTNRATGAGYWKATGKDKEVYSNNSTRALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLNTHFSPSTCKEEWVICRIFHKSVEKRSSVLLQVQGHLGVHNNSNSTPPKSCLPPPPTLHPPSFTHTHNFPLHAFQPSFHQITNTRNNNNNNNSPSLELLFKSQAIPKTEAMFYEQYQPQSIEEAINLRWNIDNNNSNDFENLSLPVEMDAELIAFSGAAATDDEFTSTPFINSRGIVALDAPIGIDSWPQAQLV >CAK8563810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632391062:632391583:1 gene:gene-LATHSAT_LOCUS17184 transcript:rna-LATHSAT_LOCUS17184-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFQILLFSCFFLQLPQSSTQTNEIVLDDLVDDMCKKTPNLNLCSSTIHSNPQAGKSDANGIAVIMVNDILQSVTDTLSFIQGLVKETKDPELQRKYVVCAETYNPLVKTILPLAVDSINKKNYGLAIYSMGYVGKDIDSCNNQFSTGSPLADKTSSLHKLLDIGSAILKQL >CAK8563809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632391041:632391583:1 gene:gene-LATHSAT_LOCUS17184 transcript:rna-LATHSAT_LOCUS17184 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTFMSFFQILLFSCFFLQLPQSSTQTNEIVLDDLVDDMCKKTPNLNLCSSTIHSNPQAGKSDANGIAVIMVNDILQSVTDTLSFIQGLVKETKDPELQRKYVVCAETYNPLVKTILPLAVDSINKKNYGLAIYSMGYVGKDIDSCNNQFSTGSPLADKTSSLHKLLDIGSAILKQL >CAK8542577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526980923:526985072:-1 gene:gene-LATHSAT_LOCUS11405 transcript:rna-LATHSAT_LOCUS11405 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKNVHDDDGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDAGN >CAK8533752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656992370:656997110:-1 gene:gene-LATHSAT_LOCUS3352 transcript:rna-LATHSAT_LOCUS3352 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSFMDKQIMDLNLTHGSPTPSTNDFIDLIKLRHHEQQQQQQQVLEDDDEQQHEGNDSSTHNNGIKSDDIVPSYDFQPIRSLADSSPNYGASFTKTWNSDSNSKKYGSLDSFEPAKVTVEKDRSAVDAAILLEIDRTMKKHMDNLHHVLEGVSARLTQLETRTHHLESSMDDLKVSVGNNHGITDGKLRLLENILCEVQTGVQNIKDKQDIVQAQLQLAKLQVPKTEQQSEPQTSAVSNPVQQASSAPQQSQHLPSSFNLPHSTPVVSPPNAPPQPPSQQGLPPPVQLPNQYSQIPNPVVPQRDPYMPPPVHSQEIPNQQYQLPLTQQPHPQPGAPPHQQYQQTPHPQYSQPAHHLPQQQPPLSSGNPPQLQSSMGHHHQEEPSYVPSQSYPPNLRQSPSQPPSGPPPPAQQFYGTPPQGYESPSSRSGSSYSSGYGTLSGPAEPYRYGGPPQYGGKQPQLPNVSLASSGGSGYPQLPTARTLPQAIPTASSVSGGSGSAGTGSRVSVDDVVEKVATMGFPRDHVRATVRKLTENGQSVDLNTVLDKLMNEGGGDMQQQRGWFGR >CAK8569829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13000978:13005629:1 gene:gene-LATHSAT_LOCUS22638 transcript:rna-LATHSAT_LOCUS22638 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPTDSVSVDMDSITPSPKEHIIRTCHGSVSVSVYGDQDKPALITYPDVGLNYVSCFQGLLFCPEAYYLLFHNFCIYHISPPGHELGAAKIDPDYPVLSVDELADQIAEVLNFFGLNAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCKEPSWSEWLYNKVISNLLYFYGTCGVAKELLLKRYFSKEIRGGTQLPETDIVKACRRSLGEKQSLNVWRFLEAINRRPDLSEGLRKLHCRSLIFIGDMSPFHSEALHITSKLDRHYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPSRLSVSPRSPLSPSCISPELFSPESMGLKLKPIKTTRIAGEM >CAK8574179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667830167:667832351:-1 gene:gene-LATHSAT_LOCUS26550 transcript:rna-LATHSAT_LOCUS26550 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERDVHLDCRNASNPFHECTDYCFRVIAEAKFRMQQHESEVAQASSGSGREHDYEMHDDDDGPKEKSDSEPDQPAIENTDGSFPKLSARQKKWMELRAKMQEAKKRNQIEIANEKKRMEAPTESRGVSKQKWLDGRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPSGWDVFNQKTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >CAK8579570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708250756:708251466:-1 gene:gene-LATHSAT_LOCUS31505 transcript:rna-LATHSAT_LOCUS31505 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDSTRKRVRDDSDFADSNDSKRVIRLDSVSYDGDSSESTLSRINSFGSCVDSDGSETEIFRVESEPDSYEVNEMTDEILNILDETDNVTEREAAVTAVQGLDSVIKSFEDEIFAPGQDLDPNQVVSESSEFNPNLGYLLEASDDELGLPPTMVQTEEKGFPEINDSGRVGPDGVDLSGFYWFEEDIRNNEPFGLMGYDNVGDENDGGYVTIDGLFDYGEPTDILWRSESLQAM >CAK8541106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67089967:67091249:-1 gene:gene-LATHSAT_LOCUS10057 transcript:rna-LATHSAT_LOCUS10057 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMRILGSRKCVCDLVLPLTAFLILLMFFLQYHNLGTVITTQTKYDSEKQELIQVLKKATMKDRTVIITMVDESHARPGSMLEVFLQSFEYGRGTRRFLSHLVIVTMDEQAFQYCRLLHPYCIHPSTFQPYFSTKRRSVTTVTTPDHSVLSSWRRNHVLMQVIELGYNIIFTETDVLWLKSPLVNFHPELELSISCNFVSDGGRAYFVQEGGIFFMKANSVTPEFLKHWKLTKVLYPDSNLEESMCATLDIHEDLVEHYDFRVHRIDTNHFGGFCQPYNDMLEDAYTIHANCCDDLQNKVHDLRIVLDDWLRFRNRVSKNNATEKTALRWPQKCTEHPALKFSQRIHI >CAK8531378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105902661:105903941:1 gene:gene-LATHSAT_LOCUS1174 transcript:rna-LATHSAT_LOCUS1174 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQIFSAAYLSSSSLKTVNAAIHLPKLPRVFSIPQLPKTKPLIEDFNVCIDHINTNQFGNKNVVHTSQSHDRRNNKNTDDITIKLYAVLEAVSDRVEMHHNIAQQRNNWNTLLLNSINMITLTATTLAGVASAAVTTCSDSSLLALKLSSALLFSAATGLLLIMNKINPSQLTEEQRNATRLFKQLQRQIQTTIAIGNPSEEDVKDAMDKVLALDKAFPLPLLGAMLEKYPAKFEPAVWWPSKKGKSKSKKMGKMNNGWSEELEMEMREVVEVIKRKDAEDYNRLGNIALKINKNLAVAGPFLTGIAAIGSTFIGNGSSLAAFVPLLAGSLASAINTFEHGGQVGMVFEMYRGSAGFFKLLETSIESTLSEKDLEKRENGELYEMKMALKLGRSISNLRELASKSASYRMEGVGDMDEFASKLF >CAK8537938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448886815:448887402:-1 gene:gene-LATHSAT_LOCUS7186 transcript:rna-LATHSAT_LOCUS7186 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSNFLHKPSPIIEQRQENKTMMCLDKQLQRQLSNNISNEDYHGNKFASIPFVWESQPGTPKHRSNANSLPPLTPPPSYFQNANKKPIKPKKNFFLQTFFPKRSTKKGCVLDPSSASSNFVSYSSSSSSSSLSLSSPRPTSYSVPSSPMICSKKGGDDEDLYDVSRSNVGLGNVKSQGRYSSMFKKVLFGDLM >CAK8570870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:139545129:139546080:-1 gene:gene-LATHSAT_LOCUS23582 transcript:rna-LATHSAT_LOCUS23582 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFKNGWPSIVRFRLKHKSVTPFCIFSKLKSAGNKPGNTPVYLNVYDLTTVNGYMYWAGVGIFHTGLEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFVGTTSLDPFQIREFMENMSAKYNGDSYHLIVKNCNHFCEDISYKLTGNSIPKWVNRLARIGSFCNCILPEALKTSTVQHDSNCQETDSEKKRLRTAFSCLSSISMPQMEVPMCSLFLHSHYKGCLPPWQLNKSKKGSLKQK >CAK8532575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:301340226:301340393:1 gene:gene-LATHSAT_LOCUS2267 transcript:rna-LATHSAT_LOCUS2267 gene_biotype:protein_coding transcript_biotype:protein_coding METPLVIQSFTSENDYLAVKSLKDLKHVLWSETLKIWKIAIPVALSLLFQFLNNP >CAK8531129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83043282:83043758:-1 gene:gene-LATHSAT_LOCUS941 transcript:rna-LATHSAT_LOCUS941 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGFITAIIITIILAGSSAGRDLRPSDHGLGYQSSPPANSPPDMRSFFNSNNSSSDSSSDAFNWNATDSAPPALPRSTGNGRRRLGKALVIGSLLCGVTGVTLLVVSCLLCVFGCLRVRRNSEQNDSFRHGDDNFNLNNNNNDNNDNKLEVVRTS >CAK8535748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879367736:879370455:1 gene:gene-LATHSAT_LOCUS5176 transcript:rna-LATHSAT_LOCUS5176 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKGRVIPPKQKRKMVLPHKVTQAAKNAHNARHLELEQHDVEQMDVEQQDERQVEVEQHDSGQVDAEIQDNENPKDPPITMVPTPDFRPHEAIETSLHVRDPSSSAQGSRHMSDPNTQSGSLHVQTSDDLVQESRHSCHEIHKTTDGRPYIFPYGRGWNPCRVASRALTKVIESQFRKAWISWREIPDKRVNRMFTKFGKIVAWRPEDEFELKSIFKSKGSKRLSEILMDARKKQERPSWMGEGAWKGLKIKWETPEYKVKAARNKKNRASAKGGSVHTGGSISTNEHIIRMRRELGREPTLDEVFLRTHTKKKDSSWVDERSKKTYETFQEKLKHASQVGETSNSGPKEVDSATRLNFWAEAAGGKTRGRLYGAGDLSKHYKPGVSSLITQQSRVSTCSGQVSAEIAAQMATIEERANAAEEDARVAREECRKANKRTQDLERQLRELAESVASIKGDKRRRRHSDYDDDSDSDDDSIGSI >CAK8567701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537277656:537282835:1 gene:gene-LATHSAT_LOCUS20728 transcript:rna-LATHSAT_LOCUS20728 gene_biotype:protein_coding transcript_biotype:protein_coding MICSNRSEKTGSNWLDRLRSIKGIPTGDNIDLDSFLLRLTAHSPQPRPIKPPRRRPTVTHDPPLTAVLAQLFNPGATLSITSKKCPRKQTNPKIFIASSSTTVNNAPTTTGGDAVVEVEDRVVEENDCEEDLKGFTRSEVTVIDTSCPVWKVDKLVFRKNSVWKVRERKSKTKFFTKKKSKTTHDLDIHETGNSKDNVVNMGGAKPVKKLKVINA >CAK8534617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749001383:749001637:1 gene:gene-LATHSAT_LOCUS4148 transcript:rna-LATHSAT_LOCUS4148 gene_biotype:protein_coding transcript_biotype:protein_coding MISDLGLDYNICKASRWKEFSQVESESSEHAKYDHKVPAKILRHFPLIPRFQRLFMCSKTAKEMRWHEGRSKDGKLRHPADGQA >CAK8536367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935223291:935223959:1 gene:gene-LATHSAT_LOCUS5743 transcript:rna-LATHSAT_LOCUS5743 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIELPVANDVLSLHQNNGSMHVGTSVWPCSLVLVKFVDRWIHSPPDNNPYTHLLNFQTKRAVELGCGCGVAGMGLYLLGLTDIVLTDISPVMPALKKNLKVNKPVLKKNLKYSVLYWNNDSQINALNPPFDFVIAADVVYIEESVPSFVSAMESLLAEDGVVLLGYQIRSPEAHALFWEMCGRVFEIEKVPHEHLHPEYAYEEADIYLLRKKKKQ >CAK8561896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406419164:406427650:1 gene:gene-LATHSAT_LOCUS15444 transcript:rna-LATHSAT_LOCUS15444 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEGAVSGSDMEVPIPLPLASESQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRSFIAAADALLAIRHEVSSIDNHLESMINEIPKLTSGCTEFIESAEQILEKRKMNQTMLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVGKLSTMHPKLPIIQALAAEVRLTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYGMRLLFLRCREAWLNGILEDLDQANPYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLQTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMSTAVENFQLVLDSHRWVPLPAVGFRSNAVEETKEDVTPPSYLMEHPPLAVFINGVSAAMNELRPCAPISLKHVLAQELIKGLQAVSDSLLLYNTTRVLRANESGLFLSLCRAFIEVAYPHCATCFGRCYTGGATLIMDAKNVYDGIRRLVEASSARELPKPVNNREASGIAENGEVPKMDNGETPDAKESEVINTESEAIKTDEVNKISTSQADQEDTNLEKSSTSPTGQADTNIEKNSTQTGQEDTNLEKTDG >CAK8560351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15281653:15287188:-1 gene:gene-LATHSAT_LOCUS14031 transcript:rna-LATHSAT_LOCUS14031 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPKEYDVFISFRGEDTRKTFTAQLDDALKDKGIITYIDYMLKKGDEVGPSLAKAIKDSHISLVVFSENYATSKWCLDELLHILQCRELHGQVVIPVFCDVDPSHVRHQKESYQKAFAKYTRELASSKSHIDKVLEWKAALSSAANISGWDSRKYWNYSQVIREIVKDVKQKLSLMFPNELKNIVKVDENDEHIELLLEKIPRIGIWGMSGIGKTTIAKQMFAKNFAHYDNVCFLENVSEESEKFGQMYVRNKLLSELLKREITASNVHGQQAFIKRRLSGKKSFIVLDDVDNATQLDDLCGVLDDLGHNSRLIITTRNKDILSGNVDKIYEVTPWKLKDSLKLFSLGAFRQSHPKKGYERISERAVEYAGGVPLALKVLGLHFKSKKPKSWISELTDYENKGETFPGIQKVLELSYNGLSWREKATFLDIAFFFKDENKDLVTRVLNTCDFNATNGVEVLENKALISISNSNTIQIHGLLQKMAFDIARQEDNDRGKRRRLKDAKDICDVLRNNKGIDAVEGIILDLSQKLDLEVQADTFNLMTELRVLKFHVPKGKKKLGTVHLPENFKLCFEKLAYLEWNGYPLKSLPEPVRAEQLIHICLPHSNIEHLWFKKQKLVNLEAIDLSGCKKLKNLPDLSRAPKLKQLRLSGCEELLEVQASAFSKDTLVTLLLDGCKKLSSLMGEKHLKSLANFSVKGCYGLKEFSLSSDSLRRLDLSNTGIEILHPSIGGMNNLYSLNLEGLNLTNLPIELSRLRSLTELRVSKCSVVTKSKLKALFDGLSSLTLLHLKDCCNLFELPANISSLSSLQELRLDGSNVKELPASIKYLLELEVQSVGNCSKLQCLPELPLSIKEFQADNCLSLTTVSTLKTFSVNMIGQSKYISFQNSIKMELDGPSLVCMTEDAVLTMKSAAFHNVLVRKYRFQTHSYNYNSAEVCLPGNRIPSQFKQRSTTYASLTIEVLNWVGFILAVVVTPSNITQEREYFVGILCQCYSEDGRTEVGNMSKWKPKLVTNLKMDHIFVWYDPFLCDTILRRNERKVSFKFCITTYTTSGRELGDLLKIKECGVCPIYYSESQKVLGTGNLDKDLEKELYQEIEHERSVEGYDEGECIDIKPNDKEGTGIQNQELDCLIVSKDTQVHDNAQEKENLEIETSSNKREQFEEEVSTGGSSDVRFSINKKIKRDEGSSVDESHPTNFPARLSVTNKLKIYEETKNLQQSLPSLVKIPSHSYTNLLQLKEAPNKLIPNSSMAAESSSSKPSSSKGQIRTEEDSSKLKKLNTQEHSPVDYSEYKKTLEEDPWAIIEKLLSDEPGSTSQASQSTTQAESTETQNESIKMLLDELRQLAFSRNLLKNLDKDVTLEEDVKALLVKINDRANELSEKQSSGITDFTTIFNEATVNIDEEKRSNATLQQLNVDHEDSKSKLQESKNKMKKFEESIVAGEDKIKVMDVEIKDIRAQIVLLEEKALKVQQEKLQLEDALLKCKEKRSGISDEAKNVASKTIQIREKIDNVKKKKMELDSNYEKLKEDYAIMRLSPPF >CAK8567335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506322079:506322390:1 gene:gene-LATHSAT_LOCUS20399 transcript:rna-LATHSAT_LOCUS20399 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCGKGIKGLEKGRTKRHMMIMRDNIWRTIKPPICRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRQGRTLYGFGG >CAK8530855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55975258:55979649:-1 gene:gene-LATHSAT_LOCUS690 transcript:rna-LATHSAT_LOCUS690 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAGFSPPPPQEGEKRVLDSELWHACAGPLVSLPAIGSRVVYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLVCQLHNLTMHADVETDEVYAQMTLQPLNAQEQKEAYHPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVLPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRACPSEFVIPLTKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSARWSNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSFHGMKDDDFGMNSPLLWLRDNDRGLQSLNFQGIGVNPWMQPRFDPSMLNMQTDMYQAVAAAALQDMRSVDPSKQHPGSLLQFQQPSNFPNRTAALMQAQMLQQSQSQQAFQNNQDNQNLSQSQQQTQTHTQQHLQHQHSFNNQLHHHNQQQQQQQQTQQVVDNQQISGSISTMSQFISARQPQSPPPMQALPSLCHQQSFSDSNVNSSTSIVSPLHSIMGSFPQDETSHLLSLPRTGSWVPVQNSTGWPSKRVAVDPLLSSGASQCIMPQVEQVGQPQNTISQNAITLPPFPGRECSIDQEGNNDLQSNLLFGVNIDPSSLLMHNRMSNFKGINGNNSDSSTMPYHQCSNYMNTAGTDSSLNHGVTPSIGESGFLQNLENGEQESNPLNKTFVKVYKAGSFGRSLDITKFSSYHELRSELARMFGLEGELEDPVRSGWQLVFVDRENDVLLLGDGPWPEFVNSVWCIKILSPEEVQQMGNTGLGLLNSVPIQRLSSSICDDYVSRQDPRNLSSGITTVGSLDY >CAK8571354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:389482248:389482631:1 gene:gene-LATHSAT_LOCUS24021 transcript:rna-LATHSAT_LOCUS24021 gene_biotype:protein_coding transcript_biotype:protein_coding MFASIHSARQMTWNHTYFNSSGMMRYPSDGEAWKHFDRVHTDFAAEPKNVRLGLCSDGFTPYIQSSKIAYSCWPVIVTSYNIPFEICMMKPYMFLTCLIPGPLSPKAGIDVYLQPLVDDLKRLYQCY >CAK8536600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1729069:1730616:-1 gene:gene-LATHSAT_LOCUS5955 transcript:rna-LATHSAT_LOCUS5955-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAGAVKPKEEEQDGMSVHSPCKPPPSSASSLPKEQAQVELELRLLEALEIYPPIKLQGIHRHFVLYGLMEYLKRSFDRHFSSEEVLQLLDRFYNLEMLKTDDEDIDMLNHEEDFSLPQSFFGKEES >CAK8536601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1729069:1730616:-1 gene:gene-LATHSAT_LOCUS5955 transcript:rna-LATHSAT_LOCUS5955 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAGAVKPKEEEQDGMSVHSPCKPPPSSASSLPKVELELRLLEALEIYPPIKLQGIHRHFVLYGLMEYLKRSFDRHFSSEEVLQLLDRFYNLEMLKTDDEDIDMLNHEEDFSLPQSFFGKEES >CAK8576900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523024046:523024669:-1 gene:gene-LATHSAT_LOCUS29057 transcript:rna-LATHSAT_LOCUS29057 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCLFAVGEVAKFANIRKQLEVLEDRLDTMVQPRLTDALSNCKIDAAQDLRKVLIRIGRFKSLESQYSKVHMKPIKQLWEDFGSRERANKSANEKNEMERTSSVGNFQSVSPTISFSNWLRSFYDELLLYLEQEWKWYHY >CAK8533177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588218910:588219332:-1 gene:gene-LATHSAT_LOCUS2824 transcript:rna-LATHSAT_LOCUS2824 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQLLGDETYDPFLSMINKCPILSTPTDWKETKDSHVFISDLPGLKKEDVKIEIDEGKVLQISGERSNNVDEDDEKNHNKWHHVERCRGKFCRRFKLPQNAKVDQVKANMENGVLIVTIPKEQGKKFETKVIQIEGN >CAK8542703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539023124:539025845:-1 gene:gene-LATHSAT_LOCUS11518 transcript:rna-LATHSAT_LOCUS11518 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPCDYCDTRSAVLYCRPDSAKLCLVCDQHVHSANALALKHVRYQICQNCQNDAASVRCFTDNLVQCLRCDWDAHGGDSSSSSSHHHNHNRRRLDGLTGCPSVHEIVVALGLDLKPNDAVFVTDFEGPVVPVVQNRDELYEQVAEVAKRKRNLEPENDCRFSDCSNEVDDLLLLQQTPFTSLLNFSSEFDVGDKKSSNDYGNESGVLLWNRNPTYQPPQVWDFQLQKSRDMTYDGVEVVPKSLQDVHNKNYSTFGDDFLSRNNHSDQSSSSHVKKKVESNKKTRDGLPTESKLVESLTYSSAADNVPVMEYLLSGGENVSNINGKVSLEEQARNRGDAMLRYKEKKKTRRFDKHIRYESRKARADTRKRVRGRFVKAGDDDVQG >CAK8532825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:522449041:522451524:1 gene:gene-LATHSAT_LOCUS2489 transcript:rna-LATHSAT_LOCUS2489 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDSIARTAVGILGNVISFGLFLSPAPTFYGIIKKKAVEEFKPDPYLATIMNCAFWVFYGMPFVHPDSTLVYTINGIGVVIQMIYLTIFYIYSTTKGRKKLVLIFAIEAIFFAAIVLITMLALHGTRKRSLVVGVISDVFNVMMYVSPLTVMTKVIKTKSVKYMPFWLSLANLLNGLAWTTYALLHPFDIYILICNSIGVVSGIVQLILYACYCFNKGENNEDGDVEMKPTSVHEIRFNGRTTVA >CAK8566089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378433190:378435549:1 gene:gene-LATHSAT_LOCUS19252 transcript:rna-LATHSAT_LOCUS19252-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDISNWVMEFLLRSSVPDSLIQKTLTVLPLSGADSRLKKTLLLRTLQTHLRNASLSETSLQIIEHLEELYRGEPVPISAAMRSAYCAVAVECTVKYLITSHEDPSGEYFSAVRRIWRGRVVQLSAEGRRSELLSDELSRWGEDIEAALWDVKVSERLAGLNTRKDAMHEVKRFLKDAWQVMGPSFLDSMAMSCKGNGLRPEGVCGIASGNEKLRKSDGRLESSGKEKMCSVGDDNNDNVDGDGDDDDVVAMGENQGDGDDDDVAAMGENHGDERLEERVGTTVDANQEVGGCDSSNVDKVEIRKDNLQLKRKHSALRTCHRGVKISGAEEVSPSNLSSKYKILPSAEVKKVRESLKSSSMELKALVKDPLPDALRSSEDVRSKLATKHTNLGPPSENQSGHVDARQLDGCKTVVLYQSNDANLAKKSSVPCSNDRRPNFMGRASSAHAYEWNDSIDNSPQERLPRRKKRKWTSLEEETLRAGVKMFGEGNWRTIRDFYSNIFEYRSGVDLKDKWRNMTR >CAK8566087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378433181:378435549:1 gene:gene-LATHSAT_LOCUS19252 transcript:rna-LATHSAT_LOCUS19252 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMDKDISNWVMEFLLRSSVPDSLIQKTLTVLPLSGADSRLKKTLLLRTLQTHLRNASLSETSLQIIEHLEELYRGEPVPISAAMRSAYCAVAVECTVKYLITSHEDPSGEYFSAVRRIWRGRVVQLSAEGRRSELLSDELSRWGEDIEAALWDVKVSERLAGLNTRKDAMHEVKRFLKDAWQVMGPSFLDSMAMSCKGNGLRPEGVCGIASGNEKLRKSDGRLESSGKEKMCSVGDDNNDNVDGDGDDDDVVAMGENQGDGDDDDVAAMGENHGDERLEERVGTTVDANQEVGGCDSSNVDKVEIRKDNLQLKRKHSALRTCHRGVKISGAEEVSPSNLSSKYKILPSAEVKKVRESLKSSSMELKALVKDPLPDALRSSEDVRSKLATKHTNLGPPSENQSGHVDARQLDGCKTVVLYQSNDANLAKKSSVPCSNDRRPNFMGRASSAHAYEWNDSIDNSPQERLPRRKKRKWTSLEEETLRAGVKMFGEGNWRTIRDFYSNIFEYRSGVCNLYPKNFVLFLLEHMLSLTLQFLLFEVDLKDKWRNMTR >CAK8566088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378433190:378435549:1 gene:gene-LATHSAT_LOCUS19252 transcript:rna-LATHSAT_LOCUS19252-4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDISNWVMEFLLRSSVPDSLIQKTLTVLPLSGADSRLKKTLLLRTLQTHLRNASLSETSLQIIEHLEELYRGEPVPISAAMRSAYCAVAVECTVKYLITSHEDPSGEYFSAVRRIWRGRVVQLSAEGRRSELLSDELSRWGEDIEAALWDVKVSERLAGLNTRKDAMHEVKRFLKDAWQVMGPSFLDSMAMSCKGNGLRPEGVCGIASGNEKLRKSDGRLESSGKEKMCSVGDDNNDNVDGDGDDDDVVAMGENQGDGDDDDVAAMGENHGDERLEERVGTTVDANQEVGGCDSSNVDKEIRKDNLQLKRKHSALRTCHRGVKISGAEEVSPSNLSSKYKILPSAEVKKVRESLKSSSMELKALVKDPLPDALRSSEDVRSKLATKHTNLGPPSENQSGHVDARQLDGCKTVVLYQSNDANLAKKSSVPCSNDRRPNFMGRASSAHAYEWNDSIDNSPQERLPRRKKRKWTSLEEETLRAGVKMFGEGNWRTIRDFYSNIFEYRSGVDLKDKWRNMTR >CAK8566086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378433181:378435549:1 gene:gene-LATHSAT_LOCUS19252 transcript:rna-LATHSAT_LOCUS19252-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMDKDISNWVMEFLLRSSVPDSLIQKTLTVLPLSGADSRLKKTLLLRTLQTHLRNASLSETSLQIIEHLEELYRGEPVPISAAMRSAYCAVAVECTVKYLITSHEDPSGEYFSAVRRIWRGRVVQLSAEGRRSELLSDELSRWGEDIEAALWDVKVSERLAGLNTRKDAMHEVKRFLKDAWQVMGPSFLDSMAMSCKGNGLRPEGVCGIASGNEKLRKSDGRLESSGKEKMCSVGDDNNDNVDGDGDDDDVVAMGENQGDGDDDDVAAMGENHGDERLEERVGTTVDANQEVGGCDSSNVDKEIRKDNLQLKRKHSALRTCHRGVKISGAEEVSPSNLSSKYKILPSAEVKKVRESLKSSSMELKALVKDPLPDALRSSEDVRSKLATKHTNLGPPSENQSGHVDARQLDGCKTVVLYQSNDANLAKKSSVPCSNDRRPNFMGRASSAHAYEWNDSIDNSPQERLPRRKKRKWTSLEEETLRAGVKMFGEGNWRTIRDFYSNIFEYRSGVDLKDKWRNMTR >CAK8570971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:210663914:210664964:-1 gene:gene-LATHSAT_LOCUS23675 transcript:rna-LATHSAT_LOCUS23675 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIAGIVVGGAFGILLLALILYFVLYRRKKVSHVTLLPVPGASEDQYSQLQHGCGSSLVKASESTTIASPRLTGITVYKSVEFSYEELAKATDGFSVANIIGRGGFGSVYYAELRNEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHFARHRLTIVIIT >CAK8537285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:203524400:203524699:-1 gene:gene-LATHSAT_LOCUS6584 transcript:rna-LATHSAT_LOCUS6584 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHNRQDKSASLRGKISFRNMDNLNIQRVYLTFNQESTLWNIKRRVSSHRKRGPDEAEKNHAKKGRRGNELEEFEKLERNLYQYRRLLVVLCPPSSPA >CAK8532791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516683886:516685527:-1 gene:gene-LATHSAT_LOCUS2462 transcript:rna-LATHSAT_LOCUS2462 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSSSSHASGDTVGTRIPIVPEGDGFDHHKLVVSEIAHIIRTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVTVRRNFEKRSAAKMSQLMQDVQRDLEYRPEWMGEEVWKKLTVHWNSSKFKKVSATNKRNRCSMDGASLHTGGSIPHRLHWKRMKKEKGVDPSLTEFYFRTHRKKDQSWVGVHAESAYEEFERKKLMISSENSTVPGEDEVDSQPTVEMPSDLDIWVESFGKKKGRVFGLGTIAKTLVPSSTQPSLSSNSQEVDDLRSQVHALNASLQRQEQEKLEMKQQLQRQEKEMIETNNKLSLLMNHLGFAASSSHPPQANNEIDNQSEDDVDESDEDISEHISSEF >CAK8530921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63488888:63490195:1 gene:gene-LATHSAT_LOCUS748 transcript:rna-LATHSAT_LOCUS748 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSPINPNPFHFPYATKWSAYGMNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSVASDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQTQVPFYPSQNFTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAADDDFNDDLVAQFMNPNNDAGPSTQTQNAEVDRRRSTRNVQAPACGTHQRLRRPGRN >CAK8575383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:104344190:104349445:1 gene:gene-LATHSAT_LOCUS27652 transcript:rna-LATHSAT_LOCUS27652 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAFASASAITDQRQKIEQYKHILSAVISSNDIGQARKFIDHILSDDVPLVVSRQLLQGFAEELGRLTPETQKEIAHFILAQIQPRVVSFEEQVLVIREKLAELYESEEQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIACLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLKYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEINEEALGQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRRPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPPKAEKIASRMIYEDRMKGSIDQVEAIIHFDDDTEELQRWDQQIVGLCQALNDVLDSMAKKGIPVPV >CAK8570626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75865080:75866003:-1 gene:gene-LATHSAT_LOCUS23362 transcript:rna-LATHSAT_LOCUS23362 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFAVKTLEQTIQISKETQSIKLLTKKYLKPFKNYNFIHIGLVQIALKPLTLLSLNSSIMAYARDGRCKDFKQSLAAMVETSLCHGPVKFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPHEIDPERILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIGLSENRVPHGIYQKPHTPRVE >CAK8538854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498158162:498160401:-1 gene:gene-LATHSAT_LOCUS8009 transcript:rna-LATHSAT_LOCUS8009 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMKDLNVDHSCTSPKTPNFRQRRRLNEILPDINKSNGILLLVNDKYKYKSMWIRAYSSLWMLACVALIIYLGHLYIWAMMVVIQIFMASELFNLLRIGNQDKRLPKFKLLNWHFFFTAMLYVYGRILSQHLVNTVTSDKFFYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIIVFTQSAFMVANIFQGIFWFLFPALLIAMNDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASIATMIAAFTFANFLGRFQWLTCPRKDLSTGWLECDPDPIFKPDYIPMPGVVPPGMIPEKEIAVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVVPHDYSIDVLLEQIMRDLGFEEQLALYTKLGQILRERHVL >CAK8538855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498158162:498160389:-1 gene:gene-LATHSAT_LOCUS8009 transcript:rna-LATHSAT_LOCUS8009-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLNVDHSCTSPKTPNFRQRRRLNEILPDINKSNGILLLVNDKYKYKSMWIRAYSSLWMLACVALIIYLGHLYIWAMMVVIQIFMASELFNLLRIGNQDKRLPKFKLLNWHFFFTAMLYVYGRILSQHLVNTVTSDKFFYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIIVFTQSAFMVANIFQGIFWFLFPALLIAMNDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASIATMIAAFTFANFLGRFQWLTCPRKDLSTGWLECDPDPIFKPDYIPMPGVVPPGMIPEKEIAVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVVPHDYSIDVLLEQIMRDLGFEEQLALYTKLGQILRERHVL >CAK8562282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466057505:466062475:-1 gene:gene-LATHSAT_LOCUS15791 transcript:rna-LATHSAT_LOCUS15791 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNNDPLMRNQNAAVQARTKAQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEYKPPPEKRKCPPLTGMAQFVNKFAEPGEPEYSPPVPVVETPAERRARVHKLRLEKGAAKAAEELEKYDPQNDPNISGDPYKTLFVARLSYETTESRIKREFESYGAIKRVRLVTDTESNKPRGYAFIEYLHTRDMKAAYKQADGRKIEGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGREQQQSGPSRSEEPRAREDRHVDRDREKSRERGKDRERERSRERSSDKARDRDHREDRHHRDRDRDRNRDRDRGKERERERDRGRDRERGRDRDRGRERDRDRDVEHDRYREKDKDYEVGETERGRSHDRGTDYDHVESKHEKEPHGERERGYDDHRGRYNQPGHGHRHADPEHDPDQYDHYDHHRGRVQYDEGDDHGDYNQHPDPAKIEDDHHTERAKSKSRDRDRNRDTDRDYHRSERSHSREYDY >CAK8565260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:86188384:86189478:-1 gene:gene-LATHSAT_LOCUS18488 transcript:rna-LATHSAT_LOCUS18488 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRPGERWITLNRQFADESIKVEITMFNGAAPALKASGGVANADEAQLHITLIVNISKDRFMELTERLKLCSGTLYEHFTKILEGYKEQHLLNELATGKNMDAYPMTFERYVQKEWKEIAQKYELDDDDDKNACVISPEKFVMQRFGNLRKILEFLNHALYTHLPKYFVSLETVKVMLQAPKMLESFENSLSQCIFKPTLFDLEEKFVSDCFGLEKMDEILCILSLLSSSISLPGIYMKRDIEEFCLSNTCLILCTTSSSGKLYTLGMTLVKFLVIDEAAQLKRCESTILLQLPGLSHCILIGDERQLPALVKSKIVDKCEFGRSMFERLVRLGVLR >CAK8572735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559136464:559140240:1 gene:gene-LATHSAT_LOCUS25264 transcript:rna-LATHSAT_LOCUS25264 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTSEEDEILSKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNISAEEEDTIVKLHTTYGNRWSMIASHLPGRTDNEIKNHWNSHLSRKIYRFSKISATTETKTIEIPLPKRKCGRTSRWAMKKNKFYKKQIITNSSTIIQPDIKEKPVHELRDVEGNTTHEQEKEKTTTTYELCDDILGLSELLDDINEVSGALCMENCLLEPCGSVTNLSEERETMEESGRAMNDDGDVCINYKQASCEEPESSVNYQGSNNGESGEWNWESVMKFNIEEEDESSREHKVNLLNWLCEDDDWEGDSKMLGEIDSQKQNDLIAWFLS >CAK8539320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509342439:509343389:-1 gene:gene-LATHSAT_LOCUS8429 transcript:rna-LATHSAT_LOCUS8429 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPTKKNTHRINLTKENTPNQPFPKIVTITYTDKDATDSSSDEEEPSKRNRIKKFVNEIIIEPCGGRGNISGGGVVPRKRNRTSTGGKTRAPATRQVTSGQKYRGVRQRPWGKWAAEIRDPSRGVRVWLGTFQTAEEAAIVYDNAAIKLRGPDALTNFITPPATCQEVSPEIENPPQLPLPLPVSDGYISGNEYQSQSQSQTQSTKSLFSPTSVLQCCSSSEEVAESVTADKESESLFSIPSDILFDFQGSSPANDEFNSFNSLSENMFYGDIDCSEYFNLDLDFGFESLHMHKDEDFFQDIDDLFASDALVAL >CAK8561776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:381390394:381391188:1 gene:gene-LATHSAT_LOCUS15335 transcript:rna-LATHSAT_LOCUS15335 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTSSKTQIIFITLISYLIFAQNVNSASFTIIDFDSYQNIIQLEGNAFISSGAVHLTGLAPNSTGRASYTGPVRLWNADNGNLASFTSIFSFVVAPNGPGLFGDGVAFFIAPFNSHLPNNSSGGFLGLFDSKTALNSYQNEIVAVEFDSFGENAWDPDYSHVGIDVNSIASVTTAPWNIRSVPNGITGFAVVKYEAVTKNLSVVVTYPGSYSVNGSVSSSVSFLIDLRTVLPEWVRIGFSGATGQLVELHKILSWSFISTFY >CAK8579489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702967229:702968773:-1 gene:gene-LATHSAT_LOCUS31433 transcript:rna-LATHSAT_LOCUS31433 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIRWFKSLFGIKKDRDNSNSDSNLNLNSSSTKWNSSLETFSKRDSRGLCHNPATIPPNISPAEAAWVQSFYSESEKEQNKHAIAVAAATAAAADAAVAAAEAAVAVVRLTSHGRDTMFGDGHQKFAAVKIQTTFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQATVRSHKSRGLVMNTKNDTHNRFQTQARRSTERYNHNESNRNEYTSSTPIHSRRLSSSFDVTVSGNSSSNNNSYDIGSPKIVEIDTGRPKSRSRRSNTSISDFGDDPSFQALSSPHPSIPSQLFIPNQRNFSESDWGIIGEECRFSTAQSTPRFTSSCSCGFVAPSTPKTICGDSFYIGEYGNYPNYMSNTQSFKAKLRSHSAPKQRPEPVPRKRLTLNELMESRNSLSGVRMQRSCSQIQDAINFKNAVMSKLDKSADFDRNYSNQRRC >CAK8542023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456117527:456119481:-1 gene:gene-LATHSAT_LOCUS10897 transcript:rna-LATHSAT_LOCUS10897 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTVSGERKSRKRRSSGRRDSVEDTLEKWKKYNKQQQQKLGCGDNGAEKIHKAPAKGSRKGCMRGKGGPQNSDCNFRGVRQRIWGKWVAEIREPINGKHVGEKANRLWLGTFSTAHEAALAYDKAAKAMYGPSARLNFPNGLPPPSSSSGGSVDSLNGEEDLAKVVELEGNLSQSDEENKILSEDFVADDDSVEVLKEGKIAGTVQCITNKRCKKIVHQEPYKNVKSETRGEKEWLESESEKVLENSGMGGECYHVQEEYIDLALNSGADCGSFDIAENGILVKTEETIRGSVEDLETFELNCNNNFLGNLHNMLPDINPRPDSEYSNIKTVASLAKKHKNRDSDEMKNELKGLECKLRGQSIDCNNDEAQRLPCVQGIHLFGGGSVGPVERMSQVEALNINTNKSTNLKERGNNGSAPHGLSSGQSRKLSDLSQQLQKLGGYLPENWNNMQFADLEVGYDYSFLKPDYDFGLLEEQKLLDICFSHIGS >CAK8563024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567103527:567106198:-1 gene:gene-LATHSAT_LOCUS16474 transcript:rna-LATHSAT_LOCUS16474 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNILRGIVIISSLLSCITCFDDDATVHEAGLTCGSYQYPADGGGNKFNQNLMAAMDAVSFQVKQHGWGAQTLIGNLNPMHVLGECRRDLNPTQCHTCFTQARQLLSRCTPKVSGRIYLDGCFLRYDNYTFFDEGVDFTRDTRTCSVERGETVSPVHVEAVIMNVSKGAGEHMFAMGEAGGVFGLAQCWETVDKWSCQRCLREAGKRLQECVPSSEGSSLFTGCFMRYSTLKFYNDVVVRNDTWTGIPPSIPSSQGQRKSGVWIIAACVISVLVIILLIIPVILMSGKSTTPREKRNSSFGASPSFANVTGFNFRYDVLERATSYFDSANKLGLSEGDGSVFKGTLPSGRMVAVKRLFFDTRQWTDVLFNDVNLINGIKHKNVVKLLGCSIDGLESLLVYEFLPGKSLDQIIFDKDSGNALPWEKRFQIICGIAEGLAYLHEGSGTKIIHREIKSSNIVLDEALNPKIVDFGFSLGSSAENKSHFNTRIAYVAPECLKKGELTEKADVYAFGVMVTEIVCGKKNSVFPQGSNSVINCVWKNYKASNITTSVDPALLGNFVAEEVSNALQVSLLCTQSSPPLRPSMSEVVQMLTKKDYNIPIPIQQPFINYTRLTLDNRTASTVSNMSHGRASSRSSFHSTTSSLHQGEEAILLENPFSSSSKFNTSRSPDSDIQVNIVVAQPR >CAK8576747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510555752:510557255:1 gene:gene-LATHSAT_LOCUS28918 transcript:rna-LATHSAT_LOCUS28918 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSAGSCGFKGTRRGTLFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIVRNGILLNVIRDVTPIPYNGCRAPKKRRWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQEIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLEIWTNGKEENFNLENNQHKMTWPLFTFHDHDRFVKDKLTNTEKEITLKSIFIDQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8576748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510556248:510557255:1 gene:gene-LATHSAT_LOCUS28918 transcript:rna-LATHSAT_LOCUS28918-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLKVSTKTLQWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQEIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLEIWTNGSFTPKEALYEASRNLIDLFIPFLHAEEENFNLENNQHKMTWPLFTFHDHDRFVKDKLTNTEKEITLKSIFIDQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8533328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603181263:603182228:-1 gene:gene-LATHSAT_LOCUS2966 transcript:rna-LATHSAT_LOCUS2966 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFEMMNDITDRKDLWKLAVKIHHKWKVITTTKEHFEMVVIDKQGHDIHVVVPTIFRQTFDSALSVNVTCTMSNFQVQLNDLIFKPTSHKYLLKFTGGIRIRDTGKHDIPNKVINLTPYADIVAGKWPKNLLIDVIGVVDKIRYSRS >CAK8567076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485224117:485225902:-1 gene:gene-LATHSAT_LOCUS20163 transcript:rna-LATHSAT_LOCUS20163 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQKWFITVLFLNVATTLNNVLGAFVGINIGTDVSDLPSASNIVAILQSHQINHVRLYDANPHMLQALSNTGIEAIVGVTDEEILKIGESPSVAASWISKNVAAYMPHTNITTIALGSEVLTSIPNIAPVLVPAMNHLYSALVASNLHFRVKVSTPHSMDLIPKPFPPSTATFNSSWNSTLYQILRFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALLRSLPSAKKIVDPNTLFRYDSMFDAMVDATYYSIKGLNFNDIAIVVTESGWPRLGGSNEPDANVENAEAYVNNLIRRVLNDSGPPSQPKIAINTYLYELFDEDKRIGPISEKHWGLFYTTNGTSVYPLSFGGFNKIFGNSSKLFCVAKDGADAEKMEAGLDWACGQGRANCAAIQAGRPCYFPNNVKSHASYAYNDYYQKMRSVGATCDFDDTAIVTTEDPSYGSCIYAGSSNASTGGDESFSSMAFGPVGPVDASLKLQVSSLHYLFSSTSLLMALMLL >CAK8578604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640878774:640879346:-1 gene:gene-LATHSAT_LOCUS30600 transcript:rna-LATHSAT_LOCUS30600 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGAAEKASLGDIYFPAVDDNAKEQIMSALRVSDQEESIIGLCGPDKRVNHSVITAIRRAERDQLFQKIVTAIVTKKLDITNIQRQIGDDIDLNFDDKMNLTESTFCMCFGNNKRMTTAERASLLCAKMKKLQTVLVVLFDLHGRLDLGEIGIPFGEDHNGCKILLTSSSLEVLSKQMKVHKLIQISER >CAK8539398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511884852:511888409:1 gene:gene-LATHSAT_LOCUS8498 transcript:rna-LATHSAT_LOCUS8498 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTSFLFLSLISTLSLVDSETLPENEVWALTSFKEAIYEDPNLALSNWNMLESDLCNWFGVTCTISGDHVIKLNISGSSLKGFLARELGQITYLQELILHGNNLIGTIPKELCMLKSLQVLDLGMNHLTGPIPTEIGNLTLLVNINLQSNGLTGRLPPELGNLRYLQELWLDRNRLQGPIPASGTYTFASTMHGMYVSGENSTGLCRSPQLKLADFSYNFLVGSIPKCLEYLPRLSFQGNCLQSNDPKQRPSTQCGGASPAKSQPVASNQYHQIADHARKHHGASEPTWLLALEIVAGTMVGSLFLVAALATVQRCNNKSSIIIPWKKSSSLKDHTAVYIDPDMLKDVRRYSRQELEEACEDFSNIIGSSPDSVVYKGTMKSGPEIAVISLCIKEESWTGYLELYFQREVAELAKLDHENTGKLLGYCRESNPFSRMLVFDYASNGTLHEHLHCYEEGCQFSWTRRMKIVIGIARGLKYLHTEVEPPFTISELNSSAVYLTEEFSPKLVDFESWKTILERSEKNSGTISSQGAVCVLPNSLEARHLDTKGNVHAFGVLLLEIISGRLPHCKEKGYLVDWAKDYLEKPEVMSHLVNPELKNFRHDDLKVICEVIALCINPDTAARPSMRELYSMLESRIDTSVSVDLKSSSSLAWAELALLS >CAK8572223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521846198:521856165:-1 gene:gene-LATHSAT_LOCUS24811 transcript:rna-LATHSAT_LOCUS24811 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMGTELMRICVKEDNDDFPSVPPGFESYTSFALKRVEENEKQNDKNPTSSSTSACESQSTQVGNGVQFSDTAKVSRSLRRRPWINHGKCENGSDEDFDSERHDQNFSSTPCLPKGVVRGCPDCRNCQKVIARWKPEDAHKPNLEDAPVFYPTEEEFQDTLKYISSIRSRAEQYGICRIVPPRSWKPPCPLKEKNIWEGSKFATRVQRIDKLQNRNSGAKKSRIQNNLKRKRRCTRMGVDIGTGTEPNAVFCEAERFGFEPGPEFTLETFKRYADEFKAKYFRNDNLSHPSTNTTILNGISDPSVENIEGEYWRMVESPTEEIEVLYGADLETGIFGSGFPSKSNQVSVSYDQYIKSGWNLNNFARLPGSLLSYETSDISGVVVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPAKDACKLEEVMRKHLPELFEEQPDLLHKLVTQLSPSILKSKGVPVYRCVQNPGDFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHIAIELYREQGRKTSISHDKLLLGAAREAVRAQWELALLKKNTLDNLKWRDVCGKDGLLAKAFKARVEMERVRREFLCSSSKALKMESSFDAASERECNICFFDLHLSAAACHCSSDRYACLDHAKQFCSCSWNSKFFLFRYDISELNVLVEALEGKLSAVYRWAKLHLGLALTSYVSVDKRTVVQELKSHSSNSSHSSGVNMNKEVALHPSNKFIDNSQLTDAPIVDRATSANSKDQSYLKQAKSAEIVSPLSHTKELSIFNSSKPTCEIANRKICVIQEESVICKSKPNAPVCQLNVEDSSYALSPPLAQHEDEKSSHCRPDDIILLSDDEDEEMKMPDSNRRKEVPQMLAGSRNKASPCSDIEYKSLTIPLTDASVVGEKEAIMLPREDPGSCSTQLLHMKQEPHEQRGPNLPSTPVDLSFHIGLTRAESVRNVPASSRGEASDHGLESLEVCPLKPQPSGTIKAKSEDNHEKLGGCSTSNVADNARAINGNISCGPNNYRQKGPRIAKVVRRINCNVEPLEFGIVLSGKSWCSSQAIFPKGFRSRVRYINVLDPCSTCYYLSEILDAGRGSPLFMVSLENSPNEVFIHMSATKCWDLVRERVNLEIAKQHKLGKKGLPPLHPPGSLDGFEMFGFSSPAIVQAIEALDRSRVCNEYWDSRPFSRPQGQLLQAGQTTVNGGGGNDQGVTTNQNTPVGTVAAVKRLFKKANAEELNSLYSILTDSKPAAEQIPIRQILFEEIHKTQPP >CAK8540505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9272859:9273481:1 gene:gene-LATHSAT_LOCUS9505 transcript:rna-LATHSAT_LOCUS9505 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFWATRVLEKVKKHDSGGLLWKRIKLTTTRKANAKKRLLRVWQNEAVLKACSEPAPSVSSFAGANNVAKSS >CAK8530137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2589105:2589791:-1 gene:gene-LATHSAT_LOCUS29 transcript:rna-LATHSAT_LOCUS29 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQRRSDKQSEESDDNNTPLSGAAADGSSSKATREISSSSSSSSLSSRALSGALTGTANLAKLLPTGTLLALQILIPVFTNKGACDSVTRILTLVLLILLAFSCILACFTDTVKSLDGRIYHGIATFKGLWLFDYSPVDGKKLPDLTEYKISTIDFVHAFLSVLVFFAVALSDQNVLHCFYPKPGDETKEVLDIVPLGIGTLCSLLFLVFPSTRHGIGYPLTAAPK >CAK8561653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:340125360:340128124:-1 gene:gene-LATHSAT_LOCUS15224 transcript:rna-LATHSAT_LOCUS15224 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYANLLDLAGGGDLLDIPHTPRTLPRVMTVPGIISDLDGESDVSSSGCRERKIIVANMLPLQAKRDIDTAKWGFSWDEDSILLQLKDGFSSDTEVIYVGSLKAEIDASEQDEVAQRLLDDFNCVPTFLPHDLQKKFYLGFCKQQLWPLFHYMLPICSDHGDRFDRVLWQAYVSANKIFADKVMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTIFIKILPVGIHMGRLDSVLNLPSTSSKLKEIQEEFKDRKVILGVDDMDIFKGINLKFLAVEQLLQQNSDLQGKVVLVQIVNPARGSGKDVQEAKKEAYLIAQRINDTYGSSHYQPVIIIDRPVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAKLDEAVGRQSDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADALNLALTMRDSEKKLRHEKHYRYVSSHDVAYWARSFMQDLERACKDHYTKRCWGIGLGLGFRVISLSHGFRKLSIDHIVSAYKRTSRRAIFLDYDGTIVPQASINKTPSPEVISVLNALCNDTKNIVFIVSGRATDSLSDWFTSCKMLGLAAEHGYFLRWNSDSEWETSHLSADLDWKQIVEPVMQSYTEATDGSSIEIKESALVWHHQDADPDFGSCQAKELLDHLESVLANEPALVKRGQHIVEVKPQGVTKGLVAEKVLLNMVNGGNPPDFVMCIGDDRSDEDMFESILSTVSCPSLPSAPEIFACTVGRKPSKAKYFLDDTADVVRLLQGLASSSNPKPKHHHAQFQVSFESIVS >CAK8570075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23228908:23230401:1 gene:gene-LATHSAT_LOCUS22859 transcript:rna-LATHSAT_LOCUS22859 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLETPRGSGTNGYIQSNKFFLKPRTSKVAENMKGFEADQGTAGVTRKANKEILEHDRKRQIQLKLVILEDKLIDQGYTESEIAEKLEEARINLEAAADEKDGSSNLDKLSDTQTHQIAARKEKQMETLKAALGIASSEPGELNADGNDEEIGNERGVSVPDAKHISEHSFLDRDFSRKKQPEEVLKEKNTKKKSVEDTKHHRKGGTLKKKHRDDSSDSDAQRNVKAKKKQETSLYRVDSHKKTNHTIRKEEELNRQSRSAKLRIIHKL >CAK8571472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:426827348:426829885:1 gene:gene-LATHSAT_LOCUS24135 transcript:rna-LATHSAT_LOCUS24135 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIELKKTVEEVEVVNELEIDVSFVRILWVDNSGQHRCRAIPRKRFYDVVTKNGVGLAFALMGMTSFLDAPATGSGLGTVGEARLTPDLSTIRIIPWNKQDEIVLGDLNVQPGQAWEYCPREALRRASKILKDEFDLVMNAGFENEFFLLKSLTREGIEEWIPVDSSPYCSSSAFDAASTILHEVASGLHSIGIQVEQLHAEAGKGQFELVLEHTVCTKAADNLVYTHETVRAIARKHGLLATFIPKYKLDDLGSGSHVHLSLWQNGRNVFMASDGSSKYGISTLGKEFMAGVLYNLPSILPFIAPLPISYDRLQPNTWSGAYLFWGNENKEAPIRASSPPGIPNGLTSNFEIKSFDGSANPYLGLAAIIAAGIDGLRKHLSLPEPVDTDPNPDNLQRLPKSLSESLEALDKADFLEEFIGVKLLTAIKAIRKAEIDHYLKNKEAYKQLIHSY >CAK8573391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609080895:609082265:-1 gene:gene-LATHSAT_LOCUS25843 transcript:rna-LATHSAT_LOCUS25843 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLSQGGVGNSFGGFDLPGSIQVHHQAHHPHTIHQHQPHPHPHQHQHPRQGSSLHSSVHDGFPLLQNCDQTVSMTDYSKGERSKNSTSDEDEPSFNEDGFDGQHEGGRGKKGPSSPWQRVKWTDKMVRLMITAVSYIGEDITSDGGGGGGGSGRRRFTVLQKKGKWKSVSKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCEVVENPLLLDVINFLSEKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDHALQCSMQIALRNRDDHDHENDDIRMSHVEDHDDDEQDVEIEERDDFKVHCASRGDSASRGGSMKNMKQIHGQEDGNTNTLGNSSNCQDYFNQGSHPRGQTVHSDGNQVSPENTRATWLQKQLVDIRQVQLEEQKLQIQAETLELEKQRFKWQRFSKKKDQELEKMSLENERMKLENERMALELKRKEMGISFN >CAK8534424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725198821:725200386:-1 gene:gene-LATHSAT_LOCUS3971 transcript:rna-LATHSAT_LOCUS3971 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTSLESSGGSPPEVGEEYLNALNPVIDLDANTNEEPLTNESTPANEVVNEENVESSDIVIQKSKRKKTSLVWDHFKKVELKNGKKWQCIHCKKNYAVVSSGSTSHLMRHLKDICHVYKKLVAQQKKLNFQPAKSMIDEKLSGPLLMNSGAKYDHERQREATAHWIMMHEHAFSIVEEEGFLFMMKCSNISYEKISRKTLKNDCIAVYEAERKKLKSTLRMVNKICLTTDLWKSQNQKIEYMVLTGHFIDADWVLQKRILSFVHVPPPRRGVDIADAIFKCLKDWGIENKIFSVSVDNAHYNDRCLKELKVLILRHRKLVLDGKLFHVRCCAHILNLLVQDGIGKIAKIVENVRESVKFINQSETRLQTFSQIVQQLKLGGKKLILDCPTRWNSTYQMLSVAMQFKEVFPRFQDRESSYKTLPDDDDWEKVEKVCKLLEVFNVVTNIISGSEYPTANLYLAEVFRIKLVLDHGIQDESDFMKEMTKAMKEKFDKYWSQCNLVMSLASVLDPRIKMMGVN >CAK8562055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:432308351:432317827:-1 gene:gene-LATHSAT_LOCUS15588 transcript:rna-LATHSAT_LOCUS15588 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPRVDDDHFEGFRHSFDEIHAEVDKLEKQVIEVERHYESVGNVQGSNSKGGSLVVKEKGREKSLAGTKKLLQDAPRTETAAAKRMQELMRQFSTILRQITQHKWAWPFMEPVDVEGLGLHDYYEIIDKPMDFGTIKNKMEAKDDTGYKNVREIYADVRLIFKNAMKYNDEKHDVHVMAKTLLEKFEDKWLQLLPKVAEEEKREIEEEAQTQVDIQHAQEMTYAKIAKDLASELDEVDLRLTKLKEMVIQNCRKLSTGERKKLVSALAKLSPENLQRALGIVAENNPSFESTQVEVVLDLDAQSDYTLWRLQHFVKGALEDQPKICEGAAIDIDTDEKKTNSRRKREFCDALVNNLTKTRKLSNL >CAK8536109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908365670:908370359:1 gene:gene-LATHSAT_LOCUS5509 transcript:rna-LATHSAT_LOCUS5509 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKHPVDGFSAGLVDETNIFEWSVTIIGPPDTLYEGGFFNAIMSFPQNYPNSPPSVKFTSEIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDRRDDFKKKVSRCVRKSQEML >CAK8569606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6803067:6803528:1 gene:gene-LATHSAT_LOCUS22440 transcript:rna-LATHSAT_LOCUS22440 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFRHSGETNYIGAIDCTHVRVKVSIELTPRYCGRKDYLTQSVLVACSFDLKFTYVLVRWEGIASDSRIVKSALARVHPLKDP >CAK8574557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2025232:2027418:-1 gene:gene-LATHSAT_LOCUS26890 transcript:rna-LATHSAT_LOCUS26890 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELLALNSSPLCNVTTTRTRRRIHHKHYHSNLSIPMAMMTSASKVYDSVLENKKHVLLTSLQDTHRGLLTTPHQRSSIEQALVNVEVTNTGDPIDLNKLDGTWRLQYTSAPDVLILFQAAATLPFFQVGQIFQKFECRHNSTGGVILNVVRWSIPNLLEEQEGATLLVSAKFTLVSARNIYLQFQEITLQDINISEQVQALISSALLPRSFISLQILQYLRTFKAQIPVRNPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLC >CAK8566921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472655408:472656445:1 gene:gene-LATHSAT_LOCUS20017 transcript:rna-LATHSAT_LOCUS20017 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLILSIFSVAALLAVADGSYIGVNYGRIANNLPSAFKVVNLLKSQGINRVKLYDTDRAVLRALSGSGIKVTVNLPNEQLFFAAKKLSYALAWLNRNVVVYQPHTVIEAIAVGNEVFVDPHNTTKYLVPAMKNIHKALIKNNLHNSIKISSPIALSALGSSYPSSTGSFQPDLVEPVIKPMLDFIRETSSYLMVNVYPFFAYESNADVISLDYALFRENPGNVDPGNGLKYFNIFDAQIDAVFAALSALKYDDVKIVVSETGWPSKGDSDEVGASPENAAAYNGNLVKKILTNGGTPLRPNANLTVYLFALFNENGKVGPTSERNFGMFYPNMEKVYDVPFTV >CAK8569752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9777522:9782453:-1 gene:gene-LATHSAT_LOCUS22567 transcript:rna-LATHSAT_LOCUS22567 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVAAELTEVVQSLPSDAVNHLFSLGLCVRCIFRVFGVQATTVYASPSSLSPSIFGLQQSTDKLCTLCLGILQFRFLNDDNTSITDDVPLLISNFVKREGYHCDSFSLEVSIPQIIHHNDNSLRSYMKTKYGSQPWFQDTCISAKDALKFSIMHPLENLLECKSSTDSLRIRLTYSASKEAEKCSDNLDSCKRRKTDECNLVGQKPSSSSLENESSDCCKFLLEMANEPCHFTYLCSRTPLYFGGRYLKYSRNVSQSCWIIDDERMGEASVEEIIGGNILQVCQADSFKFHAAGREDIDVRMLGPGRPFLVEVQNARQVPSELFVKDIEKKINSMENKLVKVKNLKLVGSHGWDLMREGEAEKQKQYAALVWISRPLKDEDFQHVSSLKDLKVLQRTPIRVLHRRSPLEREKIIHWMKMETIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSIFGCRAEILQLDVTDIKMECFLT >CAK8538586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487733983:487734511:-1 gene:gene-LATHSAT_LOCUS7767 transcript:rna-LATHSAT_LOCUS7767-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIYENERFKKFYMPPVFEESIKPKTNLDDSKLLRRRLDTVFEESDETNLDEEEAVVKDSQQHVTENKKLLLSMNAFELIAMSKALNFENLLKSMLENSKPKRKRKLNLPQRCFTWLK >CAK8538585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487733983:487734511:-1 gene:gene-LATHSAT_LOCUS7767 transcript:rna-LATHSAT_LOCUS7767 gene_biotype:protein_coding transcript_biotype:protein_coding MADIYENERFKKFYMPPVFEEKSDETNLDEEEAVVKDSQQHVTENKKLLLSMNAFELIAMSKALNFENLLKSMLENSKPKRKRKLNLPQRCFTWLK >CAK8562438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:491287166:491290793:1 gene:gene-LATHSAT_LOCUS15934 transcript:rna-LATHSAT_LOCUS15934 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQQKKPPQKKQQNPQPQNKKQGKQDDTSQFRTQVDALGLRIVQVTADGNCFFRSLADQLEGNEEEHRKYRSMVVKHILDNREMFEPFIEDEVPFDEYCQTMENDGTWAGHMELQAASLVTRSNVCIHRNMFPRWYIRNFDDCQVRMVHLSYHDGEHYNSVRLKDDPCDGPARSIIIKADADLSVASHQTKDRSSKPYAQAGPTTFQPGSVKVVMAGSGCESRKKVEQILEQVNGDVGSAIEFLIAEQGAEECSSNSDCLPSQASTTGSDENENHETHKENVVENNIDDVLSNCSRKTNDNNTLQQNDKKIPRNKVCPCGSKKKYKACCGTASGKQSAKFIVNQEADSRRSKKETKGTSAKAEVTPDMGALCI >CAK8562439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:491287166:491290793:1 gene:gene-LATHSAT_LOCUS15934 transcript:rna-LATHSAT_LOCUS15934-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQQKKPPQKKQQNPQPQNKKQGKQDDTSQFRTQVDALGLRIVQVTADGNCFFRSLADQLEGNEEEHRKYRSMVVKHILDNREMFEPFIEDEVPFDEYCQTMENDGTWAGHMELQAASLVTRSNVCIHRNMFPRWYIRNFDDCQVRMVHLSYHDGEHYNSVRLKDDPCDGPARSIIIKADADLSVASHQTKDRSSKPYAQAGPTTFQPGSVKVVMAGSGCESRKKVEQILEQVNGDVGSAIEFLIAEQGAEECSSNSDCLPSQASTTGSDENENHETHKENVVENNIDDVLSNCSRKTNDNNTLQQNDKIPRNKVCPCGSKKKYKACCGTASGKQSAKFIVNQEADSRRSKKETKGTSAKAEVTPDMGALCI >CAK8535233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828429426:828430450:1 gene:gene-LATHSAT_LOCUS4706 transcript:rna-LATHSAT_LOCUS4706 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKHLKNSKVIKIDSKKSWEHYISYATNQNYPVVVHFSAFWCVPSIIMNPFFQKLASNYQDALFLTLDVDEVKEIASKMEIKAIPTFLLMKGGTLVDKIVGANSDEMRKRVDRFVESNPSNIAIVETLK >CAK8537063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:73018330:73019739:-1 gene:gene-LATHSAT_LOCUS6379 transcript:rna-LATHSAT_LOCUS6379 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHYEHRREEGVLCHPSDGEAWKHFDQVYPAFSSEPRNVRLDLCADDFTPFCQFVKPYSCWSVIVTPYNLPPELCMMMPYMFLTLIIPGPDNPKGKIDVYLQPLIDKLKQLWNDSVVRYDASKKQNFQLRAALMWTLNDFPAYGMLSGWSTAGIFACPVCKGGLKAFSLEKGKKRSWFDCHRQFLTRDHAFRRNKVMFYKNRIETREPPPRLSGEQVWKEVCGLPKVTNMRNCIVPGRGISHNWTKRSIFWDLPYWRHNLLRHNLDVMHIENNVFENVFHTVMDNKEKTKDNENARLDLEKYCHRRELLLKKNSNGNYMKHKAKYCLSNEQKIDVCEWVKGLKMPDGYASNLGRCVDLKQKKLFGMKNHDFHIFIECLLPIAFSALPEPIWKTLTELSQFFRDLCSTVLREDHLLQMEKNIPLILCKMERIFPPTLFDSMEHLPIHLADEAKMGGPVQYRWMYSFERF >CAK8530536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28250728:28251657:-1 gene:gene-LATHSAT_LOCUS394 transcript:rna-LATHSAT_LOCUS394 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGRPKAMVPPSPPSESLASLKTPQIVSRTTTPPDSSSKMFEIGAKNDKEMTTTLENTIKETPTEATQAQSEERKLWVDIINDNQNPAKGLTMEYVAPKVVNEMIEIDIEQEDIETEIRFWDNAIILYVVGDDLSMNTVKNFMQRTWNFVKIPDLYYHDDGYFLLRFNSQKDKETVMMKGSYTIRNIPMILKEWQTSFNLKRDLLRTLPIWVKLPQLPLHLWGGKSLSKIGSAIRKPLVTDECTANKLHVSYARLLIKVDITQQLIDEIAIRNVEGDVIMQPMKYEWRPTFCETCQKMGHKCEDWR >CAK8574125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663892122:663895371:1 gene:gene-LATHSAT_LOCUS26499 transcript:rna-LATHSAT_LOCUS26499 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRAHRKSGKVTFPENSTNGHQFYEVVVKHASRSSSFDSAPQTTAAPPPNQAVIESLPPLKEVAVSERSALFLRKIQQCCSICDFSDTLKFIVEKEIKRETLYELVDVIQSSSFQFSEFQEELIGMVSVNIFRCLPPASHENTGTETVDPEEDDTYMDPSWAHLQLVYEILMRYIVSPEIDIKVAKRYVDHVFVLKLMDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETQRHNGICELLEIMASIINGFALPMKEEHKLFLIRALIPLHKPKCVSAYHQNLSYCVVQFVEKDGRLADPVIKGLLKYWPITNCHKEVLFLTELEEVLESTQPPEFVRCMTSLFRQIARCLNSPHFQVAERALYLWNNEHIISLVAQNRSAILPIIFDALENNMKSHWNRAVHGLTANVRKMFQEMDAELFEECQKKYLEKEARATEEEEKRELTWKKLEAVAAQAVVRDEMVLVN >CAK8573712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632504405:632506300:1 gene:gene-LATHSAT_LOCUS26121 transcript:rna-LATHSAT_LOCUS26121 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLFALTCATIYVFLRSIIAKISKPNYKLPPGPSPLPIIGNLLELGNKPHKSLAKLAEIHGPLMSLKFGQITTVVISSADMAKHVLLTNDQFLSNRTVPQSVSILDHQHYTLPFLPISPLWKELRKICNTELLSHKTLDASQDVRRKKIQELLNDIDQSSQIGEAIEVGTAAFKTTINLLSNTIFSVDLIQTNGEAGEFKDLVTDITKLVGTPNLADFFPVLKMIDPQGVKRRQTENVKKLFDIFQDLVIQRLKMRESTCVDTNKDMLDSMLNIFKENEFIDNNMIKHLSHDIFVAGTDTTSSTLEWAMTELNHNPEVMVKAKKELEKMIGCGVPLEESNISNLPYLQAIIKETLRLHPPVPLLLPRKAVSNVDICGYTIPKDAQVLVNVWKIGRDPAIWMNPTLFSPERFLGSEIDFKGRNFELVPFGGGRRICPGLVLANRMLMLMLGSLVNSFDWKLEGNMKPENMNMDDKFGITLQMAQPLRVVPVRISK >CAK8571558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439971068:439973580:-1 gene:gene-LATHSAT_LOCUS24207 transcript:rna-LATHSAT_LOCUS24207-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQKASRNGTSGVPRKKSPTNPRTARKTKTPGSGSNSVPSSPNSASKTAKDKSPDVNSNQSPITEKNGTNRIHELESRLSQLEEDLKKAKEQLNSTELLKTKAQREAEDAKSKLLSMSRELEESQQQLLELSSSEDGRIQELRKVSQDRDRAWQSELEAVHKQHSMDSSALASSMNEIQKLKMQLERARESEATRIDNAESADAEIQELRMELDETVSSIEKLKNEVSQCRESESQALEIVGKTQMQLEAANKTVETLRLEGAKASEAFQSLALELEQSKAHVQSLEELVSKLQEDLVSGAGLSPRLPASEVVESEEINQLKAELVSVKAEAGKLKSALDVAEVSYQDEYIRSTLQIRSAFEQLEHTKSESNKKQAELYEELKKAKADIEELRARLIDNESHLQDDDVAELKEKLLERETKLKSVTEENNTLKMEIKREEIEKNKTTYEAVASAEAARTAEREALTKLGYITEEADNSNRKIAQVTEALDAAYASNSELEAELKRLKVQSDQWRKAAEAAAAMISSGNNNEKIGERTGSLDSGYNNSTTGNKTSSPYSEGTDDDSPKKKNTTVLKKIGVLWKKNHQ >CAK8571559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439971068:439973580:-1 gene:gene-LATHSAT_LOCUS24207 transcript:rna-LATHSAT_LOCUS24207 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQKARNGTSGVPRKKSPTNPRTARKTKTPGSGSNSVPSSPNSASKTAKDKSPDVNSNQSPITEKNGTNRIHELESRLSQLEEDLKKAKEQLNSTELLKTKAQREAEDAKSKLLSMSRELEESQQQLLELSSSEDGRIQELRKVSQDRDRAWQSELEAVHKQHSMDSSALASSMNEIQKLKMQLERARESEATRIDNAESADAEIQELRMELDETVSSIEKLKNEVSQCRESESQALEIVGKTQMQLEAANKTVETLRLEGAKASEAFQSLALELEQSKAHVQSLEELVSKLQEDLVSGAGLSPRLPASEVVESEEINQLKAELVSVKAEAGKLKSALDVAEVSYQDEYIRSTLQIRSAFEQLEHTKSESNKKQAELYEELKKAKADIEELRARLIDNESHLQDDDVAELKEKLLERETKLKSVTEENNTLKMEIKREEIEKNKTTYEAVASAEAARTAEREALTKLGYITEEADNSNRKIAQVTEALDAAYASNSELEAELKRLKVQSDQWRKAAEAAAAMISSGNNNEKIGERTGSLDSGYNNSTTGNKTSSPYSEGTDDDSPKKKNTTVLKKIGVLWKKNHQ >CAK8571031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272283000:272288949:1 gene:gene-LATHSAT_LOCUS23728 transcript:rna-LATHSAT_LOCUS23728 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYEVIQKLGRGALGATFLVVHKTDRKRYVLKKIRLSKHTEKSKLTAYQEMDLIANLNYPYIVEYKDAWVEKEDYIYIISGFCEGGDMAENIKKSRESFFPEEKVCKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNICLGDFGLAKLLDTDDLASSVVGTLNYMCPEIFADMPYGYKSDIWSLGCCMFEIVAHQPAFRAPDRAGLINKINRSTISPLPIVYSSTLKQLIKSMLRKNPEHRPTAADLLRHPHLQPFVLRSRNTPSVFLPVHLIRCNSPKKSYKSSGGKDNKDKEEGFVNRLDRVYPIEGDGDVQTRNRKLAVSMSTEDNLETKMVDPTSYMLEFSTSISGSKDESTTSESTVCSVCKEADFKSRSVRDMVDTEVTSKSTLDSMHEKQVYATDQFPKANAVDINAATRKVEYSFSNKVFDKDEVHKEAASSGDFRKTIIFSEDSNGNDEDVVTSRCIPDKYKFSNKGFDEAEVQKEKAKPEDFIKSIMSSDEVTSESTLEFLLVEQFITEHFQNPDAFDINAVTTEVEGSFSEGYFDTLSDGGFNTFSEALTEDAKPEESSKSIIYSEDCNRNDKERSIDDITSKSKLDSVNEEQEIAAENFPKSNTFDINPVTTKTDGTLFSQCFDKDEAQREDALSDDSSKSIICSKDSNANDKEWSTDDITSKRTLGSLHEEQEFADENFQKSNTNDINPVTSKVDDTLSNEEFDIGEPQREDAIPEDLSKSIIYNNDNDNDKEGSCDVVTSASTHGSVHDERRLYVEHFQKPDTNDINPVTSKVDDTLPSKEFDEAKTQKEDAKSEDSSKSIIYNNGNDTKGSIDEVASTSTFDSVDEERRLSVEHFQKPDTNDIDSVTSKVDDTLSSKEFDKAEPQREDAKSEDSSKSIFYSNDNDKEGSTNEVTSTSRLNSMHEERQFSIEHFQKPDTNEINSLTSKVDDSLSSKEFDKAQTQREDAKPGNSSISFIRNNGNDKEGFTDEVTSTSTLDSVDEEPQLSVEHFQKPDTNDINAVTAKVDGTFSNEGFDKFEESSDNDKIGFIDEEMASTNVHSVRVEQDLDTVSCLKESEKSQSFTEDSHMNILTSESSGTLSAKNEGRENTHSISRFTHKDDKNATVIDKIQNEISLSTNISVVGDKTKRVSKNSGQQRADALESLLELCAHLLKQGKLEELAAVLRPFGEDTVSSRETAIWLTKSLMSSQKFNPET >CAK8570898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:159392117:159393878:1 gene:gene-LATHSAT_LOCUS23609 transcript:rna-LATHSAT_LOCUS23609 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSKSVGKNREEGLSTSPRITCQDLSSTSDKSLKEKILKRKKVNKALEIFRDLSHKLYCEYKSSEVKNLKAKTKALVEAAMSMKEKNQWENTGKRLGHVDGIEVGDHFQYRAKLIIIGLHQQFCRGIDFLDKGEFSLATSIVVTQKYANVMKSSGCLVYEGQGSNPKVRTTSVPCDQKLEGGNLALVNSIKARSPVRVILKVCGKFNGMEEWKNNVSDCSYVYDGLYSVDGMTQGRGNYGKLVFKFVLNKILDQSASVSSRDVKNQPASVSSRDVVKETETSLKRQKSKGHVFGKDVIRINDISEGKEKFPIRVVTPISCVEKPKPFGYLVKMIYPKMNHSTLLGGKCNCENACVDSLGCVCIAKNDGVTAAYGGNKRLVSPMKLSFIYECGPSCTCSSSCINRVSQCGIQFQLEIFKTNSKGWGVRTRSFIPSGSFVCELIGELVDQNNGKVVSNLHVDDYIYNIGGGRLIDASRHGNIGRFINHSCCPNLCMRDVMYDHNDKSVAHKVLYALKDIAAGREVSYDYNNWCKGNMKKVLSNICYCGLSECNRYIYK >CAK8573039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578811250:578820936:1 gene:gene-LATHSAT_LOCUS25533 transcript:rna-LATHSAT_LOCUS25533 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQQQQNSKISIMNFGEQLFGQDGKQFQINNSWVPITPEKPIQIRTDTISDWPANQMRRTNYQEYPLHGNDCMNKMLLHYHGAYQNPRLIGQTGQIGEYNNFSGNSANRNSVINQIADSYTQAQHYENNGLNNHRLELLLKKNATDIATANRNLDTSINMAARNPLLPKFYPKPSSDVNYSCAASDIVYRKANRFLIPNRNSEYRGSNTDNLLNNDIQCSVSNQMKDIFSDVSYGDTYPEHYFNYVPNAEVDATTSFTNSLLSTPKTMDQLKFVENQFVQLHELSCDLDNNAIAESTSHEKDFAPCTENEIQEYYDGLLQQIVDSSSAVISTSNGDQKCSVSNICDKVSNEIFDLNKTPEQKVPRRRKHRPKVIREAKPKRNTNSASQKTEIKEKPRRKRKIVAKTAATPNSGLIKDKCDSKAATTKSCRRALNFDLENSTHESQSSMVFQQEIYHINERAFNTTSNYKVKEMHSGENIKYAPNSSLMISQQDDLAVGHQQPSNTDDIPLLLKGKELNPFFLERESTITLSEITENQIAKFPVIEKCPAQGKSSLWQERNSGCMQHYINANEIGNTLFQSETCFENTQETGERIFENTFQLLNNHSNSNEAKGSKRKYSNSTKNQSYSSRNPLGASLCQEILQVDENFKGTTLTKGFLKKNKRKRTQNKLHSKVRGGSPSQIKPKGDSRKVRKEEKIGFQSHNQEMTNCCTESSRFEEKQNKEARTGDSFAISGERRQIYSPLMDSIVCQLNSLHLNGSNTSEVEGQKALVPYKGDGSIVPYQEFGFEKKRKPRPKVDLDPETERTWKLLMGIEGSEDLEGADKKKEKWWEEERNVFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSKSGDIVTHTLVEEPQHSIENQADLIASYERGKLNPPTYYIDFEMPHHTGEFLRDSKTSRINDSIIKPNNHSSEEEFLSSQDSLDSSVTQDTRIRSNSESEVHDSGCEPSKAQFLTSTNSLDVGKTTMFQEFYHCVNGVSLLEERTNGKLQQHVNQSSRVRRNDIHSFHPASGRPCSFGNPQKQQLSVATPTNYESYYSYIQGLDTSQMNGEEFSWPDTASIHNEFQDNTYMRFGITGVGDSVDKPTEMQHENGTLGCPELPIMNLYRPLSENSAFIGNTPQSRSHTNYNQTSPNHHLECQKTFQSEGKTYAESSNNSHILGKDHVGNNHSNILTCAEEVFDSEKIISTETRQVCSNNIQAEKKAKKQVDSSTQKDREGKLKVRKERKTKPEVNKKHEEDWDKLRKEVQENGTKIERNTDTMDSLDYEAIRCASVKEISDAIKERGMNNMLAERIKEFLNRLVRDHGSIDLEWLRHAPPDKVKDYLLSMRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPEKLQIHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKKKPNCNACPMRAECRHFASAFASARLALPGPEEKQIVSMPVPIADERNPPNLNPVILSLPGNNMSIEVCPQSKQCEPIIEEPATPEQSTEALESDIEDFFWEDPDEIPTINVNLEVFAKSLQNHMQEHEGDQSKALVAWNPQSASIPTPKLKNVSRLRTEHQVYELPDSHPLLEKMDKREPDDPSPYLLAIWSPGETANSIEPPQRKCGSQDATSLCNDNTCFSCNSIREANSQTVRGTILIPCRTATRGSFPLNGTYFQVNELFADHASSIKPIDIPRAWIWNLPRRTVYFGTSVSSIFKGLSTQEIQHCFWRGFVCVRGFDQQKRAPRPLFARFHFIESKFAKTKK >CAK8544998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717185780:717192460:-1 gene:gene-LATHSAT_LOCUS13634 transcript:rna-LATHSAT_LOCUS13634 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGSKALPSRSSISSTGKKMSKKPKKGSSTDGYHDTTPAYDNHHYHDTTPAYDNQQQHSRIRKQVDPETTKYLSEIANLFESDGVEFEERSLICANALEETKGKEFEIATDYILSHTLETILQGSDVDTLCSFLHSTAKQFPFIAMDRSGSHVAQTAINSLASHLQYDPQVHPLVEEALTVICKVIAANSVDVMCDCYGSHVLRTLLCLLKGVPLDKSGYYMSKSTTALAERLNFKHFSSNKDGFLHGFPHLLNSLVSDMFKHSRDWIKDLQLDQFSSLVFQTTLRVLVGNDELLLDVIPILLGCKNKSSTDGNFIESTVVPGLKHLFKEPGFSHLMEVVLEVSPVALFNELFTKVFRNSLLELSSHQHGNFVVQALISHASDQDLMELIWDELGPKMEDLFQMGRSGVVASLIAACERLHINEHKCCQVLAKTVCLADENSKWIVPRLLFLDSYFTCEDKSNWTWQSGAKMNVMGSLILQTIFRLNSEYIKPFITSITSMDTTHVLEVVKNARGSHVIEAFLSSGAPGKQKRRLVSKLQKHFGEVALHSSGAFTIEKCFTACNLSLRETIVSELLAVQSELSKAKQGSYLLRRLDVDGFAASPDHWRSKQVSKESTYKDFYATFGSGDKRSAKNDDFLADASNNKSNPNNVKEMRKEIDQSLGSSSFLSTDSLNRNPKKAKKNKKNAEIGGNEDESSRKKKRSKKEKVESGYDIAATEVDRKSAKKRHRNREVSEDSGKKLRTSDE >CAK8534045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:686404926:686405444:1 gene:gene-LATHSAT_LOCUS3619 transcript:rna-LATHSAT_LOCUS3619 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSITTTKKFFKTTLKNFKSFFSSGYQKLPKSASPYNHLSYCEATNHVVDIDMDTNIDNNNGNYTKLSNASPSKEKNQVKKREVFDKKNNEKRLTLQGEKQKDSSFISKGMRERRYCMVEEKLRELEMLDISNVDYVMDIEEVLYYYSRLTSSAYLEIVDRFFMEMYLD >CAK8578137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609319581:609320669:1 gene:gene-LATHSAT_LOCUS30176 transcript:rna-LATHSAT_LOCUS30176 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPWINKTRVTQFSQIIADLHSSKRGASSIVVQTGFPASLVDLLIKNRSRFTRPRSDKSFHRQTPDPPSPELDRHESPSLTQWITDGNLHTNNGVEDSGHGVNRVGNNNDGSGSKTLLVTFLMMLVIVVSIASVEKLTVGITVSAFALLFLENAWNRVVECSKPNVEFNVVEAKSCVTDSFEEIKVVGVCPEETSSYDDVLQLNCSEIKEDHLNEKVVDSCHVPIKVSRSVKLKTKLKKLLTKKLQSSGKEVREKRGKEDGWSYSVEKDCEVKEGVDSGSKSPLLHKVKLENMIVNSEEKKRTERVGNSGYLVLFVIALVGLVVGRLPALILTMTWCFVLKIVTIRGRSKASLITCLVPNS >CAK8541116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67993146:67993421:-1 gene:gene-LATHSAT_LOCUS10066 transcript:rna-LATHSAT_LOCUS10066 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKLLDDNNYVYRYRAYDDGVTVRDIFWTHPDSKKLFNTFPTVLILDSSYKTNKYRLPLFEMVGVISPEKTYSVGFKFLDCEKEENFT >CAK8534031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:683022349:683024451:1 gene:gene-LATHSAT_LOCUS3607 transcript:rna-LATHSAT_LOCUS3607 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSDVASFDPSSPRSPKRTVYYVQSPSRDSHDGDKSSTMQPSPMDSPSHQSYVHHSRASSSSRISGGYNSSLCKKGNRKGNDKGWIQSKVIEEEGGDFYHESNRISRRFQIFMAIVGIVLVFGVFCFIIWAASLHYKPQLSVKSLTVHNFYFGEGSDITGVPTKMLTVNCSMRMTVHNPASFFGIYVSSKSVNLMYSEVTVATGELKKYHQQRKSRRTVSVNIQGSKVPLYGAGASFASLVDNGNVSTTLVFEVKSRGNVVGKLVRTKHIQHVSCSVAIDPNNNKSIKLKENECKYI >CAK8544268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674956523:674957173:1 gene:gene-LATHSAT_LOCUS12961 transcript:rna-LATHSAT_LOCUS12961 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSKGSVDGVQSDVKVVENMETKKSGVYDLLLRVLCFLLTLTVTIIVAVDKETKAISYASFQFKATAKWEYMSASVFFLVTNAIGCSYAATTMVISTIARSSGNKNLLLMITILDLVISALHFSANGAAAAVGVLGQKGNSHVQWMKVCNVFDAYCRHMTAALVLSIIGSSVFLLLVAHSIFKLHYNRSY >CAK8537480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:369907414:369908670:1 gene:gene-LATHSAT_LOCUS6770 transcript:rna-LATHSAT_LOCUS6770 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVIHKIVSPSQTTFIPGRQIYDGVLLANEIEDYAKRFKKECLFFKVDYAKAYDCVDWLYLDAMLVKMGFGPNWLKWIRGSVFNSFVSILINGCPSKDFKVGKGLKQGHPLAPFQFAIVAEGLSCLVRFAVAGNLLREFKINNQNSESMLQFADDTLLIGDGSVMNIWAFKAVLRAFELISGLKINFSKSCLYGIRVDPTFLVAAEEFLHCKSGRLLFNFLGLQVGGNHRRHSFWNPVLSCIRSKLSNWAGRNLSMEGRVSLINSVLANLLIHYLAFFKAPKKIVNDIVAIQRRFLWAGNSSKRFISWISLNSICKPKEYGGLGIKHVGRFNCALIAKWLWIFQSGGNEIWRKTLNLRYGNLSMKVQTFADVGSSNFDSFWMKDILSSSTCDFNVDFNKFMTCTIGEGHSTTFWKSN >CAK8537040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:65778939:65780752:1 gene:gene-LATHSAT_LOCUS6357 transcript:rna-LATHSAT_LOCUS6357 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKSFLKHKVTKSSPSPALCCVETVPKDEQNSHITAFNSGDGSTNFCNESSSSTTVRDVHISMRLMEDFLDLAKENTEKDLETCGILGAFLEKGTLYMTTLIIPKQESASNSCNATNEEEVFTILNERSLYAVGWIHTHPSQSCFMSSVDLHTQYSYQAMIPEAFAIVLAPTDTSRSCGLFRITEPDGMDILKNCPERGFHPHKEPDNGNPVYEHCSNVYKNSNLRFEIFDLR >CAK8542998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564831011:564833248:1 gene:gene-LATHSAT_LOCUS11789 transcript:rna-LATHSAT_LOCUS11789 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSISQCLNSSTSTLFHARQAHALFLKFDLFSNTQLTTSLLTLYSHYLPFPQLNIILSSLPQPTIFSFSSIVHAFARSHHFHHVLGAFSHMGSRGLVPDSYLLPSAIKACGILKALKPGRQVHGYAAVSGFGSDSILVSSLVHMYLKCNRIEDAHKLFDSMSERDVIGWSAMIAGYSRIGLVDRARELFSEMRNEGVEPNLVSWNGMIAGFGNAGSYIEAVMLFREMISEGFLPDGSTVSCVLPVIGNLEDVLMGEQVHGYAIKQGLESDNFVLSALLDMYGRCGCASEMSRVFDGIDQTEIGSLNAFLTGLSRNGLVDTALEVFKKFKAREMELNVVTWTSIIASCSQNGKDMEALEFFKDMQADGVEPNAVTIPSLIPACGNISALTHGKEIHCFSLRKGIFDDVYVGSALINMYANCGRIQLSRRCFDLMPSRNMVSWNAVMSGYAMHGKAKETFEMFHMMLQTDHKPNSITFTCVLSACSQNGLTEEGWNYFNSMSKEYDVKPQMEHYACMVTLLSRVGKLEEAYSIVKEMSFEPDACVWGALLSSCRVHHNLSLAEIAAEKLFLLEPENPGNYILLSNIYASKGMWDEENRIRDMMKDKGLRKNPGCSWIEIGRRVHTLLSGDKSHPQMKEILAKSDKLSIEIKNSGYLPMTKSVLQDVEEQDKEQILCGHSEKLAVILGLINTNPRQPLQVIKNLRICDDCHAVIKIISRIEDREIFVRDTNRFHHFKDGDCSCGDFW >CAK8530529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28005695:28010697:1 gene:gene-LATHSAT_LOCUS387 transcript:rna-LATHSAT_LOCUS387 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGQNAVVYDEDEALKHLYDVFGASCTLDNIAYAYCKANRNIDLAGEILYDMKGGSSTSGNHSSNSDAKVEELSESSDGLSSENSFRGRKGFKPKVRPVSAGTVSSVIGKSYVKPTVSGNGYNGMTKPPKLDARTMPMTGIWREKSAPKADSSKNQLQEDMEEFLFKMLGDGFKLERNVIREVLGTCGYDMKKSLENLLDRSAMALDKRPADVRDASGKVADMKRKSEAPGFEKQSKDLNCIRGNGNTVSAKEVELHQRQKERHDIQKEVWSNLFSYREYVEEPRKRFVRGENTSSAYGVGHVVFEPPEDSMEEYKIDTDFRRRDNEDDAEDEADYQCVRKAVKEYRATMKEYYKAAVEAFAKGDQTKAEKLLEQGQFYKNKAREADEESSKMILKTRDSEPLEMTLDLHERDPKDAVRLLKCHLSSLSGCSSFDHLKVIFDANDQANKKKSCRRLVLKLLEQESIKWVEGEMAGTILIRLDNIDRKQLSFFKA >CAK8539508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514541335:514542470:1 gene:gene-LATHSAT_LOCUS8594 transcript:rna-LATHSAT_LOCUS8594 gene_biotype:protein_coding transcript_biotype:protein_coding MTVENEDLGLSLSLNFPQNPPKPQYLNLMSSSTHSSSPSTYNPHKPSWINAFTSSDRDSEPCRAGEEQPLLRGIDVNRLPSDANCEEEAGVSSPNSTVSSVSGKRSEREITGEDLDMERDCSRGISDEEDAETSRKKLRLTKDQSIILEESFKEHNTLNPKQKLALAKQLGLRARQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTDENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPSSAVDAATRRHPMAPNHTRSFPVYPTVQHRPFDTLRPSRS >CAK8531487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115032709:115034686:-1 gene:gene-LATHSAT_LOCUS1276 transcript:rna-LATHSAT_LOCUS1276 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFEGRAVGIDLGTTYSCVAVWQEQHCRVEIIHNDQGNRTTPSFVAFTDDDQRLVGDAAKNQAAANSENTVFDVKRLIGRKFSDTIVQDDILLWPFKVSAGVEDKPIITVKYKGQEKQLCAEEVSSMVLTKMREIAEAYLQSPVKNAVVTVPAYFSDSQRKATIDAGSIAGLDVMRVMNEPTAAAVAYGLDKRTDYDGERNIFVFDLGGGTFDVSLLTIKGDVFKVKATAGNTHLGGEDFDNRMVNYFVQEFKKKNKVDISGNPKALRRLRTACERAKRSLSFLVVATIEVDSLFQGIDFSSSINRAKFEEMNMDLFNECMKIVESCVMDAKMDKSMIDDVVLVGGSSRIPKIQQLLQDLFNGKELCKSINPDEAVAYGAAVQAALLSEDVKNVPKLVLQDVTPLSLGIYLLGDIMSVVIPKNTCIPVIKTRSYYAAIDNQKNFFIEVYEGERTKASENNLLGSFTLSGIPPAPRDTLMSNVSFAIDENGILTVSAINNASGNSNEITITNYKERMSAEEIKKLIKEAENFHIEDKKFLRKAKAVNALDDYIYKMMNTLKKKDINLKLSSQEIKQIEDAIAVAKNLVDENNKQVEIEVLEDHLEGLESKMKHIIAKTI >CAK8570471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48989015:48991227:-1 gene:gene-LATHSAT_LOCUS23217 transcript:rna-LATHSAT_LOCUS23217 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPSATATTYLSSSAFLPSHSNGFQNLGFSSATFKFSKNKGRCIRKAGTTNITAKFELKPPPYPLDALEPIMSQDTFECHWGKHHRAYVDNLNQQIDETDLDGKSLEETIVIAYNNGNILPAFNNAAQVWNHDFFWESMKPGGGGKPSGEILKLIERDFGSFENFVEQFKVAAATQFGSGWTWLAYKENRLDVGNAVNPLATEEDRKLVVLKSPNAVNPLVWNYHHPLLTIDVWEHAYYLDYQNRRLDYISMFMDKLVSWEAVSSRLEKAKAVIAEREREEEEEKKRREEEKKIREEEERLKRREAWHSDTTDTFEDSDTPDIFEDSDSDD >CAK8543981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653261634:653263074:1 gene:gene-LATHSAT_LOCUS12698 transcript:rna-LATHSAT_LOCUS12698 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKEFKEDRAEAAAKLAAKDISDVNRSNEREQGYNLRSETQFKQARAEAAAKLAAKDLEDVNRARESGFNAQEEKKPGVIGSMFKAAKEAVVGKSSEPHEKVRESSDYGAEKARDARDTTANKAGVYADYAAEKAREAKDATNKAGQYADNAAEKARGAKDATNKAGQYADSAAENVRGAKDATDKAGQYAATAAERARGAQDATNKTGEYADYAAEKARGAKDVSNKVNEYAANAAEKARGAKDVTNKAGEYAEYAAEKAREAKAWEEKQNFAAEKARENEQKYAAEKAREAKAMEDRNYAAEKAREAKDAAANKAGEFRDYTAEKAKEGKDSTVGKLGELKERAMGFFTGKKDETKDMLRASEEDARMRMDDLRMEGGGRGREKVVVEVDETRPGVVADGLRAAADVRTAATGVSQSGAGRLEEEGTVHVERRREKI >CAK8574238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671554274:671555227:1 gene:gene-LATHSAT_LOCUS26598 transcript:rna-LATHSAT_LOCUS26598 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGKLFFCHNCTQRVTCSEDSEPFCPICFQGFIEECTPDPNPNPNVIFRFNQDDSDNESEFPFHPFSLLPLLLSSASISRTRLESTETAETETDNFDPFTFLQNHLNGLHADGANIQFEINNGSDSESGIRVPSNMGDYFLGPGLEQLIQQLAENDPNRYGTPPASKDAVQNLPTVSVDDELLNSELNQCAVCQDEFEKGLQVKQMPCKHVYHDDCLLPWLQLHNSCPVCRYELPTDDADYENRDRSGGGGDGLRSVVDGNSAGGAGGGGGGNRPVHRTFRISLRYPFGSGNSDQDSDGRGLGNRQEDLD >CAK8538074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461540429:461543809:-1 gene:gene-LATHSAT_LOCUS7312 transcript:rna-LATHSAT_LOCUS7312 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTICLVSTIVILCCFSCINYVISDASDHRYKEGDSVPFYANKAGPFHNPSETYRYFDLPFCSPANVEEKKEDLGEVLNGDRLVVAPYKLDFLVNKKPESICKKILTRKEVDQFRRAVLKDYFYQMYYDDLPIWGFLGRFETKEKEDDDDDDSNEATIYLFRHVHFEILYNNGRIIDVFVRNDPNAVVDLTEDREVNVDFTYSVKWTETDIPFEKRLEKYSQTSSLSHHLEVHWFSIINSCVTVLLLTGFLAMILMRVLKNDFVKFTPDEEALDDQEESGWKYIHGDVFRYPRFKALFAAAVGIGSQLFTLVIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYSAASFYYKIEGKNWVKILVLTGSLFSGPLFLTFSFLNTVAVTYNSTAALPVGTIMVIFLIWTLVTTPLLVLGGIAGKNSQSEFLAPCPTNKYPREIPQLTWYRTTLAQMAMAGFLPFSAIYIELYYIFSSVWGHQIYTIYSILFIVFIILLIVTAFVNVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYSYCVFFYHARSDMYGFMQTSFFFGYMACICYGFFLMLGTVGFRASLIFVRHIYRSIKCE >CAK8576319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:458313848:458320094:-1 gene:gene-LATHSAT_LOCUS28517 transcript:rna-LATHSAT_LOCUS28517 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDSDPSNGHRHVSWPPPTDAKPIPPSSWAKKTGFKPKFSGETNASDSGQIINHVPTKAREPEPQPEPELDLEAGRVRPPANGVAQSNNVTIPVSVPVVKDQTVKKRRDSDGVPSTNGQANPAPATDQPPQVRRTARHEEVVDGLVVDDEGLASRHPHMKYELRDSPGLVPISVYGVQHYVSMLGSLILIPLVIVPAMGGSHEETAKVVSTVLFVSGLTTLLHINFGSRLPLIQGPSFVYLAPALAIINSPELQALNGNKFQHIMRELQGAIIIGSAFQALLGYTGLMSLLIRLINPVVVSPTIAAVGLSFFSYGFPLVGTCLEIGAVQILVVIVFSLYLRKISVLGHRIFLIYAIPLGLAITWAYAFLLTEAGFYSYQGCDVNIPASNMLSEHCRKHFSRMKHCRVDTSQALKSSPWFRFPYPLQWGTPVFHWKVAFVMCVVSLITSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRLAVQLGACFLILLSVIGKVGGFIASIPGVMVAGLLCFMWAMLTAWGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNVSVPSYFQPYIVASHGPFHVNHGGLNYVLNTLCSLHMVIAFLVAVILDNTVPGSQQERGVYVWSEPEVARREPAVAKDYELPWRVGRIFRWVKWVGL >CAK8579414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697116869:697121754:1 gene:gene-LATHSAT_LOCUS31362 transcript:rna-LATHSAT_LOCUS31362 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKRTFIRPFTHTPLSPISNNHSRRRRLFFRSPNSMDNNNQTETVRNHVHYNHTDPSKYARWNARESFEFMYARPWQRVNQFYVDTVRGNFPFPLLFQSQALLDRDDSGVPEVSDKAELESGVSKGRSGKWARFNFQIVLSYHGGSFDGWQKQPNLNTVQSIVEASLGKFVDEDKIQLLKDKGLPIEGCAAVAGRTDKGVTALQQVCSFYTWKKDIKPREIEDAINDAAPGKLRVVSVHEVSRAFHPNFSAKWRRYLYIFPLTDGWDKGQCGGNEETSDSLIYNGICDSVSKDELGDEKKSFVFSVRKVNQLLQKLEGKLLSYKMFARDTKASRNDGPPTECFVHHARAMEARLPITENGEETRVMCIELVANRFLRKMVRVLVATTIREAAAGAEDDALLKLMDATCRRATAPPAPPDGLCLVDVGYEDFDKEKCFILKD >CAK8536361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934218020:934218814:-1 gene:gene-LATHSAT_LOCUS5737 transcript:rna-LATHSAT_LOCUS5737-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEMLQSFIPIRPRYKPKLAYSYLLSYILQTSQPFPLALAVLQRTLRSGCLPVPQTHVLLSSAWLDRRQCRLQSVANILLEMQSIGYYPDCGTCGYIVSSLCAVDQLAEAVNVVKGMGGAGCIPDSNCFGVVISAMCSVRRTVDAQGLIEEMVVKYGLTPDHGTVVKLLAALRADKEIWRAVEVIEFLEKEGNDVGFESYEMVIEGCLERHEYVLAGKVAMGMTERGFIPYIKVRQKIIDGLASINEWKVACAVRQRFAKLKS >CAK8536360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934218020:934219042:-1 gene:gene-LATHSAT_LOCUS5737 transcript:rna-LATHSAT_LOCUS5737 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCRRFVLRFFPILNQFRSVSSSPQELEHAVRAVVESKNYVKIPDFITTEFSQSQSQISNPFSFLSSFSHNLQVQMVDEMLQSFIPIRPRYKPKLAYSYLLSYILQTSQPFPLALAVLQRTLRSGCLPVPQTHVLLSSAWLDRRQCRLQSVANILLEMQSIGYYPDCGTCGYIVSSLCAVDQLAEAVNVVKGMGGAGCIPDSNCFGVVISAMCSVRRTVDAQGLIEEMVVKYGLTPDHGTVVKLLAALRADKEIWRAVEVIEFLEKEGNDVGFESYEMVIEGCLERHEYVLAGKVAMGMTERGFIPYIKVRQKIIDGLASINEWKVACAVRQRFAKLKS >CAK8567173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492663089:492663532:1 gene:gene-LATHSAT_LOCUS20247 transcript:rna-LATHSAT_LOCUS20247-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMAEEEENALSSEPEFPKSRVKKIMTLDKDVKRVSSEALFLVSRSTELFLQLLAEKSAQVAIEKKRKTVKLEHIRIAVKRNRPVSDFLLDSLPLPSEGTKSDNPVAVVVDKAKSVPVGTRRIDHIFRKSEAEAPEPVPEPMEES >CAK8567172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492663083:492663532:1 gene:gene-LATHSAT_LOCUS20247 transcript:rna-LATHSAT_LOCUS20247 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMVMAEEEENALSSEPEFPKSRVKKIMTLDKDVKRVSSEALFLVSRSTELFLQLLAEKSAQVAIEKKRKTVKLEHIRIAVKRNRPVSDFLLDSLPLPSEGTKSDNPVAVVVDKAKSVPVGTRRIDHIFRKSEAEAPEPVPEPMEES >CAK8538565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487359313:487361844:-1 gene:gene-LATHSAT_LOCUS7749 transcript:rna-LATHSAT_LOCUS7749 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFTSLYLFGIPLILTLTLTLIHFAHANSEGDALYTLKRSLTDPDNILQSWDPTLVSPCTWFHVTCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIEGTIPPELGNLKSLVSLDLYNNNISGTIPSSLGKLKNLVFLRLNDNRLTGPIPRELIAISSLKVVDVSANNLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLVSYDTNCS >CAK8541608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:298457978:298458388:-1 gene:gene-LATHSAT_LOCUS10516 transcript:rna-LATHSAT_LOCUS10516 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPEELFLIKKDKQEEINIDNDKKETEETSKTLSSASSSSSEEEKVQEKDEEEDEDGFRTPTTLDHKITVLTCPPAPKKTKQSLKRRAVYCNCRQLPLDLSKEVELLLQTQHIPFTDLHGAKKIRRDNNQKTTK >CAK8577141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540260426:540262173:-1 gene:gene-LATHSAT_LOCUS29272 transcript:rna-LATHSAT_LOCUS29272 gene_biotype:protein_coding transcript_biotype:protein_coding MILMIDFSPVKCSPVLGLLEYSQLYSCSHAAEIAWNKMRKEWIGDQSKRLQRPSKDSAICLTARSDDMLFSREPFCPPIPLPAMVGYFVKIWEEQGLFEIANSHECSEAALT >CAK8579795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722786137:722791126:-1 gene:gene-LATHSAT_LOCUS31711 transcript:rna-LATHSAT_LOCUS31711 gene_biotype:protein_coding transcript_biotype:protein_coding MWCVNAASGVILMPSCFCSCWKWKLYTPPPTTQLRMRNRRRSVKVFCQKGETVHSSYDFDPELRSALELATESELCEIQTILFGPSYLSPLLKSITRTTLHQLDPSLIEQDIQLRQQFIATLESRFFYLAADARSTLRALLDLPTNSHAQGTLQLGLDQWKMHSKVGTQDFQSLLFKGGGLLTLAKIYQLLAGKLSGKMIGEAANYQVKKELLKKGGHLALINLESRTALLAAKQGFLGAASRYLGFRSVLTLLGPVLWGTFLADLVIQMLGTDYARILRTIYALAQIRVIRTYKLPSDVADE >CAK8579796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722786137:722791126:-1 gene:gene-LATHSAT_LOCUS31711 transcript:rna-LATHSAT_LOCUS31711-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCVNAASGVILMPSCFCSCWKWKLYTPPPTTQLRMRNRRRSVKVFCQKGETVHSSYDFDPELRSALELATESELCEIQTILFGPSYLSPLLKSITRTTLHQLDPSLIEQDIQLRQQFIATLESRFFYLAADARSTLRGWRPTYRNVLLHLTNKFNIPCSTKLSTHDLELEIFLHLLHYNSNHTALLDLPTNSHAQGTLQLGLDQWKMHSKVGTQDFQSLLFKGGGLLTLAKIYQLLAGKLSGKMIGEAANYQVKKELLKKGGHLALINLESRTALLAAKQGFLGAASRYLGFRSVLTLLGPVLWGTFLADLVIQMLGTDYARILRTIYALAQIRVIRTYKLPSDVADE >CAK8534728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759681950:759682495:-1 gene:gene-LATHSAT_LOCUS4247 transcript:rna-LATHSAT_LOCUS4247 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLPLSGDQDISDHRPMWIKCRNIIWGPKSFEVFECWYDHPDFTPSVQHDWSSFIVNGSAAHILNRNFQLLRERLCWWNTNVFGRVDLQIDGYADSLNNVELAFSENDFGVTLEDLAVRSNVQQQFWEKLHTKESMLHQKT >CAK8536159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913025632:913030752:-1 gene:gene-LATHSAT_LOCUS5554 transcript:rna-LATHSAT_LOCUS5554 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNGSASNIPDGAGRSFTTSFSSQSGAASPIYQHTGGIQGLHNMHGSFNIPNMPSTLTSRNSTINSMPSGAVQQPTSSLSSGRFSSNNLPAALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVAGGNIGRISSGGLSIPGLASRLNLNGSSGSGGLGVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHIQAVHHLNSMGMLNDLNSSDSSPFDLNDFPQLSSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGYKGGNGEFTMDMHQKEQLHDNAMSMMQSQHFSMGRSAGFSLGGSYSSHRTQQQQQHTPSASNSGVSFSSVNNQDLHLHGSDVFPSPNSTYHSQTSGPPGIGLRPLNSPNNVSGTGSYDQLIQQYQQHQNQSQFRLQQMSAVNQTFRDHGMKSMQTAQPAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSEEPAKGDPEFSVLQCYYAKPPPALHQGYFAKFTLDTLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRMWYIRVPNMEPLVKTNTYERGSYHCFDPSTFETVRRDNFVLHYEMVEKRPSLPQH >CAK8536160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913025632:913029515:-1 gene:gene-LATHSAT_LOCUS5554 transcript:rna-LATHSAT_LOCUS5554-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSFNIPNMPSTLTSRNSTINSMPSGAVQQPTSSLSSGRFSSNNLPAALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVAGGNIGRISSGGLSIPGLASRLNLNGSSGSGGLGVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHIQAVHHLNSMGMLNDLNSSDSSPFDLNDFPQLSSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGYKGGNGEFTMDMHQKEQLHDNAMSMMQSQHFSMGRSAGFSLGGSYSSHRTQQQQQHTPSASNSGVSFSSVNNQDLHLHGSDVFPSPNSTYHSQTSGPPGIGLRPLNSPNNVSGTGSYDQLIQQYQQHQNQSQFRLQQMSAVNQTFRDHGMKSMQTAQPAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSEEPAKGDPEFSVLQCYYAKPPPALHQGYFAKFTLDTLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRMWYIRVPNMEPLVKTNTYERGSYHCFDPSTFETVRRDNFVLHYEMVEKRPSLPQH >CAK8576189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:421112361:421113872:1 gene:gene-LATHSAT_LOCUS28395 transcript:rna-LATHSAT_LOCUS28395 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTNILQAKRGIRQDDPLSPMLFVLIMEGDEISLHMILQTFRAFSMSTGLIMNPNKCRIYFGGLDKEKRKVMKEMSGFQEGTFPFRYLGIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSYAGRIQLVKSITTAMVQYWLHCLPMPKTVIKKIDSICRSFIWTGKDTVSRKCHVAWKHMSCPTAQGGLNLINLQIWNNVLLLKCLWNLCKKSNTLWVKWIHIHYFKDKQIMNYETKTQSSWIMCSILKQRDTMDLIRNEWDQLLISHKFKASVFYKVLIDDGTRVPWRNLIRSNKSRPRAVFCLWKACHGKLATKDRLKRFGMIQDSQCSLCHTEKETMNHLFFCCQGTRHIWKKVLHWFNIVHTPQPWDAELIWITNMTKGKGWKVDIFKMLVAETIHCIWWYRNNTTFGKPVDIITVATNIIDNVTYRGWQNLKIRKHLVSYMM >CAK8533827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663744789:663746589:-1 gene:gene-LATHSAT_LOCUS3421 transcript:rna-LATHSAT_LOCUS3421 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGIMNQLPDDSRLPKTKIVCTLGPASRSVEMIEKLLKAGMNVARFNFSHGTHEYHQETLNNLKSAMQSTGILCAVMLDTKGPEIRTGFLVDGKPIQLKEGQEITISTDYDIKGDTETISMSYKKLPVHLKPGNTILCSDGTITLSVLSCDQEAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILEWGVPNNIDMIALSFVRKGSDLVNVRRVLGPHAKHIKLMSKVENQEGVMNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCTDESPARHSLIYRGLIPILAEGSARATDAESTDVILEASLKSATQKGLCKPGDAVVALHRIGAASVIKICIVK >CAK8533662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646701482:646702153:1 gene:gene-LATHSAT_LOCUS3270 transcript:rna-LATHSAT_LOCUS3270 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHIKIFALNLQTLFAGGTNTSSITLIWALCLLLKNPLAMKKAKEELDTHIGKGRYVNESDISKLSYIQAIVKETLRLYPAAPLSGPREFSVDCSVGGYHVKKGTRLITNLWKIHTDPNVWPNPLEFKPERFLTTHKDVDIKGKHFELLPFGSGRRMCPGISFGLQMVHYSLASFLHSFDIFNPTTSDHVDMTEEVGITNNKATPLEVLVKPCLSFNCYEIM >CAK8572400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534237219:534237579:-1 gene:gene-LATHSAT_LOCUS24971 transcript:rna-LATHSAT_LOCUS24971 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDVLAGRKTCGYIQGDVRISGFPKNQQTFARISGYCEQTDIHSPQVTARESVIYSAFLRLPREVNNDEKMKFVDEVMNLGGAR >CAK8531772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:149899138:149900773:1 gene:gene-LATHSAT_LOCUS1538 transcript:rna-LATHSAT_LOCUS1538 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLYYSFLSLTFLITIKILLQTQSRRLKHLPPGPPTIPIIGNLHHLKHPLHRTFTTLSQKYGDIISLWFGSRLVVVISSPSLVEECFIKNDIILANRPRFLTGKYIFYNYTTLGSVSYGDHWRNLRRITTIDVLSNNRLNSFLGVRTDEANRLIQNIINHAVSRDFIEVELRSRLTEMTFNAMMRMISGKRYYGDDRDVTNVEEAKQFREIISEIMSLLGANNKGDFLPLLSLFNLDDLEERCKKIATRADAFLEGLIEQHRSGNHGDGDTMIDHLLKLSEVQPEYYSSHIIKGLIQAMLLAGTDTSALTIEWVMSELLNHPEVLNKAREEIETQVGKNKIIEEQDLSKLPYLQNIISETLRLHPPAPLLLPHYSSENCTIGGFNVPKDTIILTNVWAIHKDPTLWNDALSFKPERFEKEGEVNKLIAFGLGRRACPGLSLAQRTVGLTVGLLVQCFEWKRESEEKLDMMEGKGVTLPKKIPFKALCKALPIANDLMK >CAK8576209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425670671:425680495:1 gene:gene-LATHSAT_LOCUS28415 transcript:rna-LATHSAT_LOCUS28415 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSSSENHKKMKSSPAATPSPLRRSERQRNISSYSDGSPSTSTISKYDPKDKDENEKTSSNRKTKIIDARKYRNILTKKNNKDCHKETNDEHKLTPKDSNKGEGKIDGCFNGISLQCKEVFEDCIKPSEDAKAKDMSAESISRGLVKEPLENNVTIGSTVVASNSTTQQSSEIPERVHSGSHEEETRGSDSNGSLIRKCVGNDKGENLTPSKRKSTVVDNGNSTPSKRKSMAVDKHSDVSPTLVDDINCNLTVDAVPERLCINSLETSGPSKRIRGINNVDQPTSKSNDEKSCTRNNEERPQENNDVETEKIRKQQRSLHLSLKPEIAKLCEILFLPDNVKSMVGKFLEYTVSNYMICTEPVSILQAFQLSLCSTVASLLSHKLDPEAALILAKRHLNFSCKKDAVDEISAMLWDLKDKFLLLTGNSNANCSPKVSESSKRVRLNSDTTSDVELTKISRNIKVNQKRKEQWRKLLHIQQESKRKLEEDIEKEMADFKNMLHIEWRATVPFYGKEKEKLREFKSKYNIKEAILKREQEARLQALEAKQLEARQKLRESPAPDELSNLVSSKELGTSHNAPTILLSNEVSETSCKWATASELSRKEAVGLPSKVRSTDYPENAAPLNSLSTNQISDEGLDGAVSLKPRSSSGPSNGHPAALSLLNSPSSIKKVTGRVLPAITDGQIPVTGPELSRDAAVGLRSKVISTGHPENAAALNSSPTDQISDVGLDGVVSSTPCIFSSPSDGRPATSSLLSSPSKQQVPDRVLPPITDGQIPVVVPENNHEESDCQLTDNVEVNENTASDYQEVVDRTMAENTLSQETSVSRAVGPIEPQKQVHGQPLSSVEPPPSPVHILPAYQPKQVSLILEPPEQVQPLPFSGFLSSNQDISNLPLAAGGEDQSATNEDSFSSQIPEASAEVQNQPAEQAALNLEVDSHSRQDVPPVSDMVLDSPVPGGVRAQALDTRNLLTHRVINNHPIQTPAQSASRNFPSLFHDPLRYELERIRKLTKQNLKNHEDMKLQLKCNFEKEIEELRRKYDIQMKEINNEFQKTSKNYDTQYKTVYVHKILADAMKANSDPRFSGESRMLQDAGFTQLPRSQNPTHPSLVTGSSCHGAPTTTFQSSHASIGSHFMLPSPIHASYNTPGDFSGFSARPPHVNISSPNLQAGGEIHAPPLHPPPIRPSTSVPASCLMGEFCAPPPPLQPYRPSTSVPASSHSGEIRTPSPPLPPYRPSTSVQASSRSGAMRTPPPPLPPYRPSTSVPASSHCGAMRTPPPSLPPYRLSTSVPASSHSGAMRTIPPPLPPYRPSTSVPASGHSGAIRAPAPHLPPYRPSTSVPASSLSGEIRVPAPHIPPYRPSTSVPASSLGGVPHDIPSHPAPSNAPASSLLSSQRLPSPMPAVSQFGPHRGHEYESTGGFPIPNVTATDTRMNANSQTSINLPNTLPHMSDSASLKHSQFSKSSSIPANSTQEATTPSDVVCLSDDD >CAK8561709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:363359963:363361150:-1 gene:gene-LATHSAT_LOCUS15274 transcript:rna-LATHSAT_LOCUS15274 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKNWVELPPQSQGYKVGVNYFLDVAFTKGKVEEEESLCPCVVCCNDSWEVRDVVYDHLCSKGFVKGYTEWIYHGEDESLMDLDGDTDNETSSHDDIDGLLFETFKDVVEGGGVHEGLNEDAKKFYKLVDDANQELYPGCEKFSSLSFTIRIYLLKCLHGWSNASFTALLELLKEAMLDLNIPASFNKTESMIKDLGLDYKKIDACPNNCMLFWKDHGKDDSCHICGASRWIEYPEVANDLEESIKAHKVVAKVLRHFPLIPRLKRLFMCSKTADALRWHADHHSRDGKLRHPTDAQAWKEFDAKHPDFTHETRNIRLGLASDEFNPFRTMSLSHSTWPVVLTIYNYPPNLCMKTENYLMSLLIPGPKSPGNEIDVHLQPLIEECRYCENQRRL >CAK8541607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:297040739:297041071:1 gene:gene-LATHSAT_LOCUS10515 transcript:rna-LATHSAT_LOCUS10515 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAALRHIVKELTRVNYVGTNKELFRCTLLPCACELTGYRIGGIPIPIDVVHVHWRKLSMKVKLEEDVDDGSEVDICSAIDELWKRCRSLDVVRKMALKSRVLNLPSAQ >CAK8574795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9550186:9550770:1 gene:gene-LATHSAT_LOCUS27102 transcript:rna-LATHSAT_LOCUS27102 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGALQNFFFLLIISCYIHTIDSEQEETGFVSSLNPKLFEIKETFSHFRFYWQDIVGGNNATSISIIPPLPKFNNDFSAFGLVRIIDNALTLGPNLSSKLLGRAQGFYAATSQTELNFLMVMNFALFEGKYNGSAITILGRNVANNKVRELSVIGGSGVFRFAKGYAEANTISFNPITGNTVVEYNVFVSHHY >CAK8560319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14248209:14249245:-1 gene:gene-LATHSAT_LOCUS14003 transcript:rna-LATHSAT_LOCUS14003 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKHSNTTQACAACKYQRRKCAPDCILAPYFPHDRHRQFINAHKLFGVSNINKIIKYLDPPHKDQAMRTIIFQSDMRANDPVGGCYRYIQNLQAQIEYHKNELDLVHQQLAILRAQQSQQQQQQDNYGDQVIMNQDSLLGFYNPSSGSLAPAHYHYNIQPQQDLPEIKEPEQDQYIMMHDSNSSSINSTSPPLQQNVNNWGAMQNSISLSSLSLHGQSSNASDDYDHKPILDIPCDERNELGFDSVEILQHSDEAVLFNIGNGVIKEEGDYIQQVQVGGEGEAQDHDLKGAATLFTLTNCNSYDQSVN >CAK8536509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948542993:948543250:1 gene:gene-LATHSAT_LOCUS5870 transcript:rna-LATHSAT_LOCUS5870 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYNDMSIITKEDGTVIIDPDEIEAEVLQFYGKLMREGPHVSNEHRRMLEMPITEDEITHALKSIGDLKAPGVDGYDVGFFKET >CAK8534934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792473057:792477312:-1 gene:gene-LATHSAT_LOCUS4431 transcript:rna-LATHSAT_LOCUS4431 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRHRIILLFVLTVTVVMMRVKARGEYGSPEQCDLFTGTWVVDESYPLYKPATCPFIEREFRCEANGRPDLIYTHYRWKPLSCNLLRFNGEDFLERMRGKSIMFVGDSLSRNQWQSMTCLLHSALPNSNYTVARVGDVSIFTFTEYEVKVMLDRNVYLVDVVREDIGRVLKLDSIEGSKLWNGVDMLIFNTWHWWYRRGPTQPWDYIEVGGQVMKDMDRMKAFERALVTWAAWVDANIDPTKVKVFFQGISPSHYNGTLWNEPSAKSCIREKTPVAGSTYPGGLPPAVGVLKSVLSRIKKPVTLLDITTLSLLRKDGHPSIYGLFGLKGMDCSHWCLSGVPDTWNEILYNLI >CAK8539256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507665040:507666302:-1 gene:gene-LATHSAT_LOCUS8368 transcript:rna-LATHSAT_LOCUS8368 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSFYSFLAIISLVFHLFCISSITAQNNGFTVKLFRKPSYSRSHLMRLSKNNPNAAHAPVHAYIGHYLMELNIGTPPVKISGIADTGSDLTWTSCEPCVGCYKQIDPLFNPLKSSTYSDVSCDTPLCDKLDTKECSPEKRCDYTYAYASSSVTKGVLAQETITLTSNTNEPVSLKGILFGCGHNNTGGFNDHEMGIVGLGRGPVSFVSQLGPLLGGLKFSQCLVPFLTDIKISSKLSFGKGSEVLGQGVVTTPMVPSPTPDKTPYLVTLEGITVENTYLPFKTSGTVAKGNMMIDSGTPPTILPNDLYERLVNEVKKKVSMEPIQDDPSLGNQLCYHTNTSPNGPNLTFHFEGADIVLTPIQIFIPQIEKVGVICLGVTNNSADGGIYGNFAQSNYLIGFDLEREEVSFKPTDCTRD >CAK8564921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15641762:15643960:-1 gene:gene-LATHSAT_LOCUS18171 transcript:rna-LATHSAT_LOCUS18171 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFVLQELGQFVIKESCKFAVEERASVAGIERDFNDIKDELENIHAFLKDADRKASDDGGGGGGGSNGVKTWVKQVREASFRIEDVIDYYNMYLAEKASQSIFKSAIQMIPGLNTIMNTDHQIVSEIRDIKMSLARIKERSTRFEFRSDNEAGSHRGTKAPRIGDPRMAPYFIEETQVVGFESARDELVRCLVEGNKELMLVVVFGMGGLGKTTLAKHVFDNQIVKSSFDCRSFITVSQTYTIRELLTEMIKKFCKDSNEPIPRGLQKMDDEALINQVRQYLESKRYLVLFDDVWKDNFSDEIVHALISNNKGSRIIVTTRKMQVAEYSKSSFPVHVHELQPLSPNKAWELFCNKAFRGRCPTELEEMSREIVQKCGGLPLAIVAIGGLLSTKAKTMFEWEKVSRNLRMELDRNVHLTSLVKILSLSYDDLPYRLKSCMLYFGIYPEDYTINRKRLTRQWMAEGFVRHEEGRTLEEAAEECLTELIQRSLVNVSRGGFDGKVKSCQVHDLLREVIIRKMKDLSFCHLCHKDDEQVTVGITRRFSIAAISNNDVTNTSNSGIRAIFVFDKGESPKKLMDGLATKFKLLKVLDFENSLLNSIPDNLGNLFHLRYLNLSHTQVTVLPKSIGMLVNLETLDLRQTPVHELPKEINKLTKLRLLPAYYRKYEGHYAMLNFTIGVKMQKGIGSLKSLQKLYFLEADHGGNDLIQELKKLKQLRKLGIKHFSDG >CAK8543198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587254276:587254482:1 gene:gene-LATHSAT_LOCUS11971 transcript:rna-LATHSAT_LOCUS11971 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGFSSLAPKTKNFIVAGGLTTFVFGAYFYTMRAVGGTDELQVAIDKFEADKSTKAGEPTIPSKV >CAK8538714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493636962:493638544:-1 gene:gene-LATHSAT_LOCUS7885 transcript:rna-LATHSAT_LOCUS7885 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGKKQFTVNVTNEEVVAAALPMQEHWLPLSNLDLILPPVDVGVFFCYNNIGTHRNVVGCLKHSLAEALVSYYAFAGEVVANSMGEPEILCNNRGVDFVEAFADVELQSLDLYNPDESVEGKLVPIKKFGVLAIQATWMKCGGLVVACTFDHRIADAYSANMFLVSWAEIARPHDNKSLIPTTQPCFRRSLLTPRRPPSIHPSLYDMYVPVSELPPPPEPEFESELTDPIISRIYYVTSEELNNMQSLANSKNNSNTKRSKLESFSAFLWKMVAEAASTDNKNIVAKMGLVVDGRKRLSNGDKNKEEIMSSYFGNVLSIPYGGRSAEELVEKPLSWVTNQVHDFLEAAVTEEHFLGLIDWVEEHRPVPGLARIYCGGTGGEEGPTFVVSSGQRFPESKIDFGWGKPVFGSYHFPWGGSAGYVMPMPSPKRNGDWLIYMHFPKGHLQFMEDHAPHFFRPVSWDYLLN >CAK8564744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7996816:7998527:-1 gene:gene-LATHSAT_LOCUS18016 transcript:rna-LATHSAT_LOCUS18016 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTTTIVMYPAPGIGHIISMVELAKLLILQQQQQHFSITILLTTGFFDNPSIDSYINRISTSHPSITFHRFPSVTALIQSNSQSLAATGFKFIKSNNVNVESKLCQTSQASVIKCFIIDLFCYSAMEIASTMGIPVYYFFTSGAAVLALYSYFPKIHSETKVSFIEMVGVEIMAPGNASLNAVLMPEMVLDREDPAYWVMLCMCEHISLAKGILVNTFLELETTAVKAVVDGACFPDLGRSPPPIYCIGPLIADPQQPDEARDSKDCLSWLDKQPSRTVVYLCFGSRGSFSMAQLKEIAEGLERSGHRFLWVVKRPIQEHLGMNQVHDTTGEFELNSVLSSGFIERTKERGLVVRSWAPQVEVLSRESVGGFVSHCGWNSVLEGVVAGVPMIAWPLYAEQHVNRNVMVEDMKVAVAVEQREGDMFVSGEEVEKRVRELMESERGREIRERSLKFKDMARDALGEFGSSTKALSNLVQTWNDDN >CAK8561037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79911363:79916844:1 gene:gene-LATHSAT_LOCUS14663 transcript:rna-LATHSAT_LOCUS14663 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGTATVNDGEPEPQHKEFQNNNNDDDKKKDKNSDPEHFCCLLQPLTPDADPEYIGIRRLLLHRKAEAGALRRRDWRCNGKTYVAYRNYISRPRNWESLRPPSLQTTPGNSGRWIPSASPPSRWSEVDSWSSGRDVQVANSLSNHRASFGSGSNASDSDRPRHRGVEPAYSFVGMHCIFDQCKASVTVLKFGHMSSDLLAYGASDGTMTVCSVSEKPSILKQLSGHSKDVTDFDFTSNNQYIASTSLDKTVRVWEIGKGTCIRVIYGVSSQLCIRFHPVNNNFLSVGNANKEINVFNFSTGRIINKAVFDAEVTAMDHDHTGHLIFCGDAQGCIYSVNMNSHTGILSRSHRHRSSGKHKSPVTTVQYRSFSLLARGPVLLTCTQDGSLSFFSVALEIKGYLTLRCSLKLTPRIHKIQASFCPLLSLEKGEFIVAGSEDSNVYFYDLTKPKHTCVNKLQGHRFPVMGIAWNQGENFLASSDFYGVVIVWKRERTNQNKNT >CAK8568023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565427319:565439334:1 gene:gene-LATHSAT_LOCUS21024 transcript:rna-LATHSAT_LOCUS21024 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFHVGGKVVDKVDLLRKKQLLWRLDVWPFAVFYGAWVSIILPSLDFVDACIVLGALSSIHILVCLFTAWSVDFKCFAYYSKVKNIDQADSCKITPAKFCGSKEVVPLNSRKSSAGSSSVDLEEIYFDFRKQCFVYSKENGTFNKLSYPTKETFGHYLKCSGHGSEAKVLAATEKWGRNVFDYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLRTLTELRRVRVDNQIVMVHRGGKWVKLSGTDLLPGDVVSIGRSSGQNGEEKSVPADMLILAGSAIVNEAILTGESTPQWKISIAGRGIEEKLSAKRDKTHVLYGGTKILQHSPDKTFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFALIAAGYVFIKGLEDPSRSKYKLILNCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLTETTELESDMSRVPVRTVEILASCHALVFVENKLVGDPLEKAALKGIDWSYKSDEKAIPKRGNGNSVQIVQRYHFASHLKRMAVIVRIQEEFFAFVKGAPEIIQDRLINVPPSYVETYKKYTRQGSRVLALAHKSLSDMTVSEARSLDRDMVESGLTFAGFVVFNCPIRSDSADVLSGLKESSHDLVMITGDQALTACHVASQVHIISKPTLILSPASNGEGYSWVSPDESENIRYSKEEVEILSESHDLCVGGDSFEMLQQTSAHLLVIPYVKVFARVAPEQKELILTTFKTVGRVTLMCGDGTNDVGALKQAHVGVALLNAMPPAKGGNSSSDSSAEDSSKPTKQKKSKNAGETSGKSISLSGEGTSKTKVASKSDSTSHSAVNRHQTAVDMQRQKLKKMMDELNEDGDGRAPIVKLGDASMASPFTAKHASVSPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAERPHPNIFCAYVLLSLLGQFSVHLFFLMASVKEAEKYMPEECIEPDASFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENRPFRYALFAAVVFFTVITSDLFRDLNDWLKLVPLPVGLRNKLLIWAFLMFFICYSWERLLRWAFPGKVPAWKRRQQVAVSNLEKKKDL >CAK8535990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895629931:895631840:1 gene:gene-LATHSAT_LOCUS5401 transcript:rna-LATHSAT_LOCUS5401 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEIDDSGNGDAVGNVTTGEVSSSIADKDKLDCSSFDPTDEQDQDDNTTQDSSGVDQIPLAITALSAVRTVDEPYMGQEFVSEAEAHAFYNAYATRVGFVIRVSKLSRSRRDGSVIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMIMVRKLNSGLWSITKFVKEHTHPLTPGRGRRDFVYEQYPSGHDRVRELSQQLALEKKRSATYKRNLELLYEYIEEHNESVSRKIQHIVESVKEMEANEQDSLR >CAK8565163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:53300011:53300202:1 gene:gene-LATHSAT_LOCUS18398 transcript:rna-LATHSAT_LOCUS18398 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSFFNCFGPSSNSSSQVYDEKSSQSKSSSSLKKPKSKEKLKGAPIIVSYFPINSNCSRL >CAK8566618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442814812:442816269:1 gene:gene-LATHSAT_LOCUS19737 transcript:rna-LATHSAT_LOCUS19737 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNRKRSYHSISSPSPSAPFLQNNTPLSPLPLPLLPLGKTKSLFEIISTNPSLSVEKSLQDSCIDVTPQDVEQVLKLSYRFPAQAVKFFRWSGHRINHNHTPYAWNLVIDILGKNRLFDAMWDAVKSMRREGLLSLSTFASIFASYVNAGRIADAVTTFEVMDGYGCVRDVVSLNSLLSAVCSSGRTIEACDYLQIGKKLVRPDSDTYAILMEGLESDGNVVGAKETFAEMVIEIGWDPANVPAYDSFLCTVIKGPDGIRDAVKFFDSMRDRRCYPGVRFFRVALDECVRFHDIRRAEFFWDVMLEKTVLQPTTAMYNSMIALYCYHDDIDTAMKMLDGMVYKGAFPDSLTYNLLFRFLIKGRKTREASRMFVEMLKNECLPDQLNCDAAVRLYLDKGDPVMAIKIWKCLVENYREDLEDTANLLVVGLRDNDRVPEAVKYAEHIIATGIKLTSSTLSKLRQSLVKERKEFVYDELIAKWKAAY >CAK8571182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:328667790:328668221:-1 gene:gene-LATHSAT_LOCUS23871 transcript:rna-LATHSAT_LOCUS23871 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGLTNSIPSLSIPSHGYGFTFSTITLTFKHRKPHPIPLFASSNNNNNNNQVKEKGENSQQQSPSSSNGEEQKRPLFGFNWSNLLDPDPDNVLALGLTGLLTWASVQVLCQLLFISFAIIVAALKYSFIAALLIFILIALL >CAK8572724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558339344:558339562:-1 gene:gene-LATHSAT_LOCUS25254 transcript:rna-LATHSAT_LOCUS25254 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGWPKTTVPPSSPPESLSSLKTPQSDSRTTTPPNPSSKTPETGAKIDKEMEATLGNAIKETPAEVTKT >CAK8563609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620133940:620140955:-1 gene:gene-LATHSAT_LOCUS17003 transcript:rna-LATHSAT_LOCUS17003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRVAAAALLMIILTGTLIGAEGKPRRIVVDTDVDTDDLFAMLYLLKVNTSQFQFEAVTISANSWTSGGHAVNQVYDLLYMMGRDDVAVGVGGEGGILPNGTILPNVGGYLPIIDQGITTSGSCRYRQAIPVGLGGRLDIDSNYGIRKAFLPQGEREYTPLEQPTAQQVLIEKISAGPTTLLIMGAHTNIGIFLMNNPHLKKNVEHIYIMGGGVRSSNPTGCCPKNASSSCVPRQCGDHGNMFTDYNTNSYAEFNIFGDPFAAYQVIHSGIPITLVPLDASNTIPITEQFFDTFEKSQDTYEAQYCFKSLKISRDTWFDDQFYTSYFMWDSFMAGVAISIMSKPNNNNGENEFAEMEYMNITVVTSNKPYGVSDGSNPLINGLKVPKFNIQKDGVHSGHIQQGLRDPLCFVKNGKGKCQDGYTKEENGPDSVRVLVATKAKPNRDVKSSLDREYFINFLNVLNQPKQAGRYNFTTQFPYYKEVTYKPDFSNITLGKPVVFDMDMSAGDFLALFYLLKVPVQVIDLKAIIVSPTGWANAATIDIVYDILHMMGRDDIPVGRGDVFAVNQTDPVFSAVGECKYVKAIPHGSGGYLDSDTLYGLARYLPHSPRRYTGENSVKFGAPRDTDHPELRQPLAMEVWESVLQTLKPGTNVTVLTNGPLTNLAKVVSVKNISSRIQEVYVMGGHISSDEEDKGNVFSVLSNQYAEFNMYLDPYAAKTVFESEVNITLIPLSTQRRVSSFATVRNKFRGTRRTPEAVFTKHLLSSLHRLKKINHRYHHMDTFLGEILGAVVLADKASTLQTKFEVKSIKVLASGNESTDGKIVVDEKKGKLVKILSNVEENAYYNLYANKLGDQYQSAKVASFEAQKRQWSHPHDDKPSKENAAQLHG >CAK8539045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502359759:502361009:1 gene:gene-LATHSAT_LOCUS8181 transcript:rna-LATHSAT_LOCUS8181 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLAPLVLFSLTLLISLIHSTTAGAATSGHHNITRILAQHPGFSTFNHYLTITHLADEINRRQTITILAIDNAAMSSLLDKHLSVTTLKNVLSLHVLVDYFGAKKLHQITNGTTLVSSMFQATGAAAGTAGYVNVTNLKGGKVGFAAEDNDGLHSFYVKSVEELPYVISVLQISQPLSSADAEAPTASPSDIDLISIMSKQGCKAFADLLRVSKALPTFKDTVDGGLTVFCPTDSAVNGFATKYKNLTDAKKVSLLLYHGVPVYQSLQMLKSNNGIMNTLATEGANKYDFTVQNDGEDVNLETKVNTANIIGTLIDQDPFVVYKINKVLMPRELFKGVKEELAPAESPKAAKKKSKKKGKPAADEDADSPAADGPDADADSDDQKAADDNGVSGLNQGFRFVMVIFSLIIGALV >CAK8537932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448332743:448334562:-1 gene:gene-LATHSAT_LOCUS7180 transcript:rna-LATHSAT_LOCUS7180 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQIFVMVTLVSLLIPSNALLTPHFYDKVCPQALRVINSVVKQAVLREKRIGASLLRLHFHDCFVNGCDGSVLLDDTRNFTGEKTALPNINSIRGFSVIDEIKAAVDKACKRPVVSCADILAIAARDSVAILGGPQLFYNVLLGRRDARTASKSAANANLPPPTFSFSQLISNFKSHGLDVKDLVALSGGHTIGFAKCRTFRTRIYNETNIDPSFAASLRKTCPRKGGDDNLAQLDSTPIKVDTTYYRDLIYKKGVLHSDQQLFSGKGRESDKLVQLYSKSPLAFARDFKSSMIKMGNMKPLTGTQGQIRINCRRVR >CAK8579604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712255311:712259200:1 gene:gene-LATHSAT_LOCUS31537 transcript:rna-LATHSAT_LOCUS31537 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVLSSVTTFRVLTVLLLLLPSISAGHDYHDALRKSILFFEGQRSGKLPSDQRLRWRRDSGMHDGATAGVDLTGGYYDAGDNIKFGFPMAFTTTMLSWSVIDFEKVMGPELWNALKSVRWGTDYLLKATSKIGSGVVFVQVGDPLSDHNCWERPEDMDTLRTVFKIDGSHPGSDVAGETAAALAAASIVFRSRDPAYSKKLLNRAVTVFDFADRHRGAYSNSLHRAVCPFYCDVNGYQDELLWAAAWLHKASRRRLYREYIIRNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRANYFSSFKQNADEFICSTLPGFSHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGDTTATPALLKRLAKRQVDYILGDNPLGMSYMVGYGVHYPQRIHHRGSSIPSVSAHPARIGCKAGSQYFFSPNPNPNVLVGAVVGGPTNTTDSFPDSRPFYQQSEPTTYINAPLVGLLAFFSAHP >CAK8562195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:455888822:455891966:1 gene:gene-LATHSAT_LOCUS15714 transcript:rna-LATHSAT_LOCUS15714 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIKSRNRRSSSTSSSYTSTLTTLAFIVLCVLGVWMLTSNSVVSPKTRSAVDTSASTTNDFSSNDEQNDRATTTNNNNDDGNNNKNNLDDSTSESTTNAKNSIDDSISETTNNDLASETTNSVFGDNPGKLPDDAIKSDDANNVVSTNNNEQPKQEDKSLFARNQEPRNSNNDQKNIVLEKDEVSAKGNIEDENQNAKVDQQQENQDDANIQQLREDKGELEVMGKEEKKMPVDEPKEQENESHEEAVSVKRPKQERKRRKSRKELKKQWSTQADESQGEKERQNVNESGGGSSSSNESGGSSSSSSSNVVVDQEGKDFKWSICNVTAGADYIPCLDNEKYLKTSHRKHFEHRERHCPEDAPTCLVSLPPGYKIHVPWPGSRDKIWYHNVPHVKLAEVKGHQNWVKLMGEFLTFPGGGTQFIHGALHYIDFLQKAQPDIAWGKHTRVILDVGCGVGSFGGYLFERDVIAMSFAPKDEHEAQVQFGLERGIPAISAVMGTQRLQFPSRVFDLIHCARCRVPWHEEGGMLLLELNRVLRPGGYFVWSATPVYQTLEEDVEIWKAMKALTKSMCWDLVTIKNDTLNQVGAAFFRKTSSNECYEQREKSEPPMCKDDDDPNAAWYVPLQACMHKLPVEQTERGAKWPEVWPQRLQKAPYWLNDAEGEKLSTQNFAADSVQWKNIVDELKIMGVDWSNVRNVMDMRATYGGFAAALKDLPLWVFNVVNVDYGDTLPIIYERGLIGMYHDWCESFSTYPRTYDLLHANQLFSNLKTRCKLIPVIAEVDRVLRPGGHLVVRDELSVVDEVENLVKSLNWEITSKTSKNQEGSLCAKKSFWRPDS >CAK8560458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20461764:20462156:-1 gene:gene-LATHSAT_LOCUS14127 transcript:rna-LATHSAT_LOCUS14127 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDHKQPNTPLQTTMVTWQPRRRISLFRRRKVQTVRLGGKSPRRRLIGGIVRIFRRMRVKWLKLQYIKLFKRLKEHYRNLVKDLIEAGATVETFHQRLFMESTFAIPLGVNLSTYPSRFGSDHPRTIFM >CAK8536710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9361128:9363026:-1 gene:gene-LATHSAT_LOCUS6044 transcript:rna-LATHSAT_LOCUS6044 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLFVFLILVIASHSLCARITPKADDEWITFDVSNTKYGAIGDGNTDDSEAFIKAWQDVCGSQVTPTLIIPNNKTFFLQPLIFKGPCKATIKVWLGGTIIAPKNMEDWKWVEDKELAWIRFEDISGLTVNGGGQINGQGAPWWKEYPDNESKRPSAIKFIGCEKITISNLTHYDSPRNHMGIASCKDVYISDLKMIAPDDSPNTDGINIASSSNVIIKDSTITTGDDCVAINTDSFFINITGVFCGPGHGISVGSLGKNGEYAKVEDIYVNNCTFTRTSNGARIKTWEGGNGYARKITYEDIEFNEVKNPIIIDQSYNPKIYDDDDGKGVAVTDVMFRNLRGTSTEDPIQLKCKPNISCINIELDNINITRIDNEKSHTSLY >CAK8574963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18384910:18387790:-1 gene:gene-LATHSAT_LOCUS27260 transcript:rna-LATHSAT_LOCUS27260 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLKRTFSKSSTNPNTPTESNSTQQSQEHEHHGITEELINLVKSFTIDTFKNFPLKDEDESSYSEEVQSNSTRVRKDLSQWQERHAVLILSRVKEISQLRYVLCPRHLKDNQFWTIYFALARSHLAPYELRAIQQEKLKQMATEEDKSSENHPYEIEMAEAKNGNSIKPLPPP >CAK8572239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523966016:523966493:-1 gene:gene-LATHSAT_LOCUS24825 transcript:rna-LATHSAT_LOCUS24825 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFEELPEECISTILSRTTPVDAGRLTLVSNTLHSAADSDAAWNQFLPSDSHFMDSIVFSSPSLANVPSRKALYLALSDRPIIIDNGLKSFQLDRKSGKICYMLAARSLTIAWVDDERYWKWISMPNSRLA >CAK8571966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:498142957:498157746:1 gene:gene-LATHSAT_LOCUS24577 transcript:rna-LATHSAT_LOCUS24577-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRKNSWPPEEYINKTTLQLFDFDSAAPPEHAWRRRLNSHANLLKEFRVTFMEAIKMVRLGIRMWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGLCEPSPVMANQFSIFVSREGGNKRFASVLAPGQHEGLGSSRKADEQGISSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFVPHNYRESSLPASVFVYTLVNTGKERAKVSLLFTWANSIGGNSHLSGDHVNEPFIAEDGISGVLLYHKTAKDNPPVTFAIAACETQNVSVSVLPNFGLSDRSSVTAKGMWSKMVKDGQFDRENFSSGPSMPSSPGDTLCAAVSASAWVEPHGKCTVAFSLAWSSPKVKFVKGSTFQRRYTKFYGTSDRAAVDLAHDALTHYKRWEEEIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTIWIDTPLQSSNMRNSQDQVKESENAVVQLTEAKVDCRKRETDSTYDSTASRGHNHLDENHRDISHENGCVNTLRKGNSTNTLPCSTMKNLQHDDDNDDGGRFLYLEGVEYVMWCTYDVHFYASFALLMLFPRIELSIQREFAQAVLCEDGRKVKYLAEGNWGIRKVFGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATSDLQFGVDVWPAVRAAMEYMEQFDRDADGLIENDGFPDQTYDTWTVHGVSAYCGGLWLAALQAAAAMAIQLGDRDFAETCKRKFLKAKPVFEQKLWNGSYFNYDSGSSGNSKSIQADQLAGQWYTASSGLPSLFDDFKIKSSLRKVYDFNVMKVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYGVAATMILAGMEEEAFTTAEGIFQAGWSEDGYGYWFQTPEALSIDGHYRSLIYMRPLAIWGMQYALSLPKAVLEAPKMNFMDRIHLPPVSGGLHNETGVRKIAKKTKCFRNSVFNCAC >CAK8571965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:498142957:498157873:1 gene:gene-LATHSAT_LOCUS24577 transcript:rna-LATHSAT_LOCUS24577 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRKNSWPPEEYINKTTLQLFDFDSAAPPEHAWRRRLNSHANLLKEFRVTFMEAIKMVRLGIRMWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGLCEPSPVMANQFSIFVSREGGNKRFASVLAPGQHEGLGSSRKADEQGISSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFVPHNYRESSLPASVFVYTLVNTGKERAKVSLLFTWANSIGGNSHLSGDHVNEPFIAEDGISGVLLYHKTAKDNPPVTFAIAACETQNVSVSVLPNFGLSDRSSVTAKGMWSKMVKDGQFDRENFSSGPSMPSSPGDTLCAAVSASAWVEPHGKCTVAFSLAWSSPKVKFVKGSTFQRRYTKFYGTSDRAAVDLAHDALTHYKRWEEEIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTIWIDTPLQSSNMRNSQDQVKESENAVVQLTEAKVDCRKRETDSTYDSTASRGHNHLDENHRDISHENGCVNTLRKGNSTNTLPCSTMKNLQHDDDNDDGGRFLYLEGVEYVMWCTYDVHFYASFALLMLFPRIELSIQREFAQAVLCEDGRKVKYLAEGNWGIRKVFGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATSDLQFGVDVWPAVRAAMEYMEQFDRDADGLIENDGFPDQTYDTWTVHGVSAYCGGLWLAALQAAAAMAIQLGDRDFAETCKRKFLKAKPVFEQKLWNGSYFNYDSGSSGNSKSIQADQLAGQWYTASSGLPSLFDDFKIKSSLRKVYDFNVMKVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYGVAATMILAGMEEEAFTTAEGIFQAGWSEDGYGYWFQTPEALSIDGHYRSLIYMRPLAIWGMQYALSLPKAVLEAPKMNFMDRIHLPPVSGGLHNETGNFFTLLRTQPSRREAVKSGCQGSGFSCNL >CAK8575771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:321155745:321156380:-1 gene:gene-LATHSAT_LOCUS28007 transcript:rna-LATHSAT_LOCUS28007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSEKSQKNKSNDKPKIDKASKKISKPKREQNGASVKSESLALQLEDEVPDFPRGGEFSMKRSSNNYEKFGDEDPSKNLWKNKKKKKVFSKSEDAESDFGLLFGAGITGKLSRHVNRVTLKYLTPGMKLWGVVAEVNNKDLAVSLPGGLRGIVNASNALDPILNDKTEIGESFLSSIFSVGQLVSCIVLRLDDDKKEKGHRLCFMFDRF >CAK8575378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103711731:103716850:-1 gene:gene-LATHSAT_LOCUS27647 transcript:rna-LATHSAT_LOCUS27647 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFPHFPSNFHSLSRSPNNRFSPSRRRYFTTTATSSDTAILWFKHDLRTDDHPGLLAASEFRSLVPVYIFDHRILSRFSDEMLELVLFALKDLRKSLQERGSDLMIRFGNAENVIQQLANEVKATCVFAEQEVEHELLFVMDIVNQHLKSMKVPQDIPRIELWKTPFYDVKVQNLASSYDDFEKLRLQVTTPLQLSTIPGAEMELDWGNLPVYDDIKGFMANSRRNLQDDWNMIKKTSAETLLGREMLKSRESGERSYSFRQIKSEEPNKSVFVTQKGNVVGGGTYSVLNALAAYLRYLEGTARDDWQEVHDKLRASENRNGASFNALFGPALSLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTIAAAVEAVCSKEWYWILALRNQINNDGKQSTRIWRWNGFLIQYTVAGEDGPAVLLVHGFGAFGEHYRDNIHGLAKSGNRVWAITLLGFGKSEKPNIVYTELLWADLLRDFIVDVVGEPVHLVGNSIGGYIVAIVARVWSVLIKSIVLINSAGNVIPRNTTMSLSRQSDRQTSVATWLGSRIILFYLRLRIQELVKQCYPTKIERADDWLINEMLRASYDPGVPVVLESIFSFNLSIPLNYLLEDVKEKVIVIQGMKDPISDSNATVAMLKEHCDSVIIKKIDAGHCPHDEVPELVNSIICDWIRRVESNILAGFSV >CAK8565855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343817985:343821532:-1 gene:gene-LATHSAT_LOCUS19034 transcript:rna-LATHSAT_LOCUS19034 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRGKFADGGDGREMASKRQRTVDAGSSFYGSPGSSYMYNPSPYGYVSQPPPPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFSGEGFCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKRQEYYKAIANEVSDARGGSPRRSAPRAKSYDDGKDSAEHTGVLRLRGLPFSANKDDIMEFFKDFALSEDSIHIVMNSEGRPSGEAYVEFENAEDSKAAMAKDRMTLGSRYIELFPSSHGEMEDSVSRGR >CAK8576292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:446288097:446291431:-1 gene:gene-LATHSAT_LOCUS28493 transcript:rna-LATHSAT_LOCUS28493 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSVLSSIFFLLFLLIVSNNEKPRVPALFIFGDSILDVGNNNYLPTLVKANFVPYGRDFENHFPTGRFSNGKLISDFASEILGFISYQPAYLSLYSKGKNILNGANFASAGSGYLDSTAKLYHSLSLSQQLEHYKEYQKELMKIAGRSDALSIIHGALYIVGFGSGDILLNYYINPLLRLVYTPDQFTDILVQNYADFIQNLYAQGARKIGVISVGAIGCLPAAITVFKSAYSNKCVVELNNIALSCNQKLNSTSMNLRKMLPDLNLALLDSYQPVYNLVTKPLEYGFSETRKGCFGTSFLDKTFTSSYNNKKTFVRLCPNASKYVFWDGLHHTETANKFITSELISDSISLIT >CAK8576293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:446288097:446288324:-1 gene:gene-LATHSAT_LOCUS28493 transcript:rna-LATHSAT_LOCUS28493-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSFAGFSETRKGCFGTSFLDKTFTSSYNNKKTFVRLCPNASKYVFWDGLHHTETANKFITSELISDSISLIT >CAK8534362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720573493:720575070:1 gene:gene-LATHSAT_LOCUS3912 transcript:rna-LATHSAT_LOCUS3912 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKPFLTTIAQKCNTLTQLKQLHAHILRCRIHHSPYALAPLLSVAATSFYSYARSIFIHLPHRNTFIHNTMIRAYLLNHSPATALSCYLTMLQNGIPVNNYTFPPLIKSCTALISSSHSASTLMIGRLVHSHVLQFGFTHDPYVISAFIEFYSASRDVHKARVLFNETKKKDVVLWTAMIDGYGKIGDVESARQLFDEMPDRNVVSWSAMMAAYSRVNDFKEVLALFLEMQNEGVKPNASILVTVLTACAHLGALTQGMWVHSYARRFERISSNPILATALIDMYSKCGCLESALAVFDGISDKDVGAWNAMISGLALNGDAGKSLKLFQQMVVCGSRPNETTFVAILTACTHARMVQEGLQLFEEMSGTYRVEPCAEHYACVVDLLSRAGMVVEAERFIEEKMGGFAAGDANVWGAILNACRIHKNINVGNRVWKKLTDMGIADCGTHVLTYNIYREAGWDAEANRVRSMISEAGMKKKPGCSIIEVGNEVEEFLAGDQSHPQALEMCRLLDSILKMDNLEHI >CAK8571070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275633721:275635300:-1 gene:gene-LATHSAT_LOCUS23764 transcript:rna-LATHSAT_LOCUS23764 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEGSSRSGPRRTVVRDLLKPLNSEYGKVAPGWGTTPWMGVAMALFAVFLSIILEIYNSGVPWFTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVILGVLTASFVTGVINGEVS >CAK8570178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27772018:27773310:-1 gene:gene-LATHSAT_LOCUS22953 transcript:rna-LATHSAT_LOCUS22953 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEARAGYAVNHCFTQDFRMSPSDYQSPVSKKLESDFIHSHDSTDSDMKWWLHVKTNLGSDANYSWESKFNAFNVDIGSDQSVKNLDSLSCVGSVTNTIALEQQWNVYPKCIQKTKDTRTAKIEAALNNDLYLAPKKKIEGEFWFSDDDDVTSVLVSKQCKSTSSDLEPHWLRGEKTRPWWDTTGKDDLGYLVAKKSREYIENCNLPEPAHQPRVIDNDKNLVSSLSRKSEACSSEANGCTSITLTSGYSFQDSNRTFSSSESKDSDSSNNKDSHMSSESAAKAELLKALCRSQTRAREAEKAAQEACNEKEQISSLFFKQALQLFAYKQWLHVLQLENLCLQFKDKNQPLMDNLFSDRAGKAPRKNRRKVRDRRKCVFAFAVGLALAGAGLLLGWTIGCMFPSL >CAK8576530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:488536020:488536884:1 gene:gene-LATHSAT_LOCUS28711 transcript:rna-LATHSAT_LOCUS28711 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLAKNGSEFEKKTKQHIKEYADAGLRTLILAYRELEEEECTLFNKELMEARSLVSADREQIVEDVLEKIEMDLILLGATAVEEKLQNRVPECIDKLAQAGIKLWVLIGDKMETAINVGFACRLLWQEMKQIILSSDTRETKSLEKMENKSDADVAIKKSVVCQLMEGKELLGASIENTKELALIIDGNSNICTRR >CAK8578448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629766893:629771674:-1 gene:gene-LATHSAT_LOCUS30460 transcript:rna-LATHSAT_LOCUS30460 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIQKSRKRNHRSPPVSSTFTRSRAQSLIHRSRSGQIRPDPIRSKANQCPVPIPVPVPTQEELYHVPVHFVGKNASQGFSDSEYKSLIQDICKECCESDSEDKDLVKDLCKKAKHDDGDLACATAKDLRMRRVYSILSSAGACSDRIGSALSNRSAVDSEGKVAESEFESLFADNGDARVDDGRISLTEVPVLGLSCETRDFNRGNTDTSCESPKSQVHKQNDEINDVYFLEKIDDLGDEPVLATPPEAVISINLGDNRDARKTEEALSVKDTPLTVNAEENSDKGFSGTKDCQLNSYALKSKSVLKPRLPGKLFKAPGSVNYRRLMKIMGDDIGIPGSGHCLKDKKVMDAQGFEFPLSSDTHKASKPKTMTDSCTILDINGPKLTSSPMQLDIAKVNGECLSGSSKDDECVSDPKAVPAAGFLGARAVANARTTNHNSCASEQLGVLKKDSIPTTNNSEIHDTNADQMDSMSKDVCPKADKMNEPLKSKHVHRPYLHGKLIKTTGSVNYRRLLPFLKNLTKEDSGTSKFGDQDQDAGLYAKELPLPSQSEEASIDLQMAASGQVQDKADPNALANSILVNPANMLTHGNLPELTSSQDLSELPMQLDAKKLVHECLSESCVQVHSEKAAISSKDECLSEPKTDPCSVMMDFNCAKIITDATNLDGFTQLHTPLSEQHLSVSPPKDQNLLNSNDDISNLSSKELVNQEKWESVSSCPPEGQSLCQLDLNMLDVENVTSSHAISKNDDNVVLSCVGISNEEPRPSSEKIISDKPELPDIAEENETNSHAIKKFDNSVVLSVNISNEEPRPPSEKIISDKPELPNITAHGGDIAESLLNGVVYASKMPSKGSNYKDASSVGEIENGSESKTTVVLNRCPRMKLFKHAGSLNYKRMLPIFLEAVKSNSCASNNDHHPKVQKLLYHTPAVPISDSNLLVNPISVSNDCVPMDDSTIDFGAQKETEFQACDLNNDSSSPSAHLPGKQILSNGHDKLENLISSPNSIERDLLTTPSRPITDEVTTIEEEATTALSIEERSPETLGYCQSLSQLKVTDQLSAPSVGFQKGILRRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQMLDADEVAQDLMKELSYLRNILERNNDGVNDTPVFDGSQVKEACRKAFETEQLAKERLSQMNDDLNMHCRTPSLLPPSVKFSDQVEEKVIQPDS >CAK8562928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557857470:557860631:-1 gene:gene-LATHSAT_LOCUS16388 transcript:rna-LATHSAT_LOCUS16388 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEIDFAADAASNAEEPRNGSEDHSPPSDLNLTVNEDDASLAVELHEKLDLKVEDEEGTTETEVKVSGEESVKDENKESVEDVVSVKGEERVDGDSKGWDTNSWNENVNEELGGGRDGVGYGYGYGDGDDGYGGGYDAGYGYGDGGEKKGDSGDDYGGGYGDGYDAVYGYGDGVEKKEGNISDGNHQFPLRPEAEDCSFYMKTGSCKFGINCKFNHPIRRKNQAVREKVREREEPAENSGQTECKYYQRSGGCKFGKACKFNHTRGYAAPISELNFLGLPIRLGERECPYYMRTGSCKFGSNCRFNHPDPTTVGGSDPQSGYGNGGSVPLRGVSQQSASSWSSSRKLNEAPYVPLMITPTQGLAPQSPDWNGYQAPVYLSERIMHPSSTYVMNNPTVETNVYVPHQKQMPFEVFPERPGEPECSFFLKTGDCKFKSNCKFHHPKNRTARLPPCNLSDKGLPLRPDQSVCSHYSRYGICKFGPACRFDHPESALPLMMPGLGQQSYANPANAQMGGMGGSAGDVTIQQSV >CAK8568387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601148402:601149631:1 gene:gene-LATHSAT_LOCUS21347 transcript:rna-LATHSAT_LOCUS21347 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYRKALNLNFYRRNKKRLLLIAVLGASGYGAYKAYHLPYVVRKRNRLMKLIRAFVSLAELVSNSAETVSIVSNDLNRFLTSESDEIPNSLKQLSKIATSDEFSIMVSRVSQSLTQGILVGYSNCNKASEIGVDDSSFSDRVMERLFSKAGTGFVSVVVGSFAKNLVLGFHSSESVDGRVDSGSRSRPDAVPGWVSVLCDEKCGKLIGDCVQTFVSTAVAVFLDKTMDVNTYDEVFAGLTNPKHHEKVKGVLVSLCNGAVETLVRTSHQVLTNPSVKSNSSLPIENGCLKTEAYVQEIRIGSSVSGVGDSGWLEQIKSTMSVPANQRFVLDVTGRVTFETARSFVEFLLWRISDGFKRSVNKVHDEVLDKGMQVVRYVGAKSSVILTLCLALYLHILGGSWVLLHA >CAK8566311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:405094938:405096380:-1 gene:gene-LATHSAT_LOCUS19448 transcript:rna-LATHSAT_LOCUS19448 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFSVARASNTNSDKSEWDGVSSNEICKRQRMSPTVDEENPRLFPNLPDEVSIQIIARVPRICYFNVRLVSRKWKSTIMSSELYKIRKELGTTEEWLYMLVKVGENKLLWHAVDPRSRIWQRLPTMPSVVDEEESRKGSSSRLWMWNMVEGMRIAEVIRGFFGRKDAFDEMPFCGCAIGAVGGCLYVLGGFSNASTMRCVWRFDPIQNTWSEVTSMSTGRAYCKTGILNDKLYVVGGVSQGSAGLLPLQSAEVFDPLTSKWSDVPSMPFSRAQVLPNAFLADMLKPIATGLTSYMGKLCVPQSLYSWPFFVDVGGEIYDPETNSWIEMPTGMGEGWPARQAGTKLSVVVDGELYAFDPSNTMDSGRIKVYDQGEDAWKVVIGKVPIYGSDDSESPYLLAGFHGKLHVITKDANHNISVLQAELRNNLNSSPSSSSTPSQSSLHQFVDPPVETDSVVWKIIASRCLGQAELVSCQVIDM >CAK8565550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:266877079:266878304:-1 gene:gene-LATHSAT_LOCUS18752 transcript:rna-LATHSAT_LOCUS18752-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVQLQPPPIQQNPSDSDPLLALKFLNMEDPIPATAELNEKNALALAILLVADQQPFAVLNHASGTTGWELALVMAPNSNESATAASKLDGVLDLLTLDSLYDDALRRNNQNLSYNPWKQALVGGMIQPAMHDPFFASNRMATPPLVQMADRIVQLFETNRYSVVNIFDVTLHPQLNVTGVVEIPEGNGSGVVWDSILQFCLQIITCRRQYDSPLFNQ >CAK8565549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:266875950:266878304:-1 gene:gene-LATHSAT_LOCUS18752 transcript:rna-LATHSAT_LOCUS18752 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVQLQPPPIQQNPSDSDPLLALKFLNMEDPIPATAELNEKNALALAILLVADQQPFAVLNHASGTTGWELALVMAPNSNESATAASKLDGVLDLLTLDSLYDDALRRNNQNLSYNPWKQALVGGMIQPAMHDPFFASNRMATPPLVQMADRIVQLFETNRYSVVNIFDVTLHPQLNVTGVVEVTKESLDKAISICGPYSVSLFHALQFCDAHLGHIFNDGPQPIESVTVSIGFSIQSEKKRGAKNGKKNLNVKPQNLKQKRNQTTNGGSRRSSIYIGVTRHRWTDRFEAYLWDKSSWNNIQNKKGKQG >CAK8560588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26999149:26999946:-1 gene:gene-LATHSAT_LOCUS14249 transcript:rna-LATHSAT_LOCUS14249 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMQIHRQEDPTQRQQDPFQEHHSQRQQDPTQQHYPRRNFPNKMTQQQQQQHQQGYNYPMEHKGNMDSRYRIPNAPKREHCICITILFLLLGIIILILWLAYHPSKPHITVTSASIYSLNATSPPFMSISMQFTIFIRNPNKRVSIYFDRLSAYVSYRNQPITPHVILQSLYLEKHGTVSVSPVLGSVPIPVSVDVMNGLVADESYGVVGVKLVFQGRLRWKSGEIKSAHYNMYAKCDLLLGLKKGLVGQIPLIGAPVCDVDT >CAK8540791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21365366:21366053:-1 gene:gene-LATHSAT_LOCUS9761 transcript:rna-LATHSAT_LOCUS9761 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFKLLSLTLLLVLVFKAYGVCALNKSGVCALSEIGVRQYKTSGYAHGMEVWKVNVTNNCECSQSQIQFNCTGFQTYLSVDPAIFSDDCLLIQGGLLHPSKSATFYYAWDPKFTFTPISSKTSCS >CAK8534560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742383600:742387351:1 gene:gene-LATHSAT_LOCUS4098 transcript:rna-LATHSAT_LOCUS4098 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSTIDEDGRVGICKERKKVIKQLVCNREQFSDSLLAYLKALRNTGATLRQFTESDSLELDFASTGLPDPPPSPPPNLLPPPLPPFLADKSMLHIGQDEILENDGDIDPIMNSLLLFRPMDKIEVVESLEEENWEETKTDFEDEVQDLEGDASVRKLRCGKQQVREAVDDDNPSAICLFKKETHVAKATPKGVGRSVKTLEGIVKELDDHFLKASACIKEIAVLIDISSGDTLLRQNSGRIHSKRTNSARVFSVLSWSRHTKSPTFTKDDADFSSPSEPCRPGAHCATLKKLYAAEKKLYKAVKEEGFTKLEFERKSSSLQKQEDENLDLVKIDKIRLNVKKLESDLISLRQCISETSSSILELIDEELLPQLVALTAGLSQMWSIMHECHKSQALISQQLCNLSDNHNTILSSEYHHQATIQFESEASYWYNSFCKLVKSQREYVGTLSKWVQLTNCLRDGHESGNHSSIRTICEQWELGLDGLSDKEVEDAIKNLLISIRSIIAQQAEEDNILKKLEKLERKLHRCSASLAAIQKKMEWNFEEDDGDVANISPKHPLFQKKAETEALKKQVESVKADYLDSVQCSRTMTLDNLRIRLPHLFLSLMEFSSASSQAIEAINSQSSQ >CAK8541090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:62183373:62184168:1 gene:gene-LATHSAT_LOCUS10041 transcript:rna-LATHSAT_LOCUS10041 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPSQTSKKLKLSQSSQKKTQTSQASKKLKLSQSSQSSKQFIIQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNKNHWVQVNMKEGFPLPPVTVDWKKFRSPAAASWMLGFVGRLQHWQQLTPILPTHYKL >CAK8572635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552318565:552321410:1 gene:gene-LATHSAT_LOCUS25177 transcript:rna-LATHSAT_LOCUS25177 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLLILFTCLLSGFISSRSETLFSFSQNDVVSPNPLQLLMVNLTLIQGADSKGAVCLDGTLPGYHLDRGFDSGANSWLIHLEGGGWCNTIRNCVYRKKTRRGSSKYMEDQIPFTGILSNKPEENPDFFNWNRVKVRYCDGASFTGDSENEAAGIQFRGQKIWLAAIEELMSQGMRNAEQALLSGCSAGGLASIIHCDEFRSLLPKSSKVKCFSDAGFFLDAIDVSGGRTLRNLFGGVVQLQEVQKNLPKNCLDKLDPTSCFFPQNLVEHVETPLFLLNAAYDVWQVQASLAPATADPLGSWNDCKSNHANCSSAQIQFLQDFRNQMVDNLKDFSRPSQTGLFINSCFAHCQSERQETWFADDSPLLEDKPIAVAVGDWYFDREVVKSIDCPYPCDNNCHNLVFRRV >CAK8577466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566447098:566447535:1 gene:gene-LATHSAT_LOCUS29572 transcript:rna-LATHSAT_LOCUS29572 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHSCTTNINQQDHGKLSSQLICQEIFHLVGVDPSVKVSTIISHVVARFNYTPTYMKAWIGKIKAFEHVYGNWEKSYNQLPQYLLALQKYVPGTVVILESLLAYTPEGTCVDGSRIFSRLFWEFQPCIEGFAFCKLVIQVDGT >CAK8530492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24218642:24222665:-1 gene:gene-LATHSAT_LOCUS350 transcript:rna-LATHSAT_LOCUS350 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSNSELHVRIFVTSMLVILMGTFSVADTDPVDVAAINSLYVAMESPPLLGWKPEGGDPCLDLWQGVECVFSNITAIRLGGFNLGGELGSNLDFPSIIEIDLSNNHIGGTIPFTLPPTLTSLSLARNRLNGSIPDALSLLSQLANLDLADNNLTGQLPSSLGSLSSLTSLRLQNNQLGGTLLVLQDLPLQELNIENNLFSGPIPPNVSNIPNLKKDGNPFNTTIIPTLPALAPSPVVTGLSPEESPWHVVYSPSDFPSPAPRNVKKSFLAKHGFWIAGAGILIFVALGICVCTVWCCKRKPKDKNSQKLDVEAFPNTLHKPTHSDAAEKTSRFNEVPNRRTNSVPKVQDEQEGYVNTVTATSEYNNVSKPSLLQPPPHPLPIIPVEKVTVNSAVTTKAAERKMMTSSVKMYTVASLQQYTNSFSQENLIGEGTLGSVYRAKLPDGKLLAVKKLDTRAFKDQTDEQFLQLVSSISKIQHVNIAKLVGYCAEYNQRLLIYEYCNNGTLQDALHGDDEHCIKFPWNERIKIALGAARALEYLHENFQPPIVHRNFRSANVLLNEKSEVCVSDCGLGHLLSSGAVGQLSGRLLTAYGYSAPEFESGSYTQQSDVFSFGVVMLELLTGRKSHDRSRPRGEQFLVRWAVPQLHDIDALSKMVDPHLNGSYSMKSLSRFADIVSSCIQREPEFRPAMSEIVQDLLLTM >CAK8541185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84635917:84637990:1 gene:gene-LATHSAT_LOCUS10122 transcript:rna-LATHSAT_LOCUS10122-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIVFVVLFLFCVQRVELKDSSPSCVFPAIYNFGDSNSDTGGISAAFVPIPAPYGEGFFHKPFGRDSDGRVILDFIAEKVNLPYLSAYLNSLGTNYRHGANFATGGSTIRKQNETIFQYGISPFSLDIQIVQFNQFKARTKQLYQEAKDSVERSKLPVPEEFSKALYTFDIGQNDLSVGFRKMNFDQIRASMPDIVNQLASAVKNIYEQGGRSFWIHNTGPIGCLPVNHFYKHSVPAGYFDLYGCVNDQNVMAVEFNKQLKDRVIKLRTELQEAAITYVDVYAAKYGLISNTKNEGFVDPMKICCGYHVNDTHIWCGNLGTVNGKDVYGTSCDKPSMYVSWDSVHYAEAANHWVANRILNGTFTDPPTPISQACYRH >CAK8541184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84635905:84637990:1 gene:gene-LATHSAT_LOCUS10122 transcript:rna-LATHSAT_LOCUS10122 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGLSIVFVVLFLFCVQRVELKDSSPSCVFPAIYNFGDSNSDTGGISAAFVPIPAPYGEGFFHKPFGRDSDGRVILDFIAEKVNLPYLSAYLNSLGTNYRHGANFATGGSTIRKQNETIFQYGISPFSLDIQIVQFNQFKARTKQLYQEAKDSVERSKLPVPEEFSKALYTFDIGQNDLSVGFRKMNFDQIRASMPDIVNQLASAVKNIYEQGGRSFWIHNTGPIGCLPVNHFYKHSVPAGYFDLYGCVNDQNVMAVEFNKQLKDRVIKLRTELQEAAITYVDVYAAKYGLISNTKNEGFVDPMKICCGYHVNDTHIWCGNLGTVNGKDVYGTSCDKPSMYVSWDSVHYAEAANHWVANRILNGTFTDPPTPISQACYRH >CAK8543622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627299537:627299729:1 gene:gene-LATHSAT_LOCUS12370 transcript:rna-LATHSAT_LOCUS12370 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISGKKNISFYETGFAEDLVNYAWKL >CAK8577059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535079868:535080335:-1 gene:gene-LATHSAT_LOCUS29201 transcript:rna-LATHSAT_LOCUS29201 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAIPYRSYTHTTSTTHFNVTKPNTLTNKIHNSNNHSSHIFSTFNINEDQKTIIHNMVSENAIIVFARRGCCMSHVVKRLLLGLGVNPAVHEVEEKDVVEVVKELESIAIDDGKVQFPVVFIGGKLFGGLDRIMATHISGELVPILKQAGALWL >CAK8571136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:311235069:311235304:-1 gene:gene-LATHSAT_LOCUS23827 transcript:rna-LATHSAT_LOCUS23827 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRENAIVEESTKFNFNLSPASVSTISVLNCTTHSQFCSGFGC >CAK8536656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4924509:4928213:1 gene:gene-LATHSAT_LOCUS5996 transcript:rna-LATHSAT_LOCUS5996 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRQQKIQKYEEFVDKRLKPDLLHATAQRDTVFEQQKIFADLRRNIENLEKNSVTSLRTMVNLGSEVYVQAEVPNTQHIFVDIGMGFHVEFTWSEALNYIEKREETIVRQIEEYTKLIASIKAQIKLVCEGIRELLELPAEKPSPQRIF >CAK8565961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:359578775:359579533:1 gene:gene-LATHSAT_LOCUS19134 transcript:rna-LATHSAT_LOCUS19134 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPNSPIKPMNSLTQIFQNIFSDNSNIMLAAIISLLLVILFVLLLHLYAKWFLAQAHAQAQARRRRRRRTTVTVSDVLGPARFHHFHSFNIEDSPLSSSHTKGLDSSIIASIPLFIYTQKNETQQDEKLECVICLSGFENGEMGRCLPKCGHGFHVECIDMWLSSHSNCPICRASIVVLENDDSCSVEIVIEGSSSSEIRGSEQGNDNDNGIGRVSGSVSDQTSSSLFGCYLKTVFSKVFKSSNVIESES >CAK8566152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385714555:385715108:1 gene:gene-LATHSAT_LOCUS19312 transcript:rna-LATHSAT_LOCUS19312 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCGVSLESIQSEGHQFGKDGEAVNNASNSSEPSSVTHDAESHPQANIVTESIMEINEVVPSEPVNVCQVQEFPTSKRLKVSDTEEVKIIKLKATSSSIVEWLENLDEGATLTDMLEHFNGSNQDSIVELLNCLEFDFSIYKKGNVYKAM >CAK8571112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303207196:303210047:1 gene:gene-LATHSAT_LOCUS23805 transcript:rna-LATHSAT_LOCUS23805 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTLSVTGESSIPGSDVQEQNPVSSVQPTLPQPTQPVIPPVITPVVPPLAPIPVVPSSLVRPLAPLPVRPPVIKPPVPQNGDVGSSDSESDGDDGDTRINKGTGEYEISEESRLVRERQEKAMQDLMMRRRAAALAVPTNDMAVRARLRHLGEPITLFGEREMERRDRLRMIMAKLDADGQLEKLTKALEDEEAATSAPKDEAEDDLQYPFYTEGSKSLLDARIDIAKYSLVRAALRIQRAQRRRDDPDEDVDAEMDWTVKQAANLNLEFSEIGDDRPLTGCSFSRDGKGLATCSLTGASKLWSMPNVKKVSTFKGHTERATDVAYSPVHNHLATASADRTAKYWNDQGSLLRTFEGHLDRLARIAFHPSGKYLGTASFDKTWRLWDVETGEELLLQEGHSRSVYGLAFHHDGSLAASCGLDALARVWDLRTGRSVLALEGHVKPVLGISFSPNGYHLATGGEDNTCRIWDLRKKKSLYTIPAHSNLISQVKFEPQEGYFLVTASYDMTAKVWSGRDFKPVKTLSGHEAKVTSLDVLGDGGYIVTVSHDRTIKLWSSGTASEQAMDVD >CAK8530117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1606860:1608027:1 gene:gene-LATHSAT_LOCUS10 transcript:rna-LATHSAT_LOCUS10 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDNCPHYSITQYRNLINHLGQDDFIWRLYLGLEAFHEVERQDSAVWSAKVLIINFTTVEMHNTDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWECNPWTKYAKHECREWRHRNNYVLSDTVFPYEMKQSIQYMTWYMSVSIGFISHPRYLVDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSTQPHFQPPTQPHFQPPSQPHFQPPTQPYFQPPLTQSQQYEHTPNQFTPFTQTHSQSTQYHTYSMDTTNQINTQFQTPNQPIPTQSFTPIPPYDQAGYRPDIASSSQPPQNNYEGMDNSFDLDDFTDMDPSSWAEVIQLLEEDTMDPTPQQRPQRNVRDRRCGTGGHLNRPRRRN >CAK8565945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357136664:357137179:-1 gene:gene-LATHSAT_LOCUS19118 transcript:rna-LATHSAT_LOCUS19118 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSEIVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTVIDLQTLPAISSDGSQISGKRIFHRLFWAFHSCIRGFAYYKPIVQVDETWLYGKYRGTLLMAVAQDGNANIFLIAFALVEGETKEA >CAK8538221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471525076:471525731:-1 gene:gene-LATHSAT_LOCUS7444 transcript:rna-LATHSAT_LOCUS7444 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWGNFATQELSPYKYLLQQASNSFNSEEPKPSLGGSVAKLTKAEQDRMAGLDGVVAIFPVKKRTILAIKSWDFIGLPMNVKRESYEYDVIIGIIDSGIWPESESFNDKVFGPPPNKWKGVCQTINFPCNK >CAK8534794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769060369:769063494:-1 gene:gene-LATHSAT_LOCUS4308 transcript:rna-LATHSAT_LOCUS4308 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLFKVAVLGGGISGAVCASTLARNGVSVTLFESARGPGGRMSQRREKTEDGKELHFDHGAPFFSVSKPEVARLVQEWESRGLVAEWKEKFGSFDFQTLKFNNIEQEGLSKRFVGVPGMNSICKALCNESGVESKFGAGVGKVEWLDDEKLWLLIGVDGQSLGQFKGLVASDKNIVSTRIAEVTGRLPPLDLKLLPELSEKLHNIPVRPCFALMLAFAEPLSTIPVKGFSIKNSKILSSAYCDSSKPDRSTTSERWVLHSTAEYAENIIAQTGLKKPSDATLKRVAEELFQEFRSTGANISQPFFKRAHRWGSAFPDASIAGEEKCLWDRNKRLAICGDFCVSPNVDGAIDSGFAAALRLKDISASSL >CAK8531366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105233321:105234645:-1 gene:gene-LATHSAT_LOCUS1163 transcript:rna-LATHSAT_LOCUS1163 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFTQILLSLLIVTTKSQSTICRTSCGNIPIKYPFSIDDGCGSPYYRHILACSDSEKRLELRTPSGRYEVRNISYDNPHIVVTDSFMWKCEDGENFRPTRPFSLDTSTKLKLSKQNEYMFFNCSEEHVIIQPKPVFCEHFPDHCDSSCDSASYLCRHLPGCSFSLKSSSCCSYYPKASESLRLMLKYCTSYASVYWRDVGIPQPYDQVPEYGIRVDFDIPVTTRCLMCQDELKGGGTCGFDTVTQSFLCLCKDGNSTTHCKDQEIAQHNRKVHVIAGTVTAFSAAGVFGIGAGIWYLKKLRKTAPVTCGVQSNENRLF >CAK8574375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678607703:678607972:1 gene:gene-LATHSAT_LOCUS26729 transcript:rna-LATHSAT_LOCUS26729 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFVGKVQKSLSLCVPGKHALSYWNEDHATTTTELADDVMKGYFAVLARKGDETRRFIVRLDYLTDPAFVGLLDDAWEELWHCILHN >CAK8532330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247853338:247853841:-1 gene:gene-LATHSAT_LOCUS2050 transcript:rna-LATHSAT_LOCUS2050 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLIACIIFVWITSLFKIFLLARIRSTKHFTLNGRAFRKRNVLLVIAHPDDESMFFAPTINFLTSRGHNVQILCLSNGDADGKGNVGKQELYQACVSLKVPMQQVKIINHPDLQDGFGKVWNHSLLAKIIEEEITSHCIDMIITFDKYGVSGHCNHRDVHYGVW >CAK8569919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15569094:15571031:1 gene:gene-LATHSAT_LOCUS22718 transcript:rna-LATHSAT_LOCUS22718 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVLEDVTILEEPVLADFQRLMVLTNYTDQGSSQLACLMKHWEYKQVNAVRLLREELDNLSKQREKVELKKEESYGGDKRLVSILHGVVQNKRDEIEAESETVGYWKHRAIDLERQLEASIQREEILKEMLQESVENIKKQSSPVEGVSQILKRQETFLHFILQNAPLVIGHQDKELRYRFLYNHFPGLKEEDIIGKTGEEIFLGSGVKESEDFKREVMEKGFPAKREITYETELFGSKTFLMYVEPVFSKAGETIGVNYIGMQVTDQVIKREKMAKLREEIAVQKAMETELNKTIYITEETMRAKQMLATMSHEIRSPLSGVVGMAKILTTTKLDREQRQLLDAVISSGDLVLQLINDLPDVSKVDLG >CAK8570122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24484344:24486545:-1 gene:gene-LATHSAT_LOCUS22901 transcript:rna-LATHSAT_LOCUS22901 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTNLDATNEKLIQNGISSSSSSSQHRKGGLRTMPFIIVNESFEKVTSYGIMTNMILYFRDDYNMPIPKATTVLYTWSAMSNFLSIFGAFLSDSYLGRFNVIFIGSLSSLLGLTILWLTAMIPALKPSDVSSSLVQVFDSATSSQLAVLFVSLGLISIGAGCVRPCSIAFGADQLTVMENSDVGRILDSYFNWYYTSVAVSGIIALSVIAYIQENLGWKIGFGVPAVLMLISAFCFIVGSPYYVKVRPSESLLTNFIRVVVVAIKNRKLSLPDHGFDQYCQGRDSDLMVPIDSLRFLNKACVIRNHERDLNPDGSISNPWNLCTIGQVESLKSLLRVIPMWSTGIFMMATQNSFSTLQAKTMNRRLFGNFSFPAGSFNLITIFTLSIVMPSYDRIAVPLLAKYTGRPRGFSCKVRIGMGILFVCAAKAIAAIVETVRRNAAIEQGFEDQPNAVINLSALWLVPEFILLGLGESFTPVGLIEFFYTYFPKSMSSFAMAIFSLELAAANVLSSAVVSIVDKVTSIGGNESWLSTNINKGHLNYYYALLAFLGVINYLYYLVICWAYGPGHGEKREASEGEEE >CAK8576050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:392898542:392898799:-1 gene:gene-LATHSAT_LOCUS28268 transcript:rna-LATHSAT_LOCUS28268 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLVTGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSLNDSWTVASSVSLSVQPMFKSSRAQDQQMRLPSVNRVSIDVLNSPR >CAK8575158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41854885:41858685:1 gene:gene-LATHSAT_LOCUS27444 transcript:rna-LATHSAT_LOCUS27444 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSIRLSSVGLGQAAEPAVKNFSTRFSLTPLAKRVQSLTDGQRLAISRTGFGSLLLVPNHSLNKVFLTEVMEAWNSELRVFEMGSGEIGFSLLDVALILGLPVVGHRVELSDDELFSELEEEYGASRAKRKVAMASLEARLDSIGEVVSDDFVRSFLLYTIGTFLSSNDGKVDSRYLSFLGNLDGVSSFAWGAAVVEDLCQWLDKRKDNNVQYVGGCLIFLQTWSYEHFDMARPNLLDEDRTFPRVCRWDHSKSHPRQRGTSRFKDLHDDQIIYKLQPTSQELQIDIIKEAMELLGDSKVKRDGNYSASTSSNLSEEDEEIQLSSSSKIYTEDGINFENQVVADTPTRLATYDEEYREQKINIEYLIIEDTPDEEYREQKINIENLIILDTPSDSSNPVKLGREEQFQFQKLMMEDSFTNLSISDSEDGSREDGLNTESHIIEDTTTNLSIGGEVGKEQDLIAETLIVDDTPPKFSSDDVDLRKKNIMLEEEITELKLKISQQMEEIGVLRRENLSNAQLKAENDELKQELDILKSNLNGFADQTERGIMEFLSDAIE >CAK8577637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580368080:580382078:1 gene:gene-LATHSAT_LOCUS29727 transcript:rna-LATHSAT_LOCUS29727 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFNRGRDNSGDASPPTPSARPSSSASQSTGPPRPIRLVYCDEKGKFQMDPEAVAALQLVKEPIGVVSVCGRARQGKSYILNQLLGRSSGFQVASTHRPCTKGLWLWSSPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGKTSASEIGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLELALRSVQGNKKDITAKNEIRESIRALFPDRECFTLVRPLNNENDLQRLDQISLDKLRPEFRKGLDELLKFVFERTRPKQVGATMMTGPVLIGITQSYLDALNHGAVPTISSSWQSVEEAECRRAYDSATEVYMASFDRSKPPEEDALREAHEQAVQKSMAVFNASAVGVGAARKKFEDLLQKFFKKAFEDYKRNAFNEAELQCRNAIHAMEKRLRAACMASDAKIDNVAKVLDAILFEYEKSIQGPGKWQQLAGFLQRSFEGPVLELFKRVIDKVESEKSSLALQRRMNEDKMTLLTKRLEASEGEKSEYIKRYEDAINDKKKLTDEYMNRITELQTNRRSLDERYSSLLKTLDSTKQESVELKRNYEQVLSRQKAKEDQVSSEISALKSRSNTAEARLAAAKEQIKSAEEEADEWKRKYDIAVREAKSALEKAAIVQERTNKQTQLREDVLREEFSGTLAEKDEEIKEKTAKIEHAEMCLTTLKLELKAAESKIKSYDTEISSLRNEIKDLTDKLKSENAKAQSYEREAMVYQQEKNHLEQKYRSEFKRFEEVQERCKRAEKEAARSTEVADRARAEAGTALEEKNKMQSVAMERLAEIERAERRIETLGREKDNLEAELQRATYSEKDALAIVAKLEEKVQQREKDLEALLDKDKTHRKNNAEILEQLLETEREAHTQANNRAEALSLQLQSAQAKIDSLHQELTKFRLNETLDSKLKTSDGKRLRAENDIGVDSVQDMDASPRILRGTKRARSTSSPKYTQPEDGGSIFEGGEDNLSQQTNEEDYKKFTVQKLKVELTKHNYGDQLLRLKNPTKKDILALYEKCILQK >CAK8578021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602154634:602154999:-1 gene:gene-LATHSAT_LOCUS30068 transcript:rna-LATHSAT_LOCUS30068 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTKKKIQKKCKIIGYNIKPEALDEILSFVTRFELTEQDEAIEVVLEQLGHESINSTVIDVEPVQRVMNLLVEADAREEEAFDAFASSSSAIAVIDVFDVPRYKYDAIRRNFYRCPPES >CAK8565113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:39090940:39091508:-1 gene:gene-LATHSAT_LOCUS18350 transcript:rna-LATHSAT_LOCUS18350 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHCYIRDLSFVCKFHKRYCLDIIQKSLRYMHMLIKRRMHSMRMNSSIRPILKLKKGEIEWLGFHAFVQVLKRKESRHKKLLAVLKSKLLSHRISGSVPPELKYAVDAENSSLLWKIKY >CAK8538021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459235629:459237850:-1 gene:gene-LATHSAT_LOCUS7262 transcript:rna-LATHSAT_LOCUS7262 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLLLFALSLVAADDEPFVGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADQAMLIALAKTGIQVVITVPNEELLAIGQSNASAANWVSRNVVAHYPATNITAICVGSEVLTALPNVAKVLVNAIKYIHSALVASNLDRQVKVSTPLSSSIILDSFPPSQAFFNRSLNSVLVPILDFLQSTDSYLMLNIYPYYDYMQSNGVIPLDYALFKPLPPNKEAVDSNTLLHYSNVFDAMVDAAYFAMAFLNYTNIPVVVTESGWPSKGGSNEPDATIDNANNYNSNLIKHVFNKTGTPKHPGVAVSTYIYELYNEDTKSGPLSEKNWGLFDSNGVPIYILHLTGSGAVLANDTSNQTFCVAKDGADPKMIQAALDWACGPGKVECSLLLQGQPCYEPDNVIAHANYAFDSYYNKMGKTPDSCDFKGVATITTSDPSHGSCVYPGSLGNKNSTFGNFTAPSMNSSSDSSAYSIHGFELRIRSLVMVTGFLVLGVVLL >CAK8567083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485669144:485671910:1 gene:gene-LATHSAT_LOCUS20168 transcript:rna-LATHSAT_LOCUS20168 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVELEAVEGLRWSWNSWPTSKSELIIPLTIMCTPLTQQGTDLPLLPYDPLLCTRCGAVLNPYARLDYQSRIWHCPFCSQRNPFPRSIADANLPAELFPTYTTVEYSSTSPFHPPAFVFLIDVSSSEDDLRSLKNELLLLLHHLPDSALVALITFDSMVYLHDLRFSHCSRLVLLRERQFSSDQIRQFLDISRPHQLHIGQTQPVPKQGFLVPISECEFSITTAIEDIHSTYKFRSGSRPPRSTGAAISAALGLLECCSVNTGSRIMVFTSGPATLGPGLVVDSDFRQTMRTHSDIFNGQVKHYFKSCSFYRQIAKRLSDASVVLDLFACSLDQVGAAELREPIERSGGFMILAESFESDQFKKCLRHLFKHDDEGFMKMNFDATIEIVTTKDVKISGALGPCVSLKKKNASVSETEIGQGGTYIWKLNTLTDRTCIAFFFQVSDDQKMQPSSAFLVQFITRYRQGNIGHRKRVTTAARRWVANHSTDIAAGFDQEAAASVMARLAILRAETCHARDVIRWLDDTLIRFTSKFGDYVPEDPSTFRLSSNFSLYPQFMFHLRRSQFIDVSNTTPDETAYFRLMLNREGVVGSLIMIQPTLFQYSFDGPPVPVLLDIRSICPDSILLFDSFFLVVIHYGSKVAQWKKLGYDKDPDHENFRKLLEAPELDAEQLVTDRVPVPKMIRCDQHSSQARFLLAKLNPSVTQNSTYTDGSDIIFTDDLSLQVFLDQLQILAVQG >CAK8544378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680874744:680875028:1 gene:gene-LATHSAT_LOCUS13064 transcript:rna-LATHSAT_LOCUS13064 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHHWYMLRHPFDLSLLPLYLDHVVGHVLEEENRDALKCTNHGRKIMSQSQPFEQWFQDVMLSRL >CAK8535026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804705488:804706063:-1 gene:gene-LATHSAT_LOCUS4511 transcript:rna-LATHSAT_LOCUS4511 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEVEPFGDIDEVVILNKRDVRGKRYDFVRFFNVENVRILAMKLENIFIGKKKLFVNIPRFQRKQGGGPIREKARDREDAYDKREFSIKTIFGEHRSYTNVVNNNFINVTHEEKAKLIFAHVEYNIRDFELEIFKKMYIGIVENVGLSYSMQDIFNAERYFSIHVTPLGENMCLLEDRDEGEMEALLAER >CAK8542482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519643899:519644999:1 gene:gene-LATHSAT_LOCUS11321 transcript:rna-LATHSAT_LOCUS11321 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSVDLPEELITEILLRLTVNTLLRCKSVCKSWFSIISNPHFATSHFQIAASSTNKLVCLGNNSETLTVDFNASLNNESSYAFLHLRTCLNIGGSCRGFLFLHMYRDFYLLNPATRVHIQIPASPITIVSDFNLETFLYGFAYDHSTDDYLIVLGSYEYRAFNHRVPCAIYFEIFSLRTNRWKHIKSDSQLIIDQECGFYVGLLLNGSIHWLVRRYEGYFVDVIIAFDLKESKMSLIALPYGFDYDIDDSNHDLLVLGGLMGASIVEMPTITIWVMKKYAVQSSWTMIIVFSVDPALHCSLSIVCFTNSGDIIGRDQEGRLMKFNDKGQLLEHYCNSKGIYSQRSQMALYTESLLSLPCGIEQV >CAK8542946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561662596:561664546:1 gene:gene-LATHSAT_LOCUS11742 transcript:rna-LATHSAT_LOCUS11742 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGMKQELQFQLHKLRHIKSEKTLNHMLSTLWNTRETGLPLPDKSHFQSLLKLSSHSQLDPVLASLRWLIRKFVYQNWSDNELLELLLADLPLQLRTILLLTFQKNRDRWKQDISSQQVRTHVPPSFSSAPSSLMSTSMWPRQDHDSLSQLNCGDLGVSTSLVGDVNESGLPACFQCDIASSENLVLEENLPYLKSMTWTMENGGASPADRVAIISLKLQDYSKSPLGETELKFQLKKDTLEAMLRSMTYLSEQLSGVGNTSRPANKKQKK >CAK8542947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561662596:561664546:1 gene:gene-LATHSAT_LOCUS11742 transcript:rna-LATHSAT_LOCUS11742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGMKQELQFQLHKLRHIKSEKTLNHMLSTLWNTRETGLPLPDKSHFQSLLKLSSHSQLDPVLASLRWLIRKFVYQNWSDNELLELLLADLPLQLRTILLLTFQKNRDRWKQDISSQQVRTHVPPSFSSAPSSLMSTSMWPRQDHDSLSQLNCGDLGVSTSLVGDVNESGLPACFQCDIASSENLENLPYLKSMTWTMENGGASPADRVAIISLKLQDYSKSPLGETELKFQLKKDTLEAMLRSMTYLSEQLSGVGNTSRPANKKQKK >CAK8562300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468721866:468724433:1 gene:gene-LATHSAT_LOCUS15807 transcript:rna-LATHSAT_LOCUS15807 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLTQRLLNITKMSSQSLSNCRISSSSLQSRIPSTAAKPDIAPEPGDDGVFRRFLHKRAGFLPEARSLHPAGDSLIQKLREMDIARNRIRLDGLTPPEKEESDVEVQDVRKLLRAAQLEAVKSKLRKIPQNCVTYSEFIQMCGENCSDQEQAKRIAKILDDSATVIILGDVVFLKPEQVAKTIQALLPVPGQKPNDAVTKELEEMEKVKAAIDNKADATVRRELWGGLGFLMVQTAAFMRLTFWELNWDVMEPICFYVTSMYFMAGYTFFMRTSKEPCFEGFYQSRFSTKQKRLMKLHNFDIARYNQLKDASPLASSSELNSTSVHPLNQFQRTF >CAK8534583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:745192636:745200156:-1 gene:gene-LATHSAT_LOCUS4117 transcript:rna-LATHSAT_LOCUS4117 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKFEGENQFLYEPVVPEMKGGVGSGSSKWDLNDWRWDGDLFTAKQLNSVPTDCRNRQLFPVDPEIPENVNNLASGEGSRELEKRRRGIFDEGLEMNDDFGSLNLNLGGQVYPIMEGDEKSGKKTKITVTTSNRAVCQVEDCRADLSNSKDYHRRHKVCDVHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPDVAVVNGGSSNEERGSSYLLTSVLRILSNMHTNNADHMGNSDVLSRLLGNLTSLTGAVNGRNIASLLEGSQDLVKAGTSGAAQDVPNTNSIDSEPPRPFDSSLKMSNNLIHQATPESRLQGPTVPSNHTAQKCIPSSSVGVGCLKSPLEPQFKDMLPSHGSLLPLPVAVKTTAGRNGLINIDLNNVYDDVQDSIENPGNSCPHVASGVESHDHPSLVQYESLKSSPPQTSRNSDSTSTQSPSSSSGEGQSRTDRIVFKLFGKDPNDFPVVLRSQILSWLSHSPTEIESYIRPGCIILTICLRLENSAWDELCYNLGSSLRKLLAASNDSLWRTGLIYARVRNSVAILYNGQVVLDAPLRLGSLESCQILCVKPLAVSASADVKFSVKGLNLFLSSTRLLCALEGKYLVEDNCYDLIDGAEAASGHHELQNLSFSCHIPNMTGRGFIEVEDNSLSSCSFPFLVAEPEICSEICNLETVIEAAETADDIQIKAKLMEEKTRAMNFVQEMGWLLHRVCLKFRLGPAAPVQDRFHFNRYTWLVGFSMDHDWCAVMKKLLDIIFEGEVDTGEHTSAELALLNMGLLHKAVKRNCRPMVELFLNFVPIKTSDGGDGKEMQVNKSPDRFLFRPDAVGPAGLTPLHVAACMNGYETVLDALTDDPGMVGIEAWKSAKDNTGLTPNDYASLKGHYSYIQLVQRKTNKNNQTQHVLDIPGTLVDGNTKKQLDGHKSSKVSSFNTENITTMGNHCGLCQQKLAYSSNGGMRRALVYRPVMLSMVAIAAVCVCVALLFKSSPRVYYVFQPFSWESLEYGSM >CAK8542118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474822995:474825744:-1 gene:gene-LATHSAT_LOCUS10988 transcript:rna-LATHSAT_LOCUS10988 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFSGMSVGGMCKPLLFPVNDPGTVTTDHVEMDFSDVFGPLTVEVNSNDNHSFDSVDELSELVYDDPEVIFARSHSLVGPSNCVSQSLKLSKLTIHESDSDNSLELVESVTEETIEDIKEVINEESLNDEDRSLLEIQRVSIDDFEVLKVVGQGAFAKVYQVRKKGTSEIFAMKVMRKDKIMEKNHAEYMKAEREILTKIEHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIVSAVSHLHSKGIMHRDLKPENILMDVDGHVMLTDFGLAKQFEESTRSNSMCGTLEYMAPEIILGKGHDKAADWWSVGVLLFEMLTGKPPFCGGNREKIQQKIVKDKIKLPGYLSSEAHALLKGLLQKEAPKRLGCGAKGISEIKGHKWFKPINWKKLDAREIEPSFRPEVAGKYCVANFEKRWTDMPVVDSPAASPNGGNPFKDFSYVRPAASFLQQNSPAC >CAK8576411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:472385056:472388657:1 gene:gene-LATHSAT_LOCUS28599 transcript:rna-LATHSAT_LOCUS28599 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLARIRFLSNCYTFQFPKTPALSPFPTAFSTTTHFVSPLRATRTTTTTTSTTATVSDVDEEVLQIFLKERELNGDFVSRTSDLIWRMNSGNSGDYDVSELTDNNSQQTEQIIETNSDGGFLKLSSTQEWVLGNTSAPINRKMTAKTIQDNRARLKKLEALKYQSLKRELLLLSVGIGLACSGYCLVVFSVQAALSYAVGVLFSCLYLQLLYQHADNISSENVPQIFRKKTSKKIGIRSEDLNDFFEKTIRGSGISLSSPRLVIPAAIYGLWALSHQYFTNDLFDFQLVPAMVGMFVYKAAALVQVYRDNKELGFEFPEDY >CAK8533844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664874009:664886985:1 gene:gene-LATHSAT_LOCUS3435 transcript:rna-LATHSAT_LOCUS3435 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGQKLVSVNNFIGRQHWEFDPTAGTPQEHHEIEKLRNQFTKNRFSIKQSADLLMRMQLRQENECGPIPAGVRVSEEDKITEFALVTTIRRAISYYSSIQAHDGHWPAESAGPLFFLQPLVMALYITGSLHQVLGPQHKKEIIRYLYNHQNEDGGWGFHIEGHSTMFGSALSYIALRLLGEGPEDGEDRAMDRCRKWILDHGGLVAIPSWGKFWVTVLGVYEWQGCNPLPPEFWFLPKFTPIHPGKMLCYCRLVYMPMSYLYGKKFVGPITDLIRSLREEMYNQPYDKINWNKARNTIAKEDLYYPHPMIQDILWGFLYHVGEPILNCWPFSKLRQKALEIAINHVRYEDENSRYLCIGSVEKVLCLIARWVEDPNSEAYKLHLARIPDYFWLAEDGLKIQSFGCQMWDAAFSIQAILACNVSEEYGPTLRKAHDFLKASQVVENPSGEFEAMYRHICKGSWTFSMHDQGWQVSDCTAEGLKAALLLSKMPSDLVGEKLETERFNDAVNVILSLQSSNGGFPAWEPQNAYSWLEKFNPTEFFEDTLIEREYVECTGSAMQALVLFTKLHPYHRTKEIHHCLAKAIHYIENTQNPDGSWYGCWGICYTYGTWFAVEGLTACGKNYRNSPSLRKACQFLLSKQLPNGGWGESYLSSQNKVYTNIEDNHANLVQTSWALLSLIGAGQAEIDPTPIHHGMKLIINSQMEDGDFPQQEITGVFMRNCTLNYSSYRNIFPIWALGEYRHRVLFAKAPNTAA >CAK8533485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:623450800:623452530:-1 gene:gene-LATHSAT_LOCUS3108 transcript:rna-LATHSAT_LOCUS3108 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGAMEKNSSDENFELPPGFRFHPTDEELITHYLSQKVLDNCFCALAIGEVDLNKCEPWDLPWRAKMGEKEWYFFCVRDRKYPTGLRTNRATCAGYWKATGKDKEIYREKTLIGMKKTLVFYKGRAPKGEKTNWVMHEYRLEDTYSLQNTSKRAMREWSICRVFEKSSIENKMQIQDLVRFNSKGKEQLPPLMDSSPYNNNETKTTIGESTSHVTCFNDNNNNIVDDRFENPMLTSSYSSNPSYDTFSWTQNLSHQSTQIGNSSQSSQESMLKMLIENNETKNQKDQECDIDISTMIYNDEMFQRSYVNEEYSSASIGHFDNGCLWSF >CAK8531938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:179299308:179304059:-1 gene:gene-LATHSAT_LOCUS1691 transcript:rna-LATHSAT_LOCUS1691 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFHMVLVFVLLFLCVCVCSVTASVTYDHKAIIINGQRRILISGSIHYPRSTPQMWPDLIQKAKDGGVDVIETYVFWNGHEPSPGNYYFEDRFDLVKFVKVVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVAFRTDNEPFKAAMQKFTAKIVSIMKGERLFQSQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLDTGVPWIMCKQEDAPDPIIDTCNGYYCENFFPNKNYKPKMWTENWTGWYTDFGSAVPYRPAEDLAFSVARFVQNRGSYVNYYMYHGGTNFGRTTSGLFIATSYDYDAPIDEYGLTNEPKWGHLRNLHKAIKQCESALVSVDPTVSWPGKNLEVHLYKTSFGACAAFLANYDTASWAKVAFGNGHYDLPPWSISILPDCKTEVFNTAKVGAPKFHRKMTPVNGAFNWQSYNEQPAASGGESSITINALLEQVGVTRDSSDYLWYMTDVNISPNEGFLKNGQSPVLTAMSAGHVLHVFVNGQFSGTAYGGLENPKLTFSNSVKLWAGNNKISLLSVAVGLSNVGLHYEKWNVGVLGPVTLKGLNEGTRDLSKQKWSYKTGLKGESLNLHTTTGSSSVKWTQGSFLSKKQPLTWYKTTFNAPAGNDPLALDMSTMGKGEIWVNGKSIGRHWPGYIARGSCGSCSYAGTFTDKKCRTSCGQPTQKWYHIPRSWLNSGGNFLVVLEEWGGDPTGISLVKRT >CAK8575894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351015227:351017222:-1 gene:gene-LATHSAT_LOCUS28119 transcript:rna-LATHSAT_LOCUS28119 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEETVKLISSDGFEFVIDKEAAMVSQTIRNMLTSPGSFAESQHGEVTFPEISTTILEKICQYFYWHLEFASGKDREFPIEPELTLELMMAANYLHT >CAK8543521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614799022:614817365:1 gene:gene-LATHSAT_LOCUS12273 transcript:rna-LATHSAT_LOCUS12273 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGAGPLRSRSSQSASPSHSASASATSSIRKRKLVSEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDEDSEDAVVDDDEDEYDNDNGSSMRNFTASRLNNAPAAPRNSKLKSENSTVKIEQSDGTKDSVPSVTGAAPGAGSVPGIAVKEDATKIFTENLQTSGAYSAREESLKKEEETGKLKFACLSNDGVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEVGIPKKIIDDIPGLREAGWTPDQWGHSRFRTLGGSTDNAANLKHLTAFMRSLLKQSLLDHADAWPFKEPVDPRDVPDYYEIIKDPMDLKTMSKRVESEQYYVTFEMFVEDVRRMFGNARTYNSPETIYYKCATRHEAHFQSKVTSVLQSGTKIQ >CAK8543519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614799022:614817365:1 gene:gene-LATHSAT_LOCUS12273 transcript:rna-LATHSAT_LOCUS12273-3 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGAGPLRSRSSQSASPSHSASASATSSIRKRKLVSEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDEDSEDAVVDDDEDEYDNDNGSSMRNFTASRLNNAPAAPRNSKLKSENSTVKIEQSDGTKDSVPSVTGAAPGAGSVPGIAVKEDATKIFTENLQTSGAYSAREESLKKEEETGKLKFACLSNDGVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEVGIPKKIIDDIPGLREAGWTPDQWGHSRFRTLGGSTDNAANLKHLTAFMRSLLKSLLDHADAWPFKEPVDPRDVPDYYEIIKDPMDLKTMSKRVESEQYYVTFEMFVEDVRRMFGNARTYNSPETIYYKCATRLEAHFQSKVTSVLQSGTKIQ >CAK8543520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614799022:614817365:1 gene:gene-LATHSAT_LOCUS12273 transcript:rna-LATHSAT_LOCUS12273-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGAGPLRSRSSQSASPSHSASASATSSIRKRKLVSEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDEDSEDAVVDDDEDEYDNDNGSSMRNFTASRLNNAPAAPRNSKLKSENSTVKIEQSDGTKDSVPSVTGAAPGAGSVPGIAVKEDATKIFTENLQTSGAYSAREESLKKEEETGKLKFACLSNDGVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEVGIPKKIIDDIPGLREAGWTPDQWGHSRFRTLGGSTDNAANLKHLTAFMRSLLKSLLDHADAWPFKEPVDPRDVPDYYEIIKDPMDLKTMSKRVESEQYYVTFEMFVEDVRRMFGNARTYNSPETIYYKCATRHEAHFQSKVTSVLQSGTKIQ >CAK8576227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:430126634:430128166:-1 gene:gene-LATHSAT_LOCUS28433 transcript:rna-LATHSAT_LOCUS28433 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVYTQAHNYSQVEPNLIDTSISFFNQQIFRNDNEQYYCPSTGSEMETMFTNSQHLAAKQEENDPFLSHYNGHSSYVSSSNQMIYQTKQNQGLPNDFDASFLASENYDAETNIDSSTKNCSNLIRHKTSPPGFFSNYSLHNDTINMPQNVQNETLQPSCINSKNIGKWYMPSFTSNSWDSSTFNAPKTSTINGEIMFSTSNALESQELNFGYQKLGLSHHLSLPSFSTRMTSMDKYFHIKGSIPCKIRAKRGFATHPRSIAERERRIRISARIKKLQDLFPNSNKQSSTADMLDVAVDYIKDLRKQLKILKQSALVKVIRRNV >CAK8571216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:339452658:339453332:-1 gene:gene-LATHSAT_LOCUS23900 transcript:rna-LATHSAT_LOCUS23900 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKEWYHNLGAFKQDELHRLETTASVLGVLHREFIGDMEIFDRKNIQEFFEMKCYSLKTKDLDKHYHRMAHRYYVLNGYNDPSLKNTYVSSLPQELQPKIHRMLATAQKDIKTMSLGQIHQVTLEALEKLCNFHHQFSEVIEQKSKFTQACKKPYLEIKCKDKRCSCPTKKKHKKYTKYHKTFKGKKRNNMKFFRRKHFRGKGKTRDALFVKKKGISQRMP >CAK8530931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64353239:64353682:-1 gene:gene-LATHSAT_LOCUS758 transcript:rna-LATHSAT_LOCUS758 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGHQKKRNEEVKYCVRPAKTNKVRLRIHHRGQLVEQPIKWCVTGVMTELKHSWDVDYMSYMNIQDIIKNEGYVNIKCIWY >CAK8560978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:66948681:66951038:1 gene:gene-LATHSAT_LOCUS14610 transcript:rna-LATHSAT_LOCUS14610 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSPVLPSKILNLHEKQLQRSFKSCSKLFHSLKQHEHNLFDNIPHPNPSSVNRYMLNLLHNNLPFQALAIFKKHSQFHFLQNFDEVTFALSFKACRGEFNLGSQIHGFAVSTGFVSRVTVSNSLMKLYCKAGKFECALRVFENLSCPDIVSWNTILSGFEKSVEALNFACFMHLNGIVFDPVTYTTALSFCWDRNQDDHGFLFGLQLHSLVVKCGFGCEVFIGNALVTMYSRWGELDEAGRVFDEMPIRDLVSWNAMLSGYAQEGECYGLEAVLLFVNMVRQGMLLDHVSFTGAVSACGYIRNLELGKQIHGLAQKLGYGTHVAVCNVLISTYSKCKVLRDAKAVFQSMSNRNVVSWTTMISIDEENVVSHFNAMRVDDVYPNDVTFIGLLHGITTWNMMIEGLMVHGLCIKSCFSSEQNVSNSLITMYAKFESVQESKKIFEELSYQGTISWNALISGYAQNGLCKETFITFLSAIKEIKPNQYTFGSVLNAIAAAEDISLKHGQRCHSHLIKLGLNTDPFVAGALLDMYGKRGNISESQRVFDETREKTQFSWTGMISAYARHGDYESVMSLFKEMEREGSNPDSITFLSVLAACCRKGMVDIGHQIFDSMVIKHSLEPSPEHYSVMVDMLGRVGRLDEAEKLMHQIPGGPGLSVLQSLLGSCRLHGNVEMAERVVDSLILKDPASSGPYVLMANLYAEKGKWDKVAEVRKRMRGRGVKKEVGFSWVDVANVDSLHLHGFSSGDKSHPESETICRMAEFLGLQMIFSKQSRVNEGDWDREFE >CAK8535236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828555621:828558316:-1 gene:gene-LATHSAT_LOCUS4709 transcript:rna-LATHSAT_LOCUS4709 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVLPGKGLKEFIKVKVASRRLSYRMLFYALLFFTFLLRFVFVLTAVDGIDGENKCSTIGCLGKKLGPRILGRRPESSVPEVIYQTLDEPLGNDELKGRVDIPQTLEEFMINMKEGRYDAKTFAVKLREMVTLMEQRTRLAKIQEYLYRHVASSSIPKQLHCLDLRLAHEHTNNAAARLQLPSAELVPALVDNSYYHFVLASDNVLAASVVATSLVRNCLRPKKVVIHIITDRKTYYPMQAWFSLHPLLPAVIEVKALHHFDWFTKGKVPVLEAMEKDQKVRSQFRGGSSAIVANTSEKPNVIASKLQALSPKYNSVMNHIRIHLPELYPSLNKVVFLDDDIVVQTDLTPLWDIDMNGNVNGAVETCHGEDKFVMSKRLKSYLNFSHPLISKNFSPDECAWAYGMNIFDLEAWRKTNISNTYHYWVEQNIKSDLSLWQLGTLPPGLIAFHGHVHIIDPFWHMLGLGYQENTNVADVENAGVIHFNGRAKPWLDIAFPQLRSLWTKYVDFSDKFIKSCHIRA >CAK8539754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524123749:524127271:1 gene:gene-LATHSAT_LOCUS8822 transcript:rna-LATHSAT_LOCUS8822 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDMCFLYKDILVIKPPKKSPMLLRTAFFMFSMVSVVSIFYVCIKQIGTEARTMFTDFKVIGNLTQSRVKQMNPNILHYPEPLSFSRNECAPNPVMFFAILSNQRSGSGWFETLLNSHVNVSSNGEIFSIRERRKNASSILKTLDRVYNLDWLNSASKNECSAAVGLKWMLNQGLMEHHKDIEEYFKRRSVSIIFLFRRNLLRRMVSMLANSYDSHAKLLNGTHKSHVHSAEEADILSKYKPIINSTSLVDDLKDMEMKSATALQYYNSSRHMILYYEDLMRNHTKLNDVQDFLGLPRMELTSRQVKIHKGPLSDHIQNWDDVNNALTGTEYESFLEADY >CAK8579476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701695293:701698771:-1 gene:gene-LATHSAT_LOCUS31420 transcript:rna-LATHSAT_LOCUS31420 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPNSKPKIIRGSAGYVLEDVPHLSDYIPELPTYSNPLQDNPAYSVVKQYFVHVDDSVPEKIIANKDSPRGIHFRRAGPRQRVYFESDEVQAAIVTCGGLCPGLNTVIRELVCGLYHMYGVKKVLGISGGYKGFYAHNTIPLTPKTVNDIHKRGGTILGSSRGGHDTTKIVDSIYDRGINQVYIIGGDGTQRGAYKIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDLCLIPETPFYLEGPGGLFEFAERRLKENGHMVIVIAEGAGQELVTESIQAMYKQDASGNKLLQDVGLWISQKLKDHFAKQRTMGITLKYIDPTYMIRAVPSNASDNVYCTLLGQSAVHGAMAGYTGFTSGLVHGRQAYIPFNRITEGQNTVVITDRMWARLLSSTNQPSFLIAKTVAEEKKKEEEDSFNL >CAK8536700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8093923:8094855:1 gene:gene-LATHSAT_LOCUS6034 transcript:rna-LATHSAT_LOCUS6034 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIELHPKFFFSQIPNPKPLTNPNFNPKITLSHRILKPIAQFSHQPITTTPNRGLIFREKVLYLEKLKVNPKKAFKLNPTLRTCPLQTLKSVEQCLSSIGIHRSQMGRILDMLPALLTCEPYNDIYPLLDFLLNEVKIPYHDVQKSILRCPRLLVSSVENQLRPALCFLRELGFDGPHSLTCQTTLLLVSSVEGTLLPKVEFLMGLGFTRVEVSNMIVRSPGLLTHSVNNNLVPKVEFFLNEMNGDVADLKRFPQYFSFSLEKRIMPRHAKLVRLGLSLPLYEMLRCSDGAFDSRLFELRLSKLERRLE >CAK8567161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491862768:491864114:-1 gene:gene-LATHSAT_LOCUS20236 transcript:rna-LATHSAT_LOCUS20236 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGTSILVPSVQELAKKPNLQVPQQYLHPNQDPIDVSNNTNSFEQFPVIDLGKLLSEDSSELEKLDHACKEWGFFQLINHGVNRSLVENVKIDVHEFLNLPVEEKTKFRQIPEDMEGFGQLFVVSENQKLEWADLFFITTLPSYARNPRIFPNIPQPLRDNLETYCLELKKVCITIIKHMEKALKVEPNELLEVFDDITQSMRMNFYPPCPQPENVMGLNPHSDAGAITILLQANDTEGLQIRKDGQWISVKPLANAFVINVGDILEILTNGVYRSIEHRATVNSKKERISIAAFHRPQMCKVIGPISTLVTPERPALFKTIRVEDYYKAFFSRQLQRKSCLDLIRIQNENS >CAK8530655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38185088:38186362:-1 gene:gene-LATHSAT_LOCUS503 transcript:rna-LATHSAT_LOCUS503 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPSTITLNTLVNGMCLNGKVSEALHFHDYVVARGFHFNQVGYASLINGLCKMGETRAAVQMLRKIEGKLVRLDEVMYSTIIDSLCKDKCVNDAYDLYFEMIAKRISPNVVTFNSLIYGFCIVGQLKQASSLLNEMVLKNIYPDVYTFTILVDALCKEGNVKEAKNLLVVMMKEGVIPDVIVYNSLMDGYCLVKEVNKAEHVLRTISRMGVTPNAWSYSIMINGFCKMKMVNEASSLFNEMCCKGIAPNTVTYNSLIDGLCKSGRISHAWKLVDEMHDNGQPADIITYNSLINALFKNHLVDKAIALVKKIKDHGIVPNMSTYNILIDGLCKGGQLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDSGIIPNAITYETIIRALFHKDKNEKAEKLLREMIARGLL >CAK8573931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648365166:648366772:-1 gene:gene-LATHSAT_LOCUS26325 transcript:rna-LATHSAT_LOCUS26325 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHHHHHQQQLHHNSLLDALYCDEEKLEEEHEDQDVSSQQSDVTTHNDNNILDSTSLFPLLLLEQNLFSQDEQLITLFSKEKTQQETYYQDLKNVVDFVSLSQPRREAVHWMLKVNAHYGFSPLTATLAVTYFDRFLLTFHFQKDKPWMIQLVAVTCISLAAKVEETQVPLLLDLQVQDTKYVFEAKTIQRMELLILSTLKWKMHPVTPHSFLDHIITRLGLKTNLHWEFLRRCENLLLSVLLDSRFVGCVPSVLATATMLHVIDQIEESDDNGVDYKNQLLSILKINKEKVDECYNAIVEVTNENNYGHKRKYEQIPGSPSGVIDAVFSSDGSNDSWKVGSSSSYSTSEPVFKKTKTQGQNRNLSPLNRVIVGILAAASATTSP >CAK8561596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:312960846:312961715:-1 gene:gene-LATHSAT_LOCUS15173 transcript:rna-LATHSAT_LOCUS15173 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNSSQTSIETLSHWCIFHMNEAKQVVKTWATQFHCSPHEKKLAFLFLANDILQNSRRKGSEFGGEFWKVLPDCLRDVTQNGDEYGRNQALRLVR >CAK8536059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903950341:903955839:1 gene:gene-LATHSAT_LOCUS5461 transcript:rna-LATHSAT_LOCUS5461 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLVKTPSGPDLSQVPTISEMATMNKLESGNRLSESDVSSLISQIKDLQKKNTELDQENKKITLKLQTMEIDNDAMQKKLNGLEQNTVPSLRKALKDVAMEKDAAVVAREDLLAQLRTLKKRLKEAEEEQYRAEEDAAALRAELNSVQQQSMTSTVSTIPSLGPPDHHLQILENELTGLKLELQRESLMRHQGQEQIAKEQSRIASLMSEKQELEEKLNSMSREAEEVSDKATHKAFTLEDKQKLDKQLHDMALAVERLENSRQKLLMEIDYQSTEIERLFEENSNLSNSHQEAIGAAARWENQVMECLKQNEELRGILEKLRVEQSNSLPDSFKNGVHETGSSTSIGEVASLKGQLVKEMSRAETLTAEVMQLSVQLEQVKQAYDGLARFYRPVLRNIENGLIQMKQDSSLSVR >CAK8540757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19398746:19400069:-1 gene:gene-LATHSAT_LOCUS9729 transcript:rna-LATHSAT_LOCUS9729 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLNNKSHTKITLLYIFFLFSPIFAYLYNPVYNYAINCGNSANTTVLDNRVWLGDNIHNSNIFSFNEPKSSNPSLTSQPISLSNIQTPYLTARVSFSSFTYSFSSINSSPLFIRFHFYPTSYQNFQPFNAFFSVKVNNNLTLLKNFNPSLWLRNDDDEEKITKEYCIQIKPNEKLDITFIPNNINQSNVYYAFVNAIEVVSMPSFLYYTDLSDTNYHFNLLGFDDSSSEYKIRNDKALETVYRVNVGDNQVPPNVDTGMFRNWDKDFPLYLEKQLPQSVPVDWVDHLNFKNNTIPNYTAPEAVYLTARSYGMDVKEDYNVTWNFEVDSAFTYMD >CAK8544372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680530461:680532308:1 gene:gene-LATHSAT_LOCUS13058 transcript:rna-LATHSAT_LOCUS13058 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERFAQGPGGAEKPAAAPTAVPPVEAAKQTQPSKKSKKAVAA >CAK8575537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:156526755:156535924:-1 gene:gene-LATHSAT_LOCUS27794 transcript:rna-LATHSAT_LOCUS27794 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFLNNFKIPDYILVSDSKVENFHECDPVCPVLVFINSKSGGQLGGELLITYRALLNVKQVFDLGEHPPDKALRTIYANLESLKKRGDQFAMKIMERLKLIVAGGDGTAGWLLGVVCDLKLSHPPAIATVPLGTGNNLPFAFGWGKKNPGTDQRSVETFLNQVMKAKEMKIDNWHILMRMRTPKEGSCDPIPPLELPHSLHAFHRVSEADELNMNGYLTFRGGFWNYFSMGMDAQVSYAFHSERKLNPEKFKNQLVNQTTYAKLGCTQGWFFAPLIHPASRNIAQLTKVKFMQKPGQWQDLYIPPSIRSIVCLNLPSFSGGFNPWGTPNRKKQRDRDLTPPYVDDGLLEVVGFRNAWHGLVLLAPKGHGTRLAQAHRIRFEFCKGGADHTFMRMDGEPWKQPLPVDDDTVVVEISHHGQVNMLATHICKSQSMYDPSSPHHDNEEDDSDGEVEEDSLAEEFRKFGAADTFRIPDEVDISELS >CAK8575538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:156526755:156535924:-1 gene:gene-LATHSAT_LOCUS27794 transcript:rna-LATHSAT_LOCUS27794-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFLNNFKIPDYILVSDSKVENFHECDPVCPVLVFINSKSGGQLGGELLITYRALLNVKQVFDLGEHPPDKALRTIYANLESLKKRGDQFAMKIMERLKLIVAGGDGTAGWLLGVVCDLKLSHPPAIATVPLGTGNNLPFAFGWGKKNPGTDQRSVETFLNQVMKAKEMKIDNWHILMRMRTPKEGSCDPIPPLELPHSLHAFHRVSEADELNMNGYLTFRGGFWNYFSMGMDAQVSYAFHSERKLNPEKFKNQLVNQTTYAKLGCTQGWFFAPLIHPASRNIAQLTKVKFMQKPGQWQDLYIPPSIRSIVCLNLPSFSGGFNPWGTPNRKKQRDVIVRDLTPPYVDDGLLEVVGFRNAWHGLVLLAPKGHGTRLAQAHRIRFEFCKGGADHTFMRMDGEPWKQPLPVDDDTVVVEISHHGQVNMLATHICKSQSMYDPSSPHHDNEEDDSDGEVEEDSLAEEFRKFGAADTFRIPDEVDISELS >CAK8536807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22404963:22405211:1 gene:gene-LATHSAT_LOCUS6139 transcript:rna-LATHSAT_LOCUS6139 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTFVLKITKRGASEEEWKKWQWRSINDVYMNGAFFRQSGPVLTNTPFSKKDMIKARPGTYVGRLTRFSGSLRCRRRKAC >CAK8535648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872003765:872005015:-1 gene:gene-LATHSAT_LOCUS5086 transcript:rna-LATHSAT_LOCUS5086-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLYLKDPVILNGQLLRQSCYGRVLSCLALDSLWAMQETIAKVRKSVQYVKSSHSVEERFLKTKQQLQVPCKTNLLIDDKNKWDTVYHMLVAACELKEVFSCFDVFDHPNDTMLPTIDEWKQVENLCACLKLLYDAAKTLTIQSYSTTNVFFTEVSKLKVQLTEASQDPYCCSLILSLQEKFDVYWRESCFILAIAAAMDPRYKMKLVESSFSKIFGENAEPWIQTLEGSLHELFLEYSIIQVIPFTETNFDIGNENMMKTDAFQEVSLDAFQDVSLDDDASIFPVEDRLVEDGLPDIEFYVSDFTTNQQFKSELTEYLEEPLEPNVQEFNVLSWWRINGSKYPTLSRMASDILSMPVSTISSDSIFNTEIRKMDSYRSSLDSLTLEALICTKDWFNCESKPFDVSHTHVKTKY >CAK8535647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872003765:872005789:-1 gene:gene-LATHSAT_LOCUS5086 transcript:rna-LATHSAT_LOCUS5086 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNPVSETKRGKHGRKKSHVWEHFYEETTGNPGCARAICKRCKSSFAYMKDYRQSGTSHLKRHLSLGTCQRNLQANRSNTGVNLHLHKKQARAKPYSNSISFDQMRCNDKIAKMIILHDYPLHIVEDKGFTDFARALQPLFNPLSLNTVHGDCVAIYLREKQNLLNLVDGIPGGINLTLDLWTSNQTLGYVFLRGHFIDGDWNLHHPILNVFAVPFPDLDGSFNQTIVTCLRGWNLKGRLFSVLFDKLFSNETLMKSMRDLLYLKDPVILNGQLLRQSCYGRVLSCLALDSLWAMQETIAKVRKSVQYVKSSHSVEERFLKTKQQLQVPCKTNLLIDDKNKWDTVYHMLVAACELKEVFSCFDVFDHPNDTMLPTIDEWKQVENLCACLKLLYDAAKTLTIQSYSTTNVFFTEVSKLKVQLTEASQDPYCCSLILSLQEKFDVYWRESCFILAIAAAMDPRYKMKLVESSFSKIFGENAEPWIQTLEGSLHELFLEYSIIQVIPFTETNFDIGNENMMKTDAFQEVSLDAFQDVSLDDDASIFPVEDRLVEDGLPDIEFYVSDFTTNQQFKSELTEYLEEPLEPNVQEFNVLSWWRINGSKYPTLSRMASDILSMPVSTISSDSIFNTEIRKMDSYRSSLDSLTLEALICTKDWFNCESKPFDVSHTHVKTKY >CAK8567029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480429121:480432132:1 gene:gene-LATHSAT_LOCUS20119 transcript:rna-LATHSAT_LOCUS20119 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVNSFNLKRAAAGIKRINLDGLRWRVFDAKGQILGRLASQIATVVQGKDKPTYTPNRDDGDICIVLNAKDICVTGRKLTDKVYYWHTGYMGHLKKRTLKDQMAKDPTDVIRKAILRMLPKNNLRDDRDRKLRIFPGSEHPFGDRPLEPYVMPPRTVREMRPRDRRAMIRAQKKAEQQQENAEQRQQNADELKNGKKSEAQESA >CAK8530500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25393476:25394732:-1 gene:gene-LATHSAT_LOCUS358 transcript:rna-LATHSAT_LOCUS358 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAETLDARTSKPNQEEMEIANQSIDTLQVEIPKHLEKGQHLHEGASEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKLEVVIEDEDIISEVKFWESSLILYTMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDEILRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTVNRLRVSYARILVEMDITKELPQTITIGDNEGEKIQQPIEYEWKPLFCSKCQKVGHRCDKPKVTQQWKPKIAPQHGDNVKTVIDNTAKRIPRTAGNNYIEGDKVISPVVSNNATGNTIVECPTDLESKAAPPLENGANIIEQVEAVVEKWIEVIRSGKDRGKPQDNPNSLNKIVCANGFEALEISKDLIESQKTGQ >CAK8572365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532451896:532453305:1 gene:gene-LATHSAT_LOCUS24938 transcript:rna-LATHSAT_LOCUS24938 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARIYDVKDPNTVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKAGKKK >CAK8561738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:370868408:370869568:-1 gene:gene-LATHSAT_LOCUS15299 transcript:rna-LATHSAT_LOCUS15299 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDDEENRWPPWLKPLLKERFFVQCKMHADSHKSECNMYCLDCMNGALCSLCLNYHKDHRAIQIRRSSYHDVIRVNEIQKVLDISGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSTSFQKKNKLATMISDSEDSYSSSCSHGKLKNNIKVQSFTPSTPPPTSVNYRTAKRRKGIPHRAPLGGLVLQY >CAK8575632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:219105573:219106180:-1 gene:gene-LATHSAT_LOCUS27876 transcript:rna-LATHSAT_LOCUS27876 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSYKPSPSVKVRPRFVQPGELEVNKKHLRLATMCKSSIIPPPIKTTITLPPIQTKIAPLPIETTITHLPIQTTKDVVVEDEDEDVVVGDKTEDDVVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKSVYWDVNIISK >CAK8577788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588352915:588357808:-1 gene:gene-LATHSAT_LOCUS29859 transcript:rna-LATHSAT_LOCUS29859-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNATLICVPIMGETVGKMALDIQKAKLNGADLVEIRLDSLTTFNPHQDLNTFIQQHHSLPLLFTYRPTWEGGKYDGDENKRLDALRLAMELGADYIDIELKVAHEFYDSIRGKTFNKTKVIVSSHNYQFTPSVEDLGDLVARIQATGADIVKIATTAVEITDVARMFQIMVHSQVSHVPFIGLVMGDRGLISRVLCAKFGGYLTFGTLESGVVSAPGQPTLKDLLHLYNFRQVGPETKVYGIIGKPVSHSKSPILFNEAFKTVGFGGVFVFLLVDDLANFLRTYSSTDFVGFSVTIPHKESALKCCDEVDPVAKSIGAVNCIVRRPTDGKLIGYNTDYVGAISAIEDGLRGKLNSSGTAVSPLAGKLFVVIGAGGAGKALAYGAKEKGARIVIANRTYGRARELADVIGGDALALSDLDSYHPEDGMILANTTSIGMQPKVDETPISKHALKFYSLVFDAVYTPKITRLLKEAEESGVTIVEGMEMFIGQAYEQYEKYTGLPAPKQLFRKVMENY >CAK8577787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588352915:588357808:-1 gene:gene-LATHSAT_LOCUS29859 transcript:rna-LATHSAT_LOCUS29859 gene_biotype:protein_coding transcript_biotype:protein_coding MKNATLICVPIMGETVGKMALDIQKAKLNGADLVEIRLDSLTTFNPHQDLNTFIQQHHSLPLLFTYRPTWEGGKYDGDENKRLDALRLAMELGADYIDIELKVAHEFYDSIRGKTFNKTKVIVSSHNYQFTPSVEDLGDLVARIQATGADIVKIATTAVEITDVARMFQIMVHSQVPFIGLVMGDRGLISRVLCAKFGGYLTFGTLESGVVSAPGQPTLKDLLHLYNFRQVGPETKVYGIIGKPVSHSKSPILFNEAFKTVGFGGVFVFLLVDDLANFLRTYSSTDFVGFSVTIPHKESALKCCDEVDPVAKSIGAVNCIVRRPTDGKLIGYNTDYVGAISAIEDGLRGKLNSSGTAVSPLAGKLFVVIGAGGAGKALAYGAKEKGARIVIANRTYGRARELADVIGGDALALSDLDSYHPEDGMILANTTSIGMQPKVDETPISKHALKFYSLVFDAVYTPKITRLLKEAEESGVTIVEGMEMFIGQAYEQYEKYTGLPAPKQLFRKVMENY >CAK8540999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40631297:40633453:-1 gene:gene-LATHSAT_LOCUS9952 transcript:rna-LATHSAT_LOCUS9952 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIFSLPSLITKHTKFTPFETHTCLVLNFIKHLCSSSVNPCFKSHSFYESLIDNSTHVIHLYQIHGHLVISGLQYDRFLVAKLVNGSSNFGYVCYARKLFDEFPDPDLFMWNAVIRSYLRNGMYRNVVEMFRWMRWVGLWPDRFTFPCVVKACSELLDFGLSCLVHGQIVVCGFGSDVFVQNGLVVLYAKCGRIGLARGVFDGLCDRTIVSWTAIISGYAQNGEAWEALRMFNRMRKTDVKPDWISLVSVIRAYTDVDDLEQGRSLHGCVIKTGLEDESDLAVSLTAFYAKCGQVTVARSFFDRMKTPNNVMMWNAMISGYAKNGHAEEAVDLFRGMISRNVQPDSITVRSAILACAQVGSLKLAQWMDDYVRKSKYGSGIFVITTLIDMYAKCGSVESARAVFDRASDKDVVMWSAMIMGYGLHGQGWEAIHLYHAMKREGVCPNDVTFIGLLTACSHSGLVKQGWELFHCMRDFGITPRNEHYSSVVDLLGRAGYLKQAYVFIMKMPIEPSVSVWGALLSACKIHRSVTLGEYAAQKLFSLDPYNTGHYVQLSNLYASTRMWDQVAHVRVLMKEKGLTKYLGYSVIEINGKLHTFHVGDMSHPRAKEIFDELQRLEKRLKDVGFVPHTESVLHDLNYEEKEENLCIHSERIAVAYGLISTAPGTTLRITKNLRACVNCHSAIKLISKLVEREIIVRDANRFHHFKDGLCSCGDYW >CAK8562993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565087784:565094506:-1 gene:gene-LATHSAT_LOCUS16447 transcript:rna-LATHSAT_LOCUS16447 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPAMLSLRHLLILSLAVNVSLILKMVYEGEREGSNKNMEGYNRIIQKSRLVIPSTSFANSTRKDRSGGMDKVINLDQGDPTMYERYWRQMGDRTTIIIPGWQSISYFSDPSSMCWFLEPEFAKEVVRLHNVVGNAVTEGRHIVVGTGSSQLILAALYALSNPDAAQPISVVSAVPYYSSYPSMADYQKSGLYKWAGDAYTFDKDGPYIEMVTSPNNPDGYTRQSVVNRNQGLLVHDLAYYWPQYTPISFASDYDLTLFTVSKSTGHAGMRIGWALVKDREVAKKMTKFIELNTIGVSKDSQLRAAKILKTVSDSCKEENSESFFKYSYKMMEQRWKLLRAAVDSGDRFSLPDFSSGFCNFLNQESKTQPAFVWLKCEGDIEDCESFLRGHKILTRSGKQFGASPKYARISMLDTDDNFMQFIDRLSIIQN >CAK8577964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599346997:599347344:1 gene:gene-LATHSAT_LOCUS30020 transcript:rna-LATHSAT_LOCUS30020 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPAPVSTTPKSPPSFFSFFPFFSQTLSISKP >CAK8568305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592484720:592484971:-1 gene:gene-LATHSAT_LOCUS21275 transcript:rna-LATHSAT_LOCUS21275 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTLESQQVNEVENAFAQQVGPSLKKRFLDVDLDNLPVDPGERNQMACYHPNDRDEIRRAYLQKGPCQPKDHNFPQ >CAK8572336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530198448:530200121:1 gene:gene-LATHSAT_LOCUS24912 transcript:rna-LATHSAT_LOCUS24912 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLFTIVLLFILNVSIATVATPSPVIPGGGQWQLIHNNIGIVGMHMQLLHNDRVIIYDRTNFGYSNLSLPNGRCRINLKERFKIDCTAHSVEYDVASNTVRPLFLQTDVWCSSGAVTPNGTLIQTGGSGAGEFVVRTIDPCPTCDWQEFNHGLAAKRWYATSHILPDGRQIIIGGRKEFNYEFYPKKQRAEKITYMLPFLAQTNDPGVENNLYPFVFLNVDGNLFIFANNRAILFDYNNNVVVKTYPSIPGEDPRSFPSTGSAVLLPLRNLQNPSVEAEVLICGGAPKGSYQQSLHGKFMRALNTCGRLKIMDPNPSWTMETMPFGRVISDMILLPNGNVLLINGAASGAAGWNIGRNPILHPFLYKPNNVIKSRFQLQNPSGIPRMYHSTAILLRDGRVLVAGSNPHEFYNFSNVLFPTELRLETFSASYLEPQYNDIRPIMVYPSPQSQTSLKYAQILKIQFQVKGTLAIDSVSVTMLAPSFNTHSFSMNQRLLVLDHVNTTNNVVVGKLTSTLTCQVEVAIPCSSNLAPPGYYLLFVVHQEVPSEGVWIQLL >CAK8562490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500503377:500504044:1 gene:gene-LATHSAT_LOCUS15982 transcript:rna-LATHSAT_LOCUS15982 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNADKDCKITPLVDIIPSRWNKDLLIDVIGVVDEIGYTHAQVGGKKQLINFVIHDLSNNTINCTLWEAHAMQFINYIQQQTDSSIPVVVLIQYAKVKEEIGKYPLSVTNTYNVTKWPSMKIWNQSNNLSTDNF >CAK8531618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131007407:131007862:-1 gene:gene-LATHSAT_LOCUS1396 transcript:rna-LATHSAT_LOCUS1396 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEDYSEDNNDNHIDQLSDMFANLDISNLNINNNTSINPVYSPRPIEKYYYKRPSPQDLLFEESEPFQNSYSGKVIYEWNVDGLNDKQIIDTIHRRITYSAVCKQHGNSDSSITSFITTGFVGQLRGWWDHYLTEAQNLEILNHKKIVKS >CAK8569331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694905039:694905413:-1 gene:gene-LATHSAT_LOCUS22193 transcript:rna-LATHSAT_LOCUS22193 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSICNLCHSEEETLNHLFFCCQETRDIWKEVFKWLNIYHEPQPWDAELAWITNITKGKGWKVDVLKTLVAETIYNIWGYRNSITFGNIVDNTIMDTKIIDNVIYRGWQNIRIRKYLVSFMM >CAK8574396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679949433:679952154:1 gene:gene-LATHSAT_LOCUS26748 transcript:rna-LATHSAT_LOCUS26748 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLARIRAELRASVFEAIEEEDRVIEKDQALPPALLGSCNDRAKQLHASPSGRLLTALVCEYLDWAQLTHSLKVYLPECNLEKDFWKSELKEFSSKNGYDLNRNGDSPVLLDVLEGFLKFENLSQARASGRRFTTSESEPLPNSESRNTRRHSSSSVAGGLPPLGRAVPSSQASDRRGGSSGSAYRKDEYNWRYDNEELPEDVIQASNALENLQLDRKARNLTSSWRHAADETSEDDGRSDHA >CAK8534195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703649084:703654240:1 gene:gene-LATHSAT_LOCUS3756 transcript:rna-LATHSAT_LOCUS3756 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHEEEIHNNGVNLSTRRSFGTKLKSGLKETFFPDDPFRQIMEEEKPSRRLIKGVQYFIPIFEWLPNYNVRLFISDLIAGLTIASLAIPQGISYAKLANLPPLVGLYSSFVPPLVYAVFGSSRHMAVGTIAAASLLIGDTISTVADHEKEPALYLHLIFTTTFVTGVFQACLGFFRLGILVDFFSHSTITGFMGGTAVILILQQLKGILGLKHFSTKTNVVSVIEAIFTNRREIRWETTVLGIIFLIFLQFTRHLRVKKPKLFWVSAIAPMTTVVVGGIFTYLVKGPTHGIQIVGHLDKGLNPWSIQYLNFDRRYLPAVLRAGLITGVLSLAEGIAIGRSFSVTDNTPHDGNKEMIAFGLMNLLGSFTSCYLTSGPFSKTAVNYNAGCKSAMTNVVQAILMALTLQFLAPLFGNTPLVALSAIIVSAMLGLINYEEAIYLFKVDKFDFVICMSAFLGVAFISMDMGLMISVGLGLVRGLLYLARPASCKLGKLSDSGIYRDVEQYSNASRFPGVLALQIGSPVYFSNSTYLKERILRYVKSEQISCGDVVEHVILDFTGVNSIDTTGIEGLLETNKVLERKGIQMLLVNPRLEVMEKLILSKFVDKIGKEKFYLNLDDAVVASQYSLRNSKTNNNEEAI >CAK8572544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545610856:545611257:1 gene:gene-LATHSAT_LOCUS25097 transcript:rna-LATHSAT_LOCUS25097 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTSQSSSAASTIFKPGILAEISIDKDGFRGSWFTGKLVSCLPSDKFVVEYEKIMADEEGTKGLQETVKRSQFRLIPPKEIIQDFQVGDEVDAYENKGWWEGRISDSFGNGMWAVYFKDWSEQLAYSKKN >CAK8531189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87626394:87627788:-1 gene:gene-LATHSAT_LOCUS996 transcript:rna-LATHSAT_LOCUS996 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLFGGTLNSITSKCMVLLVTLLILRVFIFPGFDGIEWSNLVYYSPSTSSSEFGIREFRFLVVPQLVWGLNNQKIAFARACLTARMLNRTLLMPSFSASLFYKEVDLLQPISFDKIFQFEKFNAVCSGFVRLGRYSDVLNRTRVLEMTKGSGRKWTLERDLSQLKEYSKGSYDDYEVIEILGKNPFLWHDHWPLKDYAKVFECLVLIDEFRNEADRVVSRIREVGNNESMESQQDRSSFHRLPYVAVHMRIEIDWMIHCKKLEQRMNTNQICSSKKEIVERVRSIVIGLKKTPIVVYLAVADKLLNDSSIMEDWGEGILPYEKKKLGVEGIYNKYPYLIQSAIDYEVCLQADIFVGNSFSTFSSLIVLERTHKMIKTSDESVCRTDVRWPSYAYNIQGESNGAMRWITNMSESSLQTISYGTDRIYDCKGHI >CAK8574785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9437678:9441774:-1 gene:gene-LATHSAT_LOCUS27094 transcript:rna-LATHSAT_LOCUS27094 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPPLQKIAISGSTLASLIHRFSTTTSTSSIDGLLFGHVTFVTPLNLSDDSSEASQTLLATVTGFLTSSSFHDASGKINTSSLRRLIPNSTSLLGWFSGRRRTPLRPSLREFSITSSLSQLSASIKPSPNSNPFPSSTSSSSLNFNPCLFFLLASPISDQASHIHTHDYRAFQFLTGTNSFNPVSLDIINIGPAFRDHYGSFTPNSPFPALDCQLSYSPMVRDDDEERLSKMRQASKDQRELDACVEGLEVGKLSKLMGSEAKNYTQSLEDLYLKMLVKIENLTTLVEQSSARVLEQETHNKKLRQKIMRSAE >CAK8536577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:956014990:956015517:-1 gene:gene-LATHSAT_LOCUS5933 transcript:rna-LATHSAT_LOCUS5933 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGGRKGGEPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRAENASTTTKSPKSPSKARKSPKKA >CAK8539257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507694157:507697234:1 gene:gene-LATHSAT_LOCUS8369 transcript:rna-LATHSAT_LOCUS8369 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSSRSITGFGKRVFNQIWNSNSASSPLPIASRRAAYSSLYDKNADDHNHSGPVPDDVIQATQSTKYWAPHPQTGVFGPPGEQVTAGFHSASTADATAAVAAGGSVLEEKAWFRPISLEDLEKPHSHP >CAK8574791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9503635:9503883:1 gene:gene-LATHSAT_LOCUS27099 transcript:rna-LATHSAT_LOCUS27099 gene_biotype:protein_coding transcript_biotype:protein_coding MHMWGYGSQYRKGPESLRGTQPTGMLRLPCYCCSLGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCSKAFAVKGD >CAK8571803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:476838476:476838712:1 gene:gene-LATHSAT_LOCUS24432 transcript:rna-LATHSAT_LOCUS24432 gene_biotype:protein_coding transcript_biotype:protein_coding MKITWLSSKTNDEFAPIKWVGSGFPKTTGDLRLGKRVISRTLNSFSHRVKWTKGPKGIFHVYPKKGDVWALYQNQSPD >CAK8563498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610396609:610406408:1 gene:gene-LATHSAT_LOCUS16898 transcript:rna-LATHSAT_LOCUS16898 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVLLRDQQSTPSSSAGEVSEDSLRNDVYTAAAYGDLEKLYRLVELEGCLVTEPDGLGYYALQWAALNNRTAAAQYIIEHGGDINATDHTGQTALHWSAVRGAIQVAELLLQEGARVNSADMNGYQTTHVAAQYGQTAFLYYVVSKWNADPDAPDNDGRSPLHWAAYKGFADSIRLLLFLDGHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLVMTENTGLTPAQLASDKNHRQVAFFLGNARRLLDKRFDTNSRLGKISKLGLAPVLWCIIFVLLVTYIHSVILAINMPKLTAAAGLFAWFGVLLATVGLVMFYRCSSKDPGYIRMNGHDTQSTKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFAFLVLEVSAMLVTGGVCLTRVLTDPLAPSSFGLWINYVGKNHIGAISFLIADFFLFFGVFALTVVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIKKNCSDFLISGYNEDLECLEESSNSEEGIGMMHMTSSNATNGEPHSHSDYAKGNGNSHVVINVNSNSTNSKTHHGHSNGHVHSSHCSHSNQGKTRNDSIPAGLGLGLGRNTRSVSPSS >CAK8571496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:431239784:431242173:1 gene:gene-LATHSAT_LOCUS24156 transcript:rna-LATHSAT_LOCUS24156 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSKAYSSMKLLLSLLPLVLVAVVGIVSVMSPNEREKIVDLRSEAVVEVVNTHNAFNLSSATPFLVHTIQTLPQSNETEVVNISKPWLNSSDPMNETYVPHSRKLSLLDRTEAGLLQARAAIREAIDGNQAQDPDYVPIGPMYWNAKAFHRSYLEMEKQFKVFVYEEGEIPVFHNGPCKSIYSMEGNFIHAIEINEKFRTRDPEKAHVFFLPFSVVMLVRFVYLRDSRDFSPIRKTVTDYINVISGKYPYWNRSLGADHFMLACHDWGPETSFSVPYLHENSIRVLCNANTSERFNPAKDVSLPEINLQTGSIHGFLGGPSASKRPMLAFFAGGLHGYIRSILLEHWENNKDQDMMVQKYLPKGVSYYEMLRKSKFCLCPSGYEVASPRIVEAIYTGCVPVLISDHYVPPFSDVLNWKSFSVEVSVEDIPKLKDILMRITPTQYIRMQRRVGQIRRHFEVHSPPKRFDVFHMILHSVWLRRLNFRVHDDQ >CAK8544575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693516802:693517017:1 gene:gene-LATHSAT_LOCUS13239 transcript:rna-LATHSAT_LOCUS13239 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFVGFIRILVLVFVSVCCCSSCTDGSTKAQRTLAIIKPDGLLGNYTDDIKRTISEIWFQHCQGTDCST >CAK8537647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415183298:415184797:-1 gene:gene-LATHSAT_LOCUS6913 transcript:rna-LATHSAT_LOCUS6913 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRAFSTINDNSSFKKTLQIRNNQNKNKPSFTKEDSAILLKKYDAKTVLKLLQEVASNAGWKINWKEMVKKSSTGISSVREYRILWRHLAYGHSLIDDDFQDLDDDSDLECERKSFPPMSKENESQAAACVQVMIESVKMSKSAPSSSIVEAPLPIKVPSSNLTEETEIRFPVIVDRQTRIHNSNASSNIRKGGWSKEEDIELQAAVQKWGEGNWTEMAARDDFPLNRTAAQLSKRWRILRNKVEQQ >CAK8570791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115118578:115121223:-1 gene:gene-LATHSAT_LOCUS23513 transcript:rna-LATHSAT_LOCUS23513 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFHQLWKPVLVMIIVNIALSIVNVFLKKVLMEGMDHLTILTYRQAIASIFLAPIACIFERESKIDGHIICLLFFSALVGVTLTQCLYLVGLEYTSATFSCAFLNTVPVFTFIMALPLGMEKVSMKSKSGKAKVLGTFVCIGGALILILYKGMPLINPQSHPISHKLTTPTSASNLKKSIIGSIFLTASCIFFSSWFLIQEKVGKKYPYQYSSTAILSFFATIQSAILNLIIHRNNAKWILKGKLQIMTVIYSGLVGSGLCYAAMAWCVKQKGPVFTAAFTPLLQMLVAVLDLCILQEEIYLGSVVGSVLVISGMYMLLWGKSKEREQSGLKDVQESQKDEECH >CAK8562105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440721428:440722828:-1 gene:gene-LATHSAT_LOCUS15631 transcript:rna-LATHSAT_LOCUS15631 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDQELDFKKEAAADTLDNYSKFVIARVGSRTRPCDLRLHLMKEISGMPTSLNRETTHAAASPETMGESSSSGTARLDKADSFRAV >CAK8535425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847225202:847225600:-1 gene:gene-LATHSAT_LOCUS4889 transcript:rna-LATHSAT_LOCUS4889 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNFMQNYQNSNSQNSQIPSVPPNSAMFFPSPNNPNMYQNPNPQNSQVPLFSTQVGVEKEERVLVKKNLESNLQGRRIYVLSNHGSMFQRIQLWELIKKLRLFGIESLQIITSIAGNCEKS >CAK8532668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:470821548:470823974:-1 gene:gene-LATHSAT_LOCUS2350 transcript:rna-LATHSAT_LOCUS2350 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYNRRHDSSPIPFPPTISVPSPYNHRHTRHESSPLLSISPPPRPPSPDCTRNIKLNRRDSLPPSFPPNSPPATTSHFRRDSYPGPFPTLPSPSSSTVFEPPLPLYHNRRESCPNPFPFPISPNHESTDLSLLPSTSSSRNLNHRLLKRRLDICNKDNYHDNNNGLIWLFYDIKFLDDDDIRETAYEVFFTACRSSPGFGGRSTLTFYSKHESSGVGGMNPSNPVAQTSRTKRALGLKILKSSLSQRMAMRGSWKMSMSSPPSPVAEGSPRHRVFPRRIMTMAEVMRLQMGVSEQSDSRLRKNLMRTLVGQLGRQAETIILPLELLRHLKPSEFSNPHEYHLWQKRQLKILEAGLLLHPSIPVEKTNTFAMNLKEIIRSGELKPIDTSKNSETMRTFSNSVVSLSMRSPDGVSTNVCYWANGFPVNVHLYTSLLQSIFNLEDETLFPDEVDELLELMKKTWSTLGINRPIHNLCFAWTLFQQYVATGQREPELLCASHTVFNEVANDAKKEKEALYVNMLKSVLDSLQSWADKRLLNYHAYFQGEYIRQIENLLPVVLLASKILGDVTISDGDWQNKGDFSAERVDDYIRSSFKNAFEMIIEAENAKSTESETKKDISEVMLDLAKDTEDLAMKERQTYNPILKKWHMIAGAVAALTLNNCYGHVLKQYLSEMMKKIIVELILVLQRASVAPQNLPNFSLPSLCFISFTLALSFAFH >CAK8570400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43122452:43122865:-1 gene:gene-LATHSAT_LOCUS23149 transcript:rna-LATHSAT_LOCUS23149 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKAYRAQKTTLNIVEGSFNEQLLRLYDYGYEVLRSNPNNTIKLNVQETEQQPFKLDQQPEEYVSRPLLPSFHRLYMCLDACKKSFADCRPIIEVDGCFLKGIYGGQILATVGRDPNDQMLPIAVAIVDAETKES >CAK8536974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46477617:46481030:-1 gene:gene-LATHSAT_LOCUS6295 transcript:rna-LATHSAT_LOCUS6295 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKELGLFLGFDQHFNSLSSLLTTIKATLEDAEEKQFTDTAIKDWLLKLKDAAYVLDDILDDCATQLLEMESKGSSHKVQSSFLSSFHPKNVAFRYQMDKKMKNMRERLDEIAEERNKFHLTQIVREERSGVLDWRQTTSIITQPQVYGREEDKDKIINFLVGDDASSFEDLSVYPIVGLGGLGKTTLAQLVFNHERVVNHFELRIWVCVSEDFSLKRMTKAIIESTTGDACAELDLEPLQRKLLDLLKGKKYLLVLDDVWDDGQENWQRLKSVLACGGKGASILVTTRLLKVAAIMGTIPPHDLSILLDTDCWELMKQKAFEPNEEEREELVVIGKEIVKKCGGVPFAAKALGGFLRFKREKIEWLNVKESQLWNLQGEDHVMSALRLSYLNLPVKLRPCFALCALFPKDEIIDKKFLIDLWMANGFISSNGMLEAEDIGNEVWNELYWRSFFQDIEKDDIGKIEKFKMHDLVHDLAQSIAEEVSCCITESSLSKRILHLSTYDEKSSMVVSSTQMHGIKSLRTFLMRGYNYSPPQVLKCYSLRVLDFEGMEELPSSIFRLKHLRYLNLSYGKFKTLPESLCMLWNLQILKLDYCSRLQMLPYGLVQLKALQHLSLKGCSWLLSLPPHIRNLASLKTLTMYVVGKKKGFHLAELGQMNLKGSLSIKHLERVESVIDAKEANMLRKQVNNLELEWKINKDSQLQENVEEILEVLQPQIQQLQCLEVVGYTGVYFPQWMSSSSLNILTTLQLLNCESCLHLPDLGKLPSLKNLTVSKMSHVKYLYEEDSCNGGGEGGFRKLEKLELEQLPSLVNLSREDRDNNFSCLSKLQITECPVLLELPCLPSLSNLIVRGECSQHLLHSIHKYHTLEKLCFSDNEELSFFPDGMLRDLPSLRIFDIYDLSKLEQLPTEINNINSIQEICIGNCKNLKSLSDEVSHGLHFLKRLSIRRCQKFNLSESFQYLTCLEELIITSCPEIEGLHEALQQMCALQSLTLGDLPNLASLPDWLGNLALLHKLEIDNCQKFNLSESFQYLTCLEELIITSCPEIEGLHEALQHMTSLQFLTLDDLTNLASLPDWFGNLGLLHTLEIYNCPKLTCLPISIQRLPNLKRLYIPDIGIYV >CAK8539118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504192464:504195089:1 gene:gene-LATHSAT_LOCUS8246 transcript:rna-LATHSAT_LOCUS8246 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSYRYDVFISFRGCDTRNTFVDHLYAHLNRKGIFTFKDDAQLNKGHSISTQLQLAIQQSRISIIIFSKDYASSTWCLDEMATIADCHTQSKQTVFPVFYDVDPSHVRKQNGFYKDAFALHSQQQSRNKVHCWKNAMTSLAGSAGWDVRNKPQFEEIEKIVQDVINSLGHKFSGIVDDLVGIQPRVEALERILKLRSGDCGFRVLGIRGMGGIGKTTLATVLYDRISYQFQASCFIENVSKIYRDGGCVAVQKQIICQTLRERNLKAYSRSEISGILRSRLNNIKVLVVLDDIDQIEQLQELHINPKLLCGGSRIIITTRDEHILKEYGADEVYEAQLMTDGEALNLMHRKAFKSDNSSSTFSELIPQVLKYAEGLPLAIRVIGSFLCTRTATQWRAALDGLQKNPLGNRVMKVLQISFEGLDLREKEIFLHIACFFKGDKVDYVRGVLDACGLHPDIGIPLIVEKSLVTIRNTEIHMHGMLQELGKQIVQGQHPYDPVFWSRLWLYRDFHRVAMTEPKAPIETKAIVLDQKEDGFDFNPLRGEDLSKFGHLKLLILCQKNFSGNPVFLSNSLCYLSWNGYPFPSLPSNIQLHDLVELNMPGSNIKRLWEGEQRLPCLKRMDLSNSKYLMVTPKFEGIPNLERIDFTGCINLSQVHPSVGLLTELVFLSLQNCTRLTSLDFGVSRVWSLRVLRLSGCVQLEKTPDFTGASNLEYLDMDQCKSLSKIHKSIGALTKLRFLSFRDCTKLFPNPNIFDTMIGVAKWMDWMDMDWIANGWIKTIH >CAK8535123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815693502:815694035:-1 gene:gene-LATHSAT_LOCUS4600 transcript:rna-LATHSAT_LOCUS4600 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDVIEIMRRRLADWKGNNLSIGGRVVLINSIQSHFLWGSCSSRKKIHWVDWKSVCAPKVKGGLGIKDISYFNGSLLLKWKWRFLNSKGMVWKGIINNRYAKNVLGLAIIGYDGFKGEISSRGRSDLFVDSLKCSLGNGKKAAFWHCKWIP >CAK8572910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571004795:571005169:-1 gene:gene-LATHSAT_LOCUS25416 transcript:rna-LATHSAT_LOCUS25416 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGNVFDHINKFNELVSRIMNEGEDIKDEEQALILLALLPKSYKSLVQSMLAGKSTLQLNEVVKSLKKSQQMMGIDQSPKENQVLVAKGGHKKNYGDQYGRSFQPRDMSYVKCHYYQQFGHV >CAK8574130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664115942:664116550:1 gene:gene-LATHSAT_LOCUS26504 transcript:rna-LATHSAT_LOCUS26504 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVVFENCSIVFVEYGRLSYRSFAEFFVCSICCGKKLAHGFSIGKVGFILLAFWNVEDIALLLLGCTSYGFDIFVCILRFCKRFWILVFGMEYF >CAK8575631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:214957172:214961501:1 gene:gene-LATHSAT_LOCUS27875 transcript:rna-LATHSAT_LOCUS27875 gene_biotype:protein_coding transcript_biotype:protein_coding METVLSKHSPPSSAFKGVSKEEEESLLGQIEIWKYMTQFTDSFALKAVVELRIADIIDRYGKPISLSKIVQNLEDAPSPDSSLLLRLMRVMVRRKIFSVEKSESGEILYGLTHASKWILQDTKLTLAPMVLLENHPFHMNPFNYISEIVREGTKNGTAFFRCHGHDQFEMAGLNSKFNDLFNQGQASTARYMVGAVIAGYKDGFKQIQSLVDVGGGIGGSLSEIVRAYPHIQAINFDLPHVVSTAPKFDGITHVGGDMFVSVPSADAIYMKWILHDWSDDHCIKLLKNCRKAIPEKTGKVIIVDHVLDPEGDEPFTDLGVAFDMILLAHNAGGKERTEENWKYIFKESGFPRYNIIKINTFPSIIEAFPI >CAK8575630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:214957172:214961501:1 gene:gene-LATHSAT_LOCUS27875 transcript:rna-LATHSAT_LOCUS27875-2 gene_biotype:protein_coding transcript_biotype:protein_coding METVLSKHSPPSSAFKGVSKEEEESLLGQIEIWKYMTQFTDSFALKAVVELRIADIIDRYGLNSKFNDLFNQGQASTARYMVGAVIAGYKDGFKQIQSLVDVGGGIGGSLSEIVRAYPHIQAINFDLPHVVSTAPKFDGITHVGGDMFVSVPSADAIYMKWILHDWSDDHCIKLLKNCRKAIPEKTGKVIIVDHVLDPEGDEPFTDLGVAFDMILLAHNAGGKERTEENWKYIFKESGFPRYNIIKINTFPSIIEAFPI >CAK8532512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:274837566:274838327:1 gene:gene-LATHSAT_LOCUS2210 transcript:rna-LATHSAT_LOCUS2210 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLKKKDAELSGGLSKPASAATKATNAGSLLFPVESLWPIESEKISALAGAIYGLMLHVLPAYVRGWFNDLRDRNTSTAIESFTRTCYSPPLIANELTQIKKANFRDENFSVSVRKSANEVVVTYTKDETGMDLVIRLPASYPLRSVDVDCTRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIGIWKHNFDKEFEGVEECPICYSVIHTTNHRIPRLACKTCKHKFHSACLYKWFSTSHKSSCPLCQSPF >CAK8534821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771836653:771837015:1 gene:gene-LATHSAT_LOCUS4331 transcript:rna-LATHSAT_LOCUS4331-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYKQKCEGPIKTGIRRGIVSGFGFGVSFLVLYAVYACNFYAGACLVEDGKSSFSDVFRVFFALSMAAIELSQSGSLVPDLTKEKSAAASIFM >CAK8534820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771836608:771837015:1 gene:gene-LATHSAT_LOCUS4331 transcript:rna-LATHSAT_LOCUS4331 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLVASFCSEEKVMELYKQKCEGPIKTGIRRGIVSGFGFGVSFLVLYAVYACNFYAGACLVEDGKSSFSDVFRVFFALSMAAIELSQSGSLVPDLTKEKSAAASIFM >CAK8533300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601170057:601170737:1 gene:gene-LATHSAT_LOCUS2940 transcript:rna-LATHSAT_LOCUS2940 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWAVDYLGVSQRVAQQQVRDCRGSYYKLEWLYDQFVEHRAASRWHYATRAYLLMLVDSTIFADKTFTLVEARYLSPFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYLTLLQFWIHEYFPTLGKKERIGYQLIMWVSLER >CAK8578928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662393388:662394284:-1 gene:gene-LATHSAT_LOCUS30906 transcript:rna-LATHSAT_LOCUS30906 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIGEGNNVAFWQSVWNGDLPFKVRFNGLFQSYFTKSATIREMGFWEEGQWTWKIRDSLIDSNSPPEPDWSDCCKLLDNVLVIPDESDKWRWLLHDSLSFKVSSLNSVLTPSSFDQDTGFECASHLESLWKTVIPAKVQTFSWRMALDRLPTRTNLLKRRVFDSEQDLDCVFCSSSLEDISHLFFSCFKSKQVWNKICEWADIEIISETCCYSHVKAWNSSLSGRCQAIRINSIWFITCWSIWRSRNEDIFNNVVTEVDNIVFDIKLSSWNWLILGRKRSKQYSLYDWFKFPFDFL >CAK8538582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487693738:487695213:-1 gene:gene-LATHSAT_LOCUS7764 transcript:rna-LATHSAT_LOCUS7764 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >CAK8573283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600160231:600160872:-1 gene:gene-LATHSAT_LOCUS25751 transcript:rna-LATHSAT_LOCUS25751 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTNQDMAVSFEHSEDDYYDLEDYDMDESHYFYVINAILSGTARLNILLPTVTTLAFSIFAPILTNDGECSVLNRWLTGIFLFMLAVSCVFFTFTDSFMTATGRLYYGVATFRGIWTFCGSRKKPCVPSDYRLTWSDFFHALLSLLSFLVFAGLHYDVVKCYYPGMPRKVTNTLPLVVGFVVSILFVVFPSKRRGIGYPFLLQSDPMYSRH >CAK8573284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600160231:600160848:-1 gene:gene-LATHSAT_LOCUS25751 transcript:rna-LATHSAT_LOCUS25751-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFEHSEDDYYDLEDYDMDESHYFYVINAILSGTARLNILLPTVTTLAFSIFAPILTNDGECSVLNRWLTGIFLFMLAVSCVFFTFTDSFMTATGRLYYGVATFRGIWTFCGSRKKPCVPSDYRLTWSDFFHALLSLLSFLVFAGLHYDVVKCYYPGMPRKVTNTLPLVVGFVVSILFVVFPSKRRGIGYPFLLQSDPMYSRH >CAK8537992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:454770953:454771370:-1 gene:gene-LATHSAT_LOCUS7236 transcript:rna-LATHSAT_LOCUS7236 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVKEEDVNSCYFHIMMKARFRRNSISVLTAEDQVLLQQPFTSEEIEEALSESDGNKSLGPDDFNLEFLKRCWDVIGVESTGSLVLLGASIKLSLRYWLED >CAK8537693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421175219:421181859:1 gene:gene-LATHSAT_LOCUS6958 transcript:rna-LATHSAT_LOCUS6958 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRLPIPLEKTLITYSTPNTTYNSNYYSYSRMNHIQNIRRCSLKSITNRCTISMAFKDEVEGETFPDEDFPELEPLPGELQAELMPKHVAVIMDGNGRWAKMKGLPVSAGHVAGVKSLKRMVKLCYSWGIKVLSIFAFSTDNWIRPKVEVEFLFTLFERSILSQIEECKREGIKISVIGDTSKLPNSLQRMITSIEDYTKENSKFQVIVAINYGGKYDIVNACKSVAKKVKDGHIYLEDIDENTLEKELQTNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTEFFFDSKLWPDFGKDEFIEALISFQHRHRRYGGRH >CAK8534427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725495943:725499393:-1 gene:gene-LATHSAT_LOCUS3974 transcript:rna-LATHSAT_LOCUS3974 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHRQKSDKRKRTKSRLVKFEELPEYLKDNEFILDHYRSEWPVKDSLLSVFAWHNETLNVWTHLGGFLIFAAMAVVSFPAATELGGGGGFLSKIYRVGAVGKQINGSESQNKGSQDWHLRQILEVTIFDGVTETIPRWPWFIFLVGGMCCLACSSISHLLACHSKRFNLFFWRLDYAGISIMIVSSFYAPIYYVFFCNPYARLLYLTSISVLGVVAVITLLSPTLSAPRFRTFRASLFLSMGFSGVIPAVHALVSHWGQSHIVVAIGYEVITGILYATGVVFYVTRIPERWKPGAFDIAGHSHQIFHVFVVLGALAHSTATLVIMDFRRGSPTCAF >CAK8535062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809582570:809584482:-1 gene:gene-LATHSAT_LOCUS4545 transcript:rna-LATHSAT_LOCUS4545 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVVELEGRCYRCKFCRTHLALADDLISRTFHCRRGKAYLFTNAVNTTTGPPEERMMLSGLHTVADIFCCCCGQILGWKYESAHEKSQKYKEGKFVLERGSIVDDIDFSTEFYIDSRASMSDSEDA >CAK8540897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30588486:30588626:-1 gene:gene-LATHSAT_LOCUS9855 transcript:rna-LATHSAT_LOCUS9855 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSNIRGSKKKVSCKKLGGYLKEQKGRLYIIRRCVVMLLCWHD >CAK8533541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634245106:634245492:-1 gene:gene-LATHSAT_LOCUS3162 transcript:rna-LATHSAT_LOCUS3162 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLSLFSILIVACQNSWETVFLVIPLVWLYNWHRKYYLATSRELTRLDLIIKAPVIHHFSETLSGVMTIRSLRKKTEFCDENIDRVNASLRMDFPLQTC >CAK8544537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691071411:691078711:1 gene:gene-LATHSAT_LOCUS13207 transcript:rna-LATHSAT_LOCUS13207 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGHPNFPSRPNSLPFAAAQTMTPFSSMGPVAGSEPPSFRPTPPVPPQVATPFPSSGPAVRPGVPSFRPTPPGRFNDPSVPPPLPSSNVPPVPASGPFQHFTAPPFLSTVQPPLTRAPPLGQPSIHQHASQAPPFPSSLHPQPQMPYVQMGSPPMGSNVPPPQFQPSVPGYARVQPGAEMQAPPIHSSIHANQGNYGPAPPAAPSPFAPHQGGYASSIPVATPIGVQPTQQPGYAPPAGAIQGLTEDFSSLTMQTRPGTMDALFDAKDLPRPLDGDVEPKNLAEVYPMNCNPRYMRFTTSAIPSSQSLASRWHLPLGAVVCPLAESPDGEEVPIISFAPASVVRCRRCRTYVNPYVTFTEAGRKYRCNVCTLLNDVPSEYHAQLDATGKRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVAAQTIKSCLDELPGFPRTQIGFATFDSTIHFYNLKSTLNQPQMFVVSDLDDIFIPLPDDLLVNLSESRSVVEAFLDSLPTMFQDNVNLESAFGPALKAAFMVMSTLGGKLLIFQNTLPSLGVGRLRLRGDDSRVYGTDKEHALRLPEDPFYKQMAAEFSKYQISVNVYAFSDKYTDIASLGTLAKYTAGQVNYYPAFQSAIHGEKLSHELRRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTTQTIYFQVALLYTASCGERRIRVHTMAVPVVTDLADMYRLADTGALVSLFSRLANEKTLSQKLDDARSAVQLRIVKALKEYRNLYAVQHRLANRMIYPESLKFLMLYGLALCRSMALRGGYGDVPLDDRCAAGHTIMILPIKRLLKLLYPSLIRLDEYLLKPSVQADDLKSIERRLPLAGESLDSRGLYLYDDGFRFIIWFGRVISPDIAKNLLGADFAAELSKATLNEHNNEMSRRLMRVLEKLRNDDHGYYQLCHLVRQGEQPKEGFLLLANLVEDQMGGNSGYADWMLQISRQVHHS >CAK8544538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691071411:691078711:1 gene:gene-LATHSAT_LOCUS13207 transcript:rna-LATHSAT_LOCUS13207-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGHPNFPSRPNSLPFAAAQTMTPFSSMGPVAGSEPPSFRPTPPVPPQVATPFPSSGPAVRPGVPSFRPTPPGRFNDPSVPPPLPSSNVPPVPASGPFQHFTAPPFLSTVQPPLTRAPPLGQPSIHQHASQAPPFPSSLHPQPQMPYVQMGSPPMGSNVPPPQFQPSVPGYARVQPGAEMQAPPIHSSIHANQGNYGPAPPAAPSPFAPHQGGYASSIPVATPIGVQPTQQPGYAPPAGAIQGLTEDFSSLTMQTRPGTMDALFDAKDLPRPLDGDVEPKNLAEVYPMNCNPRYMRFTTSAIPSSQSLASRWHLPLGAVVCPLAESPDGEEVPIISFAPASVVRCRRCRTYVNPYVTFTEAGRKYRCNVCTLLNDVPSEYHAQLDATGKRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVAAQTIKSCLDELPGFPRTQIGFATFDSTIHFYNLKSTLNQPQMFVVSDLDDIFIPLPDDLLVNLSESRSVVEAFLDSLPTMFQDNVNLESAFGPALKAAFMVMSTLGGKLLIFQNTLPSLGVGRLRLRGDDSRVYGTDKEHALRLPEDPFYKQMAAEFSKYQISVNVYAFSDKYTDIASLGTLAKYTAGQVNYYPAFQSAIHGEKLSHELRRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTTQTIYFQVALLYTASCGERRIRVHTMAVPVVTDLADMYRLADTGALVSLFSRLANEKTLSQKLDDARSAVQLRIVKALKEYRNLYAVQHRLANRMIYPESLKFLMLYGLALCRSMALRGGYGDVPLDDRCAAGHTIMILPIKRLLKLLYPSLIRLDEYLLKPSVQADDLKSIERRVISPDIAKNLLGADFAAELSKATLNEHNNEMSRRLMRVLEKLRNDDHGYYQLCHLVRQGEQPKEGFLLLANLVEDQMGGNSGYADWMLQISRQVHHS >CAK8535408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846089098:846089715:1 gene:gene-LATHSAT_LOCUS4873 transcript:rna-LATHSAT_LOCUS4873 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSKLLALIISAFTIMQITSAGDPDILTDFIAPIGTQVDGSFFTFTGFRALLSPNTPSSTFKALKASKAEFPALDGQSVAYAALEFPSGSINPPHTHPRSAELLLLAKGSLQVGFVDTTNKLFTQTLQPGDMFVFPKGLVHFQFNSDTQKPALAFSAFGSANAGTVSIPSTLFNTSIDNNVLALAFKTDVATVQTLKKGFTS >CAK8576796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515767881:515770024:1 gene:gene-LATHSAT_LOCUS28964 transcript:rna-LATHSAT_LOCUS28964 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTGRQTNIRSYRRRKTVLDLDLNRVPAGENREQEGPSLQLEPQVVETQANNQLQQANNQPQQANNQQQPPLIDVEAIDDDDVVESSPRAFAEAKNNSRRNRGRTIVDVDLEDQTRVNNNNRCKRRREPPNQTVINCDLYINLEGSSSSQQVESVKKLPEPPKEPVFNCPICMGPLVEEMSTRCGHIFCKACIKSAISAQAKCPTCRKKITVKELIRVFLPSTG >CAK8576797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515767881:515770024:1 gene:gene-LATHSAT_LOCUS28964 transcript:rna-LATHSAT_LOCUS28964-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTGRQTNIRSYRRRKTVLDLDLNRVPAGENREQEGPSLQLEPQVVETQANNQLQQANNQPQQANNQQQPPLIDVEAIDDDDVVESSPRAFAEAKNNSRRNRGRTIVDVDLEDQTRVNNNNRCKRRREPPNQTVINCDLYINLEGSSSSQVESVKKLPEPPKEPVFNCPICMGPLVEEMSTRCGHIFCKACIKSAISAQAKCPTCRKKITVKELIRVFLPSTG >CAK8574044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655275731:655277089:1 gene:gene-LATHSAT_LOCUS26428 transcript:rna-LATHSAT_LOCUS26428 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKMKVSNNQNQSNYVPKIFNVQLHFINFLTYVLVLTFGLTLGIILSFYLKECSFSLQFTQLSLSSIPKTPSLPPPIAVKQERIGLKEFLKVPPVMHDMDEEELLWRGSMTSKISEYPFDRVPKVAFMFLTRGAVFLAPLWEQFFKGHEGYYSIYVHSNPSYNGSHPESPVFHGRRIPSKEVEWGDVNMIEAERRLLSNALLDISNQRFVLLSESCIPLFNFTTIYSYLINSTENYVMAYDDPSPVGRGRYSIQMLPEVSIRQWRKGFQWFEMDRELALGVVSDRIYFPVFQEYCKGSCYADEHYLPTFVSIKFWERNSNRSLTWVDWSRGGPHPAHFLRSDVNVNFLERLRSKKCMYNNGKSTNACFLFARKFLPSTLSRLLKIAPEVMQFEHYDKPKKHKLSLEPII >CAK8574045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655275743:655277089:1 gene:gene-LATHSAT_LOCUS26428 transcript:rna-LATHSAT_LOCUS26428-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNNQNQSNYVPKIFNVQLHFINFLTYVLVLTFGLTLGIILSFYLKECSFSLQFTQLSLSSIPKTPSLPPPIAVKQERIGLKEFLKVPPVMHDMDEEELLWRGSMTSKISEYPFDRVPKVAFMFLTRGAVFLAPLWEQFFKGHEGYYSIYVHSNPSYNGSHPESPVFHGRRIPSKEVEWGDVNMIEAERRLLSNALLDISNQRFVLLSESCIPLFNFTTIYSYLINSTENYVMAYDDPSPVGRGRYSIQMLPEVSIRQWRKGFQWFEMDRELALGVVSDRIYFPVFQEYCKGSCYADEHYLPTFVSIKFWERNSNRSLTWVDWSRGGPHPAHFLRSDVNVNFLERLRSKKCMYNNGKSTNACFLFARKFLPSTLSRLLKIAPEVMQFEHYDKPKKHKLSLEPII >CAK8530358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16484435:16486089:-1 gene:gene-LATHSAT_LOCUS227 transcript:rna-LATHSAT_LOCUS227 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGVGEDCLAWAARDASGVLSPYKFNRRALGSEDVHVKITHCGVCYADVIWTRNKHGDSKYPVVPGHEIAGVVAKVGPNVQHFKVGDHVGVGTYVNSCRECEYCNDRLEVHCVKGSVFTFNGVDFDGTVTKGGYSTSIVVHERYCFLIPKSYPLASAGPLLCAGITVYSPMMRHKMNQPVFSTSASKKEEALNRLGADNFVLSSNQEEMKALAKSLDFIVDTASGDHPFDPYMSLLKVSGVLVLVGFPSEVKFSPASLNLGSKTVSGSVTGGTKEIQEMIDFCAANGVHPDIELIPIGYSNEALERVINKDVQYRFVIDIENSLKLN >CAK8530359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16484435:16486089:-1 gene:gene-LATHSAT_LOCUS227 transcript:rna-LATHSAT_LOCUS227-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGVGEDCLAWAARDASGVLSPYKFNRRALGSEDVHVKITHCGVCYADVIWTRNKHGDSKYPVVPGHEIAGVVAKVGPNVQHFKVGDHVGVGTYVNSCRECEYCNDRLEVHCVKGSVFTFNGVDFDGTVTKGGYSTSIVVHERYCFLIPKSYPLASAGPLLCAGITVYSPMMRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLRVTVFSTSASKKEEALNRLGADNFVLSSNQEEMKALAKSLDFIVDTASGDHPFDPYMSLLKVSGVLVLVGFPSEVKFSPASLNLGSKTVSGSVTGGTKEIQEMIDFCAANGVHPDIELIPIGYSNEALERVINKDVQYRFVIDIENSLKLN >CAK8534182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702373572:702377217:-1 gene:gene-LATHSAT_LOCUS3745 transcript:rna-LATHSAT_LOCUS3745-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTFCCYKPFSSPLSSFITSLPFSSSSINHSFPSFSVSAAAGTCKLTWDDVFRISESETDIEDDDPSSYLQGYFHKVQLCNRGSDKQSEFVPFIVEGRDVGFIHNRFVEHLRGFNDVFDFPNGGGPFGYYVTLHPLLKSAEERTSAVGYVIKHLGEEHIPGIRNELFPVKSSFSASAFFSLERAAAPYFGIKVYGIHMNGYVELDGRKHLWIGKRSPTKSTYPGMLDHLVAGGLPHGIGCLENVVKECEEEAGIPRSISIKAKSVSVVSYKDIEGYRYKRDVLFCYDLILPENFVPKNIDGEVESFKLIPVEQVAEVIRKTQFFKPNCAIVIIDFLFRHGYISLENDGYLDLLRSLRIGDWS >CAK8534181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702373572:702377235:-1 gene:gene-LATHSAT_LOCUS3745 transcript:rna-LATHSAT_LOCUS3745 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVTYMFAPTFCCYKPFSSPLSSFITSLPFSSSSINHSFPSFSVSAAAGTCKLTWDDVFRISESETDIEDDDPSSYLQGYFHKVQLCNRGSDKQSEFVPFIVEGRDVGFIHNRFVEHLRGFNDVFDFPNGGGPFGYYVTLHPLLKSAEERTSAVGYVIKHLGEEHIPGIRNELFPVKSSFSASAFFSLERAAAPYFGIKVYGIHMNGYVELDGRKHLWIGKRSPTKSTYPGMLDHLVAGGLPHGIGCLENVVKECEEEAGIPRSISIKAKSVSVVSYKDIEGYRYKRDVLFCYDLILPENFVPKNIDGEVESFKLIPVEQVAEVIRKTQFFKPNCAIVIIDFLFRHGYISLENDGYLDLLRSLRIGDWS >CAK8530220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8243914:8253199:1 gene:gene-LATHSAT_LOCUS104 transcript:rna-LATHSAT_LOCUS104 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKFPITQLKTPNYYVISCHRKHSTRIISQQKFSFKSLGNKWNLNDLTASSIQERLNVLMSRTQNFLNEVTSPLAKSGQSKKPDPEDDIGFQVMEDIFMVEQTIERRTAHGTLSLAAVICIEQFSRMSGLTGKKMKKIFQTLVPETVYTDARNFVEYCCFRFLSRDSSDIHPSLQDPAFQRLIFITMLAWENPYTKVLSSNAEKASLQSKLVTEEAFVRIAPAVSGVVDRPTVHHLFKALAGDQEGISMSVWLAYINEFVKVRRENRSYHIPEFPQIDEEKILCIGANNKQPVLKWENNMAWPGKLTLTDKAIYFEAVGLMGNKRAMRLDLTYDGLKVEKAKVGPLGSSLFDSAVSISSSSEPNWWALEFIDLGGDMRRDIWNALISEVIALHKFIHEYGPEDSDESLFNVYGARKGKQRATTSAINGMARLQALQHLRKFPDDPIKLVQFSYLQNAPHGDIVLQTLAVNYWGGQLVTGFINTRNRSENRLSNETADNCNHAFDIDGSVYLQKWMKSQSWESSASTTFWKNTSTKGLVLSKNLVVADLSLTERAAKTSKQKYQVVEKTQATINAATLKGIPSNIDLFKELILPITLTVKNFEKLRRWEEPHLTVGFLALSYTMIFRNLLSYMLPTMLMITAIGMLTIRGLKEQGRLGRFFGGVTIRDQPPSNTLQKIIAVKNAMRDVENITQKVNVSLLKLRSILLSGNPQITTEVAVLMLTWSAILFTVPFKYILSFLLFDMFTRELEFRKEMVKRFMKMLRERWHAVPAAPVAVLPFENEESKPEISLKVIENKLKSQGNQSSSKSKQ >CAK8540645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15168261:15177204:-1 gene:gene-LATHSAT_LOCUS9631 transcript:rna-LATHSAT_LOCUS9631 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFVSQMVPEWQEAYMNYNSLKTILKDISKFKRQNKSKSPMASTPKGSLRRRLTLYRAFSGLNVNQRFSPNKSEDEVILVHSQEDEDSKGLYQTMFLKSSEDGTERDLEFFKKLDFEFNKVNVFYNKMVKEVVDEAEELSKQMNVLIAFRIKVDKIEFANLHSNASSSSSSSIMHHVNDAQHGDSHVHMDVIQEVEMSNESQLKDEDRDYVVQTISKSSIQGFRPASLEILDHVKINMNTPETPVSTIKGLLISSKTDQAFNKKELKKADLLLSKALKEFYHKLRLLKKYSFLNLLAFSKIMKKYDKVSSRNASKDYLKMVDSSCVGSSDEVNRLMDRVERAFIKHFVNGNHRKGMNTLRPTTKRERHRTTFLLGLFSGCAIALVVALSILIQARHILYSEGRSKYMDNIFPLYSLFGYIVLHMIMYSANVYLWRRFKINYAFIFGFKEGTELGYRQVFLLSSGLAVLSLAAVLSNLDMEMDQRTKTFSVYTELVPLGLIMAVLVITFCPFNIIYKSSRFFLIRCAFHSICAPLYKVTFPDNFLADQLTSQVQAYRSFEFYVCYYFWGDFTTRSNKCSGSEIYKTFYLVVAIIPFWIRFLQCLRRLLEEGNTMHGLNGLKYVSTIVAVAMRTTSSEFRHGTVWKILAASSSGIATIVNTYWDIVMDWGLLERNSRNPWLRDKLSVPYKSVYFVAMVLNVILRLAWMQSVLGIKDAPFLHKTALTAIVASLEILRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYQDDDDEEDSADT >CAK8533186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588970376:588970846:-1 gene:gene-LATHSAT_LOCUS2833 transcript:rna-LATHSAT_LOCUS2833 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGYKLLQPDSTRPGFEFSAGFISSPPSDVVFCGKVISRKTEASQKTQMEGESTSDRINVFVAGLRSPSGRGNLWWRSNSDRKSYTGIFGTVKFPLQMELSDMKTRQERREPMPLPKFTTKDDGGESYWELVRPIRRRGSIMRTLMSSFSCISIA >CAK8563043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569013283:569016864:-1 gene:gene-LATHSAT_LOCUS16491 transcript:rna-LATHSAT_LOCUS16491 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSSPTEFKSIFFTDPLKSNLFAPKFPGGVSLKRRDDGRASGGRRVFCSVQSSSPPPAWPGRAVPELGYKTWEGQKPISIVGSTGSIGTQTLDIVAENPDKFKVVALAAGSNITLLVDQVKRFKPQLVAVRNESLIAELEEALKDVEQKPEIIPGEQGIIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLARKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDDIDIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVYCSEVTWPRLDLSKIGSLTFKAPDNIKYPSMNLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCEKHQAELLTSPSLEEIIHYDLWAREYAASLQSSSRFTPIPV >CAK8570503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52723001:52724119:-1 gene:gene-LATHSAT_LOCUS23246 transcript:rna-LATHSAT_LOCUS23246 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSFSISQPTAANAMRIPATMSTNSLFSCSLRLFTTKFPLSLINKNNSTFFIKPTNTLFSFKPITFQRFPTKPSPPSSSSSPPPSTSLQPIEELPPKLQEIVNLFQSVQEPKAKYEQLLFYGKTLKPLEPQFKTKENKVEGCVSQVWVRAYMDSDKNVVYEADSDSVLTKGLAALLVQGFSGRPVNEIIRVTPDFVMLLGLQQSLTPSRNNGFLNMLKLMQKKALLLYVEAEKGTSEFNSIGNSDFKDDSFVENSSGPSVTPSLGVDFSSKVDENVELEGRGKRIKEKLEKELHPIELEVEDVSYQHAGHAGVRGSNGETHFNVKVVSEEFQGKSLVKRHRLIYNLLQDELESGLHALSIVAKTPSEVGEG >CAK8578287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617618444:617620174:-1 gene:gene-LATHSAT_LOCUS30312 transcript:rna-LATHSAT_LOCUS30312 gene_biotype:protein_coding transcript_biotype:protein_coding MACDNQESTPSSFNLSNSSSDPRATHARVLKSTVTDIAAFNNLITQYFKCNLSSYALSLFNRIPSPNVVTWTALITAHSNTLLSLHHFLSMLRHSTLPNHRTVASLFATCASLSSISFGLSLHSLSLKLALSHHPFPASSLLTFYSKCRLPSDALKVFDETPHRDNVSYSAIIVALAQNFRFSDAIFHFADMRCQGFDSTVHSVSGALRAAAQLAALEQCRIIHAHAVVAGLDLNVVVGTSLVDGYGKTGLVIDARQVFEDNIYCMNVVGWNAMLAGYAQQGDCQSTLELFHSMKTYGFVPDEYSFLAILTSLYNAGMFMQIDVWLNRMKVDYGLEPTLEHYTCLVGGMARTGQLERAERIALTIPFEPDAAVWRALLSACAYHGAVDKARVMARKVLELEPHDDSAFVIVANMLSAAGRWDDVAELRKMMKDRRVKKIGGRSWIEVQGKVHVFVAGDWKHERSVEIYQKLEELMGDIEKLGYIPVWDELLHNVGEEKSKEALWYHSEKLAVAFGVLCGSIPPGKALRIVKNLRICKDCHEAFKYMTRVLEREIIVRDVNRYHRFVNGDCTCRDIW >CAK8544769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704967134:704967935:-1 gene:gene-LATHSAT_LOCUS13423 transcript:rna-LATHSAT_LOCUS13423 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFIVRKHLDFHPVMEPRLQGENLDNNKIFYSQMEKLGEKHGCACSQLALAWILRQGDDVVPIPGTTKIKNLESNMGSFKVKLNKDDLKEIEDDVPISEVAGSRAFIQCSWKFANTPPKAYQRHDLMPVMHLNVLRF >CAK8544770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704967134:704967890:-1 gene:gene-LATHSAT_LOCUS13423 transcript:rna-LATHSAT_LOCUS13423-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLQGENLDNNKIFYSQMEKLGEKHGCACSQLALAWILRQGDDVVPIPGTTKIKNLESNMGSFKVKLNKDDLKEIEDDVPISEVAGSRAFIQCSWKFANTPPKAYQRHDLMPVMHLNVLRF >CAK8540995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40170362:40170919:-1 gene:gene-LATHSAT_LOCUS9949 transcript:rna-LATHSAT_LOCUS9949 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREVDSITMANCLMLLSRGSDQFEATYSSTITSNDRVFECKTCNRQFSSFQALGGHRASHKKPRLMGENIDGELLHTPPKPKSHECSICGLEFAIGQALGGHMRRHRASNLKGDNYMHNSNNTMSSSSGGGGGNSSFDSSQKMKGRKRVLLLDLDLNLTPFENDLEFLKIEKTTANLIDYLH >CAK8533312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602304721:602310881:-1 gene:gene-LATHSAT_LOCUS2952 transcript:rna-LATHSAT_LOCUS2952 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSLPCCTGSFLTPSRLQIRYFRVYRGLRTSSFVLPSMKFNQCYNFQHEFVWNKKLECFGRKNRIPVSRFRHCCCKSQQNDVHNKIEPLVSRSRGDRKSGYSKEESKRLKKRFSLRLRPRLRLLVMRMRRASVESVLNEVGMFVRKNVKPVAFYTSFSIVFTLCFLFLNFTSIPPAKIVPYSDLIASLQNGRVEKVLVEEGSRRIYYNMMSDVEVVEDDKVAGEESQQAVDVTMDKDINEVVSEDASSSSQISRLNKLKKFSKRQGSIPEWQYSTRKIDHDEKFLMSLMREKRVTFSSAPQSVLMSMRSTLITVITLWIPLIPLMWILYRQLSAANSPAKKRKPNSQTVGFEDVQGVDSAKVELMEVVSCLQGDINYLKVGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFSTARKFAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESEMRVVVIAATNRPEALDPALCRPGRFSRKVFVGEPDEEGRRKILAVHLKGVPLDEDANIICQLIATLTAGLVGADLANIVNESALLAARRGSETVAREDIMEAIERAKFGINDKQLRASKISKELGKLFPWMPSLMGRSDRKQDDIQGPLGYQSLSS >CAK8530654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38179569:38181056:-1 gene:gene-LATHSAT_LOCUS502 transcript:rna-LATHSAT_LOCUS502 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPSIIQFNKILGFLARTKNHYTTVISLSNQLEFDGITSNIATLNILINCYSHLGQINFAFSMLGKILKMGYQPTTVTLNTLVNGMCLNGKVSEALHFHDYVVARGFHFDQVGYASLINGLCKMGETRAAVQMLRKIEGKLVRLDEVMYSTIIDSLCKDKCVNDAYDLYFEMIAKRISPNVVTFNSLIYGFCIVGQLKQASSLLNEMVLKNIYPDVYTFTILVDALCKEGNVKEAKNLLVVMMKEGVIPNVVVYNSFMDGYCLVKEVNKAEHVLRTISRMGVTPNAWSYSIMINGFCKMKMVNEALSLFNEMCCKGIAPDTVTYSSLIDGLCKSGRISHAWKLVDEMHHNGQPANIFTYNSLINALFKNHLVDKAIALVKKIKDLGIVPNMFTYNILIDGLCKGGQLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDSGIIPNAVTYETIIRALFHKDKNEKAEKLVREMIARGLL >CAK8566050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374009351:374014226:-1 gene:gene-LATHSAT_LOCUS19218 transcript:rna-LATHSAT_LOCUS19218 gene_biotype:protein_coding transcript_biotype:protein_coding MKISMLKMLVDSISSFLHLSFSGNMNSEPVSKYHQKAEEILKLLKPIIDAFANSEPASDEVLSKTFEELGHAVDELKEHVENWHLLSSKIFFVMQVEPLISRIRTSGLKVLQQLRVSDECPNDELSSEHLENCVQKLKLLELEETSSIIKEAITDQLDGAGPSSEILEKIADRLGLNSNEEVLIEAVALEKLKENAEQTEKTAEAEYIDQIIAVVTRMHEGLVMLKQTQSCTPVPVPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIDLGLTVCPKTHQSLSHTNLIPNYTVKALIANWCESNNVKLVDPTKSTVLNQASVLHGYMESGATRESPIFPHSRSNLPSSPESARSRSFNSPGNNIPSSGGIQREGTSPLHPRSISEGSLSGIINGQYMDAARTSPTGLDDRSASSDESSIDSIGHPSISPSRRESSGAFSSELAQNHVRAVSDSGAFSDANFQETQGDDSNASQLSTSPGYSRDTSGELNPGSDTASAAPMPSMHREPEFPPRLMETRSRSQAIWRRPSERLVPRIISSSAVESRVDLSGIETQVRGLVEGLRSTDLDTQREATSEIRLLAKHNMDNRIAIANCGAINILVDLLQSTDTRIQENAVTALLNLSINDNNKTAIANAGAIEPLIHVLENGSPEAKENSAATLFSLSVIEENKVNIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKNRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRIAIGQEGGIPVLVEVVELGSARGKENAAAALLHLCLHSNRFLSMVLQHGAVPPLVALSQSGTPRAKEKAQALLNQFRSQRHGNSGRG >CAK8574729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7624348:7624785:1 gene:gene-LATHSAT_LOCUS27046 transcript:rna-LATHSAT_LOCUS27046 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKHIGSLNHLVLPIFSTPYTMNLANCNCLSSRNNSIWSSYEKIGNDPIVCVNEFMTKMNITRLKRLWRKIKREKNRRIFRSSLPVFLYDPSSYLQNFDDGYSTDPDNVSRSFSARFAAPSKIFVKSIIQVMDDEDISEITDES >CAK8574730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7624414:7624785:1 gene:gene-LATHSAT_LOCUS27046 transcript:rna-LATHSAT_LOCUS27046-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLANCNCLSSRNNSIWSSYEKIGNDPIVCVNEFMTKMNITRLKRLWRKIKREKNRRIFRSSLPVFLYDPSSYLQNFDDGYSTDPDNVSRSFSARFAAPSKIFVKSIIQVMDDEDISEITDES >CAK8566480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428277470:428279878:1 gene:gene-LATHSAT_LOCUS19607 transcript:rna-LATHSAT_LOCUS19607 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPPSSTVITYLITVTALIIFCFSPFSHALGSGTTLSVTDSPATVCGIISGQSTQSIQCYRQGQVSAFPIAPNVSFSSISGGKSYFCGLRSGNYSLHCWDSSSSFQSKRLYFNDSVLLENLAVGDSQVCATVVGVGTVRCWRTSDRFEPPSGSDQFGSISSGSNFSCGILKNGLQVRCWGEKTVAEGLQNEFRNMSMLSIVAGGSHVCGLNSTGFLVCKGSNSSGQIDVPRGGAFEYGELALGDEHGCAIRRLNGFVVCWGGKGQFSANFVESVSFELIVSASNFTCGLTTTNFSVICWGPGWSNGSNGSESVLPLSRILPGPCVQSSCSECGIYPDSQSLCSSTSHHICKANICNTQITNPPPPPVQPPPPVSPPRSSRSKTLTRGLLAFAIVGSVGSFIGICSIVYCLWKGVCFGKKKVHNSVQPTVTRGSSNSSNTSSSSIKSVIMRQGSRIMRRQRSGPSTTKHQERAEEFTLSELIAATDGFSFQNKIGAGSFGIVYRGKLTDGSEVAIKRGETGSKVKKFQEKESAFESELAFLSRLHHKHLVRLVGYCEEKDERLLVYEYMKNGSLHSHLHDKNNVEKSSSLLNSWKMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILLDSTWTARVSDFGLSLMSPEADIDYKPTKTVGTVGYIDPEYYGLNLLTAKSDVYGLGVVLLELLTGKRAIFRNGEDGGNPLSLVDFAVPAILAGELAKILDSRVGSPRESNESEAVELMAYTAVHCVNLEGKDRPTMADIVANLERALLICDGGSNNEIESISSVTISVVSD >CAK8569553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4936571:4940327:-1 gene:gene-LATHSAT_LOCUS22394 transcript:rna-LATHSAT_LOCUS22394 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDNHNHQAPPSAPAPPPQNLGLSRGPTWTPAEQLLQLHYCIHSNPSWPEALLLGFQHYIVMLGTTVLIATNLVPQMGGSHGDKARVIQTLLFMSGINTLLQTWFGSRLPVVMGGSLAFVLPVMSIINDYNDQTFSSEQERFTRTMRTIQGSLIVSSFINIFLGYSRAWGNLTRLFSPIIIVPIVSVVGLGLFTRGFPLVADCVQIGLPMLILLIITQQYLKRLHPQAHHILERFALLICIAIVWAFAAILTVAGAYNNSKSKTQTSCRTDRSYLLTSAPWIKVPYPFQWGTPIFKASHVFGMMGAALVSSAESTGTYFAAARLSGATPPPAHVFSRSIGLQGISMLIEGIFGSVVGTTASVENVGLLGLTHIGSRRVVQISCGFMIFFSIFGKFGAFFASIPLPIFAAIYCVLFGIVAATGISFIQFANNNSIRNIYVFGLTLFLGISIPQYFVMNTAPDGHGPVRTNGGWFNDILNTIFSSPPTVAIIVGTVVDNTLEAKQTGVDRGLPWWVPFQNRKGDVRNDEFYRYPLRLTEYIPSRFL >CAK8530548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29447446:29448951:1 gene:gene-LATHSAT_LOCUS406 transcript:rna-LATHSAT_LOCUS406 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSLAGKVTRFLSCRQQGSVESEESVCDVEFDFLDDGEVFMSSSSSDDQCHSLEMELDDDDHEDEDDEKDLSRSFWESQHQGLQTNVYRTSSLETKIRSATKEAIEEISASGTGVCGCNRKMMMGGTSCCKNCLMREVCRRLQNAGFNSAICKTKWKTSKDIPAGEHTFLDVIDNTNPKKGEVRVMIELNFQAEFEMARGSNEYNELIQKLPQVFVGKVERLSNLIKILCNAAKRCMKDKKMHMGPWRKHRYMQAKWLGPCERNTSTKPLPMGYSNSERIMTKQKSKASMLTMDLLDKVPTLHCAVVGVV >CAK8531750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:146830676:146841442:-1 gene:gene-LATHSAT_LOCUS1516 transcript:rna-LATHSAT_LOCUS1516 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKTIDLDQGWDFMLKGIKKLKNILEGLPEPQFTSDDYMMLYTTIYNMCTQKPPHDYSQPLYDKYKESFEEYIISTVLPSLREKHDEFMLRELVRRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYKEINGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDQYDNDFEAAMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSESKLLEKVQHELLSVYAYQLLEKEHSGCHSLLTDDKVEDLSRMFRLFSKIPRGLEPVSCIFKQHVTEEGTALVKLAEDAASNRKAEKRDIVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNNPNIDPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVRCVEVFKEFYQTKTKHRKLTWIYSLGTCNVSGKFEPKTMELVVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILTKEPSTKTISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLSYQQLVMECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKDNANLFKYLA >CAK8565670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:302391231:302392262:-1 gene:gene-LATHSAT_LOCUS18861 transcript:rna-LATHSAT_LOCUS18861 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIETFIKVWLTSTICLCYCYFISSKIPKGFLRFLSISPILYLFITLPLNLSSFHLGGPTIFFLVWLSSFKLILFSFNQPPLFPLPNTVFHFISIASLPIHPQQNPTTTLSPKKPGLPLVFFKVVILVAIICCYEYREHMHPHLILILYCCHMYLGIELVLALSAAPVRTVFGFEIEPQFNEPYLSTSLQDFWGRRWNLTVTRTLRPTVYNPVRHVVSSYVGTMYATSIATLATFVVSGLMHEVIYYYLARAYPTWEVTWFFVLHGVCTSVEVAVKKIVLRRGWRLHRAVSGLITVAFLAVTGNWLFFPQLIRNGVDRKGIREYEVIMDFVKDKLRAITFV >CAK8537327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:265832692:265844538:1 gene:gene-LATHSAT_LOCUS6624 transcript:rna-LATHSAT_LOCUS6624 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQVIVVVESTAAMGPYWDTLQMDYLDKIVRSISGTESTGQKPSVSNVEFALVTYNTHGCYSGILVQRTGWTRDPDVFLQWLSSIPFSGGGFNDAAIAEGLAEALMMFPQSGDSNQQNVDMHMHCILVAASNPYPLQTPVYVPQLQSVEQSESIDSDPGNQLYDAEAVAKAFPQFAISLSIICPKQLPKVKAIYNAGKRNNRAADPPVDAKMTHFLVLISEGFREARGALSRSGANLPSNQSPVKVDSSSTIPVTGAPPTTLPSVNGSITNRQPIPAGTVTPATVKVEPVPVTSMVTGPAFHHNSSVARPTSTGQGVPSLQTSSPSSVSQDIVTSNENAQDTKPIVSMLQPRPVNQAQANVNILNNLSQARQVMNSAALSGGTSMGLPSMGQTPVAIHMSNMISSGTTSSGPAGQNVFSSGPPVITSSGSLTASAQVGQNSGLASLPSGTSNLSSSPNNGISQPSTNLQGAVSMGQQVPGMNPGNLSGAQMAQGGVNMSQNVMNGLGQSGVSSGAGAMIPTPGMTQQVQSGMQPLANNAATANMPLSQQTASAQSKYIRVWEGSLSGQRQGQPVFITKLEGYRSSSASETLAANWPPVMQIVRLISQDHMNNKQYVGKADFLVFRAMNPHGFLGQLQDKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQLSGQQQQQMQQQQMQQQQHQQMQSQQQHLPQMQQQQLPHMQQQLQQQQQQLPQLQQQQQQQLSQHQQQQLPQLQQQQLSQLQQQQQQLPQLQQLQHQQLPQQQQQMVGNGMGQTYVQGPGRTQMVSQGQVSSQGATNIGGGNFMS >CAK8539608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518118605:518119054:1 gene:gene-LATHSAT_LOCUS8689 transcript:rna-LATHSAT_LOCUS8689 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKEDMWLWKGGGESDFSVCCCYDLLLEDRSFQALDDVMDCAMKILWNAKIPSRIQIFIWIVLWDRIATKHQLSKRGVIEVSSGLLCGGCDNSVETSTHLFFGCEFANNVWSEIENWLGIEVIRCSTNAAFFISFENSLKKVCRSGG >CAK8572890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569983017:569985980:1 gene:gene-LATHSAT_LOCUS25396 transcript:rna-LATHSAT_LOCUS25396-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQSLNSLFNSSSPPPQIPIPLHNHTQIQINNDDTFQQQDDFLKQMLSDLPPSSPWNNPKPLWDPNSDDNVTFPYDEQTNLSSKFRNHQITDKTAAALMLLIPSAADSGLLHIPADFDSSQNDVVNASSAGDGSVQALYNGFSGSLHGVANQAHHFQPPQVQSFGSGSVSATNQALVGGAPAQPRQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAAAVAPLVADMSSEVGVSDCVQGNGNGGVHPRNPKTSSSNESLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRNPLINAPNNINPITASNGDGPSSPGMSVNSTVKDAISASKS >CAK8572889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569983017:569985980:1 gene:gene-LATHSAT_LOCUS25396 transcript:rna-LATHSAT_LOCUS25396-3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQSLNSLFNSSSPPPQIPIPLHNHTQIQINNDDTFQQQDDFLKQMLSDLPPSSPWNNPKPLWDPNSDDNVTFPYDEQTNLSSKFRNHQITDKTAAALMLLIPSAADSGLLHIPADFDSSQNDVVNASSAGDGSVQALYNGFSGSLHGVANQAHHFQPPQVQSFGSGSVSATNQALVGGAPAQPRQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAAAVAPLVADMSSEGVSDCVQGNGNGGVHPRNPKTSSSNESLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRNPLINAPNNINPITASNGDGPSSPGMSVNSTVKDAISASKS >CAK8572888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569983017:569985980:1 gene:gene-LATHSAT_LOCUS25396 transcript:rna-LATHSAT_LOCUS25396 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQSLNSLFNSSSPPPQIPIPLHNHTQIQINNDDTFQQQDDFLKQMLSDLPPSSPWNNPKPLWDPNSDDNVTFPYDEQTNLSSKFRNHQITDKTAAALMLLIPSAADSGLLHIPADFDSSQNDVVNASSLLQAGDGSVQALYNGFSGSLHGVANQAHHFQPPQVQSFGSGSVSATNQALVGGAPAQPRQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAAAVAPLVADMSSEGVSDCVQGNGNGGVHPRNPKTSSSNESLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRNPLINAPNNINPITASNGDGPSSPGMSVNSTVKDAISASKS >CAK8573703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631236892:631237287:1 gene:gene-LATHSAT_LOCUS26112 transcript:rna-LATHSAT_LOCUS26112 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESAKCECCGLKEDCTQEYITDVKSKFNGKWLCGLCSEAVRDEVMIGGKKPWNMDEAVKAHMSFCRKFKSNPAVRVAEGMRQMLRRRSSNDSSSSSSSSSSTTSSSSSKKYSRSTSTSQVGDSSTFSFY >CAK8566566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436389299:436390648:1 gene:gene-LATHSAT_LOCUS19687 transcript:rna-LATHSAT_LOCUS19687 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPYRGRGRDYGRGGRGSNNMLPQPESNIPLIGDWTTVYKDRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLKILLTVTESVTITHHYQNNNPESFINFSKCHINKILLSREWGLNSNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWYDNSPLIVKNLSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEQSSQQMSMENLKNLFKKKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8567794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:545748397:545748943:1 gene:gene-LATHSAT_LOCUS20817 transcript:rna-LATHSAT_LOCUS20817 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLTKKEIQSAHVHVLINCIEVKPYLEEFNISYFHNISVQATSDHIHAHFSAWFKEKLSCIVTSTQEILHLRNLSEDLIQSANKWHTYFVNGYKFHTETWTEGKKTINSSVFVKGVTDGGEDNFYGFVKHIYKSVYNYLDSENKVVLFYCDC >CAK8573527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617957813:617958079:-1 gene:gene-LATHSAT_LOCUS25964 transcript:rna-LATHSAT_LOCUS25964 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLLPKSCLKEIQRLQINFIWGDSHSGRKVHVVKWKTITMPKENGGLRLRDLSAMNHACILKLAWQLIIGAEDLWCILLRGLYKDV >CAK8565108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38791268:38792161:1 gene:gene-LATHSAT_LOCUS18345 transcript:rna-LATHSAT_LOCUS18345 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGPHLKLKSGQVITVQMDSVIIRSANPYLATPESTIHSHYGEILSQGDILVTFLYEKSRSSDITQGLPKVEQIFEIRLIASISMNLKKRIDAWNECITKILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSHGVHIDNRHIKIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERMGCALEEAICYRALLLGVTKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVFGGMIPVGTGFKRIMHRSRSRQHNKISRKKKLFEVKIRNLLFHHRKLFNFSHFREFM >CAK8566061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:375136629:375137224:-1 gene:gene-LATHSAT_LOCUS19228 transcript:rna-LATHSAT_LOCUS19228 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFTNIELQINAVTGGSKPVGSNSHGVEGRTTKANYRKSIRGGSNLGLAKQPEVAIDSSPPSPAALRVSLSLRLQLLLRFLSILCIEREPFVRNMKHFLAPVILRVLGSRVVHEDAYISMNAMLSKKDS >CAK8565693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307951126:307953354:1 gene:gene-LATHSAT_LOCUS18883 transcript:rna-LATHSAT_LOCUS18883 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRPPKKSVPPPPLSPVVSTPVSQSVLRSHNVSKNLSTEENVGIKYLGETSDAPEDGSIAKKSNEIELEKPRSTPTPEEGRKLWADVLKDNRNPEKGRAMKFIPPQVVEGKIEVQIEEDDVSSEIKFWESSLILYAMGADLSMNAVKNFMTRTWNFVQLPDMYFNDEGYFILRFKSFTDRDEVMLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQSIEYEWRPLFCNKCQKVGHCCEKPKMRKQWIPRNVKQPEANEMSNKSGDANKEPFEIGNVNLDIVQSRKENDGSIATEVTSIRNNDVEKVMEKWTEVIKSGRDRGKKLGNVEAAGVFSHDNGFDALEILKDLVVAQNTGQMIVSWNIRGLNKMGKLKKTSSRLLNLHPNIAILLETRVKCEKAKKVREHLNLRGKYIDNYQSHYNGRIWIFWDDRYKDIRMVRCTDQMIHCGIYDVNGNFQHWMTAIYAMNQLDQKRKLWEDLEHIHNNQQGPWFLMGDFNNVTKSMDRIGGNLVTESEYVDLRNLMDNAGLFEKDNTGDYFTWTNKHSVGTIYSKIDHVLGNIDWLQENTNMKLEILPPSISDHCLLCLSDHKRNRSVHTNFKFTNSVIKLDGYQDIVKQSWYKRCMGRPMARLWYKLLRLQAPLIKLSKQFSHIHMSIIKARTELLKA >CAK8569443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1158896:1160266:-1 gene:gene-LATHSAT_LOCUS22292 transcript:rna-LATHSAT_LOCUS22292 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGPGLFSDIGKLSRDILTKDYNSDQRFTISSSTNSGIDLNSTLLKSRGLSYGDVAAQINHKNNTLHFKVDTESSVLTTFTLTDFVTSAKAIASIRLPDYNSGKIEIQYLHDHAGFTTSVDLNRNPAIDFSATIGTPGIAFGAETSYSTSVGKFTKYNAGLCLKMPSSHASAILADKGDSMKVSYLRDLEKLNGGAVVGEMSRKFSTNENTLTVGCSYVVDPRTTVKTKLNNHGNLGALLRHELTHRSFLTISGAFETKALENSPKFGFSLLLKP >CAK8532273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:235406767:235407485:-1 gene:gene-LATHSAT_LOCUS2000 transcript:rna-LATHSAT_LOCUS2000 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYEAYLGGPVQYRWMYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRIQFTLSIFGLPGRPSRKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTGEQVTIGHIHASFPAWFKDQLSCIVAPTQEILHLRNLSRGLIQRATEWHTYFVNDYKFHTQTWTEGGKNHK >CAK8575020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22399720:22403479:-1 gene:gene-LATHSAT_LOCUS27313 transcript:rna-LATHSAT_LOCUS27313 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAKQQQQRFAFSFPVTVVVAAIAYIYFSTVFVFIDRWLGLFSSPGIANAAVFTAIAIMSLFSYRAAISTDPGRVPATYTPDIEDNTTPLHEIKRKGGDLRFCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHANYKIFFIFVTHAAIACIYSMVLLVGSLAYDGLPDDENNGGSYRTVYVVSMILLAPLSISLCVLLGWHIYLILHNKTTIEYYEGVRALWLQEKGGSIYKHPYDLGPYENLTTVLGPNILSWLWPTANHIGSGLRFRTVYDVTKGASTSK >CAK8531753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147291777:147292106:1 gene:gene-LATHSAT_LOCUS1519 transcript:rna-LATHSAT_LOCUS1519 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGPAIGIDLGTTYSYVVIWKDKIKGAETIHNDHGNNATPSFVTFTNDQRFIGQAAKDQAASNPANIVFDAKRLIGRK >CAK8561754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:374532288:374533262:-1 gene:gene-LATHSAT_LOCUS15315 transcript:rna-LATHSAT_LOCUS15315 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSNRHNENMGVSRIGKTIKKNSSQQTIEFSGRHHYPQTKIHHIHRDDFKSFVMRTTGRESKGPTRTQSEFTRLQQNRPPPLANVRPIVQFPMHPPPPRVPYINGLAVPPLQPISGPSIYDNSWNNFVESPISAFMRKFQESENYYGGVGGASRGNQFQPYPPPPPQQEMINNVNVNVQFQPQYFPIQTQMVNNGNLSSASASNQTFPNLNSSVSMNIASNHPFPMNNANQFLNNFPPSQANYSMWPTSENLLASPTQKVNVLSPQSPYRPLLSPSIFSSPSSPDYPFEPYLPNEILSPDPPSPLSAGVFPFTSPRGPDDHE >CAK8533579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639024002:639025546:1 gene:gene-LATHSAT_LOCUS3198 transcript:rna-LATHSAT_LOCUS3198 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAEVWSNLGSIMASIMFVYAMFDKFFPPHLRRYFLKHTNKFTSLMYPYIHITFHELSGDHLKRSKTYTTIQTYLSANSTQRVRRLKAEVIEDSQNPLVLSMEDNQEITDEFNGIKVWWSANHTTSKTQSFSFYPTSDEKRFLTLTFHKRNRDVITTSYIQHVLEEGKAITIKNRQLKLYTNNPSKDWMRYMSAKWSHTTFEHPARFETLAMEPEKKEEIINDLLKFKEGKEYYTKVGKAWKRGYLLFGPPGTGKSTMISAIANFMNYDVYDLELTIVKDNNELKRLLIETSSKSIIVIEDIDCSLDLTGQRKMKKEIDDEENEEKKKLMSKAKGEEKNESKLTLSGLLNFIDGIWSACGGERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCSYEAFKVLARNYLDVESHDELFPVIGNLLGETNMTPADVAENLMPKSITEDFETCLKKLIQSLEEAKKKLEEEEAKKKDEEEEAKKKEEKDKQEFAQEEENVKDDENSKENVKENGFNH >CAK8563697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625518020:625521860:1 gene:gene-LATHSAT_LOCUS17079 transcript:rna-LATHSAT_LOCUS17079 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITTITGKRPYRWLSVNHNRSSPSSSEFKRQKVSTMSHNKPSPLPTTATNASVVSRISRYPETKPPLRREVHAPCSRPRKFDLSNNFKLESSNSVVSGIGISNALFENYQRTKSPALATIPLQGKEKDNHKEVVSEDSNHEDVQEVHAEPVDTEVEAVNGGIQKQSTSVSELSNDKLNVVDDAANILDSLSLDPENDVSSVSAYKKLLEAVDKRTDTLGRLRFEIQLNEKRRSGFDLLRPKKELVEEVPPEPFVPLTKEEEVEVARAFSANRKKILVAHENSNIEISGEKFQCLRPSGWLNDEVINLYLELLKERERREPQKFLNCHFFNTFFYKKLISGKNCYDYKSVKRWTTRKKLGYGLHECDKIFVPIHQKIHWCLAVINKKEKKFQYLDSLKGIDTQVLEVLARYFVDEVKDKTGEDIDISNWEKEFVEELPEQQNGSDCGVFMVKYADFYSRNLRLCFNQEHMPYFRLRTGKEILRLKAE >CAK8543754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636542584:636544338:1 gene:gene-LATHSAT_LOCUS12493 transcript:rna-LATHSAT_LOCUS12493 gene_biotype:protein_coding transcript_biotype:protein_coding MECCNQKKFDRMKPFIAVVFLQFGYAGMDVLSKAALNKGMSNYVLVVYRHVAAFAVIAPFALILEKKVRPKMTFSIFMKIVALSTLEPVIDQNLYFLGMKYTTATFATAMYNILPAITFVMAFILKLEKIKIKSVHSQAKVAGTLATVAGAMVMTLLRGPILNIFGTHGNSVEIQHNSGANLQHAIKGSIMVTIGCFSCACFMILQTITLETYPADLSLTAWICLIGTIEGGIVALIMERNDYSVWSLNWDTKLLAAVYSGIVCSGMAYYIQGVVMRYRGPVFCTSFSPLSMVIVAIMSSILLAEKIFLGRVIGAVVIIIGLYLVVWGKSKDYNTPSSIIKDEALPVKESKVSNEKEESPNHEVITSSNIVVIDRDEQV >CAK8571137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:311327616:311329161:-1 gene:gene-LATHSAT_LOCUS23828 transcript:rna-LATHSAT_LOCUS23828 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTTLQKKLAELQEPKQTHQNSRPKIQKVAEYLRNREHFEKQYSPKFVSIGPIHHDSPNLKLGENYKLTWAAKYIQTTQQDPEFLHKKIADNIFELKSRFADDVLALANIAESLKDFRGLEEKLAWLLFADGCSLLYILINVKLYQLDKPKDRITNLGQIDGVNINVDDDPEHLNMKVDQLVLVMMDVLLLENQLPYKVLKLLWKNENDKELIDTMKNFLKNYHWATQDKKTTWSRPGIFSNTGQIKKTKAEDDLPNDIIETPTHLLDLQRKMILLTKSNSKTEANKEANYEMKQSGKYSEEMMTYRNIQDLTAVGIKLKSSRTRSPTDVDFSEDWFAAKLTLPEIVVDDNTAASFLNLIAYEMCPDFKNDYGICSFAVFMDSLIDHPEDVKKLRSKGILVNSLGSDEEVANLFNIISTDLVLNPETYLKVKAKIHEHYRNKCNIWITEGCNTYFSNPWAITGFIAAVIALVLTFVQTWFSIFPSHN >CAK8541842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413873337:413880339:1 gene:gene-LATHSAT_LOCUS10735 transcript:rna-LATHSAT_LOCUS10735 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKTSASDGSAGVKQSPVETVTVACPDHLVLADLPVAKGIGTPSTVSLVRNVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCDHAFCLDCARSDSLCYLCDERIQKIQTVKVMEGILICAAPHCLKSFFKKAEFESHIHENHANLVRSNADKEDGNESEAQSVRQPAASDSTARGPQRQVFSPGSNSQPHDLEDRTRRQPARDQAPSRPTMQQKPPFFGQQQHHPSDTMSGSIGGMQQGFHQQNFDMPQQHPPQEPSQFTDRPQSVGPDNSFPEYPTMHPGQSANIPPHSNPMLNPPLPFGYPSYPQDRAQPFYAAPYDMPRQDSASDMSGDPNSLMVFPQGGPNFQGNYSQQWNAAGVPFEQAQGGGMIVDPRDTKGLLAPPQPMHLPPPPPPPAHMLKQSNYSVEHGQDGQGYGWQHDSRDSFSGQG >CAK8563129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576082942:576085187:-1 gene:gene-LATHSAT_LOCUS16569 transcript:rna-LATHSAT_LOCUS16569-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGESVDNEEESLLKNENSGGDHDTKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENIAATNIINIFNGSTNFATLIGAFSSDAYFGRYKTLAFCTMASFLGLLVIQLTAAVEKLHPHPCEGLEFSTCHGPTEWQMTVLKTGLGFLMIGAAGIRPCNLAFGADQFNPNTDSGKKGINSFFTWYYFTFTLAQLISLTIIVYIQSNVSWAIGLGIPAALMLLSSVIFFSGAKMYVKVKPSGSPITSIVQVIVVAAKKRKQKLPEYLYPSLFNYVPPKSMNSKLSYTYQFRFLDKAAIMTPQDQINPNGSASDPWNLCSMQQVEEVKCLLRVLPIWASAVLYYVVIVQQHTILVFQALLSDRRIGQSKFMIPGASYYVFLMISVAIWLPIYDRKVVPFLQRITRKEGGITLLQRMGIGIFLGILSMLVSAIVEKHRRTLALTKPLDVDSRKGAISSMSGLWLIPQLALAGLAEAFMSVAQIEFYYKQFPENMRSIAGSLYYAAHAGSSYLSSMLIAVTHHITTRSESGSWLPEDLNKGRLDNFYLIIAAIQIANLGYFVICAQWYRYKETDNSSIELDKVTKQSERSGNDSSL >CAK8563128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576082942:576085220:-1 gene:gene-LATHSAT_LOCUS16569 transcript:rna-LATHSAT_LOCUS16569 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKQIAIGEQMTNGESVDNEEESLLKNENSGGDHDTKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENIAATNIINIFNGSTNFATLIGAFSSDAYFGRYKTLAFCTMASFLGLLVIQLTAAVEKLHPHPCEGLEFSTCHGPTEWQMTVLKTGLGFLMIGAAGIRPCNLAFGADQFNPNTDSGKKGINSFFTWYYFTFTLAQLISLTIIVYIQSNVSWAIGLGIPAALMLLSSVIFFSGAKMYVKVKPSGSPITSIVQVIVVAAKKRKQKLPEYLYPSLFNYVPPKSMNSKLSYTYQFRFLDKAAIMTPQDQINPNGSASDPWNLCSMQQVEEVKCLLRVLPIWASAVLYYVVIVQQHTILVFQALLSDRRIGQSKFMIPGASYYVFLMISVAIWLPIYDRKVVPFLQRITRKEGGITLLQRMGIGIFLGILSMLVSAIVEKHRRTLALTKPLDVDSRKGAISSMSGLWLIPQLALAGLAEAFMSVAQIEFYYKQFPENMRSIAGSLYYAAHAGSSYLSSMLIAVTHHITTRSESGSWLPEDLNKGRLDNFYLIIAAIQIANLGYFVICAQWYRYKETDNSSIELDKVTKQSERSGNDSSL >CAK8541107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67292329:67296094:1 gene:gene-LATHSAT_LOCUS10058 transcript:rna-LATHSAT_LOCUS10058 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSMNLVESTTRNNKMEEVEREEQEQEPEMDPESNNPLHQTLIKRNRTLSSNPLALVGEKVSYIESLDYEINENDLFKHGWRSRSRVEALQYIFLKWLLAFLVGFLTGIIATLINLAVENIAGYKFLAVLKYINGERYLTGFLYFAGVNFVLTFVAAILCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDCDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFCTAVVVVVLRAFIEICNSGKCGLFGSGGLIMFDVSNVTVRYHVMDILPVVVIGIIGGLLGSLYNHLLHKVLRLYNLINQKGKIHKVLLSLAVVLFTSACQYGLPFLAKCTPCDSSFRDSESVCPTNGRSGNFKQFNCPPGHYNDLATLLLTTNDDAVRNIFSTNTPHEYQPSSLIIFFVLYCILGLITFGIAVPTGLFLPIILMGSAYGRLLGMFMGPHTKIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTSNLLLLPITMIVLLIAKTVGDCFNPSIYEIILHLKGLPFMDANPESWMRNLTVGELVDVKPAVISLQGVEKVAKIVDVLKNTTHNGFPVMDDGVVPPTGQANGATELHGLILRAHLIQALKKKFFLKERRRTEEWEVREKFTWIELAEREGKIEEVAITREEMEMFVDLHPLTNTTPFTVLESISVAKAMILFRQVGLRHLLVVPKYQASGVSPVVGILTRQDLLAYNILTVFPHLAKSKSRQKRN >CAK8568143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576504519:576505808:-1 gene:gene-LATHSAT_LOCUS21134 transcript:rna-LATHSAT_LOCUS21134 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVVFPQDPFNYSYKDNYFNLLSNDYGNCSTLQAEEQENVLLGIINNNNNNVVEQQNLHAKWDSCSVKDQWEYTHSSSPEICTVDQTIKAPPSTTTEAATATETATCRRKRRRIKSAKNKEEIENQRMTHITVERNRRKQMNEYLNVLRSLMPSSYVQRGDQASIIGGAINFVKELEQLLQSMGGQKKTKENISLNGPPFSEFFTFPQYTTHSNNNNNLTMEQKQCAVADIEVTMVDSHANIKILSKKQTGQFMKIVLGLQNLRLTILHLNVTTLDPMVLYSVSVKVEEGSQLNSVDEIAAAVNQLLRTVQQELAYQ >CAK8538974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500666967:500670634:1 gene:gene-LATHSAT_LOCUS8117 transcript:rna-LATHSAT_LOCUS8117 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSSDSSSDDNIDNTNLSNPLLVSESHYPKRTGTVWTAVAHIVTGVIGSGVLSLPWSIAQLGWIVGPISILFIASSTLFSAFLLCNTYRSPNPEYGPHRSASYLDVVNFNLGVGNGRLCGLLVNICIYGFGIAFVITSSISLRAIQNSISHHDKENEPTSEFADAFYMLLFGILQILLSQIPNLHDINWLSVVAAITSFAYCFIGMGLAIMQIVENGYAKGSIDGISTSSGMEKLWLISQALGDVSFSYPFSTIMMEIQDTLKTPPPENQTMKKASTISVSITTFFYLCCGCAGYAAFGDNTPGNLLTGFGSSRFQWLVNFTNACIVIHLIGSYQVYCQPLFGNAESWLRFRFSESEFVNHTYTLKLPLLPAFELSFLSLSFRTAYVTSTVVIAMIFPYFNQILGVLGSISFWPLTVYFPVEIYLSRSNTDSWTAKWIMLQTFNIFGLVFGLFTLVGCIRGIVTERLG >CAK8569714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9128744:9132374:-1 gene:gene-LATHSAT_LOCUS22534 transcript:rna-LATHSAT_LOCUS22534 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSTITGFLGFGIGTSIGLFIGYYIFIYFQSIHVKEPTFSPLVEQDLKTVQQLLPEIPLWIKNPDYDRLDWLNKFVEYMWPYLNKAICKTARTIAKPIIDEQIPKYKIDSVEFEELNLGSLPPTFQGMKVYSTDEKELIMELSMKWAGNPNIIVAVKAFGLRATVQVVDLQVFASPRITLRPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADAMSIPGLYRIVQEIIKDQVAKMYLWPKALEVQIMDPSKAMKKPVGILHVKVVRALKLRKKDILGASDPYVKLKLEDDKLGSKKTTVKYKNLNPEWNEEFKVVIKDPESQALMLTVFDWEKVGKHEKMGMNRIPLKDLTPNEPNELTLKLLKTIEPNDPENEKSRGELVVEVTYNPFKEDELSKNAEDTNAIEKAPEGTPATGGLLVITIHEAEDLEGKHHTNPFARLLFKGEEKKTKHVRKNRDPRWGETFEFTLDEPPTNEKIYVEVISASSKLGLLHPKETLGYVEINLSDVVSNNRINERYNLIDSKNGRIQIELQWRTP >CAK8534861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778713307:778713576:-1 gene:gene-LATHSAT_LOCUS4368 transcript:rna-LATHSAT_LOCUS4368 gene_biotype:protein_coding transcript_biotype:protein_coding MEATYAISAFIVLLITLAWAWSILNWIWLKPKKLEKLLREQGLKVKMQKEAASKPMNLSDDIVPHVFPFAKQSVAKHGILVLIYFYFSL >CAK8574843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11229990:11230730:-1 gene:gene-LATHSAT_LOCUS27143 transcript:rna-LATHSAT_LOCUS27143 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGDSNQREDVEVDHDDGDESLWVPVIGMCFSCLEEVKIYYQEYALKKGFGWRIRSLKKGDDEELHYLILSCSREGANISKISCTLKTLPSRAKNCPDKICIKLKQDGLWYITQFEENHSHETSPTKARLFKANKKMNLHVRRTIQINDDAGVRINKTFQSLVKDAGGHENIPFCEKDLRNYINKERRAIGKEGDGKTLISYFCKMREHNTNFFYDIDLDDDFHVRNVFWADARFFHNF >CAK8531186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87549874:87550215:-1 gene:gene-LATHSAT_LOCUS994 transcript:rna-LATHSAT_LOCUS994 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSVLNGIVSELETIGVKIDNEYKALRLIWSLPSSYEHIKPVLIYGKDHLSFEEIASKIIYEEIRLKSEENTSSNSMLAARGRSYVKKIVKQVRDVGNVEILDI >CAK8532168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214431853:214432302:1 gene:gene-LATHSAT_LOCUS1899 transcript:rna-LATHSAT_LOCUS1899 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSSAAKRHYDITMSRRTRKQNEKPISKDVSTTKDVVSHETTLHENNNDHKSLKQLIGGEAKETRMKSSSSSDEGDNSKGRNSLGEHFSEEEKQLQLVRMQQKDNIQGLKFKKLVRRYAKVLGHLIKAKRDPHLGDAGKKPVFKLSG >CAK8573665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627807145:627807456:1 gene:gene-LATHSAT_LOCUS26080 transcript:rna-LATHSAT_LOCUS26080 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGKGIKGLGKGGAKRHKMILRDNIWGITKPAIRRLARRGGVKRIIGLIYEETMLFLKVFLTKSIHAAASYINHARRKIVTAMDVMYTLKRQGRTLYGFRG >CAK8538115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464390658:464392459:-1 gene:gene-LATHSAT_LOCUS7350 transcript:rna-LATHSAT_LOCUS7350 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLRKFEKAALYKSNSWSIGDEVKNKTKNNNTNTNRILISITIVGSSGPLTIVVNEDDVVCDVIDKALKFYARQGRLPVLKSDASDYVLHCSNDVSDALGSNERIGIFRTRKFVLSKNQASSTKTEETGSKGRNGKWKLFSFKKFCLALHCANIGGGADRD >CAK8571622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:450818201:450818512:-1 gene:gene-LATHSAT_LOCUS24264 transcript:rna-LATHSAT_LOCUS24264 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGSWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQTMERYSATKSFGLLMTPMCTG >CAK8575592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:190228910:190229983:1 gene:gene-LATHSAT_LOCUS27843 transcript:rna-LATHSAT_LOCUS27843 gene_biotype:protein_coding transcript_biotype:protein_coding MESNMLNLMKVYPLVFISLSYCYWIRSFVSPGTKRLFYHLPIICLNLFIPLTFTSVHLSGNLGFFLAWLANFKLLLLAFNKGPLSSDPSISLPRFVALACLPIKIQQNRKPNKPHDRIGQTLIPDLSIASKSSNITFLQYAIKFLLLAVLVKLYDYSDHIHPKIILGMYCFHIYFLLEIILAIVATFARNILGLELEPQFNNPIISTSLQDFWGKRWNLMVTSILRPTVYEPTLKAAKIVVGSKWAPLPAVFGTFVVSGLMHELILFYMGRLEPTFRMFGFFVLHGVCLMVEIALKKVLTDRWRLPRLVSGPLTVGFVFATCFWLFLPEFIRCQIDVMAFEEYAALGVFVKNLTSAS >CAK8578090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605896181:605896705:1 gene:gene-LATHSAT_LOCUS30131 transcript:rna-LATHSAT_LOCUS30131 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYWYYRSWMHDRLYRGRRELKLNFGEGVKRFITWAFSQECCQREGGVRSPCLKCECIPIISDPEEVERHLKTRGFIENYWVWTYNGEELPSSVPETSNIYASSSRSPMEHGEKFNLIGEKVGDAFGVNVTYDELEDFDGKELPNEEAQRFYQLLNEMNTSLFEGPSDSKLSM >CAK8566499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429182785:429183419:-1 gene:gene-LATHSAT_LOCUS19625 transcript:rna-LATHSAT_LOCUS19625 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGSRSSSIANSTGSEILRCGCNISMKMFVSNIQENPRRKFWRCKNYGKSEVACDLFVWDDEVDDLFMSSRNTEVFRGEEMECNNCKFTIGHLKGFAKEYGKEFGIDFSKEYGKEACPKKVEKLKKMVKIEKEICLQNMFNVMFFVYHVVFFVYNVMYHFGVMNEGDELHIKFGQFVFYKVWLKA >CAK8567158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491558735:491559425:1 gene:gene-LATHSAT_LOCUS20233 transcript:rna-LATHSAT_LOCUS20233 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIRAYMMDRYASNGMRFQDMTDVEVLPNIRRKIEKTSTHTNLWLVMMFDEHIFEVGHLENPADKFSVNLKEHLCSCIRWELTGLPCLHALSAMKSMNHKVDDYIPHYYRKSRYIEVYKHVIFLVNDSNMWVKTEYPDVQPPKYRKMPGRLKKTWNLKQWEIDGFDRKMRRTCFIIKCSRCKKPGHNKLTCKVTPASQQAPTQEF >CAK8567712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538440097:538442526:1 gene:gene-LATHSAT_LOCUS20737 transcript:rna-LATHSAT_LOCUS20737 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVLSSGIRYSKLPESYIRPESDRPCLSEVSEFQNVPIIDLGSQNRTQIVQQIGEACSSYGFFQVINHGVGLEALKRTTEVAYEFFNLPVEEKMKLYSDDPSKTMRLSTSFNVTKEEVHNWRDYLRLHCYPLEKYVSEWPSNPVSFKETVANYCKEVRELGFRIEEYISESLGLEKDYLRNVLGEQGQHMAVNYYPPCPQPELTYGLPGHTDPNALTILLQDLHVAGLQVLKDGKWLAVNPHPDAFVINIGDQLQALSNGLYKSVWHRAIVNVEKPRLSVASFLCPDNEALICPAKSLTENESGAIYRGFTYAEYYSKFWSRNLDQKHCLELFKNK >CAK8567536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523090379:523090870:1 gene:gene-LATHSAT_LOCUS20582 transcript:rna-LATHSAT_LOCUS20582 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFPFAKRWSSLGMNYDNCPHFSITQYKNLIDHLGQDDFIWRPYLGLENTKIFVSYQVEILTYDAMLFGVPRCVSPIVNRDMTSIVKFEGKYWENLNC >CAK8540550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10599394:10604469:1 gene:gene-LATHSAT_LOCUS9547 transcript:rna-LATHSAT_LOCUS9547 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYEAIKSFEENENDEDLEIGNQEHDDNNNNNNNQISDSATTKLKKKIMKKIVVDECSSSSSSMKPQLQQPKSQRLLSLDVFRGLTVALMILVDDAGGLIPALNHSPWNGLTIADFVMPFFLFIVGVSLAFTYKKPSCKVDATRKSILRALKLLALGIFLQGGYVHRVNDLSFGVDLKQIRWMGILQRIAVAYLITALCEIWLKREDIVNCGSTLLRKYRYQWALALFLSVFYLCLLYGMYVPDWEYEVPKEPSSAPSVFSVKCGVRGDTGPACNVVGMIDRNLLGIHHLYRRPIYARMPECSINSPDYGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIILHFKDHRIRIIFWMIPTSCLIVSGLVLDLFGMHVNKVLYSLSYTCLTAGAAGILFVGIYLMVDVCGYSRMTWILEWMGMHALMIYVLAACNMFPIFIQGFYWGNPHNNILKLIGVAT >CAK8570027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21586195:21589178:-1 gene:gene-LATHSAT_LOCUS22817 transcript:rna-LATHSAT_LOCUS22817 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQAIVDPKKNWFAAQHMKAVSKRLRKYGLRYDDLYDPYYDVDIKEALNRLPKEVVDARHARLKRAIDLSMKHEYLPEDLQAMQTPFRSYLQEMLTFVKRERTERESLGGLPLYQRTLP >CAK8531205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88911523:88912881:1 gene:gene-LATHSAT_LOCUS1012 transcript:rna-LATHSAT_LOCUS1012 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETHDQQYSKKLPSYNQQTHAQTQKQKMKSFMLIIFTNLVTIYIFTGPFSFMYKYSSMSTSDSNSILQELNSTKAQLAASHTILSELHQRLNSTNLLVQALLIDLTREQEKQPNRVEENPSTVNLRNDDSTISDELSVALGPHKLPFGYSPKIDSGEIHMPIGEACLRLHEELKQYMAYDIGGECPGDDVYAQGLMLKGCEPLPRRRCHTKSPTNYVEPTPLPGSLWTIPPDTSIIWESYSCKSYRCLVDRKNDPGSYDCKGCFDLEKEEKIKWISDDGGLDFGIDQVLATKAAGTIRIGLDIGGGTGTFAARMKERNTTIITSTLNLDGPFNNMVASRGLIPMYITVSQRFPFFENTLDIVHSMDVIGNWMPDTMLEFLLYDIYRVLRPGGLFWLDHFFCFGSQFNKTYVPMFDQVGFNKLRWHVEVKLDPAVRKNVWYISALMEKPMF >CAK8540635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13808443:13809901:1 gene:gene-LATHSAT_LOCUS9621 transcript:rna-LATHSAT_LOCUS9621 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKIYINSPLNVPLLSNKNEVSFFQTCLNGLNAIAGVGILSVPYALASGGWLSLALLISIAAVAFYSGLLMKRCMEKNPNIRTYPDIGELAFGKTGRLIVSISMYTELYLVSIGFLILEGDNLSNLLPIEEFHVVGLSIGAKQFFVILVALIILPTVWLDNLSLLSYVSASGVFASAIIILSISWTAAFDGVGFHQKGDLVNWNGIPSAVSLYAFCYCAHPVFPTLYNSMRNKHQFSNVLIVCFILTTAGYASMAIIGYLMFGSKVESQVTLNLPLNKLSSKIAIYTTLVNPIAKFALMATPITNALKDLLPRTYKNNRVTNIFVSTVLVISNVVVALSIPFFGSLMSLVGAFLSVTASILLPCLCYLKISGTFRNFGVETVTIVMIIVVAIVMGISGTYTSVVEIVQNL >CAK8531161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85895318:85918705:-1 gene:gene-LATHSAT_LOCUS970 transcript:rna-LATHSAT_LOCUS970 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGVLSFDFEGGLDAPPSAATASATISAAPSGPIVQPDSYLPPSAASNGAAAPSVAEPVPGNNPGRRSFRQTVCRHWLRSLCMKGEACGFLHQYDKARMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKSPGPPPPIEEVLQKIQHLYSYNYNNSHKFVQQRGSSYTQQVEKSQFPQAINSANQGVAGKPLAAESGNVQQQQQVQQPQPQVSQNQAQNLANGQPNQANRTSTPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNESKLNEAFDSVENVILVFSVNRTRHFQGCAKMTSRIGGSVPGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYVEPDSELMAISIAAESKREEEKAKGVNPDNEGENPDIVPFEDNEEEEEEESDEEEESFGNGVGPVVQGRGRGRGMMWPPHMHLGRGARPMPGMQGFNPVMMGDGLPYGPPGPDGFGMPDLFGMGPRGFGPYGPRFSGDFGGPPAAMMFRGRPSQPGMFPGGGFGMMMNHGRGPFMGGMGVPGPNPPRGGRPVNMPPMFPPPPPPQNMNRIAKRDQRTSDRNDRYSSGPDQGKSQDMLSQSGGPDDDMQYQPGYKSQQDDKNDDSESEDEAPRRSRHGEGKKRKGEA >CAK8576783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:514045886:514046375:-1 gene:gene-LATHSAT_LOCUS28951 transcript:rna-LATHSAT_LOCUS28951 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYPNQTSSGKEVNESNAKRRIEKQQFKLKWKQNNECYREETKVQAADTSRNFIKLEISIKTFCKA >CAK8532143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:212160777:212162094:-1 gene:gene-LATHSAT_LOCUS1876 transcript:rna-LATHSAT_LOCUS1876 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSGELTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNRLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYHTNSKRIGMRKTLVFYTGRAPHGHKTDWIMHEYRLDDDDNAEVQEDGWVVCRVFKKKNTSRSYQQEIEEEDHMINHMRSTNGGPCHQMLEQKHHHHHMQQLGLYDYNNNHNNTFDGTIHLPQLFSPESSALAPQQPPTSFHNSSMDILECSQNLLRLTSTNTSSGLNLMQQHQHQQGERLINGGDWSFLDKLLASHHHGNMDQNLRNKLCNPLHNQQAAPADVGSTSAQKFPFHYLGCEPHPHDIMKFSK >CAK8574955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17666128:17667845:-1 gene:gene-LATHSAT_LOCUS27252 transcript:rna-LATHSAT_LOCUS27252 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRTIGVALDFSKSSKNALKWALENLADKGDNIYIIHISQDSIDESRNQLWSKSGSPLVPLKEFREPEIMKKYGVQIDVEVLDLLDTFSRQKEVNVVIKVYWGDAREKLMDAVEDLKLDSLVMGSRGLSTIQRILLGSVSNFVMTNAPCPVTIVKDNVSTSSK >CAK8539769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524562907:524568008:-1 gene:gene-LATHSAT_LOCUS8834 transcript:rna-LATHSAT_LOCUS8834-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTIQVGDYANYIGSHFWNFQDELIGLAGDPQADSVFKNQDLNMDVLYRTGETHQGIDTYTPRVVSINMRGSLGSMSSRGTLYKEVAPTTSDVFTWTGSVSTQASEPRKKNLFLQSLYEEENQNMVNETNISNSGSASEYQDKDITESLENGVQFWTDYSKVHFHPQSLYELNGVWKDVEEFDNYGIGRDSFSWASQGEEISDRLRYFVEECDHVQGFQFIVDDSGGFSSVGAEFLENIVDEYTNTPVLLYAARGSGSRASLQTRKRTILEELHDAISFSRLSSYCKLMVPVGLPSLGNCRASKFLHIEDEKHYHSSAVYAAALHSISLPFRMLPVGPNADACSVSGAVDFHGLIQMLSGQGRQSMVSILDVSMPTPALTGGQNEVSLLENFQPLTPMISEDGEDIQAIEHWTVHGAFASEGRRASVCEVKDSVDAAFECANTRPMFCHLSVALCPLPIPLPFPSIFGNQVGKHGEMISSQLTNSSSKGSLDVHSIPMAARLRSSSAVLPLLENKLQNLHRYGLTRGAAGAELLRSWGFEKEELVEMQEMLSKMVATLSPPQLSSDSD >CAK8539767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524562907:524568008:-1 gene:gene-LATHSAT_LOCUS8834 transcript:rna-LATHSAT_LOCUS8834-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTIQVGDYANYIGSHFWNFQDELIGLAGDPQADSVFKNQDLNMDVLYRTGETHQGIDTYTPRVVSINMRGSLGSMSSRGTLYKEVAPTTSDVFTWTGSVSTQASEPRKKNLFLQSLYEEENQNMVNETNISNSGSASEYQDKDITESLENGVQFWTDYSKVHFHPQSLYELNGVWKDVEEFDNYGIGRDSFSWASQGEEISDRLRYFVEECDHVQGFQFIVDDSGGFSSVGAEFLENIVDEYTNTPVLLYAARGSGSRASLQTRKRTILEELHDAISFSRLSSYCKLMVPVGLPSLGRASKFLHIEDEKHYHSSAVYAAALHSISLPFRMLPVGPNADACSVSGAVDFHGLIQMLSGQGRQSMVSILDVSMPTPALTGGQNEVSLLENFQPLTPMISEDGEDIQAIEHWTVHGAFASVEGRRASVCEVKDSVDAAFECANTRPMFCHLSVALCPLPIPLPFPSIFGNQVGKHGEMISSQLTNSSSKGSLDVHSIPMAARLRSSSAVLPLLENKLQNLHRYGLTRGAAGAELLRSWGFEKEELVEMQEMLSKMVATLSPPQLSSDSD >CAK8539768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524562907:524568008:-1 gene:gene-LATHSAT_LOCUS8834 transcript:rna-LATHSAT_LOCUS8834 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTIQVGDYANYIGSHFWNFQDELIGLAGDPQADSVFKNQDLNMDVLYRTGETHQGIDTYTPRVVSINMRGSLGSMSSRGTLYKEVAPTTSDVFTWTGSVSTQASEPRKKNLFLQSLYEEENQNMVNETNISNSGSASEYQDKDITESLENGVQFWTDYSKVHFHPQSLYELNGVWKDVEEFDNYGIGRDSFSWASQGEEISDRLRYFVEECDHVQGFQFIVDDSGGFSSVGAEFLENIVDEYTNTPVLLYAARGSGSRASLQTRKRTILEELHDAISFSRLSSYCKLMVPVGLPSLGRASKFLHIEDEKHYHSSAVYAAALHSISLPFRMLPVGPNADACSVSGAVDFHGLIQMLSGQGRQSMVSILDVSMPTPALTGGQNEVSLLENFQPLTPMISEDGEDIQAIEHWTVHGAFASEGRRASVCEVKDSVDAAFECANTRPMFCHLSVALCPLPIPLPFPSIFGNQVGKHGEMISSQLTNSSSKGSLDVHSIPMAARLRSSSAVLPLLENKLQNLHRYGLTRGAAGAELLRSWGFEKEELVEMQEMLSKMVATLSPPQLSSDSD >CAK8561979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420621608:420622355:1 gene:gene-LATHSAT_LOCUS15519 transcript:rna-LATHSAT_LOCUS15519 gene_biotype:protein_coding transcript_biotype:protein_coding METTLMCFLYYLFITFCYYFANSVHAIESPKYTVIQSESDFQIRLYNESSWISASSPGTNSFEQSTKAGFHRLYQYIHGANLNSSKLAITAPILTRIPSSVSSENGYSVRMYVSPRFQGKPPQPNPELKLQVEKCKSQCVAVRKFSGYAKDDNINKEIEALVSSLNKKIDKTSEIVHDASSYTIAQYNASFRETERVNEVWINVSGFRIDC >CAK8565045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31464976:31465368:1 gene:gene-LATHSAT_LOCUS18286 transcript:rna-LATHSAT_LOCUS18286 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNHLNAHWNRALNLVAADASLISNHSNKVSKSFIHDLVIINKFNVLIHPPPAPKIIDVIWHPPSRGWIKCNSDRSFSSILASYSGLFRDFNVDFLHEFDENVHCNSSLQAELWGVIRAIEIANAHHWN >CAK8531001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69115326:69116399:-1 gene:gene-LATHSAT_LOCUS823 transcript:rna-LATHSAT_LOCUS823 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGFEGFEKRLELHFFDNDPNPTNSHNQNQLGLRKLEFESIQQILQAVQCTVVSALGNSYFDSYVLSESSLFVYPTKIIIKTCGTTQLLKSIVPLIYFANNRLNLTLSSLTYTRGSFIFPNSQPFPHTSFNDELTFLQNTIPSNLCFRKASIMPSKSSSHSWHVFTATQNPHHYQHEHDHESYTMEICMTELDPILARKFFREPNDEKSGHSAGKEITELTGISEINKEAFICDFAFDPCGYSMNGIDGELYSTIHVTPEDGYSYASFECVGSITDNDDHIVHVLKKVVQIFRPGTMSVSIRTCSEYNNEVWRKVAGAVEPLGLKCRSCVMDQFPAIGSVVFQTFTPLRRRNGPK >CAK8560751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39651700:39652899:-1 gene:gene-LATHSAT_LOCUS14402 transcript:rna-LATHSAT_LOCUS14402 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIAWISHYDDYYLQKKTEDFDLDLGEEIDKEVNAVSVDVILPDDLLERILSYLPLASIFRASCVCKRWNVTAERFLWNPSHLLPQKPWYFMFTCSDEPTGHAYDPNLRKWYCIELPFIGTSNWFISSSYGLVCFMDNDSRTELCMCNPITKSCRKLLWPPGMSSDYGALAISVDKESHGYIVAIVKSKQVPENVFQWDISIHIYNSKEEAWATPLTEVLTGWRGGDESVICNSVLYFVVYYAGGVLPENRHALVAYNISNRFSQASLSFIPIPCSLTCARLMNMKEKLVMVGGIGKHDQPDIIKGIGIWVLHDRKWEEIVRMPHKYFQGFGEFDDVFASSGVDDLIYIQSYGSPALLTFDMNIKQWKWSHKCPVTKRFPLHLFTGFCFEPRLEIAP >CAK8573495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616013119:616013580:-1 gene:gene-LATHSAT_LOCUS25939 transcript:rna-LATHSAT_LOCUS25939 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELLKFPKIEDPDQEMEFQLFAETYQLVEPSIKEKDAVYELLTYSSKLYISSGLIWKNSLDIQEQIIFIGNIPLMNSLGTFIVNGIYRIVVNQLLQSPGIYYRSKLDHNGISVYTATIISD >CAK8533179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588511229:588512013:1 gene:gene-LATHSAT_LOCUS2826 transcript:rna-LATHSAT_LOCUS2826 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVNDSLTKDFKVSRGLRQGDPFPLFLFTIVVEGLTILVRRAVCASSFKEFKFDNGAAHSLLQYVDDTIFIGDGSWSNLWSMKSILVGFELISSLKINLRKSYLFGIMNDDYFMKAAEHFIGCRIDELPLKFLGLTVGGNHRCISFWNPVVKCMKTRLSSWKGSWLSIGGRVTLINSRKFLWVGSKEKRCIAWVSWKSICKSKEEGSLGIKDLGMFNKALLAKWWWRFLTDG >CAK8569763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9883736:9887434:-1 gene:gene-LATHSAT_LOCUS22577 transcript:rna-LATHSAT_LOCUS22577 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNRFPHHERRKRWGGCLGAFSCFGSQKGGKRIVPASRIPDNNGTASQPNGPQATGLTNQAPGIAPSLLAPPSSPASFTHSALPSTAQSPSCFLSLSANSPGGPSSTMYATGPYAHETQLVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPFAHFLTSSANLKNGGKGNYITANDLQTTYSLYPGSPASSLISPISRNSGDCLSTSFPEREFRPQWDSSMYPENGKYQRTGSGRVSGHDTNDATMASQDTNFFCPATYAQFYLDQNPPFPHNGGRLSVSKDSDAQSTGGNGHQSRPSRSPKQDVEEIEAYRASFGFSADEIITTSQYVEISDVMDDSFTMMPLTAGKSMMEENIEPSLMKGFKAQETQVGLQNLKCLRLDPSPVGKQARNQVPLCDGYEDHKSAGHFSNSSGLSTPDNHSRMDDEDMFSKMGSSRMCRKYQMGLSCSDAEVDYRRGRSLRERKGV >CAK8540626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13177505:13182459:1 gene:gene-LATHSAT_LOCUS9612 transcript:rna-LATHSAT_LOCUS9612 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGCEFFDDNRRIESVEANGEYYYDEFFEALSDTLSNESDEIESSCNHVEGEKNRKFSLMNVDDDHGESFDLEEEEKSKLVFKFQYQNWDCKFSEELKGDFSESNDFDTRGEVSSTTNKYEFVSQKSFSRILDQPEAANFTVKEFFIRSNDDFPLEKNYVADDSASLLPERKLNQENCEEVVNEKILDGFSERLCIEEVLEKVEPAGLSSERKLNEENCEEVGNGKILDSFSEKLRVEEVSEKLEPAGLSSERKLNEENYEEVVNEKILDSFSEKLHVEEVSEKLKNSEPYERNFLLDDDFICSSSDTDSTSSLESGFLSDTDFGTTTEHDTLGNNEESVDDLDFKDDKSLESLDFGYEPDDFAEEDEDIMNELGKLEEEIKQEQSAKPNSKSVAAFDLEESNRFDTLWEHQDLIEQLKMELKKVKATGLPTIFEDSESPRIMEDLKPWKIDEKFQHGSSTTNELPKFYRSYRERMRKFDILNYQKMYALGLMKLKDPLQSFSIHKKSSSTITSLFRRTKEIDADPMKKFIRELYSDLEMVYVGHLCLSWEFLHWEYEKALKIWENDQYGFRRFNEVAGEFQQFQVLLQRFIENEPFQCPRVENYARNRCAMKNLLQVPVIREDKGKDRKKLRKREVDNDAITSDMLVEILEESIRTIWRFIRGDKDASNLTIKSLKEHHVELQDPADSQLLVEILTDLQKKEKRLREILRSGSCILKKFKKHNEDETDPVLYFFSQVDMKLVWRVLNMSRITTDQLGWCRSKLNNINFVNKRIHVESSCLLFPT >CAK8573799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638025068:638028064:1 gene:gene-LATHSAT_LOCUS26204 transcript:rna-LATHSAT_LOCUS26204 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLKSMATSPGNLTIVRQPSNHSVDFINRVSNLGFCFKGDEKWKRKHRVKVRAIAEVDVEGLKRSVALEGGLGLDMVSEGELMVKGFRGLRKTKLVCTVGPACSSLEDLEKMALGGMNVARLNMCHGTREWHRDVIRKIKKLNEEKGFAVSVMIDTEGSQIHVVDHGAPSSVKVEEDSVWLFTAERFEGTRPLTVQASYPGFSEGIEVGDELVIDGGMSSFVVIEKTGNDLHCKCIDAGLFLPGAKFSSWRDGKLVRRNYKLPTLSTKDWADINFGIAEGVDFFALSFVNHADSVKDLKNYLSDKSTKPIKVLAKIESLESLHNLLEIVKTSDGIMVARGDLGVEIPLEQIPTVQEDIIRICRQQNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGSFGQKALAVLDMTSSRMESWSREENRQSLLNHQKLGASLPDRITEQICNCAVEMANNLGVDAIFVYTKHGHMASLLSRNRPDPPIFAFTDDENTRMALNLQWGVVPLVVDLSDEAETNISKSVDLMKSKGLINKGDVVLVVSDVAPTRTTPMALQSIQVKSII >CAK8532205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:220675901:220676320:-1 gene:gene-LATHSAT_LOCUS1936 transcript:rna-LATHSAT_LOCUS1936 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNSIRSTLNFSILLIAVIAFEVGDAVTVVIKNDIWPFHTELTVHCKSKNDDLGFHTLKFGETYMFSFTPLVFPPTGNTLFFCSFTWPGRPYRHYLDVYDQTKDACGTCNWKISQTGGCKSDNQGPETCQDWKSIEI >CAK8576344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:464471602:464481984:1 gene:gene-LATHSAT_LOCUS28539 transcript:rna-LATHSAT_LOCUS28539 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFFKGKTILVTGATGFLAKVFIEKILRTQPEIKKLYLLLRASNLDLAERRLQNEVFEIDLFRVLRGELGGDFNSFISNKVVAVAGDVAIQNFGIKDQKLKNEIFDEIDVLVHSAASTKFDERFDISMGVNTKGALHALNIAKNCRKLKAFVHMSTAYVCGDAKYEDGIVREKAFEMGQSLRGTSKLDIHTEMNLLDNKIAELQAMNTDENTMKFALKDYGMERANLHGWPNTYVFTKAMGEMLLMHHKDNVPLIIIRPTMVTSTIKDPFPGWIEGLRTIDSVIYSYGQGKLKAFAGNPKTIIDAIPADMVINCAITTILTHSNQSPKNFIYHVSSSLRNPLKYCDIRNICYHYFMKSPCINQNGKPIVISNGTLVNSFAAFNIYIKFTHVLPLKVLSLVNKICHNRFQDVYNKKSRKIRMMHRLAILYKPYVYFKYVFDDTNTEILRTVPKDDPKMNNVELNFDPTSFDWIEYMMNAHIPGLVKYQMKNSSG >CAK8570266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31982929:31992036:-1 gene:gene-LATHSAT_LOCUS23029 transcript:rna-LATHSAT_LOCUS23029 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQTSLLFKPPFPFLFPNHSTHKPHHRHFSFYPTRLRPRVLSPPLFCTFQPDATTPHSDPNQTLPESKPGSADVELINSTENDTVAVLDSNSNESRFENVDGERLEASESEKMDSKLPIVVFLIGLWVRARERAERAFSEFFDWWPFWRQEKRLAKLISEADLNRQDADKQSAMFVELNKHSPESVIERFEERDRAVDSRGVAEYLRALVITNAIAEYLPDKESGKPSGLPTLLQELKQRASGNTDETFLNPGISEKQPLHVVMVDQKVSNKSRFAKELISNIFLAVAVGLFWFMGAAALQKYIGSLGGIGSSGVGSSSSFTPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPAKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPTAENVDIKAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLTASQLEFAKDRIIMGTERKTMFISDESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGRDNVTTGASSDLQSATELAQYMVSSCGMSDTIGPIHIKERPSSEMQSRIDAEVVKLLRDAYDRVKALLKKHEKALHVLANALLECETLNSEEIRRLLLPYREGRLPEQQEQEEAEGDLVLV >CAK8535945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893409234:893410329:-1 gene:gene-LATHSAT_LOCUS5359 transcript:rna-LATHSAT_LOCUS5359 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEPADKKVMPVDEPNSPEEDPKSPEEDPKSPPVEPNSPEEDPKSPSVEPKSPEEDRQSLYDELFSADDDPKSPSLNKTSSPEEDPKSPSAEPKSPDETYSDLLMRQKPNEDFVEEDPKSPSVEPDLSDKDPKSPSVELNSSSNDPKSPSVKPNSPMDDLKSTEEDPKSCYDKLYFTDDDPKSPSVNENSSPEEDPKSPSAEPNSPSAEPKSPDETHSDLLMRQKPNEDSSVACNLAKEDALMNAAAEEEMNSPAPEASSTSAFDNAMREASETLAYRVADAENKSYLADEAVKETERYAKFVEENEAMLKFAEELLEKCKLGESFRFA >CAK8539720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522826158:522826547:-1 gene:gene-LATHSAT_LOCUS8792 transcript:rna-LATHSAT_LOCUS8792 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPLNLILTILLSLTLFTIINIHVDAQIEETTLNLSSDVLEWPTTMSSLYSELDEEHEEDIDSDFSRRSLFWSRVKYYISYGALAANRIPCPPRSGRSYYTHKCYEARGPVYPYTRGCSAITRCRR >CAK8562697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528360909:528361745:1 gene:gene-LATHSAT_LOCUS16172 transcript:rna-LATHSAT_LOCUS16172 gene_biotype:protein_coding transcript_biotype:protein_coding MANADEVSALKSIKLHLLGEFSPLPSPVSQPWSFDFDFQFQTNQSTSDSSISLDHYFTELFEFDSKPQIIEPESPEALTSHAQRTVETKPQLKRKPSLEIALPNKTEWIQFRKPDPKPEAVVQKPEVAEKQHYRGVRQRPWGKFAAEIRDPNKRGSRLWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVNAMVAAENSGENKKRCREEEEEDVVEVKPVVKKEKTIEFDVNCFKEMPLTPSAWTEFWDVDIKGMFGVPQLSPLSSFCFSPLVVV >CAK8572438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537120483:537125005:1 gene:gene-LATHSAT_LOCUS25008 transcript:rna-LATHSAT_LOCUS25008 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGKTTLDSGWFAARFNEVHFTGTQLTTTNPPSGTTLPWMEALVPGTVLGTLVKNKLVPDPFYGLGNEAILDIADSGTDYYTFWFFTSFHCKLSSNQHCDLNFRGINYSADVYLNGHKMVLPKGMFRRHSLDVTNILHPDGNNLLAVLVHPPDHPGSVPPQGGQGGDHEIGKDVATQYVLGWDWIAPIRDRNTGIWDEVSISVTGPVKIIDPHLVSSFFDNYKRVYLHATTELENRSSWAAQCSLSIHVTTELEGSIHLVEQLQTQNISVPAKSRLQYTFPELFFYKPDLWWPNGMGKQSLYNVVISIDVKGFGESDSWSHYFGFRKIESHIDSVTGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYHTDIKFHADMNFNMIRCWGGGLTERPEFYHYCDYYGLLVWQEFWITGDVDGRGDPVSNPQGPLDHDLFLFCARDTIKLLRNHPSLALWVGGNEQVPPADINDALKNDLKLHPYFEHENKKGSLSTKLGDPSQYLDGTRIYIEGSLWSGFADGKGGFSDGPYEIQNPEDFFKDSFYKYGFNPEVGSVGIPVAATIRATMPSEGWQIPLFKKLSNGYVEEVPNPIWKYHKYIPYSKPDKVHDQIQLYGAVKDLDDFCLKAQLVNFIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRCAAEPIHIQLNLATYFIEVINTTSEELSNVAIEVSVWDLEGTSPYYKTHEKLSFLPKKVTPFVEMKYPKSKNPKPVYFLLLKLYDMSDNRILSRNFYWLHLPGGDYKLLEPYRNKKIPLKITSEVFIEGSTYKLQMHVHNKAKIPDSKSLTFECGSTATLRDSCLVADSVETVHNGAGKGQDVGWFKKLHRHFAGKSDGLKVYEINGNDVGVAFFLHFSVHASGKDRKEGEDTRILPVHYSDNYFSLVPGETMTIDISFETPLGVAPRVTLNGWNYHGQTIHEAL >CAK8535076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811717868:811719256:1 gene:gene-LATHSAT_LOCUS4557 transcript:rna-LATHSAT_LOCUS4557 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMNRLLMKMQRDLNFNYHAKCEKLKITNLTFADDVLLFCRGDEISLHMILQTFKAFSMSMGLIMNPNKCRIYFGGLDKEKRKVLKVMSGFQEGTLPFRYLGIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSFAGRIQLVKSITTAMVQYWLHYLPMPKTVIKKIDSICRSFIWTGKDTVSRKCLVAWKHMSCPTAQGGLNLINLQIWNNVLLLKCLWNLCKKSDTLWVKWIHIHYLKDKQIMNYETKTQSSWIMHNILKQRDTMDLIRNEWDQLLISHKFKASVFYKVLIDDGTRVPWRNLIRSNKSRPRAVFCLWQACHGKLATKDRLKCFGMIQDSQCSLCHTEKETMNHLFFCCQGTRHIWKKVLHWFNIVHTPQPWDAELIWITNMTKGKGWKVDIFKMLVAETIHYIWGYRNSTTFGKPVDIITVTTNIIDNMTYRAWQNLKIRKHLVSYMM >CAK8576901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523083365:523085036:1 gene:gene-LATHSAT_LOCUS29058 transcript:rna-LATHSAT_LOCUS29058 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDMNMSSEEITLPGFRFHPTEEELLDFYLKNMVVGKKLRYDVIGFLNIYHHDPCDLPGLSKVGEREWYFFVPRDKKHGSGGRPNRTTEKGFWKATGSDRKIVTLSDPKRIIGLRKTLVFYKGRAPRGTKTDWVMNEYRLPDNSPLPKDIVLCKIYRKATSLKVLEQRAAEEEEMKQMVVGSPTSPPSSTDTISFNYTQDQHVSLPMMFQQVIPKKESQHETESQTKTHDMVSQAIITEKPCHEKTMTQIKDNSKKACGTSLQGKDNVPELQLPIVTDWTQDTFWTQLNSPWLQNWTAYSNILNF >CAK8533233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594687707:594689257:-1 gene:gene-LATHSAT_LOCUS2878 transcript:rna-LATHSAT_LOCUS2878 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERLLMEIIQSRKDCVEMGRSNSHENDLLRMLLDEYRKSGNLNLQLVMDECKTFFFAGHETTALLLTWTAMLLASNPTWQEKVRSEVKEIFNQGMPSVDQLSKLNMMHMVINESMRLYPPVTLLPRMAFQDIVLGDLFIPKGLSVWVPVLAIHHSEESWGKYANEFNPQRFASKSFMPGRFLPFASGPRNCVGQSFAMMEAKIILAMLISRFNFTIYENHKHAPITVLTIKPKYGVQIYLKPLD >CAK8570901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:162892594:162893679:-1 gene:gene-LATHSAT_LOCUS23612 transcript:rna-LATHSAT_LOCUS23612 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSSEELTAASAMILLHTTPRFHSSSDYGVPGERKSISSKLFKASSVTTVSNRSSASSSFLVIDDSSYQSEEMKSYPVSFFSATHRFHRMKFKIARKIRSKVMWSSSSCSGDRKVKTCTTTKVYPASASGEATSCLSTTSSARSLRYANRSRCVSATETGNQQKAPPPMAKNRVKSVAGTPHLRRRSEAILKFLSHGGSSEVRIRQMLGDSPDTSKALRM >CAK8562145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446946592:446949243:1 gene:gene-LATHSAT_LOCUS15669 transcript:rna-LATHSAT_LOCUS15669 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSERNKHHYILSVFIILVTFIFDNAHCIRFPDRVAQPARDQTDQQHLQTAVFALGSFWRSEAVFGCLPGVVRTTAGYAGGSKPNPEYRSFGDHAESVQVEYDPRLISFRELLDIFWSSHDPRQVYGQGPDVGNQYRSIIFVNGTEESRMASVSKEQEQTRSRSSIVTTLIMQLGTFHPAEPEHQKFELKQNTFLLQLIGNLPEEELESSSLATKLNGYVAELCPPNIQKHIDAKINEIIKKGWPILREL >CAK8573849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642156741:642157266:-1 gene:gene-LATHSAT_LOCUS26250 transcript:rna-LATHSAT_LOCUS26250 gene_biotype:protein_coding transcript_biotype:protein_coding MLETSTSGALVEDVLDLTQEAGHHDLVEANVVFGCGQVQSGISAPSVSRATDTFSTRFGRDVVQFFSASNIQHYSAVQEGEQQQCNVSMSTLVFCKIAGDGYSCRKELERSVRTCYERQSVLQLYVLRRK >CAK8567778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544062108:544066058:-1 gene:gene-LATHSAT_LOCUS20801 transcript:rna-LATHSAT_LOCUS20801 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLLANPLGVVRSTFDTAIASGSDPTSLNGRDWGAINLFRHFLSDQSQLSQVPLLTPATINYVKPNTLVRFRGMIQDMLGNEFYVGTFKDGSVWRTNKFSDFSQYPIPLGSSVDKQIWERRLLYCVPIPGLSPWADSTPKTVANLSMDRTSDQREKRRRGDAESSDMHVENDAVVEGSPNSKRMREGEHPSPASQSQGAVPEIAGSCTSLMPGLNGSCIVKIYDTPESELRLNDVFEFVGILTSDLELQDDSEDRDLLNEFSEDPLHNFPPNKVPQLHCLIHRKLSILDFLQNTPIIEPKPDLVKGIRESLIKHLSAVLGNDDVAAHFMLLHLLSKVQARVDALAVGKFSVNFTCFSKEIASIFGKQLSFAIKNLVPFTHCIPLTVEYLNTASLAPKKNYDKNRLETGVLQLAEGSHLIVDETQLEAGTLNSVGVENASLLKNLMENQKVDYDFKYYKMEMATDVQLLVLSEGKSNILPADVIVPIRPSATNCSESVSTETLEAWRWYLGTVRQLPHCMESGMEKMVESDLVDAIQADRSLSIQDFSRWLTMGRLMSLSYGETSMSLEHWQMTKELERLRRERLK >CAK8544629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696464044:696466197:-1 gene:gene-LATHSAT_LOCUS13287 transcript:rna-LATHSAT_LOCUS13287 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKTSSNTSLGITSASHEVPVTVGNNGVDTSVAPCGIRRSMAETLVHGSPHSGAGTTSHLSLSAQKHEEMTLKNSQLLVPMMPHLPRSMAASSLGKLKVKTSPQRHPISHSGNSHDFNTLRELNDVSLATKHNVRFNGSRTLTTTSNATSASSSSTSISTPSAWMTLEKKPTLRTQSRRDFLKNLSRKSASKDASRVLEKSKASTKNDISCTTPKS >CAK8564628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3227940:3230148:-1 gene:gene-LATHSAT_LOCUS17913 transcript:rna-LATHSAT_LOCUS17913 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLVESLVLQLHEISAVKFGNFKLKSGISSPIYIDLRLIISYPSLLRQISETLLSSVSSTSFEVVCGVPYTALPIATCVSLAQNIPMVMRRKEVKDYGTAKAIEGDFKPGQSCLIIEDLVTSGTSVLETAAPLRSVGLKITDAVVLIDREQGGRENLEENGIKLHAIIKLTEMVKILRDHGRLDDEMVGVVTKFLDENRKVAALAKVEKPITKVKSLSFAERANLSKNPTGKKLFEIMAQKESNLCLAADVGTAAELLEIAEKVGPEICLLKTHVDILPDFTPDFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIAEEHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDGLGQQYNTPHSVVHDRGSDIIIVGRGIIKAANPAEAAHEYRLQGWKAYLAKSA >CAK8569810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12223070:12224685:-1 gene:gene-LATHSAT_LOCUS22619 transcript:rna-LATHSAT_LOCUS22619 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKEKVKRGPWSPDEDAKLKSYVEIHGTVENWISLPQKADLKRCGKSCRLRWMNYLRPNIKHGGFTEEEDTTICTLYNQIGSRWSVIASKLHGRTDNDVKNYWNTKLKKKILAGKINLKSLSGNDNTTPPITSLPQNSPLPIMLENNLDASYEFKNGETNIGFDQIVENNIVASEIGTSNNNVVNPMVSMCEDSSSIAMNDNFVSIQDQAAYESMDPLLDFDFGFHHDDERVSDFASSCGFSNWVDFSYSNVKPN >CAK8565996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365704239:365708118:1 gene:gene-LATHSAT_LOCUS19165 transcript:rna-LATHSAT_LOCUS19165 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISSFITFTIFAICSIACVVEAVGVNWGTMASHPLPPVKVVKLLKSNNINKVKLFDAKPDVLQALSGSNIGVTVGIPNVMLRSLNSSRKAAANWVHDNVTRYVSNGGGGARIEYVAVGDEPFLKSYGEQFHPFVIGAAMNIEAALNRAKLDNKVKVVVPCSFDSFESSSNSSTEMQFRSDVNKTMIELLTFLNKRGSPFFVTISPFITFLQTKNISLDFLLFKETAHPHKFNHKTYKNSFDLSYDTVVTVLSKVGFPNMEIVVSKIGWPTDGAVNATSYLAETFMKGLMVHLHSNLGTPLRPHQPPHETYIHSLLDEDQRSIAAGNFERHWGLFTFDGQSKYHVDLGQGSKSLVNAQNVEYLSSKWCVVNNNKDLSNATAKALEACANADCTALSSGGSCFNITWPSNVSYAFNSYYQEHDQKAESCDFGGLGLITTVDPSDDRCRFPIEIHTSHAEFFRMRSFLWMIILVTTLLAS >CAK8536718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:10463953:10464840:-1 gene:gene-LATHSAT_LOCUS6052 transcript:rna-LATHSAT_LOCUS6052 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMINVSTIKLFGRTIFLTHNTDVFTNDSSQHNSTKLDDQIDISQDKSPKKPDITVPCPRCKSTDTKFRYYNNLKAKQPRHFCKNCQRYWTSGGTARKMLVGAGRRKNKFTNFPLDVLHYSQMSNVLTFGSNSSDKNLNVGSYDETFDKSYQSFSEQCPWNPAMCYPNKAHYGGLLQPLWNVQSVQTQSCGLSKPTDGDMMIHPNSEHEKLRLKSNNKEGNDTNEELVPTLLRFDDPNDVVMSSIWSTIGIENATGIFKGFGSNDKNHLVEASSSVLKVNPAVLPRSIVFHVMI >CAK8578439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629436360:629441977:1 gene:gene-LATHSAT_LOCUS30451 transcript:rna-LATHSAT_LOCUS30451 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKSSFLFSTSLSPPHHTKKTKTKNYNKPIRPICSYTPSHEVHRDPWSPHTGDPTKPKPNHRRPKAPLSDDNARRIIKGKARYLSTLRRNQGPKVQTPRWIKRTPEQMVQYLQDDRTGQLYGKHVIAAIKKVRALSELSDGKYDMRVVMGSFVGKLTFKEMCVVLKEQKGWRQVRDFFGWMKMQLSYHPSVIVYTIVLRLYGQVGKLNLAEEIFLEMLDAGCEPDEVACGTMLCSYARWGRHKSMLSFYSAVKERGIILSVAVFNFMLSSLQKKSLHREVVLVWRDMVRNGVGPNYFTYTVVISSLVKEGLHVDAFETFDEMKNSGFVPEEITYNLLINLNANNGNRDQVQRLYDDMRFHGVTPSNYTCATLISLYYRYEDYSRVLSLFSEMARNKTPADEVIYGLLIRVYGKLGLYEDACKTFEKIEHQGLLTNEKTYLAMAQVHLASRNVDKALEVIGLMKSRNLRFSRFAYIVLLQCYVTKENVVSAEGTFLALCKTGRPDAGSCNDMLSLYVGLNLMNKAKEFIFRIRKDGTQFDEELYRIVMKVYCKEGMLPEAEQLTNQMVKHESLKNCKFFQTFYWILCEHDEDLQIDDKLVTTKPTDKLDTTALGMMLHVYLTNNNFSKTKMLLKLLLGCAGGSNIVCQFIISLTKDGEISKAESLNHQLITLGCRMEEVAAASLIRHYGNQHMLKQAEDIFAEYVNSPTYNKLFYNSMIDAYAKCGKQDKAYLLYKQATEEGHDLGAVGISIAVNALTNQGNHREAENIISQSLEGNVKLDTVAYNTFIKSMLEAGKLHFASSIFELMCTNGITPSIQTYNTMISVYGKYQKLDRAVEMFNKACSLGGPLGEKAYMNLIGYYGKAGMIHEASQLFSKMQEEGIKPGKISYNIMINVYANAGVHHEVEKLFQAMQRQGCLPDTSTYLSLVQAYTKSLNYFKAEETIYAMQSKGISPSCIHFNILLSAFIKAGMIDEARRVYSGISTFGLIPNLMCNRTILKGYLKYGLLEEGINFFESIHKSTKGDGFVMSVAVYLYKSTGMESQANEILSSMNKMRIPFLKKLEVGLAKRVEVP >CAK8575449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121336945:121340857:1 gene:gene-LATHSAT_LOCUS27711 transcript:rna-LATHSAT_LOCUS27711-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYQDANYYRGSWCCRLILFVIWLPTFLHVTAHISHVNHRQTTSLVELAKEPTSGDSGLFDPIEISPSVIPKVPFPTESVPPMYPIPYVPTRYEPVLTGKCPVNFSRPEISNILDKAAFDCFGPLATLVGNVVCCPQFSSLIHIFQGFFGMKSNNLVLSNAVADHCFSDIVSILASRGANSTIPTLCSIKSSNLTGGSCPVKNDSTFEKTVNTSKLVEACRTVDPLKECCRPVCRPAIMDAALQISGRQMMINSDNMAGEMNHTDYLNDCKGVVYSYLSKQLSSEAANKAFRILSACKVNKVCPLTFKEPSDVIAVCKNVAAPSPTCCSSLNTYIAETQQKILITNKQAIICATQFGSMLRGGGVMTNVYELCDVDLKDFSIQAFGVQDAGCLLRSLPGDVIFDNSSGVSFTCDLSDNIAAPWPSSSSFTSLSFCAPEMSLPALPISQSLKNIGCNSAGVGFLIIFSFFVSTVVLRF >CAK8575448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121336945:121340857:1 gene:gene-LATHSAT_LOCUS27711 transcript:rna-LATHSAT_LOCUS27711 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYQDANYYRGSWCCRLILFVIWLPTFLHVTAHISHVNHRQTTSLVELAKEPTSGDSGLFDPIEISPSVIPKVPFPTESVPPMYPIPYVPTRYEPVLTGKCPVNFSRPEISNILDKAAFDCFGPLATLVGNVVCCPQFSSLIHIFQGFFGMKSNNLVLSNAVADHCFSDIVSILASRGANSTIPTLCSIKSSNLTGGSCPVKNDSTFEKTVNTSKLVEACRTVDPLKECCRPVCRPAIMDAALQISGRQMMINSDNMAGEMNHTDYLNDCKGVVYSYLSKQLSSEAANKAFRILSACKVNKVCPLTFKEPSDVIAVCKNVAAPSPTCCSSLNTYIAETQQKILITNKQAIICATQFGSMLRGGGVMTNVYELCDVDLKDFSIQAMPAFGVQDAGCLLRSLPGDVIFDNSSGVSFTCDLSDNIAAPWPSSSSFTSLSFCAPEMSLPALPISQSLKNIGCNSAGVGFLIIFSFFVSTVVLRF >CAK8575447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121336945:121340857:1 gene:gene-LATHSAT_LOCUS27711 transcript:rna-LATHSAT_LOCUS27711-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYQDANYYRGSWCCRLILFVIWLPTFLHVTAHISHVNHRQTTSLVELAKEPTSGDSGLFDPIEISPSVIPKVPFPTESVPPMYPIPYVPTRYEPVLTGKCPVNFSRPEISNILDKAAFDCFGPLATLVGNVVCCPQFSSLIHIFQGFFGMKSNNLVLSNAVADHCFSDIVSILASRGANSTIPTLCSIKSSNLTGGSCPVKNDSTFEKTVNTSKLVEACRTVDPLKECCRPVCRPAIMDAALQISGRQMMINSDNMAGEMNHTDYLNDCKGVVYSYLSKQLSSEAANKAFRILSACKVNKVCPLTFKEPSDVIAVCKNVAAPSPTCCSSLNTYIAETQQKILITNKQAIICATQFGSMLRGGGVMTNVYELCDVDLKDFSIQDAGCLLRSLPGDVIFDNSSGVSFTCDLSDNIAAPWPSSSSFTSLSFCAPEMSLPALPISQSLKNIGCNSAGVGFLIIFSFFVSTVVLRF >CAK8569574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5659159:5661581:-1 gene:gene-LATHSAT_LOCUS22413 transcript:rna-LATHSAT_LOCUS22413 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGAGKTTLMDVLAGRKTGGYISGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVHESLLYSAWLRLSLGINAETRKMFIEEVMELVELKQLRNALVGLPGVSGHSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTRRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGPLGHHSSNLISYFEGNQGVNKIKDGYNPATWMLEITSSSKEVELGIDFADLYKNSELYRRNKTLIEELSTAAPGSSDLYFRSQYSRSFVTQCMACLWKQHWSYWRNPIYTAIRFLYSTMVAVLLGTMFWNLCSKIEKVQDLFNAMGSMYAAVLLIGVKNASSVQPVVGVERTVFYRERAAGMYSAFPYAFAQVVIELPYVFVQALVYGLIVYAMIGFEWSVAKVLWYLFFMYFTFLYFTFYGMMSVAVTPNSHISNIVSSAFFSIWNLFSGFIVPRPKIPVWWRWYSWANPVAWSLYGLVVSQYGNVKRNIESSDGGVTTVEEFLRDYFGFKA >CAK8536637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3379085:3379603:-1 gene:gene-LATHSAT_LOCUS5981 transcript:rna-LATHSAT_LOCUS5981 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLHMKESLLKQKSRHNWIKEGDLNTRFFHGTLNMRRRRNKITALETDSGRVEEPDLVKVVASNHFKNTFTDQGGTRPSLDGIDFNCLSEVESTKLEEVFSREKIKEVIWECDGDKSPGPDGYNITFLKKCWDILGEDVIGAIQEFHTTVHLPKVVIASFLALIPKSLNP >CAK8536638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3379085:3379495:-1 gene:gene-LATHSAT_LOCUS5981 transcript:rna-LATHSAT_LOCUS5981-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRNKITALETDSGRVEEPDLVKVVASNHFKNTFTDQGGTRPSLDGIDFNCLSEVESTKLEEVFSREKIKEVIWECDGDKSPGPDGYNITFLKKCWDILGEDVIGAIQEFHTTVHLPKVVIASFLALIPKSLNP >CAK8540355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554192636:554193043:-1 gene:gene-LATHSAT_LOCUS9365 transcript:rna-LATHSAT_LOCUS9365 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRVSKNKVQQVWNKAMLRQKFKAKDFYAVLNDDHEDVMWKNLMMIATKDRLKRFGMLHDSICSLYNVEDESINHLLFGCSKTKGIWIEVLNWLDMNHSPGSWRNLMAKDGKLLF >CAK8569708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8802765:8804033:1 gene:gene-LATHSAT_LOCUS22528 transcript:rna-LATHSAT_LOCUS22528 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSDSVWSESEIETAEILAHLHHTFSLFSHVPYSWGCRKKRSPIRNTPPSNGGGAATVVPPPPPPSNAVKVKASSPTTPHSFPTTESDDKIKHSERTTSLKRKKEHYLNRIEELTKTKDSISQKMANVKLQYEELKLFNSKLRELTINGPKGEYKIPNLEINKPMKVNDIIKNSVNNSNSTTQTEEHNHPGNNLGVGPTTSLGVASSSSMGRNNDNMGPLSIHNLNIPYEAIKDLSKVREAQARQRRIHILRLKRNKAKQH >CAK8571033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272683765:272684254:1 gene:gene-LATHSAT_LOCUS23730 transcript:rna-LATHSAT_LOCUS23730 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFATHDVVRSPLNLII >CAK8560134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8574120:8576772:-1 gene:gene-LATHSAT_LOCUS13840 transcript:rna-LATHSAT_LOCUS13840 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQAFHASSSCYFHSSSTKRFPSKKSLKLNCKTTSNGDKDDAYLIDAPVSVGDGFSFSGGKYSDGPNPSDEWFKQGKMVKAYSIPGTGEKAKDPIFGLTMGNSSQASGDRFRWFCVERGNADNPSVILVHGFPSQAYSYRKVLPVLSKDYHAVTFDWLGFGFSDKPQPKYGFDYTLDEYVSSLESLIDELGVTKVSLVVQGYFSPVVVKYASNHQEKINNLILINPPDPLRASDKALTSSGPYKMKEEDAMVYRRPYLISGSSGFALNAITRTMKKDLKRYVEDMQTILTDKSWNVRTTICWGQRDRWLSYDGVEDFCKSSNHKLVEVPRAGHHAQEDCGEELGQLIYGILNKKN >CAK8560133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8574120:8576772:-1 gene:gene-LATHSAT_LOCUS13840 transcript:rna-LATHSAT_LOCUS13840-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQAFHASSSCYFHSSSTKRFPSKKSLKLNCKTTSNGDKDDAYLIDAPVSVGDGFSFSGGKYSDGPNPSDEWFKQGKMVKAYSIPGTGEKAKDPIFGLTMGNSSQASGDRFRWFCVERGNADNPSVILVHGFPSQAYSYRKVLPVLSKDYHAVTFDWLGFGFSDKPQPKYGFDYTLDEYVSSLESLIDELGVTKVSLVVQGYFSPVVVKYASNHQEKINNLILINPPLTAQHVKLPPTLSIFSNFLLGEIFSQDPLRASDKALTSSGPYKMKEEDAMVYRRPYLISGSSGFALNAITRTMKKDLKRYVEDMQTILTDKSWNVRTTICWGQRDRWLSYDGVEDFCKSSNHKLVEVPRAGHHAQEDCGEELGQLIYGILNKKN >CAK8539346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509815898:509818315:-1 gene:gene-LATHSAT_LOCUS8450 transcript:rna-LATHSAT_LOCUS8450 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLTALSLSFPFITSTKSSPPIPFSCSFPRNLHLKSPRIVSVSGAATPTTSVEVDRSPISATPIKVLPFRVGHGFDLHRLEPGYPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDNDPKWKGCDSSVFVHESVRLMHEAGYDIGNLDATLILQRPKLSPHKDAIKANLSALLGVDSSVVNIKAKTHEKVDSLGENRSIAAHTVVLLMKK >CAK8565723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313375560:313377998:-1 gene:gene-LATHSAT_LOCUS18911 transcript:rna-LATHSAT_LOCUS18911 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDHFGDMYDVALKPRLLNTLISDYLPTVDHPFSNPSELSKVVSLIKTHSLLSEDVTDSMESKQGKAWKSSVTSWVDRVLLLLSSHSPDKRWAGISLLGVTCEECSSDRFQESYTVWFQKLLTSLQSPEDSHLVKVAACASISDLLARLSGFPKFKKDGSASAVKVVQPVLKMLQDDNSEEVWEAAVHVICTLITSFPFSIQRHYDSVESAIAVKLVSGGCSHDMMKKLAHCLALLPKSKGDEESWSVMLQKILILINDQLNLKFEGLEEDYIRKEFNGLLILPGKQPPPPLGGYVSTEEARDNTTKRSKQSRASSVSTLMSSCCMLLTNAYQVKVNVPVRLLLVLVERILMVNGALPEMSLPFRTARQQENICSELPVLHMCSLELLTAIIKATGSQLLPHAASIVRLITKYFKTCQLPEIRIKVYSVAKILLISMGVGMALCLSKEVVNNAIADLSTLEKKNVSMSNGSNTDVSAAALQPASNRKRKHSSTNGSLQENEAGGVLGVQVPKKYRVAPISLRIAALEALEALITVAGALKSEQLRSKVDSLLIAIAMDSFREGSSNEEINVFQKKDPAATATDLQLAALRALLASFLSASRPPYLSQGLELFRRGKQQNGTKLAEFCAHAMLTLEVLIHPRALPLVDYVPPSNDTFRETQFSYRDEYVSRNSNTQFGFPQAEPPASRENPLTDYLANGDDEMGRLWTENTKETKESSEVATPLPSSAGIQERSEMVPETATCADVEMRTVDNETTFKSDHPGESVPCPTSIPTVVDIHSGAATDIEPERIVSDSSTLDYASKHPPQHTKP >CAK8534582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744551553:744552743:1 gene:gene-LATHSAT_LOCUS4116 transcript:rna-LATHSAT_LOCUS4116 gene_biotype:protein_coding transcript_biotype:protein_coding MATETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRNTCRNIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPEFMPLSHVLATKLGAKLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGFARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILNIVKETFDFRPGMISINLDLLRGGNGRFLKTAAYGHFGRDDADFTWEVVKPLKGGKLSTA >CAK8544664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698288766:698290301:-1 gene:gene-LATHSAT_LOCUS13322 transcript:rna-LATHSAT_LOCUS13322 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFTPPMETAGLATPMTIAARMESRWSCSFNLTTTTTTTTAFVNHTFPLSVSKNNKRRTSYNCSCRGRDDDPLSTFSAYAVLGVQPNCSAAEIKAAFRAKVKQFHPDLNRDENETSDVMIRRVIQAYQILSNYTPSQIIETECLDPFDRPECEAFDVFVNELLCVGKACSNSCVERAPHAFTFVPSTGTARAISQDQGEDYQVQCAVGQCPRSCIHYVTPSQRILLEELLHSILDVPYDTSAEAEMLYSLITKAKFENNRYQKPKKKTKYSTQHVDWF >CAK8544665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698288766:698290280:-1 gene:gene-LATHSAT_LOCUS13322 transcript:rna-LATHSAT_LOCUS13322-2 gene_biotype:protein_coding transcript_biotype:protein_coding METAGLATPMTIAARMESRWSCSFNLTTTTTTTTAFVNHTFPLSVSKNNKRRTSYNCSCRGRDDDPLSTFSAYAVLGVQPNCSAAEIKAAFRAKVKQFHPDLNRDENETSDVMIRRVIQAYQILSNYTPSQIIETECLDPFDRPECEAFDVFVNELLCVGKACSNSCVERAPHAFTFVPSTGTARAISQDQGEDYQVQCAVGQCPRSCIHYVTPSQRILLEELLHSILDVPYDTSAEAEMLYSLITKAKFENNRYQKPKKKTKYSTQHVDWF >CAK8573637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626857787:626858923:1 gene:gene-LATHSAT_LOCUS26066 transcript:rna-LATHSAT_LOCUS26066 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLWSPDIEEEIIPLCRELGIGIVAYSPLARGFFAGKSVVETLPSQSLLNFENNIGSLNVKLTEEQLREISDAVAVEEIGGKRDYSSLSQYMWKFSTTPLK >CAK8570678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:86694711:86695212:-1 gene:gene-LATHSAT_LOCUS23414 transcript:rna-LATHSAT_LOCUS23414 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFHGKRDRRSEYGESVCRYGVCLNGFEVTEWCFFYAVYSWFRFFRRNKSVVVTMLKVGERVLWVQQGWCLKIRLEWVPVFLKEDFGFVIAVGCSEEGDTEDDKTMVRFVQITAGFVARGCFLSFFLAI >CAK8562435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:490772424:490773752:-1 gene:gene-LATHSAT_LOCUS15931 transcript:rna-LATHSAT_LOCUS15931 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKVISTATIQAPSHNNGNSTEIIELTPWDLQFLPLETIQKGLLFHQSTLTSNLNQINHLKQTLSTTLSFFPPLTGRLIITQHNNDASCSIICNNLGVLFVHAKAENTTVSDIIQPNYIPPIVHSFFSLNGVKNHEAISQPILAVQVTELSNGIFIAFTINHAVSDGKSFWHFVNSWSQISKGSKKLSKLPSLQRWFPNDIELPIRFPFTITETQNKSDSKKLPERIFHFSKEKISELKSKANSEAKTQTEVVTEISSLQALLSHIWRRVICCKQPGPQEDFRYMLVIGARPRMSPPLEDDYFGNAAVIGGVNMKAEEILESGIGKVGLEMNKMIMLHSDEKIRKLYECWMRVPRLLEVGGLASGNSLATSSSPRFDVYGNDFGWGKPVAVRSGGANKNNGKITVYAGAEEGSIDIEVCLSYEILEALGNDTEFVVPNSK >CAK8578214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612919501:612921978:-1 gene:gene-LATHSAT_LOCUS30247 transcript:rna-LATHSAT_LOCUS30247 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNRQLLFTTSNLCKLTHHFSFLSRSQSFTSPTPFAAKLTSLHSTTGFTSTRKTPGLFKNSNFLGHLSLSRCYCNNNNSGGSKEWTEEIEYLDESGSVIYKGKGVRSVEPGIDDHVMVGEVKKPFLNALAVAKIVEVVKRWKWGPELETQLDKLQFVPNMTHIAQALKVINDGDACLSLFRWSKRQSWYSPSDDCYVMLFDGLNQRRDFDGIQSLFDEMVGDSGNSGVSLVVSCNRVIRYLAKAERLEVAFCCFKKIQDGGGEVDTETYNSLITLFLNKGLPYKAFEIYERMEKANCKLDSSTYELMIPNLAKSGRLDAAFKLFQEMKGRDFRPGLNIFASLVDSMGKAGRLDSAMKVYMEMRGYGYRPPPTIYVSLIESYVKSGKLETALRLWDEMKLAGFRPNFGLYTLIIESHAKSGKLDIAMSAFSDMEKAGFLPTPSTYACLLEMHAASGQIDHAMKLYNSMTNAGLRPGLSTYTVLLTLLANKKLVDVAAKILLEMKAMGYSVDVTASDVLMVYIKEGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGIYESAKPLLETYVNSAAKVDLILYTSILAHLVRCQEEENERHLMSILSATKHKAHSFMCGLFTGPEQRGQPVLTFVREFFQSIDYELEEGAAKYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIASVHTLHRFRKRMLYYGIVPRRIKLVTGPTLKIVIAQMLSSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNILFPNSAPEIRSLSPPKPLIAGRAM >CAK8568619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624824958:624825203:-1 gene:gene-LATHSAT_LOCUS21561 transcript:rna-LATHSAT_LOCUS21561 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPYRGRGRGYGRGGRGSNNMLPQPKSNIPLIGDLTTIYKGRKMQQSPASSEKRKILLSLPLIKLHHTRKLRLITHLKNK >CAK8571490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:430178739:430181515:1 gene:gene-LATHSAT_LOCUS24151 transcript:rna-LATHSAT_LOCUS24151 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTVPAVTASLFVGDLHSDVTTDQLYAAFSEFKSLASVHICKDSVTGKSLCYGYVNLTSRQEAICAIELKNNSLLNGKVIRVMWSSRDVRKSGKGNVFVKNLAESIDNVGLHDLFQEYGNILSSKIVMSEDGKSKGFGYIQFDSEESANDAIQKMNGSTVRDKQIFVGKFIRKSERSFADPDAIYTNLYVKNLDPVITEAHLKEKFSSFGKIISLAIAKDDKGLSKGFGFINYENPDDARRATEAMNGTQFGSKNLYVARAQKKVEREKILHQWFVERCMEKNLKYKGSNIYVKNIDDSVSDEKLRGQFSACGTITSAKVMRDEKGKSKGFGFVCFSTLDEAVKAVNSFHGRMFHGKPLYVAFAQRKDVRQSLLQLQHTQKPAGLAGPSTAVTPGALSPIIHTGVVYHHPMGSTAFYHSSGSIISNKATTQQWKNMSWMIGNTDSKESSTHSGQAKHVASGWQQQEMEEQSGISPAMQFLDQRTHVSGQDVLHSDSILAKVSII >CAK8544022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:657177381:657178718:1 gene:gene-LATHSAT_LOCUS12733 transcript:rna-LATHSAT_LOCUS12733 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNENHRVIDIWKLDTERLASMQLKISQNPKLLSKSAGKRSCTILRVPQSLIEANGKAYQPRIVSIGPYHRGQPRLNMIEEHKYSYLNSLLTRTQLPLQEILKAIAPLETEARECYSEAIQLDSHEFVEMMLLDGCFIVELFRKVARLVPFEDGDPLVNMQWILPYFYRDFLKLENQIPFFVLQRLFEISKPSHENSTFTLSSLAMEFFNNSLQRPEEVIATISKQNEVPKHLLDLVRSSFIPISEKEKEHKRIKTPTHVIHCVSKLRHAGIKINPGKNSERESFLQVKFKHGVIEMPTITMDDFMTSFLLNCVAFEQCYSGCSMKYFTTYVTLLDCLINTYRDVEYLCERNIIENHFGAEGEVAHIFNNAGKDVVVDLDLSYLSGLFNEVHHYYQNSWNVTWASFKYTYFNTPWSFISAFAALVLLILTIAQTYFAAYQYFDN >CAK8540253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547098474:547100097:1 gene:gene-LATHSAT_LOCUS9276 transcript:rna-LATHSAT_LOCUS9276 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRKTKVSDLLKTPSPVASPTESSPKSPIFTRAASTSKGVKRASAALTTTTSPPRSSHKAPNNISDLKRLASSSINDLKRRIDRSHSEILKDLEASHSRLHKRFKMQSQGCQQATEEAEKDYKKLSERITESRDAMKASYEEFMVDAQATASRACKTSITELSQKFEKAIDSIRNRHGITSK >CAK8542634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533973967:533981917:-1 gene:gene-LATHSAT_LOCUS11458 transcript:rna-LATHSAT_LOCUS11458 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFQHSFSIIIFLFSVALLMISIINIPTCLCEDDNQYTNCNNAFTCKNSNISNLNYPFWGGNRKQFCAGITGDPNTELTCNGGVPKITIKNVKYSILEWDNTTQKLTVARDDYSSGNVCVMNNNHENSTFDNTQFRSYGDVANVTLLYGCDVGTGNSPNLFYDINCGDGKYVLYTVVYSASYSSFCTPSVTVVIPILQTQAAQLGSGNGTVNDAFKDGFELKWNGNYGKCEVCVDSGGVCGNNGGTDFRCFCKDGRQKISCTLEKPSSSRSIVKWRFIVIGAAGFGVTMFFIIITVCCYLKRGIGRQSFFRKRRKLVDHVEVFMQSYNSSLPRRYTYIELKRITNSSRDKLGQGGYGVVYKASLLDGRQVVVKVINESKGNGEEFINEVASIGRTSHMNIVSLLGFCYEENKRALIYEFMSNGSLDRFIYKSESPNAICDFDWNTLFQIAIGIARGLEY >CAK8572407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534571580:534573640:-1 gene:gene-LATHSAT_LOCUS24978 transcript:rna-LATHSAT_LOCUS24978 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKVTHAQSQSLDHKTLTPPPTTIDGSSVQIQNLKNLNTVLLKETTDHRNRIQSLLHSNQAAMEAEAQISEESFALEIENSVFFVFARAHIAELGFRFDKVVEERDETKYEVDLQKEKVNDLVLSLKNEKRNVEKIRLEAGHLLEEKLERESRVEELEKDKDLAVKKSVESEKVIDELKEKIDLLVKEKNEIENVNSTKGTKIFDLERELQQHSDLLKNSRKELELMCGTVREMEETIGLAVEKEREMRVENSNLVGERKEIEKSIEILTEARDGAFRKLDVVQRELENRQREVDEANRARDEIEKVKVSGENEIIELQSEVKRLRGVADELKMSSKEFEEKNSGLLSQVHHYRNVIKEMESLNELNLKKLEEEKNKVESFESQVVVMQEKIEQLLSQVQAYKKAVDEVVLERDNIKKGYDEELNKVKNLESQVVATEKKIELLLAQVQYSKNAVDEVVLERDNIIKGYDEEKTKVGNLELQVAELKLEKDTIKKGYEKEKKNLEILELQVVGLKEKMGNAEADLAKLRSEKQKMNERNKVLGGQVDVLIKEKDATHSSLVAAQRERDELRAKFDSSCINSKQALELLKNTAAAVVVKESVEVIPRGGKKKHEKEEIQSFAEELEAIKKAFEVKNEYVDQMKQQVVSLQKSVSDAHKGKHLWTGISSAAAIFAAGLTAYLAKGR >CAK8579235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686727240:686729029:1 gene:gene-LATHSAT_LOCUS31198 transcript:rna-LATHSAT_LOCUS31198 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLLLPLLVSLSLPSLNVVESRKTPAVEHYVEYCAANCRAYSASVTEFGAVGDGQTSNTRAFQTAVDHLSQYSANGGSQLYVPPGRWLTGSFNLTSHFTLFLHKDAVILASQDESEWPVIEPLPSYGRGRDTQGGRYSSLIFGTNLTDVVITGDNGTLDGQGEVWWQKFHKGELSYTRPYLIEIMHSDDIQISNLTLVNSPSWNVHPVYSSNIVVRGITILAPVNSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPTSAVIALGSEMSGGIEDVKAEDILAINSESGVRIKTAVGRGGYVRDIYVRRMTMKTMKWVFWMTGDYGSHADNNYDPNAIPVIQNINYRDMVAENVTMAAKLEGISNAPFTGICISNVTIGLAKKAKKLPWNCTDIAGVSSGVTPVPCGLLPDQGVEDVAACTFPEGSLPIEEDVEVQTCTYRINL >CAK8536467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944264076:944264864:1 gene:gene-LATHSAT_LOCUS5834 transcript:rna-LATHSAT_LOCUS5834 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPEGPYDTSLLVKYEHHVAYRIWFGEERGSKKKLKVAGHGIKLTQRVPLQLPREIESWISRSGLASLQRTSLTKIDTNLVSAFAERGVLWNPQDISEALAIEWAVDYLGVSQRIAQQQVRECKGSYYKLEWLYDRFVEHRVASRWDYATGAYLLMLVGSTIFANKTFTLVEARYLTLFIDLDGLSGYS >CAK8533819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662793429:662798370:1 gene:gene-LATHSAT_LOCUS3414 transcript:rna-LATHSAT_LOCUS3414 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNTIKERELDLRGNKISVIENIGATEDQFDTIDLSDNEIVKLENLPFLNRLGTLLINNNRITRINPNIGEFLPNLHTLVLTNNRIVNLVEIDPLTSLSKLQFLSLLDNNITKKVNYRLYVIHKLKSLRVLDFKKVKNKERLEAKNLFESKEVIEEAQRTPAKPVSPVETKDVSEATEEQQTPKVTAPTPEQIIAIKAAIVNSQTLEEVARLEKALKSGQLPADLKSLTDNMITDNVNEKHEDVVLSESNDTQEQGNTDNTQMEED >CAK8565282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:97878381:97879196:-1 gene:gene-LATHSAT_LOCUS18507 transcript:rna-LATHSAT_LOCUS18507 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHMMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVPSTQPIRAQPVSLYNPPIHMQNIDIEDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCVDYWVYQSDNERYVIQCKK >CAK8573874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643687226:643688882:-1 gene:gene-LATHSAT_LOCUS26274 transcript:rna-LATHSAT_LOCUS26274 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVHIERNIQYNGSNYFINSASSMEENNSIMRGVYTSDNNIWNNGYLHHNYNLHNFSQPPWRGWVGLMATDCLDCQHLQAIIEEGDPRYVAMILFEIKDNLHEMMKHQYSNYLIQKIFEAKKGITNIQIDSIIYLIISDTQKLCDVCNNNHGTRVVQIMLENIKCPLTKYAVVYTIKPIIVELMTNINGGYVIIQCVKVLPPTLKKVIMDELTKYCVDIATHKIGCSVVQTCLKDSGILANDLITTIISNAMLLAKNRYGNYVVQFIIKMNFPLVNKRMIAELCGKFIRLSMDKHGSNVVEDLLKCSDQDDVNAIVRELMRNTNFLKVIQDPYGNYVAKRAIKCTKGYLRRKLSSLIRSYRNELQNHPHGKIVFDNVKSKKR >CAK8564066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649171753:649179684:-1 gene:gene-LATHSAT_LOCUS17407 transcript:rna-LATHSAT_LOCUS17407 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHAVAPSVKKKRKRSCSISQISDLKTLFALLLASLSTQPQTTLPFVNKCLFKFRNSPLISKSSITPILAILPTLLSSTHSKIARRAANIIGAASLVSLEINEEIASDSQTVKGLISLLESSDRDVMFSACNAVLDLSITTFAQQQLLSFSALHKLMFVSLQIFKRLESVCLWSEGNESFHSLKIGFREDELSMALLNAITVLINACDLKQLHNIPKSLSEAILSRLKEIRANATHNLLIKGAVKSNDEVRVCKSRIGVSVLAESIFRLSIYASQLTVSLPFEVVQRGLFGTSDTSFEDFMSSYWEVSPFLLTRTLGDLKVNDMFNPFIQSLNWNGSVPSLLHSTLRGLVSCFPIASEEQNILSFLNEVKDRLGCPIIYQQDIRVVKTESQSRRERHYFQDFHPDCLKEPLCLTTEDVLKCEQAYKEGYTVALRGLEFRYQSIAAIADALALMFGQPSVGANLYLTPPNSQGLARHFDDHCVFVCQIFGSKKWRVYSRPGQLLPRLYDNLCGSDIDCTEVGRTEFFLREGDVLYIPRGFPHEACTSYGVNDGSTGFSLHLTLSFEVEPPFEWEGVVHFALHCWSENWKSRCCDAINSLSQKLVLVSVNLLHVAIGIISTTDPNFRKACLTAAFSLPSDVYNRLTQKQRKTFLDLIDKIHNECKFSEVLSNVEVAIQKNKDPFAQIRWLWVLSMEKEANNGYTDRSFIIDDLRLLCANHKDELEAAFLKVKSMFSSEVVFDDIVTSHRMLLQRFKKTRRQYINGMISLHDRL >CAK8538315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476764036:476766461:-1 gene:gene-LATHSAT_LOCUS7528 transcript:rna-LATHSAT_LOCUS7528 gene_biotype:protein_coding transcript_biotype:protein_coding MINNSSPQDWPEPIIRVQSLSQTCIDSIPQRYIKPLYDRPSTNSLFESTNIPIIDLRGLSSVDPNEKASTFKKISEACNEWGFFQIVNHGVSHDLMDLAKETWRQFFHLPMEVKQEYSNSPKTYEGYGSRLGVKKGAILDWSDYYYLHYLPLSLKDYNKWPSLPPSCREVFDEYGKELVKLCGRLMKVLSINLGLEEDYLQSAFGDPGGMTILLPDDEVNGLQVRKNDNWITVKPLRNAFIVNIGDQIQVLSNATYKSVEHRVVVNSHKERVSLAFFYNPKSDIPIEPAKELVKPETPALYPAMTFDEYRLFIRMRGPCGKSQVESLKSPR >CAK8538316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476764036:476766461:-1 gene:gene-LATHSAT_LOCUS7528 transcript:rna-LATHSAT_LOCUS7528-2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNSSPQDWPEPIIRVQSLSQTCIDSIPQRYIKPLYDRPSTNSLFESTNIPIIDLRGLSSVDPNEKASTFKKISEACNEWGFFQIVNHGVSHDLMDLAKETWRQFFHLPMEVKQEYSNSPKTYEGYGSRLGVKKGAILDWSDYYYLHYLPLSLKDYNKWPSLPPSCREVFDEYGKELVKLCGRLMKVLSINLGLEEDYLQSAFGGEDIGACIRVNFYPKCPQPELTLGLSSHSDPGGMTILLPDDEVNGLQVRKNDNWITVKPLRNAFIVNIGDQIQVLSNATYKSVEHRVVVNSHKERVSLAFFYNPKSDIPIEPAKELVKPETPALYPAMTFDEYRLFIRMRGPCGKSQVESLKSPR >CAK8569030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664749358:664749795:-1 gene:gene-LATHSAT_LOCUS21927 transcript:rna-LATHSAT_LOCUS21927 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWIPVMKTFLPGTIIDLQTLPATSSDGSQNSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTNR >CAK8531581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126984446:126985555:1 gene:gene-LATHSAT_LOCUS1363 transcript:rna-LATHSAT_LOCUS1363 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHSTHTNLGKDSTSFKTSATGSIARWGDSFEVSMSKWNENDHTEAWGAKYKTGLKNHTCGFNLKAINDNNGIESIHFGLLDTANNKTEFSVNISRIDRDTLRGGITGLNSSACPKSFTRTKPNCLIETTVVSYHCSYREGLFVLEMKKKGKSENACIVNLAHYYVTKDVGLSVRAKIYRNKGNSFVVEVEGPIKHRSVDLRKVVVKTCSSGVWSPGACSHCKGTKTKASTGVKNEEYTSSLKAHTHVQHSGSLMLKGESHVQHSSSLMLKGESHVQRIDRKVGGEVVKQAFFASSVREQFNTGLINSTGYTSGSLNNSIIFVDCNF >CAK8561533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:277982322:277983674:1 gene:gene-LATHSAT_LOCUS15115 transcript:rna-LATHSAT_LOCUS15115 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMEKKYISSDELQKHNKKGDLWISIQGKIYNVSDWAKDHPGGEVVLLNLAGQDVTDAFIAYHPGTAWKHLDNFFTGFYLDDFKVSEVSKDYRRLVSEFVKLGLFEKKEHVTLFTLTSVAIMLAIVVYGVVGCSSIWAHLGSGMLLGLLWMQSTYVGHDSGHYEVMSSRAYNKLAQIICGNCMTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPVFAVSSRLFGNIKSYFYDRQLTFDALSRFLISYQHWTFYPVLCFARLNLYLQTFLLLFSPTRHVPDRLYNIMGIAVFWIWFPLLLSCLPSWTERLMFVLACFVVCSIQHLQFCLNHFAANVYVGPPNGNDWFEKQTSGTLDITCSTWMDWFFGGLQFQLEHHLFPRLPRAQLRKVSPLVIDLCKKHNLPYRSLTFVEANLWTLKTLRTAALQARDFTNASPQNLLWEAFNTHG >CAK8561713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:363695113:363697765:-1 gene:gene-LATHSAT_LOCUS15278 transcript:rna-LATHSAT_LOCUS15278 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLVATTIDPASINPANALLALPGWQPGPYFQDDIKSFVNERLRVLLHGKSIIVEDYLDERWEEVTGEVVDEVIFLSKHTAASNKPALTVHPIGVPHLREGDVPPQGGKPGWAALPNPRIGPWIRLLKNIAQAHNLVPEFEITLEATHHGPLTNKPTMFLEIGSTEEYWKRQDAAQVMAQLVWEGLGLGGGTDVGNWSRANDKKKVLLGIGGGHYVPRHMDVILKDDVWAGHLLSGYSVPMEDPKGETNVEIGGTWKQSIKVAYEATKSAFPGGEILAHLDQKSFKGWQKNAITGFLAEQNIKIGKPNNFY >CAK8575766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:315809585:315811319:1 gene:gene-LATHSAT_LOCUS28002 transcript:rna-LATHSAT_LOCUS28002 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEKKKGLDDEKSLVEKRLKEFEFAMESILQHLEESNNVESGEDFVPVLRFDGNFDWKKIHSLIVRELRRLEEGLPIYAYRQEILPQIHHQQITVLIRETGSGKSTQIVQFLADSGIGADGSIVCTQPRKIAAKSLAQRVQEESSGCYEESSIQCVSTFSSSKKFDSRISFMTDHCLLQRYMGDRNLSGVSCIIVDEAHERSLNTDLLLALINNLLCKRVDLCLIIMSATTDAKQLSDYFYGCEVFHVNGRNFPVEMRYVPSEYGHHSGSAAVESYVVDVVKMATEIHKTEKEGTILTFLTSQVEVEWACEKFKVLSAVALPLNGKLTSEEQFHVFQNYPGKSKVIFSTNLAETSITIPGVKYVIDSGLVKDYRFDPCTGMDVLKVCWISQSSANQRARRAGRTEPGRCYRMYSEADYHSMELNQEPEIRRVHLGVAVLKILALGGEECAGF >CAK8531328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100915594:100916973:-1 gene:gene-LATHSAT_LOCUS1128 transcript:rna-LATHSAT_LOCUS1128 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFRAFWNHPIGPKTVHFWAPTMKWGISIANIADFTKPPDMISYPQQIAVMATGLIWARYSTVIIPKNWNLVSVNLAMAGTGIYQLSRKLRQDYSSEEAVAKE >CAK8565567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:279740927:279742339:-1 gene:gene-LATHSAT_LOCUS18767 transcript:rna-LATHSAT_LOCUS18767 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNQNNTPDGSGDVPLKRKRGRPRKYPRPDSAESSYMQLSQNRKQTPIRAEHSPVPPGFQTVNGNEHLQRGLESEPNDAAVGQVVSGVIEAIFDAGYLLSVRVGNSDTTLRGLVFKTGRFVPVSPENDVAPGVPMIQRNEVPFPSRPTQFHTPLSKEKNGQPASTSRIDTLPMNGSQSVPQVPRGTVSSGNMVAASRTNVPYATGQTADQLARGNVVPILFQPSFLNGMTASTSPVQITPVSLAGGVNIAGKEIPVDGNQALNSPAQTSQNLFPSSMQSKGVPSNYQSSDVLNKYADKSSTVASMPFEQLVTEGVKRLETPTDAMDSKTGNRTSGNNLASEDPSIMQVDKVNDAGQSVLVEPNFASASKASDYTETGKMTELLQQVLQNNKTENQEGKAAEAGSGDKLGDIRTFGTGFQDGGTVHSTNPF >CAK8565383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156516289:156516675:-1 gene:gene-LATHSAT_LOCUS18603 transcript:rna-LATHSAT_LOCUS18603 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAGSAAKAVAEYQYPWREKLVKYKDELAKGVWGYWELGAWKPLSISAQHRARLRKEVLLAGEDWTFDPERKEMKTRQKGHKVDRIATEKRANTARLMENMPDMLLDYKKKKWQKKMKEEDRGKL >CAK8532287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239774493:239774965:1 gene:gene-LATHSAT_LOCUS2012 transcript:rna-LATHSAT_LOCUS2012 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFYFNDMVISSAFGFPDLFITFTCNPNWPEITRLLSKENLKPHDRPGIIVKVFKIKFDELMVDLTKRHMLGKVSTYMLQLNSRKGACHMHTFLFSYTHRVSILHRETLTTSSLQKSLILFCIRGYIN >CAK8540986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39169130:39173081:-1 gene:gene-LATHSAT_LOCUS9940 transcript:rna-LATHSAT_LOCUS9940 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKMGETVELQNEEELSLLSLSDNSDRSWRLNFESFQFSSEHTEKTPKPSRGIHDCYGVLGQEDNIAEYYQQQVEVLEGFTEMDALAERGFIPGMSKEERDKLARSETFAIRISNIANMVLFTAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTLIYSDNTFNLTREQECWVMGIMLSVTLVKFMLMVYCRSFTNEIVKAYAQDHFFDVITNLIGLIAALLANYFDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPAGMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYSHKPEHAQAHS >CAK8538193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468398263:468399935:1 gene:gene-LATHSAT_LOCUS7420 transcript:rna-LATHSAT_LOCUS7420 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEALVNSSWELFKQNPGYSVLFYTIILKKAPAAKGMFSFLKDSTSVVDSPKLQAHAEKVFGMVHDSAVQLRTSGEVILGDATLGVIHIQKGVVDPYFVVVKEALLETIKEASGEKWSEELSTAWEIAYEGLASAIKKVMS >CAK8541197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:87224610:87225392:-1 gene:gene-LATHSAT_LOCUS10133 transcript:rna-LATHSAT_LOCUS10133 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHMKQNGVISWSTFFLFTIVVVLSSIISTSLIFSFIPSFHFPKTVSKWRTPPPPQITIRETVTLLDQVLIVLNYPLSFHRQTKNDIQCVYLSADSEPRLQEPIQLHSVRFHEQIVRCPMPPRDETVSLRIKSNEPLQIKNSSIHNWDPLVYEALFDRDNTTIVFVKGLNLRPEKLYEPSRFQCVYGWDFTKPKFLFKSDVLSAAQEIIRCKTPPRILAQIQTQAHTQHEGFIKVSIQIEGKQIFPSIARPELISGTIL >CAK8536047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902405117:902407684:1 gene:gene-LATHSAT_LOCUS5449 transcript:rna-LATHSAT_LOCUS5449 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEHANWSPYDNNGGSCVAVAGSDYCVIAADTRMSSGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSETEAVDLVKTVFASASERDIYTGDRVEIVILNASGIHREFLELRKD >CAK8533136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:582497619:582498047:-1 gene:gene-LATHSAT_LOCUS2784 transcript:rna-LATHSAT_LOCUS2784 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKVTQYLRVFNHFDENGDGKISPSELRGCVEAIGGEMSSDEAEVAVELLDSDGDGLVGLDDFVRFVEGGKEEEKVKDLREAFKMYEMEGCGFITPKSLKRMLGKLGECRSVDECKAMIAKFDIDGDGMLSFDEFRVMMF >CAK8531564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125346301:125347122:1 gene:gene-LATHSAT_LOCUS1347 transcript:rna-LATHSAT_LOCUS1347 gene_biotype:protein_coding transcript_biotype:protein_coding MKFINNINNIDLKIFYIIVLCFAVENCMAHVFSDHPANINEPKPLFRRHQANPPNAQPPAESAHSPSPHEAPISDIELESEPASAHGRTSFGHSSSFKAAFSDILKGSIRNPPVSASELAESKQSSLGIEQICMHTEYPDICLATISPHLTQNFDMINVLEAAIKACSIQIKLTITKVAKHAARNPEVANAVLECKDQYQNAMDNLQKAMDALPARDLGTVTVMLSTVMADVSTCESAFEDLKQSPNNEQSKTDGLVSITVSNCLSIASLIPY >CAK8533828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663788335:663789312:-1 gene:gene-LATHSAT_LOCUS3422 transcript:rna-LATHSAT_LOCUS3422 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRVPTDDVLRHCGMAGPSICNLCLHTEENINHLFFLQCEYSRRIWNWLHDMLNVNFSFSDMQDCFIAMHKSGVAQCMVVYRASIIAVVNGIWRARNYARFQNRFIPWRTTCSSIYATSQLTGNSFKGASSMDIGDFQVLKKFSISINPVNPKMFVEVIWNPLPNGWIKVNIDGASCGDPINATCGGIFRDHFGNHIESFACNLGSVKSLFAELVRAILAIEHALVRGWTNIWLESDSSLVVMAFSKPSVVPWKIRNRWDNCTATLGNCNFLASHVFRKGNHCADKLANIGLNISNFTWWNDVHWDIEPDFCRNRLGLSNFRIR >CAK8570074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23190258:23191166:1 gene:gene-LATHSAT_LOCUS22858 transcript:rna-LATHSAT_LOCUS22858 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKEEPPCSGEQTLNLMSTLKELHKLNSRDLYRLLKDSGSGNLSAHYLTEKGVLLKVDIGKLAQSLPLHLTTILIFSRRDDDVFQYVLCGIRLLHSLCDLASRHPKFDQIFLHDLKVVEQLIDLVLYILTILSTYRQEDHASRLMYLSHSALLACNLHLITGFISTQFQDIANVLLAHPKVDLFMDAAFGSVRVVVRSLEITLIVCYKDFSMESNLSSEQVVYFLCQQCEASLQFIQSLCQQKLFRERLLNNKELCGKGSILFLAQSILKLNIQSSSPTRIVAGISRLKAKIISISAEFV >CAK8569379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697353458:697353796:-1 gene:gene-LATHSAT_LOCUS22236 transcript:rna-LATHSAT_LOCUS22236 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTKPCKPSQKTVLKQILKRCSSLTKKNGYDQDEDDIQDLPLDVPKGHFAVYVGENRSRYIVPISFLTHPQFQSLLRQAEEEFGFDHHTGLTIPCHELVFRSLTSIMLRS >CAK8540534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10193910:10198786:1 gene:gene-LATHSAT_LOCUS9532 transcript:rna-LATHSAT_LOCUS9532-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSGSALSTTNYSAATITGTGGCARYPHLRHSRRASSSPLFQFQSRFIHPLKTQNSKPLRATTTSTISCSSNIEKAKLLRRILDSPGVHQGPACFDALSANLVQSAGFPLCFTSGFSISASRLGLPDTGYLSYGEMIDQGRLITQSVDIPVIGDADNGYGNAMNVKRTVKGFIAAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARNESGSDIVIVARTDSRQALSLDEALYRSRAFADAGADVVFIDALASKQEMEAFCQVSPLVPKMANMLEGGGKTPILTPLELEDIGYKIVAYPLSLIGVSIRAMQDSLTAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATRTDQLLSKRDSSGNPFSGIWSRTLRIKITGRDGAEKLDLRIPAGFLDGITNIVPALVGVNLKKLLNNATEETGGKLLDFNDRMGDRIQVFLE >CAK8540535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10193910:10198786:1 gene:gene-LATHSAT_LOCUS9532 transcript:rna-LATHSAT_LOCUS9532 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSGSALSTTNYSAATITGTGGCARYPHLRHSRRASSSPLFQFQSRFIHPLKTQNSKPLRATTTSTISCSSNIEKAKLLRRILDSPGVHQGPACFDALSANLVQSAGFPLCFTSGFSISASRLGLPDTGYLSYGEMIDQGRLITQSVDIPVIGDADNGYGNAMNVKRTVKGFIAAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARNESGSDIVIVARTDSRQALSLDEALYRSRAFADAGADVVFIDALASKQEMEAFCQVSPLVPKMANMLEGGGKTPILTPLELEDIGYKIVAYPLSLIGVSIRAMQDSLTAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATRTDQLLSKRESSSLYSNEQRDQADTKQTSQTINDPIVEVITPDMYNKYGADSSGNPFSGIWSRTLRIKITGRDGAEKLDLRIPAGFLDGITNIVPALVGVNLKKLLNNATEETGGKLLDFNDRMGDRIQVFLE >CAK8564673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6932254:6934548:1 gene:gene-LATHSAT_LOCUS17953 transcript:rna-LATHSAT_LOCUS17953-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSETPLLLESETIDGVVDFKGRPVLRSTSGGWKAASFIISVEVAERFAYYGITSNLINYLTGPLGQSTVTAAENVNIWSGTASLLPLLGAFLADSFLGRYRTIAIASLIYILALSLLTLSATLPSNVDGQAILFFFSLYLVAFAQGGHKPCVQAFGADQFDINHPQERRSRSSFFNWWYFTFTAGVFVSVSILNYIQDNVGWVLGFGIPCIGMLIALSLFLLGTWTYRFNIPEDQQRGPFSRIGRVFFVALTNFQTSQEEPPPSLLHQPSQQFSFLNKALIASEGTKEKGKACSVSEVEEAKAILRLVPIWATSLIFAIVFSQSSTFFTKQGVTLDRKILPGFYVPPASLQSFISLSIVLFIPIYDRIIVPIARTFTGKPSGITMLQRIGTGMLFSVISMVIAAFVEMKRLKVARDHGLIDMPGLQEFFYDQVPDELRSMGLSLYLSIFGVGSFLSSFLISAIQKGTSKDGGDGWFASNLNRAHLDYFYALLAVLSVVELSAFLFFSKSYVYKRSTT >CAK8564672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6932254:6934548:1 gene:gene-LATHSAT_LOCUS17953 transcript:rna-LATHSAT_LOCUS17953 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSETPLLLESETIDGVVDFKGRPVLRSTSGGWKAASFIISVEVAERFAYYGITSNLINYLTGPLGQSTVTAAENVNIWSGTASLLPLLGAFLADSFLGRYRTIAIASLIYILALSLLTLSATLPSNVDGQAILFFFSLYLVAFAQGGHKPCVQAFGADQFDINHPQERRSRSSFFNWWYFTFTAGVFVSVSILNYIQDNVGWVLGFGIPCIGMLIALSLFLLGTWTYRFNIPEDQQRGPFSRIGRVFFVALTNFQTSQEEPPPSLLHQPSQQFSFLNKALIASEGTKEKGKACSVSEVEEAKAILRLVPIWATSLIFAIVFSQSSTFFTKQGVTLDRKILPGFYVPPASLQSFISLSIVLFIPIYDRIIVPIARTFTGKPSGITMLQRIGTGMLFSVISMVIAAFVEMKRLKVARDHGLIDMPGVTIPMSIWWLIPQYVLFGVSDVFTMVGLQEFFYDQVPDELRSMGLSLYLSIFGVGSFLSSFLISAIQKGTSKDGGDGWFASNLNRAHLDYFYALLAVLSVVELSAFLFFSKSYVYKRSTT >CAK8535520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:857863264:857864910:1 gene:gene-LATHSAT_LOCUS4975 transcript:rna-LATHSAT_LOCUS4975 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSKHLKLLSRLTFYKPHSHTPPFNSSKLNNNNIVTLICNSFRSKKNWDTITHNFTSIQLTNPLVEQILLQLKTPTDAKNALSFFHWSSKTHRFQHGLRSYSITINLLLQANLITDAKALLETLANKNTNTNSVRAVIDSLIHTSEFVSSGFHPPVLDLLVKAYAKARLTDVAFSVCRYVDELGFRIGLSSFNNLLHGAQRCDRFSTVWEVYGYMIGKRIYPNSVTLRIMIDALCKEGLLQRNVDVVDQIVGKRDSYSPSVIVNSSLILRMLEKEKEGEEGELVRLVTLLKRLLQKNFIGDSVAYSLIVHVKVRLGDLDCALEMYNEMVRRGFSENSFVYTSFIEAFCEKGRIEEAIRLMREMEGKGLRPYGETYEYVIVGCVNSGRLKECWSVFEEMLSAGFVPSCLSFDKVAEKLGENGDVEKVNDVLTVLLDKGFLPSDFTYSHLINGYARNNKIQEVLKIYYEMEYKSMCPGLSVYSSMIKCLCRFGKVEDAEKYLRIMKGRSLIPNVTIYETLLAGHLQKGNHDRALQLRNEMASLKLQHC >CAK8568312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592865436:592868293:-1 gene:gene-LATHSAT_LOCUS21279 transcript:rna-LATHSAT_LOCUS21279 gene_biotype:protein_coding transcript_biotype:protein_coding MASKENHSTKWIILSLLLLTTTFSNSTKLKTTPSTLSDPTSLLAFKSKADINDHLNFTTKTPYCNWQGVECNGHKVVRLVLRSLDLGGVFASHTLSLLDQLRVLSLQNNSLTGAIPDLSGLFNLKTLFLDNNHFTGSLPLSLFTLHRLKTIDFSHNNLSGDIPVDFINLDRLYYLRLSFNAFNGAIPPFNQSSLRTFDVSGNNLSGAVPLTTTLSRFQPPSFALNPNLCGEIIRRECRPTTPFFAPTSPPAVGIGQSANVHGLIRQPYEKKHNRKAAIIGFSTGIVFLILSLTCFAVVVMKQRKKKGKGSSGSSVMASDAAATAEAAVVMQMEQERELEEKVKRAQVAKSGSLIFCAGESQVYTLDQLMKGSAELLGRGCLGTTYKAVLDNRLIVTVKRFDCGKMGGHVNKEVFERHMESVGGLRHPNLVPLRAYFQANHERLIIYDYQPNGSLFSLIHGSRSSRARPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSTNVLLGPDFEACVTDYCLSVLTNPSTFDEVGDSAPYRAPETRNPNHQPTPKSDVYAYGILLLELLTGKYASELPFMVPGDMSKWVRSIRDDNGSEDNRMDMLLQVATTCSLISPEQRPTMWQVLKMLQEIKEIVLLEDSELDVRSSNAVDKS >CAK8538936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499822258:499826903:-1 gene:gene-LATHSAT_LOCUS8081 transcript:rna-LATHSAT_LOCUS8081 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALPLDKLALELISNEPSHGSTANGKIYVILVATGSFNPPTLMHLRMFELARDALNSRGYCVIGGYMSPVNDAYKKKNLISANHRIQLCHLACKSSEFIMVDPWEANQSSYQRTLTVLSRVHTSICETGLVSKESLKVMLVCGSDLLHSFAVPGIWLPDQVKSICRDYGVVCIRREGQDVEKTISDDEIMNENQANIEIVDELVPNQISSTRIRECITRGLSIKYLTADEVIGYIREHKLYA >CAK8568296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591662260:591663642:1 gene:gene-LATHSAT_LOCUS21268 transcript:rna-LATHSAT_LOCUS21268 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSIVQHVSECFIKPLHPIPESNRICHLTTWDIAMSSMNYIQKGLLFKKPSQSNNTQEFIDNLLKKLKHSLSLSLFHFYPLSGRLVTQKSQDPPSYSIFVDCSNNNPGARFIYATLDITISDILAPVDVPPVVKSLFDLNKAINHDGHTLPLLSIQVTELVNGVFIGCSMNHYIGDGTSYWNFLNTWSEIFQAQGQGYDHDVPISRQPFHNRWFPEGYGPLINLPFKHHDEFISRFEPPKLRERFFHFTSESIAKLKAKANRECNTNKISSFQSLSAHVWRSITRARCLTNDRKTSCMVAVNNRPRLKPPLPEEYFGTSVDTVTAEATAGELLENDLGWVAWKVHVAVTKHDDLEIQKSVEKWLESPVILDLDGHFDPLSSVAMISSPRFNMYGNEFGMGKAVGVLSGYANKCDGNVTAYEGHEGGGSMDLEICLSPNVMTELELDENFMNAVSVTNI >CAK8577229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546010411:546013005:-1 gene:gene-LATHSAT_LOCUS29355 transcript:rna-LATHSAT_LOCUS29355 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMRAAAAAAPRGLRRFFCSNPIPSSPTFPFTSTQPSGATSARQMADPNTNLFVSGLSKRTTTERLREEFQKFGEVVHARVVTDRVSGYSKGFGFVQYATLEDAAKGIEGMDGKFLDGWVIFAEYARPRPPPGEAVNNMTKQYGRPY >CAK8535433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847960046:847961962:1 gene:gene-LATHSAT_LOCUS4897 transcript:rna-LATHSAT_LOCUS4897 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQTQNLKQKRNKIRKRGCSSSTSSSSIFSRKYRFNRAILIGKKSGYSTPAPIWKTSSKSPSMATHHHHHSHHVTKNTAFHSFRSGLVSKEQEISVSARKLAATLWEINDLTPSRVMKESMKSNKDRDGEKVESLCRSMLLGPQKLDLDLSSNRLFSEKENNKAKEKKDCDKCKVGVKNRLKEAKNGLSGLKKLLKVLSQMVVEEKHSSRSMPLILAMSNELDHVHSQIEQFVREQSSNQSDVEYLMKYFEEEKNVWKRREREKIREATTSLAQELEIEKKLRKQTERMNMKISKEIEKVKASYEKLSKEHEREKRAKEILEQVCDELAKGIGEDREKVEDMKRESEKVREEVEKEREMLHLADVLREERVHMKLSEAKYQFEEKNAMLENLRNELESLIRKKEREKGDNDDNVEVEVDQGLKRLKDLGVYLNETFFEFQNLEEENDSIENEDESGESDLQSIELNMDNENKSYKWSYACENIPHFEAKRVSIDKDIGRRSFSDWGSICLNKGTNKSSKKDFVGLNIEESYDHNLESGKSIEIVFGDDEIHKHKEEK >CAK8577181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543248559:543248801:-1 gene:gene-LATHSAT_LOCUS29310 transcript:rna-LATHSAT_LOCUS29310 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRVTSENSGAASSLKLRYVNHKNGSSSEDSEFDSLCLSDTGLAALADGFPKLEKLRVVMLEIKAWLLLDSVASNLGI >CAK8538646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490601742:490603282:-1 gene:gene-LATHSAT_LOCUS7825 transcript:rna-LATHSAT_LOCUS7825 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNRVMKNSAKTSVPQFGGWDQKSTGATDYSMVFTQARANKKQQKIDLTEVKRGSIGNEVDLTKTNHGHAHPAQGHHGHHGHSHPHHGRHAHLVHAQPAHAHPVHAQPAHAQPHAQVCTPSQEDSVVMGKRRFLTYINCCIRP >CAK8572968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573820267:573825385:1 gene:gene-LATHSAT_LOCUS25466 transcript:rna-LATHSAT_LOCUS25466 gene_biotype:protein_coding transcript_biotype:protein_coding MGHETLLLTAPPTTTLMNHPLSVSVPSASATAAPPTSLAPGFRFHPTDEELVIYYLKRKFFGKPFRFDAIAEVDIYKSEPWDLSDKSRLKTRDQEWYFFSALDKKYGNGGRMNRATNRGYWKATGNDRPVKHDLRTVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVEEEMERDGSGPSQPQDAYVLCRVFHKNNIGPPNGQRYAPFVEEEWEEGLGMVPGAEPAGDGPVYLPLRIEGNSGVSCSEERSIVVKDTHSNNKTPLDVNKLPIETRNLLAVCKRESMTEYPSPEKNDSKRTHMDDEYPLQIVNPKPLPQIYKRRRHNLNSNHSNVSGESFPISQDPCSSTITTAATTLQPTIAPTTSTNPAPKKHFLSALVEFSLLESLESKENHTLVQPEDFDLANLESSVPPSVAKYIKHLQSETQKLGVEKETMRFELTSAQAMINILQSRVETLSKENGELKKMMMRNP >CAK8535080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:812864185:812867370:-1 gene:gene-LATHSAT_LOCUS4561 transcript:rna-LATHSAT_LOCUS4561 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRCFWLRLFQFCVLSSLLCLSLSLDNRTQPCDPSDLSALKEFAGNLTSGSIVKLWSNDSVCCKWIGVVCGGDKSGESVNRVTKLILSEMSLNGTISPSLAKLEYLTVLNLSFNHLEGKLPQELSKLKNLKFLDLSYNMLLGPVAESLTGLSSIEVLNISSNSFSEDFLLFHSVEFTHLLALNVSNNSFTGKFSSQICNTSKALHTLDLSLNHFDGDLEDLNNCTLSLKRLHLDSNSFSGTLPDSLYSMLALEQLSLSANNFSGKLSNELSKLTSLKSLVVSVNRFLGEIPNVFENLLQLEQLVAHANSFSGPLPSTLALCSKLRVLDLKNNSLSGPIDLNFTGLTSLHSLDLASNHLTGPLPSSLSFCHELKVLSLARNGLNGSIPESYAELSSLLFVSFSNNSLENLSGALSVLQKCKNLTTLILTKNFHGEEIPQSVPTAGFQSLMVLALGNCGLKNHIPSWLLKCRKLAVLDLSWNSLNGSIPSWIGEMDGLFYLDFSNNSLTGEIPKSLTEMKGLLCSNCGRPNLASYAFIPLFVKRNTSASGLQYNQASSFPPSILLSNNMLNGSIWPEIGNLKALHVLDFSRNNISGSIPSTISEMENLETLDLSYNDLSGTIPPAFNNLTFLSKFSVAHNHLQGPIPSGGQFLSFPSSSFEGNLGLCRDNDIDNATPCKIVNNMRPSLSPGSSKKFSRGNVLGITISIGVGLALLLAVVVVRMSKRPEDKTIGSFDEEMNSRPQRLLSAEALASSKLVLFQNADCKDLTVSDLLKATNNFNQTNIVGCGGFGLVYKAYLPNGTKAAVKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLKGYCRHGNDRLLIYSYMENGSLDYWLHECVDETSALKWDIRLKIAQGAARGLAYLHKGCEPFVVHRDVKSSNILLDDKFEAHLADFGLSRLLQPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGRRPVEVIKGKNCRNLVSWVYQMKYENNEQEIFDKAIWEKEREKQLLEVLSIACKCLDQDPRQRPSIEMVVSWLDSVRFDGSQQ >CAK8568509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612343086:612343808:1 gene:gene-LATHSAT_LOCUS21459 transcript:rna-LATHSAT_LOCUS21459 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEITTDQVKGKTTASSSSSNGKNNDNKKMYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEKAARAFDAALYCLRGRHASFNFPDSPSHLDITAVSNDPQQIREIAANFGNKYPPIVIDSNNNTNNGNDNRDQSKTVTEIIGSSTSTTSTTAMDDNGNTIDWTFLNVLEDGSSNDATENYDDFFYSELEKMHSDELLYYSSPPLFEEDNNQMELVEEDGYDPFSHQLFLWSWNEF >CAK8531764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148583327:148586432:-1 gene:gene-LATHSAT_LOCUS1530 transcript:rna-LATHSAT_LOCUS1530 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEREKKLFLSLFGNYAAELKLLITTLFLLCTVATFLQFIPSRFTISASDIRFCISRVTQTLPNNITATNNLTVTEKFVTVTEPLASVTNLPPPPPALVNNERVLENGIIKRAFNPYGSVAYNFVTMGAYRGGVNTFAIIGIASKPLHLYSKPTYECEWISAANGDGDSRKITTVAYKMLPDWGYGRVYTVVIINCTFSEPLNTDNTGGKLILYASTSGGGDTSLNITDRIEVLNEPNGTVDFSIFTSKPKYDYFYCGSSLYGNLNPQRVREWIAYHVKFFGPSSHFVIHDAGGVHAEVYEVLKPWIDLGYVTLQDIRDQERFDGYYHNQFMVVNDCLHRYKFMGKWMFFFDVDEYIYVPPKSTIKSVLNSLSEYSQFTIEQMPMNNQLCHSSDYGKTYRKWGIEKLVYKDVKKGIRRDRKYAVQPRRLFATGVHMSQNLDGKTTHNTEGRIKYFHYHGTIAQRRESCKVFLNTTETTYDKTPYGLDTTLRDIAGVIKKFELKMIGNRVQKTKL >CAK8533042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570003281:570006501:-1 gene:gene-LATHSAT_LOCUS2694 transcript:rna-LATHSAT_LOCUS2694 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDELRESFLQKKSDSEIIKNEESLSRRRVWEESKKIWVVAGPAMFTRFSSFGITVVTQAFVGYIGSTELAGYALVMTVLNRFATGILIGMASALETLCGQAYGAKQYHMLGVYLQRSWIVLFLTLILLSPLFIFTTPILEALGQEKSISEMAGKISLWSIGSGFAMIVSFSCQTFLQAQSKNVIIAYLAAFSMAVHLFLSWLLTVKLELGLTGAMLSLLLALWLPNVGQLVFIMTKCPDTWKGFSFLAFKDLWPCIKLSVSAGVMMCLETWYTTILILLTGNMKDAKVFISALSICLNINGWEMMIALGFFAAAGVRVANELGRGSSRATKFSIVMTVLTSFVIGFIFFLIFLFLKEKIAYVFTPDPNVVNAVGDLSFLLALSILLNSIQPVLSGVSVGAGWQSIVAYVNIGCYYVIGIPVGVLIGVVFDYKVKGIWIGMLIGTFVQTIVLIIITCKTDWKKQVEIAQKNIIKWATNKTQESNESGVSLLANEE >CAK8574805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9702481:9702795:-1 gene:gene-LATHSAT_LOCUS27111 transcript:rna-LATHSAT_LOCUS27111 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHRLLHQLSKVPDYNFHAKCKKLQIIDISFADDVLLFTRGDGKSIQLLMDQLQTFSQSTGLVVNPAKCRVNFGGEENETKNNILATTSFMEGDLPGGSSHQ >CAK8535948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893461608:893464960:-1 gene:gene-LATHSAT_LOCUS5362 transcript:rna-LATHSAT_LOCUS5362 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNVTGLLNKGHKIRGTVVLMRKNVLDFNTIVSIGGGNIHGVIDSGINVIGSTLDGLTAFLGRSVSLQLISATKSDANGKGKVGKDTFLEGVLASLPTLGAGESAFNIHFEWDDEMGIPGAFYIKNYMQVEFFLKSLTLEDVPNHGTIRFVCNSWVYNSKLYKSPRIFFANKSYLPSQTPSPLVKYREEELQILRGDGTGERKLHERIYDYDVYNDLGNPDHGEHLARPILGGSSTHPYPRRGRTGRYPTRKDPNSEKPATEIYVPRDENFGHLKSSDFLAYGIKSLSQCVVPAFESAFDLNFTPNEFDSFQDVRDLFEGGIKLPLDVISTISPLPVIKEIFRTDGEQVLKFTPPHVIRVSKSAWMTDEEFAREMLAGVNPCMIRGLQEFPPKSNLDPAEYGDHTSKISADVLNLDGCTIDEALASRRLFILDYHDTFIPFLRRINETSAKAYATRTILFLKENGTLKPVAIELSLPHPDGDKSGFVSKVILPADEGVESTIWLLAKAYVVVNDSCYHQLMSHWLNTHAVIEPFVIATNRQLSVVHPINKLLAPHYRDTMNINALARDSLINADGIIERSFLPSKYAVEMSSAVYKYWVFTDQALPNDLIKRNVAVKDSSAPYGLRLLIEDYPYAVDGLEIWTAIKTWVQDYVSLYYATDNDIKNDSELQNWWKEVVEKGHGDLKDKPWWPKLQTFDELVEVCTIIIWTASALHAAVNFGQYPYGGLILNRPTLSRRLLPEEGTAEYDEMVKSSQKAYLRTITPKFQTLIDLSVIEILSRHASDEVYLGQRENPHWTSDSKAIQAFQKFGNKLAEIEAKLTNKNNDPSLYHRVGPVQLPYTLLHPSSKEGLTFRGIPNSISI >CAK8563072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:571181341:571185372:-1 gene:gene-LATHSAT_LOCUS16517 transcript:rna-LATHSAT_LOCUS16517 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRYYSACLLLFLLLLSTSLSQSHRAAKKKRMREKVRNMFHHAYDNYMTHAFPHDELKPLTKTFTDSLVELGNLKLERLPQDYNGSALSLIESLSSLVIMGNNTEFEKGVRWLSENLTFDLDARINLFECNIRVLGGLVSAHLLASDSSKNLLKGSYKNQLLGLAEDLGKRFLPAFDTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSIMTGDPRYESVALRALRKLWSMQSSLKLFGTTLDVSTGKWIEHSAGIGAGVDSFYEYLLKAHILFGKEDYWRMFHSAYVAVQKHFRHGPWYHEADMRTGRATYWQLTSLQAFWPGLQVLIGDIHAANSSHREFFHVWKQFGVLPERYLLDHQMLHPTEKYYPLRPEFAESTFYLYQATKDPWYIEVGELIVNSLNLYTRVEGGFASIKDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFMLESNYVFTTEGHPLPVLSTWHEELPEAYIPTNWTNLKRPRRASAMSLQVCPAMTLDSGQHIESACHIPDVRSDYKCLTDEDCGVDSITCRRRSCSMAGYCGLWLFL >CAK8561118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97752988:97759927:-1 gene:gene-LATHSAT_LOCUS14737 transcript:rna-LATHSAT_LOCUS14737 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGGNSVEWHVRPPNPKNPIVFFDVTIGNIPAGRIKMELFADIVPKTAENFRQFCTGEYRKAGLPIGYKGCQFHRVIKDFMIQAGDYVKGDGSGCASIYGLKFDDENFIAKHTGPGLLSMANSGPNTNGCQFFLTCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVIAECGEM >CAK8565158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52397153:52400359:1 gene:gene-LATHSAT_LOCUS18393 transcript:rna-LATHSAT_LOCUS18393 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLLSQPNFLFLTTQPPNCNNLKPKTTLFFQSAPSSLTRRFRRYVTVSEQATATSPLERKLYIGNIPRTVDNDELAQIVQEHGAVEKAEVMYDKYSKRSRRFAFVTMKTVEDANAAVEKLNGTQIGGREIKVNITEKPLITTGDLSLPGEESQLIDSPYKIYVGNLAKNVTSDTLKNFFSEKGNVLSAKVSLVPGTSKSSGFGFVSFSSDEDVEAAISSFNNALLEGKKIRVNKA >CAK8574094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662008171:662011251:-1 gene:gene-LATHSAT_LOCUS26471 transcript:rna-LATHSAT_LOCUS26471 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLLVALTLSSFLVTCLLCLSEETNYSSSKIGRGYRLISIEDTHDGAFVGVLQVKQKNNIYGADIPLLRFYVKHETENRLRVHITDANNKRWEVPYDLLPREQPPPLKKNNKRFRKNSLSVSEYSSSELVFSYTSDPFSFAVKRKSNGDTLFDTNSNESDPFGPLVFKDQYLEISTKLPKNASLYGLGENTQPHGMRLHPNDPYTLYTTDISAVNLNSDLYGSHPVYMDLRNEGGKPYAHGVLLLNSNGMDVFYKGNYLTYKIIGGVFDFYFFAGTTPLNVVDQYTTLVGRPAPMPYWAFGFHQCRWGYHNLSVIEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTVNPINYPLPKLLNFLDRIHSIGMKYIVINDPGIAVNTNYGVYQRGMANDVFIKYEGEPFMAMVWPGAVYFPDFLNPKTVSWWGDEIRRFHELVPIDGLWIDMNEVSNFCTGKCTIPKGRFCPLQGEKLPNSTCCLDCINITSTRWDDPPYKINASGKEAPIGFKTIATSAVHYNGVLEYDAHSLFGFSEAIATHKALYKLQGKRPFVLSRSTYVGSGKYAAHWTGDNKGTWEDLRYSISTVLNFGIFGMPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHSSVISPRQELYQWESVAESARNALGMRYKLLPYLYTLNYEAHISGAPIARPLFFSFPNYIQCYGVSAQFLLGSSLMISPVLEQGKTEVEALFPPGTWYNLFDLTQAVVSKDGNYVTLDAPLHEINVHLYQNTILPMQQGGMVSKDARTTPFSLIVTFPAGANEAEAKGNLFIDDDEMPNMKLGNGYSTFIEFHASVKEGTVKVLSEVQEGKYALDQGLVIDTINVLGLSYGSGELVRIEIDGEPSTSVSNVKVSTYEHEYLYKEGNGEKKTVMVGLKGLNIPVGKRFSLTWKI >CAK8530835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54120063:54121423:1 gene:gene-LATHSAT_LOCUS671 transcript:rna-LATHSAT_LOCUS671 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIGIVLVVLVLSFGIGNYAQQVPCYFIFGDSLVDNGNNNQLTSIAKANYAPYGIDFPGGPTGRFSNGKTSVDVIAEQLGFNGYISSFASARGRDILRGVNYASAAAGIREETGQQLGQRISFRGQVQNYQRTVSQLVNYFGDENTTANYLSKCIYTIGLGSNDYLNNYFMPQIYSSSRQFTPQQYANVLLQAYAQQLRILYNYGARKMALFGVGQIGCSPSELAQNSPDGRTCVARINSANQLFNNGLKSLVDQFNNQFPDARFIYVNVYGIFGDIISNPSGYGFRVTNAGCCGVGRNNGQITCLPLQPACRDRNGYLFWDAFHPTEAGNTVIGKRAYNAQSASDAYPFDINHLAQI >CAK8568052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567091968:567092177:1 gene:gene-LATHSAT_LOCUS21049 transcript:rna-LATHSAT_LOCUS21049 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFKLLKTGLQQIVISEQWSSYKDDDVQKAQFVKDILLYDNWWKNVDYIFTFINHIYDVLRKIDKLA >CAK8565283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:97999403:98028768:-1 gene:gene-LATHSAT_LOCUS18508 transcript:rna-LATHSAT_LOCUS18508 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVQTPPPPPPSLSMASTIKAYALPVILFAAAMFYQLFVIPNAFPPSHYDVLQIDRYSSIDTVKEAYNNLESKWNSDEEVSDVHEFLKIRYAYELLTNPLWKRDYDLFGIDEQLHIIEDANEHYAGRSISQLDFPLLNAPSSESIDHTTLVISASDFQSIFPDSKLWLIQLYSSGSNRCAEFSKSWNKIASLLDAFANTGMVELGEKEVSIYFADKRSTGKPFFRNGIPSLFAIPPGCRTAKCFRRFDGELTIDKVTNWFATTVLAFPQINYYMKESLVPNFFGKTSHHKVKVILFSKTGERASPFMRQVAKDYWAYASFAFILWREEESSYWMGAFGVESAPAIVFLKDPGVKPIVYHGPVNDSLFLSMMENNKEQELPQLRSETSMELGCDPHGYSRAGYDTTIWYCAIALGRPSMELNRLREIICRVQETLSKQSGVDALSENQSLAPVVDALKKKRLTFAWLDSEKQKDYCKFYLGEGASEGTCGQRKGMTDIARLLVIRYLRNNSAVDTRTQEMSKWKSLLVQDIIDDTDQAGQFVAGYKGTADDSEISQWLASIIKDGDSRDLPFFTLRTPKLVPDDTETIWFKTAQQIPLKNHMQRILGVVGGLSVYLDDPRIGPFLLLAALISLGTIWLRRSQQTPPSQSKQPSSTEPPSRDERKSRPTDRVRRRSGKNVPPSMTDSEPPNAFQMPLFDSESD >CAK8561765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:377207829:377209628:-1 gene:gene-LATHSAT_LOCUS15325 transcript:rna-LATHSAT_LOCUS15325 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLVPEEEGQSNTSTVASASPRSLDCFSQTGAGLKERNYLRLSDCSSVDSSGVPSLSDEEKVNLNLKATELRLGLPGSQSPERDLDLFSLSSTKLDEKPLFPLLPTKDGICSLSQKNVVSGNKRGFADTMDVFPEAKYNSNARVNILLSPRPSTAQPTTVKDISNKVLQESSCAANGTGAPVNGSAPASKAQVVGWPPIRSFRKNSLATTSKNNDEVDGKPGPAALFVKVSMDGAPYLRKVDLRNYTTYQELSSDLEKMFSCFTLGQCGSHGAPGREMLSESKLKDFLHGSEYVVTYEDKDGDWMLVGDVPWDMFTDTCKRLKIMKGSDAIGLAPRAMEKSKSRS >CAK8572264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525335549:525339287:1 gene:gene-LATHSAT_LOCUS24848 transcript:rna-LATHSAT_LOCUS24848 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLFFLITFSFFFQTQLPLVSSATTLPFQLITLLSIKSALIDPLNHLNDWKINPSNSSSAFSNSNNSQDPIWCFWRGITCHPKTAQITSLNLSHLNLSGTISPQIRYLTSLTHLNISGNDFNGTFQTAIFQLTELRTLDISHNSFNSTFPPGISKLRFLRIFNAFSNNFTGPLPEELIRLPFLEQLNLGGSYFSGRIPPSYGTFKRLKFLLFHGNALEGSLPPELGLLSELQHLEIGYNPFSGTIPVELTMLSNLKYLDISFTNISGQVIPELGNLTMLENLFLFANRLSGEIPSSIGKLKSLKDLDLSDNELTGSIPPEITMLEELTRMNLMINKLKGEIPQGIGDLPKLNRFYVFNNSLNGTLPPKLGSNGLLQLLDVSTNSLQGPIPMNICKGNNLVKFNLFDNKFTNSLPLSLANCTSLARVRIQNNKLTGSIPQTLTMLPNLTYLDLSNNNFYGEIPKELGNLQYLNITGNSFESNLPSNIWNASNLQIFSASNSKITGQIPEFVGCESIYRIELQGNLINGSIPWNIGHCEKLIMLNLSRNHLTGIIPWEISTLPSITDVDLSQNSLTGTIPSSFNNCSTLLNFNISFNSLTGPIPSSGIFQSLHPSSYSGNKNLCGDLLAKPCASSGENELEVQRQEPKKTAGAIIWIIAAAFGIGLFVIVAGTRCFHANYSRGFNGNENNGEIGPWKLTAFQRLNFTAEDVLECVSMSDKILGMGSTGTVYKAEMPGGEIIAVKKLWGKQKENNSNLIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNQEITMLLYEYMPNGNLDDLLHGENKGDNIVISDWFTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQADESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVDQEFGDGNSIVDWVKSKIKTKDGIEGILDKNAGPGCGSVREEMIQMLRIALLCTSRNPADRPSMRDVVLMLQAAKPKRKLLGGGGDVPLVQKPVTNDS >CAK8536724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11198763:11199446:-1 gene:gene-LATHSAT_LOCUS6058 transcript:rna-LATHSAT_LOCUS6058 gene_biotype:protein_coding transcript_biotype:protein_coding MASESELSYIFERMIRNRDMSLLLPFIQGLSEPSIRRNSDNPDQESSSNEDSDRQRIILVNPSTQRMIIIEGVSSLETLFQELESTRKKGQPPASKESIEAMKRVEIEESDDRECVVCLEEFEVGGVVREMPCEHRFHGDCIEKWLGIHGSCPVCRYQMPVDEKVEEKKIDEEEEDGERGRVGGEVWVSFSISRRRENRDQNQVTPGGDSIDSLSSPSDGDEIRNSS >CAK8563894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637469930:637470388:-1 gene:gene-LATHSAT_LOCUS17255 transcript:rna-LATHSAT_LOCUS17255 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPFRFNNDSLSHKSLRELVSNCWYTVKIQDMKAFFFPLKKLKALKVVLKYQNKEVFSDIDFLIVSLRDEVNRFNYLADSGVLVRMDIETRYKEFSDLWSLLKIKDTQLFQQSRSRWLKEGNSKSGFFHASVKIKSRRNAILALQVGDVG >CAK8573829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640811210:640812556:1 gene:gene-LATHSAT_LOCUS26232 transcript:rna-LATHSAT_LOCUS26232 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPQQESYGKDAYPPPGYPPQGYPPQQGYPPQGYPQQGYPPQYAPQYAQPPPQQQQNSSGAGCLEGCLAALCCCCLLDACF >CAK8567400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512097090:512100045:-1 gene:gene-LATHSAT_LOCUS20460 transcript:rna-LATHSAT_LOCUS20460 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSMTYSFLLFIVILSLQEMYRGKLASSELYTILGGFTSSLVFLVLLTFIGNFQESAGAKTGWGAVIVAEVVALIAASTVHRVCTTTCFLFSAVLLYEVNKLSGSAVSTTDSRTKKQSGRA >CAK8577471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566853171:566859304:-1 gene:gene-LATHSAT_LOCUS29576 transcript:rna-LATHSAT_LOCUS29576-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANEPPPSLDGSPTAANTLANSPSCSSTSSSSSSPRGSKGKEIEATTSTAPPPAKYDDDDEDEEDVCRICRNPGEADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPARLPFQEFVVGMGMKACHVLQFFVRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDADRDDEVDRNGARVARRPPGQANRNVNGDANGEDAGGAQGAAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYLSWFFSTGSVPVLSVVVPSADTSLSLANITLKNALTAVKNLSSDTQESGSIGQIAEMLKVNASELSEMSNNISASVSTDILKGGPIGTSRISDVTTLAIGYIFILTLIFCYFGIVALIRYTKGEPLTAGRFYGIASIAETIPSLFRQFLAAMRHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTIQMFGKTMAHRAQFFYASPLASSLMHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLSMRMAPYIFPLEISLSDPFTEIPANMLLFQICIPFAIEHFKLRTTVKSLLRYWFTAIGWALGLTDFLLPRPDDDGNQENGNGERGRQERLQIVQAGVHDQGMMPFAGDDLNRVTNADAGEDYENDEQSDSDSYAFVLRIVLLLVIAWMTLLVFNSALVVVPISLGRVLFNSIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQVRKRRTSVLLNQIWKWCSIVMKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLMDESWRVKFERVRDDGFSRLQGLWVLREIVLPIIMKLLTALCVPYVLARGMFPVLGYPLVVNSAVYRFAWLGCLSFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEHVEKANEAEDSTVVQDTILQGTNLNQQDHDADVGLRLRRINQQAG >CAK8577470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566853171:566859304:-1 gene:gene-LATHSAT_LOCUS29576 transcript:rna-LATHSAT_LOCUS29576-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANEPPPSLDGSPTAANTLANSPSCSSTSSSSSSPRGSKGKEIEATTSTAPPPAKYDDDDEDEEDVCRICRNPGEADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPARLPFQEFVVGMGMKACHVLQFFVRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDADRDDEVDRNGARVARRPPGQANRNVNGDANGEDAGGAQGAAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYLSWFFSTGSVPVLSVVVPSADTSLSLANITLKNALTAVKNLSSDTQESGSIGQIAEMLKVNASELSEMSNNISASVSTDILKGGPIGTSRISDVTTLAIGYIFILTLIFCYFGIVALIRYTKGEPLTAGRFYGIASIAETIPSLFRQFLAAMRHLMTMMFGKTMAHRAQFFYASPLASSLMHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLSMRMAPYIFPLEISLSDPFTEIPANMLLFQICIPFAIEHFKLRTTVKSLLRYWFTAIGWALGLTDFLLPRPDDDGNQENGNGERGRQERLQIVQAGVHDQGMMPFAGDDLNRVTNADAGEDYENDEQSDSDSYAFVLRIVLLLVIAWMTLLVFNSALVVVPISLGRVLFNSIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQVRKRRTSVLLNQIWKWCSIVMKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLMDESWRVKFERVRDDGFSRLQGLWVLREIVLPIIMKLLTALCVPYVLARGMFPVLGYPLVVNSAVYRFAWLGCLSFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEHVEKANEAEDSTVVQDTILQGTNLNQQDHDADVGLRLRRINQQAG >CAK8577472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566853171:566859304:-1 gene:gene-LATHSAT_LOCUS29576 transcript:rna-LATHSAT_LOCUS29576 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANEPPPSLDGSPTAANTLANSPSCSSTSSSSSSPRGSKGKEIEATTSTAPPPAKYDDDDEDEEDVCRICRNPGEADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPARLPFQEFVVGMGMKACHVLQFFVRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDADRDDEVDRNGARVARRPPGQANRNVNGDANGEDAGGAQGAAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYLSWFFSTGSVPVLSVVVPSADTSLSLANITLKNALTAVKNLSSDTQESGSIGQIAEMLKVNASELSEMSNNISASVSTDILKGGPIGTSRISDVTTLAIGYIFILTLIFCYFGIVALIRYTKGEPLTAGRFYGIASIAETIPSLFRQFLAAMRHLMTMMFGKTMAHRAQFFYASPLASSLMHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLSMRMAPYIFPLEISLSDPFTEIPANMLLFQICIPFAIEHFKLRTTVKSLLRYWFTAIGWALGLTDFLLPRPDDDGNQENGNGERGRQERLQIVQAGVHDQGMMPFAGDDLNRVTNADAGEDYENDEQSDSDYAFVLRIVLLLVIAWMTLLVFNSALVVVPISLGRVLFNSIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQVRKRRTSVLLNQIWKWCSIVMKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLMDESWRVKFERVRDDGFSRLQGLWVLREIVLPIIMKLLTALCVPYVLARGMFPVLGYPLVVNSAVYRFAWLGCLSFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEHVEKANEAEDSTVVQDTILQGTNLNQQDHDADVGLRLRRINQQAG >CAK8575124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:35278146:35278388:1 gene:gene-LATHSAT_LOCUS27412 transcript:rna-LATHSAT_LOCUS27412 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGNPQRGSAAATASMRRRKTAGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFREA >CAK8574510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1202632:1206511:1 gene:gene-LATHSAT_LOCUS26851 transcript:rna-LATHSAT_LOCUS26851 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSYNLLRSRFPRSHQHWFCTIVALKPEPQPPPTTFAATTTTTSYLLPSRRLLFLGFDSRRHLHHSHLYSFHRSFFTRAKQAQIIEFNDRHSQRAVKTALWCNFLVFSLKFGVWLASSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDAMHPYGYSKERFVWSLISAVGIFCLGSGATVVHGVQNLWVAQPPENMQLAALVLCGSFVIEGASLVVAVQAVKQGAAAEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVHYTGNAMYDPIGSILVGNLLGMVAIFLIQRNRHALIGRAMDDHDMQKVLHFLKNDPVVDALYDCKSEVIGPGFFRFKAEIDFNGDVVVQNYLERTGREEWGKQFREAAKLRDDTALTKIMSNYGEEVVTALGSEVDRLEKEIQNLVPGIRHVDIEAHNPTESSLSS >CAK8542822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551546036:551549486:-1 gene:gene-LATHSAT_LOCUS11626 transcript:rna-LATHSAT_LOCUS11626 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTSHGRLKLFFNGESVSSFLENRDPFQFKCRSIQTHRRGSSYKGSSSSAAAARFHHASSSFLRSKSNCYNAEARPSEGVHDGYEYVLEYDDYDDEDEDEDEDDDGDDVFERDGLSCFRGLVLDISYRPINVVCWKRAICLEFMEKADVLEYYDKTVNSPSGSFYIPAVLRIRHLLQVIKRRRVSKNTLSRKNILFRDNYTCQYCSSHENLTIDHVVPAALGGEWTWENLVTACFKCNSKKGRKSIEEAKMKLNKVPKAPKDYDILAIPLTAAALRVLTARNGTPDEWRQYLSSDFRTMS >CAK8531976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186083901:186086321:-1 gene:gene-LATHSAT_LOCUS1722 transcript:rna-LATHSAT_LOCUS1722 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSILTSAWEEIVRHSLSCLSFNYSFGSEDGAMILRTRSFNKREPEATTRNISTRLEDYRPEHVTLESNEEKLHQKAVPLLSLPKEAVFSSPRPVSELDAAATKLQKVYKSYRTRRNLADCAVIVEELWWKALDSFALERSSVSFFDEQKQETAVSRWGRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWFASLSTQPFFYWLDVGDGKEINLEKCPRAILQRQSIKYLGPKEREEYEVLVENGKLVYRHGGKFVDTDERSKWIFVLSTTRALYVGRKQKGTFQHSSFLSGAATTAAGRLVARQGVLEAIWPYSGHYHPTEENFREFVNFLEEHNVDLSNVKRYAIDNDAPSFIGTNEAQQIMGPTQTSQSVLSFKWSTGTGARIGCVRDYPEQLQSTALEQVNLSPRPASTKTVPNKGIKINKTTEAKDKKFEGPMFDSSKRLSCKWSTGAGARIGCVRDYPEHLQSRALEQVNLSPRPASARPYKYGPIPSPRPSPKVRVSPRLAYMGLPSPRSSIQGAN >CAK8538909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499391347:499393108:1 gene:gene-LATHSAT_LOCUS8057 transcript:rna-LATHSAT_LOCUS8057 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGLNLRPAKPKKQLPRPSIPLPFGFNEDDDNNVEREIAIQASKTKSLKDVEEQQKKALEEDPTIFDYDGVYDKMKEKVSRPLIQDREERKPKYIQNLIQKAKEREQYREIVYEKKIAKERSKDDHLFADKDKYITEAYRKKLAEREQQMELERLRELQEEREDVTKKKDFLVDFYTNLDKNVAYGAKDAQRRKHDNRAENRVPETHEEMNPDASNPQHDGNTDEEHSLTKETSPAESSGKKIGDQGETSCLSNRSVSPLDMKPNLAASAEEKSTVEEPSASQPNPEHHKRSQDAVAAAKERFLARKRAKQQ >CAK8571280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:360667702:360669280:1 gene:gene-LATHSAT_LOCUS23957 transcript:rna-LATHSAT_LOCUS23957 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEMYFATTMFLLFLSYIAITLFSRTKKHINLPPGPSLLTLVRNVFELGKIKPQHLLAKFSKIYGPIMYLKLGQITTIIISSPELAQEVLQTHDLFLSDRTIPQAVAVLDHQHFSLPFLPASDLWKDLKKICKNHLFSSKTLDASYQLRCNKLREFLCDIDRSSITGEAIDIGRAAFKTSLNFLSNTFFSMDFANSAGETDEYKDIIENLVRVIGTPNLVDFFPVLSVFDPQGIKGISATYLEKLLQIIDSYTTKRLKLREEKNHVSNGDMLDMLLNITQENGQMMDRTKIKHLFLDLFVAGTDTTSYTIERAMAELVHNPHVMSKVKEELGQIIGIGNSIEESDISRLPYLQAVVKETLRLHPSAPLLLPRKARIDVKICGYTIPQGSQVLVNEWAMGRNPSIWDNPNTFFPERFLGSEINFKGQNFQLTPFGSGRRMCPGMPLAERMLHTMLGSMINSFDWKKKNGDRDIDQPLRAIPIRVNKM >CAK8544141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666895368:666896270:-1 gene:gene-LATHSAT_LOCUS12844 transcript:rna-LATHSAT_LOCUS12844 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSNHGKASPHQSSSPDHQPSSNADTTSRAPAPPPVAAPHMMYYQPGPGYPPGPHGPPLPPFHPYPPPPHGYPPYPPQPQRYNNYPGHAPYYPPQNYHSDAVGRGFLRGFIMCSCFIFTGFFLSTLIIAFILHPKLPVYKVHAMSVTNFNTSPVLTGDWSISLAIENPNTKLKGFFSDFKVDVVHDQTNEIAMSFVPDFQLEKHEEKHMDVKASSNNAGNIVSFQKWDLDKMSNEKQSGSITFGLKVSSMAEFKSTSMSTKSMMMLAVCDGLKIVFQNNSGNGTLDNGGKPVNCMLYM >CAK8564937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17710014:17712174:1 gene:gene-LATHSAT_LOCUS18187 transcript:rna-LATHSAT_LOCUS18187 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMKASFPLLMLLGIAFLASVCATSRSDRENPFIFESNRFQTLFENENGHIRLLQKFDQRSKIFENLQNYRLLEYKSKPHTIFLPQYTDADFILVVLSGKAILTVLKPDDRNSYNLERGDTIKLSAGTIAYLVNRDDDEDLRVLDLAIPVNRPGQIQSFLLSGNQYQQSILSGFSKNVLEASFNTGYEEIEKILLEEQEKETRHRRSLRDKRQQSQEEAVIVKLSREQIEELSKNAKSSSKKSVSSESEPFNLRSRNPIYSNKFGKFFEITPEKNPQLQDLDILVNSVEIKEGSLLLPHYNSRAIVIITVNEGKGDLELVSQRNENRQGQRKEDDEEEKKGEEEINTEVQNYKAKLSPGDVVVIPAGHPVAIKASSNLNLLGFGINAKNNQRNFLAGKEDNVISQIQRPVKELTFPGSAQEVDRLLENQKQSYFANSQSQQREIGSRETVNRLSSILDTF >CAK8531736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144512153:144512401:1 gene:gene-LATHSAT_LOCUS1504 transcript:rna-LATHSAT_LOCUS1504 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNTIFNSHPHIPKLPIHFPFHFSHNFKLCATTSHSESDSDSFTVSYLINTVGLTPQKNPIQFSPSSETTVFQTPALRKSS >CAK8534543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740299741:740300714:1 gene:gene-LATHSAT_LOCUS4083 transcript:rna-LATHSAT_LOCUS4083 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQMKPVATLLLGLNFCMYVIVLGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGVGSLISGLNHIRSWTSESLPSAASVAAIAWALTVLAMGFGCKEIQLNVRNSRLKTMEAFLIILSATQLFYIAAIHGAAAIRR >CAK8563749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629144428:629146580:-1 gene:gene-LATHSAT_LOCUS17126 transcript:rna-LATHSAT_LOCUS17126 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLRNHYCRFSIVVLATIFLATTSFTDAQTCNGILISYSAGTGVRLPPNVTNPKKQPYRFESTLTVLNNGLDELKSWKVFVGFQHDEFLVSASDAVLADGTTLPAAVGNGTVFAGYPMTDLKTAVETAGDLTQMQARIDLVGTVFGVAPPNVPLPSSINLANDGFICGKATGQGKNGTNVCCTRDPKFKTNITTDEKFLPRQDGDLTIMYDVIRSYDSNYWAEVAISNHNPLGRLDNWRLNWDWMNDEFIFAMKGAYPSVVDASDCIFGKQGTFYKDLDFATVLNCQRRPTIIDLPPTKFNDTDLGKIPSCCRNGTILPPSMDPSKSVSRFQMQVFKMPPVLNRSELSPPQNWKISGSLNPDYKCGPPIRVSPTANPDPTGLPLNKTVMASWQVVCNITTAKGTSSKCCVSFSAFYNESVIPCKTCACGCANNVGRTCSTTAPAMWLPSEALLVPFENRTAKALAWASLKHLPMPKPMPCSDNCGVSINWHLLTDYNKGWSARVTLFNWGETNFADWFAAVQMDKATAGFEKMYSFNGSAVEINGVNNTIIMQGLEGLNYLVGETDGADPRRDPRVPGKQQSVMSFTKKTTPGISVIHGDGFPTKVFFNGEECSLPSVFPTSSAFRKGFSFATLMLLPLLLAVFMW >CAK8541359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:136023786:136024436:1 gene:gene-LATHSAT_LOCUS10284 transcript:rna-LATHSAT_LOCUS10284 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIIASSKPVIRIPTNSLPTTTPKLPSLHLSLPTISPKLKLHLSKLKSLTLATTSLSFASFAFAPPSLAFEKAALFDFNLTLPIIVVEFLFLMVALDKLYFTPLGNFMDQRDADIRAKLNSVTDTSAEVKQLEDQANAILRASRAEIAVALNQMKKETQAEVEAKIAEGRKKVDEELQEALANLEKQKEETIKALDSQISALSQDIVNKVLPTS >CAK8538240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472693235:472694753:1 gene:gene-LATHSAT_LOCUS7460 transcript:rna-LATHSAT_LOCUS7460 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFEEKSEIRDAAFGFPNEFPYEFDSFETENSDEEDFFAGLTRRLSQASMNETRKEKLTVPILNKEKPEEKVRVMAGSPQSTLAGIGSWSGRSGGSSEGSPNGSTRVPSPTTIPFSAENDAWDVLYAAAGEVARLKMNVEASLMEIQNKRGVLGGVPPRVAAENRTTTFFSNPNPSLVQYQQVKQQCDSVWGRQAQTQAQAQAQALAQAKAASWSTQVQNSGYDYEGMKCTRPMPRSVWHSPIQVKHQNNPVLFNGSGSRPVSQIGSGVKKGCGGTGVFLPRQYGAPLPESRNKTNCAPVLVPTRVIHALNMNIDDYNGGRQPRFSNDFSVDYDALLARRNALLMQQRLRMQREEAASYEARLPQEWTY >CAK8573924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648006982:648007311:-1 gene:gene-LATHSAT_LOCUS26318 transcript:rna-LATHSAT_LOCUS26318 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLFDCPPFKTCWQQILVWLGYQHFPCDCSEALEWLIAHCKGKGWRKCILHSSVAETIHEIWRYRNNVVFGNTVNFLEIRDLVTSTLANRGWVNTRMRHHIAQLLLE >CAK8537012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:56289204:56304805:-1 gene:gene-LATHSAT_LOCUS6332 transcript:rna-LATHSAT_LOCUS6332 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGAGVTAVMVVLQFLEVGGDTIMKSATKDGMSIFIFNVYSNIFALGFLLPSSFFYHRKRAPPPISTSIFCRIFLLSCIQTSVQILMNAGIGYSSPTLSSAMVDLVPAFTFILALISRMEILNLKQHSSITKVIGTMVSIGGALTVTLYKGTPLISHAFPNIEMGASGINISGKSDWIVGAFLLATSCFFLSVLFIVQTWIIKDYQEELLVTTICCSFTVILSIVIALIVEGNSKAWILRPDKKLVSVCYSAIFLISTRNVIHTWACRMKGPIFVAIFNPLRVAIALSMGVIFLRDNLYLGSMIGAAIIIIGFYGVIWAQAQEKQTISEKNILSSSSAPLMSNKSMDL >CAK8578066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604764558:604765235:1 gene:gene-LATHSAT_LOCUS30108 transcript:rna-LATHSAT_LOCUS30108 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLEAKTNGISANNFDIEIPVHATKESNENESNINYFQRAQWLRAAMLGANDGLITVASMMIGIGAVKEDITVMILTGFAGLVAGACSMGIGEFVSVYTQYDIEMAQMKREREANNNNNGVVDDESTEREKLPNPFQAALASALAFSVGAVMPLLAASFIRNHKMRMGVVGAVVSMALLVFGGVGALVGKTPVMRSCVRVLIGGWMAMAITFGFTKLIGRTGL >CAK8577794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588786417:588801622:-1 gene:gene-LATHSAT_LOCUS29865 transcript:rna-LATHSAT_LOCUS29865 gene_biotype:protein_coding transcript_biotype:protein_coding METDESCRVLPFQLQFDKPLASQVKIAEWNPEKDLLAMVSDDSKILLHRFNWQRLWTITPGRCVTSLCWRPDGKAIAVGLDDGTLSLYDVENGKLLRSVKSHCAAIVCLNWEEDNHLINDEHCHTSKYEDRTSRFFPPAPKVPRMPGLISGDNGFMDDGDDSFEELSNSSHQRFNVLCSGDKDGNICFSIFGIFPIGKTNIHKLTFPTSSDGAERSKRLLSPSIHKVALSNDLRRLIVMCSGLLVDDKCVIHMAGHNELGLHCLALNTAIFWNRKNELHQVAQQASNIEDLTEVVRASLSVMSRQWSDAMHTFKEKFNSLSTLIMDHGLNSSPQEEFLSLLGGARTSPAVHQFLVSTLGEVGVKRISKVLCGAGKELQRIVLEHLQPAAEVMGFRMGELRGLSRWRARYHDIGLDEPLISNATEKAGMLLVQVERFMRVLSSVLQQYSNFFNWLLKCIKLLMSEPSDQLLPYNSELVIIFLKFLYEQDPVKQLLDISEADYNVEIDLETAERVRELVQFGGFSDTEYLRRTLAKEFQQLELSFKEAFQMPFTTISRKILCEDLLPLFPFPTLPKASSLMRIPTSISYYEDSTRASSSYAGQSQVTDYISFQVANESFSDIENCICIVRGFMHDSDCLKKGYSSLEAVLLRVPVDYQCVDLSLYKDSQIVLLLNKDTNTSESAGDGCMIIMQASDLPYVSISRSEYRDVWRLQELKDSAASLYLGDEKARMIPHCVIAPLAVSASRGLACVFAARKRALVYILEEDEDEVSDAE >CAK8578940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663067186:663067830:-1 gene:gene-LATHSAT_LOCUS30918 transcript:rna-LATHSAT_LOCUS30918 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFSSPLLHELLVESRTRRLLFQGPIDNQPLNNHNSTDSYFGVREFNSNVVMILAVLLCAFICSLALNSIIRCALRFSNEAINNNQASQQLANKGIKKKALKKFPTLNYSTELKLPGLDTECVICLSEFANSEKVRILPKCNHGFHVRCIDKWLREHSSCPKCRQCLLQTCRKVGESQVQPIVLPVPEIIIRIQPLNHEAVERNYREESR >CAK8543843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643273094:643279896:-1 gene:gene-LATHSAT_LOCUS12569 transcript:rna-LATHSAT_LOCUS12569 gene_biotype:protein_coding transcript_biotype:protein_coding MGNISSHGSNSRRRHGSASRRTHPPPPPPVTPQPEIPTHQFVYPAAAPYPNPNPPMHYPQYHYPGYYPPPVPMPHPHQHPHHQHPHQQQHPHPHMDPRYYPCGPVMNQPAPFVEHQKAVTIRNDVNIKKETIAVSPDEENSGFFLVSFTFDATISGSITIFFFAKEDEGCILTPTKENHLAPVTIQFQQGLGQKFRQQAGTGINFSTFEESDLLKVGDMDVYPLAVKAEASSNNHDESNETVASDKTNSQITQAVFEKEKGEFRVKVVKQILSVNGMRYELQEIYGIGNSVESGVDDNDQGKECVICLSEPRDTIVHPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGTEE >CAK8543067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573799164:573799485:-1 gene:gene-LATHSAT_LOCUS11854 transcript:rna-LATHSAT_LOCUS11854 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKCGLGRGTPSMLAGEEENIGASTSAPQPVGFPGGSYVTSLLVKYKHLVARHLCSSEERGPKKELEVVGHGQKLT >CAK8566832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466167664:466168476:1 gene:gene-LATHSAT_LOCUS19937 transcript:rna-LATHSAT_LOCUS19937 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPTSPLSIDSQTTSSEKTATSSAPKAALSAPKASPPAGTYVIQVPKDQVYRYPPPENARRYANYNRRKSSRCRCCCCLCWFIGVIVTLSVLLAIAAGVFYLVIRPEAPKYSINRVSVKGMNLTSQSPISPEFGVSVNADNSNRKIGIYYEKDSTGELFYRDVKLCNGALPAFYQPSNNVTVFRTVLKGNNVEVVGSDRKALLSAVKIQSVPLTMKLRVPVKFKVGSVKTWAFNVKVHCVVTVDELTAQAKIVNRDCGYGLDLWNW >CAK8535627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870733338:870734006:-1 gene:gene-LATHSAT_LOCUS5070 transcript:rna-LATHSAT_LOCUS5070 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHISDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVADPSNRPV >CAK8567872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:552393655:552405195:-1 gene:gene-LATHSAT_LOCUS20889 transcript:rna-LATHSAT_LOCUS20889 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSKPHTDAAKTEQIITEFFAKSLHIIIESRSLSASSRNFSTLSSPSSTSSSSSSSVRPRDKWFNLALRECPTALENADLWRHNNLHPIVVDIVLVHRTMQLNLKEQNPFEEFGVGGSQSEKIVERWVIQYESRKTRDCSSSSSTRRSSNTFLQNLYKKATLLIRSLYANVRLLPAYKIFKELNSSANVCAFTLAHRVSTFFEPFTSKQESEMLKFVFTPVDTLSGRLCLSVMYCQCVSDVSSESSTPLSPRVITDYVGSPMTERLMRFPSLPVVRMPLHGSPSSLPFSRRHSWSYENCRASPPSANYYYSPSPTHSDSQTLVSNASYQCYPPPSSLPPHPSEMALLHKKNVNFDDYYPSPNFSPSPSPSSSSPIYHLGSLPSKTLLRSESAPVNIPNAEVANSAGHTSRHNLPPSTPIRISRCTSETDRSNNLMQLCTPAEKMCSLRKDESQKYSRVKIVPNSSPHISFSRSSSRSYQDDFDDTDFICPFDVDDDDTTDPGSRAESLDHGDMTQLLEAGRFLPIRKSQGAAVGALVNRLKKAAPLHQDSSTCQNTSQRACPEILNSSKFQEPNQIPNTLSPPVSIMSYGNKKTTNDALEEFHSYREMKNMLLKPRGGGSKQQI >CAK8540784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21088169:21089491:-1 gene:gene-LATHSAT_LOCUS9754 transcript:rna-LATHSAT_LOCUS9754 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIGKSSELGSGVVCNSESESVENVVFGGDVRCCIQASPPRGNGSRNTSPMGRVGSRNTSPSRQIVKTKPRGLDEETHATFGKVVHADVQMEDNIWAMLPEDLLHEILARIPPFLIFRLRLVCKRWNSLLQDSSFFKFHSSVPSDGPCVLSFCKSSLIPQCSVYSLPLKAWYRMSFTFLPHWAIWLVGSSGGLVCFSGCEGSVFYILVCNPLTQTWRILPSMHFNQQRQLIMVVDRSDRSFKVIATNDICSDKSLPTEIYHSKEDRWSVHQTMPASNLCSSKMAYCDSRLYLETLSPLGLMMYRLDINSWEHIPARFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSIKIWELDHAKILWVEISRMPPKNFRSLLRLSAERFECFGLDNLICFTSYNQGKGLLFDVDKKIWSWIGGSAFQSYNNQACFYEPRFDASIY >CAK8577721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585090233:585090682:-1 gene:gene-LATHSAT_LOCUS29802 transcript:rna-LATHSAT_LOCUS29802 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNTIYGVAKECSTELRPSIKTVEETVKSLVGPVHEKFHLVPDEILRHTDQDHHLAVHLTPVANIATVSEMAVRIYAKCEPVAEKNVASTWRKVKQSPVFHRVASVVASKAAICIEKYNDAVIGAAEKGCKVSAYTPLVHRDIYSYGV >CAK8564770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9091631:9093058:-1 gene:gene-LATHSAT_LOCUS18040 transcript:rna-LATHSAT_LOCUS18040 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLFLIIVTITTLLPLIANGAKCHPDDESGLLAFKSGIKSDPSSMLKTWITGTDCCTWFGVSCGDNKRVTTLSLTGDSENSKSYLSGTISPSLSKLQYLGGLYLPNLQNISGPFPESLLKLTKLEYIYIENNKLSGQIPKNIGTMTQLGAFSLEGNRFTGTIPSSISELTQVTQLKLGNNLLTGTIPESIKNLKNLTYLSLKGNNLSGNIPDIFSSFTNLIILELSRNKFSGNVPASISSLFSHLRFLELGQNSLSGKIPDFLGKFKALDTLDLSKNQFSGTVPKSFANLTKIFNLDLSDNFLVDPFPSLNVKGIESLDLSRNMFHLKEIPKWVTSSPIIYSLKLAKCGIKMKLDDWKPSETYFYDFIDLSGNEISGSAVGLLNKTEYLVGFWSSENMLKFDLGSLRFGNRLKYLDLSHNLVFGNVTKSVVGIQKLNVSYNHLCGEIPKNNLSASVFVGNDCLCGSPLKPCKA >CAK8533992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:680404150:680404662:-1 gene:gene-LATHSAT_LOCUS3571 transcript:rna-LATHSAT_LOCUS3571-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDAGPGTPINCMQDEPTRVPINRATRFENKVGFLDLVVGESLIKKKILERLFIDLVAGESLIKERVAARVNDLVGSTNVMTDEPLLLLPRRFRQNRAWMKLNKIWRTNTKVKGFIINKVKGGYSVAIAGFITFLPFRSHNKREKRRISNDRFTIESINPKKKNIVVF >CAK8533991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:680404150:680404767:-1 gene:gene-LATHSAT_LOCUS3571 transcript:rna-LATHSAT_LOCUS3571 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIYLSRSFPRCNSSLFLCSGKALQSEVLRLGEEMFLVDAGPGTPINCMQDEPTRVPINRATRFENKVGFLDLVVGESLIKKKILERLFIDLVAGESLIKERVAARVNDLVGSTNVMTDEPLLLLPRRFRQNRAWMKLNKIWRTNTKVKGFIINKVKGGYSVAIAGFITFLPFRSHNKREKRRISNDRFTIESINPKKKNIVVF >CAK8570834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:125558104:125563190:-1 gene:gene-LATHSAT_LOCUS23550 transcript:rna-LATHSAT_LOCUS23550 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNAIANGLAGAGGGIIAQIITYPLQAVNTRQQTERTLLKRNKQSLVPQSSNGIATASSSSSSSGFFLQIFQVIGNEGWGGLYSGLKPSLLGTAASQGIYYFFYQIFKNKAVAIAAARKVKGHGDGTVGMFGWLIVAAIAGSLNVLFTNPIWVLVTRMQTHTQAERKIRDEKKEALRKAASESSLAGSTLEDKLAELNSTKPRPFGTVHAANEVYNEAGIVGFWKGVIPALIMVCNPSIQFMIYESSLKHLRAKRSAKKQGDTSVTALEVFLVGAIAKLGATVATYPLQVVKSRLQAKQEIGGNNSLRYSGTFDAIFKMIRYEGLPGFYKGMSTKIVQSVFAASVLFMIKEELVKAIMVLANKRKKVVLKYE >CAK8565277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95946317:95946868:-1 gene:gene-LATHSAT_LOCUS18502 transcript:rna-LATHSAT_LOCUS18502 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLFIWSDLGLTWEVLADGIIPTVTLETPEISLCYDVDFCRLFRPTSPQITQMKCLSVKGTCPQGSFSPLTKATKD >CAK8561033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79380246:79384400:-1 gene:gene-LATHSAT_LOCUS14660 transcript:rna-LATHSAT_LOCUS14660 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESKENGEILKVEPKPSNGFTSKAVDLLEKVIVKLFYDSSLPHHWLAGNFAPVKDETPPVKDLTVQGFLPDCLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRIKDGKASYVSRFVKTSRFKQEEYFKGSKFMKIGDMKGLFGLLMVNMQMLRAKLKILDVSYGHGTANTALVYHHQKLLALSEGDKPYAIKVFEDGDLQTLGLLDYDKRLGHNFTAHPKVDPFTGEMFTFGYSHTAPYVTYRVVSKDGFMHDPVPITISDPVMMHDFAITENYSIFMDLPLYFRPKEMVKNKTLIFSFDSTKKARFGVLPRYAKDDKHIRWFELPNCFIFHNANAWEEEDEIVLITCRVENPNLDMVGGGVKEKLTNFSNELYEMRFNMKTGEASQKKLSASAVDFPRVNESYTGRKQRYVYGTTLDSIAKVTGIIKFDLHAEPDSGKTKLEVGGNVQGLYDLGPGRFGSEAVYVPRVPGTDSEEDDGYLIFFVHDENTGKSFVHVIDAKTMSTEPVAVVELPQRVPYGFHAFFVTEEQLQEQAKF >CAK8561034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79380246:79383298:-1 gene:gene-LATHSAT_LOCUS14660 transcript:rna-LATHSAT_LOCUS14660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLRIKDGKASYVSRFVKTSRFKQEEYFKGSKFMKIGDMKGLFGLLMVNMQMLRAKLKILDVSYGHGTANTALVYHHQKLLALSEGDKPYAIKVFEDGDLQTLGLLDYDKRLGHNFTAHPKVDPFTGEMFTFGYSHTAPYVTYRVVSKDGFMHDPVPITISDPVMMHDFAITENYSIFMDLPLYFRPKEMVKNKTLIFSFDSTKKARFGVLPRYAKDDKHIRWFELPNCFIFHNANAWEEEDEIVLITCRVENPNLDMVGGGVKEKLTNFSNELYEMRFNMKTGEASQKKLSASAVDFPRVNESYTGRKQRYVYGTTLDSIAKVTGIIKFDLHAEPDSGKTKLEVGGNVQGLYDLGPGRFGSEAVYVPRVPGTDSEEDDGYLIFFVHDENTGKSFVHVIDAKTMSTEPVAVVELPQRVPYGFHAFFVTEEQLQEQAKF >CAK8573017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577371869:577372476:-1 gene:gene-LATHSAT_LOCUS25512 transcript:rna-LATHSAT_LOCUS25512 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEKILLKRITENKKNLEALNLPKLSQSLHKTSSSSSKPSSSVKGRPRFVQPGELEVNKKCLRSTTTRKSSIIPPPIKTTITLLPIQTKITPLPIETTMIHLPIQTTKDVVVADEDEGVVVGDETEDVVVGDEAEDVVVGDEVEDVVVEDVTEDVVKVAKSVYWDVNVINK >CAK8535094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813754087:813755214:1 gene:gene-LATHSAT_LOCUS4573 transcript:rna-LATHSAT_LOCUS4573 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGRGRGRPRLVPLSTTNPTVTDQNTADKENFAIDEVQAANLECDSQAGEEAGTTDTETLNQVITEEAKKEVEVTQPKKLWVDIINENRNPAKGLTMEFVAPKIVDGEVEIQIEEADVEAEVKFWESALIMYALGVDLSMNAVKQFISRTWNFVTLPEMFYNDEGFSILRFHSFHDKELVLMKGSYSIRNRPMVLREWKPNFSMNKDMLRTITLWVKLPQLPLHLWGARSLSKIGSAIGTPLVTDECTENKLRVSYARILVEIDVTQELKSEILIIDENGARMKQLIEYEWKPLYCQRCHKVGHNCDKPAKPTKEWRVKGQVQVQVRPHKENEKDQTSASVTPRQVITTAEVQQPENEAWTRITSSSKGKGK >CAK8537581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:404335524:404335754:-1 gene:gene-LATHSAT_LOCUS6865 transcript:rna-LATHSAT_LOCUS6865-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNEGMPNLSYVVKLNRCWCDCGKYQAFRVHCSHVILACAHARQDAYSILSDIYKAITIMNVCNEDFAVLPMEEY >CAK8537580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:404335524:404335847:-1 gene:gene-LATHSAT_LOCUS6865 transcript:rna-LATHSAT_LOCUS6865 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKEETIKAMTNVVIVFDRHRKTFSVQETMDHNEGMPNLSYVVKLNRCWCDCGKYQAFRVHCSHVILACAHARQDAYSILSDIYKAITIMNVCNEDFAVLPMEEY >CAK8540177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543150486:543154121:1 gene:gene-LATHSAT_LOCUS9207 transcript:rna-LATHSAT_LOCUS9207 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRDHQRPAPSPGRRENQIKSSSRREKRTEPHSPSGAIKVISFFIVSSVIAVAVYWLGQSSHSQHNRSYVNQRGLVTTDTNFQDILTENTKVSENTSIRHYTYPVLGYITPWNSLGYEMAKRFNSKFTHLSPVWYDLKSQQSSLVLEGRHNADRGWISELKKAGKALILPRVVLEASPEELLRKKKLRNKAIDLIVTECKEMGYDGVVLESWSRWAAYGILHDPIMRSLALQFVKQLGDALHSIISEKVSGQKLQLVYVIGPPSSEKLQAHDFGPKDLETLSEVVDGFSLMTYDFSNPHKPGPNAPLEWIQIILRILLGASANRAQNITPKIFFGINFYGNDFSLSKDSGGGAIIGRDYLALLEKHRPALQWDKNSGEHLFFYIADKDIKHVVFYPSLKSISLRLEEARSWGCGISIWEIGQGLDYFFDLL >CAK8563877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636694209:636699035:1 gene:gene-LATHSAT_LOCUS17240 transcript:rna-LATHSAT_LOCUS17240 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVASNEQVVDSDKRKAKDESTKTVPLYKLFSFADPLDHLLMFVGTVGAIGNGISMPLMTLIFGNMINAFGGSTSTKEVVDEVSKVSLKFVYLGAGTFVASFLQLTCWMITGERQAARIRGLYLQTILRQDVSFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGQFLQLMATFFGGFVIAFIKGWLLTVVMLSCIPLLVLSGAIMSMAIAKASSSGQEAYSKAASVVEQTIGSIRTVASFTGEKHAIAKYDQSLIDAYRTAVKEALASGLGFGSLYLVVIASYGLAVWFGGKMVIEKGYTGGEVVTIIFAELTGSMSLGQASPSLSAFAAGQAAAFKMFETIKRKPEIDAYDSTGRKLDDIRGDIELREVCFSYPTRPDELIFDRFSLTIPSGTTVALVGQSGSGKSTVVSLVERFYDPQAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDGATDEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIKNADTIAVIHQGKIIERGSHAQLTRDPDGAYSQLIRLQEMKGSEQNVENDTSKSNSIVLSEKRPSQRSLLSRSISQLSSGGSNSGRHSFSASFGVPTAIVGFSETAEGGPQDPLSTDSSPPEVPLYRLAYLNKPEIPVLLMGTIAAVLHGAILPTFGLLLSKMISIFYEPHDELRHDSKVWALVFVGLGVASLFIFPCRFYFFGIAGGKLIKRLRKMCFEKVVHMEVSWFDKSEHSSGAIGARLSTDAASIRALVGDALGLLVENIATAVAGLVIAFVASWQLALIILALVPLLGLNGFLQVKFLKGFSTDAKKLYEEASQVANDAVGSIRTVASFCSEEKVMELYKQKCEGPIKTGIRRGIVSGFGFGVSFLVLYAVYACSFYAGGRLVEDGKSSFSDVFRVFFALSMAAVGLSQSGSLVPDSTKAKSAAASIFAILDRKSLIDPSDESGTTLEELKGEIEFKHVSFKYPTRPDIQIFTDLCLNIHSGKTVALVGESGSGKSTVISLIQRFYDPDSGHITLDGKEIQNLQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGDASEAEIIAAAELANAHKFISSLQKGYDTVVGERGVQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALDRVMVERTTIIVAHRLSTIKGADIIAVVKNGVIAEKGKHETLLHKGGDYASLVALHTSASTS >CAK8578926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662283975:662284403:-1 gene:gene-LATHSAT_LOCUS30904 transcript:rna-LATHSAT_LOCUS30904 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERLMGDSKRSVKNKAKVKGSICAYYLHQESSQFCSHYFNHMMLIPRIIRNKVNFIERSTFTLSVFGLPGRSSGKTNVHWLIEKELQSAHVHILINCVEVKPYIESFNNY >CAK8569179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:679963646:679965936:1 gene:gene-LATHSAT_LOCUS22063 transcript:rna-LATHSAT_LOCUS22063 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFVSRRNKDVSRVEVDNGSRSATTTGNGGTNQSEGEWKRECSEGKGKSVMSGKSRTAAASFGFRELATATRGFNQSNMIGEGGFGKVFKGRLSTGELVAVKQLCHDGRQGFQEFVTEVLMLSLLHHSNLVKLIGYCTDGDQRLLVYEYMPKGSLEDHLFDVPHDKEPLSWGSRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFSPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAIDSNKKPGEQNLVSWSRPYFSDRRKFVHMADPLLQGQFPVRCLHQAIAITAMCLQEQPKFRPLIGDIVVALEYLASQSIEAHRYGARSP >CAK8579488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702941840:702944997:1 gene:gene-LATHSAT_LOCUS31432 transcript:rna-LATHSAT_LOCUS31432 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMNIIFYVLVLLLVLQNPIVTQCHTKGLRPKPYNGIGTSANMTKVQQSEQQFMKWVKFVGALKHTVFRTAKNKLFPSYTLNVYKNSKKGGFSSIQSAIDSLPFINLVRVVIKVHEGVYTEKVYIPPLKSFITIQGAGADKTIVQWGDTAQTPNPAAKGQTLGTYGSATFAVNSPYFIAKNITFKNTAPIPAPGAVGKQGVALRISADNAMFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIAENTGAVTAQGRSSILEDTGFSFVHCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGAGASYAGRVSWSRELTDEEAKPFISLSYIDGSEWINSFF >CAK8574533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1746346:1749129:1 gene:gene-LATHSAT_LOCUS26872 transcript:rna-LATHSAT_LOCUS26872 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8563409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601240981:601243434:-1 gene:gene-LATHSAT_LOCUS16825 transcript:rna-LATHSAT_LOCUS16825-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLVLFLQFFFMFFTIIEATHTTTEKNNLNIIPLSSSLSPKGKNSSWLSISGHFAFGFYPQGSGFAVGIWLVSPSENTTTVVWTANRDDPKVSSKSMLNLTEKGLLIQNGRGDSPYNINTDSHMRLVSWASMLDSGNFVLYDDDFNVIWQSFDHPTDTLLGGQNFTSRDHLVSSISKSDHSSGCFYLTMQIGDGNIVLYSKFSVLDAYWTSKVQNQMYSDQKLRLNGQGFLCLYGRSGDTCFNSINKPRKKSSHSNTNSIYRATLDVDGNLRLYEHQFHFEGNNRYRVVMLWQALNDTCLVKGFCGLNSYCTSDLSGDAVCKCYPGFIPSNTETVPMDCIQTHSKDDCESSEDRTLLYNFTRFENMQWGDVPYNVIPMMKMETCETACQQDCGCGGAIYTSGNCNKYRLPLIWGRTQNDSSSTVSVALVKTPKNFITISPPTSNDTYESKPKVVIDNKKNLIMILALTLGVVSLICLVMAVSIFFTYRRQVIRYAMLSESEKLEFTEECSLRSFSFDELVKSTGQFSEEIGRGSLGVVYKGKIGNNNRSIAVKRLDERIAEEGEREFQAEITAIARTHHRNLVKLIGFCIEGSKKLLVYEFVSKGSLANLLFEHETRMTWKERMKLVLDVARGLLYLHEECEVRIIHCNINPRNILMDEAWTAKISDFGLARLSKRGHSRTKIEDDGASGYLAPEWQKEDASVSVKSDIYSFGVILLEIICLRRSIEMNNISSSDEILLSNWVYQCFAAGQLKSLITQDEKDVDWKVLERMVKVGLWCVQNHQSLRPTIKNVILMLEGLKDIPVPPSPTSTQLQV >CAK8563408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601240790:601243434:-1 gene:gene-LATHSAT_LOCUS16825 transcript:rna-LATHSAT_LOCUS16825 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLVLFLQFFFMFFTIIEATHTTTEKNNLNIIPLSSSLSPKGKNSSWLSISGHFAFGFYPQGSGFAVGIWLVSPSENTTTVVWTANRDDPKVSSKSMLNLTEKGLLIQNGRGDSPYNINTDSHMRLVSWASMLDSGNFVLYDDDFNVIWQSFDHPTDTLLGGQNFTSRDHLVSSISKSDHSSGCFYLTMQIGDGNIVLYSKFSVLDAYWTSKVQNQMYSDQKLRLNGQGFLCLYGRSGDTCFNSINKPRKKSSHSNTNSIYRATLDVDGNLRLYEHQFHFEGNNRYRVVMLWQALNDTCLVKGFCGLNSYCTSDLSGDAVCKCYPGFIPSNTETVPMDCIQTHSKDDCESSEDRTLLYNFTRFENMQWGDVPYNVIPMMKMETCETACQQDCGCGGAIYTSGNCNKYRLPLIWGRTQNDSSSTVSVALVKTPKNFITISPPTSNDTYESKPKVVIDNKKNLIMILALTLGVVSLICLVMAVSIFFTYRRQVIRYAMLSESEKLEFTEECSLRSFSFDELVKSTGQFSEEIGRGSLGVVYKGKIGNNNRSIAVKRLDERIAEEGEREFQAEITAIARTHHRNLVKLIGFCIEGSKKLLVYEFVSKGSLANLLFEHETRMTWKERMKLVLDVARGLLYLHEECEVRIIHCNINPRNILMDEAWTAKISDFGLARLSKRGHSRTKIEDDGASGYLAPEWQKEDASVSVKSDIYSFGVILLEIICLRRSIEMNNISSSDEILLSNWVYQCFAAGQLKSLITQDEKDVDWKVLERMVKVGLWCVQNHQSLRPTIKNVILMLEGLKDIPVPPSPTSTQLQFSLSSGCVVINLIKS >CAK8542451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516251963:516253249:-1 gene:gene-LATHSAT_LOCUS11290 transcript:rna-LATHSAT_LOCUS11290 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPLFISEDLIAEVLSVLNVKSILRFRCVNKHWDTLISDPTFVKLHLKQSAKRNPHVILKSDHTKSIPGESPYGSDDESECARGIIPHSISSLIENPSFTVYVDSFYIVEDKGCSSMVGSCNGLICLAGFTTTREYLEDWFRLWNPATRTTSPKFGFLRLFYNRPDFTSSYANDGYYKFTFGCDDSTGTYKVVASRHNDRELRSNVRILSFGDSVWREIESFPVDPLCLRSCCDNGVSFKSTLNWLAVHNTIFYIGDDYKDITIDQFSIVSLDLRSETYNQYLVPHEFDEVPPNAPIIGVLGDCLFFSSRYKETDIIIWQMKKFGVQDSWTQFLKISCHSLQIDYDYSEYMKYHFKLVPLFLSKDGDTLILYCILEHPTILYNWRNNSVVRTNITARKIITDDETSNCISCSANGYFESLVSVFGV >CAK8543283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595508779:595510118:-1 gene:gene-LATHSAT_LOCUS12050 transcript:rna-LATHSAT_LOCUS12050 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRCVSYVYHTRTGTQYILDIDTLVKLEYPCFTDLNIKNPHYKNILTLTLIGRILGGASFNPSSIISFYTLGLRPDSSLLLMAIRILAQAISGAIGTKSILHVMPNEYLHMVKGPSLKVDLYTKAIVEGVLSFTHNYVILIVLFKGPKNPWLKVYLLSMATLVLVVLGYAGPSLNPAKAFGWTYMNNKHNNREQFYVFWICPLIGATRFVFMSSIKEKKA >CAK8578954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663603568:663614455:1 gene:gene-LATHSAT_LOCUS30932 transcript:rna-LATHSAT_LOCUS30932 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELKSAKSSYRTAKAEGNHREEARWANVIGDILKNRGEYVQALKWIRIDYEISRKHLPENQLLTTCQSLGEIYLRLEHFTEALTYQRKHLELARDANDLVEQQRASTQLGRTYYELFSRSEHDHNSVRNAKKYFKSAMELAVALKKNPPNNKSSHLKEYIDAYNNIGMLEMEIDNLQEAQKILAKGLEICDEEEISEYDDGRTRLHHNLGNVYMELRMWSEASKHIKRDILICHKIGHRQGEAKGYINLGEMHYRTQKYEEARSYYEKALALAKSLEDEDALVRQIKENIEIVKEAVKVMDEIKGEEQKLKKLKRGIASARGTLHERNCLLQQNGSLERLVEKARMISAWEKYCEFAKDKKKVAHDLSDRQRLGDSYLDVAESYQKLRKFNKAIKWYKKSWEVYKTIGNLEGQALVKINIGNVLDSTHNWKEALDAYKESYRIAVEVNLPDVQLSALENMHYSNMIRFDDEDETRRLKLLIDKLKKSNEDQEDNSTPEDCCPETDNEENDCMSNSGSDEFCFPKKTCKSETLTSGEESNDDNKPLTLLYQSIKGSSRKISHKESPTNSTMQVDQSPKSVTKQASKHQAVVGRKRVRVILSDDDDDDEMECSSRKDHDCLLENLPNNDAIESKINPSKIQVVSENTSKCAINVEESSSSFKSWSTNAAAKSCRHSRSLSDDLAVEPEFHSGSKCDTVLSGKQNGVAHPITHHSQDDKYIACRIGNDIIYVEAALCAAGEHFNIGSLKAAVACLYYLQFPTEKRSEGLLPIIQHIKCAGRDIELLESVEKLKEHLQNNMVEAFIDGWIQKRLIGMYVDRCKELSEEPKTKVLKKLYNLEVSDDEIIVSDCDLQDLSITPLIDALHSQMAFSLLDISHNCLGNGTMEKLRKVFTTSGQSYGDLTLDLHCNRFGPTTLFQICECSLLFARLEVLNISGNRLTDACGSYLSTILKNCTALCSLNVENCCITSRTIQKIADALDSTSVLSHLCIGHNSPVSGNALVNLLSKLSTLKRFLELNMSGLKLGKPVVDSLCQLGGTLTLSGLILGGTGIGNEGAVKVTESLLKGTEELVKLDLSYCGLTSDYILNINVNSFCSILELNLEGNPIMSEGCNTLFLLLINPQCCLKVLVLRKCQLGLAGVLHILEALADNSSLEELNLADNSVTTELCVLQCDDVSAKSCSQNQEQKLDTVKVDDNQEVLCSLDTFANQLEVADSEDRLEAAASGIDDSCASSCQRNSSPECQFTPQFSTAIAKAKNLQLLDLSNNSFSAQASETFYCSWTTLRPLSSHRHITKHIIHFSTKEKKCCSVKPCCKRV >CAK8541843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413891221:413893485:-1 gene:gene-LATHSAT_LOCUS10736 transcript:rna-LATHSAT_LOCUS10736 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQPQTQQQPPPPPLLPPQQQPPPPPQLQLQPNRPSTSCDIHPQETFTGFCPSCLCERLAVLDPNNNNASSSSTSNRKPPTSSTAAAALKAIFRPSTSQRNNRPPTSASSNSLFPELRRTKSFSASKNEGFSGTFEPQRKSCDVRGRSTLYSLFNQADERKIPKTEPPRLLPELDTRNLASSSTVQQPFIESEEHEDEDNIVVEEDSDQDEIRVLEPPQPLQQRERELSNVIEETFHEIVEEEPDFQLEPEPELVFAEEDTLKPMKEHMDLDSQAKKSSGRDLKEIAGSFWSAASVFSKKLQKWRQKQKAKKRGPRNGAVSGSSTLLPVEKPIGRQFRETQSEIADYGFGRRSCDTDPRFSLDIARMSFDDPRYSFEEPRASWDGYLIGKTFPRVPLPTMLSVVEDAPVHVQRTDSLIPVEEPPLLNENGEENVNMPGNSTQTKEYYSDSSTRRRKSLDRSSSIRKTAAAVVAEMDELKPVSNAKVTPATASVNVNANASVTVNANAGVDYLLHGQKVDRDLRDYSNNSNSNSNNSLRDDCSESFELGYRDNASVVGSNNGYGDRKGGSKKSSSRWSKAWSIWGFIHRRGGGNSNKEEEDDRYSSRGGNGNGVERSYSESWQEFRGERNGDVRGGVGIGSIGGGGGLNRKILRSNSSVSWRNAPSMGGSMGGGGGIFSGRKSDVQSSNGYLGRKARDEFVLERNRSARYSPNNNMDNGGLLKLYLTPGGRRNGASKGRSNQAHSIARSVLRLY >CAK8533234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594697920:594703313:-1 gene:gene-LATHSAT_LOCUS2879 transcript:rna-LATHSAT_LOCUS2879 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKKKHTPPPPTTYDDVDNDNIWSSLIHKGTSTTFTTIALFALLIRFSVSLYPYSGFRNPPKFGDFEAQRHWMEITINLPIREWYRNGTNNDLGYWGLDYPPLTAYQSWIHGVLLRRFHPDSVSLFSSRGHESYLGKQLMRWTVISSDALIFFPAVLYFIIARYNQPSRSRKTDIAWHITMLLLNPCLILIDHGHFQYNCISLGFTIAAVAAILSGKDLVGSVFYCLALNHKQMSAYFAPAFFSHLLGKCLAREHPVLEVSKLGFVVLGTFAAVWWPYLYSTNSFLEVLSRLAPFERGIFEDYVANFWCATSILIKWKRLFTTGPLKLISFSATIISCFPSMVQQIKSPSNKGFLYALLNSSLSFYLFSFQVHEKSILLPLLPATMLAMEEPFIFKWFTKFAMLSMFPLIYRDGLIVPYFALLALFILVLNTPGRRRVRENNNYIYNYLGATTIRLILFCSLILHIVYLTMQAPKKYPFIFEAMIMNLCFSQFVIVTIGCNMKQWILNKNVKLDEIEKKHI >CAK8532979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:559145024:559146384:-1 gene:gene-LATHSAT_LOCUS2633 transcript:rna-LATHSAT_LOCUS2633 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLFCSNFFIPKSTRNTLQLPSQFCLPFCSYFQHNRQYKRFFPLPKVASVPYQPINFDYLQQEFSGYGVTFEEVGGSCMAKMELKNGSIATILLPSGLITSYKAPMWHGGKVELLHTAVSQGEFGDAIIQGGVSLNFNFQVNNDDDDDNEEVSWSPTNWVLHNIKGNAEESIQVELINKGPDSKIGLKYIVTLEEDGLGSELEVSNSNSWSLQMTGSVLTHLTVSTPEATYAIGLERSNYYIRPPFESEFILSPPDSSEDNGLEKTWKSSVKQFFPGWGTSNQNNEVEQSQIDSGDAMGEETESYKQLKERISLVYTDAPRSFTLIDRGRRNSVLVGRNGFDETYLFSPGSSGIESYSKYAYICVGQAAVLQPIVLSSQNVWKGGLYLHNPNL >CAK8566339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410052723:410056204:1 gene:gene-LATHSAT_LOCUS19474 transcript:rna-LATHSAT_LOCUS19474 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFSVDTPVTAINVGGKLTLSIIITCIVAASGGLLFGYDIGVSGGVTTMVPFLQKFFPEILRKAAGAQVNMYCVYDSQVLTLFTSSLYLAGLVSSLAASKVTTMFGRRNVIILGGSVFLVGGAINGGSENIPMLILGRVFLGLGVGFTNQAAPLYLSEIAPPKWRGAFSTGFQFFLGVGVVAAGCINFATAKHTWGWRLSLGLAVVPAAVMVIGAFLITDTPSSLVERGKIDQARKSLQKIRGSSVDIEPELDELIKWNEIAKSVKQEPFKTILKREYRPHLVMAFAIPFFQQLTGINIVAFYAPNLFQSVGLGHDTALLSAIILGVVNLASILISTGIVDRFGRRFLFITGGIMMFICLMAVSILLAVVTGVHGTKEISKGNSILVLVLLCFYAAGFGWSWGPLTWLIPSEIFPLNIRSTGQSIAVAVQLIIVFVLSQTFLTMLCHFKFGAFLFYGGWVVVMTFFIIFFLPETKGIPLESMYTIWGKHWFWSRYVKREEVQENHP >CAK8564009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645949080:645952309:-1 gene:gene-LATHSAT_LOCUS17358 transcript:rna-LATHSAT_LOCUS17358-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGRGEENVGLRKGVELVRDVSAKHFDLLRPFARSNSKGQAVDAMVHGKGKYGLIRDPEDFQAGIYDKPLPCFGCGIGWFSFLFGFLCPPMWFYATILYFGNYYRKDPRERAGLGASAIAALVCSVGVLIIAAILLLKKSPSLYL >CAK8564010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645949080:645952309:-1 gene:gene-LATHSAT_LOCUS17358 transcript:rna-LATHSAT_LOCUS17358 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGQAVDAMVHGKGKYGLIRDPEDFQAGIYDKPLPCFGCGIGWFSFLFGFLCPPMWFYATILYFGNYYRKDPRERAGLGASAIAALVCSVGVLIIAAILLLKKSPSLYL >CAK8544561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692725767:692747862:1 gene:gene-LATHSAT_LOCUS13227 transcript:rna-LATHSAT_LOCUS13227 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATTTTMAFETRHINFLVSSNLSRLTKPSRLFHRQPKRFVLTPRLAVKACAINVEEKSIAGKSEAWGKVSAVLFDMDGVLCNSEEPSRRAAVDVFAEIGVQVTVDDFVPFTGTGEANFLGGVASVKGVKGFNTEDLKKRFFKIYLDKYAKPDSGIGFPGALELVSQCKSKGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASRILNVAPSECIVIEDALAGVQAAKAAQMRCIAVRTTLSDEALEPAGPTFIRDDIGSISLDDVLNGDSIGYNKRMQGSETPNSFAQSSSDVLAGIIDDRNGRTASSTDEETRSTGGLQGSRRDILRFGSLGIAISCFVFALNNWKAMQYSSPQAVWNLLFGVTQPPMEYKAGNSKFDRIPQFVKYIADLENRENAQLVPEFPSKLDWLNTAPLQFRRDLKGKVVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYDITHPVVNDGDMYFWRKLGISSWPTFAIIGPNGKLLAQLAGEGHRKDLDDFVAAALLFYGKQNMLDNTPITLNLEKDNDPRLLTSPLKFPGKLAIDVLNNRLFISDSNHNRIVVTNLDGNFIVQIGSSGEEGLKDGSFDEATFNRPQGLAYNAKKNILYVADTENHALREIDFANEKVRTLAGNGTKGSDYVGGGKGDTQLLNSPWDVCYHPFEEKIYIAMAGQHQIWEHNILSGVTRAFSGDGYERNLNGSSSTSTSFAQPSGISLSQGLMEIYVADSESSSIRVVDLKTGGSRLLAGGDPMFSDNLFKFGDQDGIGSEVLLQHPLGVVSGKDGVIYLTDSYNHKIKKLDPTSKRVSTVAGTGKAGFKDGIAVTAQLSEPSGIVEGSNGRLFIADTNNSLIRYLDLNANEFQLCTLELKGVQPPKPKSKSFKRLRRRPTADTVPITIDAISSEEGNLSIEISLPNEYHFSKEARSRFSVDIEPEDAVNIDPLDGLLSPEGSAVLHFKRSSNSASMGRISCKIYYCKEDEVCLYKSLLFEVPFREGVFDTAKTDVTLAHFVKPKGSTNSLLQSIAP >CAK8543227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590475571:590476368:-1 gene:gene-LATHSAT_LOCUS11998 transcript:rna-LATHSAT_LOCUS11998 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQRSAAEIDKKVHREFAHWFHNRICNSLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVSGFKFRTLERYNLLKTQNSGVFGLFGTRSYSSNNDTQMRFGGVSYYGRLIDIIVFSYDGFTVPMFKCEWANTINPRGIKIDKLGFTSINFTKLLHSREHEDNELYTQASKAQMVFYVDDENEQGWSIHVHLKLRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8540211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545439371:545449569:-1 gene:gene-LATHSAT_LOCUS9239 transcript:rna-LATHSAT_LOCUS9239 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFTVDLLERHAAKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGDSFEFDLSAGRPGDQSIHRVFVDPGGCHCIATIVGQGGAETFYTHAKWAKPRVLSKLKGLVVNVVAWNRQQITEVSTKEVILGTDNGQLHELAVDEKDKKEKYIKFLYELTELPEALMGLQMETASVINETRYYVMAVTPTRLYSFTGFGSLETVFASYLDRAVHFMELPGDIPNSELHFYIKQRRAVHFAWLSGAGIYHGGLNFGGQQSSSSGNVNFIENKALLNYSKLSEGVEAVKPSSMALSEFHFLLLLENKVKVVNRISEHIIEELQFDQTSDSASKGIIGLCSDATAGLFYAYDQNSIFQVSINDEGRDMWKVYLDMKEYAASLANCRDPFQRDQVYLVQAEAAFSSKDYFRASSFYAKINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKDDKCQITMISTWATELYLDKINRLLLEDDSALENSNSEYQSIIKEFRAFLSDSKDVLDETTTMKLLESYGRVEEMVFFASLKGQYEIVVHHYIQQGEAKKALEVLQKPSVSVDLQYKFAPDLIALDAYETVESWMATMNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHKLHNEDPGVHNLLLSLYAKQEDDSSLLRFLECKFGKGPENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMIAKHVVEQEKGTKRENIRMAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIETLKEEMNDTTHGADNIRNDISALAQRCTVIDRDEECGVCRRKILNTGREFGIGRGYTSVGQMAPFYVFPCGHAFHAQCLIAHVTRCTVETHAEHILDLQKQLTLISSESRRESNGNLALEGTIPSMTSVDKLRSQLDDAIASECPFCGDLMIREISLPFIHPEEDQHVISWEIKPNVGSQRSIPLSV >CAK8540398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557771045:557775126:-1 gene:gene-LATHSAT_LOCUS9404 transcript:rna-LATHSAT_LOCUS9404 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRKLSSSVNKSSRPLFSASSLYYKSSLPDEAVYDKENPRVTWPKQLNSPLEVIDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVLLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNSSKFAKALSEKGYDLVSGGTENHLVLVNLKKKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDAAVSLALKVKAESKGTKLKDFVEVLQTSSYVQSEISKLKHDVEEFAKQFPTIGFEKATMKYNK >CAK8571677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460366856:460369134:1 gene:gene-LATHSAT_LOCUS24316 transcript:rna-LATHSAT_LOCUS24316 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQCQVCHKAQSKYKCPKCYLPYCSLACFKKHKELPCVNPTPSAPKTTGLELPVEKPPVVDNTVLEAVVEKPLVVDKRGQVLDQFQLEAIASSSEIRDALNDKALQELVCRIGCSPNAENELDKAMADEAFRLFTDKILSTINP >CAK8573968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650723237:650723734:1 gene:gene-LATHSAT_LOCUS26361 transcript:rna-LATHSAT_LOCUS26361 gene_biotype:protein_coding transcript_biotype:protein_coding MGETWIKPEANFMKCNIDVSFSTTDNSVGFGIIIRDDNGHFVKAQTIQITPFLQVQEEKAKALKHAINWITELGFVNVVFELDDEIVVDAFNNPKVDDMSEFGLLIGDCRNLVDECLPNSRVVFTMKKANKAAGVLASVALDMVYPQVYDHVPLCIAPIVFKEMI >CAK8533531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633158926:633166633:1 gene:gene-LATHSAT_LOCUS3153 transcript:rna-LATHSAT_LOCUS3153 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFILCLLFLASSGFVYGSTKREIKDHDIKLFVLQKGDLTLKVTNWGATLVSLILPDKNGKLGDIVLGYDNIKTYTNDSSYFGATVGRVANRIGGAKFSLNGIQYKLIANEGNNTLHGGTRGFSDVLWKVEKYVKEGDRPFIKFSYHSFDGEEGFPGDLKVTVTYILEKNSLTIIMKAKALNKPTPVNLVNHAYWNLGNHNSGSILNEVVQIFGSKITLIDNNLIPTGKFSSVKGTPYDFLKPEIVGKRINQLPKTNGYDINYVLNKEKEKNEELKVAAIVMDKKSGRVMKLSTNAPGLQFYSANFVKNEKGKGGFVYQPRSALCLESQAFPDSVNHPKFPSTIVTKEKPYKHVMLLKFSTKVPHAFSQF >CAK8531744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144893520:144903465:-1 gene:gene-LATHSAT_LOCUS1510 transcript:rna-LATHSAT_LOCUS1510-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRGVGSVVGTLLIPMRFVWPYGGRSVFLSGSFTRWSELLPMSPVEGCPTVFQVIYRLPPGYHQYKFFVDGEWRHDEHQPYVHGQYGIVNTVLLATDPNYVPVINLDIAPGSNMDVDNENFRRMQVQVTEATLSEVLPRISDTDVQISRQRISAFLSMHAAYELLPESGKVVALDVDLPVKQAFHILHEQGIYMAPLWDMCKGQFVGVLSALDFVLILRELGNRGSNLTEEELETHTISAWKEGKSYLNIQNNGHGTAFSRHLVHAGPYDNLKDIAMKILQKEVSTVPIIHSSFEDGSFPQLLHLASLSGILKCICRYFRHCSSSLPILQLPICAIPVGTWVPKIGESNRRPLAVLRPSSSLASALNLLVQAQASSIPIVDDNDSLLDIYCRSDIMALAKDRAYTHINLDEMTVQQALQLGQDVCSPYEPRSQRCQMCLRSDSLHKVIERLSNPGVRRIVIVEAGSKRLEGIVSLSDIFKFFLS >CAK8531743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144893520:144903465:-1 gene:gene-LATHSAT_LOCUS1510 transcript:rna-LATHSAT_LOCUS1510 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRGVGSVVGTLLIPMRFVWPYGGRSVFLSGSFTRWSELLPMSPVEGCPTVFQVIYRLPPGYHQYKFFVDGEWRHDEHQPYVHGQYGIVNTVLLATDPNYVPVINLDIAPGSNMDVDNENFRRMVQVTEATLSEVLPRISDTDVQISRQRISAFLSMHAAYELLPESGKVVALDVDLPVKQAFHILHEQGIYMAPLWDMCKGQFVGVLSALDFVLILRELGNRGSNLTEEELETHTISAWKEGKSYLNIQNNGHGTAFSRHLVHAGPYDNLKDIAMKILQKEVSTVPIIHSSFEDGSFPQLLHLASLSGILKCICRYFRHCSSSLPILQLPICAIPVGTWVPKIGESNRRPLAVLRPSSSLASALNLLVQAQASSIPIVDDNDSLLDIYCRSDIMALAKDRAYTHINLDEMTVQQALQLGQDVCSPYEPRSQRCQMCLRSDSLHKVIERLSNPGVRRIVIVEAGSKRLEGIVSLSDIFKFFLS >CAK8530109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1015465:1021695:-1 gene:gene-LATHSAT_LOCUS3 transcript:rna-LATHSAT_LOCUS3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQMSATTRAGATNDALYKELWHACAGPLVTLPREGERVYYFPQGHMEQLEASMNQGLEQQMPCFNLPSKILCKVVNIHLRAEPETDEVYAQITLLPESDQSEVTSPDDPLPEPPRCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQSNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFIVSINKYLEARNHKLSVGMRFKMRFEGDEVPERRFSGTIVGVEDNKSSVWADSEWRSLKVQWDEPSSILRPDRVSPWELEPLVSTPPANSQPVQRNKRSRPPVLPSTMPDSSLQGIWKSPVDSPPFPYRDSQHARDLYPSPRFSSTATSFLGFGGNSPASNKSIYWSSRLENSTEPFSPMALKESGEKRQGTGSGCRLFGIQLLENSNAEESLQAAPLSRRVADDESDQHSEPSNVNRSDIPSVSCDADKSCLRSPQESQSRQIRSCTKVHMQGMAVGRAVDLTRFDGYEDLLRKLEEMFDIEGELCGPTKKWLVVYTDNEDDRMMVGDDPWLEFCSVVRKIFIYTPEEVKKLSPKIGLVTNEEGKPNKLDSEAVVNPEDQSSIVGPGC >CAK8540953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35245381:35245593:1 gene:gene-LATHSAT_LOCUS9907 transcript:rna-LATHSAT_LOCUS9907 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPNTQPITTTSSLPLPKLYKKTNSHKIENFIEYSHVPEDAQIFETIPPLLSPYNIFKRQRSVTRSI >CAK8561844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:393058097:393059371:1 gene:gene-LATHSAT_LOCUS15398 transcript:rna-LATHSAT_LOCUS15398 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTYNIRGCCILLKRRRISQTLQRGNADICLIQESKVTHMEDGIAHSIWRNSDMGWSALNSNGRSGGIITLWNRSKVSALFSFCGAGYLGIQFLWKNQNLIVVNVYAPCGSADRRKLWRELAKIKSNFSGAGWIVAGDFNEVKFKEERKGTSANSMKDMNFFSEFITEMNLTDLPVVGNKFTWFNSNGKCRSRLDRFFVDDTAISMLSLLNQLVGDRDISDHKPVWLKSNYVNWGPKPFRSFNCWFSHKDFIPFVKQSWSSYHVSGSYSNILIKKLSSLKSDLRSWNRNVFGWIDLKIEDNVSNLNSLELDSELISTSNNKVLIKERLRNQEEMWKNLRLKESMLAQKSRLKWLQDGDQNSKLFHDSLKSRYRSNCISDIRVGEGIEEDPEVIKCKTVKYFKERYKTKSSPKFSIDFDHIVC >CAK8543342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601758537:601758938:1 gene:gene-LATHSAT_LOCUS12105 transcript:rna-LATHSAT_LOCUS12105 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGVAERTTVGLVATDPSRILTPYTYTLRNTGPYDVYIKIYHCGVYHSNLHQIKDDLGMSNYPMVPGHEVVDEVLEVGSNVTKFSVGEIVRVGLLVGCCEKNCRACDSDIEQYCNKKIWSYNGVYSQSFIW >CAK8530287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11971315:11972398:1 gene:gene-LATHSAT_LOCUS165 transcript:rna-LATHSAT_LOCUS165 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSGAVLNGLGSSFLSGGKRSQTLLATAIGSKVGAAAVSPRRLIVAAAASAPKKSWIPGVTFGGNLVDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPNAIAPFSFGTLLGTQLILMGWVESKRWVDFFNPDSQSVEWATPWSKTAENFVNSTGEQGYPGGKFFDPLSLAGTIQNGVYIPDTDKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >CAK8543419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606897692:606899590:-1 gene:gene-LATHSAT_LOCUS12176 transcript:rna-LATHSAT_LOCUS12176 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSELIQKIQDLTMNAKHHQLETLHSILLHNSSSHYLQSFSSNNTPLDPSTFTSLIPLSSYEDYVDFIQQMADHHHHTNHHPLLSVDPLLCFFYSSGTTSMKPKLIPYFDSTLSKEASFIAHTGSIAVLHSQFPPRPNINKILLFLYADKITTTSKSGLKVMAASSYPLQSGKVSSTQLSRFSSPIQVMLGSNVNHQMYCHLLCGLRNVDIIDGISTPYAIGLIKAFGFLESKWEQLCHDLDCGYPCHEISDLEMREGVINTLGGPQHELSARIKLVCADKNWGGIVPRLFPNARFIRCVTTGSMMQYYQKLKFYAGDVPILGGDYFASECCVGLNLDLTQPPETTQFVILPTFAYFEFLPFEMNDNDEDVVGEQTVDLCSVEVGKMYEVVVTTYRGFYRYKLGDIVRVVGFYNSSPQVEFVMRAPKSSAEIITEKDLISAVENFQIALREAMGKDSIDIVEFASFLDQEPMSKQLKVFVEVQEESEFLEEWVKVFKSCISSLESGLGALYKVQKEKGHIGKLMITILRHGAFEKLSDLAIKNGTSASQYKPPKIIRNNQVVKLLEKLAFITVSMD >CAK8533430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616976708:616978993:-1 gene:gene-LATHSAT_LOCUS3054 transcript:rna-LATHSAT_LOCUS3054 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPCPKCPSFSSLGLKANKILFQSGLSLKPCQSFGSLSAESASFGIQCLNKKQFPIKIHAQLNEAVIVENSNSAPNVASPKEQENQNGSVSGGTLSLSDTSTVSEFMTQVAELVKLVDSRDIVELQLKQSDCELMIRKKEALQPPPATAIPQPSQPFYYPTLPSPPLTPTAAASAPAISPPSKATPALPSPMKSASSHPPLKCPMAGTFYRCPAPGQPPFVKVGDQVQKGQVICIIEAMKLMNEIEADQSGTITEILVEDGKPVSIGLPLFIIVP >CAK8575664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:239610923:239611307:-1 gene:gene-LATHSAT_LOCUS27907 transcript:rna-LATHSAT_LOCUS27907 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNHDFSPHDLVHKSCVASVMLRTVWQGTNLSIRLVKVFHVDHDKLVVLRGGLLKFTVRGLFGVWSCLV >CAK8566455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425123590:425126610:1 gene:gene-LATHSAT_LOCUS19584 transcript:rna-LATHSAT_LOCUS19584 gene_biotype:protein_coding transcript_biotype:protein_coding MREWKFTCFKDLRSVLWLVLFCVLLQSFGLCCSLNDEGKALLKFKQGIVSDPFGALSNWVDDGVWVDPCNWFGVECSDGRVVVLNLKDLCLEGKLANELESLFHIKSIVLRNNSFQGIIPEGIVRLKELEVLDLGYNNFSGPLMKDIGINISLTILLLDNNDLLCGLSHEINELAMISESQIDENQLIDAGKSPGCTGRSIKWRNRKSEKSLRKLLQSSTRDDRHNRAAIVPDSPSPSPSPSPSPSPSPSPSTSTTPPKVKKPSSPNRNVSASPSVLPAPQSKSTSSKKEHAPIVVGIVGGFAFIIVSVIVVYLWKTNKVATVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTSPVGSIYTVYKGTLSSGVEIAVASVTVTSLKDWSKTSEVQFRKKIDTLSKMNHKNFVNLLGFCEEDEPFTRMVVFEYAPNGTLFEHLHIKEAEHLDWGTRLRVAIGTAYCLQHMHQLNPPLAHSNLNTSSVQLTDDYAAKISDLSFLKEIASPDIKSSAKKRTDATLASNIYSFGIMLLETVTGRLPYSNDQDSSLENWASQYLQENQSLKEIVDPTLVSFQEEQLVQIGALIKSCVNADQEKRPTIKQVCERLKEITKISPEVAVPKLSPLWWAELEIASFDAS >CAK8542777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545600354:545600890:1 gene:gene-LATHSAT_LOCUS11585 transcript:rna-LATHSAT_LOCUS11585 gene_biotype:protein_coding transcript_biotype:protein_coding MALRATVLRHVRVRHVRVPLQAAPKFQQWNASIRSMSSPEEEDRINKEEVIDKVDPSKVASDVDSQKDLAMDKIYLLRVLRAVQEAFKPMF >CAK8540009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535823994:535826638:1 gene:gene-LATHSAT_LOCUS9051 transcript:rna-LATHSAT_LOCUS9051 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAAVSLPYSNSTSLPIRTSIVSPERLVFKKVSLNNVSISGRVGTIRAQVTTEAPAKVVKHSKKQDEGIVVNKFKPKEPYVGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGIVPDGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDAGEVVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATVIMLGTGTGIAPFRSFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPENFRLDFAVSREQVNDKGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWTEYKRTLKKAEQWNVEVY >CAK8572636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552334719:552336096:-1 gene:gene-LATHSAT_LOCUS25178 transcript:rna-LATHSAT_LOCUS25178 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQDEENLIVELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQKGIDPVTHKPLSEVENNGEENSKSQEKTRPELLLSSPNELNLLKSESSKSDAASSYEQRTSSSISPKDYALEMEGSCNKEFFIDRFMTSNSHHSDLMGNFPIQMSYTNNENDSNHWFNQTGKTFDVKYSDQFHFNSATAATTMFLPNSFCCNNSLDISPSVNVSTEMMKSDSNTLNLQNNNFSWGGLMENHPTEEAKWENNENNNDYFHNPILMLASESLCNGIKPQMNLVPDNFGNILPHTKHETSNIFSKDIQKLREAFGDM >CAK8540935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33356853:33358073:-1 gene:gene-LATHSAT_LOCUS9891 transcript:rna-LATHSAT_LOCUS9891 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDFYGPHTNELLLGKALKGEVREKVELATKFGVRAREGKYEICGDPNYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLLENLSRDDYRKDLPRFQPENLQQNQTIFDKVNELAAKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENLNQNIGALSVKLTQEELAEIESLADGVRGDRYADSSSTWKYSDTPPLSSWNADK >CAK8572797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564163070:564163442:1 gene:gene-LATHSAT_LOCUS25319 transcript:rna-LATHSAT_LOCUS25319 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKDDMEYIGAIKDASQWGSGHFLRQLVVIMLLSGIINRAAHVWSKTWNFLVNGILYKQRHVAENSDLQLTDANLQNLTLIEI >CAK8536261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922924907:922925773:1 gene:gene-LATHSAT_LOCUS5647 transcript:rna-LATHSAT_LOCUS5647 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIQKQLSLLCISLTLLFSLTLATLSPATSPVQTQTQTPTSSPTKPLIPTLPPNDNNTPQTSTTDIVQILKQANSFNIFIRLMKTTQLINQLNSQLITIKSGGLTILAPEDSAFSELKPGFLNTLSNGQKLELLQFHVVPDFVSSSNFDTLTNPVRTLAGNKPGKVELNVISFNGNVNISTGEVNTTVNGVIYTDKHLAIYRVGKVLIPSEFYPKKKIVVAPALAPAPVIDEAKAPKAEKEKPVSSEDSSSQVVPTLTSGGMRIDVYGTWVVTVVGIVLGGFYNMKY >CAK8575467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:129235256:129240615:1 gene:gene-LATHSAT_LOCUS27727 transcript:rna-LATHSAT_LOCUS27727 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRATANLKSTLSLHITKESSKHNVYRFHRFTSGSPLHFPEKDRVCPKHKDTVIAFVLGGPGSGKGTQCEKIVETFGFKHLSAGDLLRKEMVSDSEYGSMILDTIREGRIVPSAVTVKLILRELASGDSHKFLIDGFPRSEENRIAFERITGSEPNFVLFFDCPEKEMVKRVLSRNQGRIDDNIDTMKKRLKVFEALNLPVVDYYANKGKLHRINAVGTEDEVFEQVRRVFAQVSTVT >CAK8540723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17281754:17284477:-1 gene:gene-LATHSAT_LOCUS9699 transcript:rna-LATHSAT_LOCUS9699 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDDRDKTHSQDRILDTSIPMNNDYTRDLINKVMAAEAVEAENQRRHRYHVPLPTPALAVRHVNYQQQRLDAEKIGGGEEDQELSLELSLGLSSNGRFGFDPNAKKIKRTASIPESSIIPVSGSGGGNLIRTCSLPVESREEWLRRKEEWLRRKELQSQRRMEARRRKNEKRRQSRTARERERYSSSRERGAGTEDGGENSNNNNPVEQQGASADGLIRTTSLVRRVGGIVLNNGKEIDQVVPISSPPLGGSIGGSGSSSGTSESDVQKHGQGITPMKTENSNSDSTVGPQNIKAVNFFEGSNSSKIVGPQDKTKAVNFLEGLSSSKILGPQDKTKAVNFLEGSSSTKIVGPQNKKVINWLEGPQNKTEAGRNRLDDMPSVTTKGNGPNGKRIEGFLYKYGRGKEVRIVCVCHGSFLTPAEFVKHAGGGDVPNPLQQIVVNSSSS >CAK8569870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14044743:14045222:1 gene:gene-LATHSAT_LOCUS22675 transcript:rna-LATHSAT_LOCUS22675 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLMGLDAPVLHALHQLMDLSDDNSSDKTLHSAPTRSYVRDAKAMAATPADVKEYPNSYVFLIDMPGLKSGDIQVQVEDNNVLVISGERKREEEKEGAKYLRMERRVGKFMRKFVLPENANTDAVSAVCQDGVLSVNVEKLPPPQPKKPKTIEVKVA >CAK8573180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591876407:591877375:-1 gene:gene-LATHSAT_LOCUS25657 transcript:rna-LATHSAT_LOCUS25657 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPIAEANDHSPFGTLTPDEFYARHSVTHHSEFVTNPRGLKLFTQWWIPQPPTNLIGTLAVVHRFFEESSWLIQLTSVYFAKAGFATCAIDHQGHGFSDGLVAHIPDINPVVDDCITFFESFRSRFDPSLPSFLYSESLGGAIALFITLRRTGLPWNGLVLSGAMCGISDKFKPPWPLEHFLSIAAAIIPTWPVVPTRGSIPDVSLKMEWKRKLAIASPKRTLARPRVSTALELLRICSELQGRYEEVDVPFLVVHGSDDVICDSSYVEELYARAKSKDKTLNIYDGMWHQLVGEPKKNVELVFGDIFEWLRKRAVVDGAN >CAK8530784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49793133:49793384:-1 gene:gene-LATHSAT_LOCUS626 transcript:rna-LATHSAT_LOCUS626 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKPVSSVGDNMSVAAAPMKPRILLATSGSVAAIKFANLCQCFCEWDEVRAVATNPSLYFIDRTVILKDVILYTDNDEYSS >CAK8565729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313846610:313848641:-1 gene:gene-LATHSAT_LOCUS18917 transcript:rna-LATHSAT_LOCUS18917 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSTTFVPSLSLSSKPKLQSLCFLSSNSLRFTNSKPLHSSTVVHAAPEVLNSAETKDVESVDSGVQVDELETPSTSSISVGADADMMAPKQKIRIKLRSYFVPLIEDSCKQILNAARTTNAKTMGPVPLPTKRKVFCLLKSAHVHKDARFHFEIRTHQRVIDILYPTAQTIDSLMLLDLPAGVDVEVKL >CAK8562340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475606796:475611680:-1 gene:gene-LATHSAT_LOCUS15844 transcript:rna-LATHSAT_LOCUS15844 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTFKPATNVFHRHHNNLTFFSNNLTSNRNYRISQNKTLRFVPKVSMSATPVQTFLQKSEPSTQNTALPIMVNSCTGRMGKAVISAAEAAGLNVVPVSFGVEEESGRTFEIGGREFLVNGPSDREGFLQSVLDKYPNLIVVDYTVPNAVNGNAELYSKVGVPFVIGTTGGDRDLLHKTVEDAKNYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQANKVDASGTAKAVISCFDKLGASFDMDQIQLIRDPKQQVEMVGVPEEYLSGHAFHMYHLESPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIEAKDPKRIYNMIDVLREGNMR >CAK8531431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:109650960:109652084:1 gene:gene-LATHSAT_LOCUS1224 transcript:rna-LATHSAT_LOCUS1224 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWKKKNRVTRISQIVADLQSPKRGRSLFVETGFPTSLIDLFVKNRNRFKKSRFKKPVHSENIDPSPPPPPPSSPVLYPSPSSESPFHGVSSEEIPTPGENTDEAAVGEAPPVEKLTSTFRSKAIIVKTLTVIVLAASVKELTVAITVSAFALLFLENALKRAVSCLKPCSNSSFVEIESRFQKILFHVKENEIQQPVTVFNEVELLNLNRCCVNDEIEAVEQEKSEELGICCKLEDSLVSECKIKGKGSRSGRFKATMVKKLQKFRSKKEKKEEEKGISSNNNKYSYEENEVEDKEEIIIKSEVRDDCAIAYSRESIIAGNSGYAILVMIVLVGLIVGRLQALVLTIGWCFLVKIVKVIWRSKNGYSLMER >CAK8537482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:371026802:371027638:-1 gene:gene-LATHSAT_LOCUS6772 transcript:rna-LATHSAT_LOCUS6772 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGDKDVDNEYNVNEDVGNENEVGEERGINEDNVDEYEVDREQVNMEDNHEEPPNKEAQEFYDLLTFANQPLYEGASESKLSVCVKLMACKTNWNVPQKCIDFFANMLLEVCPSKDSLPKKFYQVKKLVSMLGLKSQKIDYCVNGCMLYYKDTIADRECRFCHEPRYVPRKPGMGNYNDVLVKRMHYLPITPRLKRLYASIETAKDMRWHHHNNSSSCVLRHPSDGKSWKNFDEMHPDFANEPRNVRLGLCSDGFTPYIQASSSPYSCWACDCDTI >CAK8578936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662825541:662825852:-1 gene:gene-LATHSAT_LOCUS30914 transcript:rna-LATHSAT_LOCUS30914 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEYVDDGSEVDMSCAIDELWKRFKSLDVVGKRALKSWVFELAFPTMISMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYYEYVDQASQSSQMQSQPS >CAK8576931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525745366:525745728:-1 gene:gene-LATHSAT_LOCUS29087 transcript:rna-LATHSAT_LOCUS29087 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAKRGRWRPYTTVPLSSINHPCPLMRHDKETPNQAMVEEYSSKCIEKPIEEVMIKNETLEDEIEIVEDETLELESKVDPENRKLWLDVLSENRNPTKGLPMECVTPSAVNGEVEIEI >CAK8565143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:46203796:46204902:-1 gene:gene-LATHSAT_LOCUS18379 transcript:rna-LATHSAT_LOCUS18379 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYIISVTVFITTVIIAVNGCSPSDRTALLAFKAALKEPYHGIFNSWSGDDCCINWYGVSCDSSTGRVTDINLRGESEDPIFVKSGRSGYMTGKISPEICKIDRLTTLVVADWKAITGEVPQCVSSLSNLRILDMIGNKLTGKIPSNIGNLQRLTVLNLADNSISGEIPPSIVDLASLKHLDLSNNALTGSVPANFGKLQMLSRALLNRNQLTGSIPVSISKIYRLADLDLSMNRLTGSVPSELGKMPVLSTLNLDTNLLSGQIPSSLLSNSGLGIVNLSHNGFSGSIPDVFCPKSYFMVLDMSFNNLNGRVPGSLSSSKYIGHLDLSHNHLCGPIPLGLGSTFDHLEASSFNNNDCLCGNPLKTC >CAK8543405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605902400:605902984:-1 gene:gene-LATHSAT_LOCUS12162 transcript:rna-LATHSAT_LOCUS12162 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSFSITFLISLNLTFLSIVSFATATKYYQSLSPTMLAFQEEKFTHLHFYFHDIVSGPKPSMVFVAEPNGKVENALPFGTVVAMDDPLTAGPERDSKLVGKAQGIYTSISQEEMGLMMVMTMAFSDGDFNGSTLSILGRNMIVSEAVREMAIVGGTGAFRFVRGYAQAKFFSVDFTTGDATVEYDIFVFHY >CAK8530889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59608900:59610240:-1 gene:gene-LATHSAT_LOCUS720 transcript:rna-LATHSAT_LOCUS720 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVCKQHGNSDASIASFITIGFVGQLIGWWDHYLTESKKLEILNHKKIVKSEPRTSTSTIVATTTTGEEDAVYTPCLSILQHFVGTNVPIGEKIQTLLQNLRCPSLTHFRWYKDTFLSIVYQLNNPNSLHWKAKFIDGLPHFFFEKVRQSLRQKNDGININYSDLTYGHIISTCVNEGLTLCNDIKLRNQLKKQKLSEKHQIGEFCEQFAFDLGKPPDNKKKKGKIFRNKPYRDKPKNSYKNSYKNKKRGHYNRGRPKEKSFDPKGKRKAKRLDITCHKCGKPGHYADQCWTEKALNEIENEQLRSQLEKVLLLNSDSEDYSSEEDINMIYESSSDCSSESSNNNNCQCNQLDYWKSIVDMNGLNVLTSEHDEAIKPIESISDTNLKRKMLEVLIQENSRKESPVITEVPYQLSEILSRFRQSNIRETPVSIMNLNREINLLKN >CAK8578351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622921706:622921987:1 gene:gene-LATHSAT_LOCUS30368 transcript:rna-LATHSAT_LOCUS30368 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIAKFFMEVAPPQYTTVMRRRTSKMMETITEDDREISLSNSVISTPKSSSAISASSLAASACTSSSTNATVNSRYFLKEVHRTLSSLNH >CAK8560904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56147932:56149617:-1 gene:gene-LATHSAT_LOCUS14543 transcript:rna-LATHSAT_LOCUS14543 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSTVSVTADTCSTEEWLLNSQELVPIALTKAKEVKGFLGRWKMIISKLEQVPSKLSDLSSHPCFSKNALCKEQLQAVSKTLREAIELADLCLKEKYEGKLRMQSDLDALIGKLDLNLKDCSLLTKSGVLGEATLQFNVSGIIAESDIATHGNIKELLARLQIGHLESKHKALDRLHEIMKDDEKNVLAAFCRSNVAALVQLLTATSPRIREKTVSIICSIVESGSSCENWLVSEGVLPPLIRLVESGSPVGKEKAIVSLQRLSMSEETTRAIVGHGGVRPLIELCQVGDSVSQAAAACTLKNISAVPEVRQVLAEEGVVRVMINLLNNGMLLGTKEYAAECLQNLTASNENLRRSVISENGIRSLLAFLDAPVPQESAVGALKNLVGSVSEETLVSLGVLSCLVHILESGSLGAKQNAASIICRICSSIEMKKMLGEVGCIPILINMLEAKANTARELAAQAIASLMILSQNRREVKKDVKSVPNLVQLLDPSPQNTAKKYAVCCLGSLSSCKKCKKLMISYGAIGYLKKLIEMEIPGAKKLLERLERGKLRSLFSRK >CAK8578548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637102360:637103871:1 gene:gene-LATHSAT_LOCUS30549 transcript:rna-LATHSAT_LOCUS30549 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKTVSYRFNVNREYSSIMKAKRGVRQGDPISPYLFVIVMEYLNRVLCRMQQNPDFNHHVKCEKLSITHLTFADDILLFSRGDTRSVEIMMEAICNFTKATGLMLNATKSRIYFGGVEENVKDAILQKTLFVEGSLPFKYLGVPLTTKRLSINHYMILVDKIVDRVRHWSTKLLSYAGRLQLIKSITFAIANYWLQCLPLPKYVLKKINTICRTFLWTSGLEPSNRSPVAWKTVCKPVKYGGMNIINLEIWSIVTMLKLIWNICNKADNMWVRWVHTYYFKNKSVMEMSVKPDSSWIMKTTLKARDMIPPIQQKWDEMLAKGKFHMSSIYRELNKGDEEEKIWRKLMYGNVARPRVIMTLWLICHQRLATKDRCMRYGKVENNTCCFCETEETITHLFFECPTMKGIWKDVLDWIGVRHEPKSWNQELNWILQHGTGKGKKSALIRLAVTETLYGLWMYRNHNCFGKAKDNNFIRSNIIDMITYRGWYSHKLRTRISELML >CAK8535184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821517830:821518102:1 gene:gene-LATHSAT_LOCUS4658 transcript:rna-LATHSAT_LOCUS4658 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATKAWVVASSIGAVEALKDQLGVCRWNYTFRSLHQHAKNNIRSYTQAKKLSSSSSAAVSDKVKRTKEESMKKVMDLNCWGPSTARF >CAK8574280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673714847:673721979:-1 gene:gene-LATHSAT_LOCUS26640 transcript:rna-LATHSAT_LOCUS26640 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQNFRLNIGRFFCIPLTFSVLFSSTLSLPSAAHSRHYNHLPPMASPPQSLSQSHSPLSPPVAKKVEHVMELFNDVRIDNYYWLRDDSRSDPDVLGYLRQENAYTDSVMSGTKEIEDKLFAEIRGRIKEEDVSAPVRKGPYYYYTKTLEGKEYVQRCRRPISDNQKVPSVNDTMPTGPGAPPEHVILDENIKAQQHEYYSIGSFKVSPNSKLVAYAEDTKGDEIYTVYVMDIETQATIGEPLVGVTSYLEWAGDDALVYVTMDTILRPYKAWLHVLGTEQSKDTCLYEEKDDTFSLDLEASESQKYLFVASESKITRFNFYLDVSKPEEGLKVLTQRVDGIDTTVSHRGDHFFIKRRSDQFFNSEVVACAVNNTSSTTVLIPHRESVKIQEIQLFSDHFVAYERENGLPKITVYHLPPIGEPLRSLENGQVVSFIDPVYSVDSSESEFSSSILRFSYSSLKTPPSVYDYDMKAGVSVLKKIDSVLGGFDATHYVTERQWAHALDGTLIPMSLVYRKDLVKLDGSDPLLLYGYGSYEICIDPSFKSSRLSLLDRGFIYVIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIACAEHLIEKKFCSKERLCINGRSAGGLLIGGVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPHILVTAGLNDPRVLYSEPAKYVAKLRDLKTDDNTLLFKCELGAGHFSKSGRFEKLQEDAFTYTFFLKTLNITN >CAK8565737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:315830023:315830358:1 gene:gene-LATHSAT_LOCUS18924 transcript:rna-LATHSAT_LOCUS18924 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIEAETMALKEAIQESVVLQLGSVVFECDSQKVVQAIQSSYKGNSEFYVILYSIKVLLAVNPNFELKFIRRQVNMVAHFIIMAANSCPRRNLFNLAPRCIEQFLINEMR >CAK8531331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101144293:101145477:1 gene:gene-LATHSAT_LOCUS1131 transcript:rna-LATHSAT_LOCUS1131 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCDFCGDQRSLVYCRSDAASLCLSCDQNVHSANALSKCHSRTLVCEKCNLQPAYVRCVEEKVSFCQNCDWSAHGTDPSSSTHKRQSINCYSGCPSASELSSIWPFSSDIPSTGEACEQKLGLMSINENCDNKARVSPESKNVSGSAQVADLPSKDKSAAGKSSVTESRAEPRILDQPPQPSDECMPKLQCLGSMASALCEDDNLYDGFNIDDMDLELENYKEVFSYALNNSEEFFEKGSIDSLFERKDMSASAGDSNCRGAIAASSDSMLSTKTEPILYFTEMQSQSNVSFSGVINSASASDNQECGGVSSMLLTGEPPWCPPCPKNSIQSVNRSNVVMRYKEKKKNRKFDKKVRYASRKARADVRKRVKGRFVKAGETFDYDPLSETRSC >CAK8564185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655236668:655239225:-1 gene:gene-LATHSAT_LOCUS17512 transcript:rna-LATHSAT_LOCUS17512 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANSFDLWRKDTFFSAAEEVQESADIMESAYRAWLRERRERSNTVDLNELCRELQTALGTAKWQLEEFEKAVRLSYRHLGDDNRATRHRQFISVIESQISQVEVTLREFYMEEGKQPLRWVNLDEEERDDFAAFLSGTCETIQSTKDECMDVSPSTKISLAQKQVNKEDKIVNAFCSYDSSTNEKVSMDAIAFNKGRDYAIEIKADDISRNSDDVVSQTDVTTSTRKTWSPPPNYAALRIVIAEEDEQRNKLTRSVDATPKDKGIKPLFWKQKFEEYPQAMRAVHMFNQLFGRIGLCQRKLQSPLRLRRGRSLKVTLALMIIIFLFVPFVFYST >CAK8572131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513648155:513652805:-1 gene:gene-LATHSAT_LOCUS24729 transcript:rna-LATHSAT_LOCUS24729-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGLNKRRVRVNPPSRRSTAKKFKPPVSLKNQIRSAERMLRKNLPLEVKAAQEQKLESLKKQQEVHTRLALERKLFLRDKKIKFFERRKIERRIRRLEKLQRASSSSSPDQLASLKHDLQYVMYFPKNEKYVPLFCGSDDQEIVDKRNGLRKQIEERLSAAAASGKDLEETGSEDDGLLDLSDDDFFLAGSSSDEADADDELTDKSTREQASSASGKAVSGMSSDEKNQRQISARTLMPPPRPSNKMSRFGSSSGQNSSKQRSEFSTFNNTSNNKSSSDFRARERFGSSSGQTSSKPRFEISTSSNTSNYTSNRKSSSDFRVREPSRSGTGHGSSISSNSDAHKPRRKRRPKKKKQA >CAK8572130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513648155:513652805:-1 gene:gene-LATHSAT_LOCUS24729 transcript:rna-LATHSAT_LOCUS24729-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGLNKRRVRVNPPSRRSTAKKFKPPVSLKNQIRSAERMLRKNLPLEVKAAQEQKLESLKKQQEVHTRLALERKLFLRDKKIKFFERRKIERRIRRLEKLQRASSSSSPDQLASLKHDLQYVMYFPKNEKYVPLFCGSDDQEIVDKRNGLRKQIEERLSAAAASGKDLEETGSEDDGLLDLSDDDFFLAGSSSDEADADDELTDKSTREQASSASGKAVSGMSSDEKNQRQISARTLMPPPRPSNKMSRFGSSSGQNSSKQRSEFSTFNNTSNNKSSSDFRARERSGTGHGSSISSNSDAHKPRRKRRPKKKKQA >CAK8572132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513648155:513652805:-1 gene:gene-LATHSAT_LOCUS24729 transcript:rna-LATHSAT_LOCUS24729 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGLNKRRVRVNPPSRRSTAKKFKPPVSLKNQIRSAERMLRKNLPLEVKAAQEQKLESLKKQQEVHTRLALERKLFLRDKKIKFFERRKIERRIRRLEKLQRASSSSSPDQLASLKHDLQYVMYFPKNEKYVPLFCGSDDQEIVDKRNGLRKQIEERLSAAAASGKDLEETGSEDDGLLDLSDDDFFLAGSSSDEADADDELTDKSTREQASSASGKAVSGMSSDEKNQISARTLMPPPRPSNKMSRFGSSSGQNSSKQRSEFSTFNNTSNNKSSSDFRARERFGSSSGQTSSKPRFEISTSSNTSNYTSNRKSSSDFRVREPSRSGTGHGSSISSNSDAHKPRRKRRPKKKKQA >CAK8544238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673656719:673658001:-1 gene:gene-LATHSAT_LOCUS12937 transcript:rna-LATHSAT_LOCUS12937 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRFFLIASMMLLSFIEISEGGSLRKNFYKKSCPQAEEIVKNITQQHVFSRPELPAKLLRLHFHDCFVRGCDASVLIESTASNTAEKDALPNLSLSGFDVVKDIKDAVEAKCPGIVSCADILTLATRDAVSVQFNNKQKWEVLTGRRDGTVSKSLEALINIPAPFHNITTLRQIFASKNLTLHDLVVLSGAHTIGVGHCNLFSNRLFNFTGKGDQDPSLNPTYAEFLKTKCQGLSDTTTTVEMDPNSSTNFNNDYYPILLQNKGLFTSDAALLTTKQSRNIVNELVSQNKFFTEFAQSMKRMGAIGVLSGSDGEIRRKCSIVN >CAK8566549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434163366:434165922:1 gene:gene-LATHSAT_LOCUS19672 transcript:rna-LATHSAT_LOCUS19672 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDKMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSRTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVSHSIKELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTYNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHISEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8570341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37751982:37755891:-1 gene:gene-LATHSAT_LOCUS23095 transcript:rna-LATHSAT_LOCUS23095 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMAVTWMNCLRRHLQTKTRFLHTFKGHQSDQILMSPALVTLDLPDIWTPISSCHSIPVIHKSFNEQTARVLDGKLMSAEIRSKIADQVRQMKKNIGKIPGLAVILVGQRRDSLTYVRNKIIACEEVGIKSVVTELPTDCADADVQNAVMRFNKDPSIHGILIQLPLPQHLDEEKLLDTVCLKKDVDGFHPINMGDLALTGREPLFIPCTPKACIELLIRSGVKIAGKSAVVIGRSNIVGLPTSLLLQRHHATVTIIHAYTENPEQTTSEADIVVSAAGVPNLVRGNWIKSGATVIDVGTSPVEDPSCEDGYRLVGDVCFEEVIKVASDITPVPGGVGPMTVTMLLVNTLDSAKRMLNCT >CAK8577532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571668238:571669170:1 gene:gene-LATHSAT_LOCUS29631 transcript:rna-LATHSAT_LOCUS29631 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELSLRGGMARSINNNSEISHQIADSSSQLASDSHNIKETEERQARELKAGLHPLKRKFVFWYARRVPGIRNQSYEDNTKKIVEFNTVEGLCVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNDGKWIIQFKKAVSGCFWEDLVLALVGDQLDYGDNICGAVLSIRFNKDVVSVWNHNASDNQAVMALRDSIKRHLKLPHSYVMEYKSHDASLRDNSSYRNTWSRGKIMGNSKEHHKCNNKDSRPESNLQQQFTVTRKMRVKLRGINHSINKSKKGQCVTTSFTVVKSKNIAVIAIHNEISIK >CAK8541231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92586013:92592306:-1 gene:gene-LATHSAT_LOCUS10164 transcript:rna-LATHSAT_LOCUS10164 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLDFPPKGGFSFDLCRRNDMLEKKGLKPPSYLKTGTTIVGLVFQDGIILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKESLSRDEGVKIVVEAICAGIFNDLGSGSNVDVCVITKGHKEYLRNHLQPNPRTFVNPNGFTFSKKTEVLLTKITPLKEKVEVIEGDAMEE >CAK8537104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:96791879:96792772:1 gene:gene-LATHSAT_LOCUS6418 transcript:rna-LATHSAT_LOCUS6418 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGLIIDQEMNHNKKSFHRRNDSGELDVFEASRYFSGYNEVIGYTNNSTCTQKVIREERNGHRGRISLDMPMRTLLPQQFNGVDQKQIKDKKHNKQPSSPGGRLASFLNSLFNQSTSKKKKSKSSSQSMKDEDESPGAGRRMQRCSISHFRSSSTADSKSVYSSLSSGFRTPPYVNTPTKGCREFKTLSELNHAVKTNLNVHVKPSSTTLQNELGWDDKKRRNSSLLGDNYKQLVDKREIRKFNEVDDGAESDSSSDLFELQNYDLCHNSSGLPVYETTNMDNIKRGSTISNVSH >CAK8568115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574545329:574545982:1 gene:gene-LATHSAT_LOCUS21110 transcript:rna-LATHSAT_LOCUS21110 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNNQHQQTQYPPPQPTAATPPPFQHLLQQQQQQLQMFWSYQRQEIEHVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEATIVGATASGVPYYYPPMGQPAGMMIGRPAVDPATGVYVQPPSQAWQSVWQTGADDGSYTAAGGGTSGHHNVDG >CAK8542404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509885571:509886986:1 gene:gene-LATHSAT_LOCUS11245 transcript:rna-LATHSAT_LOCUS11245 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNQNNLFSLLFLLSFSTLSVFSHLFSPPDNYLINCGSTSPTTLLNNRRFTGDLAAKHSPSFSTSSHPLFVKNQDPLPNLPQIYLTARVFTKPTKYSFPIKQKGTHIVRFHFHAFNSSNLDLGRAQFNILVDGYVVLSNFTRFVSDSERNPRVVEYLVWVDSEKLVVVFVPSKDSDLAFVNAIEVISAPTDLIPETAQYLSSGNLKRFDGLNKQALEVVYRVTVGGPKVTPFNDSLWRTWVPDEEFLKSSVGSEKFYFGGRINYHVGGASREVGPDNVYNTARLIRSKNDSVPNVNMTWVFPITGGYKYLVRLHFCDIASISGGLLYFNVYVNGELALEDLDITYVTSSLASPYYVGFVVDGDSSVGALSVSIGPSKSSLPHVIDGMLNAVEVMKLNNQYKSLDGAVCADFVLKSHQSRGNTGIFLTLVAAVCIVLSLSIVIRRRIIESRESVSWSRLPVNSSVDNVKC >CAK8571135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:310491267:310497808:1 gene:gene-LATHSAT_LOCUS23826 transcript:rna-LATHSAT_LOCUS23826 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFLLKRSLRIHHYHYYHSSTPSHSHPLRTLPPKPLLRQFSSLPEPNTDAQTRKYLGYASLLLFCGAATYYSYPLPENAKHKKAQIFRYAPLPEDLNTVSNWSGTHEVQTRIFHQPESIDQLERVVHEAHQSKTKIRPVGSGLSPNGIGLSRTGMVNLSLMDSILEVDNKNKTVRVQAGIRVQQLVDGIKEHGLTLQNFASIREQQIGGIIQVGAHGTGASLPPIDEQVVAMKLVTPAKGTIEISKEKDPELFYLARCGLGGLGVVAEVTLQCVDRQELVEHTVVSTMDEIKKNHKKLLSENKHVKYLYIPYTDSVVVVRCNPVSKWKGPPKFKPKYTKDEAIQHVRDLYRESLQKYRVEGSRDKSSDDEQNIDDLSFTELRDKLIALDPLNKNHIVKVNQAEAEFWKKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGKLAKPSMKDLEYIEELKKLIEKEEIPAPAPIEQRWTTSSRSPLSPASSPSEDDIFSWVGIIMYLPTMDARQRKDITEEFFHYRHLTQAKLWDNYSAYEHWAKIEVPKNKEELVALQARLRKRFPVDVYNKARKELDPNRILSNNKLEKLFPQSETI >CAK8534897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788408033:788408584:1 gene:gene-LATHSAT_LOCUS4399 transcript:rna-LATHSAT_LOCUS4399 gene_biotype:protein_coding transcript_biotype:protein_coding MDITKELPQTITIGDNEGEKIHQPIEYEWRPLFCSKCQKVGHSCDKPKVTQQWKPKIPPQQVDNVKTVMDNTAQLIPRTVGNNNIVAYKANSPAGSNNAKGNTLVECPTDLVSMAADPPLENGVNIIEQVEAVMEKWIEVIRSGKDRGKPQDNPNSINKIVCANGFEALEILKDPVEPQNTGQ >CAK8578407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626989930:626990992:1 gene:gene-LATHSAT_LOCUS30420 transcript:rna-LATHSAT_LOCUS30420 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQQEKTPVQTPAILKYILETSCYPKEHEQLKELREATVKKFEGSWEHLMSVPIDEAQFLSILLKTMNAKKTLEIGVFTGYSLLATALALPTDGKITAIDVDREAYEAGLPFIQKAGVEHKIEFILGDALSVLHDLVDGKQEESFDFVFVDAAKDQYIKYHEQVLKLMRKGGIVAYDNTLWAGSVAMSEDEEMGDHIKPYRKPLIEFNKFIASDSRIESIILSVGDGLTLCRKV >CAK8566867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468398644:468399228:1 gene:gene-LATHSAT_LOCUS19968 transcript:rna-LATHSAT_LOCUS19968 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYQFYRSWMYDKIYPGRRGLKPHFKEGVVAFLTYVFAQECCRREGGVRCPCLKCGCRNIISDPNKVKRHLEKDGFRPNYWVWYSNGEILPEMNREASSSQTHIGVEIGRETSSSQSHLQDHEQFNLIDNMVGDTLELNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSMLSMCVRLLAAKSN >CAK8565822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339138083:339139264:1 gene:gene-LATHSAT_LOCUS19003 transcript:rna-LATHSAT_LOCUS19003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVTVIATKKTSKVRKQLHIHHDIAFSILSKLSIKPLKRFECVCKSWSFLSDNPYFMSHYRNSFLTKYHSYYDDASFLPLQRFPIFHNQRFELHSLYEERFPSNVKIDWPYLHCFPRMVGCGSVHGILCFSIVTQNDIILCNPSTKDYKAIPLDRNHHECYRRGYSNSGFGYDCVEDDYKVMCIYHLDNEPMEDLYLDPFIWEIFSLKNNSWKKLDVDIKCNPTFWNDEQLYIDGFSHRVCQIEEYDYKTYVLSFDWHREVFTTTLIPFNIEDILDFLYHWINLVLLNGSIALILNYTSTSTFHIFILGELGVKESWTKLCTLEHLPYLEHPIGMGKKSDMLFRKKDGGLVCFDLITQKTTDLSITNKACSNIVIHKQNPISLLAYVGKSI >CAK8578711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648810475:648813715:1 gene:gene-LATHSAT_LOCUS30699 transcript:rna-LATHSAT_LOCUS30699 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLVCNGCRNMLLYPRGATNVCCALCNTISPVPPPGMDMSQLYCRGCRTLLMYTRGATSVRCSCCHTVNLAPVSNQVAHVPCANCRTTLMYPYGAPSVKCAVCHYITNINMSNGRVQVPANRPQGTTNLGTLPSTSTSMPQSQSQTVVVENPMSVDSSGKLVSNVVVGVTTDKK >CAK8564619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2596712:2600461:1 gene:gene-LATHSAT_LOCUS17906 transcript:rna-LATHSAT_LOCUS17906 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAGEKLMSASVKVILEKLFSHEFTDYYQSTKLDDSVLINLKTTLFNLQSALVHHHEEKQIANPTFNKWLDLVRYAIFQLHTLLDQINTEASLCKMEAQSETLTPSTKVRNFFSSSFKQIHDLVNYEMQRLIQRLEYLILRQQQPGLDFSDYTNAFHGTPTSSILEDESSVIYGRESDINKLKHLLLSSDSKIRMISIAGMVGVGKTTLAKLLYNDPQVKDKFVFKVWVYISKDFDVYRVFKTILESITSQSIVNENLGSQIVESDNTRGSYTNGMTTYCPNLLFVLLKQILSTSKFLLVLDDVWDTKSVDWIYFMDIFNAVETDSRIIITTRDERVARSVETFLFVHYLRPLGSEDCWSLFSIYAFGGCDNPWQSYLEEIGREIERKCDGLPLVAIELGALLHSKVFSYDWTYVLESNIWDPTNREVHASLESSYHYLSTPLKRCFAYCSIFPKKSILEKQTIVQLWIAENLVELYPGQESLEEAGEEYFDVLVSRSLIQRRSISDEKSNFEMHNVIHDLATMVSSPYCMMLDEHNLHESVHYLSYNRGLYDPFNKFDKLSGLKRLRTILALPLQAQLPCCLLSNKVVHELLPTMKQLRVLSLSNYKSITEAPNSIGNLLYLQYLNLSHTKIERLPSETCKLYNLQFFLLAGCKRLVELPEDMGKLVNLRHLDVSDTALREMPVQIAKLENLQFLSDFVVSKHNDGLKVAELGKFSHLHGKLSISQLQNVNDPFEVDQANIKMKEQIDELVLEWDYGSTFPDSQIKSVVLEHLQPSTNLKSLTIKGYGGISFPNWLGDFLFNNMVYLKISNCDNCLWLPPLGQLVNLKELIIEGMQSVGTIGTEFYGSDNSSIQPFPSLEILHFADMHELEEWNLTGGTATKFPSLKTLSLSKCPKLIVGNIPEKLPSLIELELRECALRVQLMPPSNNVFRQLTFPLNSLRQLTIDGFSSLMSFPVDSLPKTLKIFIINNCENLEFLPCEYLSSYTSLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSILIAEGASQKSLSFLRSIKIWDCNVLESFPLGGLVSQNLVYFALWKCEKLASLPESMHTLTNLQEVEIDNLPNLRSFSLGELPSSLQELTVGSVGGIMWSARSTWQHLPCLSGLRINGDRTVYSLLMTWLPVSLVKLCICGLNNTNIDELWLQHLTSLQNLEIINAPKLKLLPKNGFPSSISVLSVTRCPLLEASLRRKRGINWRKIAHIPAIIINDELIT >CAK8560091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7158862:7159289:1 gene:gene-LATHSAT_LOCUS13804 transcript:rna-LATHSAT_LOCUS13804 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNNPRNYIRRFRFALDRLRTNNFIWRSYLNYPECVLADSQIWSVTTSIISSHIVEMHQADKVKLQFGFQQDISSQPRCLREQHETKMPNTWGDHWLNINRKENNEWKNIKRK >CAK8542406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509891088:509901425:-1 gene:gene-LATHSAT_LOCUS11247 transcript:rna-LATHSAT_LOCUS11247 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAYGWPQVIPLEQGVCPSVQRIVYFKLINRLLLVVSPTHFELWNSSQHRVRLGKYKRDSDSLKREGENMQAVWSPDAKLIAILTSSFYLHIFKVQFLDKKIHIGGKQPSALSLATISLLLTEQVPFAAKDLSVSNIVSDSKHMLLGLSDGTLYSISWKGEFYGAFHFDPNPSASFDNSQLPHSLENGVLPNDLLKVPMPNHIIPKNSEIKQLELCLSLRLLFVLYSDGHLVSCSISKKGLKQVDCIKAEKRLACGDAVCASVALEQDILAVGTRRGIVELYDLAESLMLIRTVSLYDWGYSMDDTGPVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPMAKTDRNCKHEPLMGGTSLMQWGEHGYRLYVIEERSSERIISFSFGKCCLSRGVSGTAYIRQVIYGEDRLLIVQSEEIDELKMLHLKLPVSYISQNWPIQHVAASQDGMYLAVAGLHGLILYDIRLKRWRVFGDVTQEQKIQCKGLLWLGKIVVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPIVMDVYQDYILVTYRPFDVHIFNVKLFGELTPSGNPDLQLSAVRELSIMTAKSHPAAMRFIPDQIPREPISKSYISSSSDSFSGEPARCLILRSNGELSLLDLDDGRERNLTDSVELFWVTCGQFEDKTNLIEEVSWLDYGHRGMQVWYPSPGPNSFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFPSSAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISRPNVDKNQISALKHVKTLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLVDSLYELAGELVRFLLRSGREYDQASSDSGKLSPRFLGYFLFRSTERKQASDKSTTFKEQSAHVTSVKNILENHASYLMAGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELISQKLQMETLQSRLDADFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDFRLWKAYSSTLQSHPAFTEYQDLLEDLEEKLSSVTNEEEE >CAK8540814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23079679:23080451:-1 gene:gene-LATHSAT_LOCUS9781 transcript:rna-LATHSAT_LOCUS9781 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQMVYYLHRRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGYLALG >CAK8536545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953741922:953742662:-1 gene:gene-LATHSAT_LOCUS5904 transcript:rna-LATHSAT_LOCUS5904 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNLFIVSLFSFILAYLIQTSFEADPLFHFCSNSGNFTANSPYESNLKTLINSLIYKTPSTGFGIGSAGLVQYQNQQVYGLALCRGDVSASECKTCVTEAPKEIQNRCPYNKGAIIWYDYCFFKYSDTDFIGKIDNTNVFYMWNVNIVDDPTTFNIKTKELLSQLADKASLNPKLYATGEVKLENSKKLYGLTQCTRDLSSVDCKKCLDDAINQLPNCCDGKEGGRVVGGSCNFRYEIYPFVKE >CAK8560561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25589484:25591876:-1 gene:gene-LATHSAT_LOCUS14223 transcript:rna-LATHSAT_LOCUS14223 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVTIIILELLLLFFPFTSSLKQLQTKLVQPPMLPNSCNETCGKHHVPFPFYLNNTSCVSSLSSSFHLTCTNSSTLFIKLASQNYRILEIFSDGLLVDFPGASSCRQYNDLNSFGERFFDGKNYFGVSVDNVVGLYDCEDSSLCKADCETVNLPGCDGSVEGGSLGCCYPLSDHSIWHVGEGFSVFSKFGCRGFSSWAVIRGSYSGKRGVKLEFAIPRNFSKEICAKNAAVVNSTSVKDGVRCVCQDGYVGDGFANGTGCLLSCIKDGKEAYGSDCYIKRHDQRKMVIIAGILCPVLIVASLATLFYLLKRKEKPGMFDSEQAYYHNISFRKACRTRLFSHHELEQATNGFEENRKLMQCNNTAMFSGVLGDGSHVAIHKLSKCENENDMMQVMSQIEVLSSILHRNIASILGCCVDSNYTPLVIYEYPANGTLEDHLHQKFQIKGQKLGLDWYRRLNIATEIASTIALLHYDKSPPIFHHNLKSSCIFLDDDFAVKIAGFGLVNYDYTNCKNRICKNDVYDIGVMLLEIIYGSNQLDSPTLALKKIRDGKIEEIVDPVLDYHEQPHYCQEQIQIIADLATRCLLFGADGKMGMVDVARELVHLTKESVDGGNGKLVLEETFSNSSLLQMISMSPDSMNVP >CAK8530956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66218965:66219426:1 gene:gene-LATHSAT_LOCUS781 transcript:rna-LATHSAT_LOCUS781 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGMKEFIDFAFKGAKENGVVICPCKHYGFKKSKSMSDMFDHLMWSPFPQGYTMWIHHRESFVVPSIISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQEINEVKEYYELTR >CAK8537898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445109122:445109394:-1 gene:gene-LATHSAT_LOCUS7148 transcript:rna-LATHSAT_LOCUS7148 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLGLHKFTPGQEYIFTTSSWSPTKQSQDEHIHKRAEESKMLEDYYRKQKKLLNFEVAGGAGETWKGLLAALHLQHINAFSSSQKLTA >CAK8572956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572808308:572821802:1 gene:gene-LATHSAT_LOCUS25455 transcript:rna-LATHSAT_LOCUS25455 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTSPFSLPFSSISNPNLPPSFPRPSLYLPNSTLQTSSLRINTPPPLSSSISSSVHQTTPISQMQDSLLLYSRAYWVTESVIAWNVDVQNGVCYLLSSTNASLSISSSQIQGEDLKIKLQEDRAGLSANVVEKFPHIRGYRTFNLPPGLDVKSLLKSQLAVAIYDSDEKCRNCTGLQLPGVLDEVFSYNGPLGALFSEEAVSLYLWAPTAQSVRAYIYKHPSGDDPIEIVPLEEEHGVWRTKGPTSWEGCYYIYEVCVYHPSTSRVEKCYANDPYARGLSSNGKRTFLLNLDSDELKPDGWDNLANEKPILHSFSDISIYELHIRDFSANDLSVQPEFRGGYLAFTLPDSTGVRHLEKLSSAGITHVHLLPTFHFAGVDDEKENWRNVDTSILESLPPDSDQQQALITAVQNSDGYNWGYNPVLWGVPKGSYATIPNGPNRTIEFRKMVQALNHIGLRVVLDTVYNHLQGNGPFDVHSVLDKIVPGYYLRRNTDGFIENSTCMNNTASEHFMVERLIIDDLVHWAVNYKIDGFRFDLMGHIMKSTMLNSKNALHRLAKEKDGVDGSNIYIYGEGWDFGEVAKNGRGINASQFNLSGTRIGSFNDRIRDSMLGGSPFGNILQQGFVTGLLLQPNGHDHGTEANMKSMLAASMDHIQIGMAGNLQDFVLTNSKGEEVKGSEVLSYGGTPVAFASSPIETVNYVSAHDNETLFDIVSIKTPIDIGVAERCRINYLATSVIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPREKNEKSWPLIQPRLADPSFRPQRTDILATMDNFLNLLHIRYSSPLFRLRTANAIQQRVRFHNTGPSLAYGVIVMSIEDGHAGFPGLSQLDPTYSFIVVVVNASPQEVSFVSPSMQSRSLQLHPIQEMSSDDLVKRSKYEASSGCFSVPRRTTAVFVEPRKI >CAK8560884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52032889:52039244:-1 gene:gene-LATHSAT_LOCUS14524 transcript:rna-LATHSAT_LOCUS14524 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSASFSRFSVISKPPSQPQFILFNFPNRTKTLYSRTSATRFRCSAGQIGLFSKIGRLLKEKAKSDVEKLFSGFSKTRNNLSVIDELLLYWNLSDTDRVLDELEEALLVSDFGPKITIKIVENLRKDILAGKLKSGSEIKEALKKNVLDLLSNKGSKTELKLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNGGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAETEKAKASAVLSKAVKKGKELGYDIVLCDTSGRLHTNYRLMEELISCKKAVAKVVAGAPNEILLVLDGTTGLNMLPQAREFNEVVGVTGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFM >CAK8562436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:491108460:491109803:-1 gene:gene-LATHSAT_LOCUS15932 transcript:rna-LATHSAT_LOCUS15932 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKLISTTTIQAPTHINTNTPQNIHLTPWDLSSLQVEMNQKGLLFHNPQNPNETSNQIQHLKNSLSSTLAFFPPLSGRLIIDDHEDEDDDNKNKTSCFILCNNLGALFIHAIAENISVSDILKPNYVPSIVHSFFALNKVKNYEGTSKPLLAVQVTELVDGVFIGFSINHVVVDGKSFWHFINSWSEISRGFDQPSKLPTLNRWFLDDSIEIPIKFPFTKEENVKSTEIDENSLPLERIFHFTNEKIAKLKSKANEEANTNKISSLQALLTHLWRILIRCHNIDLEEEIMYFLVIDARGRVVPPLSKNYFGNALQVGGVKVKAKELFVEGGFGKVAFEMNKMIGSYCDDTLKRNYENWVKNPSLFQGRLSSVKAFATSSSPRFDVYGNDFGWGKPIGVRSGGANKSDGTITMFCGEEEGSIDVEVCLSYDILEAMGNDPQFINVF >CAK8577601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577351875:577355023:-1 gene:gene-LATHSAT_LOCUS29692 transcript:rna-LATHSAT_LOCUS29692 gene_biotype:protein_coding transcript_biotype:protein_coding MTECCSLLNLQIPCFTKQISLITDRSENSFPLKSLSFSHHRLSNFQPCQISHKTKATTAHMEVQQSEGSSDSSQPMKLLFVEMGVGYDQHGQNITSAAMRACRDAISSNSIPAFRRGSIPGVSFGEMKLQIKLGVPHSLQQSLDIDKVKSVFPYGKILKVEVVDGGLICSSGVLVEEMGDKNEDCYIVNAAVYVGY >CAK8537473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365965208:365965432:1 gene:gene-LATHSAT_LOCUS6763 transcript:rna-LATHSAT_LOCUS6763 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYARIEGEKVEIERKKVDVKIKKAERAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8564703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7399229:7400684:-1 gene:gene-LATHSAT_LOCUS17978 transcript:rna-LATHSAT_LOCUS17978 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFIWTFMCICLFLSATKCLSQFQPRLPEGQQVPCFFIFGDSLVDNGNNNGIITLARANYRPYGIDFPQGATGRFTNGRTYVDALAQLLGFRTYIPPYSRARGLDLLRGANFASGAAGIREETGSNLGAHTSMDEQVTNFGNAVQQMRRFFRGDNDSMNSYLNKCIYYSGMASNDYLNNYFMTDFYSTNTQYTPKAFASALLQAYARQLTQLHSLGARKVIVAAVGQIGCIPYELARFNGNNSRCNDKINDAIVYFNSGLKQLVQNFNGGQLPGSKFVYLDFYQSSEDLATNGKSYGFDVVDKGCCGVGKNNGQITCLPLQQPCEERGKYLFWDAFHPTELANILLAKISYTSQSYTSPINIQQLAML >CAK8562508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502728090:502728527:-1 gene:gene-LATHSAT_LOCUS16000 transcript:rna-LATHSAT_LOCUS16000 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVWNEMMQARKFIGRRVYAKLLPATPNVAWAKLILHNRARPRAIYTLWMICHGKLGTKVRLHRLGMVNNNQCVFCPAAETIDHLFFECDTLRKTWVEILHWIGISHIPGNWNEELKWMLNCFGGKGWKAELVRLALTETLHEL >CAK8563253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586569745:586573682:-1 gene:gene-LATHSAT_LOCUS16682 transcript:rna-LATHSAT_LOCUS16682-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQILGFAPFVFLLLVIGSTARPLYPLPGKGNHGSRQPLQTFRPYNIAHRGSNGELPEETHQAYMRAIEEGADFIETDILSSKDGVLVCFHDVTLDDTTDVANYKEFADRKRTYEDQGVNTTGYFIVDFTLKELKSLRVNQRWSFRDQQFNGKFQIITFEEFITIALDAPRVVGIYPEIKSPVLINQHVKWSGGKKFEDKFVETLHKFGYKGSYLSKNWLKQPVFIQSFAPTSLVYISNQTDLPKVFLIDDVDIPTQDTNQSYSEITSDTYLDYIKQYVVGIGPWKDTLVPAINNYAMTPSDLVSRAHARNLQVHPYTYRNENKYLHFNFSQDPYKEYDYWINNIGVDGLFTDFTGSLHNFQKWTAPNHHDDNTASKLLHEIALLASPYE >CAK8563252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586569745:586573870:-1 gene:gene-LATHSAT_LOCUS16682 transcript:rna-LATHSAT_LOCUS16682 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPSRFAPFVFLLLVIGSTARPLYPLPGKGNHGSRQPLQTFRPYNIAHRGSNGELPEETHQAYMRAIEEGADFIETDILSSKDGVLVCFHDVTLDDTTDVANYKEFADRKRTYEDQGVNTTGYFIVDFTLKELKSLRVNQRWSFRDQQFNGKFQIITFEEFITIALDAPRVVGIYPEIKSPVLINQHVKWSGGKKFEDKFVETLHKFGYKGSYLSKNWLKQPVFIQSFAPTSLVYISNQTDLPKVFLIDDVDIPTQDTNQSYSEITSDTYLDYIKQYVVGIGPWKDTLVPAINNYAMTPSDLVSRAHARNLQVHPYTYRNENKYLHFNFSQDPYKEYDYWINNIGVDGLFTDFTGSLHNFQKWTAPNHHDDNTASKLLHEIALLASPYE >CAK8540256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547156469:547160485:1 gene:gene-LATHSAT_LOCUS9279 transcript:rna-LATHSAT_LOCUS9279 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTTSPSLSLSPISTLFPKQPISSSNPKTFTRSPFSQFRTTPISCVLSTASPKPSNFESHLQKPSITFQLSSSQTPERAMRGAESDTMGLLLRERIVFLGSEIDDFVADAIVSQLLLLDAQDPNKDIKLFINSPGGSLSATLAIYDAVQLVRADVSTIAMGIAASTAPIILGGGTKGKRLAMPNTRIMITQPPGGASGQALDVDIQAKEVMNSKNNVSRIISSFTGRSVEQVLIDIERDRYLSPIEAVEYGIIDGVIDGDKIIPLLPVPENVEEITLDTNDRNFLTPNVPEDEIY >CAK8544147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667341638:667344588:-1 gene:gene-LATHSAT_LOCUS12849 transcript:rna-LATHSAT_LOCUS12849 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHTTTLLLLLLLPSLVLTTTHPNDIKILNQFRNNLDNPELLQWPKQSPDNDPCSTPSWKFIFCQNDRVTQIQTKNLNLSGTLPQNLNELTELFNIGLQNNKLHGPLPSLKGLTNLKYAFFDNNEFDSIPNDFFQGLPSLETLALDKNNLNVSTNGWQFPSSLQDSPQLTTLSCMSCNLVGSLPDFLGKMNSLSFLKLSGNRLKGEIPLSLNGSGLQTLWLNNQKGEGESLSGSIDVVCTMASLTSLWLHGNRFTGSIPENIGDLVSLKELNLNGNDLVGLVPSSLGDMELDSLDLNNNRFMGPIPNFKALKVSFSNNDFCLNETGVACSFEVMALLGFLGGLNYPSNLVDSWSGNSPCEGPWLGIKCNVDGKVSMINLPRFNLSGSLSPSVANLGSLVEIRLGGNHIDGVVPSNWTSLMNLKLLDLSDNNISPPLPVFRNGLKPMVDGNSLLNGGGPEGPSSGKNSPSGGSGNKDEGTKGESNSSSGDSVEPKKSKRKSLVLIVAPIAGVAAAAFLLIPLYAYCFRRTKDGFQAPSSLVVHPRDPSDSDSAVKIAVVNNTNGSVSTLTGSGTGSRNSSAFGESHVIEAGNLVISVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVITNKALDEFQAEIAVLSKVRHRHLVALIGYSIEGNERILVYEYMPQGALSHHLFHWKSLGLEPLSWKRRLNIALDVARGMEYLHTLAQQSFIHRDLKSSNILLADDFRAKVSDFGLVKLAPNGEKSVVTKLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLSGLMALDEDRPEESQYLAAWFWNIKSDKKKLMAAIDPTLDINEETFESVSIIAELAGHCTAREPNQRPEMGHAVNVLAPLVEKWKPFDDDPDEYSGIDYSLPLNQMVKGWQEAEGKDTSYMDLEDSKSSIPARPTGFADSFTSADGR >CAK8531599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:128480577:128485594:1 gene:gene-LATHSAT_LOCUS1377 transcript:rna-LATHSAT_LOCUS1377 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNHKSFITILLLIFTVLSLVQGRVPFACDPRNGLTRGYRFCNTNIPIRFRVQDLIGRLTLPEKIRLVVNNAIAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITTAASFNQSLWLEIGRVVSDEARAMYNGGAAGLTYWSPNVNIFRDPRWGRGQETPGEDPTLAAKYAANYVQGLQGNGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLADTYDVPFKACVSEGKVASVMCSYNQVNGKPTCADPELLRNTIRGEWRLNGYIVSDCDSVGVYYDTQHYTKTPEEAAADAIKAGLDLDCGPFLALHTDGAIRQGLMSENDLNNALANLITVQMRLGMFDGEPSAQPYGNLSPRDVCLPAHNELAVEAARQGIVLLQNKGNALPLSPTRFRTIGVIGPNSDVTVTMIGNYAGVACGYTTPLQGIARYARTIHQVGCRDVSCGGNQLFGLAETVARQGDATVLVMGLDQSIEAEFRDRVGLLLPGHQQELVSRVARAARGPVILVLMSGGPIDVTFAKNDPKISAILWVGYPGQSGGTAIADVIFGRTNPGGRLPNTWYPQDYVSKVPMTNMDMRPNPAIGYPGRTYRFYKGPVVFPFGHGLSYSRYTHTLALAPKQVSVPYVSLQAQAFANSTNKAIKVSHAKCDELEVGFHVDVKNEGSMDGAHTLLIFSKPPNGVKQLVNFHKTYVPAGSKTRVKVGVHVCNHLSVVDEFGIRRIPIGEHELHIGDLKHSILVQTLDQIKN >CAK8575044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23812030:23812173:1 gene:gene-LATHSAT_LOCUS27336 transcript:rna-LATHSAT_LOCUS27336 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMKGKALVTKLAALARYGVLPGAMVAALVYSPPNYADKHASATTN >CAK8572473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539227378:539243701:-1 gene:gene-LATHSAT_LOCUS25037 transcript:rna-LATHSAT_LOCUS25037 gene_biotype:protein_coding transcript_biotype:protein_coding METQENQQESWSEPETTTESRNSRVGFSGPLSGPLSGPLVTNKHRKGSKNKSARFKNDDNELVEITLDVRDDSVSVQNIRGGDSETAFLASRLEMKPSSLSSRLRQVSQELKRMTSFKTFDKVDRSKSGAARALRGLKFMTKSVGSDGWSQVEKRFDELCVDGKLPKTRFSQCIGMHESKEFAGELFEALARRRGITAASITKEELREFWEQITDQSFDSRLQTFFDMVDKNADGRIIEEEVIEIITLSASANKLSKLQERAEEYAALIMEELDPNNLGYIELYNLEMLLLQAPAQSAHITTDSRVLSQMLSQKLVPTKEHNPIKRAFRELSYFMEDNWKRIWIISLWLSICAALFTWKFIQYKRRAVFHVMGYCVTTAKGGAETLKFNMALILLPVCRNTITWLRSKTKLGMAVPFDDNINFHKVIAFGIAIGVGLHAISHLTCDFPRLLHATDEEYEPMKQFFGNQRPNNYWWFVKGTEGWTGVVIVVLMAIAFVLAQPWFRRNRLNLPKPLKKLTGFNAFWYSHHLFVIVYVLFIIHGYFLYLSKKWYKKTTWMYLAVPMILYGCERLLRAFRSGYKSVKILKVAVYPGNVLALHVSKPQGFKYTSGQYIYVNCSDISPFEWHPFSITSAPGDDYISVHIRTLGDWTSQLKGIFAKACQPANDGQSGLLRADMLPGKPSLPRMPRLRIDGPYGAPAQDYKNYEVLLLVGLGIGATPLISILKDVLNNIKQQEEDLEEGEVESRVMSNKKKPFATKRAYFYWVTREQGSFEWFKGVMNEIAENDKEGVIELHNYCTSVYEEGDARSALITMLQSLHHAKSGVDVVSETRVKTHFARPNWRNVYKHVALKHPDKRVGVFYCGAHGLVGELRKYSLDFSRKSGTKFDFHKENF >CAK8572474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539227378:539230992:-1 gene:gene-LATHSAT_LOCUS25037 transcript:rna-LATHSAT_LOCUS25037-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILLFLTTYPLTHSLHSCARVDKNADGRIIEEEVIEIITLSASANKLSKLQERAEEYAALIMEELDPNNLGYIELYNLEMLLLQAPAQSAHITTDSRVLSQMLSQKLVPTKEHNPIKRAFRELSYFMEDNWKRIWIISLWLSICAALFTWKFIQYKRRAVFHVMGYCVTTAKGGAETLKFNMALILLPVCRNTITWLRSKTKLGMAVPFDDNINFHKVIAFGIAIGVGLHAISHLTCDFPRLLHATDEEYEPMKQFFGNQRPNNYWWFVKGTEGWTGVVIVVLMAIAFVLAQPWFRRNRLNLPKPLKKLTGFNAFWYSHHLFVIVYVLFIIHGYFLYLSKKWYKKTTWMYLAVPMILYGCERLLRAFRSGYKSVKILKVAVYPGNVLALHVSKPQGFKYTSGQYIYVNCSDISPFEWHPFSITSAPGDDYISVHIRTLGDWTSQLKGIFAKACQPANDGQSGLLRADMLPGKPSLPRMPRLRIDGPYGAPAQDYKNYEVLLLVGLGIGATPLISILKDVLNNIKQQEEDLEEGEVESRVMSNKKKPFATKRAYFYWVTREQGSFEWFKGVMNEIAENDKEGVIELHNYCTSVYEEGDARSALITMLQSLHHAKSGVDVVSETRVKTHFARPNWRNVYKHVALKHPDKRVGVFYCGAHGLVGELRKYSLDFSRKSGTKFDFHKENF >CAK8560968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65027125:65028017:-1 gene:gene-LATHSAT_LOCUS14601 transcript:rna-LATHSAT_LOCUS14601 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYEEFKVRINVLVAESQKKPEEGWVLQDGTPWPRNNTDNHPGMIQVCLRSALAVDNEGKSLPRLVYVSREKCSGYQHHNKAGAMNSLLRVSAVLSNVPFVLNLDCDQYINNIIINIIMTLTLISDMCLSIHLDTKIEETCLFVCGITKVK >CAK8539989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534202344:534203447:-1 gene:gene-LATHSAT_LOCUS9032 transcript:rna-LATHSAT_LOCUS9032 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSTNPTASTNGDATPPSKIALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRIDHIYVDPHNAHKARMKLHYADLSDASSLRRWLDIILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIDASGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKVAAHWYTVNYREAYGIYACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEDSHTVEEFLQVAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDATKAKKVLGWKPKVSFEELVRMMVDNDVEMAKKEKVLVDAGYIDAQQQP >CAK8569235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685864594:685865223:-1 gene:gene-LATHSAT_LOCUS22113 transcript:rna-LATHSAT_LOCUS22113 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQFPIREYAPLLPRKVADDIPFSKSQIPSLLQLFSLTKDSPQGEDLKDIINQCEFEPQKGETKACPTSLESMVEFVHSVIGADTKFNIHSTSYPTTSGAPLQNYTILDISKDIYAPKWVACHPRPYLYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMNPNHFIFELLGMKPGEAPLCHFFPVKHILWVPAPSDATK >CAK8566399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419665604:419671433:-1 gene:gene-LATHSAT_LOCUS19532 transcript:rna-LATHSAT_LOCUS19532 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSKQYTDDVSLLVVTLDTNPFFWSDFPSHFSDFLSQVLAFLNSILLLGQLNQVVVIATGCNSCSYVYDSSSNKNHVSTNGTMPALYSNLLHNLDEFIANDKQLTTAHEPGTVPSSLLSGSLSKALCYIQRAFRSGPMHPQPRILCLQGSPDGPEQYVAIMNAIFSAQRSSVPVDSCFIDSSNSGNSAFLQQASYITGGIYYKPPQLDGLFQYLSAVFATDLHSRAFLRLPKSLGVDFRASCFCHKKTIDTGYVCSVCLSIFCEHHDKCSTCSSVFGQAQSVAASTDNRKRKAE >CAK8541894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:424329503:424336090:-1 gene:gene-LATHSAT_LOCUS10783 transcript:rna-LATHSAT_LOCUS10783 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRIFKDEASEEKGERARMSSFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIIAGFRMAAECARDTLVAKVVDNKGDAEKFRSDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLIDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEGAEKEKMKEKVNKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGDIASTFDNPDSVKLGQCDLIEEIMIGEDKLIKFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDALARKTPGKKSLAMEAFSRALLAIPTTIADNAGLDSAELISQLRAEHQNEGCNSGIDVISGSVGDMSERGICEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >CAK8569314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693550745:693552589:1 gene:gene-LATHSAT_LOCUS22177 transcript:rna-LATHSAT_LOCUS22177 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLSSSSPPSLKTLKPFPFFHRPFQYASSFNLSPSTRSFFTPISCNRQNPSPLSSSSSLQPNHFPTLSSSSSDNSLTHIAIGAFQKPKATTARTLVILSAVLAILIQPAIVPAALATFQTAAGGPATAAVGGKLIRTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRLESAAVGALWGCGHDAGQLIFGLIFLILKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEAAAPCVALENGECDVGVYESLNNPSPRKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLSMFLVGTVVAMGGYTVFIGSCSQALKDRVPRITEKLTWASSLIAIALGFAIIISQFFGFTLY >CAK8538192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468268594:468270175:1 gene:gene-LATHSAT_LOCUS7419 transcript:rna-LATHSAT_LOCUS7419 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEALVNSSWELFKQNPSYSVLFYTIILKKAPAAKGMFSFLKDSAGVVDSPKLQAHAEKVFGMVHDSAVQLRASGEVVLENTTLGAIHIQKGVVDPHFVVVKEALLETIKEASGEKWSEELSAAWEVAYEGLASAIKKAMS >CAK8543274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594949667:594950602:1 gene:gene-LATHSAT_LOCUS12041 transcript:rna-LATHSAT_LOCUS12041 gene_biotype:protein_coding transcript_biotype:protein_coding METCKKSPLKPWKKGPTRGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLQPHSISTIKTGKFKWLPSKNFISMFPSCGLLNVNAQPSVHLIHQRLQEFKQNAVAASQSFSSASDDPKTEETQNVLGSEKNHAIQNVLDSNKNHAIQNVLDSKKNHEDDPPKEKDAQTSVNKTVGNLQEKPQIDLHEFLQQLGILKEETHSSEQTESSGSSTVHEAVSRDDNDQLGIFSDMNINWEALMDMHGIEGIQESETTQLEAVDPNDHLNFSTSIWNF >CAK8577500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569483326:569486586:-1 gene:gene-LATHSAT_LOCUS29603 transcript:rna-LATHSAT_LOCUS29603 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQSREGREFTDSDSDYDEQEHEEVEDEYQDAQTHSQPHSQSTQLDEVDAKLKALKLKYPSSTSSSPSQPHTPTPLPKNTVKLYLHIGGNTPNAKWILSDKRTFYTFVKIEEDDNDEDEQNDAAQGPWILKVGSKIRARVSTELQLKMFGDQRRVDFVSNGVWALKFPTDEAYRKFVTEFQDHTFENVYGLSPTEENKIKVYGKEFIGWVKPEAADDSVWEDASEDGFRKSPEPYRSRGDLMEEFEEAANGGIQTLTLGALDNSFLLNDTGFHVYRNFDRGIRKGVDVKFEGGNLREETPNKALLMRAETNMMLMSPLNNGKPHASKLHQLDIETGKIVTEWKFEKDGADITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRKGIVQNIATASSPVLHWSQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSKTSMRQAKTAFPGLGSPITSVDVTFDGKWVLGTTDTYLVLICTLFTDKDGKTKTGFGGRMGNRIGAPRLLKLTPLDSHLAGTTNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQEGLKSCYCYKIILKDESIIESRFMHDKFAVSDSPEAPLVVATPMKVSSISMSGRRTG >CAK8534777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766587462:766587785:-1 gene:gene-LATHSAT_LOCUS4291 transcript:rna-LATHSAT_LOCUS4291 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDDIKYGTAQARLSPDEALRVVYKHGTPLEGGKISDSERVDLFSSAQNVSKSDQQQQSSDSNQSQLQRDDTTAGAGGEDSTDFTTGAPCLPEKNKPPTLGHKF >CAK8537880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444503655:444505757:1 gene:gene-LATHSAT_LOCUS7131 transcript:rna-LATHSAT_LOCUS7131 gene_biotype:protein_coding transcript_biotype:protein_coding MMHILTQFWRLVLQPKVWRLVGFASAVVGLLCYALSSSFNHLFGNWNLWKIILYTVFSFIISLMILYANIWKSSTSLRFKAHAAFLVLTITSVYSFFFDKVVNGKPDAYSLISCASFAIMSLSLSRQTHCGVEIDLLYFFLGCLIVQLLKISLQLLILGAGFSYSLIILRSSFSSIDDAIENEYFYLQDENSVVLKLDSLLLQQLKTCMTEIEEENLNLIDRLMELVKEYNQDKSELHLLDKCDYVMDTLSSRKIHNLNEIVKLMIAAGYKKECYDVYSSWRRVFLQECLINKIFGLRTTNINITMDEYETEQYLDTMFEYETEQYLDTVFERWMTALDVAVTILFPIEQKLCNRVFSGFSSAAFSCFSEVCHEATSQLLGFAVADGNPTIWRLFKMLRIFGHLDKHIPKFQSLFPDSTLLNETIAVRNRLGEASKDLFIEMHNVIIRIPTAHETVLSRGLIHPITFQVMSYVSLACKSRKKLEQILQAYRKVDNEVEASSFFLKQMEQIMEMLPRKLIAKLKNFKDPALCHIFMVNNRSHIEAMNQSSELETIFGNDWFQKNKAKIRQNIELYKRISWNRVLDFLKLDNNDNITEELLKEKIHLFNTHFEEVCKVQSDWFVFDNKLREEIISSVENILLPAYGIFIGRLQDMLGNQAYKYIKYGIFEIQDRLNQLFRKMQIYEYKSEYIYKTLNYLLIR >CAK8569918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15523608:15529136:-1 gene:gene-LATHSAT_LOCUS22717 transcript:rna-LATHSAT_LOCUS22717 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGSVSWDLNWFHQFIITSLVIVVVGAFTWMKNNASRYFDANFEGDHHHSSSSPSAPMPSAVNSVDPICAVCSSHATKRCSRCKTVRYCSAVCQQMHWKSGHEADCKNFRSVNSAQNGATNGGFKASAAVGKGSNLIALVPGGCGKSSRQTKLPKDVLFPYDEFVKLFNWDKPGFHPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRKECNSNDWCFLCEFENHVERTRLSSQAFSPMNILSRLPNIGGTLGYGRQEDAHEFMRFSIDTMQSVCLNEFGGEKVVPSNLQETTIIQHIFGGRLQSEVICTKCEKTSNQYESMMDLTVEIHGDAASLEECLDQFTVKEWLDGDNMYKCEGCQDYVKAWKRLTVKCAPNILTIALKRFQSGRFGKLNKRVAFPETLNLSPYMSEAGDGSDIYKLYAVVVHIDMLNASFFGHYICYIKDFQGNWYRIDDSKVASVELEEVLSQGAYMLLYRRCTARPSCLQIQTTESSGTVEERTVEVEVKSSGTVEERRVEVEVEPDQTVQQAECFSNIKALTCRSDCEVSPFDISPELKVSSSYDYESSIELNSEAKKEQSEDTSMLDVESTDIANAISSSAVESSYLPVSYAVDNLVEVDMERPIEETSGCAQDQDDTGMAGSCPSGLPNDFSFLDKHSSVSIDYRNVEEVSEHIDAVKCKLLTAKEDAYYGNGYVSANKSAIPHEDAGTLFSGVGSFPTEKDIGNGIKKVEISADKLIM >CAK8566855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467359661:467362088:-1 gene:gene-LATHSAT_LOCUS19957 transcript:rna-LATHSAT_LOCUS19957 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHKPPIEGEGQPIRCKAAVVRRPGEPLVIEEIIVAPPMSREVRIRVLCSSLCHIDLAFSNMKEAPGIFPRILGHEAAGVVESVGKDVTEVTKGDVVVPIFLPDCGECIDCKSTKSNLCTNFPFKVSPWMPRHGTSRFTDSNGEIIYHFMNVSSFSEYTVVDIANVTKIDREVPPERACLLSCGIGAGIGASWRTAAVEPGSTVAIFGMGSIGLAVAEGARLCGATKIIGVDVNPEKFEVGKKFGVTDFVHTGECMNKPVSQIIIEMTDGGADYCFECVGMASLVHEAYASCRKGWGKTIVLGLDKPGSKLSLSSSEVLHNGKTLQGNLFGGLKPKSHVSILLKRYMDKELQLDEFVTHEVQFKDINEAFDLLRNGECLRCVIWMDK >CAK8577659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581969425:581971600:-1 gene:gene-LATHSAT_LOCUS29746 transcript:rna-LATHSAT_LOCUS29746 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHSSALSSLSSLSFRSSSSSSCFSLLPTSHKLTFPLLTKTPISISTTPTTTLSFTAKATPIDDNESISEEGLPFNPPEGQEGFIASPFIIDGPVEDEDEVTAAYEKLYGVGYSGVSVLGNDIYAMDANIKKQTGFGSGKKKRERFRDGLEERIVQVRRITKVVKGGKQMKFRAVVVVGDKKGQVGVGVGKAKEVVSAVQKSVINARRNLMKIPMTKYSTFPHRADGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSDNALNNARATLVAVQKMKMYSQVAEERGISKEELYY >CAK8566992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478467023:478467274:1 gene:gene-LATHSAT_LOCUS20085 transcript:rna-LATHSAT_LOCUS20085 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGRGRGRPRLVPPSTTNPTVTDQNTANKDHYGIDELQAANLECESQSGEEAGTTDTETLNQVITEEAKKGVEVSQPKKL >CAK8561369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:172347161:172348527:-1 gene:gene-LATHSAT_LOCUS14969 transcript:rna-LATHSAT_LOCUS14969 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKTKLRTPNEVLILFRHLTKATKKFQIEESPRVGGSTNLVNLPFLDMDVKKMMRVGSLIQIKMEEIIFGEEFLEHLRVESIKEILDHNWLSASIIIVFSRYFYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMNPLNGEPTKHQDLKTKFENALQIYRANSNSKVSKVSKSKKISWSKIQILSPQINSIDCGYFVMRFMKKVNMENEIMIPMNYFPDYKCRTYSKDKLTEVKED >CAK8576767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512492583:512493155:-1 gene:gene-LATHSAT_LOCUS28935 transcript:rna-LATHSAT_LOCUS28935 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQNVVVVMMLILGVILPPPIQSLKLKAGNWGKSFPRKNQETETNLQFYFHDTVSGKNPSVVQVAEPIDKSKQSDTRFGSIWMADDPLTETSDPKSKLVGRAQGIYASSGQHEFGLLMSLSYSFVDGPYNGSTFALVGKNSAMNPVREMPVVGGTGLFRMARGYAIAKTHLFDLTTGDAIVGYNVTLVH >CAK8531306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99807693:99809800:1 gene:gene-LATHSAT_LOCUS1108 transcript:rna-LATHSAT_LOCUS1108 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTIEGLVSNSENNMGLDDNDKTNEEDNNNITNTSRVEGSDNEEGGTLSRYMSESSVAATEEDEEDEDRKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGDVDINAVGETLEPDVKIVSLAIKSAGRPDIVLPIPEGGNPKGLWFTLKEGSRYRLVFTFQVNHNIVSGLKYTNTVWKTGIKVDSSKEMIGTFSPQAETYTHEMPEETTPSGIFARGAYTAKSKFVDDDNKSYLEINYTFDIRKDWQ >CAK8572740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559478422:559478778:1 gene:gene-LATHSAT_LOCUS25269 transcript:rna-LATHSAT_LOCUS25269 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKKFKRMLRSRKSIYPDEICSGSYVKLSLDTSRKKLKDSHKKTPNGCVCVDVGPERQRFVIKIKIFNHPLFKTLLEGVENEYGYRNDGPLWLPCDVEFFCETLVKIENVFPKSHT >CAK8578827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657162550:657164731:-1 gene:gene-LATHSAT_LOCUS30810 transcript:rna-LATHSAT_LOCUS30810 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKFFYVNLFFFFYLSLFHNVYASQTKNFNLQLKAVNLGNWLVIEGWMKPSLFDGIINNDLLDGTHVQFMSLKLEKYLCAEHGGGTIIVANRTRPFHWETFRLWRLNETMFNLRVSNKQFLGLEGENKLVADIDSPGNNETFEIVRNEDDRNMVRIRASNGLFLQAISESLISTGTVYEESIWEDNDPSIFKMTVLSDTILRGEYQITNGYGPNKASKIMQEHWKTYITEDDFKFMSENGLNAVRIPVGWWIAKDPTPPKPFVGGSLKTLDSAFTWAQKYGMKVIVDLHAAPASQNGRAHSATRDGYLEWGDSSIPDTVATIDFLAQRYGERSNLIGIQLMNEPQGVDLESLKKYYKAGYDAVRKHTLSAYVIMSNPLDRDSKVLLPFVKAFDKVVIDVHYYNLFSDKFSNMNVKQNIDYIKYQRASEINSLTTSNGPLIFVGEWSGDWKVQNASKQDFQKFMNVQVEVYSHATFGWAYWACKCDSNNWSLEWLLKNNYFKL >CAK8570077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23264738:23265083:-1 gene:gene-LATHSAT_LOCUS22861 transcript:rna-LATHSAT_LOCUS22861 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHFDASASASEPFGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPREMESWISRSGLASL >CAK8579391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695467973:695468614:-1 gene:gene-LATHSAT_LOCUS31340 transcript:rna-LATHSAT_LOCUS31340 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQPICKSEGGIRCLCINCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGKVELNIDTRGGSNSSEHMHQADQFEAMDQMVYDAFRPHGGFSHANDNREQEEFLEDEFPNEEAKQFYDKLISFNKPIYEGATQSMLSISTQLLEIRSNWHVPQKGSGFVAQMLKSVCPVQKCLPENYYPAS >CAK8571690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462015392:462015781:-1 gene:gene-LATHSAT_LOCUS24329 transcript:rna-LATHSAT_LOCUS24329 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDVQNKIKLIEEAIAEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8571691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462015392:462015685:-1 gene:gene-LATHSAT_LOCUS24329 transcript:rna-LATHSAT_LOCUS24329-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8562165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450050134:450052774:1 gene:gene-LATHSAT_LOCUS15686 transcript:rna-LATHSAT_LOCUS15686 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSERNKHHYILSVFIILVTFIFDNAHCIRFPDRVAQPARDQTDQQHLQTAVFALGSFWRSEAVFGCLPGVVRTTAGYAGGSKPNPEYRSFGDHAESVQVEYDPRLISFRELLDIFWSSHDPRQVYGQGPDVGNQYRSIIFVNGTEESRMASVSKEQEQTRSRSSIVTTLIMQLGTFHPAEPEHQKFELKQNTFLLQLIGNLPEEELESSSLATKLNGYVAELCPPNIQKHIDAKINEIIKKGWPILREL >CAK8578492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632510391:632511026:1 gene:gene-LATHSAT_LOCUS30498 transcript:rna-LATHSAT_LOCUS30498 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNSRVPHVLEPKQSNTLSLNDCLTIFIDYTHLSISSASSDPKNLTFKLKTSVFKKFEIPCEILYNNNDINNQFLYETFDVIPSCIIDAALRSLKDCTRQMVLNGKLEEMHLLIRKVSLHISKEVEYDQNHENDQQIVGLSSNLKVDVTLDSKDRCSICLEEFCNASQTELFYTKCSHIFHKLCIVEWIFQCVDHDREYTCPLCRCDIV >CAK8569820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12644132:12648426:-1 gene:gene-LATHSAT_LOCUS22629 transcript:rna-LATHSAT_LOCUS22629 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKGDAEKVGPMFPRLHVNDTAEKGGPRAPPRNKMALYEQFSVPFQRFNPNSNSNSSNSLPLTRSSSLGNDPERSYIFPVCLPSQTESYISHQSNGANMHTLTARIEHRKKVDGDDSHAYDRSRIGQSNDKTMKSFNGEKHTPMGSGNFGCSVSVKNDGDKDQTQFGSLVVKMRKDVRNKGEAHLQESLSRQKTVISVERILTGENIDSPVRQAKMISDEEDQSDACIQQESNNIEHGGSLVDSAMDTDNRNSFILGGCFRSTVNQTSVPETADHTEYHDTNIDSPIENGNSEGSDDLSKNSTLENMPSPKLSPDGVVEILGQQLFWKARRKISNQQRMYAVQVFELHRLIKVQHLIAESSNLLPDAAAILGKFPLQGSNSKSLSLEEVVEPHTQNHKQQDHSENQNHKLDCSTENGVGKTSLSSQKSNQANAGSQFFNQSPGHQWLIPVMSPSEGLIYKPYPGPGFPGAVYGGYEPFGHSPPDGTFMNPAHGVPNFHQAIAMSPFIPPSSYAYFPPHGVPAMNQSASVAEQVNQFVAQGSRDRNGNSSLVGADFDTHNSGAVLHVTKSRPSRERELVSSPNEKAQGIRIEKSSEGRDTFPSSFTVPLASDEVFQSLETRQKPQVIRVVPHNPRSATVSAARIFQSIQEERKQSDLF >CAK8533895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669112437:669113463:1 gene:gene-LATHSAT_LOCUS3483 transcript:rna-LATHSAT_LOCUS3483 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDVEKSGIVDTLLSWANFKQSKDLKKTDGTKTHRIRGIIKLEDANDAGRRNSEKCTLILTEGDSAKALAMVGLSVVGRDHYGVFPSRGKLLNMREASSKQIMENEEIQNIKKILGLQQNKEYTNVESLRYGHLMIMADQDHDGSHIKGLLINFIHSFWPLLLKVPSFMVEFTTPVIRVSRFHLSFVVCRVLWRTSLD >CAK8572367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532467790:532468815:-1 gene:gene-LATHSAT_LOCUS24940 transcript:rna-LATHSAT_LOCUS24940 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLFSWILSTSSSSSSSSSEEEDDEHENLNISNDESDSENFQNNNVEDQMDDVDDDTIPIGLAISDASPAVTVALPAPTDYTNTSTAAKETRSKLQQNKYSGMVRQYQRIWTKQDEIELLTGYLDYIKQQGRTTTTLQSHVASFCDQVKPKFSADFSRNQIVEKLRRLKRKHKMVLDKCKEVQVSSKSPHEQAVFEISHKIWGNDTHHDVLEVDESRPVPDSPDLIDNIKMKTDHVDNCEERAHKRARLAADNGDATSSIHGFIEETMRSCFSPLLKELLDDAPVEPLDVLPMLLSTKEARDEQWLKRRILELEVYLNRLELLQGRIKARLEDLRSSLG >CAK8543123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580176660:580179099:1 gene:gene-LATHSAT_LOCUS11905 transcript:rna-LATHSAT_LOCUS11905 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSGFRILLSSKSRSLTTTAVAKSWWSASYSSSAAVASDESLESGTYLKDYADYRRSLYGQITHKALLVDAVGTLVLPSQPMAQIYRQIGEKYGVEYSEEEILDRYRRAYSQPWGKSRLRYVKDGRPFWQYIVSNSTGCDDSQYFEELYNYYVTDKAWHLCDPNAGEVFKALRKSGVKLAVVSNFDTRLRPLLRALNCDEWFDAVAVSAEVAAEKPNPTIFLKACELLDVKPEDAVHVGDDRRNDVWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >CAK8543965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652645257:652646447:1 gene:gene-LATHSAT_LOCUS12684 transcript:rna-LATHSAT_LOCUS12684 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEKDFALNQTGTVKIIAEEPDDVWLLYNLITVGDVVTADTTRKVHLESNKNTASRVKLTLHLKVTCHEFHKDSSTLRVHGRNLEPNQHVAAGSFHTLTLERNKPFELQKKLWDRNSVEALSDATENSSSSSSEANLAVVVLQQHQAEIHLLGKGVTTRCSKIEASSHSRKKSSYSSSSNVFLRDVFTAFVKHVDFKVVKSVVIAGDSRDNDDKTSLSPTIFRRFLLSEARRLRMRYIEENKSRIVVVGSRCNTNNNKGNCDFDLREIFNDVAVMNLIKDSNLGLEIRVFKELWDMVCNNSDRVCYGPKHVESAHEMKAIDTLLISDDLYRNEEIDMRKKYVGLVKSVKEGGGKALVYSSMHVSTPQLDQLTGVAAILRFPLPGLQDMDEDDHV >CAK8536681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6978523:6979148:-1 gene:gene-LATHSAT_LOCUS6019 transcript:rna-LATHSAT_LOCUS6019 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVGIIVFASVMATLGLQILIESGRQIISKTKAEMDHSELMWMIVIMVSLTIVKFILIVYYRRFTNEIVKAYAQDHCFDVITNSVGLAAAVFAVKFYWWIDPLGAIIVSSFLPLIVL >CAK8578176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611266493:611266762:-1 gene:gene-LATHSAT_LOCUS30214 transcript:rna-LATHSAT_LOCUS30214 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFNCPGRMPMTSSSYPNYSGMGRTLSFNTQDLMKMQDILREPSENVPKTSTPQTPCVNQQRGERVQRVMVPRGCGTGGRYDSPGRQH >CAK8542662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535397347:535397736:1 gene:gene-LATHSAT_LOCUS11482 transcript:rna-LATHSAT_LOCUS11482 gene_biotype:protein_coding transcript_biotype:protein_coding METESLLPNPTNVRGIKQYLRRRHYKYLEGSGKKLKIIRLKRSTHKFWRIRTIPKLRWVIKSPLKMLTNFKKAYMNFMLKSLSTDAIFGGKQIPKASQVSEDYASDVFQAMLIYEISKALIASHKLFPM >CAK8570507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53044455:53047703:-1 gene:gene-LATHSAT_LOCUS23250 transcript:rna-LATHSAT_LOCUS23250 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVIAGPFMGAVFNVLLERIASSEVVNFFKKNKSENLLKRLKIILLSVHGVLNDAEEKQMKNEAVKEWLEELKDIAFDADDLLDEIFTTEKMKLKEVNMFHSPSTVFYDKEVELEHKMEDVYERLEFVIKLKELLDLKIGNGKEMKVTHKSPTSSVVEACDVYGRDNDKDVLVKLLLSHGVDDDEKLGVIPIVGMGGIGKTTLAQLVYNDDRVQKEFDLKAWIYVSEEFDICKITKNLLEVVTLCSCDVEDLNSLQRNLKMYIQKKKFLFVLDDVWDENYENWDKFRSPFKHGGANGSKIIVTTRSGNVASIMQTFPPYNLTELSNEDCWELFSNHAFGDSHKDSKVCQSVDRVGREIVRKCKGLPLAVKTLAGLLRSKSDTQEWHKVLNSEIWDLQEHESHILPALRLSYHYLPSHLKRCFAYCAIFPKDYEFEKEKLVLLWMAEGLLHQSKRHRRIEEVGDEYFCELVSRSFFYQSRSGKSCFLMHHLINDLAQFVSGTFSVRIEDNNSDQVMERTHYLSHVISHCSSYVNLKDVGKANRLRTFMQIRTIGTSIDLFNNMPNDLLTKLRYLRVLTLVGAYFYGLPDSIGELKHLRSLEVSDTEIIKLPDSICSLFNLQTLKLVGCYNLKELPKDIHKLVNLRYLDIRGTCLKWMPLQISELKNLQKLSDFFVGENHGSSISELGELCGLHGSLFIHDIENVVDYKDSEKAKLKEKHGLEKLSLDWGGRGDTDNSQHEKTILGSLQPHTNLKELDIYDYPGTEFPDWLGDYYFCNMVSLKLKGCKYCYKLPLLGQLPMLKELQIIKFEGVMSVGSEFYGNRTSVSTDSFPALEILRIESMSAWENWYSDADNVGTRAFCHLRELYIENCPKLTGNLPSSLPSLTLLVIRDCKRLLCPLPKSPSLRVLNIQNCQKLEFRVHQSLTSLYLIDSCDSLTFLPLDLFPNLKSLDIWGCKNLESLTVVSTIDATPPNFKSLNSMCIRHCPNFTSFPKGGFAAPKLNLLTINYCQKLNSLPENMHEFMPSLKELQLRGCPQIEASTMRPLRIRISNKFMEGKQNHSDPLFARLEGLVSVHSPSSS >CAK8574387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679420287:679421710:1 gene:gene-LATHSAT_LOCUS26740 transcript:rna-LATHSAT_LOCUS26740 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKESSRKREKTMLDQEPSSSNLISLFDEFVIDDSKKVGQKRGRVKADANVPKENVHSEIHPPSQWFSVYNTRKPMKQRYHYNLNDAKLLQHIEKGISDKLRISCVSCCSNLWAIIMDAETDYNAQVYKLSPLFLPKKWIMEQWSKNFYITSFAGSENRDSVVVMSKGMRYTQQAYKISRSFPKEWMNKKREQGFHVTSMGTAGNRWCIVVSRNTGFRDQVVELDFFYPSDVIQKRLDEGYMITATAASLAQSAVILSIPRNKCGDETQETLVTPMFPSAHLKEKWPKNLYLSHLCNGPTVC >CAK8569102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671218708:671220237:-1 gene:gene-LATHSAT_LOCUS21991 transcript:rna-LATHSAT_LOCUS21991-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLLLCFILLGGVLVSYTTHAIEIPPNVSFPAVFAFGDSIMDTGNNNNNMKTLAKCNFPPYGKDFKGGISTGRFSNGKVPSDFLVEVLGIKELLPAYLDPNIRPNDLITGVCFASGGAGYDPLTSQIASVISLSRQIELFKEYIGKLKGIVGVDRANFIIENSIYLVVEGSNDISNTYFLSHVRELQYDVPAYADLMLNAATNFLTEIYKLGARKIGVFSAPPIGCVPFQRTVAGGIERKCAEDINNLCKLFNTKLSKELSSLNHNLINSKIVYLDVYTPLLDIIVNYQNYGYKVGDKGCCGTGEVEASVLCNQLSTTCENASDYVFWDSFHPTESVYIKLVDSLVRKYLHQFL >CAK8569103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671218708:671220237:-1 gene:gene-LATHSAT_LOCUS21991 transcript:rna-LATHSAT_LOCUS21991 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLLLCFILLGGVLVSYTTHAIEIPPNVSFPAVFAFGDSIMDTGNNNNNMKTLAKCNFPPYGKDFKGGISTGRFSNGKVPSDFLVEVLGIKELLPAYLDPNIRPNDLITGVCFASGGAGYDPLTSQIASVISLSRQIELFKEYIGKLKGIVGVDRANFIIENSIYLVVEGSNDISNTYFLSHVRELQYDVPAYADLMLNAATNFLTRTVAGGIERKCAEDINNLCKLFNTKLSKELSSLNHNLINSKIVYLDVYTPLLDIIVNYQNYGYKVGDKGCCGTGEVEASVLCNQLSTTCENASDYVFWDSFHPTESVYIKLVDSLVRKYLHQFL >CAK8564709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7444907:7446091:1 gene:gene-LATHSAT_LOCUS17984 transcript:rna-LATHSAT_LOCUS17984 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNLTDSLPTLYHCRCMASSTKKRNPTIIDSLLHTLTFDLKIEILSRLPVKLLLQLTLICKSLNSIIFNPNFVQKHLSISTTRRLHLASYSSLFNLKSYPLQSLFTGITTNFTQLGFPFYNINTNVTDFYYIACSCHGILCLADHYQYTVVLWNPSVRKFKILPPFEYPKYGTKVHVNHGFGYDRVSGHYKVVVRYHKRSTGSGIHEDTTTVKVLTLGTDYWKTVPTFPFGTIFDFDAGKCVSGTINWLAYTKTYRVVQPFIVSFDLAKESFQKIFLPHHGRRDGCNLTLLVWKDCLGIICDHDVWVMKTYGVQESWTKLFSVSYLEDPRMSCILTKALYIFEDDKLLLELQEEKRRRKLIVYNPKNGTFKVSNFIRLPEVCVQSLLSPDII >CAK8534282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712421966:712422628:1 gene:gene-LATHSAT_LOCUS3838 transcript:rna-LATHSAT_LOCUS3838 gene_biotype:protein_coding transcript_biotype:protein_coding MACKPGWFRTFMCLYCTIYTVIFFFILLSIIFWIVISPSSVKFHVTDATLSEFNLTNNNNTLHYNFKLNVTVRNPNNNIIVYYRRITAIAWYKDNNFGFVSLTPFDQGHKNTTFLGPIVFNGNTKIKLGLKQLDEYSEETRLGIYNDLAVDFDIKIRAKFGSFYKSGRFNTPVVQCRRLRIPLVSASKGNSSSSSSTFSFSEKRCSSASFFTDRDADAGA >CAK8531594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127923411:127928749:-1 gene:gene-LATHSAT_LOCUS1373 transcript:rna-LATHSAT_LOCUS1373 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQNLTGQLVGSEIHGFHTLSDLDVGDTLEEAKSRWLRPNEIHAILSNYKYFTIHVKPLNLPKSGTVVLFDRKMLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGNEERIHVYYAHGQDCPSFVRRCYWLLDKSLEHIVLVHYRETQESQNSPYTPVNSNSSSISDPTTSWIPSEDLDSGTNSAFTNGGSLEQKLHEINTLDWDDLVASHVNTTTIPNGGGNEPHFYQQNQILMNGSVINVAGSPSAEECVNPQKNHAITLGCGDIMEDTLVNEGLQSQNSFGVWMNNAISDIPCSAEASALESSIASSGHDSFSSLPIDNNQQPSLPEQVFHLTEVAPAWASSTEKTKVLVTGYFHNDYQQFAKSNLVCVCGETSVPAEIVQVGVYRCWVMPHSPGFVNLYLSFDGHKPISQVVNFEYRTPILHDPTSSMEETYNWIEFRLQMRLSHLLFRTQKTIDFFSSKVSPTALKETKKFASKTIFISKSLQHFMKSSEANTSPFPQAKNTFFEITLKNKLREWLLERIVLGCKTAEYDAQGQGVIHLCTLLGYTWAITLFSWSGLSLDFRDKLGWTALHWAAYNGMEKMVATLLSCGARPNLVTDPTPQNPGGCTAADLAYMNGYDGLAAYLSEKCLVEQFNDMSLAGNISGSLQTATTDPVNPENLTEDQLYLKDTLAAYRTTAEAAARIQAAFREHSLKLRYKSVQFSSPEEEARQIVAAMKIQHAFRNFETRKTMAAAVHIQHRFRTWKLRKEFLHMRRQAIKIQAAFRGFQVRRQYRKILWSVGILEKAILRWRLKRKGFRGLEVNPVEDMINEKHESDVEEDFFKTGRKQAEERVERSVVRVQAMFRSKKAQQEYSRMKMAHSQAKLELELEDLLNSDVDMLTTKTA >CAK8531595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127923411:127928749:-1 gene:gene-LATHSAT_LOCUS1373 transcript:rna-LATHSAT_LOCUS1373-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQNLTGQLVGSEIHGFHTLSDLDVGDTLEEAKSRWLRPNEIHAILSNYKYFTIHVKPLNLPKSGTVVLFDRKMLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGNEERIHVYYAHGQDCPSFVRRCYWLLDKSLEHIVLVHYRETQESQGSPITPASSLGCGLTQSQNSPYTPVNSNSSSISDPTTSWIPSEDLDSGTNSAFTNGGSLEQKLHEINTLDWDDLVASHVNTTTIPNGGGNEPHFYQQNQILMNGSVINVAGSPSAEECVNPQKNHAITLGCGDIMEDTLVNEGLQSQNSFGVWMNNAISDIPCSAEASALESSIASSGHDSFSSLPIDNNQQPSLPEQVFHLTEVAPAWASSTEKTKVLVTGYFHNDYQQFAKSNLVCVCGETSVPAEIVQVGVYRCWVMPHSPGFVNLYLSFDGHKPISQVVNFEYRTPILHDPTSSMEETYNWIEFRLQMRLSHLLFRTQKTIDFFSSKVSPTALKETKKFASKTIFISKSLQHFMKSSEANTSPFPQAKNTFFEITLKNKLREWLLERIVLGCKTAEYDAQGQGVIHLCTLLGYTWAITLFSWSGLSLDFRDKLGWTALHWAAYNGMEKMVATLLSCGARPNLVTDPTPQNPGGCTAADLAYMNGYDGLAAYLSEKCLVEQFNDMSLAGNISGSLQTATTDPVNPENLTEDQLYLKDTLAAYRTTAEAAARIQAAFREHSLKLRYKSVQFSSPEEEARQIVAAMKIQHAFRNFETRKTMAAAVHIQHRFRTWKLRKEFLHMRRQAIKIQAAFRGFQVRRQYRKILWSVGILEKAILRWRLKRKGFRGLEVNPVEDMINEKHESDVEEDFFKTGRKQAEERVERSVVRVQAMFRSKKAQQEYSRMKMAHSQAKLELELEDLLNSDVDMLTTKTA >CAK8568403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:603032527:603038664:1 gene:gene-LATHSAT_LOCUS21362 transcript:rna-LATHSAT_LOCUS21362 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAALLTSAGINIVVCVVLFSLYSILRKQPSNVHVYFGRKLAARGPKNFDLSFERFVPSPTWVMRACDTTEEDLLDIGGLDAVVFSRMLVFSIRVFSVAAIICTILVLPVNYYGHERIHKDLPFESLEAFTIENVQEGSRWLWAHCLALYVISLTTCSLLYFEYKSITNLCLLHITELPPKPSHFTILVRGIPWSSEGSYSEAVKKFFTFYYPSTYLSHQIVYKCGAVEKIKDDAEYICKMLGDGFEHSCKPSIVQCYFCGGTNSFKIMANEADSIHSRTGLTDVHLVARKKECAAAFVFFKTRYAALMAARNLQATNPMLWVTDQAPEPRDIYWSNLCIPYEQLWIRKIFTWVASFTFVLLFLIPVTFAQGLTQLDKLEKMFPFLTDVLKKKFTVQLVTGYLPSVILVLFNIGVGPVMMLLSAVEGPISRSCRKRSACYKVLYFIIWNVFFVNVFAGSVISQLSVFTSITELPAQLAKAVPSQATFFTTYVLSSGWATLAFEIIQPFPLFCNIFQRLVLCSGQDSYNGTLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFFLAYIVYRNQILNVYITKYDGGGQLWPVAFNTTISSLLVTQIIALGVFGLKQSTISSGFTIPLLIGTVLFNQYCRQRFLPVFRNNAAQVFIDMDHRDANCRRMEEIYDQLHSAYSQFSTSSTQSGCFGYPGLKEHVQSRPIPGHREHVQSRPIPGHREHFQSRPIPFDLERGKESFKQDISWAIHR >CAK8562613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516037214:516041045:1 gene:gene-LATHSAT_LOCUS16098 transcript:rna-LATHSAT_LOCUS16098 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFQVQHTSSSTLPFTSSSSSLFTGESHPSTVRTLGFLDLPRTRTVAYNSVKCDMSEASNLVNGKPIVPVLPKFMESAKTEKTVNRNGSKLKLFSGTANPALSQEIARYMGLELGKVSIKRFADGEIYVQLQESVRGCNVYLIQPTCPPANENFMELQIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITKAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVILDYLASKTISSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHGVFSPPAIERLSSGLFQEVIITNTIPVSEKNYFPQLTILTVANLLGETIWRIHDDSSVSSIFQ >CAK8537350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:296181955:296182799:1 gene:gene-LATHSAT_LOCUS6646 transcript:rna-LATHSAT_LOCUS6646 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHNFAGCGVLIQAWGWSRMPRLSPLNPNPYHFPYATKWSAYGMNYEKNLHHCAPGYRTFFDHFEEDDFLRRPYLELEDEDPTESDMWSSTTFIFSFTYVEMHHSDRVKLQFGIKQDILGPPTCMERYHKSTANDQWKFDDWRDHNRQERHHWINRRRTVLRGNVMDTECKPSREYMTWYRSVTNLYLSQNRYLWDPRNQPTPSNFQNIPSMTCNTQPYIFNTPQQIFNTQ >CAK8567181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492853823:492854220:-1 gene:gene-LATHSAT_LOCUS20254 transcript:rna-LATHSAT_LOCUS20254 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAAADIRKMEEKMGLHDGGATNSSETVHETLKDPTLARTKGYVGESSTSQKKRKQTQCSTCRKFGHNKQTCSIPRHHANMTHSSHEDFHNIEFSDDSLHNDLD >CAK8543783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639637276:639637791:1 gene:gene-LATHSAT_LOCUS12519 transcript:rna-LATHSAT_LOCUS12519 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKVAFIRIDEDYIDMFLSPYENLSPQQQNKDMLMPLHQLPYNANTPFGFVRSQPLSFSSCDSNPSEYLLQSSTKSKEHWLKKLKHCRKFLLVQKLNSYKAYLKSLFTKTALNKPKYGENGVANQNRRSSSSSYFSIDLNSEMEDSILGAIAHCKQSQQGNGSNKDSHA >CAK8562085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439147450:439151107:1 gene:gene-LATHSAT_LOCUS15612 transcript:rna-LATHSAT_LOCUS15612 gene_biotype:protein_coding transcript_biotype:protein_coding MACNYSHDSTQQRSLMMLLLTVLVLSLSSRSCNSFGTFGLDIHHRFSDPVTEILGIDDGELLPRKGTPQYYAAMVHRDRVFHGRRLAGDYNSPVTFAAGNETHRIAAFGFLHFANVSVGTPPLWFLVALDTGSDLFWLPCNCTSCVRGLKTQTGKVIDLNIYELDKSSTRNSVPCSSNMCKQTQCPSSRSSCRYEVEYLSNDTSSSGFLIEDVLHLVTDNDQTKDVDTHITIGCGQVQTGVFLNGAAPNGLFGLGMENVSVPSILAQKGLISDSFSMCFGSDGSGRITFGDTGSSDQGKTPFNLRESHPTYNITITQIIVGGDAADHEFHAIFDSGTSFTYLNDPAYTLISKKFNSLVKAVRHSPLSPDSELPFEYCYDISPDQTIEVPFLNLTMKGGDDYYVTDPIVPVSSEVEGNLLCLGIQKSDNLNIIGQNFMTGYRIVFDRENMNLGWKESNCTDEVLSNTRPINKSHSHAVSPAIAVNPVARSEPSSNTGRFSPSQSFRMKPTFAYMVLLFSLIAIF >CAK8530577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32148318:32148998:1 gene:gene-LATHSAT_LOCUS432 transcript:rna-LATHSAT_LOCUS432 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVKSGDINMNSNGNTNGVVKEPHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGPKAKTNFPFHTENLKIHSPSQSSTVESSSRDRDRDAAADSSPPLDLNLAPPIKGLVRFPFPHRFTPIPAVNQAAFYFDTFQRAGTANSRPNKAYGFEYFPSVKTSVFHATTGAHSDSDSSSVIDLNHREEGVKAVRDFDFDLNFPPPEDML >CAK8563456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607410092:607410907:-1 gene:gene-LATHSAT_LOCUS16865 transcript:rna-LATHSAT_LOCUS16865 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSKFYAYLIICMLFISSATPILGCGYCGKPHKKHNPGKKPIVTPPTVKPPTVKPPTVKPPVTIPPITVPPVVPLPPLPVPPVVPLPHVPIPPVTVPPVVPLPHVPIPPVTVPPVVPLPHVPIPPVTVPPVVPLPHVPLPPVAVPPVTVPPVLNPPSTGGTPPKKGGGSCPPPSTTPTPSPSHETCPIDTLKLGACVDLLGGLVHIGLGDPAVNKCCPLLQGLAEIQAAACLCTTLKIKLLNLNIYVPLALQLLLACGKTPPPGYTCSL >CAK8542295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499144973:499146118:-1 gene:gene-LATHSAT_LOCUS11142 transcript:rna-LATHSAT_LOCUS11142 gene_biotype:protein_coding transcript_biotype:protein_coding MANTFYVSPSHLQIQHLCQHQQHKYLTSSPRPRPRHNLCFLSTQYRLFAFPQPRRPYFTLNALHSDPPHQRSVNFGRRNEIYEQWDSLTSKLSGAANFPFLLLQMPQILLNARNLMSANSSALFAIPWLGMLTSLLGNLSLLSYFAKKREKEAMLVQALGVLSTYVVILQLALAQSMPFTYFLATSLVVVSGLFLNFMNYFGLLNAPIWRFWEDFITIGGLSVLPQIMWSTFVPYLPNSILPGALSFVIAVFAVTMARSGKLSEEGVKFVGGISGWTATLLFMWMPVSQMWTNFLNPENMKGLSAFSMLLAMLGNGLMLPRALFIRDFMWFTGSTTLTENVHTIDITKVASWRVVELGAAFMILFSIIGKVGALLASIPQA >CAK8573091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582620580:582620954:1 gene:gene-LATHSAT_LOCUS25579 transcript:rna-LATHSAT_LOCUS25579 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNNKCGFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWALRNYGGKGWKSDLFRLALAKTLHELWMYQNDSCFNQRTDNRNCLDSIINNIVYRGWTSPKLRPHIARLLLP >CAK8538887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498877991:498883158:-1 gene:gene-LATHSAT_LOCUS8036 transcript:rna-LATHSAT_LOCUS8036 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLRNLLPRSFSSKTKSKSTSNPKSPSSDTENTPPTDPNIIQINNHETLPLTAKQSISKTSISTPLESDPSVKVVVRIRPTSNIGIGNETVKKVSSDTLCVGDRQFKFDSVFDSNTSQEDIFQSVGVPLVRNALAGYNTSILSYGQSGSGKTYTMWGPPSAMFEEPSPQSHKGIVPRIFQMLFSELEKEQRMSEGKQFNYQCRCCFLEIYNEEIGDLLDPTQRNLEMKDDSKNALSLENLSEEYVTSYDDVTQILIKGLSSRKVGATTLNSKSSRSHIIFTIVIESWCKGASTNGFSSSKSSRISLIDLAGQDRNKVDGAGRQCLRETKNVKKSLSQLGHVVDALTKETSSGKADVPNKNSCLTRLLHESLGGNAKLSVICSIYPDNKNNGETLRTLRFGQRVRSIQNEPVINEIKEDDVNDLSDQIRQLKEELIRAKADVRSSDGNKNGYFHVQNVRDSLNHLRVSLNRSLLLPNIDNDIDEEVNVSEEDIRQLREQIDEFYSSCEGNPIGISVSEDCVQYYSVEENCDADMSCGDEVEKGDECFGESFSKLCPKDSVASDGTLYASANYSSRAIRSSFTDSISVSSSYRSPMLLEEPQLTESPKIRNIQRKSVAFSSSCLSSNKPAEENSSSNQDLLGKSFTKDELMRSSLRSSKVFPGPTESLAASLKRGLQIIDCHQRNSSLNKSSNSFSFGHLSSGDSSEQTMQQKKYSIDERTATLLCGYCRKIIFDQDSNEVQGSLKSCNDTTEAGNLDGQTNKATKGLESILEKEMTREKELENVCKEQAARIDELNQLVEKLKGEKELNFIAVFGQEKSKQTENAEEDNNSLKDDEYKLLRATSSDSHLEEKCEIKEVREELPQRSISFDSTEKEELLKEIQNLRSKLQLCSEAPVKTSTDKLRSSLISRSIQLRKSGVFSPSNNGGEELEKERERWTEMESEWICLTDELRVDLEANRQRAERVEQELRLEKMCTEELDDALKRSVLGHARMVEHYVDLQEKYNDLVAKHNAIMHGIAEVKKAAAKAGKRGHARFAKALAAELSALRVEREREAKFLKKENTSLKIQLRDTAEAVHAAGELLVRLREAEHAASVAEDNFTKVQQDNEKLKKQMDKLKRKHKMELITMKQYIAESKLPDSALKQLYREDSDVANNNKDDDQAWRAEFGAIYQEHY >CAK8561873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:400130281:400135220:1 gene:gene-LATHSAT_LOCUS15424 transcript:rna-LATHSAT_LOCUS15424-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLQTHYTLSLPSPPPPSRFSNRNPNSNPYNFPPLIPTTPPKSQFQFPNNRSPPSTVSASRRDTSETPQLKLPLALVRVTLSAALFLCCGIRACSASSPPLTTTVVQQEQTIQDENDARKQDGSEKIDVDKELEASFNAWKSKTYALTVPLKVVALRDSIPPSWIKDFINSQGKRMSFNVKYYASLESIFSNLSIPFTKGNLGPTSALAADIVGIGDSWLKFAIQKAVIEPIQDVDGQEWFKNLAEKWKVYLRRNREGEMDPEGDVWAAPYRWGCMVIAYKTNNFQKYKLAPIEDWADLWRPDLSGRISMVDSPREVVGAVLKYMGASYNANDINLEVNGGRDAVKHNLALLAKQVRLFDSENYLKAFGVGDVWVAVGWSSDIIPVAKRMSNVAVIVPKSGASLWADLWAIPGASRIQTSQIGGRVRGPSPLIHQWIEFCLQSARALPFKQEVIPGASPPLLQGHSANVSLELTKGRPRLDTNLIDGAPPPNILARCEFLEPLSNSAVSDYHWLLDSIQKPGNGLIHRVRQYISSVVSFSR >CAK8561872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:400130281:400135324:1 gene:gene-LATHSAT_LOCUS15424 transcript:rna-LATHSAT_LOCUS15424 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLQTHYTLSLPSPPPPSRFSNRNPNSNPYNFPPLIPTTPPKSQFQFPNNRSPPSTVSASRRDTSETPQLKLPLALVRVTLSAALFLCCGIRACSASSPPLTTTVVQQEQTIQDENDARKQDGSEKIDVDKELEASFNAWKSKTYALTVPLKVVALRDSIPPSWIKDFINSQGKRMSFNVKYYASLESIFSNLSIPFTKGNLGPTSALAADIVGIGDSWLKFAIQKAVIEPIQDVDGQEWFKNLAEKWKVYLRRNREGEMDPEGDVWAAPYRWGCMVIAYKTNNFQKYKLAPIEDWADLWRPDLSGRISMVDSPREVVGAVLKYMGASYNANDINLEVNGGRDAVKHNLALLAKQVRLFDSENYLKAFGVGDVWVAVGWSSDIIPVAKRMSNVAVIVPKSGASLWADLWAIPGASRIQTSQIGGRVRGPSPLIHQWIEFCLQSARALPFKQEVIPGASPPLLQGHSANVSLELTKGRPRLDTNLIDGAPPPNILARCEFLEPLSNSAVSDYHWLLDSIQKPGNGLIHRKHQRLVDTV >CAK8542888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555777640:555779160:1 gene:gene-LATHSAT_LOCUS11686 transcript:rna-LATHSAT_LOCUS11686-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNAFRERRALALTLNDTKTAVNTIFPSQESLVTNLVLRRDQLEAFEIAKRSNTIAITDTGSGKTLIAIMLIKEIGQAVRSSGVKKIIVFLAPTVVLQYKNIKHNTNIQVEEYHGAKGVDTWKFESWQKEVRDNGAMVMTPQTLLDALRKAFPSIEMICLMVLDIGNCHHQISSFCELATCLGCIYIR >CAK8542887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555777640:555779160:1 gene:gene-LATHSAT_LOCUS11686 transcript:rna-LATHSAT_LOCUS11686 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNAFRERRALALTLNDTKTAVNTIFPSQESLVTNLVLRRDQLEAFEIAKRSNTIAITDTGSGKTLIAIMLIKEIGQAVRSSGVKKIIVFLAPTVVLVNQQYKNIKHNTNIQVEEYHGAKGVDTWKFESWQKEVRDNGAMVMTPQTLLDALRKAFPSIEMICLMVLDIGNCHHQISSFCELATCLGCIYIR >CAK8562234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459591025:459621442:-1 gene:gene-LATHSAT_LOCUS15749 transcript:rna-LATHSAT_LOCUS15749 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEEYEDARNVRKALINNNNNNAKSDSFYVVLCVLVVALGSIQFGFTCGYTSPAEEEMTRDLNLTISKFSLFGSLSNIGAMVGATVSGQIAGYFGRKGSLIVASVPNIFGWLAISLAKDTSLLYIGRLLDGFGVGIISYVVPIYIAEISPRTMRGKLGSVNQLSITIGIMVAYLLGLFFKWRVLALLGILPCAMLIPGLYFIPESPRWLAQNGMMERFESSLQSLRGPNADITMEAQDIKGSLVSNNTTDTVHLADLKRRRYWFPLMVCMGLLILQQLSGINAVFFYASKIFSSAGISSSDAATFGLGAIQVIVTAISTWLADRSGRRVLLIISCSVMTLGLLLVATAFYLQGVVANDSDLHRMMGMLSLVGLVVLVIGFSLGVGPVPWLIMSEILPLNIKGLAGSAATFLNWFTASLVTMTAKLLLKWSNGGAFTIYAFFAAFNLLFALLWVPETKDRTLEEIQASFRR >CAK8562235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459591025:459600536:-1 gene:gene-LATHSAT_LOCUS15749 transcript:rna-LATHSAT_LOCUS15749-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLGSVNQLSITIGIMVAYLLGLFFKWRVLALLGILPCAMLIPGLYFIPESPRWLAQNGMMERFESSLQSLRGPNADITMEAQDIKGSLVSNNTTDTVHLADLKRRRYWFPLMVCMGLLILQQLSGINAVFFYASKIFSSAGISSSDAATFGLGAIQVIVTAISTWLADRSGRRVLLIISCSVMTLGLLLVATAFYLQGVVANDSDLHRMMGMLSLVGLVVLVIGFSLGVGPVPWLIMSEILPLNIKGLAGSAATFLNWFTASLVTMTAKLLLKWSNGGAFTIYAFFAAFNLLFALLWVPETKDRTLEEIQASFRR >CAK8567113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488660847:488662697:1 gene:gene-LATHSAT_LOCUS20196 transcript:rna-LATHSAT_LOCUS20196 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHSHSALALKSQLFSLARKSPFITKKLHAQIIKSGLNQHDPFPKTLIDAYGKCGLLQDALKLFDALPHRDHVAWATVLSACNLSNLPYKALSISRSILNEGLQPDHFVFSSLIKACANLGDGYIKPGKQLHARFLLSPYSEDDVVKSSLVDMYAKFELPDYGRAVFDSIFTLNSISWTAMISGYARSGLKVEALRLFRQSPFKNLYAWTALISGLVQSGNATDALYLFVEMRGEGVSIADPLVLSSVVGACANSAVWELGKQMHCVVIALGYESCLFISNALVDMYAKCSDLVAAKYIFCDMRRKDVVSWTSIIVGTAQHGLAEEALALYDDMVLAGVKPNEVTFVGLIYACSHVGLVSKGRALFKSMIEDFGIRPSLQHYTCLLDLFSRSGHLDEAENLIRTMPVKPDEPTWAALLSACKQHGNINMAVRIADNLLNLKPEDPSSYILLSNVYAGAGMWENVSKVRKLMVVKEVKKEPGYSSIDLGKESQVFYAGEASQPMKDEILGLMRKLDAEMRKRGYVPDTSSVLHDMDQQEKERQLFWHSERLALAYGLLKAVPGTTIRIVKNLRVCGDCHTVLKLISTITSREIYVRDLKRYHHFKDGNCSCNDFW >CAK8566115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381432991:381434649:-1 gene:gene-LATHSAT_LOCUS19278 transcript:rna-LATHSAT_LOCUS19278 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKKSTKRSLTSEMDRNVRQMMKLIEDNGDSFAQKAEMYYQKRPELISLVEEFYRGYRSLVERYEPAAGDLWKNIPSDLQSQASGVSDNSSEPPPASPRKTGRRISITRAPGFDFFLGSGGNNNGYDSSCQKDGDAFSNMSDSDDEYDGASSINSYSGFFGNASDNGMTKRVIELEIELRAVQDKILVYEQQEQGHSEDGVKINGYEQELKNVNENMRLSQEKIHKLEIEVEKYNKSMDSLAYSDNDTCSRLGQGRSELDLCAIGTNELSSSTKEDLNTKRDIKFFEDELSLAKEKVENFEVQTASLKIETSKSNERHEQLQDQLNLAHKETDKWKTMFNSEKRDNIELQERVSRLKTSLVEREHEMIELQERVSGLKTSLVEKEHEIKDLKGILSESKQKNLFEKSKLKTKVCKLLEQHNHMEERLRDEIEMLKREIHERNDNIVDLNVRLGGLILERDNLNEEVGLLKEEMNSRGKEIEKANRHVVELESRAKELDDEIERHKIEILEGAEEKREVIRQLCFSLEHYRNGYNVFRKAFIGHNRFPLLTS >CAK8566770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461371331:461381903:1 gene:gene-LATHSAT_LOCUS19879 transcript:rna-LATHSAT_LOCUS19879 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVQDEQKVLEIDEEGQNRGTESEGNNSNNNKRINLEGQGEKDMQGNSIHRSSSRPQLDVSKAEIQSNVEDKYPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHEGQSDDDKRKKSLKERLGLSNGNRRSFPILGGRLHFVKFETRKINECLDFIHSKQLHRGGLESRYSDATANENAIIKATGGGAYKYADLFKERLGVSLDKEDEMNCLVAGANFLLKAIRHEAFTHMEGKKEFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQKGDNRTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISEKKELENYRPEDISLSLLRMISYNIGQIAYLNALRFRLKRIFFGGFFIRGHAYTMDTLSFAVQYWSNGEAQAMFLRHEGFLGALGAFMSYEEHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFLQKGTEITAPVPTTPVAGTTGLGGFEVPLSKGSALRSDASALNVGVLHLVPTLEVFPLLADPKLYEPNTIDLADPSELEYWLTILSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPSAYGKLGLANLLEMREECLREFQFVDAYRSIKQRENEASLAVLPDLFVELDSMDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMRRPWRVDDFDVFKERMLGSGGKKKAPHRRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTDSSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRSLHTNLYAEFKCDALKLAMVKNQRLAEKLIKGNIYDCICKYQPSS >CAK8566663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446761546:446761950:-1 gene:gene-LATHSAT_LOCUS19778 transcript:rna-LATHSAT_LOCUS19778 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTNVSYRFNINDSNTSVMQARRGFRQGDPLSPLLFVILMEYMNILLFRMQTNPDFNHHSKCENLSITDLAFADDVLLFSRGDYRFVELLIETFGQFSKMTGLIVNPIKCKVYFGGVDNNTRGKILRLTRFE >CAK8565608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292192922:292193521:-1 gene:gene-LATHSAT_LOCUS18805 transcript:rna-LATHSAT_LOCUS18805 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRVFLFVLLGALVCSSIDARKLGSVKSLRDEKNFYHPGFGGGAGAGGGGGFGGGGGTGGGLGGGSGGGFGVGGGSGGGLGGGGGFGGGGGSGGGIGGGSGSGFGAGGGSGGGLGGGGGIGGGGGGGFGGGGGVGGGSGFGGGSGFGGGAGGGNGFGGGSGGGFGGGGGSGGGLGGAGSGGGFGGGAGGGVGTGFP >CAK8571764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470756910:470757313:1 gene:gene-LATHSAT_LOCUS24397 transcript:rna-LATHSAT_LOCUS24397 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYSSSQNPEYVHSNIASCLGIPENNVRVITRRVGEGYGGKSMKFIAGAVSCALAAHKLQRLRLRLHRRLRLHRWLRLHHLL >CAK8568439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606068219:606074675:-1 gene:gene-LATHSAT_LOCUS21395 transcript:rna-LATHSAT_LOCUS21395 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQGSKLAGLVQNASVKRDGSSLDRVPVYVKELIAGGFAGALAKSSVAPLERVKILWQTRTGGFHNLGVYQSMNKLLKDEGFLGLYKGNGASVIRIVPYAALHFMTYERYKSWILNNYPILGTGPSIDLLAGSAAGGTSVLFTYPLDLARTKLAYQLVDTKVCIKDGIKGVHSQPIGPVHNGIKGVLTSAYKEAGVRGLYRGVGPTLTGILPYAGLKFYTYEKLKMHVSEEHQKSIFMRLSCGALAGLFGQTLTYPLDVVKRQMQVGSLQNAANGDARYKNTLDGLRKIVRNQGWRQLFAGVSINYIRIVPSAAISFTTYDMMKAWLDVPPQQRSKSVSAG >CAK8544167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670268942:670269265:-1 gene:gene-LATHSAT_LOCUS12869 transcript:rna-LATHSAT_LOCUS12869 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSASETMGDKLHRREGNSPDHQLRPLNDRSVIKEVGVHRQPGGLPRSSHPSKSA >CAK8534481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731640394:731642928:1 gene:gene-LATHSAT_LOCUS4024 transcript:rna-LATHSAT_LOCUS4024 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTTNDVGKERADLYKGRVTPYVIIACIVAAIGGSLFGYDIGISGGVTSMDDFLEEFFPEVYMQKKHAHENNYCKYDSQSLAAFTSSLYIAGLVASLFASPITRKYGRRISIIAGGVSFLIGSILNAAAVSLAMLILGRVMLGIGIGFGNQAIPLYLSEMAPTHLRGGLNMMFQVATTLGIFAANMVNFGTQNIRPWGWRLSLGLAAVPALLMMIGGIFLPDTPNSLIERGLKEEGRKLLEKIRGTAEVDAEFQDMVEASELANSIKHPFRNILEKRYRPELVMAIIMPTSQIMTGINSILFYAPVLFQSMGFGSHASLYSSALTGGVLACSTFVSIATVDRLGRRVLLISGGIQMIICQTIVAIILGIKFGENQAMSRVYSILVVIVICLFVVAFGWSWGPLGWTIPSEIFPLEIRSAGQSITVAVNLLFTFIIAQAFLALLCALKFGIFLFFACWIVIMTVFVMLFLPETKGIPIEEMAYMWQKHWFWKLILPQNEL >CAK8567312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502943082:502943666:-1 gene:gene-LATHSAT_LOCUS20376 transcript:rna-LATHSAT_LOCUS20376 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYANFSCELRIIQARNIEFIKSTKNLFVRIYVPIGNNKRIQLNSKNVSAKFGPFWNESFNLECSCPQEFLQNLNQQSLVLELRQRKMWGSQLIGKCEIPWKVILQSQNMELTKWLKIDLVSGSDCKEVMLTAPEVEVEIKVRVSSVAEMEKQNKMKFNNWNECGCKNSHDHNVWCNAEDCDMFALGAALEAF >CAK8570553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59495059:59496579:1 gene:gene-LATHSAT_LOCUS23293 transcript:rna-LATHSAT_LOCUS23293 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSPFISLPFPKAKKLSSLYFLQLADNQIMSNTMNEYPNFFKVFLPEKHSDRMLIPVAFVKLMISKQNVLRDYIFRDQRGRDWKVKARPIDGKLYFDDGWKRFKDENSLEQNDFIVFTHIENNVFKIKILELSSRCEKIKLMDGEENNDDMREVGVGHDDDDNVVDDDDDDDYDYDDEGDDEVSRNEYQHCRICKSWGIGSSSTVRKLDVDEIDAEMYIQPGNPYFFPKYYHYRPNELHIPKKVVKDFCLCFTKHVNLVCCNCKDIESNEIASYHETLPTMTTKHRKKRGEIRIWKNGRVFALGWANFCAKYKIKESDSCLCEIVLHEEKEIKMIRVHVIRKIMKE >CAK8570554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59495289:59496579:1 gene:gene-LATHSAT_LOCUS23293 transcript:rna-LATHSAT_LOCUS23293-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTMNEYPNFFKVFLPEKHSDRMLIPVAFVKLMISKQNVLRDYIFRDQRGRDWKVKARPIDGKLYFDDGWKRFKDENSLEQNDFIVFTHIENNVFKIKILELSSRCEKIKLMDGEENNDDMREVGVGHDDDDNVVDDDDDDDYDYDDEGDDEVSRNEYQHCRICKSWGIGSSSTVRKLDVDEIDAEMYIQPGNPYFFPKYYHYRPNELHIPKKVVKDFCLCFTKHVNLVCCNCKDIESNEIASYHETLPTMTTKHRKKRGEIRIWKNGRVFALGWANFCAKYKIKESDSCLCEIVLHEEKEIKMIRVHVIRKIMKE >CAK8561511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:261280012:261280594:-1 gene:gene-LATHSAT_LOCUS15096 transcript:rna-LATHSAT_LOCUS15096 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHIDASASATEPVRYPRGPYDTSLLVKYEHHIVRHVWFGEVKRGSKKELKVAGHGLKLIQRVPLQLSREMEGWISRSGLPSLQRTSLTKIDTNLVSVFAERWHLETFSFHMLFGEMTITLDDVSCLLHLSIRGVLWSPQDINEGLAIEWVVDYLGVSQRVA >CAK8564160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654725230:654725814:1 gene:gene-LATHSAT_LOCUS17489 transcript:rna-LATHSAT_LOCUS17489 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMQIYATAAKLFKSCAHEYESRQEMATAALAYKCTEVAYMRVVYCEHSSTNRDRCELQSTLQVVSQGEPLSSSTSDIDNLNNQVAMDKAAILPKVTNAHVAGNHVISVRTRPSLVRLLDFTQDINFAMEAATKCHSTFSAANAKMEETRNRDCITSIKRVIDFSFQDVDELVRLVRNATKAISGAGLGGARD >CAK8569462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1946201:1948492:1 gene:gene-LATHSAT_LOCUS22311 transcript:rna-LATHSAT_LOCUS22311 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFKSLQIILLLIFCSRQTTAQTKNTYIIHMDKSTMPETFTDHLNWFDTSLKSVSETAEILYTYKHIAHGFSTRLTHQEAETLSNQPGILSVIPELRYELHTTRTPQFLGLPQTNTLLPQSKQQSQVIIGILDTGIWPEIKSLDDTGLGPIPSSWKGACETGNNMNSSNCNKKLIGARFFAKGYEAALGPIDETTESRSPRDDDGHGTHTLTTAAGSVVAEASLFGLASGTARGMATQARVAAYKVCWTGGCFTSDIAAGMDKAIEDGVNILSMSIGGSLTEYYRDIIAIGTFTAMSHGILVSSSAGNGGPSAESLSNVAPWITTVGAGTIDRDFPCYITLENGKNYTGASLYNGKPLPDSLLPLVYAGNVSNSAVGYLCIPDSLTKSKVFGKIVICERGGNSRAEKGLVVKNAGGIGMILANNEEYGEELIADSHLLPAASLGLKSSNILKNYVFTTKNPKAKLVFGGTHLQVQPSPVVAAFSSRGPNSLTPKIHKPDLIAPGVNILAGWTGSIGPTGLTTDKRHVSFNIISGTSMSCPHVSGLAAIVKGAYPEWSPASIRSALMTTAYTSYKNGETIEDVATGKPATPFDFGSGHVDPVSALDPGLVYDINVDDYLGFFCALNYTSSQIKIAARRDFVCDRKKNYRVEDFNYPSFAVALETASGIGGGSDKPVTVEYSRVLTNVGAPGVYKASVVLSAVDSSSVKVVVVPETIRFGVNEKKGYTVRFTCGSMPSGTKSFGYLVWSDGKHKVSSPIVFSWT >CAK8543053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572261433:572267362:-1 gene:gene-LATHSAT_LOCUS11840 transcript:rna-LATHSAT_LOCUS11840 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEPFSQSETETYLAGFVMANIVGIKHYSGAITGREMVGLLREPFNPYDSNAIKVLNTQSLQVGYIERSVAAVLSPLIDASLIHVEAIVQTNPRSNNNSRFRIPCQVHVFAHFSAFDAVHDAFSDSPVHFISHSDPSFTLSNAAAVKETRAEFAESTATKKTSNKNLDQIFKLVRENLASKNQIHEPLNPPSNIIKSELLQHQKEALGWLFHRESSEDLPQFWEEKEGSFVNVLTNYQTNTRPEPLRGGIFADGMGLGKTLTLLSLIAYDKMKMKGGKKRGRNVVETNATLIVCPPSVISTWITQLEEHTNRGAMKVYMYYGDRRTQDAEELRKYDIVLTTYSTLGAELQWPDTAVKKLGWRRIVLDEAHMIKNVNAAQSQAVIALNAKRRWAVTGTPIQNGSYDLFSLMAFLHFEPFSIKSYWQSLVQRPLNQGKQTGLNRLQVLMAAISLRRTKDTALVGLPPKIVETCYVELSREERKLYDEVKEEIRSLVNNDRLVYSYSTILSMTLRLRQICADLSMCPSDFKSCLFSSTEIEDVSENPELLQTLIGMLQDCEDFDCPICLSPPSDVVITCCAHIFCRECILKTLQRSNSSCPLCRHSLSESDLFSVPSLKTDTTEPCTPEVRSSTKVSTLIKLLTESRDQNPATKSVVFSQFRKMLPLLEEPLKAAGFKTLRLDGAMNAKQRAQVIEQFQLSEVDEPMILLASLRASSTGINLTAASRVYLMEPWWNPAVEEQAMDRVHRIGQKEEVKIVRLIAKNSIEEKILMLQEKKKDITSRGSGRRSKDVVGMGIEDLRFVLGE >CAK8530960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66338709:66341663:1 gene:gene-LATHSAT_LOCUS784 transcript:rna-LATHSAT_LOCUS784-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEALRAKDIAEKKMESKDFLGARTFINKAQKLYPDLENIAQMLVVCDVHCSAEQKLQGTTNVVDWYKVLQIDRNDNDAIIKKQYKKFALQLHPDKNKFAGAESAFKLIGEAQRVLLDREKRTLLNMTLSKFSMSRTAMPSHQRSVPVNFNPVMQTNFRPIFKNINPQQPPPPQPQQSKQPTQQGLNGGVQTTFWTMCSFCSVKFEYYRVVLNRSLRCQNCSKPFVAYEVDAQGTKPATKVDLQGTKPATNSSRKASSHQNSTPHPGTFKVDVESQGGLHAQRSNKEPHNKKGSTSNVSVKPNGKRKRKHVVHSSESSESIGSTDSESEDDSFFSNGFPGVSTSREERPRRSTRQKHQVSYNENVSDDEGEWEPSKQGNESASPCSDRENNEETETNDQNGLAAGLKDDLKGVKQQKQKNNSEESLKNIDVKIREVGGKETAGSSKIDEVSEHSDSKSSNHSDGFVYPDPEFSDFDKDKKEGCFTPGQIWAVYDDIDGMPRFYALIKKVSSPGFKMQITWLEADPDDEDEQKWIEENLPSACGKYKLGKTVTIKDQPMFSHLTLWERISRDTFKVHPRKGETWALFKNWDIKWYMDAESHQKYDLEYVEILSDYVEGAGVIVAYLAKLKGYVSLFSRITNGGNQPFQISPAELFRFSHRIPSFKMTGQERAGVPEGSYELDPISLPIEEIGAPDDLEANVASSAKVNLERSNSAEEKKDPVNHIDDVGAPSASVQDSFEVPDPSFYQFDGERSHEKFEAGQIWAFYSDEDELPKYYGQIKGVRRIGPKIELEVFYLTDCRLPKKVIRWDDKDMIISCGRFKIKPSAKLCTYNNTNSVSHLVHASSVGNNKEYEIFPRKGEIWALYRDWTTKIKRSDLKNWEYDIVEVIEDGDTWTDVLFLEKVSGYSSVFKGKLNGGGSTKTMTISMNELLKFSHKIPAFKLTEEHGNNLKGFWELDPGAIPRHYLSKE >CAK8530959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66338709:66341663:1 gene:gene-LATHSAT_LOCUS784 transcript:rna-LATHSAT_LOCUS784 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEALRAKDIAEKKMESKDFLGARTFINKAQKLYPDLENIAQMLVVCDVHCSAEQKLQGTTNVVDWYKVLQIDRNDNDAIIKKQYKKFALQLHPDKNKFAGAESAFKLIGEAQRVLLDREKRTLLNMTLSKFSMSRTAMPSHQRSVPVNFNPVMQTNFRPIFKNINPQQPPPPQPQQSKQPTQQGLNGGVQTTFWTMCSFCSVKFEYYRVVLNRSLRCQNCSKPFVAYEVDAQGTKPATKVDVQGTKPATKVDLQGTKPATNSSRKASSHQNSTPHPGTFKVDVESQGGLHAQRSNKEPHNKKGSTSNVSVKPNGKRKRKHVVHSSESSESIGSTDSESEDDSFFSNGFPGVSTSREERPRRSTRQKHQVSYNENVSDDEGEWEPSKQGNESASPCSDRENNEETETNDQNGLAAGLKDDLKGVKQQKQKNNSEESLKNIDVKIREVGGKETAGSSKIDEVSEHSDSKSSNHSDGFVYPDPEFSDFDKDKKEGCFTPGQIWAVYDDIDGMPRFYALIKKVSSPGFKMQITWLEADPDDEDEQKWIEENLPSACGKYKLGKTVTIKDQPMFSHLTLWERISRDTFKVHPRKGETWALFKNWDIKWYMDAESHQKYDLEYVEILSDYVEGAGVIVAYLAKLKGYVSLFSRITNGGNQPFQISPAELFRFSHRIPSFKMTGQERAGVPEGSYELDPISLPIEEIGAPDDLEANVASSAKVNLERSNSAEEKKDPVNHIDDVGAPSASVQDSFEVPDPSFYQFDGERSHEKFEAGQIWAFYSDEDELPKYYGQIKGVRRIGPKIELEVFYLTDCRLPKKVIRWDDKDMIISCGRFKIKPSAKLCTYNNTNSVSHLVHASSVGNNKEYEIFPRKGEIWALYRDWTTKIKRSDLKNWEYDIVEVIEDGDTWTDVLFLEKVSGYSSVFKGKLNGGGSTKTMTISMNELLKFSHKIPAFKLTEEHGNNLKGFWELDPGAIPRHYLSKE >CAK8531029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70895897:70902200:1 gene:gene-LATHSAT_LOCUS846 transcript:rna-LATHSAT_LOCUS846 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMRKSMEEVTKEAQRKGSDPFVWAVQMYSSLNSSGESLPSSQLAEFLVSYICWDNNVPILWKFLDMALIHNIVPPMFLLALLSARVIPCRHVQPAAYRLYLELTKMHAFELKSLVERPDYPKVMKSIDGVLRLSQIFGVSQSEPGNLVVEFIFSIVWQLLDASLGDEGLLEFTPDKKCKWAMIYQEMELDGHNNYIDTEHKERLRHTNTLIAIELIGRFLQDKTSSRILCLARKNLPAHWRSFVQRLQLLGANSSALKKSKTLTPDMLRHLTSDTCMVLSKQYKTTSQQKFSKVMDFEYLSSSASLFHGATHSALWIPLDLVLEDTMDGYQVSATSAVEEISGLIKTLRAINGTSWYDTFLGLWFASLRLVQRERDPIEGPMPHLDTRLCMLLCIIPLVVANLVEEEEEEQMPVDENVYDRTDHRKEKRVPGKCRDDLVSSLQVLGNYQSLLTPPQSVTAAANQAAAKAMLFISGVTIGSAYFDCLTMAEMPVDCSGNMRHLIVEACIARNLLDTSAYEWLGYVNGQINELPQCMPTQVPGWSSFMKGAPLTPVMVNALVSSPATSLAELEKIFEVAIAGSEDEKISAATILCGASLIRGWNIQEHTVHFILRLLSPPVPVDNSEGNNYLINYAPILNALFIGIAPVDCVQVFSLHGLVPQLACSLMPICEVFGSCMPNISWKLTSGEEISAHAVFSNVFILLLKLWKFNCPPLEHGIGDAPTVGSQLTPEYLLLVRNSHLLSAGNNRKDSNRRRLSEIASLPSPNSVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPFHQIVEGLLNMMFKKINRGNQASIASGSSSSSGPGNDDASTGPKLPAWDILEAIPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLQNVEEQIKKILAETGVDVPSLTTGDSSPATLPLPLAAFTSLTITYKVDRSSERFLHLAGQTLEGLAAGCPWPCMPIVASLWTQKARRWSDFLIFSASRTVFLHNSDAVVQLVKSCFTATLGMSSSSISCSGGVGALLGHGFKSNLSGRICPVAPGILYLRAYRSVRDIVFLTEEIVSILMQSVREIVCSGQPKQPPLKKFKPTKDSAKYGHVSLASSMTKVKLAAALGASLVWISGGLALVQLLINETLPSWFISNHRPDQEEKSNGMAGMVAMLGGYALAYFAVLCGAFAWGVDSSSSASKRRQKVLGTHMEFLASALDGKISLGCDPATWRAYVSGFVSLMVSCTPNWVLEVDVLVLKRLSKGLRRLNEEELALTLLGAGGVGTMGAAAELIIDTDI >CAK8539672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521099160:521100753:-1 gene:gene-LATHSAT_LOCUS8750 transcript:rna-LATHSAT_LOCUS8750 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFVLYIIFILLVLITTKLLLFSTKTFKKLPPNPPSLPIIGNLHQIKQPIHHYFHNLSQKYGPIFTLKFGSQLVAVVSSASLAEECFTKNDIIFANRLRTIRTRYLGFNSTNVITTSYGDHWRNLRRISSIEILSNYRLNSFSDIRKDETMRLIQKLAENSQNNFTKVKLRTLFSELTFNTIMRMVCGKRFYGNESDEAKKFRDVMNDLREYGLDSHLGDFVPLFRLFDFSGAHNKLKKVGEKMDALFQGLVDEHHKDGKENKNTMIDHLLSLQQSQPDYYSDQIIKGLIMALIVAGTETSSITLEWAMANLLNNPEVLEKAKVEMENYIGEERLIEEGEATKLRYLQNIICETLRLHPAAIMLLPHVSSEDCTVGGFDVPCNTMLSVNVWAIHRDPDLWDEPTRFKPERFEGNRQADMHGFMPFGMGRRACPGSGLALRTLGLTLGLLIQCFEWKRIGEEEVDMTEGRGTLVPMAVPLEAQCKARPIINKIFSS >CAK8565010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24901132:24904938:1 gene:gene-LATHSAT_LOCUS18252 transcript:rna-LATHSAT_LOCUS18252 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGTAFLSATVQTLVEKLASKEFLDYITNTKLDLSLLNQIRLTLLTLQPLLDAAEEKQISTPSVKDWLDGFKDAVYDAEDLLNHISYDSLRCKMESTQDGSKTKQVWNILSSSFRNIYGDINSQMKDMCETLKLFAQNKDILSLQTKSVRVSHRAPSTPMVNESVMVGRKDDQEKLINMLLSETNTCMDVLAIVGMGGLGKTTLAQLAYNDENVQKHFDLTAWACVSEDFDVVRVTKNLLESVTKKPWETNNLDLLRVELKKNLNGTRFFIVLDDLWNHNHCDWEELVSPLIYGKTGSKVIITTRNKKVADAARTFPIFELDPLSEEDSWFLLSKIAFGSGDFSETQQQNLEAIGRKIARKCGGLPIAAKTLGGLLRWKIDTKEWIDVLNDDIWNLENDTILPALRLSYQYLSSQLKRCFSYCSIFPKDYPLDRKQLVLLWMAEGFLDHSQDRKTMEEVGDECFAELLSRSLIQQLHDDSRGQIFVLHDLVNDLATAVCGKSCYRLEFGAKSYENVRYLSYNKEKYDIFKKFKTFDKFKRLRSFLAIHFVWEEYNLSRNTVNYLLPTFQRLRVLSLSNYGNIITLPVTIGNLVQLRYLNLSRTDIASLPDTICNLYYLQTLILSWCSKLTELPEHVGKLINLRHLYIDRTSIIEMPKQIAELENLQTLNVFVVGKKNIGLSVRELGKFPKLRGKVVIKNLQNVIDVMEASVTNLKSKEHIEELTLQWGEETDDTLNERNVLDMLQPSANLEKLSIISYGGTSFPSWFGDPSFSNMVSLSISNCVNCMTLPPLGELPSLKDLNICNMPILETIGQQFYGMVAGGSNSSFQPFSSLEKLVIQDMSNWKEWHPFPDSMFPFPCLKTLWLYGCPKLQGHLPNHLPSIEKIEIYGCDHILATPPTQHWLSSIKYIDISEDLTESNTERTQCLLLENDSPCLLQDISIRSCHMLKSVPKMIINSTCLRRLTLHGISSLTAFPTNGLPTSLQSLYIIDCENLIFLSPEKWSSYTSLVSLHLLRSCNALTSFPLNCFPMLQDLSIRKCRSLESIFISETSCSPSTLKRLRIEDCEALRSLPQRMDTLTALEWITLCNLPNLNLSLCEGAFLPPNLQRIHVDSVRITKPVTEWGLRCLTALSSLEIGGDDIVNLLLKEPLLPISLVSLELQSLSEMKSLEANGLRHLSSLEHLHILDCPGLVSLPEKAFPSSLKTLSFRNCPRLESPEDSLPTSLEKLAIIRCPLLEERYKRNEHWSKIAHVPVIKINDQITI >CAK8543183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585682522:585683073:1 gene:gene-LATHSAT_LOCUS11958 transcript:rna-LATHSAT_LOCUS11958 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWSSVKIDELLPPLYKKGHERPKKLRFRELGEGGSRMRRVGVTYRCTKCDKIGHNSRKCKVTIQNLDALKIKMKAPRKKYGVAPVVDQGDAPNAEQGDASVVNQLDVPVADQEDAPVAQQGDVPVAEAQIYDTTAIPKEMNKLKGKKKSETC >CAK8576804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516011480:516015896:1 gene:gene-LATHSAT_LOCUS28970 transcript:rna-LATHSAT_LOCUS28970-2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQASPTFHFSLCPCNNNNAFPSNFPLSKTMKKRFISNYYSYHKFRRKKLSIHSSSIRKQGFNSLNASSTARGAKSFLLDELEESKLQDSQVQLGSNFTTFQEDPIVDKLRTQLGVIHPIPSPPINGNIAGLFVFFFFVGVVFDKLWTFRKRRNKVSSSEDSFRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGLENWVIGLLQPVIDDLEKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPWVGAASWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPNKIVLDFQKGKAVGPVTVGPVADGVKDGEMQEGNMDSVGELSVTLVDARKLPYLFGKTDPYVILSLGDQTIRSKKNSQTTVIGPPGMPIWNQDFHMLVSNPKKQKLNIQVKDALGFADLSIGTGEVDLGSLQDTVPTDRIVVLQGGWGFRGKGSSGEILLRLTYKAYVEDEEDDKTGEDLIDIDASDDELSDTEEANVIDPKGVRDSMYQTDKESFMDVLAAIIVSEEFQGIVTSEAGFTKGSENGSNTASKVSKSPVANVESTPSSSDNSEGSGGSALFWLAVITCMALLIAVNISGSSIFNP >CAK8576803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516011480:516024136:1 gene:gene-LATHSAT_LOCUS28970 transcript:rna-LATHSAT_LOCUS28970 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQASPTFHFSLCPCNNNNAFPSNFPLSKTMKKRFISNYYSYHKFRRKKLSIHSSSIRKQGFNSLNASSTARGAKSFLLDELEESKLQDSQVQLGSNFTTFQEDPIVDKLRTQLGVIHPIPSPPINGNIAGLFVFFFFVGVVFDKLWTFRKRRNKVSSSEDSFRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGLENWVIGLLQPVIDDLEKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPWVGAASWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPNKIVLDFQKGKAVGPVTVGPVADGVKDGEMQEGNMDSVGELSVTLVDARKLPYLFGKTDPYVILSLGDQTIRSKKNSQTTVIGPPGMPIWNQDFHMLVSNPKKQKLNIQVKDALGFADLSIGTGEVDLGSLQDTVPTDRIVVLQGGWGFRGKGSSGEILLRLTYKAYVEDEEDDKTGEDLIDIDASDDELSDTEEANVIDPKGVRDSMYQTDKESFMDVLAAIIVSEEFQGIVTSEAGFTKGSENGSNTASKVSKSPVANVESTPSSSDNSEGSGGSALFWLAVITCMALLIAVNISGSSIFIP >CAK8564930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15861685:15862371:-1 gene:gene-LATHSAT_LOCUS18180 transcript:rna-LATHSAT_LOCUS18180 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEAFSTPNLVQHNVRQKTDIAWTHCTKSPDGKTLVCIYYHKAFGGGGIHRVKQHLDGVVGNVEICKSVPTKIRFRMNQCLNERSKKRKTPYVAESESFSAKEGELQIQMHPRIGASKKNDARIGTYFLPRTTPGAQPTLKSVMQIKEVVEKCDIAIAKWFIDASIPFNAANSPYFQPAIDSLCCMGAGYKVPTMHALRGNLLNKWVDDVKIQLEQ >CAK8571608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447925289:447930719:-1 gene:gene-LATHSAT_LOCUS24251 transcript:rna-LATHSAT_LOCUS24251 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFVSYIALYFLFSFTLLPKFHCAEKTFAPSFYTDEIYKELQNIAILLNKDIKSSLGFCIKDPKKDWEEAFDFTGKLDFVESCVKKKGDFRDRICTAAEIRYYFHGFLVQGAATDNYVKPNKNCNLTSWVSGCEPGWGCSTGYEIDLKKDTNEIPKRTKECKPCCEGFFCPQGLTCMIPCPLGSYCPIAKLNKTSGLCDPYSYQIPPGEPDHDCGGADIWTGVVNNSDIFCSPGSYCPTTTRKVSCDRGYYCRMGSTHQNPCSKFSQCNPNTTNQNMHAYGALLIVALSTVLIFIYNCSDQVLATRERRKAKSREAAARQVRETVQARERWKIAKDAAKRNKAGLQDQLVRTFSRKKSTKQVEQANPATDNSVLPPMPPEQPSPATKGQSKEPSNLTKMLNSLESDPNSNEGFNLKIGDKNIKKQLPKGKNLHTQSQILRYAYGQIEKEKAQQDKNHLTFSGVISMAADGEEIRSRPEIEVAFKDLTLTLKGKRKHVMRCVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKIRGCTMTGSILINGKPESIHCYQKIIGYVPQDDIVHGNLTVEENLRFSARCRLSDDLPKPDKVLIVERVIETLGLQAIRDSLVGTVEMRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSTLLLKALRREAAEGVNVCMVLHQPSYTLFRTFDDIIFLAKGGLTAYHGPVKKVEEYFAGIGIVVPDRVNPPDHYIDILEGLVKPNEGVTYQQLPVRWMLHNGYPVPPDMLHFADEISASSSSTNITHATKGTDEATDQSFAGEFWQDMKSNVQLRKDNIEATFLRTKDLSNRRTPGISRQYRYYLGRVGKQQLREAKSQAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVIAVSLLCKIAALRSFSLDKLQYWRESASGISSLAHFLSKDTIDLFSTIVKPLIYLSMFYFFSNPRSTFGSNYMVLVCLVYCVTGMAYALAIYFEPAPAQLWSVLLPVVMTLISNQTRDTLFMKILIKMCYPNWALEAFIIANAERYTGVWLITRCNSLMNSSYNVNEWPKCLAVLILYGIVARIVAFICLMVTQKK >CAK8531527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121023284:121028139:1 gene:gene-LATHSAT_LOCUS1311 transcript:rna-LATHSAT_LOCUS1311 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSQQKNGINHRKGVSGGVLPGMKGHEGGQVKVFPAEELVNGDRDLSQKACESSSAGDGNINERKSEKSSRKDKQGMNAKHGLEESQSFGSNSENGSENGEVPIQEGKFPRSNQAQQSIKSRLSHLVEGLQLRSMVENMELADHAAIRRLRLSVFSIFTAVMEWLTRQKPLFVSVRTTVLEAYASFRTKFKQAYPVVLTWLMHFGNIILLLSLFWLDCAIRGIDSFVRMGTTSFFSVIWCSIFSVISMIGMLKFLVVLGLATLIGFFVGFVLAILVVAIIGVVMLWLYGSFWTTAFFVILGGLAFMLRHERVALLITTIYSVYCAWLYVGWLRLFLALNLAFISSDVLVYFLKKNIDQQSRSNPFEQRAGMNGQPGFRNDESMPASSSENGPSTDRNAGVPSTSGVDSDVTSEDEVVRLLNCSDHYAALGFLRYQSIDVSVLKREYRKKAMLVHPDKNMGNEKAVEAFKKLQNAYEILMDSLKRKAYDDELRREEILNVFNRFHNAPRRNSRPGFFSSGFSHSDADGEDPFGESRRIACKRCGGFHLWIHTKKQKSRARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLMQKVDSPSAYVCADSKIFDATEWYICQGMRCPANTHKPSFHVNTSIMTKHSSAKGTSSSTPKGGRMPTPPNMPAPNFEETMTEEEFVEWLQNAVQSGVFDNMNGGTATESPSAKSGNGMKSPASGNGVGSGSKKKRKGKKQW >CAK8576467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481093625:481093843:-1 gene:gene-LATHSAT_LOCUS28650 transcript:rna-LATHSAT_LOCUS28650 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEAYSALLLAFLSTESKSIRESIADNLPDHNLASLVPVLDRFVEFHLSLDIISPETHKTVSEVIESCRIR >CAK8560992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69412940:69414892:-1 gene:gene-LATHSAT_LOCUS14622 transcript:rna-LATHSAT_LOCUS14622 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSKREEEDDDLFLPVPPDLVHPPHQILPSSPPPPPPDPSSFSLSEIVLFPSPSSSDSPPSHSSGESPPPSHQLTATTTTTTTSSTTTTEPFFISPDPHLSSQFYTFNPDSHSLMINCLLQNRLATPSEIRAATPRAVLKSWRTVWKDRNEETAYLTAWKRIQDKLTARVDQNGNHFLCFKNNTNQFVSHTNQWQDIVMNFHSDADLKHLGVKDTVDRIKQVWTVGAKFYGIPESYIRVCIAACSVCSGAASGSNLTDAAAAARNKRRRFEYTESFDVPAKEVPSRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGQPAAAKKSKILKREPYASKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGYLMDQEADVYGVSEEMDNEGFGLMGKDEGDLQFSVLQQVQELRVEVGMLEGRVSKIPQELLGSVSRDLFDVVNRIRSIGEVGLKPMGLLPTDKSHADDVLVGDNDLANWSNHHHERIYGDDKDTELIEDDEDSFGRTLGEVVSWGDHIRTECRSQKDLINETCKPEKWLKCSDFDEKSILDCEDTKLTKPIRHDEAIVSDVGLGCIQVDSFYQDNSKWYDSPCALGTGADCEDTGFRHGEIL >CAK8560993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69412940:69414889:-1 gene:gene-LATHSAT_LOCUS14622 transcript:rna-LATHSAT_LOCUS14622-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKREEEDDDLFLPVPPDLVHPPHQILPSSPPPPPPDPSSFSLSEIVLFPSPSSSDSPPSHSSGESPPPSHQLTATTTTTTTSSTTTTEPFFISPDPHLSSQFYTFNPDSHSLMINCLLQNRLATPSEIRAATPRAVLKSWRTVWKDRNEETAYLTAWKRIQDKLTARVDQNGNHFLCFKNNTNQFVSHTNQWQDIVMNFHSDADLKHLGVKDTVDRIKQVWTVGAKFYGIPESYIRVCIAACSVCSGAASGSNLTDAAAAARNKRRRFEYTESFDVPAKEVPSRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGQPAAAKKSKILKREPYASKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGYLMDQEADVYGVSEEMDNEGFGLMGKDEGDLQFSVLQQVQELRVEVGMLEGRVSKIPQELLGSVSRDLFDVVNRIRSIGEVGLKPMGLLPTDKSHADDVLVGDNDLANWSNHHHERIYGDDKDTELIEDDEDSFGRTLGEVVSWGDHIRTECRSQKDLINETCKPEKWLKCSDFDEKSILDCEDTKLTKPIRHDEAIVSDVGLGCIQVDSFYQDNSKWYDSPCALGTGADCEDTGFRHGEIL >CAK8563496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610242046:610243343:-1 gene:gene-LATHSAT_LOCUS16896 transcript:rna-LATHSAT_LOCUS16896 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMELPLLASENSAVFLSSVAEALVLQTVIATGKSLAYLLIATGSLLTDVNNLISPMDGRFPLDQLYKGNHTCEENKDGAGSETEDDDDDDDDDVNDEDDDDDDEDFSGDEDDDEADPEDDPVPNGAGGSDDDDDDEDGDDDDADDEDDEEEDEDEEEDEDEEVAALQPPSKKKK >CAK8578270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615839595:615841050:1 gene:gene-LATHSAT_LOCUS30296 transcript:rna-LATHSAT_LOCUS30296 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLHSLPYTNTLLYASSIGLDYHNLGLLNSDNDMSLIMDVTAPSFSTGYLEDALVEFGESSKRRRLLPYTDTDDEQSKSSITTTTSMDDFDKNFWNFNPIWNQPVENFYCMDQIERICGFSDEHISPLRSRINEQQNNLVEDTKTTQETISASESPNSSSSSYKELLPKTLGSSSSEEMMMRKKRVIRSSTRVVYPFALVKPGGEEDEVTLKDINERMLMAPTRPVRHPVGDFACRPCVSATGPGLSGKAVVALTRIHTQGRRGTITIIRTKN >CAK8543590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624171367:624172827:1 gene:gene-LATHSAT_LOCUS12338 transcript:rna-LATHSAT_LOCUS12338 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTQEEDRILINHITKFGHSNWRALPKQAGLLRCGKSCRLRWANYLKPDIKRGNFTKEEEDSIINLHQMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLPQDQQANNETLKQSKKQSKLDIDVGAKQEQNDDEKPQCSSDISSHNNNNSNNSISSSVVTTNNNHDNSNNNYDVDSAENNFAMDEDFWSEVLSSDKSSSEGNGAVDIGADNCEFQVGDEGVFSSLSLCEDMDFWHDVYARAEEITELLDL >CAK8534616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748969211:748971072:-1 gene:gene-LATHSAT_LOCUS4147 transcript:rna-LATHSAT_LOCUS4147 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTSSSARPKTVDLDAPLHNLGFEFEDISAVKVSGNLHLTQKCCQPFKVLHGGVSALIAESLASIGAHIACGYKRVAGIQLSINHLKSAVIGDFIHAEATPLTVGKSIQVWDVRIWKIDPSNSENRLLIASSRVTLKSNMPVPENAKEAGDKLKKHAKL >CAK8575074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26641998:26642789:1 gene:gene-LATHSAT_LOCUS27365 transcript:rna-LATHSAT_LOCUS27365 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQISFYVTVLSISFATIFFFKVNSTQTTSFSFSKFVEDQPNLIFQGSAYTFHDKVTLIYTERRAVGRVLYSAPIHIWDNKTGNVADFTTSFTFVIRPIGGAPAVAEGLAFFIAPMDTKPGGNGGFLGVFNSQGYDQTIQTVAVEFDTYRNAWDPVNRHIGIDVNSIISKSTAPWNLQYGTKANVVISFKAATNALTVTLTYPNLRSFIHSDVVNLKNVVPEWVRVGFSASTGAEYSVHEVHSWSFRSVLGGTSSSEQTADE >CAK8563940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640531479:640532429:1 gene:gene-LATHSAT_LOCUS17295 transcript:rna-LATHSAT_LOCUS17295 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHEPNPDDVAVWISKTLIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWSLSDWKEFGKDLRIHWKRRRQYVLTDHIVHGARPSLQYMTWFRSVTTSQSFLSQPTYLADPRERGSSSNPQQQFSAQNQPYENPYMPTNTPYQQRQPYIPPIQSQPQPPYHYSPDTSFEPTPSTYSPDRSFDPTPSNYLSKYPSNHPLFDYHTTQQPTHLDQPNSMYTFGQPYRPYSTQPPRQSFENMDIGPPSYWGQMMQTLSDTSGPSQPYPPPQLNTQRPDTPQQPRRNTHPPQCGTDGYLDRAGH >CAK8563941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640532175:640532429:1 gene:gene-LATHSAT_LOCUS17295 transcript:rna-LATHSAT_LOCUS17295-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFGQPYRPYSTQPPRQSFENMDIGPPSYWGQMMQTLSDTSGPSQPYPPPQLNTQRPDTPQQPRRNTHPPQCGTDGYLDRAGH >CAK8542241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492603315:492609611:-1 gene:gene-LATHSAT_LOCUS11094 transcript:rna-LATHSAT_LOCUS11094 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQEKRHRSIFELPSNYFDSCRLLPSPHSSVSDLNANHETLHSTSNDAVVLGTRLTCNTCKAQFDSLQDQRSHFKSDIHRFNVKLTIAGKHIVKEEDFEVLTSDFVKDYDVSSISGSESDTDDDIDSENECRARSDVRGKYGESFKQKLFVCLQTGQRVSVWKCLIMNVSENVLYEDEQVQRNLAERLKSLTVEPRDNSRLRIVLLASGGHFAGCVFDGETVVAHKTFHRYVVRAKAGKKQSTNDASGRAAHSAGASLRRYNELALKKEVHELLTAWRPYFDASICIFIHAPSSSRQLLYDGEKPCFTNPQCARNIAMIVRRPTLREAKRVYGQLTLVSYEADEKEILQSDQQEAVPPIRIAKRTGATPASKVDMAGLDKNNKAEASSSNQNDEPLISSNDESENELSGKSTPLHQAAQSADSQKVTELLEQGLDPCIKDERGRTPYMLAPDKEVRNTFRRFMASNPDKWDWNAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLRKAKEKKAQAEAAEKQKIAPTSATGQSQPRSGVKLSKEEELKRAQDEEREKRAAAAERRMAALKIQANGTSEANKSGLAGDIVCSCCNSSLAGKVPFHRYNYKYCSTSCMHVHREILEDG >CAK8539936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531746573:531749459:-1 gene:gene-LATHSAT_LOCUS8986 transcript:rna-LATHSAT_LOCUS8986 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVSTVVTKLTELLLEQATSSLSTLTTARHQVEKLKNELSWMQCFLKDADAKQQSNERVRIWVSEIRNVAFEAEEIVETHVYNSTIQTNFHNKIFTPFHLYKLGTRIDRILRKIKDISDRRDTYGVVIKSLNPNPNSNDGDGDSERLRHWRQPSPYSEEEYVVEVKEDFDSILTQLITLEATRHVVSIVGMGGLGKTTLAKKLYNDSRIANHFECKAWVYVSEEYRDKRKDVLQRILRGVVDPLAGDDEIEKLPEQELVNKLHNVLAEKRYLIVLDDVWGMEVWDGLRYAFPKRKLGSKILLTTRNWEVALHADAHGHPHQLRPLNQEESFALLRSKAFPGASAIPSEFENLAKEIVVKCEGLPLAVVVVGGLLSRKLKSSGEWARELRNIRGGLLEDQETITRILALSYNDLPSPLKSCFLYLGLFPKGVKIQMKKLIRLWIAEGFLPQERGETAEYVAQTYLNELIGRCMIQVGTVSSLGRVKTIRIHDLLRDLSVTKGKEEYFGDTAGSSSSSSSQLTKSRRHSIHSCHEQYDFLKNIADYSRSLLFFNREYNADVDKKVWIHLSFMQEKKLNVIYTEFKLLRVLELDGVRLVSLPSTIGDLIQLRYLGLRKTNLEGKLPLSIGNLLNLQTLDLRYCCFLKKIPNVIWKLVNLRHLLLDTPFDSPDSGHLRLDTLINLQSLPYIEAGNWIADGGLANMTNLRQLGINGLSGPMVNSVLSSIQGLHNLHSLSLSLQSEEDEFPIFMQLSQCTQLQKLSLNGKIKKLPDPHEFPPNMLKLTLHNSHLQKESIAKLERLPKLKMLVLGKGAYNWAELSFGAEGFSQLHVLRLILLKELEEWKVEEKAMPMLEYMVIDRCEKLRKIPEGLKDITSLKKLKITGMPVDFEYRLRTIDLLELKNTPVIESTTDILAID >CAK8560899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:55710557:55714660:-1 gene:gene-LATHSAT_LOCUS14538 transcript:rna-LATHSAT_LOCUS14538 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDDTSGTMIDEIYANGGEESDQRSRRAIMSGDQLDVEAYAALYSGRTKIMRLLFIANKSKNPTVQLDTLRMAYDEIKKGENTQLFREVVQKINGRLGPNYEMDLSWCEGVDRKAEQKKERLENELNAYRTNLIKESIRMGYNDFGDFYYSHGQLGDAFKSYVRTRDYCTTSKHIVHMCLSTILVSIEMGQFSHVSSYVSKAEQGTDALDSIIISKLRCAAGLANLEAKKYKLAARKFLETGPELSSHYNDVIASQDVATYGGLCALATFDRAELKSKVIDNSNFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKANLSLDIHLHDHVETLYDLIRHKALIQYTHPFVSVDLNMMANAFKTTVVGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLETGREFDRDVRSMLLRSNLIKHDYNVRASRKL >CAK8569158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677330048:677330995:-1 gene:gene-LATHSAT_LOCUS22042 transcript:rna-LATHSAT_LOCUS22042 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFRRSVSFPNKNPNRPSQKPSISHHIRSISLPCRSHPLISQIKDEINGLRSWTNSSKSNPLTSKSLSNGLTLLKQTHETFQDILQLPQTQESLRYHPVWVEKLLEYSLRFVDAYGMFRTSILSLKEEHSSVQIAIRKRDESKLVIYLKSKKKLSKEIEKLVSGIRCVDFGVTHQRLNVPICSSSTTLLSIADSVELGGVIEDVMSLTVSVSVAVFNSVAMSFASRRFSWVKMVRKGGSYKECEGIEEIQKQLNEVENIGNLKKKGEEEVRSVLKRMRDLEECICGVENVSEKVFRALINSRVLLLNTLTLSH >CAK8533648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645869063:645870028:1 gene:gene-LATHSAT_LOCUS3259 transcript:rna-LATHSAT_LOCUS3259 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEQENEESVMDSFLCPSFSTYSSNNLNDVARQVINENDQIHSQNDKDDFEFVAFQKTADEVFFDHGRRNSIRGVFPIFNSEDGKRSSVVVEISVPLRELMNSDGDRRNSDVAEDSIPLKKLNNRDGDRRNSNSVDILNPLQKLITGDQKWNIYPSSEVEDDLDAAPPASYCLWMPKSPKVSPIASPINCKKSNSTGSTLNPSSSKRWKCLSLLRRSKSERKESLILVTPSLEFKKEAKVENPKVKSGGKGNVEKNKAKIAETKVPVTETKIQARVTAMEAFYLRKKEINRKSYLPYNQELIGFGVGFNATIGRGFPLRV >CAK8537399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:331010718:331023358:1 gene:gene-LATHSAT_LOCUS6692 transcript:rna-LATHSAT_LOCUS6692 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSHTGNGYAADNGGGGGGSSSSPSPPPSPPRHSGVLHLRKRLRRTKSLSAGILSRRSLRYFFLLPVVYISGLLMCVGPFPFTFSSLIGHAPLPGSRYRSHEVFHKLWHDIDSDNSSFIELSSVWKYKRKLREKKPCPNLTALHHEHFVSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPHFEFHNVWKDPSEFGDIYDEDHFISILDGYVKVVKELPEAIMEKHNYNMSNITTIKVQAWAPVSYYTGAVYPILQKEGVLRIAPFANRLAMSVPPHIQFLRCLTNYKALRFCSSISALAENLVYRMIKKSSRTDGKYIAVHLRFEEDMVAFSCCEYDGGKAEKLEMDSAREKGWRGKFKRKDRIIVPDLYRVNGKCPLTPLEVGMMLRGMGFNNNTSIYLASGKIYNAEKYLTPLIKMFPNLYTKESLATPDELAPFMGYSSQLAALDYTVCLSSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTIIPDKRKLVVLFENTSISWNALKDQMDDMLAESDRKGIMVPRVRKINRKTSVYTYPLPECRCLQQSLVNITVDYNVSILDNYSRTKA >CAK8565016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25176926:25177351:-1 gene:gene-LATHSAT_LOCUS18257 transcript:rna-LATHSAT_LOCUS18257 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNLDQSEGLCNGTRLTVTKLGNHVIEAKIISGTNIGNIIYIPRMSLSPSRSPWSFKLIRRQLSIIVSFAMTINKSQGQSLDYVGLYLPKNVFSHGQLYVAISRVKSKVGLKVLIHDKDNNYLTQTTNVVFKEVFHNVI >CAK8544015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656093826:656099939:1 gene:gene-LATHSAT_LOCUS12726 transcript:rna-LATHSAT_LOCUS12726 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRRLLDRSREPGPKKPRLMEELQRGSNPNTRGFPQRQSATMARFRISEREIENSDADHGDGGYHPQPPPHQELVTQYRAALAELTFNSKPIITNLTIIAGENLSAAKSIVGAVCANILEVSSDQKLPSLYLLDSIVKNIGRDYIKYFAVRLPEVFCNTYKHVNPPVHSSMRHLFGTWRGVFPPQTLQIIEKELGFAPTVNGSASASATIRNDSQSQRPPHSIHVNPKYLERQRLQQSSKTKGAFDDMTGVISNANEDSERSNRALGVARPWLDSRVNMRNNQHTHRDAFNDSVPDKSIGGAYGDEEYNLGSDVGRTGSRLIGGVAETLSGQRNGFSLKHGFSNHEAPKPMNLDAHNIRSSAMSRNWKNSEEEEFVWDEMNPGLPEHVPNVSSNLSSDPWIADDDNLESEDHLQMTHPIRTKVDKEISTVKKQLPSSGGHSSVSWGLQKQLPSAKLNMKSSHSETFVSAPSGLPKNSNSLAARMRNQSSMPHTTIGLAKNMGQQQFDSEGAESPSEQSPLQQQSPSVPVTTHHAPSVRNLAEQDCPQTLKTSQHLGGLQSQYIRDPTPATRPNVQVGTLRKLQAKDTRGPSSSVTSLQAKPQQRQLGPSQVEVTLKAKQPLKSKVSLAKTKAKETSEKSTTKSHPAPSVKSGVIPNKSGPITKSLDASNRPSQSGVKPTRSIGASPTTLISSGSSSVSLGSSNDHSQALPKLPQGKVVKKQKKSTQPSASASSNERGASAPSSNTVNKNTLNPISNLLSSLVAKGLISAGTESATVVPNETVIRSKDQTDGITTSSSLPVVSVLDSATVPIKSSKVEVDGDEVDDDEVDGDEVDGDEVDDAAKASLALSQSTSTEIINLIGFDFKPDVIREMHPHVIAKLLDELPHHCGKCGIRLKHLEQFDRHLEWHATKERVQNGLNGASRWYAKLNDWIAGKAGYLSESEFTDSVDEHDDENTYESQLDSMVLADENQCLCVLCGELFEDVYCQEREQWMFKGAVYLNNSDNVSETESRIAGPIIHARCLSENKISVVTNTELD >CAK8562141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446021312:446023403:-1 gene:gene-LATHSAT_LOCUS15665 transcript:rna-LATHSAT_LOCUS15665 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGREGDWECSSCNNRNYAFRSFCNRCKQPRLLVDNKTPADSKWLPRIGDWICSGCTNNNYASREKCKKCGQPKEVAAMPAIAMTRASFPTYPPYFSRAPGGLEHNMNIGLIGNGGPPQSLNLNTNWPVAGAEKFGLQPIPLWLPGTSYSSGHPYVNSNSKNPSVLKEWRNGDWVCNCGFHNYSSRSQCKKCNASPPAPGTKRLASEELVYDWDNKRLNVGPTNDQQRTYSSVEQVVGTSAEPKLALAPAYASINSSSAPSFPMPPLFPIPPQFSSTALLGKGAKQWRSGDWMCTNCNNHNYASRLECNRCKTLRAAPMQPVNVV >CAK8565380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156476313:156477192:-1 gene:gene-LATHSAT_LOCUS18600 transcript:rna-LATHSAT_LOCUS18600 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPCVIRNPRKDRLRLPPRSHEDLYVLVKSRTTEIFRSACVGAAQIKKSLLALEPWAGRPGLSLLFCSRHEKDAQKEEVCPARRGSVESVCCIGRELYDLFPVLNKKKNRSVLRPSIV >CAK8574970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18900714:18905909:-1 gene:gene-LATHSAT_LOCUS27265 transcript:rna-LATHSAT_LOCUS27265 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQASFFYDVFLSFRGDDTRYGFTGNLHSALNQRGIQSFFDDDDIPKGEELTSELVKAIKDSRIAIVVFSKNYAFSKFCLKELTAILDCYEEKNKSGRMVLPVFYKVNPSEVRYGRGSYGEALAKHEETFKNELEIVNKWRTSLHKAANLKGFHFKHGEGYEYQLIASIFKEVSVKINRTLLHVANYPVGLESRVDKVNSLLNVPSNDVDVHMIGIYGIGGIGKSTVARCVYNSISDKFEGFCCFIEDVRKSSHKYGLLNLQETVLSEILGDKKIRLGNVRNGMSIIEQKLSNKKVLLVLDDVDQKEQLQAIVGSPNWFGSGSIVIITTRDKDLLTHYEVKSKVYEVEELNDKEACELLSWNAFKTDKADPKYTNILNRVLCYASRLPLALEVVGSNLFGKGEEECKLILDRYDRIPDKKIQDILRVSVDSLDEDDKDVFLDIACCFGGYRLSDVENILHAHHGSSMRRGIELLIERSLIKLDDGLVALHELIQDMGREIVRQESKEPNERSRLWNSGDVVQVLEENMGTGKIHMLILDFPKDEGHLKGSKGEVVNWDGEALKEMKNLKTLIIRNGHFSKGPTHLPNSLRVLKWHGYASSSLPCDFHPRKLCILELPDSSLKPCEPIQAFAYLRILDFSYSEYITEIPDVSGLPDLEKLSFKHCENLTKIHDSVGYLGSLKILDGSSCMNLNTFPPIILTSLEQLNLSHCSNLESFPEILGKMENITELHIMGSPIKELPFSIQNLTQLRKLELQICGMVQLPSCVFMLSELSLMHVSKCEGLWLSGQDRGNEMPLKSSNVDRLILTDCNISNDFLPIGLNIFSNVKDLNLSGNSFTTVHAWIKECHFLRNLKLDNCSNLQEISGIPKKLETLSVKGCTSLKCLDLTVLPACTAESCSLKELILDDCVYLQEIAWLPQNLDVLSAKNCTALTSQSINMLMNQRGVQAGNKMFVFPGKKIPEWFRHCLSVKKAHASRVVRKQEIAGSFSFWFRNKFPAISLCLVIGLGNEQPITVNFSPRVFVNGHKQCIGGQKVYNFIIATDHVLLLNFEDSGDIVFSNNEWNHVEVSYADHITNNEVPIRQIARYSGIHVFRQTTDPGDFCFMNPPQTVINVNPNPISIAVLPPPIAAAEKKDMASKPLVPAKRSLEDVVGETSERTQEEEVHPTTISNGHPVIQSCREGDDIELEGVSSSDSDDPFDRVDRRLGISSEETMSSASSSGVASLGSIREAINSLELLMVKDLSEFSCDPDTQNELLQLLDLLSTSSHPKVTLEVKEAIGEFKRKSFLSFQEFQSTVESVNKLKNFEKHLDRIQQETMEGKGQRKHLKSSIKKVSLGIKAENSRKKELDEETATLRIQLAKKERDLEQLVLNLKNQEESLSTFSTNYASLNEQARALLKEADDLLAASSWVKHEGEVAEVEQDRLKSIWSIGLTSQFNEIKKTLFDYDV >CAK8576348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:465087832:465090614:-1 gene:gene-LATHSAT_LOCUS28543 transcript:rna-LATHSAT_LOCUS28543 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGMMQNFLKGKTILVTGTTGFLAKVFVEKILRIQPEIQKLYLLVRASNTDMASNRLKNEVFRTDLFRVLREKLGGDFKSFISKKVVAVAGDVAIENFGIKDEKLKNVMFQEIDIIVNSAATTNFDERFDTSMGVNTMGALHVLNFAKKCHKIKLLVHISTAYVCGEAKEGESVFEEKAFEMGQSLKGTSKLDIQTEINLLEKKLQEFRAMNVDENTIKYALKDFGIERANLHGWPNTYVFTKAMGEMLLVHHKDNVPLVIIRPTMVTSTIEDPFPGWIQGQRTVDSMICAFGKGKLPCFLGHPKTVLDIMPVDLVINCVIAAIVINSNQAPKNFIYNVSSSLRNPLKISDVHNICHQYFMKTPCINKNGKLIVISKGIALKSLAAFNIYTEIQYVLPLKVLNLVNKMICHSYQDVYDDNFKKIRMVKRLAKLYKPCVFFKAVFDDANTENLRRETKGYNMKDEKLEFDPSSINWKDYMMKTHIPGLVKYAMK >CAK8569108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671771695:671772673:1 gene:gene-LATHSAT_LOCUS21995 transcript:rna-LATHSAT_LOCUS21995 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRNIDGDDEFLHYFDEDIGIRCGCDFSSKIEENNNVDSEELFEINWKKKPLNSIQEEDCESSVFSIDIHNKIKLNDVVYVAVGEAGSSMEALSWTLKHLTNPNSTTVSLIHVFPQVKRVPTPVGKIPRRYASQELVNNFLSQEKRKRRIFLQKFIDMCTGSKVKVEMLLIEGDDVAKAIAELVKNLNIRKLVIGTSQSNLRKHVSRRQNSTADMVLKFVEERCDIKIICEGREVIDEIINGCNFEHDEVDGFSPIKQSMPKPFWLYASRYDWNWNYG >CAK8565478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233573441:233573896:-1 gene:gene-LATHSAT_LOCUS18692 transcript:rna-LATHSAT_LOCUS18692 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGTSSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVWFVREILTDFSISF >CAK8537175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:123532497:123534323:-1 gene:gene-LATHSAT_LOCUS6485 transcript:rna-LATHSAT_LOCUS6485 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGKRLEEELSYPILIAERVRSAIEETDSFKLECSEVWKQVERLLQMLRTVVRFAATSPLYERPVRRVTADTAKNLERALTLVRKCKRRSILHRVVTIVSAAEFRKVLSHLDASVGDMKWLLSILDGEGGVNLALPPIASNDPILSWVWSFIASIQMGQLNDKIEAANELASLAQDNDRYQKIIVEEQGVPPLLKLLKEAASPAAQIAAATCLCHLANDLERVRVIVNEVGVPAVVQVLAESPIRVQTLASNLVARMARHDPVAQEDFARENAIRPLVTLLSMDTTVDEQPGNNGRQSIHSIFQINKELGKRTDYMPGNSSKQFSNSYSNSYHYTEGSSRGGNYRKERENVDPVVKLQLKISCAEALWMLAAGSVSNSRKITETKGMLCLAKIIEKEQGELQQNCLMTIMEITAAAESNADLRRAAFKTNSPPAKAVVEQLLRIIKEVDSPSMQIPAIKSIGSLARTFPARETRVIEPLVAQLSNRDMNVADEAAIALTKFACPDNFLYIEHSKKIIEFDAVPAVMKLLRNNDVNQMYHGLTLLCYLALHAGSSESLEQARVLLALEGADKTILPQHIRDLVSKAIVHLNLYHAGRNSQPLSYMP >CAK8572958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572886810:572887118:1 gene:gene-LATHSAT_LOCUS25457 transcript:rna-LATHSAT_LOCUS25457 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAESAGRLLYLKLGYSHEVELSAPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRNCKPPEVYKGKGIMYTDEVIKKKQGKKSK >CAK8537901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445495198:445495683:1 gene:gene-LATHSAT_LOCUS7151 transcript:rna-LATHSAT_LOCUS7151 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEFSRPISAGEVLKANPNHVLSKPSSEGVVRRILILSPETELKRGSIYFLIPSSSIPDKKRRVRRSATEKDLENKKEFSSDEKNKKCDDDLLSSSRKYYESKEMRGSRRDRRHSRSGVWQPHLESITEDLC >CAK8572296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527504231:527507895:1 gene:gene-LATHSAT_LOCUS24876 transcript:rna-LATHSAT_LOCUS24876 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSKYAHSPVHVAVANSDHALLRRLVSAVPNLPKPGDVTTESESLAAEIQADKVSAVIDRRDVPGRETPLHLAVRLRDPISAEILMSAGADWSLQNEQGWSALQEAVCNREESIALVIARHYQPLAWAKWCRRLPRIIASASRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRADQSFLFLGEGYTSEDGNVNLPRGSLLALSHKEKEVTNALEGAGAQPTDAEVAREVSMMFQTNMYRPGIDVTQAELVPNLNWRRQEKTEIVGDWKARVYDMLNVMVSVKSRRVPGALNDEEVFANGEGYDDVLTAEERVQLDSALRMGNSDGVCQDEEPGGGEGFDGRENVYENCEGNGVVKEKKGWFGWNKKSLKNGGHEHEDSKKFSVMGQEGSNQRSSDQQNLQPEFQKEDHGDKNPKKGKDQNLKKKKKKGASKESKSESEFKKGVRPVLWLTQDFPLKIDELLPLLDILANKVKAIRRLRELLTTKLPQGTFPVKVAIPIVPTIRVIITFTKFEEHQTTPEEFSTPPTSPAYFQDPKSKESEGSSSWISWMKGNHSVLSSDSDSHSLSHRYKDGVDPFVIPSDYKWVDASERKRRMKAKRAKSKKNKKQTVSKGGDGAQQGSDDVGELNQ >CAK8540776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20540983:20542501:1 gene:gene-LATHSAT_LOCUS9746 transcript:rna-LATHSAT_LOCUS9746 gene_biotype:protein_coding transcript_biotype:protein_coding MGSICSCLSVDDLEDYMNPHSHVYRNCVCTGCFLQNILAVYSLVFRRGEMHVLPSSVQGAASMTTSASLDNSLSEMYRSPPRPLPYDADPRHFRSQHDGLVSRREKGSSHLNEESELLRGDVDADAESFNSSGKWNESTGKDGSKEYRSKSSVRLSSAKLTTGDALVYPSSEEEDVCPTCLEEYTEENPKIMTKCSHHYHLGCIYEWMERSDSCPVCGKVMLFDETA >CAK8565441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:207523120:207524709:-1 gene:gene-LATHSAT_LOCUS18658 transcript:rna-LATHSAT_LOCUS18658 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRATRGNMLFNKAPADEQIMDPISTEMVEETVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITQEVSSRLADLEATLDAGIRHRNKALSSVGGHLEKWMDMVRREKAVYDTLNMLNFDVTKKCLVAEGWCPIFARTQIQEALQRATFDSNSQVGVIFHSMDALESPPTYFRTNSFTNPYQEIVDAYGVARYQEANPAVYTTIIFPFLFAVMFGDWGHGICLLLGTLVLIAHESKLGNQRLGSFMEMLYGGRYVLLLMSLFSMYCGLIYNEFFSVPFHLFGASAYKCQDISCRDAHTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIMLSYFNARFFGSSLDIRYQFVPQMIFLNILFGYLSLLIVVKWCSGSQADLYHIMIYMFLSPLDNLGENELFRGQRPLQVLLLLLALIAVPWMLFPKPFILKKLHNERFQGCNYGVLNTSEVDLEVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGFVSNTASYLRLWTLVLAII >CAK8570600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66690074:66694097:1 gene:gene-LATHSAT_LOCUS23337 transcript:rna-LATHSAT_LOCUS23337 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPTRRESYSGPLPTTAFHKDRHYHHHHHYHHSYPHDQRLFEFTDKEEANKLVSPFGKLERLSLDDIRESAYEIFFTACRSSPGFGGRNAHSFHSNNNNQNESKPSNVVMSPTSRVKKALGLKMLKRSPSRRMTSGGNSGGSSSPIGGGSPYHHSMSMSRPRRPMTSAEIMRQQMRVTEHDDNRLRKIVTRTLVGQVGRRAETIILPLELIRHLKPTEFSDSHEHHMWQKRQLKVLELGLLIHPSIPVEKNNTFATRLRDIIRSCELKPLDTGKNSDTMRTLCNSVVSLAWRGPNGTPTDNSHWADGFPLNIHFYNSLLHAVFDIRDDTLVLDEVDELLELIKKTWSMLGITKPIHNVCFSWVLFQQYVLTGQVEPDLLCASHVMLSEVANDAKKEKESSYLKLLTSVLTSMQSWGEKRMLNYHEFFPRGTTCQIESYLPLVLLASKILGENLVISEGKGREKGDVTIVDSSGDRVDFYIRSSMKNAFDKVIEVVNAKYAEMQIKGELSAILLHLAQETEDLAIKERQSFSPMLKKWHPAAASVAALMLHSCYGHVLRQYLSDVTSLTCEAVEVLQRAGKLEKVFVQMVVEDSIEGDDNGKIVVKDMVPYEVDSVILNLLRKWIDESLYKGRECLQRAKETETWNPKSKSELYAQSAAEFMKLAKTSVEEFFQIPIGITDELVQELADGLEGLIQDYMMFVAACGSKQSYIPVLPSLTRCSRDSKILQLWKKASPCATNLSELDHITGTNEGHNPRPSTSRGTQRLYIRLNTLHYLLAHVHSLEKLLSQNLGLVPSTRHCFTNNLKTQSNKSGAYFEILNSEIQAALQHVSEVAAYRLIFLDSNSVFYESLYVEDVANSRIRPALRILKQNLTFMTTLLLDRAQPMAMKEVMRASFDAFLMVLLAGGSSRVFNRSDQAMIQEDFESLNRVFSTCGEGLVSENVVEREAAVVEGVIGLMGQNTEQLMEDFSIATSETSEVGVMSNNGQKFPLPPTTGRWHRSDPNTILRVLCHRNDRAANNFLKRTFQLPKRR >CAK8536301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929152228:929158851:1 gene:gene-LATHSAT_LOCUS5685 transcript:rna-LATHSAT_LOCUS5685 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLRPCKCGYQICVWCWHHIMDMAEKDNTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMERKMKSQKAKSKSSEGRKQLNDVRVIRRNLVYIVGLPLDLADEDHLQRREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCNNPDCVYLHEIGSQEDSFTKDEIVSAYTRSHVQHITGAATNMERRSGNVLPPPLDDCTSNNSEKPIVKNTSSNSVCAVRDSPPSGSPAKPMAPPAAWGLRATNCQPAAGGISCPTGLSKPKPDSISSTLPFSSAVASTNQVSKHSESIKGQVSSDGRHSIVPGETNNTMNVLASASEKTLASDVSLVPVNSNTQLPSIPLVRDGCTSSNTTKSIDITSNSNGSFCSDEAVTATNKVIQNLSYGLSSLDLDRNVLNEHYNVTKPSSSPPTDFVLVKSMQSQGSKHIDKFRNVKNTNAASKASISDSEVCKSKQQYDLKLDFQSKQASGYVEIEGDVTSFDSQRLKDPEVVSHSYLPNSCFPYMENHNNPHPLQHGEPCTVVNTGSLAADNEVGYEPQLHGSKALCNGYSEKLDSTSTYSLLHDEKNDHHIGRLISKTVNVGNDAATTDNGESSIISNILSMEFDAWDDLAKLLSDSTENQNGLLKKSSSWNVQTNQSRFSFARQEESKIQAFDMNPSHGANQQLLRSRSLIQDFVETTDMSLDKMDIAYGFPANNIEESEKLGTGQFVASSNKLSANSKPQISAPPGFSVPSRPPPPGFSSHERMGQTLDSISGNSLHDPFLWRNSYQTPSTGNFGGAGDIEFMDPAILAVGKGRLQGALNSQTLDMQSNYTPQLNYLENEARLQLLMQRSLSPQNNHRFSEIGNTFSQLGDPYGVSSRIDQSHVSNLATFPQLSLQQSRNAVLSNGNWDGWNELPNGNRIGMAELLRNERPEFSKFYRGYDDSKYQMPNSGDLYNRTFGI >CAK8576079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:400573322:400574074:1 gene:gene-LATHSAT_LOCUS28295 transcript:rna-LATHSAT_LOCUS28295 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAIKRVVDYAVKIRVKPDKTGVVTQNAKRSMNQFCEITLEEALRSREAGLASEVVAVSMGPSQCVDTLRTGFAMGADKGIHVEVDDSLYPLSVAKILKKLVEIEKPGLLIHVEALNDDCNQVGQMVAGLLNWLQGTFASKVVLDKEKQAATVDREVDDGIKTISLNLPPVITTDLRLNQPWYATLPNIMKAKKKPIKKFTPEELSVEIKPDLEIVEVTEPPKRKSGVTVSSMDELIDKLKHEANAI >CAK8541532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:230891665:230892271:-1 gene:gene-LATHSAT_LOCUS10447 transcript:rna-LATHSAT_LOCUS10447 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNLGCKGSYSIIAIPKKRERGFLGVGTYAHSIYSDIDPSVMWEFPLISSEFLTFSTCCSLPFNRERGDEQISYSAK >CAK8532675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:473328438:473330656:-1 gene:gene-LATHSAT_LOCUS2357 transcript:rna-LATHSAT_LOCUS2357 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVVKKEGSLNLKITCKSYVKPDEKIGKKEYQMVTFDLPYLAFYYNQKLIFYKGGNFEENVERLKNGLAVVLKEFHQLAGKLGKDEEGVFLVEYDDDMVGVEVVETVANEISVEDLTVAESNASLKELIPYDGICNFEGMHRPLLAIQLTKLKDGLVMGLAFNHAVLDGTSTWHFMTSWAEICRGTPSTTAPPFLERTKVRNTRVKLDISLPKPKLPPPSANGEAKPQSEGLPPSANGGAKLEPVLREKIFKFSESTIDKIKSTVNQTLPSDGSKPFSTFQSLASHIWRHVTLARDLKPEDYTVFTVFADCRKRVDPPMPDAYFGNLIQAIFTVTAAGLLSAHPPQFGASLIQKAIEAHDTKAIDKRNKEWESSPKIFEFKDAGINCVAVGSSPRFKVYDIDFGWGRPENVRSGTNNKFNGMIYLYPGKSGGRSIDVELTLEAEAMGKLEQDKEFLMENII >CAK8562479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:499694799:499695638:1 gene:gene-LATHSAT_LOCUS15971 transcript:rna-LATHSAT_LOCUS15971 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKGPWSPEEDDALKKLVERHGPRNWSLISRAIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDSLIIRAHAQVGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSFMASDDPSFNPQPLKRSASVGAPGSPSGSDLSDSGNHIFRPIPVPVPVRLPIETTSEPEQEEDDGPLTSLSLSLSLPGVDTSDAVNRPAAVAVNPSPSPITAVTASVAAKVSQEVGIGALNLSGEFMAVMQEMIKNEVRSYMEERNGMCFQGVDRLRNVSAKPIGINRVDS >CAK8576828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517189237:517193182:-1 gene:gene-LATHSAT_LOCUS28991 transcript:rna-LATHSAT_LOCUS28991 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSATKVDAAPVVVSPAKSGKKGKRQAEEEIKKVSAKKQKVEEVVAKQKKEAVVQKAKEESSSEEESSESEDEKPVAKPAAPVKKAPAKNGNAKKAKPASSSSESESDDESSDEDDASAKKPVKAEVAVKPKQAPKKVESSDESDDESDEDSSEDEKPAPAPKSVPAKNGSAPAKKAASSSDEESDDESSSDEEAPKAKAVPAAVKPAAAKKPAKSSDSDSSEEESDSDDDKDTKPAVAAVAKKTEDDDEDSSDEEESSSDEDNKTSNASNGNKKAVTVAKKEDKMNVDKDSSDDSEDSDSDEESEDEPSKTPQTKTKDVEMIDADKSSKKAPATPATPSENGGSKTLFVGNLSFRVERSDIENFFQECGEVVDVRLASDEDGRFKGFGHVEFATAEAAQSALALNGQELLERGVRLDLARERGAYTPNSNSNYSAPNSGKGQSQTVFVRGFDKSLGEDEIRAKLEQHFASCGQASRVSIPKDYDSGYVKGFAYMDFKDSDSFNKALELHESELDGYSLSVDEAKPRDSQSSGGRGGRFGDRGGRRGGRFGDSGGRGGRFGDSGGRGGRFGDRSNGGRRGGRGGRGGRFGNKPSLAPEGTGKKTTFADD >CAK8567137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490130052:490140679:1 gene:gene-LATHSAT_LOCUS20214 transcript:rna-LATHSAT_LOCUS20214 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKVLMVAEKPSIALSIATALSHGQMSTRRGSTEVHEFDGRFLGAPARFKVTSVIGHVFSVDFHGKYQDWATTDPLDLFQAQVIKTESNPKAHICKHLKQEARGCHYLVLWLDCDREGENICFEVIESTGFKINDVYRARFSSVTEKDVLNALANLVRPNRDEAMAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDARVISYGPCQTPTLGFCVQRYLQINTFKPEKFWSLHPYIIQSGYEILLEWQRSKLFDINVAMMFQKLVAEDGILEVTDISEKQETKGRPVGLNTVNLLKVASSALGFGPQTAMQLAERLYTQGFISYPRTESTAYPPSFDFRGALSAQKNNPTWGNYVEGLLTSGYQKPRSGTDVGDHPPITPMRSASEDMLGNDAWKLYQYICQYFIGTVSPDCKYVRKKVEFSVGGESFHCTGQHVITKGFTAIMPWLAINDKSIPSFTKGQKIKVSKVELYEGNTTPPDFLTESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRKLVPTTLGITLVRGYQSIDPDLCLPDIRSFIEQQITLIAKGQVDHHRVVQHVIEQFKQKFCYFVKKIEAMDALFEAQFSALVDSGRIMSKCGRCLRYMKYISTQPPRLYCGTCEEVYYLPQKGTIKLYKELSCPLDNFELLICSVAGPEGKSFPLCPYCYSNPPFEGIESLINTAKTSTAGKVGKGAGMPCNLCPHPTCPNSLVTQGVCACPECSGTLVLDPISAPKWRLCCNMCNCLVFLAEGAHRISATKERCLECDSSIIEVDFNKKTTPLADGSTLHRGCILCDVLLHSLVEMKHGRGFKRMSSRGRGRGRGGRGRGRGGKMMDPKMSFRDF >CAK8575997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:382201984:382202127:1 gene:gene-LATHSAT_LOCUS28217 transcript:rna-LATHSAT_LOCUS28217 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEISRKGPWTEQEDYKLAYFVGLFGDRRWDFIAKVSGLEGGGRE >CAK8571393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:396459348:396460607:1 gene:gene-LATHSAT_LOCUS24060 transcript:rna-LATHSAT_LOCUS24060 gene_biotype:protein_coding transcript_biotype:protein_coding MENIPVEVIGNILSHIGSARDTVIASATCKKWREAWRSHLHTLSFDSCDWPVYHELTSDTLEILITQTIFQTKALRILIISMGDVHEFSTAPVIAWLMYTRDTLRKLHFYVSTPPMFNIIEKCGRQKLEVLMLGRNSITHVEPSYQKFPCLKSLSLSFVSISEWDLYLLLMACPRLETLCIVSPEIAMSDSQASMELSSSSLKEFSVESFGLDKFVMEAELLECLHLKHCTFEVFELIGKGCLKVLKVDDVSVTHLEIGDNADNLEFVDISNFTIMWPKFYHMILKASKLRRLRLWGVVFEDDEEVVDLETISVCFPQLMHLSLSYDLRDGVLNYGLQGSSLLMNVSVLELGWTSINELFAVWVSGLLERCPNLKKMVIHGFVSEVKTHEECIILAKFTEFVTQLGRQYMDVKIGFEYE >CAK8561886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404861157:404862723:1 gene:gene-LATHSAT_LOCUS15437 transcript:rna-LATHSAT_LOCUS15437 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFRHSREGLRKPSLTTLQTLIFILFVIFTIFILIFLTLRIPKFNHLNSISPNTLRSEIDDSQSWVEIISWEPRAFFYHHFLTIEECEHLINIAKPNMYKSLVVEPDNNGTAHNVYSRVRTSTGTFLRRGQDKIVRDIEKRIADFTFIPIEHGEDLQVLHYEVGQEYVPHHDYFTDEYNLWNGGNRIATVLMYLSDVEEGGETVFPAAEVNFSSVPWWNELSDCGKKGLSIKPKMGDAILFWGMKPDSTLDPSSMHGSCPVIKGDKWSATKWLHMGEVKTFSLS >CAK8563614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620567538:620570657:-1 gene:gene-LATHSAT_LOCUS17008 transcript:rna-LATHSAT_LOCUS17008 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEAIRAKDIAEKRMENKDFAGARKFALKAKQLYPVLDNIPQMLVVCDVHCSAEQKVFDNEMDWYGILQLEHTAGNAMIKKQYRKLALQLHPDKNKFSGAESAFKLIGEAQRVLSDTVNRTRYDIKLRLNKAFMPRQNQQRVPSNFNSAMKNNVSPNFTNSNTQMQQQNKQPAQQQQNKQPAQQQQNGVRRTFWTACPFCTVRYQYYREVINKSIRCQQCHRPFVAYIVDGQGSSQTTNSSQQASGQQKDGLNHGTWKESVGSEGNSHTEKSNTRPFKNEDPVGSGMPNVKRKWNPLEGLSSESSSSSDSDSDSEIFAGVNGFPGVKNHSTGQPHRSVRQKPNVSYSYNMSDNDSLRPSKRGEENGAPCVNGQSHNETIKMNDQNGSVADPKDEHEKVKQKQDFYSKESSLNRNELKNWANGKEAMGGSKVKQKQDFHSKESSLNRNEEKNWANGKETVGGSKQMGETSEHFSPNSVYKATNQPNANVYPNAEHSSPNSISKVTNYPNAYVYPNAEFSDFDKERKKECFAPGQIWAIYDTTDGMPRFYSLIREVLYPEFHLKATWLEPHPDDNDEIKWVDKELPVACGKFKLGTTDLIKDHLMFSHLAQCDRMGRNTFKVYPRKGETWAVFKNWDIKWYVNAESRKQYTYEFVEILSDYVEGEGVSVVYLGKLKGFVSLFFQIMKEDNRPFQIPSLELFRFSHRIPSFKMTGQEGAGVQLGYLEFDPASIPMNLEELAVPRNLDVKIEHSSRGSENAKSKQKSKSLSRPEEIASTPKVNIEPGNPTEIKDSFDDMDDGHTTPTSTLDAFEIPDAQFFNFETWRSLDKFQIGQIWAFYSDEDGMPKYYGEIKKIETSPDLELHVNWLACSQLPEGTDKWNDEDMLTTCGRFRMMKTNDFLSVYNSLSCISHQVQAEAIGKSYAIYPRKGEVWAVYRKWSNEIKCSDLKNLEYDIVEVLEEGSWFIETLDLENVNGYRSVFRGKVIEGSSVKVRIPRKYLLRFSHQIPAFKLTQEHGNLSGFWELDPGALPPSFLWP >CAK8570546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:58374708:58378700:1 gene:gene-LATHSAT_LOCUS23286 transcript:rna-LATHSAT_LOCUS23286 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEEFQANLDSLPNILHKKYALLRDLDKSLEDNQRQNEQRCQQEIEDIRRGVRSGNITLDTSVIRLSDEALDEQKHSIRIADEKVALAVQAYDLVDTHIQQLDQYLKKFDEELRRERENAAMTGVPASSTDVNTKSGKNEGGRGGRKKTRQTTSVQTAIAAATAAAAELAASANPTGMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPDCKIEWFHFGCVGLREQPKGKWFCSSCAATRNRRRGK >CAK8544785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705627539:705629101:-1 gene:gene-LATHSAT_LOCUS13438 transcript:rna-LATHSAT_LOCUS13438 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKCFELEDEVMFPNFILVSINACKLSKPYDVNALNLMNSCAVAVLEEFADVVLAYGFSDEYTFVFKKSTKFYERRASKVLSIISSFFSSVFVRKWCEFFPQKELHSPPSFHGKVVACASIDALQAYLLWRQNICHLKNQYDQCFWRLVERGMSEREAREFIDGAKKRDLNDILFDEFNVNYNTLDPIFRQGSCILKTMVGAVVKYTETGAPVKRQRREIITVHSKKIASTRFWNEHSILLKELGGFVEEINNVKPEYVRSFEFDSKLMPSTWVVVRIDGCHFHRFSEIHDFAKPNDDRALNLMNSCAVAVLEEFRQDIIVFAYGVSDEYSFILKKSTDLYERRASKIISAIVSFFTSTYVMRWKDFFPQSELNYPPSFDARAVCYPSAEILRDYLSWRQVDCHINNQYNTCFWKLVASGKSKREAQRSLKGAQLQKKIEELAIDYNKLPVMFRQGSSVFWDRVDNVLINPENGESSENCGKVIVQHIDIIGSAFWLEHPGILDEKINVLKKC >CAK8570190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28107751:28108240:-1 gene:gene-LATHSAT_LOCUS22963 transcript:rna-LATHSAT_LOCUS22963 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNFRNCLRIAKENNIQYIAFPAISCGVNGYPYDEAATVAISTIKEFQYDFKEVHFVLFASDICEIWLNKSDELLKD >CAK8534940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792684897:792693284:-1 gene:gene-LATHSAT_LOCUS4435 transcript:rna-LATHSAT_LOCUS4435 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSQFFFLSLIAIWLMSLTAFGATTIHPDEKKALEDIGKSLGKKDWNFDIDPCSSKPNWFTPPIPKIFENNVTCNCSVPGDNFCHVTLISLKGQSLQGTLPRELNRLQYLQIIDLSRNYLNGTIPKEWGSMTNLLNITLPGNRLTGSIPKEIANISTLIQLELTANQMYGNITPELGNLPQIRTLRFSSNNFSGELPVTLAKLTTLQDFQISDNQFSGKIPDFIQNWTNIKTLIIQGSGLSGPIPSKISLLRNLTDLRISDLSGSEYAPLPQLNNMISLSKLILRNCNINGTLPEYLRNMTSLKTLDFSFNKFSGTIPNIYTDISNDMNYIFLTGNHLTGPIPSWKTNLYVDLSYNNFSISQGNQICQNDKVNSFSTSWANNNIGTVSCLSECTKPSYSLYINCGGQQETVDRKSYDGDSDSPGPAKFHVSPTGNWAFSTTGIFIDSDQLGETYSPKNVTALTMADADLYKNARGSAISLTYYGFCLANGRYTVNLHFAEIMFTDDETYGSLGRRVFDIYLQGKPVQKDFNIAKEAGGVGKKVIKPFKDVVVTSNTLEIRLYWAGKGTQSLPNRSVFGPLISAISVESDSPPGSIPAGAVVGIVIAATVIIILVFGILWWKGCFGKKNSLARDLKSLDVQTGIFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIKLDWPTRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDTNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGRNNTLHRTKEEAFYLLDWAHLLKERGDLMELVDRRLGSDFNKKEAMTVINVGLLCTNVTSHLRPAMSSVVSFLEGRNAVPEFVSDSSEVMDEKKLEEMRQYYYQKEENKMSNTSQTQSQSLLSDGPWTASSSSAADLYPLHLDSSYLKERN >CAK8534941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792684897:792693284:-1 gene:gene-LATHSAT_LOCUS4435 transcript:rna-LATHSAT_LOCUS4435-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSQFFFLSLIAIWLMSLTAFGATTIHPDEKKALEDIGKSLGKKDWNFDIDPCSSKPNWFTPPIPKIFENNVTCNCSVPGDNFCHVTLISLKGQSLQGTLPRELNRLQYLQIIDLSRNYLNGTIPKEWGSMTNLLNITLPGNRLTGSIPKEIANISTLIQLELTANQMYGNITPELGNLPQIRTLRFSSNNFSGELPVTLAKLTTLQDFQISDNQFSGKIPDFIQNWTNIKTLIIQGSGLSGPIPSKISLLRNLTDLRISDLSGSEYAPLPQLNNMISLSKLILRNCNINGTLPEYLRNMTSLKTLDFSFNKFSGTIPNIYTDISNDMNYIFLTGNHLTGPIPSWKTNLYVDLSYNNFSISQGNQICQNDKVNSFSTSWANNNIGTVSCLSECTKREFLHASYSLYINCGGQQETVDRKSYDGDSDSPGPAKFHVSPTGNWAFSTTGIFIDSDQLGETYSPKNVTALTMADADLYKNARGSAISLTYYGFCLANGRYTVNLHFAEIMFTDDETYGSLGRRVFDIYLQGKPVQKDFNIAKEAGGVGKKVIKPFKDVVVTSNTLEIRLYWAGKGTQSLPNRSVFGPLISAISVESDSPPGSIPAGAVVGIVIAATVIIILVFGILWWKGCFGKKNSLARDLKSLDVQTGIFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIKLDWPTRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDTNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGRNNTLHRTKEEAFYLLDWAHLLKERGDLMELVDRRLGSDFNKKEAMTVINVGLLCTNVTSHLRPAMSSVVSFLEGRNAVPEFVSDSSEVMDEKKLEEMRQYYYQKEENKMSNTSQTQSQSLLSDGPWTASSSSAADLYPLHLDSSYLKERN >CAK8562869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551141672:551144462:1 gene:gene-LATHSAT_LOCUS16332 transcript:rna-LATHSAT_LOCUS16332 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFRGFLGLKKPEYGSTDQKPPKEKRRWSFVKSYREEQQQQQQQHHNSHVTFLAEDVNNNKQAIAVAAATAAAAEAAVAAAKAAADVVRFNSSNGIVKLREQWAAVKIQAAFRGSLARKALRALKGLVKLQALVRGHIERKRTAEWLKRMQSLIRAQARFNAARSLQTSHSNVKSSTLYLHGGPATPEKSESPVRSRSMKSEHSSTLKRNGSKSCLPFSSNISENQIDEQSWNRVKSLIRSYSRSDEKTERIVEIDLGKQHMTSKRRNLFHSISDTDHYYYSQTLTPTKESKSHQSSQSQSCEVQSYYNPLKLSEVEENSPQFLSSATSKEDGYKRSPFTPTKSDGSRNSLRGYSDYPSYMAYTESSKAKVRSMSAPKQRPQHERSGSSNRYSLNGHDIARLAAQRNSALQASFASKGYPGSGRLDKLGMPVGYR >CAK8569282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691170536:691171231:1 gene:gene-LATHSAT_LOCUS22156 transcript:rna-LATHSAT_LOCUS22156 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHSLGSKLYPYPSDDYDYIGNESCTDFQTDNDFLFNKSLASSNEKKMKICIRNREKKREFPPPIPCLAQTQNLASHVSYVLKRYYTDEGRLIIMEEKVKHHEYFHAHRQNDRLTLQLVPLGHDDNEDDCFMEVDKQQEKVEKEEDEINNVPMDQSVVLSDDFEEENMDNTQKNIVVGNNDDEVAVEDENEIIGGANSKANYLICNNSVISSSSGIFGVLPLQLIRTVCG >CAK8544720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702073136:702074786:1 gene:gene-LATHSAT_LOCUS13375 transcript:rna-LATHSAT_LOCUS13375 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLSNSNEVSGTINFSQEGNGPTTVTGTLAGLKPGLHGFHIHALGDTTNGCISTGPHFNPNGKEHGAPEDETRHAGDLGNINVGDDGTVSFTITDNQIPLTGSNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >CAK8566850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467052006:467059606:1 gene:gene-LATHSAT_LOCUS19953 transcript:rna-LATHSAT_LOCUS19953 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQEPSVSTEVSGIAEVKEWLAKTFEVAGKPVPEFQYTPRSVSHLHHLSTLSKAKDEAARLVARDFRLKASEYRSQAARIREILENVGLAQESLPSSVVASAQVLANVSNLLNIRDTELSSYLVAMGDISLRKIGVEEKRAKVKKESKFLLDYTRKALSRLNYLKRTYNQLDHELPLCQAQMDNWSTNLQVMAAKERQYIQQSANYKAVLNHTGYTPEVSHSVLVEMAEHRKELEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQFAAAEKHLEDVLQTALSNSG >CAK8567297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502263489:502268398:1 gene:gene-LATHSAT_LOCUS20362 transcript:rna-LATHSAT_LOCUS20362 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGSKLEKALGDNFPEGEHYFGLENFGNTCYCNSVLQALYFCVPFREQLLQYYGKNKNITDAEENLLTCLADLFLQISSQKRKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNELVDILEKEAQTAKDNQETLLPSEKISNGPKNGLANGAKKEPPLATTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKRPPRVLVIHLKRFKYMEQLGRYKKLSYRVVFPLELRLSDTDEEADIEYSLFAVVVHVGSGPNHGHYVCLVKNHNHWLCFDDETVEAVDEASVQTFFGSTQEFNNNTDHGYILFYESVNRN >CAK8565221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:74481279:74483027:-1 gene:gene-LATHSAT_LOCUS18453 transcript:rna-LATHSAT_LOCUS18453 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIRKFHMDNFVDFYMNQNDSKRYVIVCRNASCKFRLAASYRKRSDCWVIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLGGVDPSVKVSTIIYHVVARFNYTPSYRKAWIGRIKVVEHVYGNWEKSYNQLPQYLLALQKYVPGMVVILESLPAYTPEGTCVDGSRIFFRLFWAFQPCINGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQYENNNIFPIAFTLVEGETGEAWSFFLRNLRIHVTPLPNLCLISDRHASIVSAYNNPANGWHNPPSVHVYCIRHIAQNFMREMKDRNLHKKVVNAGYALNQSSFMYYREEIRLSSAEALRWVDSIPVKKWTRSFNGGCIWGHMNTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLESGQIFGESCMKYMKDETFKATSHRVRPFDRHDYNFIVDETIDHNEVRPMGHYRVELHKNWCDCGKFQTFCMPCSHVIAACSSARHDPFLQLSEVYKVMNLFGIYNNSFPVVASEEYLPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGNTRNNCPNVGKSSR >CAK8576863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521135898:521136287:-1 gene:gene-LATHSAT_LOCUS29026 transcript:rna-LATHSAT_LOCUS29026 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGNRDSSVLDGFTLNPLPYPVLLILAVIFIFLGSSWYFSYEEVVENAQEQLGWVLFATPVILILIVRLLSSMDDSEWFPGSSIWGRRRTTYQTPSEGSSPWGVAALIVVLLLLVQFQSSFLEGWFY >CAK8576130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:412266088:412266737:1 gene:gene-LATHSAT_LOCUS28344 transcript:rna-LATHSAT_LOCUS28344 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDNLCKPKAEGGLDIINLESFNKALLMKWKWRIVTDREAIWRCVLRHSYTNPEAKMFINDSSAINHNDSIWCKWVGNQTMKKAFPESYASLVNHLCTVAEAGQWINGSWNWSLCNIGLTGSNEASYVTEFLMVWEEDNSVEHDMVDTFEWWPEKFFFFFCPFCVPQALSQEDSKFNLE >CAK8535228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827889570:827889995:1 gene:gene-LATHSAT_LOCUS4701 transcript:rna-LATHSAT_LOCUS4701 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLVSIWEKIDQFPMKDVFNMDEIGLFYRLQADHLLAAKQLEGRKQDKERLTVVICCNEDGLEKIPLWIIGKYAKRRCFKNFNMNSLDFQYRANKKAWMTSVLFDEYVRSFDQMMHGRRVLLVVDNCPAHPRNIEGLRN >CAK8579653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714868080:714869749:-1 gene:gene-LATHSAT_LOCUS31583 transcript:rna-LATHSAT_LOCUS31583 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAASENGSSSVAPFLTKCYDMVEDPSTDSIIFWSQSDNSFIIDNVSQFSLTLLPNYFKHNNFSSFVRQLNIYGFRKIDSDNWEFANENFIRGQKHLLKNIRRRKHPHVADQQKALPQKSNSDEPSLEAINSSLWKEVENLKSDRKTLTQELVKHKQHLESSESKLLLLSDRLEGMEKHQQQMLSFLVMVVQCPGFLAQLLHPKENNWRFAEEGNMWDHSSQDNEPVPSDGMIVKYKPPVSETLKPVVPLSSAFEPEPELSADGMKDLCISSEFLKLLLDEKLSPLDNHSPFLVPDLPDDGSWEKLFLGSPFPENVEDTDHENERHNVSEMEMESIMETPNERSAFEAMIVEMEKTQA >CAK8570612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:72369789:72372252:-1 gene:gene-LATHSAT_LOCUS23349 transcript:rna-LATHSAT_LOCUS23349 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLELGNTDSWDFLDYSFIDPPPTDFLWSNPSDFASVNTEIDIRSSNFASVSADIGIPSGVVACQEENNTRKRGRAESCHKAGTKACREKLRREKLNERFCDLSAVLDPGRPVRTDKPAILDDAIRVLNQLKTEAEELKETNGKLLEEIKCLKAEKNELREEKLVLKADKEKIEKQLKTLPISPAGFMPPPPMAAYQTRVNKMAVYPNYGYIPMWQYLPQSARDTSQDHELRPPAA >CAK8542402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509726002:509728683:-1 gene:gene-LATHSAT_LOCUS11243 transcript:rna-LATHSAT_LOCUS11243 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEEREENAAEPEPKNSNESTRPEDDNEEEEEPDAVAVVEEIQQVIESVVQFGEYRKMQRKESQILARRFKHMLPLMEDLRELHQPVPQNGLVWLKNLRDALLFAKDLLKLCNQGSKIHLALEGESVMIKFQKVYKKLSRAFKGVPFEELGISDEVKEQLELMHVQLTRARRRTDTQDIELAMDMMVVFSDEDDRNADSAIVERLAKKLELHSVEDLEVETVAVGLLARERKGQQEESTQKIIGLLNKFKRIAGMEETAVVLDDDPAMPNKMLLRSTSLIIPHEFLCPITLEIMTDPVIVASGQTYERESIEKWFKSNHNTCPKTRQPLEHLQLAPNCALKNLISEWCQNHNFTLPKISTTSCQETSSTENQEAIPSLVESLGSINLEHQRKAVERIRMLSKENSENRILVAKHGGIPPLVQLLSYPDSKIKEHAVTALLNLSIDEGNKKLISKEGAIPAIIEVLENGSIVAKENSAAALFSLSMIDENKEVVGMSNGIQPLVNLLHNGTVRGKKDAATALFSLSLNHANKDRAIRAGIVTPLLALLKDKNLGMVDEALSILLLLVLNAEGRQEIGQLPFIETLVEFTRQGTPKNKECAASVLLELCSSNSSFTLAALQFGVYEHLIEIKESGTSRAQRKANAILELISKSEQI >CAK8570689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88248886:88251332:1 gene:gene-LATHSAT_LOCUS23423 transcript:rna-LATHSAT_LOCUS23423 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDFRIKIKALRVKLSCLGKRSTRRNHEIANKPSWMTPISHGHHVVEHDLFEGDDSDDYEFDSVVIQREQIGGAELWYFGIFDPVIGDCVTKYLQSNYFDKKLTETHLARKAKETLKKAYLGAKTKIRETQESEETCLIGSTSVIIINGEKLVLANIGDYRTVLCKDGVAHQTHGRYNHQSAKKHWYHRLFSVIACESGGNATATKYAKASELVVGGYYRIDSSTEFVILASNGIWEVMKNQEAVNLIRHIEDPQEAAECLAKEALVRRSKGNISCLIIRFD >CAK8570688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88248886:88251332:1 gene:gene-LATHSAT_LOCUS23423 transcript:rna-LATHSAT_LOCUS23423-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDFRIKIKALRVKLSCLGKRSTRRNHEIANKPSWMTPISHGHHVVEHDLFEGDDSDDYEFDSVVIQREQIGGAELWYFGIFDPVIGDCVTKYLQSNYFDKKLTETHLARKAKETLKKAYLGAKTKIRETQESEETCLIGSTSVIIINGEKLVLANIGDYRTVLCKDGVAHQTHGRYNHQSAKKHWYHRLFSGGNATATKYAKASELVVGGYYRIDSSTEFVILASNGIWEVMKNQEAVNLIRHIEDPQEAAECLAKEALVRRSKGNISCLIIRFD >CAK8531346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103070307:103070915:1 gene:gene-LATHSAT_LOCUS1146 transcript:rna-LATHSAT_LOCUS1146 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSRTIIRAVDEGHWKPNNGPHLSYLFFADDVLFMKVSNSQVVIISHILNCFAMFFNLKVNVAKSKAFFSASTKRIKIDLVVSNTYIKKILTLVKYLGFPMLHGHIQCKDFEFPEAKIGQRLTSWQCKLLNKIVRLTMVRYVLNSIPNYHMQVAWLPQPTCEFIDMMANNVLWKGDSNADVYLIGLDKITKPKKLGGLGI >CAK8538043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460004922:460005701:-1 gene:gene-LATHSAT_LOCUS7284 transcript:rna-LATHSAT_LOCUS7284 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFKIFLVSIISITLFVSSSESSTTNLYLYGGCTQQMYTPNSPYELNLDSLLTSLVNSATYSSYNNFTVLGSTQQDIIYGLYQCRGDLAMPDCASCVARAVTRAGDMCRNTCGGTVQLDGCLVKYDNATFLGVEDKNVLLKKCGPSVGYNPEAMGSRDAVLGGLVGLGGPFRVGGSGIVRGVAQCTGDLSFGECQDCVAEAIGRLKSDCGTADYGDLFLGKCYARYSTGGAHDSSKAHGKARRGFFLMLPLLLLLLLF >CAK8538044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460004922:460005590:-1 gene:gene-LATHSAT_LOCUS7284 transcript:rna-LATHSAT_LOCUS7284-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPNSPYELNLDSLLTSLVNSATYSSYNNFTVLGSTQQDIIYGLYQCRGDLAMPDCASCVARAVTRAGDMCRNTCGGTVQLDGCLVKYDNATFLGVEDKNVLLKKCGPSVGYNPEAMGSRDAVLGGLVGLGGPFRVGGSGIVRGVAQCTGDLSFGECQDCVAEAIGRLKSDCGTADYGDLFLGKCYARYSTGGAHDSSKAHGKARRGFFLMLPLLLLLLLF >CAK8569010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662707752:662707979:1 gene:gene-LATHSAT_LOCUS21908 transcript:rna-LATHSAT_LOCUS21908 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVLRNYGGKGWKSDLFRFALTETLHEIWLSRNESCFNQRTDKRKCLDRIINNIMYRGWTSPKLKPHIARLILP >CAK8530232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8842582:8844476:-1 gene:gene-LATHSAT_LOCUS115 transcript:rna-LATHSAT_LOCUS115 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDRSPKDGERIIASTRRPDGTLRKEIRIRPGYVPPDEVAIYQPKPALMRKEMASHIGPPPGYDPQLDSKPKTKAVKRNERKKEKKRLQVKETNLEPTVVEDSRKQEAVVVENTVHTLTSQINELAVSGDSSIVTPTNNSAEASEPIGSAQDLDKKIRALKKKIRLTEALQEKTAEQDLKPEQLEKLAKLEDWRKELKQLENKKAEILVV >CAK8579625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713353501:713355246:1 gene:gene-LATHSAT_LOCUS31556 transcript:rna-LATHSAT_LOCUS31556 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGQMRNGKYAADISSIKEAHARIKSLVRKTPVLSSTSLNHISGRQLYFKCENFQKSGAFKFRGACNAVFSLTDEEASKGVITHSSGNHAAALALAAKLRGIPAYIVIPEDAPTCKVVNVKRYDGKVNFSEANIWSRQEVAKKVQQETGAIFIPSSNDGHILSGQGTIFLELLEQVPHIDTLVVPISGGGCVAGVALAAKAINPGIRILAAEPKGADDAAQSKAAGRIITLPEINTIADGLRACLGNFTWPVVRDLVDDIIVVEDIEIVKAMKQCFEILKIVVEPSGAIGLAAVLSETFQKNPAWKDSKHIGILVTGGNVDMAVLWDSFNKWK >CAK8536574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955979819:955981105:1 gene:gene-LATHSAT_LOCUS5930 transcript:rna-LATHSAT_LOCUS5930 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKTNITILRATSPHSQPNFLILQRHFCLWSMKKDPDLESALSRNRRWVVNNQIKNIILRYPNNEIPIETLQKKFKTLDLQGKALNWISKYPSCFELHQNRIRLTKRMMNLVHQEQTIKDSLDPVFAQRLAKLLMLSVNNTLNVLKINEIKNSLGFPDDYLIRIVPKYPDLFRIVNESGRRSSMAIELIHRNPNFAVSEIEASALKKGVEPNFSCCLPSSWVKSLEKFREFESVPYVSPYSDPRLLVEGSKEMEKRNVGLVHELLSLTLWKKVSIMKLGHFKREFFLPDKLNVLLLKHPGIFYVSNKYRIYTVLLREGYVGSQLLDKDPLVVVKEKFGEIMQEGLHEYNQRRRLVNIEKKRNKGVPLSRVDEDEMKGRRRRRRSGEVSDEDDDVAVDGVNGNKLGGLLDPEERKRFYKVLFDDDGS >CAK8573926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648015076:648016119:1 gene:gene-LATHSAT_LOCUS26320 transcript:rna-LATHSAT_LOCUS26320 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSNPTLIPQHSTKNHQQHHGSSIVEEIKGLIKLHKNGYIERSNIVPSVTPDHLSPNLNVISRDIIIDSVTNIWARFYVPNSQQNKLPLLVYFHGGGFCVGSAAWSCYHEFLAMLSSKLGCIIMSVNYRLAPENPLPAPYDDGLNALIWLKKQSLYQNETSTGSEFEWWTKNCNFCSVFLGGDSAGGNIAYNVAKRVYSCEDAFIRPLNLKGLILIQPFFGGKERTLSEKCMVQLSGSALNLAASDAYWRLALPYGEDRDHPWCNPLVKLEELKMAVLVCISEMDILKDRNLEFCDGLGRIGERVEYEVFEGVGHAFQILSKSQVSKIRVVQMMDRVKSFMLST >CAK8577347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556324557:556327312:-1 gene:gene-LATHSAT_LOCUS29461 transcript:rna-LATHSAT_LOCUS29461 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPHKFANVHKVFGASNVNKMLQDLPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLAVAQAEAVHLRVRQTASSFWNSAGHSPTSPTYSGSPSSKINESQAKHIFDMDMVVDQGGYADSMW >CAK8530768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48329438:48330274:1 gene:gene-LATHSAT_LOCUS610 transcript:rna-LATHSAT_LOCUS610 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIIFFSLLVLSAHIFFIAASAQSPAAAPKPPAKPAPVTPAPAAAPAKPLVPSLPQSPSSDSSGQDIIKILRKAKSFNTLIRLLKTTQIINQINAQLVTTKSGGLTILAPDDGAFSQLKAGYFNSLGERQQKELIQFHVLPVYVSSSNFDSLSNPVLTLASDSPSGYQMNVTAYGNNVNISTGSVNATLTGIVYSDKTLAIYHVDKVLIPLDFSKPKALAPAPTIAKAPKGAKDSSSDDDQGETTKATSGAINLISLQGTMFVSLFVGLVAAIVIFG >CAK8576350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:465997285:466002721:-1 gene:gene-LATHSAT_LOCUS28545 transcript:rna-LATHSAT_LOCUS28545 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSVLNFLQDKSILITGATGFLAKILLEKILRVQPNVKKVYLLLRAQDAKSATHRFQNEIIGKDLFKLLKEKLGANFSTFLLEKLTLVPGDISFEDLGLEDCILKEEIYNQTDVIINLAANTNFDERYDLSLGLNTLGAKYVINFAKKCGQLKVLVHVSTAYVCGEGEGLILEKPYHMGQSLNGVSGLDIDAEERIVRDKLSELQQLGATEAEIKMAMKNLGLSRAKLYGWPNTYVFTKAMGEMLVGQLKGNLSVVIVRPAIVTSTFKEPFPGWSEGVRTIDSLALAYGKGKLTCFLGDPNAIVDVIPADMVVNAMLVAIVAHANYSNCDSIYHVGSSVRKPVIYSDLQEFGFRHFTANPWINKDGKAVKVGKVTVFNNMESFRRFMFIRYLLMLKGLELANTALCQYFQGTYLDLKRKIQIVNRLVDLYKPYLFFKGVFDDMNTEMLRIAAREGEVETDLFYFDPKVINWDDYFLKIHLPCVAKYIFK >CAK8566553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434762538:434763056:1 gene:gene-LATHSAT_LOCUS19676 transcript:rna-LATHSAT_LOCUS19676 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSFTSVHITALDAITNVNSLFTLGVFIGLSFNPNDPSNNLNSDPNCIPTTAIAENLVAFHVYSFSSFLFSSLVALALKQAIRLSRSSSSPAVAHINRSALRVGMLVSGIGSVSGCAFLMLALANVVQIKLGTLACGSQHALAAVVPLFIFVPGALLVYVTVVVYAFTR >CAK8572855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567614028:567614396:1 gene:gene-LATHSAT_LOCUS25370 transcript:rna-LATHSAT_LOCUS25370 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKNPNQFFSYVRFEASGDSEADSDPNMDCEKAKSSLHDEDNDDALSCSGGSTVDEHDDGDENEKRKDEEEEKDVVYGKSYCEEDEEDDEVHGEDVDLGGDLVDENEKNRRFWEACMAS >CAK8571566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:440755825:440756616:1 gene:gene-LATHSAT_LOCUS24214 transcript:rna-LATHSAT_LOCUS24214 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTTKPISSPSRIDKFPPPLMRFLRTNAGNRSRGRSKSKPKSSNSMFQILRKKNTTSIETKEPSSPKVTCMGQVRVKRPSKQPTKKGISGDEAPTKCRCRFWWPWVPHTNDWFRRKGKASKGSTKNGPKSKESEREQQQHEEEENRTVKVKAFVSNSNDCASSLCSTPPRNALLLTRCKSAPYSSSSLASRFWGSPIKNKETEQVSEKQAVSRLRFFKELEDSVRERMNESESVGELKRKEESVAFPIVLTRCKSERTRRF >CAK8567998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562010945:562012298:1 gene:gene-LATHSAT_LOCUS21001 transcript:rna-LATHSAT_LOCUS21001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSKNKNKLRKSWDSEDDIYDFLKYKNTQNKNQNKFNYYNNLLNRFVIKHPKPDPELLQFLEGSASIVESHILSLQEQNRDNNAEENLTEDEEVQNGFLLDYFKPRGKSYVLDIVDDGVLVQYEDEVKVRKRRGTVKLSAAKNGGKPKRGRKRKNLVGGSSVKGACFEKIGDGEKGEQDDTPSCMEVSVKKEIQDYDDDDDDDDDVEEIDMMHVDHDQTLNHFDFDCIQLNLDNEVRARKVAEFRERLMNELDRPYCEEECKRLLEEFNLRKPVQNHKILRGVVKIYEGDHDGKSYHDHNLDLAKQIDAAGDDLPKVLRLLRGFFFWLTNLTDEGAFLPWRVPLGLDLDVLPLH >CAK8566584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438831473:438832985:-1 gene:gene-LATHSAT_LOCUS19705 transcript:rna-LATHSAT_LOCUS19705 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLNASLSSTSKPHHRLSFSSTSATPLPPFLRTNPKQTLQPPPKLTLSLTQSVSSATLVALLSASLFFVHPALAFKGGGPYGQGVTRGQDLSGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRSADFSLANVTKVNLSNANLEGALVTGNTSFKGSNIIGADFTDVPLREDQREYLCKIADGVNSTTGNATRDTLLCN >CAK8565712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311362970:311364332:1 gene:gene-LATHSAT_LOCUS18900 transcript:rna-LATHSAT_LOCUS18900 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHNFAGCGVLIQAWGWSRMPRLSLINPNPFHFPYATKWSAYGMNYEKTPHHCAPEYQTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKIQFGIKQDIPDPPTCMERYHKSAANDQWKFDDWRDHNRQERQHWMNRRHTVLRGNVMDAECKPSREYMNCYRSVTNLYLSQNRYLWDPRNQPTSSNFQNIPSMTCDTQPPIFNTPQPIFNTPQQIFNTPFNPNPTQPPYTQSQHYNQPYTSFNPNPFNIQQQNPTSSYPQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTLSQDQHPYTPYMTNAAPPINPPSWSNEGTRLSYGSAAAIPCDDDFSGDLVAQFMNPNNDAGPSIQTQNAEVDRRRSIKNVQAPASGTHQRLR >CAK8530532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28171092:28171463:1 gene:gene-LATHSAT_LOCUS390 transcript:rna-LATHSAT_LOCUS390 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKSKRVCFSPGVHEKPTIILKHSSCSRVLRNRKRIIGTWSFRFHSRDPVMLPVRFLIRLGAKVTNSLKTVSLRRRSTMKVPSSTLVRSHSLSDHLADSHRAKAVADCIEFLHSSSSREAPS >CAK8568995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662071898:662072265:1 gene:gene-LATHSAT_LOCUS21894 transcript:rna-LATHSAT_LOCUS21894 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNWTAKQNKRFENALAMLEKDTPDRWQKVARAVGGKTVEEVKRHYEKLVEDVKLIEEGHVPLPKYTNNGCNYMMDQQDKRMKALSLQ >CAK8542780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546159699:546160322:-1 gene:gene-LATHSAT_LOCUS11588 transcript:rna-LATHSAT_LOCUS11588 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMKNKTAKLLKKIIAGLTLMAKSKTMALKSKANAVKARLIIFSLMKNKKFLMSSISDKFHSIWGSHSHHHSKEDCLIEEGVSSDDRHRRTMVIYNNNARTYEALQNPSELAQVVDEQDQEDGYYEDDDDKYPDLTHSLFDSEELDFGGSVIDRVKNCKEEAGKEFKLEDDIDEVADLFIRRFRRNIILQKQDSLKRKREIAQNGT >CAK8539693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521825534:521826088:1 gene:gene-LATHSAT_LOCUS8769 transcript:rna-LATHSAT_LOCUS8769 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGVKVERETMGTRKRNGVVVEGERRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPELVVGENAAVVAGAGAGDMSAASIRKKATEVGARVDALQATLHQHHHNHNHHNHHNHNHNHRVMPMPVVVGGAGGDLVERVDLNKIPEPENSDCEWEVS >CAK8564151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654638033:654638329:1 gene:gene-LATHSAT_LOCUS17480 transcript:rna-LATHSAT_LOCUS17480 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHVQIMKNSIYDDVESESQSQSEEEEALSLCDLPINEDSESLDDKSFKRNSNIRRPMSLPESTISSAVSVVVVAPICVPQTTSFSAASSCRLKS >CAK8563644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621743143:621746800:-1 gene:gene-LATHSAT_LOCUS17033 transcript:rna-LATHSAT_LOCUS17033 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSSQTSVFSITLPQRTFFIHFPNPYHISYCDRITRRKNSYVIRSQKNRDDNDDNDEFYMRKSVELARKGLGYTSPNPLVGCVIVKDGQIVGQGFHPKPGQPHAEVFALRDAGDLAENATAYVSLEPCNHFGRTPPCTEALIKARVKKVVVGMVDPNPIVASKGVDRLRNAGIEVVVGVEEELCKGLNEGYIHRMLAGKPLLTLRYSLSVNGNLSDALGNGVTDSGGYYSKLLQEYDAVILSSSLFGKSLSVDSVPLSQEPGVNQPIRIILHKGSGSSNQMPLVINDSKVIIFTDSRTATTTEEAQQGIETVSVDQINLDVILDYCNRQGLCSVLLDMRGNFSEHEELVKEGIKKKYINKFVTEILPIWNGCNQNDPLLPFKNLDQGVKVENLRPMASAQSVVIEGYLNFN >CAK8563645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621743143:621746514:-1 gene:gene-LATHSAT_LOCUS17033 transcript:rna-LATHSAT_LOCUS17033-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGLSVSFPYYNSRFSTNASPHPPIHLNFTYGFSKSLTYSDRITRRKNSYVIRSQKNRDDNDDNDEFYMRKSVELARKGLGYTSPNPLVGCVIVKDGQIVGQGFHPKPGQPHAEVFALRDAGDLAENATAYVSLEPCNHFGRTPPCTEALIKARVKKVVVGMVDPNPIVASKGVDRLRNAGIEVVVGVEEELCKGLNEGYIHRMLAGKPLLTLRYSLSVNGNLSDALGNGVTDSGGYYSKLLQEYDAVILSSSLFGKSLSVDSVPLSQEPGVNQPIRIILHKGSGSSNQMPLVINDSKVIIFTDSRTATTTEEAQQGIETVSVDQINLDVILDYCNRQGLCSVLLDMRGNFSEHEELVKEGIKKKYINKFVTEILPIWNGCNQNDPLLPFKNLDQGVKVENLRPMASAQSVVIEGYLNFN >CAK8532107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:204724803:204727411:-1 gene:gene-LATHSAT_LOCUS1843 transcript:rna-LATHSAT_LOCUS1843 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASPPVIVSKIGIIGAGVSGLAVAKQLSKYNPVVFEATDSIGGVWRHCSYRCTKLQSQTQNYEFSDFPWPERDSSDFPTYAEILEYLHTYAVRFDLFKYVKFNSKVVAIKFIGDKDGFDFGRLHRDSGNLLSGRPMWEFSVQSNESDAIQRYHFEFVVLCTGKYGDIPLMPKFPRNKGPEVFNGKVLHSMDYCKLDEKATSDLVKGKKVVVVGYKKSAIDLALECAEANQGPEGQPCTMIIRSLHWTIPHYQVWGVPFYLFFKTRSAQFLHQAPNQGFLKSLLCFLLSPMRRGISKFIESYLLWKLPLEKYGLKPDHSFEEDYASCQIAIAEESFYHEADKGKIIFKRASKWWFSNDGVEFDDNTKTDADVVILATGYDGKKKLQAILPEPFCSLLEYPSGIMLLYRGTVHPLIPNMAFVGYVESVSNLYTSEMRSMWLSGLLDNKFKLPSADKMLSQTIKDMETMKKSTRFYKRNCITTFDINHNDEICEDLGWNTWRKKNLIQEAFTPYTTLDYKKED >CAK8531233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91730414:91730888:1 gene:gene-LATHSAT_LOCUS1039 transcript:rna-LATHSAT_LOCUS1039 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDNKKRFWRYTNRKFILPVEAQDWVETTVRDAWRRYKHKIKKNHFLKYSNMTERLKNRPLKVPIAQFKSLCDYWSKEAIQVISETNTRNKLN >CAK8565767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330207309:330207950:1 gene:gene-LATHSAT_LOCUS18952 transcript:rna-LATHSAT_LOCUS18952 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAISDVPIVQPPVPIEGFKLETNGQEFVRILEQKLKGLNITVMSHEDYSEDNNENHIHQIADMFANIDISNLDINNANSRNPIYSPKPIEKYYYKRPSPQDLLFEETEPFQNSYSGKAIYEWNVDGLNDKKIIDTIHRMIMYSTVCKQHGNSDSSIASFITTGFVGQLRGWWDQYLTESQKLEILNHKKIVKIEPGTNTSTTLSTTTTGE >CAK8578104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607295632:607300610:-1 gene:gene-LATHSAT_LOCUS30144 transcript:rna-LATHSAT_LOCUS30144-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLRWFAGLNQRNLSNKRLVNADLPGLSRPDHLPMLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRLEVGEDSYPGIPARVAQYEAPEVGSDNLCRVWMIDDMDNSFSTPPFQIRYARQDVFLSIMISFYLAFGEYDRESSNVILKFELMHAPMTAAGSNLQSSLDGCSASVHEYKIPPKALLGLHSYCPVYFDAFHSVVVDTSVHISLLKASYRTTRQKVPSECRDSEGTYTEDYVGSNKVMLIKALMAAHDILLEDLRRLSTGINQAIDLAEIVFESDDTKWFDSPLPARDVKSIDGEQSVQLLDGEEVSVKDGSHYINHLTGKSVQPFSWDDHLLNSFQSLANQLLHLWNIFLKFHRENKTKILEFLRKSWATDRRTEWSIWMVYSKVAMPHQYLHNRVEGISLNHGLHRSSLNIRRLIDDPIQTAIMRAELHRRGIAQMRINNRSLQDMYLFGDPLLVPIIIVERMANVYRTASLDSSYISMENDARHVLLGNGSRSAKKWSGSSQQNSHVLRVVVFVHGFQGNHLDLRLVRNQWLLIDPDIQFLMSEANEEKTSGDFREMGFRLAKEVISFLKKKMDKASRRGNLKDIKLSFVGHSIGNLIVRTALAESMMEPYLRYLYTYVSISGPHLGYMYSSNSLFNSGLWLLKKFKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLENFRNVFLLSSPQDGYVPYHSARIELCPAASSDFSKRGKIFLQMLNNCLDQIRSFSDHRVVMRCDVNFNASSYGRNLNTLIGRAAHIEFLESDIFAKFIMWSFPEMFR >CAK8578103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607295632:607300610:-1 gene:gene-LATHSAT_LOCUS30144 transcript:rna-LATHSAT_LOCUS30144 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLRWFAGLNQRNLSNKRLVNADLPGLSRPDHLPMLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRLEVGEDSYPGIPARVAQYEAPEVGSDNLCRVWMIDDMDNSFSTPPFQIRYARQDVFLSIMISFYLAFGEYDRESSNVILKFELMHAPMTAAGSNLQSSLDGCSASVHEYKIPPKALLGLHSYCPVYFDAFHSVVVDTSVHISLLKASYRTTRQKVPSECRDSEGTYTEDYVGSNKVMLIKALMAAHDILLEDLRRLSTGINQAIDLAEIVFESDDTKWFDSPLPARDVKSIDGEQSVQLLDGEEDGSHYINHLTGKSVQPFSWDDHLLNSFQSLANQLLHLWNIFLKFHRENKTKILEFLRKSWATDRRTEWSIWMVYSKVAMPHQYLHNRVEGISLNHGLHRSSLNIRRLIDDPIQTAIMRAELHRRGIAQMRINNRSLQDMYLFGDPLLVPIIIVERMANVYRTASLDSSYISMENDARHVLLGNGSRSAKKWSGSSQQNSHVLRVVVFVHGFQGNHLDLRLVRNQWLLIDPDIQFLMSEANEEKTSGDFREMGFRLAKEVISFLKKKMDKASRRGNLKDIKLSFVGHSIGNLIVRTALAESMMEPYLRYLYTYVSISGPHLGYMYSSNSLFNSGLWLLKKFKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLENFRNVFLLSSPQDGYVPYHSARIELCPAASSDFSKRGKIFLQMLNNCLDQIRSFSDHRVVMRCDVNFNASSYGRNLNTLIGRAAHIEFLESDIFAKFIMWSFPEMFR >CAK8578105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607295632:607298095:-1 gene:gene-LATHSAT_LOCUS30144 transcript:rna-LATHSAT_LOCUS30144-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDILLEDLRRLSTGINQAIDLAEIVFESDDTKWFDSPLPARDVKSIDGEQSVQLLDGEEDGSHYINHLTGKSVQPFSWDDHLLNSFQSLANQLLHLWNIFLKFHRENKTKILEFLRKSWATDRRTEWSIWMVYSKVAMPHQYLHNRVEGISLNHGLHRSSLNIRRLIDDPIQTAIMRAELHRRGIAQMRINNRSLQDMYLFGDPLLVPIIIVERMANVYRTASLDSSYISMENDARHVLLGNGSRSAKKWSGSSQQNSHVLRVVVFVHGFQGNHLDLRLVRNQWLLIDPDIQFLMSEANEEKTSGDFREMGFRLAKEVISFLKKKMDKASRRGNLKDIKLSFVGHSIGNLIVRTALAESMMEPYLRYLYTYVSISGPHLGYMYSSNSLFNSGLWLLKKFKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLENFRNVFLLSSPQDGYVPYHSARIELCPAASSDFSKRGKIFLQMLNNCLDQIRSFSDHRVVMRCDVNFNASSYGRNLNTLIGRAAHIEFLESDIFAKFIMWSFPEMFR >CAK8573429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611802575:611803235:-1 gene:gene-LATHSAT_LOCUS25876 transcript:rna-LATHSAT_LOCUS25876 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMHYAEELVREFLVFRGFTNTLEAYETELRTDVGKGFQVDKILDLIFSLYVPKFQIDKLVALLGFFKHYLSSSFETTLVSTFSKLEASLLRFYIVHALQSNRRDKVVEFFTVHGPDLLQSSQEDWTQWFAIPYAKNPQLDPEFRIFFSKEWYQALHLSVRNFFSEIFNATHILHNICLKEKNSFSCFR >CAK8579554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707480940:707483060:1 gene:gene-LATHSAT_LOCUS31492 transcript:rna-LATHSAT_LOCUS31492 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTQTQTQTLLLVAIFLCLTLNTLSLSTLTINDQSLTFENDRLRNAYIALQAWKQAILSDPQNFTTNWVGPNVCSYTGIYCAQAPDNPKIRTVAGIDLNDADIAAYLPDELGLLQDLALFHTNSNRLCGTVPHTFEKLKLLFELDLSNNRFAGKFPEVVLRLPGLKYLDLRFNEFEGTVPRELFDLNIDALFINDNRFSSVLPENFGNSPASVVVLANNKFHGCIPSSIGNMSNLNEISFMNNLFKSCLPDEIGLLKNLTVFDVSVNQFVGTLPAAIGGAVSLEQLNVADNLLCGKIPASICMLPNLKNFTFSNNFFTGEPPACLSLPASDDKRNCLPARPFQKSPGECVAYLSKKVDCKSFKCKAFVPSFHSPSSPAVSPTSPPGLPGVAPSSPPSKVSPTSPPLPGTAHSTPPSKVSPTSPPLPGTAHSPPPSKVSPVSSPLPGTTHSPPPPPGTGHSSPPSSHSFPPGHSHFSPPGHSPPPSTSPTTPVGSPPSHTPVPSSPPLNTPPAPAPHYDSPPPQAHSPLPSPHPSHVLSPPPGMTPSSPPPTHSSPPVHSHPPSTSPTPPLASPPSPPLNSPPPTGSHNGRSPPPPHSPHPSPVHTSPTPPPSQFPFPSIQPPSQAPPPFSKEHSPPPPPSSSSPTPHPRVYASPPPPIQHTPPSPPPPSPVHHSSPPPPPTPAYGGPLPPIVGLPYASPPPPPYY >CAK8539323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509430670:509433509:1 gene:gene-LATHSAT_LOCUS8432 transcript:rna-LATHSAT_LOCUS8432 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEADKKKIRQEYERKEKQVDVRKKIEYSMQLNASRLKVLQAQDDVVNKMKEAAAKELLNVSGDPEVYGNLLKELIIQSLLRLKEPSVLLRCREVDLPLVEDVLESAAQEYAEKANVHVPEVVIDKDVYLPPAPSHHNPHDLHCSGGVVLASHDGKIVFENTLDARLDVLFRNKLPAIRKELFGQVAV >CAK8579649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714653476:714656583:-1 gene:gene-LATHSAT_LOCUS31579 transcript:rna-LATHSAT_LOCUS31579 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGESPTFEQINRRIPRIMTVAGLISDVDDDDPLESVCSDPSSSSVQRERIIIVANQLPIKAQRKLDGGGNNRSNWFFSWDENSLLLQLKDGLGDDDIEVIYVGCLKEDVHLNEQDEVSQILLESFKCVPVFLPGDMFTRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRLLGLTYESKRGNIGIEYYGRTVSIKILPVGIHMGQLQSVLSLSKTEEKVSELIRQFSDNGKIMLLGVDDMDIFKGISLKLLAMEQLLIQHPEWHGKVVLVQIANPARGKGRDVKEVQEDTKATAKRINEAFGKPGYDPVILIEEPLRFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGTEKLDKVLGIGSSLKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDLALEMADSEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCSDHVRRRWWGIGFGLSFRVVALDPNFKKLSMEHIVSAYKRTKTRAILLDYDGTLMPQASIDKSPTSNSIKMLNSLCKDENNMVFLVSAKGRTKLAEWFSPCENLGIAAEHGYFLRLKRDAEWETCGPVTDSSWKQIAEPVMKLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLNHLESVLANEPVTVKSSLNNIEVKPQGVNKGLVAKRLLSTMQEKGMSPDFVLCIGDDRSDEDMFEVITSSGPSMAPRAEVFACTVGRKPSKAKYYLDDTTDIVRMVQGLASVSDQTVLF >CAK8530145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2977037:2977685:1 gene:gene-LATHSAT_LOCUS35 transcript:rna-LATHSAT_LOCUS35 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLVSMNHVSFVCRSVQESVKFYENVLGFVLVKRPSSFNFQGAWLFNYGIGIHLLESDKVPVKRGEINPKENHISFQCLDMKIIMKKLDTLKIEYVTAVVEDGGIKVDQLFFHDPDGYMIEICNCQNLPVLPISTNRKTQAPHLSAEDALLMMEKEDALLMMEKLMIDLLRISI >CAK8571852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483332313:483334419:1 gene:gene-LATHSAT_LOCUS24475 transcript:rna-LATHSAT_LOCUS24475 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYGISLDGEDANGCFLCDDIMPGALHRFLSRFVKIQHDGGSEASANAADKEKGEASANAADKEKGEASANAADKEKGEASANAADKEKEPASVKVSQKRKGDDVVLRSCEADAKNKDILKEEASEAIARFFYNNAIPLKLVESKELVDMYNMISRLGVGYEPPSADEIREKYLTKVGKSTDKVLEEHRAVWKTRGCTIMVDAWTDNKRTILNLFANSLKGKYFLKSIDASHMLESETPYELFNMMDDIVEEVGEENVVQIVTDNTPFYKAAGEMLMEKRTRLYWTPCVTHCIEMILEDFKKKIPIYGNTIAEGKIITTFIYSRDSIVSLLHSFTYGIDLVKTSITRCASCYLTLDCLYENKGVLRKMFQSKGWKSSEFAKTRVGKLVEDLVFDNEFWKNVLICLNGANPLIQVLRLVNSIGEPATGFIYEAMEQAKEEIRSKLSIESFMPLCKIIDERWDNQHLNPLHAAGYFLNPQYQYCIGFSDDNIITHGLHHCITRMAGSPEERTKIEIQLDDFERRIYLLGDPLAIMTAGYEIPTVWWADFGGGLPELQSLALRVLSSTCSSYGPESNQSAFKMVYPKRRNLLRQESRNDAVFVMVNSKLGEKRQARRSVELSLDDNGDDEGLDADHLEYEMISDLHG >CAK8541990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448301033:448301554:-1 gene:gene-LATHSAT_LOCUS10867 transcript:rna-LATHSAT_LOCUS10867 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPSCEPFFLDSQAAASKDTAIHDQKFTWPPEDKITLRCNFKKRSVAKILQLMQSLTEFYFRTH >CAK8566131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:383211232:383219444:1 gene:gene-LATHSAT_LOCUS19292 transcript:rna-LATHSAT_LOCUS19292 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSKCCYKHEVVFIILWFFCCFVPASAQLINVTDPTEVMALRSIHESLIDPNGHLSNWNDDDPCLSNWTGVVCSNETIEENFLHVVELELLKFNLSGTLAPDIGNLAYLKILNFMWNNISGTIPVEIGNIKSLELLFLSGNELTGAVPEQLGFLPNLRIVQIDENKLSGPIPLSFANLNKTKHFHMNNNSLSGQIPPELSKLPSLIHLLLENNNLSGILPPELSDTPNLSILQLDNNNFGGNSIPDSYVNMSKLVKLSLRNCNLRGPIPDLSLIPHLLYIDLSSNQLNESIPPNKLAENITTVILSNNNLTGTIPSYFSDLPRLQKLSLANNLLSGSVPSSIWQNKISNADKRLLLELQTNQFINITGSTNLPPNVTLLLDGNPLCSDNTLNQFCKLEGAGSETNGTSPKNSSNPCPNQKCPPPYEFYVNCFCVAPLIIGYRLRSPGFSYFPPYFNAFEEYLTSNLKLHANQLSYTFEWQVGPRVLMILKFFPEYVDNNSSRTFNSSEIQRIRNMFTGWVIPNRDLFGPYDLMDLVPYNNRTGTSSNSGISTGALVGIILGSIACVISLSAIFILLVLRIRLRRHDAVSKPRHSSKISIQIDGTRAFTYEELSAATNNFDNNAQIGQGGYGKVYKGILSNGTVVAIKRAQQGSLQGEKEFLTEIRLLSRIHHRNLVSLIGYCDEEGEQMLVYEFMSNGTLRDNLSVTSEKPLNFAMRLKIALESAKGLMYLHTEADPPIFHRDVKSSNILLDSKFTAKVADFGLSRLAPVSDVQGIVPGHVSTVVKGTPGYLDPEYFLTHTLTDKSDVYSLGVVFLELLTGMHPIAHGKNIVREVNIAFESGEITSVIDKHMGSYPFEHAEKFLNLALKCSEDEPEPRPKMAEVVRILENICSVMTDSDTTRDTSTTSDSRKTVSFLGTPSSSSTIDTPIVLRTV >CAK8562455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:494612651:494613490:-1 gene:gene-LATHSAT_LOCUS15950 transcript:rna-LATHSAT_LOCUS15950 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKGPWSPEEDDALKKLVERHGPRNWSLISRAIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDSLIIRAHAQVGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSFMASDDPSFNPQPLKRSASVGAPGSPSGSDLSDSGNHIFRPIPVPVPVRLPIETTSEPEQEEDDGPLTSLSLSLSLPGVDTSDAVNRPAAVTVNPSPSPITAVTASVAAKVSQEVGIGALNLSGEFMAVMQEMIKNEVRSYMEERNGMCFQGVDRLRNVSAKPIGINRVDS >CAK8544128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:665863565:665865125:1 gene:gene-LATHSAT_LOCUS12831 transcript:rna-LATHSAT_LOCUS12831 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLILLLGYAYPGFECYKTVERNTVEMDELRFWCQYWIIVAFFTVLEKFADVVIGWLPLYGELKLALFIYMWYPKTKGTGYVYNKVLRPYVSKNEIDFDKLFQEWRVRAWDLAIFYWQNCTELGQTAFFQVFDHLAAQSKKLSNKTSKKKKDGQHPVPSAPPLPEIRSALFEFQQNDFFGRKNK >CAK8568258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587623664:587625189:-1 gene:gene-LATHSAT_LOCUS21232 transcript:rna-LATHSAT_LOCUS21232 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQSSLLQIQPPTYGNLVTILSIDGGGIRGLIPATILEYLESELQELDGESARLADYFDVITGTSTGGLVTAMLTAPNDKQRPLFAAKDIKPFYLEHCPNIFPQNKHMLGSMGKLFRSLAGPKYDGKYLHSVVREKLGETRVHETLTNIVIPAFDIKSMQPIIFSTYQSKRTPCMDARLSDICISTSAAPTYLPGYNFKNQDSEGNVHEFNLIDGGVCANNPTLVAVNEVTKQIVNQNNDFYAIKPMEYSRFLIISLGTGTPKNEQKFDAKMAAKWGLLNWLTHGGCTPLIDIFSQASGDMVDFHIATVTQALNCQDNYLRIQDDTLTGIDSSVDIATKENLENLCKIGERLLNKPVSKVNLENGMCEPTENLETNQEALKRFAKILSQERKLRELTSPHTNKNLK >CAK8536726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11270546:11271153:1 gene:gene-LATHSAT_LOCUS6060 transcript:rna-LATHSAT_LOCUS6060 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8575294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71848253:71849913:-1 gene:gene-LATHSAT_LOCUS27568 transcript:rna-LATHSAT_LOCUS27568 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVDHDDGVHTGFIWENQSWSDLLNFENIDETSKQKLGMKSLNHKEGLNEGEVHVSKKQSRGEVVIISENDINGGGKGEIYRDLDHEMHLLAERERRKKMRNMFSSLHALLPELPSKADNSTIVDAAVKQIKYLKHVVEKLEKKKQEKLKYISLFGSESLSMIKKSHWRRYESRETIIADHGSLSYDNNFPTNAVATSYHNSKTLAQYASPPQQAALQTWSYQNVVLNICGGEAQFSICATKMIGFLTRIAFVLEKYRIDVVSANITCNGNANFYMILAQARQCLHDSNSVEETYKQAAREIMMLNS >CAK8541306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:111435462:111445927:1 gene:gene-LATHSAT_LOCUS10236 transcript:rna-LATHSAT_LOCUS10236 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAESSEPEIPPSPVNHPTITTTQPQTLDVKPFIDYAVAEALFYQKTFNDAVESAIDASTSRFSQIRSTSSAHFQQTLYYLDDFKSQYNAYEDILFGKIKEGVHVAASHPVITCGATAAMGLLVLKRPRRILYSNAKRLFVSEEALVSKANAEVKELRQSIDLLKAEVERMEKSALHAEEQFLHGRTKLRQAGKQIRNVIQSAYKIERQAGGLKDILGELPKREASHFRSQVSQLASEAKREKNSLAKEVSKISNYGISV >CAK8572511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542328274:542333330:1 gene:gene-LATHSAT_LOCUS25070 transcript:rna-LATHSAT_LOCUS25070 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSSRKNQNQKQQDHDLGLEKVRLISLALEFGFDEQSANQCFDRLVSLYGDDGRDFITVEHCGDDFLTALAESVQDTEEWDDLREMESQAVGTLNHVLEERFSKCGDGSESFIDVIDDSPQKRRTKFVELGSSDDEDARGNSVFSGQQHPLNSMDGRSCITQGSVSSTSRKIQSSFASKDRTSTLTYEELQALDDIELANVVIFGNKTLRPLQHQACKATLAKQDSFILMPTGGGKSLCYQLPATLQPGVTVVISPLLSLIQDQIITLNLKFGIPATFLNSQQNASQAAAVLQELRKDKPTCKLLYVTPERIAGNQTFLGILKCMNQKGQLAGFVVDEAHCVSQWGHDFRPDYRGLGSLKLNFPRVPVMALTATATHPVRKDILNALRIPHAIVLERSFDRPNLKYEVIGKTREPLKQLGKLLMDRFKSQCGIAYCLSKSECVEVSKFLNEKCKIKAAYYHAGLAVKQRVAVQKKWHDGEVHIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMIRNGQGYKKESFKTAMAQAKMMQQYCELKDECRRQTLLKHFGESFDRKTCKYGSSPCDNCLKT >CAK8567865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551643339:551645590:1 gene:gene-LATHSAT_LOCUS20882 transcript:rna-LATHSAT_LOCUS20882 gene_biotype:protein_coding transcript_biotype:protein_coding MATKALSFTLSLNGLFASKSPHTASNHSVFSVRCSAGNGERPWKNSDARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPQIGNTGVNLDDEESARCFLSGLVIRSLSISTSNWRCVKDLGDYLTERNVMGIYDVDTRAITRRLREDGSLIGVLSTDNFKTDEELIHMSKSWDIVGVDLISGVSCKSPYEWIDRTKEEWEFSSGEGLRDTFHVVAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKLNPDGVLFSNGPGDPSAVPYAVETVKNIIGKVPVFGICMGHQLLGQALGGTTYKMKFGHHGGNHPVRNLRTGRVEISSQNHNYAVDPATLPEGVEVTHINLNDSSCAGLAFPAQKLVSLQYHPEASPGPHDSDNAFGEFIELIKRGKNKTPSKSVHELQSV >CAK8540523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9804548:9833050:-1 gene:gene-LATHSAT_LOCUS9522 transcript:rna-LATHSAT_LOCUS9522 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRGPGPSEPPPRRLVRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPYNILPLDPDSANQAIMRFPEIQAAVYALRNTRGLPWPNDYKKKKDEDILDWLGSMFGFQKHNVANQREHLILLLANVHIRQFPKPDQQPKLDECALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIKPAYGGEDEAFLRKVVTPIYIVIAEEAKKSKRGRSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCLLQPERAVFDKSNDDKPPNRDGWVGKVNFVEIRSFWHLFRSFDRMWSFFILCLQAMIIIAWNGSGDPTVIFQGHVFKKVLSVFITAAILKLGQAVLGVIVSWKARRSMSLYVKLRYILKVVSAAAWVVVLSVTYAYTWDNPPGFAQTIKSWFGSKSSAPSLFILAVVIYLSPNMLAAIFFMFPFIRRYLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLLFTKLAFSYYIEIKPLVGPSKAIMRVKISTFQWHEFFPHARNNIGVVVALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNASLIPEETTDMPRKKGLKATLSRRFTEVPSNKGKEAARFAQLWNQIITSFREEDLISDREMDLLLVPYWADTQLDLIQWPPFLLASKIPIALDMAKDSNGKDRELTKRIDADNYMSCAVRECYASFKSIIMYLVRGAREKPFIEYMFGEVDTHIAAGTLIKEFKMSALPSLYEQFVQLIKYLLDNNQKDRDQVVILFQDMLEVVTRDIMMEDQDQISSLIDSTHGGVGHEGMFPLEPEPHHQLFASEGAIRFPIEPVTAAWTEKIKRLHLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPLAPKVRNMLSFSVLTPYYTEEVLFSLHDLDSPNEDGVSILFYLQKIFPDEWNNFLERVKCRSEEELKGNESDELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAMENSDDNSRGERSLWTQCQAVADMKFTYVVSCQQYGIDKRSGSLRAQDILRLMTRYPSLRVAYIDEVEEPIKNSKKKINKVYYSCLVKAMPKSSSSSEPEQNLDQVIYKIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRFPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRIFHLTRGGVSKASKVINLSEDIFAGFNSTLREGSVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSAQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYFGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVIYEIFSHSYRSSVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPDKSWESWWEEEQDHLQYSGIRGILMEIVLSLRFFIYQYGLVYHLNITKKGSKSFLVYGISWLVIFVILFVMKTVSVGRRKFSANFQLVFRLIKGMIFVTFVAILVILIALPHMTLQDIVVCILAFMPTGWGMLQIAQALKPIVRRAGFWGSVKTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKERASRNKE >CAK8536251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922040827:922043617:-1 gene:gene-LATHSAT_LOCUS5639 transcript:rna-LATHSAT_LOCUS5639-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCKRTLSLPLHKENPLLEIPYQTSQESSYSNEFVAIKMPLTPSPTPSYKKVNFLVTSRSVDAPIVDSDNNNQGISSTRGKSSGARSILPKLNFRYNRTSSSDIEKGITLAPESPYEKPSVSGSVSFSKLFAPKIYRTSSLPVEEIGRVNTEFAFGGCLGASPYRSQGSIARTRSEPVDSQEKIIRKMDKFYRIIPSTPGAKEVKEWLKTSAENNTENDGDNGEDIAEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAIKWFSIRGNKTCDVCKEEVQNLPVTLLRIQNVQTQNTAARSQQDDDFRVWQELPVLVIVSMLAYFCFLEQLLVGKMGTKAIFISLPFSCVLGLVSAMTSTTMVRNRYIWLYASAQFALVVLFAHIFYPLFGILQVGKQAVLAILLASFAGFGVMMSGSSIVSELLKWRRTWQAYSEQRSQVMTQEELYSQSVITPQPSLPTYNQTTVQLQNQQSSIHS >CAK8536252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922040827:922043617:-1 gene:gene-LATHSAT_LOCUS5639 transcript:rna-LATHSAT_LOCUS5639 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCKRTLSLPLHKENPLLEIPYQTSQESSYSNEFVAIKMPLTPSPTPSYKKVNFLVTSRSVDAPIVDSDNNNQGISSTRGKSSGARSILPKLNFRYNRTSSSDIEKGITLAPESPYEKPSVSGSVSFSKLFAPKIYRTSSLPVEEIGRVNTEFAFGGCLGASPYRSQGSIARTRSEPVDSQEKIIRKMDKFYRIIPSTPGAKEVKEWLKTSAENNTENDGDNGEDIAEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAIKWFSIRGNKTCDVCKEEVQNLPVTLLRIQNVQTQNTAARSQQDDDFRVWQELPVLVIVSMLAYFCFLEQLLVGKMGTKAIFISLPFSCVLGLVSAMTSTTMVRNRYIWLYASAQFALVVLFAHIFYPLVGKQAVLAILLASFAGFGVMMSGSSIVSELLKWRRTWQAYSEQRSQVMTQEELYSQSVITPQPSLPTYNQTTVQLQNQQSSIHS >CAK8571368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:391995446:391995754:-1 gene:gene-LATHSAT_LOCUS24035 transcript:rna-LATHSAT_LOCUS24035 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESKIPLIGDWTTVYKGKKMQQLPASAKKEDIASSSSNKTTSYKEVAVNNPPQEQMNYFENPVTEKIMYIDDEDMKN >CAK8539616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518316272:518318540:-1 gene:gene-LATHSAT_LOCUS8696 transcript:rna-LATHSAT_LOCUS8696 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARTIANSLKLDEEEEEDSKQEQESENPNTTKSESEPSQSQSESASPSTHSPTARGVKEDISEITKSLSRQFWGVASFLAPPPDPDHDSDPQTRDSDPNLHDEDVIAGIRSDFAEISGKFKSGISKISGHKTVSEFTKIASSFLQIGSDEEYDLDGVVGVTEEVVAFARNLAMHPETWLDFPLPDDPDSDDFDLSDAQQEHALAVEHLAPRLAALRMELCPGYMSDGCFWKIYFVLLHPKLSKNDAVFLSTPQIMEARAMLTQALDIRRKEKKEPDFISIPSKEEEQEQHLFVPSNAQLESVPLQTSAVEESRSMAVANVETEGHTVKSDLTQPIDKPVVKEAPRVETEEHTVKSDVIQPIDKAVVKESPSMVVNNVETDEHTVKGADNQPIDNSVAKEAPVIPSAAQSSSGSTNRFSYETYEDDADADDWLKEDSSEMVGPSGTSIHTGGDDEDVSFSDLEEDDGDVHASYKKTSGSDSSTKDSRDWVQLGRSSPNSDKDINSLERRNAGSELSSARNSMTKDSNDWLNVDDIDVI >CAK8566906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471694536:471699757:1 gene:gene-LATHSAT_LOCUS20002 transcript:rna-LATHSAT_LOCUS20002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHRFTFFISLPLFIFFLAASIPPTTESKCTKGCSLALANFYLATGTNLTYVAGIMKSPILTKPEDIVEYNRDTVPNKDIILGGERLNIPFPCDCINGEFLAHSFSYDVQTGDTYASVAGSNYANLTNVQWLRAFNSYPPNNIPDTGTLNVMVNCSCGDRQIADYGLFVTYPLRPGETLGSVANSTKLDSGLLQRYNPGVNFNQGSGLVFIPGKDKNGSYVLLDNSSGVLAGGAIAGIAVGIVVLLLLAAAAYFGYFRKKKIQKADSLSRDSTSLFPQDGKDETSRNAVITGITVDKSVEFSYDELAAASDNFSMANKIGQGGFGSVYYAELRGEKAAIKKMDMQATKEFLAELNVLTRVHHLNLVRLIGYSIEGSLFLVYEYIENGNLSQHLRGSGRDPLPWATRVQIALDSARGLEYIHEHTVPVYIHRDIKPANILIDKNFRGKVADFGLTKLTEVGSSSLPTGRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYEIISAKEAIVKTSESVAADSKGLVSMFEGVLSQPDPTEDLRKIVDPRLGDNYPVDSVRKMAQLAKACTQENPQLRPSMRSIVVALMTLSSTTDDWDIGSFYENQNLVNLMSGR >CAK8539066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502770156:502772912:1 gene:gene-LATHSAT_LOCUS8199 transcript:rna-LATHSAT_LOCUS8199 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEEICRGKYYGICFVGGMLSSGATHLAITPLDVLKVNMQVYPNKYNNGIVSGLATIWKQQGSYALWRGWSAKLCGYGVQGGFKYALYEYFKNLYADHLLLHSTRNSIFFLSGLSAQILADLTLAPFEAVKIRLQIQPNFAKGLSDGFPLVYRNEGLAGFYRGLIPLWSRNLPFSMVMFSSFEHSVDLIYKNIMHKRKEDCSTPQQLGVTGFAAYTAGALATVISNPADNVMTSLYKKKAESAMQAIKNIGFINLFTRSLPIRIALLGPVITLQWFLYDTIKVLSGLPTSGGLARDQKEVKL >CAK8530271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10878016:10882192:-1 gene:gene-LATHSAT_LOCUS149 transcript:rna-LATHSAT_LOCUS149 gene_biotype:protein_coding transcript_biotype:protein_coding MANTENREPKVHSVSSVFLCFRIFYVTVLLNCNHVYGQTSSVFACDVAKNANLSSYGFCDKSLSVEDRVSDLVKRLTLQEKIGNLGNSAVEVSRLGIPKYEWWSEALHGVSNIGPGTRFSSLVPGATSFPMPILTAASFNTTLFQAIGSVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLSGKYAAGYVKGLQQTDDGDSDKLKVAACCKHYTAYDVDNWKGVQRYTFNAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLKGVIRGKWKLNGYIVSDCDSVEVLFKDQHYTKTPEEAAAKTILSGLDLDCGSYLSQYTGGAVKQGLVDEASINNAVSNNFATLMRLGFFDGDPSKHAYGNLGPKDVCTPENQELAREAARQGIVLLKNSPGSLPLNSKSIKSLAVIGPNANATRVMIGNYEGIPCKYTSPLQGLTAVVSTTYAPGCPDVQCANAQIDDAAKIAASADVTVIIVGANLAIEAESLDRVNILLPGQQQQLVSEVANVSKGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGSFNPSGRLPMTWYPQSYVEKVPMTNMNMRADPATGYPGRTYRFYKGETVFSFGDGMSFGTVEHKIVKAPQLVSVPLAEDHECRSLQCKSLDIANEHCQNMAFDIHLSVKNTAKMSSSHTVLLFFTPPDVHNAPQKHLLGFEKVELPGKSEGMVKFKVDVCNDLSVVDELGNRKVPLGEHMLHVGNLKYPLSVRI >CAK8560518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23207272:23207691:1 gene:gene-LATHSAT_LOCUS14182 transcript:rna-LATHSAT_LOCUS14182 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFMVGSKQAFEVPLADVSQTNLQGKNDVILEFHMNDTTEANEKDLLMEISFHIPNSNTQFVGDENRPPAQVSLFTRLTNFML >CAK8533988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679394742:679396412:-1 gene:gene-LATHSAT_LOCUS3568 transcript:rna-LATHSAT_LOCUS3568 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRSSSFQHFKERVQMKLQAGSVSQMTYKNVVRFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVINPSIIPHEDVEDGDGEEENETQVDDLYTTLFEEGIKVNIDDQCVPVENVFIPPAHMTTLPLSVEGTSFDWPRNPRFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKVREIKDRNLRKKIINMGYALNQPTFHYYRSEISMVNADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFYYRNNVFSVQETMDYGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSSVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMGRNKKGRPVTTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8537558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:395905387:395905932:1 gene:gene-LATHSAT_LOCUS6847 transcript:rna-LATHSAT_LOCUS6847 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGINWLKWIHGSVFKSYMSILINGSPSKDFKVGRGLKQGDPLAPFLFAIVAENLSCLMRFAVAGNLITDLKINDQATVSMLQFADDTLLIGDGSVTNIWAFKAVMRAFELISALKINFSKSCLYGIGLDPAYLEAAEEFLHYKSGRLSFYFLGLTVGGNHRGHSLWNPVLSRLRSKLSN >CAK8537559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:395905444:395905932:1 gene:gene-LATHSAT_LOCUS6847 transcript:rna-LATHSAT_LOCUS6847-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILINGSPSKDFKVGRGLKQGDPLAPFLFAIVAENLSCLMRFAVAGNLITDLKINDQATVSMLQFADDTLLIGDGSVTNIWAFKAVMRAFELISALKINFSKSCLYGIGLDPAYLEAAEEFLHYKSGRLSFYFLGLTVGGNHRGHSLWNPVLSRLRSKLSN >CAK8539358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510048539:510049890:-1 gene:gene-LATHSAT_LOCUS8461 transcript:rna-LATHSAT_LOCUS8461 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAKFPTRALHHYPRINSDIERRPTLGFSPGKRTCNLQKKMEIQRRSLCFKKNVQNKTCDGNRVSAILSRKGPKSGQNSMSPAEIIDHFYTCINEKELQQLDECISQDACFYDYTFINPFQGKKEVMHFLQQLTAGMGQNVKFRVRNICEGDDLTVAAKWHLEWKKEQIPFTRGCSFFQLAKVEESLTIRRADIFIESLVKPGSIVLTMLKTVTSLFDDFPKATEWFLRRPHSILIWMLKIYNIFVAPFLNPILDSYIKLWSFMIRLISYAFSVAMFISKIIFK >CAK8543538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617543555:617543812:1 gene:gene-LATHSAT_LOCUS12289 transcript:rna-LATHSAT_LOCUS12289 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFVAPTLVNGDMEVTIDEVDVAEELEYWENAVTLFALGEIQCMLSRNSWKNLGTLSLYWTYTTMTRDISLWDSRITTIRRSS >CAK8543080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574680488:574686775:-1 gene:gene-LATHSAT_LOCUS11867 transcript:rna-LATHSAT_LOCUS11867 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLRRLPLLRFMSSRNFFTPSSFRLHSYPHSSSSLPLSTLSKPRKRISLRKFAAPSLVSNAVTPRAYMSSLSAAEDSHKNDGSKAYGSDQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFASKIAVVLHEGGAVSITDNGRGIPTDMHPTTKKSALETVLTILHAGGKFGGVNSGYSVSGGLHGVGLSVVNALSEILEVTVWREGLEYTQKYSRGKPVTTLNCVMLPFENKDRQGTRIKFWPDKEVFTTDIQFEYNTIAGRIRELAFLNPKLTIVLRKEDNDPEKVQYNEYFYAGGLAEYVKWLNTDKKAVHDVLSFRKEIDGVTVDIALQWCEDAYSDTILGYANSIRTVDGGTHIDGMKASLTRTLNSLGKKSKLIKEKDITLSGEHAREGLTCVVSVKVPNPEFEGQTKTRLGNPEVRKVVDQSIQEYLTEYLELHPDVLDAVLSKALNAFKAALAAKRARELVRQKSVLRSSSLPGKLADCSSSNPEDCEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFYRYQRALFDEGYIYVGVPPLYKVVRGKQVHYCYDEADLKQLKSSFPPNASYNMQRFKGLGEMMPLQLWETTMDPEQRLLKKLTVEDAAEANIVFSSLMGARVDVRKELIRNAANMIDLDQLDI >CAK8539266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507847700:507856857:1 gene:gene-LATHSAT_LOCUS8377 transcript:rna-LATHSAT_LOCUS8377 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHMTSLPEDITDDQPPQPASVFASVPQRPPTKGSSEKYAPLDWSDYFDKEVDVAIPESNDVFHVYMAGTEGPVVFCLHGGGYSGLSFSVSTGIIKEKARVVAMDLRGHGKSVTDDDLDLSVETMCNDVLAVIKELYGVSPPAIILVGHSMGGSIAVHVAAKRLLSTLAGLIVVDVVEGTAMASLIHMQQILSNRMQHFSSIEKAIEWSVRAGTLRNIDSARVSVPATLKYDDSKKCYVYRTELEKTEQYWKGWYEGLSDKFLSSPVPKLLLLAGTDRLDKSLTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLVVNFISRNQIGPNGVVIPGLRKPAFSKP >CAK8533006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564820112:564820519:1 gene:gene-LATHSAT_LOCUS2659 transcript:rna-LATHSAT_LOCUS2659 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGLDSGNEDDALDINFEDFDDDVGMNKDMVVAEDVVHEEGEVEVKRNEKGKKKGTGKCKGEGKGKWKGKGKGKGKWKGKRKGKGKGKMGRPPRQPKKCEGSGEVIISDDCVDEEETNVKLKGLSDIEDEKK >CAK8533995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:680406351:680406713:-1 gene:gene-LATHSAT_LOCUS3573 transcript:rna-LATHSAT_LOCUS3573 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVNSNFLVFFVSSDDMMGQSFASMVSMVAAAESAIGLAIFVITFRVRGTIAVEFINSIQGSGPLSLGERIRFILKSFPKPLPV >CAK8533931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672485071:672487339:1 gene:gene-LATHSAT_LOCUS3518 transcript:rna-LATHSAT_LOCUS3518 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFRGSKTLPQTEKNTMMIPIASSAEFSTKPKRTTLRQETLIQIPGCRVYLMDEGEAHELTQGQFMIIKIFDDNVSLATIIKIGNNVQFPLTKDEPVVKVDSLHYLFSLAVKDCVEPLSYGVTFPQEFYGSMALVDTFLKEHSCFSDLKLSKKKSDLDWEEFAPCVEDYNHFLAKAIAGGTGQIVKGIFMCSNAYTNQVQKGGQTILNSASDKKNGGTVRENMNNKTSAATKNNRMNENLIRVRKLTNMTEKLSKTLLNGVGIVSGSLMTPVLKSQPGQAFLKMLPGEVLLASLDAVNKVFEAAEAAEKQTFSATSQAATRMVSNRYGEDAGEATEHVFASAGHAANTAWNVSKIRKAINPASSAATLRNSAKNRYIGY >CAK8571991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500559042:500560786:1 gene:gene-LATHSAT_LOCUS24600 transcript:rna-LATHSAT_LOCUS24600 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVYKIVDIAKRASNNNTVINVGLFSSFALLGVRSWNQQNTVEALEAEKESLTKSNKSIRKTLWDWKQQLYAEAGTDSAPVPLERLQAIYGEAPPPQHSAFGDTASKDANLSAPTKMMI >CAK8563729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627313467:627314480:1 gene:gene-LATHSAT_LOCUS17108 transcript:rna-LATHSAT_LOCUS17108 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESDSKAKLVLEICSISTRSATCVHTILSNPNKTTFIDWYCILGVEENAGVNAIRKRYRKLALQLHPDKNKHPKAEIAFKLVSEANACLTNAAKREAFDFARYKHFCIECKKIPYTTDNVSGNSNGSSFKAWNIITRSRSLKFWRNIRDIRERFKEEANVIENCLRVNSMSRTESPLYNPDSYLDRSKSQNRFDKDTPIFNPSDYSYQDYPHMRGHVNKNSSTFWYLQTNSMLHNEKRGPRLSSPVFEVKSRSMFTNQFAFVPTRY >CAK8539902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530700369:530705783:-1 gene:gene-LATHSAT_LOCUS8958 transcript:rna-LATHSAT_LOCUS8958 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKLTSCVAVTAAAVASMATRPDPAFSESFFRFPFFSSSPSNSPSPKDQSSDTKSESSSSEEPNNSGFNPESLEIAAEALRKINNSPYRKQVFDLMREQEKTRLAELDAEKVYYELIQSQGDIDRLRKMAEEQRNLIQEQNQRQAQVLRFEDELARKRMQTDHENQRQHNVELVKMQEDSSVRKEQARQATEEQIQSQKRQTERERAEIERETIRVKKMAEAEARAHEAKLTEDHNRRMLIDRMHGERDKWLAAINTTFSHIEGGLRVLLTDRDKLIMTVGGATALAAGVYTTREGAKVTWGYINRILGQPSLIRESSMAKFPGSRIMTQAKNRVLNYSTLARAEKPVGSQNGLGNVILHPSLQRRIVHLARATSNTKAHQAPFRNMLFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGPQAVTKIHEIFDWAKKSKRGLLLFIDEADAFLCERNSSYMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEVIEFPLPGEEERFKLLQLYLNKYLCDESNDSKGGLFLKKQPQKITIKDLSEDVLKEAAKKTEGFSGREIAKLMASVQAAVYGRPDCALDSQLFKELVDYKVVEHHQRLKLAAEGGLPA >CAK8575353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:91982400:91982984:-1 gene:gene-LATHSAT_LOCUS27623 transcript:rna-LATHSAT_LOCUS27623 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRSRGYGRGGRGNNNMLPQPESNIPHIGNWTTVYKGRKMQQLPVSSTKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDMKINPNDGWSIKTRYLESRGYPGLQKSRPNLEILLTVTELVTITHHYQNNNPESFINSSKCHINKILLPREWGLNPNAEKAIRISEGKYIYFNY >CAK8531536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:122079789:122080838:1 gene:gene-LATHSAT_LOCUS1320 transcript:rna-LATHSAT_LOCUS1320 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYISIVVLIASLIASSIANSEILLSDVNLLEFPLNLEYLEAEFFLFGSTGHGLDKIAPELAEGGPPPIGAKLAKFNDTVIRDIIYQFALQEVGHLRAIKNTVIGFPRPLLDLSQSSFAKVIDKAFGRPLRPSFDPYANDINYLIASYLIPYVGLTGYVGANPLLQNASSRQLVAGLLGVESGQDAVIRGLLYERHAWKVMPYGVTVAEFTDRISKLRNILGNEGVKDEGLDDTFSSGSILAGDKYSLAYSRTPKEILRIVYGSGNETVPGGFYPKGGNGHIARSYLK >CAK8534288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713223768:713223992:1 gene:gene-LATHSAT_LOCUS3843 transcript:rna-LATHSAT_LOCUS3843 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMKINNKDESFGQKGILIHSQVMRIKQESEKIFEWSICQHEIRPVLREISRSPLGFSGQTISVGDSRVIESC >CAK8541194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:86702796:86707025:1 gene:gene-LATHSAT_LOCUS10130 transcript:rna-LATHSAT_LOCUS10130 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKFLLKHRLQNRIQAQPDNFNSFGITSRPEESVANSNPSFTDGVATKDIHIDPFTSLSIRIFLPQSALSPPEPHSKPKSNPKQLDPEPGSVRRSSYGPPFREELRGNGFGGSNGVEGLNLMGAGVVTGAGLYRGYSPALDNRRRKLPVMLQFHGGGWVSGSNDSVANDLFCRRIAKLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGVGGGSHGGGGGGEFNKSDNHRHIVDSFGASVVEPWLASHGDPTRCVLLGVSCGANIADYVARKAVEGGKLFDPVKVVAQVLMYPFFIGSVPTRSEIKLANSYFYDKAMCMLAWKLFLPEEEFSLDHPAANPLVSGRSPPLKLMPPTLTVVAEHDWMRDRAIAYSEELRRVNVDAPVLEYKDAVHEFATLDVLLKSPQAQVCAEDIAIWAKKYISLRGHEFSY >CAK8573845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641892489:641893796:1 gene:gene-LATHSAT_LOCUS26246 transcript:rna-LATHSAT_LOCUS26246 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPSPLSPVVSTPVSQSQSVLRSNTGGKNLNTTEGAGIIYFGETSETSDAREDESIGKKRNNIELEKPNSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVTQPETNVLSKKVEDDNKGPNEIGTVNLDIVTSRKGNASSTAAEIPAIRNKEVEKVIEKWTEVIKSGRGRGKQIGKVGAAGMISHDNGFDALEILKDLLEAQNTGQ >CAK8543589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623847169:623850226:1 gene:gene-LATHSAT_LOCUS12337 transcript:rna-LATHSAT_LOCUS12337 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEETPLFWFETSNSVCSRHQFQPDGQLSVILVDDSRPLYQKVAGSFMNKFFPSGYPYSVNEGYLRYTQFRAVQHVTSAAMSVLSTQSLLIAAGLRPTPAQATAVSWILKDGMQHVGKIICSNWGARMDSEPKRWRLLADVLYDLGMGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAFSTLCNVVGIGIGIRLASTICASMQGKLVICPLLSAIHIYSVSEEMRATPINTLNPQRTAMIVTDFLKAGIVSSPADLRYKENLLFPVRLKDAGNVRVGKSLHEVIKPSKLVELKQVFPEEKFLLNRGGICIDMVLKQDASGEDALRGWLVAAFAAQIESSSHELSVGVLQDAYDKMNGVFPVFLKELQNKGWHTDRFLDGTGSRFTF >CAK8576090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:404863445:404864371:1 gene:gene-LATHSAT_LOCUS28305 transcript:rna-LATHSAT_LOCUS28305 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIRTDEERVTEWEKGLPNFHDLTPLSMALIPPELASAFSISPEPHRTLIDVNRASRNTLSILRGGGTNQQTFSSNNDEIIEEDEEMEEETDRDGSGSDSRKHRKIDSATEEADSAVRTETTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNDAPSSSDHLFASTPVPQSLHESASAVNSHSQSNGHGNSHSHHSVTISMPYPPPPPPMMSMPLLGMPPHPHGHMGMALHPPSGSSSYRSHPFNMMHHRDWPPHPHSHSHPHMSPNDSNK >CAK8530385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18285323:18288527:1 gene:gene-LATHSAT_LOCUS253 transcript:rna-LATHSAT_LOCUS253 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAFTFAPFSTTTQFSPSFIFTTPISHPQTNSSGRKSLIFRVQNVHNHIQSTPTTTTTTASFMASQQGIQQVNPNFYPDIEPYSTGFLKVSDLHSIYWEQSGNPTGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLEHNTTWDLIDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGMVLRGIFLLRKKEIDWFYEGGAAAIFPDAWEPFRDLIPENERGCFIDAYKKRLNSDDIETQYAAARAWTKWEMMTAHLFPNEENVKRGDDDYFSLAFARIENHYFVNKGFFPSDSFLLDGVDKIRHINTTIVQGRYDMCCPIMSAWDLHKAWPEADFRVVADAGHSANEPGIAAELVAANEKLKNILKNKGD >CAK8576984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529515123:529518953:-1 gene:gene-LATHSAT_LOCUS29134 transcript:rna-LATHSAT_LOCUS29134 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITSIWQGLKPEILMVLVQIAIAAVNIIYKLAINDGMSMRVAAAYRLICASLFTIPIALIFDRKNRAKITWSVLSKTFLCGLFGGSLYHNLHLEGLALTSATFMLVTFNLIPAITFIMAVCLGMDKFNLRAVEGKTKVIGTLMGMCGAMLIIFFKGVEIHILSSNINLLHPHHNKNKQVTSHHADIRKKLLGVAVALASSCSFSMWLIIQAKLNQEYPSHNSSAALMAIMGAIQATLIALFVERDWNQWKLGNNLRILAVVYPGITVSGLVVITMAWCIRMRGPLFASIFNPLQLLIVIIAAYLLLDEQLYLGSMLGAMVIVCGLYAVLWGQGKEIKNKTKILEIKRMAENDELVVISMPVPHDSVIQSYQSSTIVKEINVVNEQ >CAK8571144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:312827711:312828658:-1 gene:gene-LATHSAT_LOCUS23834 transcript:rna-LATHSAT_LOCUS23834 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNEKGSKPNFMTIWTNCVPCDGCYKQINPLFDPKKSSSYSNVSCDSSQCNDLHSHECSPENHCSYNYGYANSSVTQGVLAQETVTLTSSTNKPVALKNILIGCRHNNTGTFNDHEMGIIGLGRGPTSLISQISPLFGGKKFSQCLVPFQLSFGKGSEVSGEGAVTMPMVITPDPTSYLVTLLGVSMGDIYFPYNSKGNMLVDSGTPPIYIPNDLYDRVAKEIRNKVSMEPVTDDPSLGTQLCYKTNTNLDGPNLTFHFEHGDIVLTPIQTFVSPKKWRFLLGIYGNFAQSNYLIGFVIEKELISFKPADCTKQ >CAK8568881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650292434:650295563:-1 gene:gene-LATHSAT_LOCUS21790 transcript:rna-LATHSAT_LOCUS21790 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEFFQTSFSDNNLTTTNVSTAAGGAGSEHFIVEDLFDFSNDDDDAIGDPAFDSPPTISDNSPPPLETNGNSNFFMDNSCQNSVDGPFSGELSVPYDDLAELEWLSKFGEESFSSEDLEKLELISGMKASNDAVSKTHEEPNPILNPPQMSIQKARSKLPRGPPCNWTSRLLVLSPPTTATASSHSDVIAPAKKPSPRKRDHGDGEGRKCLHCATDRTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMTKHSNSHRKVQELRRQKEMMKVHQHQMLQLQAQHHHNIMFDGTSNGDDYLIHQHVGPDFTHLM >CAK8537011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:56225525:56235057:-1 gene:gene-LATHSAT_LOCUS6331 transcript:rna-LATHSAT_LOCUS6331 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGAVVTAVMVGLQFLDVGGDTLVKSATKDGMSIFIFNVYSNLFALCILLPYTLSYHRKRAPPPISTSIFCRIFLLSCIQTSVQILMNTGIGYSSPTLASAMVDLVPAFTFILALISRMEILNLKQHSSLAKAIGTMVCIGGALTITLYKGMPLISDVFPNTEMGESGINISGKSDWIVGAFLLATGCFFLSVIYIVQYWIIKDYQEELLVTTICCSFTVILSIVAALIVEGNSKAWILRPDKKLVSICYSAILLQSTRDVIHTWASRMKGPIFVVIFKPLNVVIAIGMGVIFLGDNLYLGSMIGAAIIIIGFYGVIWAQAQEKQTTSENNILSSSSAPLMSNKSMDL >CAK8560388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16946588:16947406:1 gene:gene-LATHSAT_LOCUS14065 transcript:rna-LATHSAT_LOCUS14065 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSAIATPIIQRDYVLKHFPTTVSSTYNSVDFIIGFATEDYNQNGEGKGDFHSTWDLATFSPEKVKELKKSYPDVRVVISIGGYIGTYSPFNPIEKKDVWISTAVYSLKKIIHIYDDKYHRNMIDGIDIHYGNVKSDDFSYCIGEVIKSLKTDPQLTIKVVSITAGEYTQSDYLKLYVENQEYIDIVQYLFTNWRYCKEDLLDFYNKLIAWYTPAQVLPGYLNPSFSGDKAKETVMYLVKQYLAPGFFTYPSYDSPSPFSSEEDASKNI >CAK8575724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:282576361:282576816:-1 gene:gene-LATHSAT_LOCUS27962 transcript:rna-LATHSAT_LOCUS27962 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQGICVVSTVKSCRMLMSASDSKHENVELLFPPEEANPGERIWFGSENEKDNQPGAAKPNQIQKKKIWELVQPHLKTGVSCTTMLGDHVMRTSVGTVACQSLQNANIS >CAK8560818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47118391:47118967:-1 gene:gene-LATHSAT_LOCUS14463 transcript:rna-LATHSAT_LOCUS14463 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHLLIWFFFKLKVEVNKATSQTLLITVSMMQPGQSSVLPHPIDNDSHDRIVLCMRLLCNTGDEIRKIWMQSCRQSFVKMLADKQRRETEEIKAKAQISNAQPDDLIDFYHLKSRKAESMKKKLPWLRYDMKQAEYREAKEREKTAAKSLKRLQNY >CAK8531412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107601567:107609362:-1 gene:gene-LATHSAT_LOCUS1205 transcript:rna-LATHSAT_LOCUS1205-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALMEMDDYNERQVVPDTLAFARSYQLEALDRAIRENTIVYLETGSGKTLIAIMLLRSYAYYLRKPSRHIAVFLVPKVVLVSQQAAALRNHTDLKVGMYWGDMGVDYWDGDTWQKEMGKHEVIVMTPAILLSCLRHSFIKLNMIKVLIMDECHHAAGRHPYACIMTEFYHHQLRCGVTELPRIFGMTASPIKSKAANSESTLSKNIRDLMTLMHSKVYTCVSEAVISKFVPTSTPKFWFYQDNAISFTQFGDLAKKLNILKQQHELSITSSDLTKSAVDSALKKIARIFTASIFCLDELGVWLALKAAESLSYIEIETFLWGNSGDQIVKKFSSATMMTLQSYIPLDSRWSIGDNKNFDVDMGLLTSKVSCLIDCLLEYRGFSEMRCIVFVERVITAIVLETLLNTLLPKYNSWRAKFIAGNGSKLQNQSRKCQNDIVEEFRMGLVNVIVATSILEEGLDVQSCHLVIRFDPSPTVCSFVQSRGRARMHNSDYILMVKSGDSVTRSRLEKYLVGGDMMRKESLRYSSLPCECLESDQFDNQAYRVASTEAVVNLSSSITLLYLYCSRLPADGYFKPTPRWDKEKGILYLPKSCPLQAIHVQGDTKYLKNIACLEACKQLHQIGALTDNLVPSIAVEEAEVEEFGNEPYDEEKPSYVPSELVNRMSNNSNTIYYCYLIELKQNFVYDITVQDIFLATRVELDQEIGCLQFDMGFDKGSLSVTLRYKGSINLSPDQVTLCKRFQVNVLGILMNHKTEKEAVSAKCCLEDDLEIDYLLLPSMAIEQTPVVDWLTINSVHPSIIECGHHKSNIHTNKGLVCSCILRNALICTPHNGRTYITTDIMELNGNSPLEVRDGEVTSYKKYFKQKHGIQLRFEHQRLLKARHVFPVKNYCHGYRQAKDRDVSKTFVEIPPELCYIIMSPIPVSMLYSFAFIPSIMHRLEGLLVAFNFKKMHLDHCPQNEIQTFKVLEAMTTKTCKETFHYESLETLGDSFLKYAVSQQLFYTNQNHHEGLLSVKREKIISNASLCKLGCGFRLPGFIRNEAFDPKTWIIPGAKSKCFTITEIDYNGRKIYTRGNRKLKRKIVADVVEALIGAFLSTGGETAALLFMDWVGIKVNFNITPYERQLNACPDNIVNVRFLESLLKYSFRDRSLLAEAMTHGSYMLPDVPRCYQRLEYLGDAALDYLITMHLYKEYPGMSPGQLTDMRSASVNNDCYAMSAIKVQLHKHVLHASQELHKHIVATLDKLNQQSSSTFGWESEASFPKVLGDIIESLAGAILVDSGYNKEVVWRSIRPLLEPLVTPNTLTIHPIRELTELCQKMNYTMEKTLSRKDDVTSCKIKVIADGVVHPYEYIGSADKKTATRLACKGVLNSLK >CAK8531411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107601567:107609374:-1 gene:gene-LATHSAT_LOCUS1205 transcript:rna-LATHSAT_LOCUS1205 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAMEEALMEMDDYNERQVVPDTLAFARSYQLEALDRAIRENTIVYLETGSGKTLIAIMLLRSYAYYLRKPSRHIAVFLVPKVVLVSQQAAALRNHTDLKVGMYWGDMGVDYWDGDTWQKEMGKHEVIVMTPAILLSCLRHSFIKLNMIKVLIMDECHHAAGRHPYACIMTEFYHHQLRCGVTELPRIFGMTASPIKSKAANSESTLSKNIRDLMTLMHSKVYTCVSEAVISKFVPTSTPKFWFYQDNAISFTQFGDLAKKLNILKQQHELSITSSDLTKSAVDSALKKIARIFTASIFCLDELGVWLALKAAESLSYIEIETFLWGNSGDQIVKKFSSATMMTLQSYIPLDSRWSIGDNKNFDVDMGLLTSKVSCLIDCLLEYRGFSEMRCIVFVERVITAIVLETLLNTLLPKYNSWRAKFIAGNGSKLQNQSRKCQNDIVEEFRMGLVNVIVATSILEEGLDVQSCHLVIRFDPSPTVCSFVQSRGRARMHNSDYILMVKSGDSVTRSRLEKYLVGGDMMRKESLRYSSLPCECLESDQFDNQAYRVASTEAVVNLSSSITLLYLYCSRLPADGYFKPTPRWDKEKGILYLPKSCPLQAIHVQGDTKYLKNIACLEACKQLHQIGALTDNLVPSIAVEEAEVEEFGNEPYDEEKPSYVPSELVNRMSNNSNTIYYCYLIELKQNFVYDITVQDIFLATRVELDQEIGCLQFDMGFDKGSLSVTLRYKGSINLSPDQVTLCKRFQVNVLGILMNHKTEKEAVSAKCCLEDDLEIDYLLLPSMAIEQTPVVDWLTINSVHPSIIECGHHKSNIHTNKGLVCSCILRNALICTPHNGRTYITTDIMELNGNSPLEVRDGEVTSYKKYFKQKHGIQLRFEHQRLLKARHVFPVKNYCHGYRQAKDRDVSKTFVEIPPELCYIIMSPIPVSMLYSFAFIPSIMHRLEGLLVAFNFKKMHLDHCPQNEIQTFKVLEAMTTKTCKETFHYESLETLGDSFLKYAVSQQLFYTNQNHHEGLLSVKREKIISNASLCKLGCGFRLPGFIRNEAFDPKTWIIPGAKSKCFTITEIDYNGRKIYTRGNRKLKRKIVADVVEALIGAFLSTGGETAALLFMDWVGIKVNFNITPYERQLNACPDNIVNVRFLESLLKYSFRDRSLLAEAMTHGSYMLPDVPRCYQRLEYLGDAALDYLITMHLYKEYPGMSPGQLTDMRSASVNNDCYAMSAIKVQLHKHVLHASQELHKHIVATLDKLNQQSSSTFGWESEASFPKVLGDIIESLAGAILVDSGYNKEVVWRSIRPLLEPLVTPNTLTIHPIRELTELCQKMNYTMEKTLSRKDDVTSCKIKVIADGVVHPYEYIGSADKKTATRLACKGVLNSLK >CAK8533155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585904759:585913541:-1 gene:gene-LATHSAT_LOCUS2802 transcript:rna-LATHSAT_LOCUS2802 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREIELESAMYTNCLILGLDPSVIGIGASNSTPRVGAFRHSNPKLGEQLLYFILSSLRGPIQSSKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFTSDIASNPLPTPLTDVAFSHAATLLPVTKARIALERRKFLESAEMAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDDLVSSSSQNSHLVSKATRLWDSLVARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDILSGESGDLSSVQMDTKEAVDGSHFSSETLTTVDDRNGRVHQTVDIAEVVRRWTHALQRIHRQSLHLAKANDGEGPDILRSAQEGGSSGHAESLAATLAEHQQHLASFQVLINQLKDVAPTIQKSISECTETVNCLTSNLTPHLLNRHHSQSTPPIQAQSSGRMESNTDDVSELTSRMSNVQLDKVSVSPSTLKLPQLFSMTPSSGKAGNVQRRHGNASQTSQTENLSVTKSLDAPSNNEVASSEGSDSFFVQNLKRSVREAALSLQSYNLESSLDSQSDGYSEHIYVPLSESSFSHLDAEKKASRSKRLFVSPLDDPLLQSHASDGQESTFDEYPDMLNDLEGLSDYDNLNGFLSYAGSNETSNPRRSMFDFEDAQEVLSPPMIMDSEHYEDLLAPLSETDSALIDH >CAK8568618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624823880:624824263:-1 gene:gene-LATHSAT_LOCUS21560 transcript:rna-LATHSAT_LOCUS21560 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQDEFRRCPEQSKFNSKTIAEDQNNKVNEQSSQQMYVENLKNFFQRKYPNESKDEIMVGILDHMKNQFFSTFPTKTSKDEGSSMKTSSSMGSMGLEGEGQVDEATAEDSWYAMIQSMKVKGKIKN >CAK8531472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112991537:112993253:-1 gene:gene-LATHSAT_LOCUS1262 transcript:rna-LATHSAT_LOCUS1262 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLSYDSLLQLPPPTRNTFMASRSPRLLSLATRAFSSSSSQANGVSLVQGASRGIGLEFVKQLLENNDKEHVVATCRNPNSSTGLLQLKDRFDDRLQILPLDLTVESSIEASALSIKETYGHLNLLINASGILSIPQVLQPETTLSKLEKSSLMLAYEVNAVGPILVIKHMWPLLKAGSGIGTERNGAVVASLSARVASIGDNRIGGWHSYRSSKTALNQLSKNVSLEFARKKDPIICILLHPGTVDTDLSKPFQKNVPKEKLFSKEFSVQKLLHIINNVKSQDNGKFFAWDGQEIPW >CAK8531473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112991537:112993190:-1 gene:gene-LATHSAT_LOCUS1262 transcript:rna-LATHSAT_LOCUS1262-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPRLLSLATRAFSSSSSQANGVSLVQGASRGIGLEFVKQLLENNDKEHVVATCRNPNSSTGLLQLKDRFDDRLQILPLDLTVESSIEASALSIKETYGHLNLLINASGILSIPQVLQPETTLSKLEKSSLMLAYEVNAVGPILVIKHMWPLLKAGSGIGTERNGAVVASLSARVASIGDNRIGGWHSYRSSKTALNQLSKNVSLEFARKKDPIICILLHPGTVDTDLSKPFQKNVPKEKLFSKEFSVQKLLHIINNVKSQDNGKFFAWDGQEIPW >CAK8535853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885777846:885778526:1 gene:gene-LATHSAT_LOCUS5273 transcript:rna-LATHSAT_LOCUS5273 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEPTPGVVFGDVPTFKEATAELKEAIDQIYLSSGNSQCEGSSPGSQLSVISPPANETGTKSCLVEAISSPLVPKHAIHAFQLLSTSPEAQTVVQSIACDPNIWNVVMKNPVVTSFFESQLAAVESSNDAAYAAVAGSETVETSKKEEGNAFDFMTVLQNLKLTVTEMVSRMSNFFQNIFLTAEKDKSSTDGGGANFMDYKNLIGRSFMGLAVMVIMVVLMKRV >CAK8571789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473392961:473393971:1 gene:gene-LATHSAT_LOCUS24419 transcript:rna-LATHSAT_LOCUS24419 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNQFHNLGYQAIPPINITQDNTLHHHPPQPVSDYAFPIFAIVVLSIIATILLLLSYITFLTKFCSNWNQVNPTRWISVLRARQNDEDHFIALSPTLWNRGLDESIIREIPSFQFIKGECEDQSVYGCVVCLTEFQEQDVIKILPNCNHAFHLDCIDIWLQTNSNCPLCRSSISGNTTNTPFDIIIAPSSSPQNSSQLLSNLASDEDFVIIELGGEENEVQQEKNDSRGSIEHSRKYHSTREMKPKCPYVSIMGDECIDIIRNKDDLFSIQPIRRSFSLDSANDRQMFMDVQAIIQQNEASASEDCNSRSKRAFFPFCYYGKGSKNVILPFGE >CAK8574494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:729733:730729:1 gene:gene-LATHSAT_LOCUS26837 transcript:rna-LATHSAT_LOCUS26837 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFLAMFLLIISGAQAISNKDEDFDMKFTSENRSIIQSNEQPHNLLGNLYGNGNIEVSTRLVESNNEHKHELSVTKRKGGGGGGRGGGGGRGGGGGSRRGFFGGRAGGAAAAGVAGAGVAGSESAIHGNHHSKSSAKSLMEEPCFCVSTLIFCEIFALITLFHFH >CAK8538503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485191871:485192092:-1 gene:gene-LATHSAT_LOCUS7692 transcript:rna-LATHSAT_LOCUS7692 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFHFFLILSLLFLTPRVHHAIRIKLSSPSTSSHHAFHIPFFNSSPIRSKGRDFKSQKRRVPTGSNPLHNKR >CAK8575303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:73839693:73839995:1 gene:gene-LATHSAT_LOCUS27577 transcript:rna-LATHSAT_LOCUS27577 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSGFLISLIENLSGKDGHESWFCDNINKAHFDYFYCLLAGLSLMGFTSFVYFAKYYTYNHKGTITQT >CAK8576378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468277854:468285771:1 gene:gene-LATHSAT_LOCUS28570 transcript:rna-LATHSAT_LOCUS28570 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNSSSKSGITSSEMRPLPQCLPLDSITVENKKYTGELKKVLGVSAGNTLEDFGVSHSKLMGPGASGELKHLKESVQDASRKARDRSKMFRESISKLDRYREALNSKKRERSDLSSERGGGVNLTKMGSQIHKISDDNMTQREVKTSNSMLNKRTRTLVADTREDNRPASMGRQQMLTEKDGNLIQTLGGGSIRNEEKTRRLLAGGEGLDQKIKRKRSVGTLGNRVITGERDVKRATLPKGNTDLKMRFNDAQSFRLKSLPESSGINKSEGSSETNNTGVRVILTGEQGISLHRDRIADQKVVAKGNKRANTQEDPASSPNTAIKNKVSRAPRTGSVSALELSNIQSPAGSFPGSSIHPMTQWGGQRPPKNSRSRRVNVVSPASRNLEVQVSSEGCLTSDLNVKASSVGNNGFQLASSVDNSTPKYKRPSDDISSPFGLSETEESGVGENKIKEKGVNSSDFAMAADRDGASMFQTRKNKIPIDESGDGVQRLGRTGRNLLSIRPGLPLGREKPQNVPIMKPVQDTKPNDKNKTKYGRPPSKKQKERKVLTRVGKQLNIGSSDFGGESDDDREELYKAANAALDGSSLASGPFWRKMEYIFASISLDDASFVKQQLNITDDLEKSLSHMFAIDHDMLGVVISKKTTQGSDDKRKSHYDEEPTKFEAVGGRNDLERLDKVTPLFQRLLCALIGEDENEESYHQSEAKNISRQCASDDSHCGSCNQVDFELKDRDRTESEVESQVDFQIQKNCILDRLSCNKSTTSNTFRYPNTPNSLQSTGVWQGDEEFSLSDITHTSEICSNDVDQLQPELSNPSFPSPDCEYQLMSLDDRLLLELQSVGIYPEILPDLAEEDEAIIQDIVKLEKALYEQNGRKKSNLDPIDKAILKGRDMEKRSIEQAAFDQLTEMAYRKRLACRGSRNSKSAVQKVSKQVALAFLNRTLGRCRRYEEAGVSCFSEPTLQDILFSPRSCENGAQPADCIVSGTVSNTSNKALYQIEARKLEQVSSMNGSVTIKEKKRAMLVNGSSRTSNLGGAVHGGVKGKRSERDRNQIRDQTRKNSNSRAGYVSFDSSQNENKPKVKPKQKSTPGGHKLIEAKESTHLPIYDSSLSVVANASNNGSKDVAALSGNQNTSQVKESSDLGTLPLHDLGSLDEFGVSGELGEPQDLGSWLNFDDDGLQEHDCIMGLEIPMDDLSDLNMLM >CAK8561095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:92200558:92203981:-1 gene:gene-LATHSAT_LOCUS14716 transcript:rna-LATHSAT_LOCUS14716 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEFNSIVKVWEAAVRKSAGPKKRANRIFTTPMSVAHVDDDVYQVEKILSNGDFYTGQWLNSFPNGQGKYLWTDGCMYVGEWQKGNITGKGRFSWPSGATYEGDFKNGFMDGKGTYIGSNGDTYKGFWVMDMRNGQGTQSYCNGDFYDGEWKKGLQNGHGRYQWKNGNNYIGQWMNGLCNGNGSLMWQNGNRYDGCWEDGFPKGKGTFRWSDGSSYAGVWSKDPNEQSGTYNPSDDDDPSDDIPSDWDPMDLYSVDLNDCRVCGLEKVSIFPSQKNLNMFGLGEDSSNKKLLSKKGFDANGKPKRNLGDDRISNYSSEDGSYSSYDGSRSPMIDHSIPRVPNLRLKTTKRQGETISKGHKNYELMLNLQLGIRHAVGRPAPSTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHPSCEFRWKDYCPVVFRALRKLFKVDPADYMISLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPGYYKHVRAFENTLVTKFFGLHCVKLPGASQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKSEDEIEPTTTLKDLDLNYIFRLRKSWFQEFHRQVDRDCDFLEQERIMDYSMLVGLHFRGLSCSEAGTPSRSSGAHTPTGNFDDGAPRLSGVDIDRIVVDPSRWIQLGISMPARAEMTTRKSCDTPQLVGEPTGDIYEIIIFFGIIDILQDYDISKKLEHAYKSFQYDATTISAVDPRLYSRRFREFIFRVFVEDTS >CAK8579477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701719835:701725189:1 gene:gene-LATHSAT_LOCUS31421 transcript:rna-LATHSAT_LOCUS31421 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNIFSRLRTIKARSCNRVPGTTRFASSSSVAAKQSSSGLGGIFGWLTGSSTSVPPLDFPLPGVALPSPLPDHVTPGKTIITTLPNGAKVASETSLSPAASIGLYVDSGSIYETPLTFGATHLLERMAFKSTVNRSHFRVVREVEAIGGNVQASASREQMGYTFDALKTYVPEMVELLVDIVRNPAFLDWEVNEQLLKVKAEIGEASKNPQDLLLEAIHSAGFSGALANPLLASESAVSRLNGALLEEFVAENYTAPRIVLAASGVEHEELLSVAEPLLSDLPSVPRPQEPKSVYTGGDYRCQTETGRTHFALAFELPGGWHNLKDAMVLTVLQMLLGGGGSFSAGGPGKGMYSRLYLRVLNEYPQVHSISAFNNIYNNTGIFGIQVTTGSDFVSKAIDIAANEILAVATSGQVDQVQLDRAKQATKSAILMNLESRMVVSEDIGRQVLTYGDRKPVEDFLKAVDEVNLKDIASISQKLISSPLTMASYGDVLYVPSYESVSRRFRSK >CAK8564669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6929422:6929745:1 gene:gene-LATHSAT_LOCUS17951 transcript:rna-LATHSAT_LOCUS17951 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWFKLLRLQAPLCRLSKQISNLHQTIAQARNELLQNQESLIMDRMNTETIEKVKTCTDNLIQLQEFQDQMLRQRTKINWLREGDTNSSFFYAYLKSRTTTTHIS >CAK8530381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17891029:17892285:1 gene:gene-LATHSAT_LOCUS249 transcript:rna-LATHSAT_LOCUS249 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSKIPVEIISDDEMAFIDAALTPAACSSATPSAVTPAIHSPASALRIHRNSTSIQSVTVEAKKGPFACSESDIEDIGSFVSTSRKKSREDDTLLHRFRSKRGLFVTDITRTEWCEKQMEFSLFSEEWKNYEAKPDLASVYGGGSRKSKAMRAGIDRHVQLEQEVLESVEVNVKSCEDIMALKLVNFINGVNQLLFEGLTRELPIISFDFAQGIWIVGKIDEIRMSNAKNDHNPVIVEIKTRHRDTVPSESQKRNGRIQLMCYKYLWDNLVAHADHDFPSKQLFDYFELNPRRFLCKDLQTACIESEISASRLSDLVSCYQNMCNMLSPANDKLVLRYESQRDQSVLEEEEFMYDDGWIKNEIRSCVEFWTGQREASYVDEEEEWKCGFCEFVSQCPAYTDDSESTEAISEDDNI >CAK8530851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55670886:55686082:1 gene:gene-LATHSAT_LOCUS686 transcript:rna-LATHSAT_LOCUS686 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSSCYGKDFLFVLLLLSNISFQVATCGSIVKFLPGFNGPLPFVLETGYVGVGEKDDVQVFYYFIESENNPKEDPLMLWLTGGPGCSALSGLVFEIGPLEFRREEYNGSLPNLILKPHSWTKVSSIIFVDLPVNTGFTYATTNSSSERSDSILVHQTHQFLRKWLIDHSKFESNKIYIGGDSYSGIPVPGIVQEIVQGNEEGVQPWINLQGYLLGNAVTTRKESNYAISFAHGMGLISDELYKSLQINCKGDYINVESRNILCSKDIRIYEEAISGLNAAHILDPKCEWLNEVEKFERKSLIEYPSNFLTSNLRLPPLSCRSYPYFLCGFWTNDDNVRKALHIRKGSMGKWHRCSSDIPHEKDIFNSYDYHVNLSKKGIRSLIYSGDHDLLVPFLGTQAWIRSLNYSIVDEWRQWYTNDQVAGYTRTYSNQMTFATVKGGGHTAPEFRPKECFDMYSRWISNNTL >CAK8579397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695672750:695673058:1 gene:gene-LATHSAT_LOCUS31346 transcript:rna-LATHSAT_LOCUS31346 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLFQSLHKTSLSSSKPSPSVKDRPRFVQPGDLEVNKKRLRSTTTRKSS >CAK8542727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541304770:541317459:-1 gene:gene-LATHSAT_LOCUS11541 transcript:rna-LATHSAT_LOCUS11541 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVGCVKSVFHVATTRTDLHYESPLSPRRIGYAARVAHVPLVRKVSSNAIRVRCCNNNGRESGVVVEKVEGIENSYTRVMKFGGSSVASAVRMREVANLILGFPEERPIIVLSAMGKTTNRLLLAGEKAVSCGVTNAESIDELSDIKDLHLRTVEELGVDRDVIAKHLEELEQLLKGIAMMKELTPRTQDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHSDWVSDPAIPVITGFLGKARKSCAVTTLGRGGSDLTATTIGKALGLPEIQVWKDVDGVLTCDPNLCPQAEPVPFLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKTRDMSKALLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDYVVEELEKISVVNLLKNRSIISLIGNVQMSSLILEKAFQVLRTLGVTVQMISQGASKVNISLVVNDNEADQCVRALHKTFFECEVSELETECISKNGSVPALS >CAK8570739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:105367807:105368913:1 gene:gene-LATHSAT_LOCUS23469 transcript:rna-LATHSAT_LOCUS23469 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHSYTTNINRQDHRKLSSQLICQEIFHLVGVDPFVKVSKIISHVVAQFNYTLSYRKAWIARIKAVEHVYGNWEKSYNQLPHYLLALQKYVFSTIVILEKLPTYTLDGTCVDGSKILSRLFWAFPSCIKGFAFYKPVIQVNGTWLYVKYKGTLLMAVAQDGNYNIFSIAFALVEGETCESWSFFLRNLRTHVTLQPNLCLIFDIHASIVSAYNNPANGWHNPSSVHVYCIRHIAHNFMRVIKDRNLRKKVVNVGYALSQPSFMYYHEEVRLSNAEALSWVNRIPVEKWTRAFDGGCRWGHMTTNLVESLNDIFKGTRNLPITALVRATYYRLGSLFAVRGKKMERCLGIRAIIRRNMYEIYEGGNC >CAK8562299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468641694:468642473:1 gene:gene-LATHSAT_LOCUS15806 transcript:rna-LATHSAT_LOCUS15806 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKANRLGAEYDKGMEAFFQYAREKLPNNNMFYCPCVNCLNRELPLLIDEIRNHLVCEGICQSYTNWIWHGEPSNNTSSVSEREVVDVDMDNRLEDMINAIGPESFQHAHMYDTLCSNNEESLYSGCTNFTRLYAVLRLFNLKARNGWTDKKFTELLELLCEMLPEGNRLPNRNYEAKNILCPMGMEYKKIHACPNDCILYRNEYEELKECPTCGQSRFKVKDGDLNSDENTKRLPAKVLWYLPIIPRFKRLFAN >CAK8533214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591433731:591435009:1 gene:gene-LATHSAT_LOCUS2859 transcript:rna-LATHSAT_LOCUS2859 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGTIAGIVVGGAFGILLLALILYFVLYRRKKVVHVTLLPVPGASEDQYSQLQHGCGSSLDKASESTTIASPRLTGITVDKSVEFSYEELAKATYGFSAANIIGRGGFGSVYYAELRNEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGKDPLSWPARVQIALDSARGLEYIHEHTVPVYIHRDVKSTNILIDKNFCGKVR >CAK8568683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632035544:632036835:1 gene:gene-LATHSAT_LOCUS21618 transcript:rna-LATHSAT_LOCUS21618 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESGVPILDFRKSNGIILEEGSEGWKEMSKKVREAFESHGMFLLRCDEIPKELQNGMFTGIKSLFDLPEETKSKFTGKRVYRGYSSKSPALPNSQTFGIDDTFDPNETQSFTNLMWPEGNPNFCEALISFSSEARELSSIILKMVVEGFGLPAKYFLEIEELCKGNDTRLTKYPLPKETNDFAVTFVPHTDRSSLTFISENEIQGLQLLQKSGNWVNVNVPPNGFIVMVGDILQAWSNGRFEAPIHRVAIKGNKDRYVFILFSFPKEETFIKVPTELVDEEDHPLRYKIFSYEDYINFIKTVGTKLGALEEFVGI >CAK8530133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2288347:2288763:-1 gene:gene-LATHSAT_LOCUS25 transcript:rna-LATHSAT_LOCUS25 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSKIGSTTTTTTNHKSRSVDFSDIFSFSQTPKPIGNSTATVVPSVNEGEKFGVILGRSCSVSSSSSSASGFQATLKRAFSGRRCSSVSERYCRIHDQNMVIASDEFIKEDAHDLPNKKKQRGGKILKVCKRLFGF >CAK8571866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:485842976:485845553:-1 gene:gene-LATHSAT_LOCUS24489 transcript:rna-LATHSAT_LOCUS24489 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTASIEMVTDENLEKHIHKHMGCMAGFLQIFDRNHILSGKRIYSTKRLPPPSPETPRKDGDGGSPTLSVPSPVKEVQCVAKPVLPVLELKEGTRSSWKFSREAPRLSLDSRAVVDAKGALHPREIRTNATVNPENDGDKQRRSTSSVIVRLMGLESLPTDSVAKLQRSASESRVSRDRFSDTKLKSNNYIQHCNAGSGLGQMNNHNLNKTNSNVVNVHANNNNANIVNSYSYGAIDDNRLWNGRVAEGGRGKQNQNKGTVMIQKKSFYDSADFFPEPKHNVSISDEIEKRLKMRGINQPSQDLDTLKHILEAMQLKGLLRSYKSNQSPIVLMKPLRSSRHERFERFNRTGYDSPPPHSSVRSNSPARRNLSPRFSGENDRAQVTSRNSSPNRRNVNVPNMETRRRVSNEGVDSRRVSPVHSPKISSRRNATTTIVTGGSPRMKKVIDPKVKMLGVADDEWSNVSENSFTTVNSHTDSERYKLEEYKEGRNLLDRCDKLLNSIAEITASNELQPSPVSVLDPSVHKDEWCSPSPITKRNIDYSFKDQLVEFEDEMWSGGEGKSEEETKSEDCDFVYFSKILRACSYYPEDCDIFVLLEKQQFLKGRDTSKASTLQRRLIFDTLQEILTRNQRLPPWKLVSQGEETQKIWSEFRRIREREESESEDLFGVICGVLKKDMAEEMSGWSEWTVEMGDLVLDIERLVFKDLIGETIQHLASFAPQCNKLGALRRQLVF >CAK8568043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566667835:566673729:1 gene:gene-LATHSAT_LOCUS21042 transcript:rna-LATHSAT_LOCUS21042 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGNSAWYKAKVKAVPSGDCVVVVSVAANAKSGVLPEKSITLSSLIAPRLARRGGVDEAFAWESREFLRKLCIGKEITFRIDYTVPSINREFGTVFLGDKNVAMLVVSQGWAKVREQGQQKGEVSPFLAELLRLEEQAKQEGLGRWSKVPGAAEASIRNLPPSALGDASNFDAMGLLAKSKGVPMEALVEQVRDGSTLRIYLLPEFQFVQVFVAGIQSPQMGRRAAPETVVETEVTIDSTNGDVPAEPRAPLTSAQRLAVSASAAESSADPFGPDAKFFTEMRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELVENGFAKYVEWSANMMEEDAKRKLKSAELEAKKSRLRIWTNYVPPVSNSKAIHDQNFTGKVVEVVSGDCVIVADDSIPYGSPQAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKVGPVDATGAQTGAADRVMDFGSVFLLSSGKADNDQTPSPAAPASQQAGLNVGELIIGRGFGTVIRHRDFEERSNFYDALLAAESRAISGRKGIHSAKDPPVMHITDLTTASAKKAKDFMPFLHRSRRVPAVVEYVLSGHRFKLLIPKETCSIAFAFSGVRCPGREEPYSDEAIALMRRRIMQRDVEIEVETVDRTGTFLGSLWESKTNGAVALLEAGLAKLQTSFGSDRIPDLRILEQAEQSAKSKKLKIWENFVEGEVVPSGANVETKQQEVLKVTVTEVLGGGKFYVQTVGDQKIASIQNQLASLNLKEAPVIGAFNPKKGDIVLCYFRADTSWYRAMVVNTPRGPVESPKDVFEVFYLDYGNQEEVPYSQLRPLDPSVSLAPGLAQLCSLAYIKIPNLEEDFGQEAAEYLSELTLSSGKEFRAMVEERDTTGGKVKGQGTGPVIAVTLVAVEAEISVNAAMLQEGLARMEKRNRWDRSARKQTLDNLEMFQGEARTSRRGIWQYGDIQSDDEDTAPPQRKPAGGRR >CAK8579252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687788672:687789450:-1 gene:gene-LATHSAT_LOCUS31213 transcript:rna-LATHSAT_LOCUS31213 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFPRFKFLSRAVVHEENQDEHVLERNMYPIVTKEGLCVSSSLTVWKKSLLMNCKGFTVIDSCGNLVYRVDNYSLHPQELVLMDASGNSLLTMRRHRKLGLVDNWCVYEGDLGNHRTRRTTTCRTRKSPVCCVTKSVNILNGNSNVQAYVYRVASGSDNRRAAFTVEGSYAQRTCKVLDECKKTVAEIKRKETNTKDASFGVEIFQLVVHPGFDPGFAMALVLVLDQMFS >CAK8536720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:10703687:10704760:1 gene:gene-LATHSAT_LOCUS6054 transcript:rna-LATHSAT_LOCUS6054 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVRWRLCFEQYFSAAIARIVFFEVRHIGHPIGGKKLISLDNKSLNQAHGYILFNCDEVQEYIREHEVNVHNLKKKRKLRNANNQREDFIQWFETRVIGEEVTEWLKVLSRGPNDVVRRYSGYVINGYRFHTTNREARLKTQNSGVTLEAVTQVIRNAKDENPKKICVTYYGAVKDIIELDYYGHEKYVLFKCDWFVDEKDKYGSLFVYFNKKCYKNDPFVLASQVQQCFFIEDPLNKNKHYVLNALPRETFDMGECLGSDAQEYYISINLDTLKDDCEVDLVRKDVPDDIFEIPLSELHNQKSIESDHSDTSYESDDEIDYDSSTD >CAK8573160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589991234:589991798:1 gene:gene-LATHSAT_LOCUS25639 transcript:rna-LATHSAT_LOCUS25639 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSSLKLLRGLHSLWSPSRSQALPGEIRSAMTVGDVERLSHLEEENPKLTKNLKDVNGEPNESDTRN >CAK8567939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558338472:558338720:-1 gene:gene-LATHSAT_LOCUS20946 transcript:rna-LATHSAT_LOCUS20946 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQRVQKQILSLVNNDGTVLTSQRGIEEKILNFFGNLVGKASPRLKGIDIMVLRRGNQLSLEEMESMIVPISNMDIDKAL >CAK8578097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606831378:606834466:1 gene:gene-LATHSAT_LOCUS30138 transcript:rna-LATHSAT_LOCUS30138 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISSIWQGIKPEVLMVFVQLASAAMNIICKLAINDGMSMRVATAYRLICAAAFNILIALFFDRKKRAKITWSVLYKTFLCGLFGGSLYLNLYLEALALTSATFMLVVFNLIPTITFIMAVCLGMDKFNLRLMEGKAKVIGVIMGMSGAMLMIFFKGAEIHIWSSNINLLHPHQNPNELMASHHAEIRKKILGVSIALASGCSFSIWLIIQAKLHAEYPCHHSSAALMVSMGAIQATLISLCIERDWNQWKLGNKLRILTVVYPGIVVSGLAVIIIAWCIRTRGPLFASIFNPLQLLLVVIAAYLMLDEKLYLGSMLGAIVIVCGLYTVLWGQGREIKNKMKILEITRMSENKEVVVISMPLPLDRVIQNSQRSAITIENVVNDQ >CAK8540956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35379879:35380445:1 gene:gene-LATHSAT_LOCUS9910 transcript:rna-LATHSAT_LOCUS9910 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVAKAAYDAKMGKLLREYTQVLVVSADNVGSNQLQGIRRTLHEDSVVVMGKNSLMKRSIIQEAEKTGNNNAFLNLVPLLVGNVALIFTKGDLRDVSERIAKLKVVNPILMCPKYMSYDSYKTCTYMQSGQLPLGLTCCNQQSSQASEPFLVSSKFSPPQHCYLSRSRQLLATSIWSPFLLLAGNL >CAK8562161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:449218524:449219222:1 gene:gene-LATHSAT_LOCUS15682 transcript:rna-LATHSAT_LOCUS15682 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRGNPDSKGFDFASDDILCSYEDFSNRDSNSNGNHNDSVIAPTSTTDFHKSRVARTSVFPVTAYNPPEDSLSQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLNRDHGEQDSKLKSLEKHLQEVSFNFIW >CAK8543870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645673662:645678901:-1 gene:gene-LATHSAT_LOCUS12595 transcript:rna-LATHSAT_LOCUS12595 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYALLHEQATSSRNVAMKMNHILFLSIFIITNLLLLPQVLSLDKGNSLAPSFSTDEIYKQLEGLTITLTRDIKEDLGFCIKDVNKDWEEAFNFKEKLDFVEACVKQKGDFTDRICTTAEIRNYFQSFFLEGGTSASYVKPNQNCNLTSWVSGCEPGWSCSADQKIDLKTDTKDIPSRTNDCQPCCEGFFCPQGLTCMITCPLGSYCPLAKLNETTGVCDPYSYQIPAGDTNHTCGSADIWSGVVNNSNIFCSPGSYCPSPTRKVSCDKGYYCRMGSTQQNRCSKLSNCNPNTSTQNMHAYGALIIVGMSTTLIFIYNCYDQVLATRERRKAKSREAAAKHVRETVQARERWKQARDFAKKGSAGLQKQLSRTFSRKKSSKQGDQFQPTPPDSSSKPGQASKAKNKEPSNLTKMMHSIEDDGPEGFDFKIGDKNIKKQMPKGKLLNTHSQILRYAYGQIEKEKAQQEQNKNMTFSGLISMASEGEEVKSRPSIEVAFNDLTLTLKGKNKHILRCVSGKIMPGRVSAVMGPSGAGKTTFLSALAGKARGCNQSGSILVNGKNESIHTYKKITGFVPQDDIVHGNLTVEENLRFSARCRLPADMPKPDKVLIVERVIESLGLQPVRDSLVGTIEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSSLLLKALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFAGIGIPVPDRVNPPDHFIDILEGLEKPTSGVTVETLPVRWMLHNGYPVPPDMLHLTDQIAAPSSAAPSSAASDATSTSKSTEESSDQSFFVEFWEDLKSNIRTQRDHIEAIFSRTKDLSGRETPNVAQQYIYFVDRVGKQQLREAKLQAIDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVISVSLLCKIAALRSFTMDKLQYWRESAAGISSLAHFLAKDTIDLFSTIIKPVVYLSMFFFLSNPRSSFGSNYTVLVCLVYCVTGMAYALAIFFQPSPAQLWSVLVPVVMTLIANQTRDTTFMKILVQFCYPKWALEAFIIANAERYTGVWLITRCSSLMSGSYNVNSWNVCLLVLILYGIIARVVAFICLVISQKR >CAK8534301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714125038:714126045:1 gene:gene-LATHSAT_LOCUS3856 transcript:rna-LATHSAT_LOCUS3856 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGRCESSKEISLSKATKILSKFVSADNGASQVINAYLHRASDAFNELNQLHRELKPSQSRRKKSRSHVADDSGRVGVSSVTSADVKSEIGIIREKVCVENVDEKLIENDVKLGREINGSVVDGSEKRSKKDKKKKNEFGNKKGDGKLPKKGQNENESGQGDEEMEDGKKQKKDKKKKDKNLERESAKGREQQKEIDTKISNNGEVAAMVKNEIELSKGGEGGTKDGKKQKKEKKKKEEKNLDGENAEEQKQQNDIEKKMSNNVKVENGGLVVPQDIEIRSKKRHEAGSENKLHAEEIKTEQRKKKRKNEDVEDRSEEQSKKKMKRKHEGQA >CAK8533955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674911328:674913000:-1 gene:gene-LATHSAT_LOCUS3537 transcript:rna-LATHSAT_LOCUS3537 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSGLELSLGLSYGGSSSKPKSKNGSSSDTRSEEVGRGGKMVDDFKSMFNTDPQKPESITSTRRADSSKHEENFFSDLSKVKEDNASLNLNGRGFLVGNSHNKPIEIDENKRLEVVNKRRMSFDDIRNQKRHDSDGHHGDLHDRARASHISLTEDGSTAENEDVADSEAENSTSRPLSHHSDGSKGFVRVGGASSDAPKEIRGVADSSANGQKRFTASTEKDFKHANMTYGSTFSAQPVNMMNVPYTSVKDSNSVGAPSSQIPGVMHMMPAATGERAGAQSVSNGNLPMMFGHPYVQLPMLDKDSSWGRPQQFHPSFAGRGPTNSAALHLNNISEAMPYEGRPLERAKSDGKQRVTEEGSFSQPEDVKGSSTNLRGKDASEQSKVEGSTIDFSNIKPGLAADVKFGGCGAYPNLPWVSTTNSNGRTISGVTYRYNTNQIRIVCACHGSHMTPEDFVRHANDDQANPEGNAVSGTVPNGNLGSSSHS >CAK8541352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132992859:132993875:1 gene:gene-LATHSAT_LOCUS10277 transcript:rna-LATHSAT_LOCUS10277-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTVVTNVEVDALFQGVDFSSSITRAKFEEINIDLFDECMEIVERCLIDAKMDKSSVDDVVLVGGSSRIPKVQQLLQDFFEGKELCKSINPDEAVAYGAAVQAALLNGSTKNVPNLVLIDAAPLSLGWKVIQGAMSVVIPRNTSIPVKKTKRYNTVEDNQTVVPIMVYEGERPRALDNHFLGSFILSGLLPAARGHSYNVTFDVDQNGVLTVSALDKSSGSKNEITITKDKGKLSTEEINRLIQEAEAFCIHDKKFLRMAEVMNALDHCVYKMRNVLKKNDISLKLSSEENKKINTAIAMATNLLDENDQQNEIDVLEDHLNELESMYELIISKSG >CAK8541351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132992415:132993875:1 gene:gene-LATHSAT_LOCUS10277 transcript:rna-LATHSAT_LOCUS10277-2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIAETFLESPVKNSVITVPAYFNDSQRKATIDAGLIAGLNVMKIINEPIAAAIAYGLEKRTNCVGERNIFVFDLGGGTFDVSLLTINDKVFQVKATGGNTHLGGEDLDNRMVNYFVDEIKRKKKLDIVGNPKALRRLRAACEKAKRMLSFTVVTNVEVDALFQGVDFSSSITRAKFEEINIDLFDECMEIVERCLIDAKMDKSSVDDVVLVGGSSRIPKVQQLLQDFFEGKELCKSINPDEAVAYGAAVQAALLNGSTKNVPNLVLIDAAPLSLGWKVIQGAMSVVIPRNTSIPVKKTKRYNTVEDNQTVVPIMVYEGERPRALDNHFLGSFILSGLLPAARGHSYNVTFDVDQNGVLTVSALDKSSGSKNEITITKDKGKLSTEEINRLIQEAEAFCIHDKKFLRMAEVMNALDHCVYKMRNVLKKNDISLKLSSEENKKINTAIAMATNLLDENDQQNEIDVLEDHLNELESMYELIISKSG >CAK8541350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132992295:132993875:1 gene:gene-LATHSAT_LOCUS10277 transcript:rna-LATHSAT_LOCUS10277 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPFKVIAGVDDKPMISLKYKGHEKHFCAEEISSMVLTKMREIAETFLESPVKNSVITVPAYFNDSQRKATIDAGLIAGLNVMKIINEPIAAAIAYGLEKRTNCVGERNIFVFDLGGGTFDVSLLTINDKVFQVKATGGNTHLGGEDLDNRMVNYFVDEIKRKKKLDIVGNPKALRRLRAACEKAKRMLSFTVVTNVEVDALFQGVDFSSSITRAKFEEINIDLFDECMEIVERCLIDAKMDKSSVDDVVLVGGSSRIPKVQQLLQDFFEGKELCKSINPDEAVAYGAAVQAALLNGSTKNVPNLVLIDAAPLSLGWKVIQGAMSVVIPRNTSIPVKKTKRYNTVEDNQTVVPIMVYEGERPRALDNHFLGSFILSGLLPAARGHSYNVTFDVDQNGVLTVSALDKSSGSKNEITITKDKGKLSTEEINRLIQEAEAFCIHDKKFLRMAEVMNALDHCVYKMRNVLKKNDISLKLSSEENKKINTAIAMATNLLDENDQQNEIDVLEDHLNELESMYELIISKSG >CAK8577260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:550509147:550510538:1 gene:gene-LATHSAT_LOCUS29381 transcript:rna-LATHSAT_LOCUS29381 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDQKLIAYIEEFGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIAAQLPRRTDNEIKNYWNTHLKKRLTRMGIDPTTHKPKTDTTSGAGSSNCQYSKDSANLSHMAQWESARLEAEARLVRETNKMKVQRQLEFESSKPQTPARLFLNKITPLVQPSLPPCLDILKAWQSSWSKPTQSNETSNSSKMHSMYAMMLSNDENLESPTSTLNFPGTTLLPLPPLPLPVHVPVSVPMTNNNVVPFTQTPLPLPDSTNNDDEIWKQFSLTKQNTEGDHEDDDIMAAVEAFRSSCGGFDNNIPSISSNVGGDHENMDESNSLMCNVNLEENKHYWNSILGLVNESDDMNIVLG >CAK8573287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600520365:600524417:-1 gene:gene-LATHSAT_LOCUS25754 transcript:rna-LATHSAT_LOCUS25754 gene_biotype:protein_coding transcript_biotype:protein_coding METKLCAFAFISEVLFLLLLNPLCLISANMEGDALHNLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNITGPIPSDLGNLTNLVSLDLYLNKFTGPIPDSLGKLSKLRFLRLNNNSLMGPIPMSLTNITALQVLDLSNNQLSGVVPDNGSFSLFTPISFANNLNLCGPVTGHPCPGSPPFSPPPPFVPPPPISAPGTGGATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPHQEPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQTNYIEAEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKGEVLRQEVELAPHPNSDWIVDSTENLHAVELSGPR >CAK8563669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623761668:623763849:1 gene:gene-LATHSAT_LOCUS17054 transcript:rna-LATHSAT_LOCUS17054 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEAMDCDSSNYKRYTLSQTLTSHKRAISAVKFSSNGRLLASSSADKTIRTYGFTNSDSNSNSLTLSPMQQYEGHEQGVSDIAFSSDTRYLVSASDDKTIRLWDVRTGALIKTLHGHTNYVFCVNFNPQSNIIVSGSFDETVRVWDVKTGKCLKVIPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDASTGHCMKTLIDDENPPVSYVKFSPNAKFILVGTLDNNLRLWNYSTGKFMKSYTGHVNSKYSISSSFSTTNGKYVVSGSEDSCIYLWDLQSRKIVQKMEGHTDTVISVSCHPTENMIASGALGNDKTVKIWTQQND >CAK8564690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7083437:7084589:-1 gene:gene-LATHSAT_LOCUS17968 transcript:rna-LATHSAT_LOCUS17968 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRKRTVRPSAPETESKHFMKAILPSPVHAKQIRIPEEFITRFGNELNNVVTITVPDGRVWEMELEKCGNHVYFSKNWQQFAEYYCIGYGCYLCFKYEGNSKFCVIIFDITSVEIPYPFKTTHGEITIKSPTPRKSSKVETIGVQSMSNTASKRVEHAANEFNPNNPHFRSKINMGKNVYVAADFAAKYLKPNVPIKLQNSHGEQWEVSGMLHDVRFSLALQIRRGFSKFQRDNNLSEGDICVFELIMENPVVLKVTMFRAVDYAD >CAK8572063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506304041:506307122:1 gene:gene-LATHSAT_LOCUS24669 transcript:rna-LATHSAT_LOCUS24669 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNGAKLPNPFDLNDSQILDKVYLTHLHDDDKCDKEVLFHIVSNVILRTRLAETRAGVTGFQPEFRTLKLISCQMITTPRGERYVHQTTMWILQYLKTYSWDAKALVTLAAFTLEYGNLLYLTDTSTSDQQLVNTLKRLNQIQNRKITVPVTDLVDLIMEVFLHIHEWATWSSVGYDTLEVPSLSDASEDIPVAVYWIIASIVAATGNIVGVSDYALSNFKDRLSLVDSKLKEHLKLSKGQIDTVEEYLKRKKAISNPKDIVDFLKLLIQRNGDNLLIYDGNTKTKTDIEVFREKYVLLFISSLNKVEDEILLLNSIYDRLHDNPQEIVKGYKKDDFKILWIPIYSDDQTIKFDLLKNKIKFYAVENFSRLPGIGLIREKLNYWDKPIVPVLSPLGEIMNDDAMDLIFQWGIDAFPFRKKDGYDLTQKWKWFWDATKRVNLGIQVKGDRYIFIYGGGDKKWIQDFTLALEKTKKHETIIRADAIIEHYHLGKDEAKIVPRFWIEIESKRLKKHQDGLDCEIQDIVKSLLCLKQDPQGWAILSKGYNVKVLGHGEPMYQTLADFDIWKDKVLQKEGFDIAFKEYYETKVKDTNVRQPCEMINVDNNNINGNVIATISCPNPTCGRVMEVSSVNYKCCHRDDAPQNGEI >CAK8541486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:199793231:199794195:-1 gene:gene-LATHSAT_LOCUS10404 transcript:rna-LATHSAT_LOCUS10404 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNSMCEVKYLVAESLSFQIRTTALELLLHLLLVSPSSHDFAVPSSLLSLEARCIWMLHTKILRLMTIIQETHTFVKAMTCKEDNSPLPWQVQWLFLELPSSTREMATAMATSKDINSMEST >CAK8541487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:199793231:199794180:-1 gene:gene-LATHSAT_LOCUS10404 transcript:rna-LATHSAT_LOCUS10404-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVKYLVAESLSFQIRTTALELLLHLLLVSPSSHDFAVPSSLLSLEARCIWMLHTKILRLMTIIQETHTFVKAMTCKEDNSPLPWQVQWLFLELPSSTREMATAMATSKDINSMEST >CAK8568846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:646956912:646964549:-1 gene:gene-LATHSAT_LOCUS21761 transcript:rna-LATHSAT_LOCUS21761 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVFLTLLLFLSLTNLASSIYEDQVGLMDWHQQYIGKVKHAVFHTQKTGRKRVLVSTEENVVASLDLRHGEIFWRHVLGTNDVVDGIDIALGKYVITLSSGGSILRAWNLPDGQMVWESSLQGSKESKSILNIPKNLKADKDDLILVYGKGYLHAISGIDGEILWRKDFAGESIEVNHIIQSPEVIYVAGFVGSSKFYVYELSAKNGELLKNNHITLPFGTSGESLSVPGDKFVVLDDVRSKIVTINFNNGDINYNQKQISDLVKDLSGQAVILPSRLPGLFALKINSHVLFIKVTNEGELVLVDKIDNAAAFSNALSVSENQHVFAFVQYEDNKIHLSVKDVNDWNGDLLKEDLVVDHQRGNIEKIFINNYVRTDRSHGFRALMVMEDHSLLLVQQGEIVWSREDGLASVVDVTTSELPVEKDGVSVAKVEQNLFDWLKGHVLKLKGTLMIASPEDKVAIQKLRLRSSEKSKMTRDHNGFRKLLIVLTRAGKVFALHTGDGHVVWSTALHTLRKSEVCEHPVGLNIYQWQVPHHHALDENPSILVIGRCGPSLTAPTVLSFLDAYSGKELNSLNLAHTVARVIPLPYTDSTEQRLHLIIDVNRHAHLYPRTPEAIEILKHEFSNVYWYSVELDNGVIRGHALKSNCIHEVVDEYCFVSRDLWSIVFPSESEKIIATVTRKSNEVVHTQAKVMTDHDVLYKYISKNILFVANAAPKASGEIGTATPEEASLVIYIIDTVTGRILHRMTHHGCQGPVHAVFSENWVVYHYFNLRAHRHEMSVIEVYDQSRADNKDIWKFVLGKHNLTSPISSYYRPEISAKSQSYFFTHSVKAIEVTSTDKGITSKQLLIGTIGDQVLALDKRFLDPRRTLNPSQAEKEEGIIPLTDSLPIISQSYITHSLKVEGLRGIITVPAKLESTSLVFAYGVDLFFTQIAPSRTYDSLTEDFSYALLLLTIVALVAALFVTWVLSERKDLQEKWR >CAK8533555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636132826:636135938:-1 gene:gene-LATHSAT_LOCUS3175 transcript:rna-LATHSAT_LOCUS3175 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERTLGDRKGKDFCELPKETITNSRARRNRRRHKMPPVQKLFETCKEVFASSGTGIVPPAQDIDKLQSVLDGIKPEDVDLRPDMPYFMANASHRRPKITYLHIYECEKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDLPPQSSTIVKSTESQVPDLRLAKVKVDSDFTAPCNPSILYPEDGGNMHVFTAVTACAVLDVLGPPYSDVDGRHCTYYTNYPFSNFSVEGLSIPEEEHNVYEWLQEKDQLEDLKVEGKMYSGPQLWRTS >CAK8535829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883969789:883970586:1 gene:gene-LATHSAT_LOCUS5249 transcript:rna-LATHSAT_LOCUS5249 gene_biotype:protein_coding transcript_biotype:protein_coding MKINPPPSDTEVSALENKNLGRITQIIGPVLDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVKRLLGNNRARAVAMSATDGLKRGMEVIDTGASLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRYAHAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFCGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNE >CAK8543640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628583954:628585860:-1 gene:gene-LATHSAT_LOCUS12386 transcript:rna-LATHSAT_LOCUS12386 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8536667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6549931:6593842:1 gene:gene-LATHSAT_LOCUS6006 transcript:rna-LATHSAT_LOCUS6006 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKVKKGAGGRKGGGPRKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAIRNDQELGKLLAGVTIAYGGVLPNINPVLLPKRKESAASAPKSPSKAKKTPKKA >CAK8536668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6593298:6593842:1 gene:gene-LATHSAT_LOCUS6006 transcript:rna-LATHSAT_LOCUS6006-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTKVKKGAGGRKGGGPRKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAIRNDQELGKLLAGVTIAYGGVLPNINPVLLPKRKESAASAPKSPSKAKKTPKKA >CAK8538137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465666834:465667064:-1 gene:gene-LATHSAT_LOCUS7370 transcript:rna-LATHSAT_LOCUS7370 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDQASSHPLLLVFECWDPIFSRSIGRYLPIDRSHLRKSLRAQLALFVDVTRAGRGIARFDVGAAELPYLTNEA >CAK8531358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104521497:104523824:-1 gene:gene-LATHSAT_LOCUS1155 transcript:rna-LATHSAT_LOCUS1155 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYKPKPHSLLSLRAQKRFIVSPPHRSSPAPASATTHSYNAIINRHSTQGSHRQVLITYASMLNAHVLSDAYTFPSLLKACSSLNLFLSGISLHQRVIVNGLSTDSYIASSLINFYVKFRCSEVARKVFDFMPEKNVVPWTTVIGCYSRMGNVPEAFSLFHQMRCEGTQPSSVTLLSLLFGVSELSHVQCLHACATCYGFMSDLNLSNSLLNVYAKCGSIEDCRKLFDFIDQRDIVSWNSLLSAYAQIGDLCEVLLLLKTMKVQGFEAGLQTFGSVLSVAALRGDMRLGKLVHGQILRAGFDLDAHVETSLIVMYLKGGNIDVAFRMFERSLDKDVVLWTAMISGLVQNENADKALAVFNQMLKFGMKPSTETMASVITACTQMGSYNIGTAIHGYILRQELSLDTAAHNSLVTMYAKCGHLDQSSIVFDNMSKRDLVSWNAIVAGYAQNGYVYKAFSLFNEMRSCHQTPDSITIVSLLQGCASTGQLHPGKWIQGFVIRNGLGPCILVDTSLVDMYCKCGDLDTAQRCFNQMQSHDLVSWSAIISGYGYHGRGETALRLYSEFLETRIKPNHVIFLSVLSSCSHNGLIDQGLNIYKSMTRDFGIAPNLEHHACVVDLLCRAGKVEESYNLYRKMFSEPALDVLGIILDACRANGNNELGDTIANDILKLRPMSAGNYVQLAHCYASTNKWEGVGEVWTHMRSLGLRKIPGWSFIDIHGIITTFFTDHNSHPQFLEIVNTMKILRKEMNKMEEVDMNFESNHTHIYNLYDEKLL >CAK8577813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590234226:590235806:1 gene:gene-LATHSAT_LOCUS29884 transcript:rna-LATHSAT_LOCUS29884 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSFLLTILTVVVSISTTVSKSPIQNFLNCFSNNSHVAEVIYTPNNTSFSTILNKRIHNMRFKTTTTPKPLAIITPKDAWHVQATVKCAKSSNIQIRIRSGGHDYDGYSYVSDVPFVLLDVFHLNSVDVNVREETAWVESGATNGKIYYNIAKKSNSLAFPAGVCFSLGSGGHYSGGGYGNLMRKYGLSIDNIIDAKIVDANGKILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVHVPTQVTVFKVKRKMDEGAADVVFKWQSIAPKLDKDLFIRVQHDVVPIGKTGKKTVQVSFIGQFLGTIERLLPLVSTSFPELGLKKSDCISMPWVNSTLFWYFRPIGTPLEALLDEPKESETLYFKGKSDYVKKPIPKETIESLWKLMIEGEEIMFMQWNPYGGRMEEILPSDTPFPHRVGNLFMIGYYHNWVNGSREDIESHLKFSNSVHEFMKPYVSNSPREAFLNYRDADIGANHPSNTTKIDIARTYGNSYFKGNFKRLVNVKIKVDPENFFRYEQSIPTRT >CAK8535320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838227098:838230144:1 gene:gene-LATHSAT_LOCUS4787 transcript:rna-LATHSAT_LOCUS4787 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKQLIRRRSNLRDSILASISTAQPDNFHHSLPSHHLARLTPKRFLDWPQFSNKEAIAKERARINDEMKRGYVADLAEIKQHGGKVSVANKVIIPAMMAMKFPDIQVSFPDGKIMKLPIRISDKAVDSDESSVPKASLVCLSFRGYSEKMIDSWSVPFAKTFSNSKDTHLYKVSFIDSWFLCLPPIKNFLMWTIKKPNHNENKDTLEAKMVYSFGDHYYFRKELNLENLLTGYVFLLDNFGRIRWQGFGMATEDEVSSLLSCTSLLLDS >CAK8538142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465695791:465696537:-1 gene:gene-LATHSAT_LOCUS7375 transcript:rna-LATHSAT_LOCUS7375 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLLQPSFLMSKTRSCALILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRVGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8538143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465695791:465696438:-1 gene:gene-LATHSAT_LOCUS7375 transcript:rna-LATHSAT_LOCUS7375-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRVGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8576716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508513245:508517518:1 gene:gene-LATHSAT_LOCUS28889 transcript:rna-LATHSAT_LOCUS28889 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLKLLCISFLSIITIVSAAYIPGPKTVTYDGRSLLINGKRELFFSGSIHYPRSVPEDWPLILDKARHGGINLIQTYVFWNGHESEKGALNFEGRYDLVKFLRLVQEKGMYATLRVGPFIQAEWNHGGLPYWLREVPDIIFRSNNEPFKAHMKEYVSSIIAKMQQEKLFAPQGGPIILAQIENEYNHIQLAYEADGDSYVQWAAKLAVSLYNGVPWIMCKQKDAPDPVINACNGRHCGDTFAGPNKPYKPSIWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSKNGSLVNYYMYHGGSNFGRTTSAFTTTFYYDEAPLDSYGLQREPKWSHLRDVHKAVNLCKKALLNGEHTAQKISQYHEIIVYEKKGSDLCAAFITNNHTQNPKVIEFRGSSYYLPPRSISILPDCKTVVFNTQNIASQHNSRNFERSKVANNHKWEVYTEPIPTSKELPAKQKLPAELYSLLKDSTDYGWYITSVELGPEDLPKKNEISPVIRILSLGHSLLAFVNGQYVGSNHGSHEEKGFEFQKPVSFKVGVNHISILASLVGLPDSGAYMEHRYAGPKTVTILGLNSGTITLTANGWGHQVGLQGEKNAIYTEEGSKKVVWKDAKGHSSPLSWYKTNFETPEGNSPVAIKMTGMGKGMVWINGESIGRHWMSYLSPLGKPTQSEYHIPRSFLKPKDNLLVILEEEVAHPEKVEIVRVNRDTVCSYITENHPPNIKSWSSKNQKLTPVSAKLTPAAVIKCPNQKAIKEIEFASFGDPLGFCGEFIMGKCHAPSSKKIVEQYCLGKGSCAVPMDKALFGIGKDDCPGAIKTLAVQVKCGPSRDEKNVNDKGAEEAEDDAIESS >CAK8560063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6283419:6286539:-1 gene:gene-LATHSAT_LOCUS13776 transcript:rna-LATHSAT_LOCUS13776 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVKSIISTVREKGLGGFFRYLKDEGYRRCLPDGNLLQTKIHNIGATLVGVDKYGNKYYEKTENTQYGRHRWVEYAEKTRYNASQVPAEWHGWLHFITDHTGDELLLLRPKRYGLDHKENLSGEGEEFIYHSKGHALNPGQRNWTRYQPWESTTKP >CAK8535855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885801876:885803480:-1 gene:gene-LATHSAT_LOCUS5275 transcript:rna-LATHSAT_LOCUS5275 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRYVSSVSIPNSRSYSPCLQHFDDTIDVVSFFNRMLHKNPTPPAIEFGKVLGSLVKAKHYPTVISLSQQMELSRVTPDFVTHSILMNSLCQLGHITFAFSVLTKILKRGYHPDAITFTTLIKGLCLKGHLHKALQFHDKLLAQGFRLDRVSYGILINGLCKVGETTAALELLRRVDGKLVQPGSVMYNTIIDSLCKDKLVNDACDLYFEMVAKKISPDIFTYNALINGFCIVGKLKEAIRLFDKMALENIYPDVYTYNILVNTFCKEGKAKQAQNVLAMMIKRDVKLNVVTYNSLMNGYCLVNEVNKANDIFNIMFKRGVAADVWSYTTMISGFCKVKKVDEAINLFKEMRCRKIIPNTVTYNSLIDGLCKSGKISYAWELVDEMHDRGQPPDIFTYNSILDALFKNHDVDKAIALFKEFKDKGIQPSVQTYTILIDGLCKGGRLKDARKVFEELLIKGYNLSVCTYNVMIHGFGKSGLIDEALALLSKMKDNGCVPDAKTYQIIILSLFENNENDKAEKLVREMIMKDLL >CAK8563671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623875562:623877541:1 gene:gene-LATHSAT_LOCUS17056 transcript:rna-LATHSAT_LOCUS17056 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSYKRYKRYALSQTLTTHQLPITAVKFSPNGRLLASSSADSIIGIYAVTNSESDSDSNRLTLSLVEQYEGHRLGVCDIDFSSDSRFLASSSDDKTVQLWDVSTGSLLKTFLGHRSFVFSVNFHSHSNIIASASFDESIRMWDVRSGKCYNNIHAHSKPVSAVDFNGDGTVMVSGGYDGLCRLWDVSTGHCMKTLVHDEDEIPPVTYVKFSPNSEMVLVATLDNTLRLWNHSDEMVVKSYRGHVNSKFSISSSFSTTNGEYIVSGSENNCIYLWDQQSRRMVQKMEGHTDTVIAVSCHPTENMIASGSIGNDTTVRIWTQQED >CAK8577008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530689207:530689566:-1 gene:gene-LATHSAT_LOCUS29154 transcript:rna-LATHSAT_LOCUS29154 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRVLCFSKNKGISKLVKGVNSLFITLVSKVDNSVKLFEFRSISLVGSMNKVLVRLFANRLKKVIYKVVAKSQFAFLEGRQIEDCILIANELVDIAKRRKREAMLFKADFEKAFDSVD >CAK8542127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475693097:475693543:-1 gene:gene-LATHSAT_LOCUS10995 transcript:rna-LATHSAT_LOCUS10995 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDRFLVSGVLIDRWGIVGQLTDKKEISNHCLIWIMNNKEDWSPKPLRILDYWFDSKELIGFVEKELKSLQVEGRRVYMLKKKFKILKGSHRMWNAKVFHKINLEVKDENKVELNFIDALLVSYKEDQLKGLVEVRHSRNDRHSEI >CAK8566224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393098128:393105749:1 gene:gene-LATHSAT_LOCUS19377 transcript:rna-LATHSAT_LOCUS19377 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQVVEKDSDKALKKAKENEKEEENENENETNKEEPLKFVTWNANSLFLRVKNNWSEFSNFITTFDPDVIAIQEVRMPAAASGSQSKSAPKNQGEIKDDTNSAREEKRILMRALSNPPFGNYRVWWSLADSKYAGTALFVKKCFKPKSVVFNLDKIASKHEPDGRVILIEFETFRLLNTYVPNNGWKEEANSFQRRRKWDNRILEFVHQNSDKPLIWCGDLNVSHEEIDVSHPEFFSTAKQNGYVPPNKEDCGQPGFTLAERARFGTILREGKLVDAYRFLHKNKDMEQGFSWSGNPIGRYRGKRMRIDYFLVSEKLEEKIVACEMRGQGIELKGFYGSDHCPVTLELSPCSNSQNEDPI >CAK8533434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617223245:617233560:-1 gene:gene-LATHSAT_LOCUS3057 transcript:rna-LATHSAT_LOCUS3057 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMRLHPNSTAVTESVPRRSSISGFIGYRSSSLFIRTSLVKYRSLEHNLNLRRRRSVFSVKCVSGNEAKQKVKDQEVQREATTSQSAFAPDTTSIVSSIKYHAEFTPLFSPEKFELPQAFIATAQSVRDALIINWNATYDYYEKLNVKQAYYLSMEFLQGRALLNAIGNLELTGPYAEALSQLSYKLEDVAHQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLEMGNPWEIIRNDISYPVKFYGKVVSGSDGKKHWVGGEDIKAVAHDVPIPGYKTRSTINLRLWSTKAASEEFDLNAFNSGRHTEASEALANAEKICYILYPGDESIEGKTLRLKQQYTLCSASLQDIIARFERRSGTSVNWEKFPEKVAVQMNDTHPTLCIPELMRILIDIKGLSWKDAWNITQRTVAYTNHTVLPEALEKWSMDLMEKLLPRHVEIIEMIDEELIRTIIAEYGTADSDLLDKKLKEMRILENVELPAEFADILVKTKEATDISSEEVQISKEEGGEEEEKEGGGKEEDSNEDEAEKAIAEKDGTDKSSIEDKKKELPEPVPVPPKLVRMANLCVVGGHAVNGVAEIHSEIVKDDVFNAFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITQWIGTEDWVLNTEKLAELRKFADNEDLQTQWREAKRNNKVKVAAFLKERTGYSVSPDSMFDIQVKRIHEYKRQLLNIFGIVYRYKKMKEMSAAERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVIFVPDYNVSVAEMLIPASELSQHISTAGMEASGTSNMKFAMNGCLQIGTLDGANVEIREEVGADNFFLFGAKAHEIVGLRKERAEGKFVPDPRFEEVKKFVRSGVFGSYNYDELIGSLEGNEGFGRADYFLVAKDFPSYLECQEEVDKAYRDQKKWTRMSILNTAGSSKFSSDRTIHEYAREIWNIEPVKLE >CAK8565249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:81154621:81157522:1 gene:gene-LATHSAT_LOCUS18478 transcript:rna-LATHSAT_LOCUS18478 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETMNQSKNVCVIGAGPSGIVAARELRKEGHKVIVLEQNHDIGGQWLYDDQNIEGEDPLGRNPFLKLHSSVYSSLRLASPREVMGFTDFPFLIKKGRDMRRFPSHVEVLMYLKDFCEWFGLREMIKFNTRVEYVGMLDDNGVCRNDLKWIVRSKEKNSDKAMEEVFDAVVVATGHYSQPKLPSIKGMDSWKRKQMHSHIYRTPEPFHNEVVVVVGNSFSGQDIAIELVGVAKEIHISSRSLNTITEGFSKVISKRDTLHLHPQIETLEEDGRVIFKDGSWLKADSILYCTGYSYSFPFLDTKGMVVVDDDRVGPLFEHTFPPSLAPSLSFIGIPKKILGFPFFESQAMWVAQLLSGKKALPSWDEMMKSIKELYHSREVAGIPTHDIGDFEYCDKYGENVGLPPLQEWRKVLCISSIVNSIVNLETYRDSWDDDELLQEALKSPHFTQLGLED >CAK8532049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196592788:196599955:-1 gene:gene-LATHSAT_LOCUS1787 transcript:rna-LATHSAT_LOCUS1787 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNATNTLLSICYNRGSLQLLDQRKLPLESVYLEIRDSNDGWNAIRDMVVRGAPAIAIAAALSLAVEVSNLDDFNGSTDDAVYFLQKKLDYLVSSRPTAVNLSDAATKLKEIILKAAATTSEAKGVFQAYVEASEIMLEDDVASNRAIGTYGAKFIQNHTEKQKLSVLTHCNTGSLATAGYGTALGVIRALNSGGVLERAYCTETRPFNQGSRLTAYELVHEKIPATLIADSAAAALMQAGRVDAVVVGADRVASNGDTANKIGTYSVALCAKFHNVPFYVAAPLTSIDLSLSSGQQIVIEERSPKELLNSRGGLGEQVAASGISVWNPAFDVTPANLISGIITEKGVITKTTAGDAFDINAFVQKTG >CAK8565466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:226996182:226996934:-1 gene:gene-LATHSAT_LOCUS18682 transcript:rna-LATHSAT_LOCUS18682 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPIMPHGAQIGSLETVNLGEEVASARVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRLHKINPSVQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFKMKVKSLLLKLHGDY >CAK8576070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399214855:399215520:-1 gene:gene-LATHSAT_LOCUS28287 transcript:rna-LATHSAT_LOCUS28287 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDHHEEEDVPAGEDEDTGAQVAPIVRLEEVAVSIGEEEEEAIINLKAKLYRFDKEGNQWKERGAGTVKFLKHKVTRKVRLLMRQSKILKICANHRILPNMTVQEHAGNEKSCVWHARDYADGELKDELFCIRFALIENCKKFIDTFQEIAESLKKEENEEVTAAAELLVKLSVDLKSDAEKKDEEKSEDKIKERESAPEKENKGDSEKEAKESGSSA >CAK8530202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5705929:5712006:1 gene:gene-LATHSAT_LOCUS89 transcript:rna-LATHSAT_LOCUS89 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNGGGGGGRSLQFVLRRRVESCKSKYKTAEEIVDHFRSNYPDYRRTKYQTLIGLVEDAIRFSNNTPTRNHNQNNNAEEDDEEDENRSASRKRRKEINDESEDRLQKMEARHVKARMSTQAPSTSSDSASASSEDEGAVSTSEDGIYSEKVEPAFDLMKDMLRHSYSGTTKSVVPVVEEKEKNVELDIGNTSKATIIVNADGGKSKRTATTEKHSKDSVSNTGGGKGSGDVEVKGKEGPTFKDLGGMKPILEELMMDLVSLCNPQLPRHLGVKPVTGILLHGPPGCGKTRLAHAIANETGLPFHHISATEVVSGVSGASEEYIRELFDKAKRTAPSIVFVDEIDAIASKRENLQREMEKRIVTQLMTSMDEPESSDEPRGYVLVIGATNRPDSLDPALRRPGRFDREFLVGVPDESARVEILSVLTRNLKLDGSFDLHKIARSTPGFVGADLTALANKAGNLAMKRIGNERKRELSQVIMDGDTQAWLKEPWLPEEINKLAIKMSDFEEAAKMVQPSARREGFSSIPNVKWEDVGGLDILRREFDRYIVRRIKYPELYEGIGLNLESGFLLYGPPGCGKTLIAKAVANEAGANFIYIKGPEPLNKYVGESELAVRTLFSRARTCAPCVLFFDEIDALTTERGKEGGWVVERLLNQLLIELDGAENRRGVFVIGATNRPEVMDRALLRPGRFGKLLYVPLPSPDDRVLILKALARNKPIDSSVDLSAIGRMESCENLSGADLGKLMDEAGMAALDEKFSSTETTSLTIKTSHFELALSKVSPSVSDKQKQYYDRLSKSLRAA >CAK8537853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441981792:441982993:1 gene:gene-LATHSAT_LOCUS7106 transcript:rna-LATHSAT_LOCUS7106 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYIFHDMCFSHGQLYVALSRGVSQTTTKVLSEKGKLEGEDGDYTKNVVFKQILLSHPQFFKAQERIDKGMYEELIRIFTR >CAK8564403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672500781:672501077:-1 gene:gene-LATHSAT_LOCUS17712 transcript:rna-LATHSAT_LOCUS17712 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPSSSTLGWHRVEVKGEVQTWKGLWWIPRHPETRKGVVSDEMFRGVEKKHRSEDSRIGQPFELLLNSRAGKRQPGELKHLSSQRKRKQKRFP >CAK8544935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713338859:713342297:1 gene:gene-LATHSAT_LOCUS13575 transcript:rna-LATHSAT_LOCUS13575 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQASKYRKGACENCGAMTHAAKACMERPRKVGAKHTNKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQQLEKLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRNSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKETIIEKYGNAADDDKLPRELLLGQSERQVEYDRAGRIIKGQEAAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGTAGIEAAEAANDLMRANIARKEAAAEDPTPPEEKRPATWGSDVPEDLVLDEKLLADALKKEDQRKREEKDERKRKYNVKWSLEVTQEDMEAYRMKKKHRDDPMNLFMN >CAK8563797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631398525:631401782:1 gene:gene-LATHSAT_LOCUS17172 transcript:rna-LATHSAT_LOCUS17172 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGDSPSQKGSWRFFTRKKQVNSASKNTKPLLAQELTIPHLIAIVVGSTIGAGVYVLVGTVAREHFGPALAISFLIAGLAAGLFAFCYAELACRCPSAGSAYHYSYICLGEGVAWLIGWSLILEYTIGAATVARGVTPNLVRNPQRDLPLGIGASLFLCCGIYMLVSYVVEFICNRLHYKCRNAGACTALISALMGGILPQVSHLMKTLGTLSGYLFECNYMPLSDSEHDNCLMTPDSVLEPVGLNQTLSGSGGYGGMDCRTTLACTATTEIIRKKRSGCSTFRPPCRPACSSVMEITKDWRKEGIVSEVKDQGHCGSCWTFSTTGALKSAYAQAFGKNISF >CAK8576784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:514046649:514049330:-1 gene:gene-LATHSAT_LOCUS28952 transcript:rna-LATHSAT_LOCUS28952 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRQFRRAPLYFSLSNPLLQRNFCTSKFNDENDTRFVSLISDIVRGNQSWNVAFNDPSISSTLKPHHVERVLINTLHDSKLALRFFNFLGLHKNMNHSTTSFAILVHALVQNKLFWPANSLLQTLLLRGSDPKFVFDRFLESHRQCKFSSTLGFDFLVQSYLQNTRVFDAVFVLKLMLANTLLPEVRTLSTLLNGLLRIRKFILVWELFDESVKAGVKPDPYTCSAVIRSLCELKDFFRAKEKILWMESNRFDLSIVTYNVLIHGLCKGHRVLEAVEVRKSLREKGLKEDVVTYCTLVLGFCRVQRFEDGICLMNEMIELGLAPSEAAISGLVDGLRKKGKIDSAYDLVVKLAKFGFLPSLFVYNSLLNSLCKCGDLNKAELFYNNMRPMNLPPNDVTYSILIDSFCKRGRLDVAASYFDRMIEDGIRESVYPYNSLIHGQCKFGDLSAAESLYTEMINKGVEPTATTFATLISGYCKDLQVQKAFKLYSEMNEKKIPPTVYTFTALIYGLCSTNEMAEASKLFDEMVERKIKPTEVTYNVMIEGYCKARNIDKAFELLEDMVRKGLVPDTYTYRPLITGLCSTGRVSEAKDFIDDLHKKNLKLNEMCYSALLHGYCGEGRLTEALSASCEMIQRGINMDLVCHGVLIDGALKQPDMKMLFSLLKKMYSQGLRPDSVIYTSMIDAYSKEGSFKKAAECWDLMVTEKCVPNVVTYSAFMNGLCKAGETDRAGLLFEKMLAANIHPNSISYGCFLDRLTEEGNMKEATELHRAMLKGLLANTATYNILIRGFCKLGRLTEASEILSEMTENGICPDCITYSNLIYEYCRCGDVGAAVKLWDTMLKKGVEPDLVAFNLLIYGCCVNGELNKAFELRDDMLRRGLKPRQNLQLPNG >CAK8539254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507636979:507638265:-1 gene:gene-LATHSAT_LOCUS8366 transcript:rna-LATHSAT_LOCUS8366 gene_biotype:protein_coding transcript_biotype:protein_coding MANILCFHLFFFVLYMFLSQTPIEAYSKGFSFKLIHKNSPNSPFYKSDKKFHENKFPLFNKVPKKSFVEKGPYTRVTSNNGDYLMKLTLGMPPVDMYGLVDTGSDLVWAQCAPCSHCYRQKSPMFEPLRSKTYSPIPCDSEQCSVFGHSCSPQKLCAYSYSYADSSVTNGVLARETITFSSTDDNPVVVGDIIFGCGHRNSGSFNENDMGIIGMGGGPLSLVSQIGTLYGRKRFSQCLVPFHTDTHSTGTISFGDDSNVSGEGVVTTPLVSEEGQTSYLVTLEGISVGDTFVTFNSSEMLSKGNIMIDSGTPATYLPQEFYNRLVEELKVQSNLIPIEDDPDLGTQLCYRRETNLDGPILTAHFEGADVQLMPIQTFIPPKDGIFCLAMAGTTDGDYIFGNFAQSNILIGFDLDKKTVSFKPTDCTNQ >CAK8568581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620214760:620217352:1 gene:gene-LATHSAT_LOCUS21525 transcript:rna-LATHSAT_LOCUS21525 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTYRLLHFHFLLTFFFFFFHSHFTSGKLHDGNAVTFSRYDAIAAEPEPEPQPYENSPLPLAAERTRRKDPLDGFNEYTNGWNISDHHYWASVAYTAVPVFSIAAIWFLGFGFCLLLLIVCYFCHKSEPYGYSPTCYTFSLILLILFTITTMIGCAVLYFGQGSFHRSTTSTLQYVVYQADSTVDKLRNVSDFLAQAKQVGIDRVFLPVNVQTDIDEAETDINASAGTISDKTKENSDNIQDLLDSVRMALIIIAAVMLVLTFLGFLFSIFGMQVLVYILVIAGWFLVTGTLILCGLFLILHNVTADTCVAMNEWIQYPTANTALDDILPCVDNATAQVTLLRSKEVTSELVNLVNQVITNVSNINFAPNFTPLYYNQSGPLMPLLCDPFHPDMTDRQCDSGEVNLSNATQVYGNSVCQVSPSEICITQGRLTPTFYNQISAGINVGNALYMYAPSLIELQDCTFVRETFTDIYNDHCPGLRRYSRWIYVGLILVSFAVMFSLIFWVVYGRERRHRLYRKESKNLRITTRAPTNGRALTNAPAPTTNALALYS >CAK8578774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653938116:653940172:1 gene:gene-LATHSAT_LOCUS30759 transcript:rna-LATHSAT_LOCUS30759 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEIIKDIGSGNFGVAKLVREKWSGELYAVKFIERGFKIDEHVQREIINHRSLKHPNIVRFKEVLITSTHLAIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTLQRILSVHYSIPDYVRISQECRHLLSIIFVANPEKRITIPEIKMHPWFLKNLPLEFAEGENNLQTNENNSSSQSIEETLSIIQEARKAGDGPKVGEQFVGGSMDLDDLDADADIDDIETSGDFVCAL >CAK8530526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27664204:27665409:-1 gene:gene-LATHSAT_LOCUS384 transcript:rna-LATHSAT_LOCUS384 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHLFHKLKKPLFSILFILPTPFLALLFLFLLSYNAFSIFTLHIPHHDHSLHKSLHLPPPSHTKINLSSSVFFALHEDSSPIINKRDKKHKHGLFTLPSETSFPLFEKRLNAFFTSKSSSSSSCEVRFFMTWISPLKSFGEREFLSIESLFKSHPKACLVIVSKSMDSQKGAQILRPFIKNGFRVIAIEPDFNYIFKNTHAESWFNRLKQGNMNPGEISLGQNLSNLLRLSLLYKHGGIYIDADVMILKSFSNLRNTIGAQNIDSKTKKWSRLNNAVLIFDKNHPLLWKFIEEFALTFDGNKWGHNGPYLISRVVSRVSGREGFNFSIVPPSAFYPVDWRAIKSLFKDEIHSKWLVKKMKQIREESFALHLWNRQSRDLKVVKGSIVDNIISSCCIFCNT >CAK8562189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452586930:452590529:-1 gene:gene-LATHSAT_LOCUS15708 transcript:rna-LATHSAT_LOCUS15708 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTSSRKNLSKIACNRLQKELTEWQTSPPTGFNYKVSDNLQRWVIEVVGAPGTLYANETYKLQVDFPEHYPMEAPQVIFMNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >CAK8567241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497023627:497026665:1 gene:gene-LATHSAT_LOCUS20310 transcript:rna-LATHSAT_LOCUS20310 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQICSNTFQVISKPKLVSEESMKKLLNRKWTLPTPETKIHQVVHRHRLDGRNVFQLNTNPDFGDAIVKQRKSFYVVRDDLLHPLINGNKARKLDGLLPLLQDYSVTDVVTCGGCQSAHTAAIAVLCAERGIMSHLLLRGEQPEILTGYNLMSTIYGNVTYVPRTIYANREDMLKNYASSVAGNTGSVLWFSDIIQASSTAELSTSPNFMQMDACRSEGNLLQKILVVNEGAGDSVALLGIIRLVQYLSQNHLLGKQRAVKFVVDAGTGTTAIGIGLAAIFLGLPWRVHAVMLADKIDGYRKQEKHLISEFSKHFNVEFIDHDVSKEDAGIVHWVERDRPRKFGNILDGEMVVCQQIAQQTGILVDPVYTLAAWETAMLLSSQEDEEGAEVVMLHTGGTLGMFGLAQRYKNYFGMLKK >CAK8561944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415765224:415768699:1 gene:gene-LATHSAT_LOCUS15489 transcript:rna-LATHSAT_LOCUS15489 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSNYKQSLSVFVVSLSWMSNGGVVMASGESSDSIKDLDQTPTWAVASVCTVFILVSITLEKTLHKIGTWLRERHKKPLLEALEKIKSELMILGFISLLLTFGQTYIVKICIPENVAHKFLPCPYNSTQHGTNDEEEQEHHRKLLFYEHRYLTEDATHFPCKEGKEPLISAEGLHQLHILIFFLAFLHVLYSAVTMLLGRLKIRGWKAWEAETLSLGYEFANDPSRFRLTHETSFVRRHFTFWTKNPIFFYISCFFRQFYRSVDKPDYLTLRNGFIAVHLSPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASFVFYLLLDVNGWHAIVWASLIPVGIILIVGTKLQATLSKMAIEITEKHAVIQGIPLVQGSDNYFWFGRPQLVLHLIHFALFQNAFQITYILWIWYSFGRENCFHADKIIAIVKLALGVGVLCLCSYMTLPLYALVTQMGSRMKKSIFDEQTSRALKKWHNAVKKKHGLKLGKSSVRTMDGSTSTMQSSSTSPRLHRYNTTDHLTRTVSAYDGDQDLYPSDIELSPTSPTSNLFAVRVDPVEKVEQDAKENEEQSAVEIVEQQPTTRGESFSFVRSDHPKRSAN >CAK8535147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817666933:817669912:1 gene:gene-LATHSAT_LOCUS4623 transcript:rna-LATHSAT_LOCUS4623 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNDLPPFVAETIIEEETPSHTPAPHSLTSLLSLPYQTLASKLQAAALHLKQSVVSETWGSGGSRVKDYTLYTGILGTAYLVFKAYQVTKNLDDLNLCLKIVKACDSASANSSRVTFICGRAGVCALGAVIAKHAGDERLLDYYLRQFKEIELPHDLPYEVLYGRAGYLWACSFLNKHIGKDTIQTTHMRPIVEEVITTGRQLAQKGRCPLMYEWHGKKYWGAAHGLAGIMNVLMDMELKSDEVEDVKGTLRYMIKNRFLSGNYPSSEGNESDRLVHWCHGAPGVTLTLVKAAEIFGDKEFSQAAEDAGEVVWQRGLLKRVGICHGISGNTYVFLSLYRLTGNKEYLYKAKGFSCSLLDRAQKLINEGKMHGGDRPYSLFEGLGGMAYTFLDMIDPQVARFPGYEL >CAK8563757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629423014:629423446:1 gene:gene-LATHSAT_LOCUS17134 transcript:rna-LATHSAT_LOCUS17134 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTIVRSFSSGFSFTPSLPPRSSFYTTFSKKKDVQDNTNESQPFSSLRISNSNLVRAAIGVFGLGFIDAGYSGDWSRIGVITQQNEEFLRLAAFLVVPICVLFVFRVPKEPDY >CAK8566251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397862238:397865954:1 gene:gene-LATHSAT_LOCUS19399 transcript:rna-LATHSAT_LOCUS19399 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTTGLQSFPSLISHGNGVAGEISAPPSFELPNSNDFDGFLKEAIQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGYKYDMSVEEASELARRAIYHATFRDGASGGVASVYYVGPTGWKKLSGDDVGELHYHYNPVTPSTVEQEMVEAAGP >CAK8579055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670561908:670565966:1 gene:gene-LATHSAT_LOCUS31028 transcript:rna-LATHSAT_LOCUS31028 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPEIYIPEQWSEAANSIKSASTPPITLICGPKNCGKTTFSRYLLNVLLTRYKRVAYLDTDVGQPEFTPPAFVSLTIVHKITPDLTVPYLKTPERSLFFGDVSSKRDPLTYLNYVCSIYDYYRKECRTSDKGAKSSRVQMPLVVNTPGWVKGVGYDVLVDMLKYICPTHVVKIGISTENKNLPVGKFWLDGEYDGTNTLIEINSARQDSLNRSVLVQKDASLLRDLRIMAYFRRCFPSDSDISTIKELAHSLTSHCPYQVPIASIKIRHVHREVPSSEIFYSLNASIVGLAVESEGPGNSSWCLGLGIVRGIDTVKGMLYVITPVPVDSLKKVNLLLQGYIQIPTCLLQVQGCISPYMSENVLTVS >CAK8563953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641978170:641979842:-1 gene:gene-LATHSAT_LOCUS17307 transcript:rna-LATHSAT_LOCUS17307 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLTASSISTRNFSSFEGLRTSSIQFRSKNVRIGISTQRLFPSMVVKAATVVAPKHTSLKPLGDRVLVKIKDAEEKTLGGIILPSTAQSKPQGGEVVAVGEGKTVGKNNVEISVKAGAQVVYSKYAGTEVEFNGSKHLILKDDDIVGILETDEVKDLKPLSDRVLIKIAEAEEKTAGGLLLTEATKEKPSVGTVIAVGPGSVDEEGNRKPLSITPGNTVLYSKYAGNDFKGKDGSDYIALRASDVMAILS >CAK8536593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:765960:767736:1 gene:gene-LATHSAT_LOCUS5948 transcript:rna-LATHSAT_LOCUS5948 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVGEEAQHSNNKLTLYSYWVSSCSFRVRIALNLKGLKFDYKPVNLLKGEQSHPEFLQLNPVGFVPILVDGSAVIFDSFAIIMYLEDKYPQHPLLPTDIHKRAINFQAVNIVSSLIQPLQNLDTLKYIDKRVSRDDKLPWVQSVIRKGFTALEKLLQEHTGRYATGDEVLMADIFLAPQLHAASKRFNIDMNEFPILSRLLETYYDIPAFRETLPENQPDAVGQLSQ >CAK8540572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11147299:11149417:1 gene:gene-LATHSAT_LOCUS9565 transcript:rna-LATHSAT_LOCUS9565 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFPGVGNELQKILDANMDHVSARRLARHSFKDIQLGIHHILFKTRCDGLKMKEAYEVNSKGVEIFYKSWLPGTARPKAAVFYCHGYGDTCTFFFEGIARKLASAGYGVFAMDYPGFGLSEGLHCYIPSFDSLVDDVVELYSKIKENPEFRSLPSFLFGQSMGGAVALKMHLKQPKAWDGAVLVAPMCKIADDMVPPKLLAQILIGIANILPKQKLVPQKNLAVAAFRDLKKREMTAYNVIAYKDKPRLWTAVEMLKTTQEIERRLEEVSLPLLILHGEADIVTDPSVSKTFYEKASSSDKKLKLYKDAYHSLLEGEPDEMIIQVFSDIVLWLDEHSLKHSSSSDK >CAK8536293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927498218:927499015:1 gene:gene-LATHSAT_LOCUS5677 transcript:rna-LATHSAT_LOCUS5677 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVKLGPRYGSISFIVSEVMFLFAFFRASSHSSLAPTIEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATISLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >CAK8572770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561787572:561792633:1 gene:gene-LATHSAT_LOCUS25297 transcript:rna-LATHSAT_LOCUS25297 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKLARLPSIRDRVEGTLSAHRNELVSLLSRYVDQGKGILQPHSLIDELESIHGKGQVTEDLKNGPFGEIVKSAQEAIVLPPFVAIAVRPRPGIWEYVRVHVFELSVEQLSVAEYLRFKEELVDGTDSDRFILELDFEPFNASFPRPTRSSSIGNGVQFLNRHLSSIMFRKKDSLEPLLDFLRAHKYKGRGLMLNDRLHSISKLQSSLAKAEDHLSRLPPDTPYSEFEYILQGMGFERGWGDTAERVLEMMHLLLDLLQAPDPSTLETFLGRVPMMFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALEDEMLLRIKKQGLDLTPRILIVTRLIPDAGGTTCNQRLERVCGTEHTHILRVPFRSEKGILRKWISRFDVWPFLETFAQDAASEIAAELQGYPDFIIGNYSDGNLVASLLACKMGVTQCTIAHALELTKYPDSGTYWRKFDDKYHFSCQFTADLIAMNSADFIITSTYQEIAGTRNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGANMCIYFPYSEKQRRLTSLHGSIEKLLYDPEQTDEYIGTLKDRSKPIIFSMARLDRVKNITGLVESYGKNSKLRELVNLVVIAGYIDVSKSRDREEIAEIEKMYDLIKTYKLDGDFRWIASQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIQHGKSGFNIDPYHPDQASDLLVEFFERCKEDPSHWNKISDGALQRIYERYTWRIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKYRDLAKSVPLAKDDEN >CAK8542261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494723757:494726036:-1 gene:gene-LATHSAT_LOCUS11110 transcript:rna-LATHSAT_LOCUS11110 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGRKRREKNYKAAHGGATALPPPPKSSQLDALPFKLRQIISFSKNQNDSPGLSKKLDGGDPQKVDTKVDTSAPLKARQHSDEPLSGNDDKNKKKRKRKEVKDLRFAIDGDKTNAQLKKKERKKKYEAKKKKHKKVEEDEILDFPGKEKIKFGDIVQAPPKLSFIPKGVKISQDASHERLRLRAIEEYRSRKAWTSRPGNHRPPPVTTPEL >CAK8576438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:477099017:477099190:-1 gene:gene-LATHSAT_LOCUS28625 transcript:rna-LATHSAT_LOCUS28625 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDVPEGVSIKVHAKLIEVEGPRGKLVRDFKHLNLDFQHITDENGKRKL >CAK8577128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538907082:538908991:-1 gene:gene-LATHSAT_LOCUS29259 transcript:rna-LATHSAT_LOCUS29259 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSVNALFHTLFHTLFLTLFLTLFLFSDQTKAEFFNSSSNETSFENSNTAGTCNIFNGKWVYDASYPLYDPSTCPFIDPQFNCQKHGRKDKLYQKYRWKPFSCNLQRFNGLNFAKGHKGKKIMFVGDSLSLNQFNSLACMIHASVPNSKATFYQRDAISSVTFEEFGLKLFLFRTAYLVDLDHDKAGRVLKLDSIKNGEAWRGMDVLIFNTWHWWTHTGNAQPWDYIQENNKLFKDMNRFVAFYKGLTTWARWVEENVNPSKTKVFFLGISPVHYQGKDWNEPSMSCMSEKEPYFGLKYPGGTPMAWVVVNKVLRRMSKPVYFLDVTTLSQYRKDAHPEGYSGVMATDCSHWCLPGLPDTWNELLNAALSH >CAK8538135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465654358:465655651:-1 gene:gene-LATHSAT_LOCUS7368 transcript:rna-LATHSAT_LOCUS7368 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIGKSKKAKIMKIDGETFKVKTPTTSNDVVKDYPNHVLLDSQAVKHFGLRAKPLEPNQELKPRKIYFLVDLPKIKPEEDKPSLPRRARSSGIRNMNAKDRLELLMLSKRSVSDISSVKPGLGLDGPVRLKMRLPKAQLEKLMEESNDKAEVAEKIMSLYMEKSGGGGGGGGGDDAVEHDGVVLSQNRKPRGKKVSFSPMEIEESHVEAASQ >CAK8572411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535035045:535037265:1 gene:gene-LATHSAT_LOCUS24982 transcript:rna-LATHSAT_LOCUS24982 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKPEPHLLWKTTLENSLNPKPKTLGTLLGSSASALDLRNMDLENDKEEESPRGVLEACVRDFENRESPESETSCSDSRAQSHWGKFFKLWKKKSVKRIPSIPPLAINVPKIPKWKSKSSRDIYVKNNLCKFRSSWVTFSLSDLRSATNNFSQENLIGRGGFSEVYKGSLQNGQLIAVKKMTTGSTDEKTAGFLSELGIIAHVDHPNTAKLVGCCVEGEMHIVFELSKLGSLGSVLHRSGSNKDTLDWSKRYKVTLGIADGLLYLHENCERRIIHRDIKPENILLTENFEPQICDFGLAKWLPEQLTHHNVSRFEGTFGYFAPEYCMHGIVDEKTDIYSFGVLLLEIITGRKPVVQKQSIVTWAKPLLDANNIKDVVDPSLGDNYDEEQIGCVGLTASMCVEQSPTLRPRMNQVVTLLRGQDSVLNAKANSRMPIQRTYSEELLDVQEYNSTKYLSDLNQHKQIALDFEF >CAK8573505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616952641:616953437:1 gene:gene-LATHSAT_LOCUS25947 transcript:rna-LATHSAT_LOCUS25947 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFISRCLVIVSLLISLALPSTLGGIECENLSTEKCSFAVSSSSKRCVLEKHVKRTGEEAYTCKTSEIEADKLKDHIESDQCIKACDLDRKSLGISSDSLLESRFTEKLCSPQCYQSCPNIVDLYFNLAAGEGVFLPQLCEVKGGNARRGMAELKSSGNVAPGPVRSVEFAASSPQPIGYVEFAASSPQPIGYVEFADEPVVAPSYPPY >CAK8566010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367143673:367144226:-1 gene:gene-LATHSAT_LOCUS19179 transcript:rna-LATHSAT_LOCUS19179 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASIDSLEENGHGSDSDANSEDGLEYYQPISAVDDDGSSDGEHAIEFQQLPNGYSIHGEAENGISTLDLNDGVEQKSSDGEEEEERSGEEFENEIRRALREDENRRSAPLTTENTTRVMEAMRGISFVGEVPQWASQVPEDRWIDQIRRRRQSSNT >CAK8539707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522527405:522527848:-1 gene:gene-LATHSAT_LOCUS8781 transcript:rna-LATHSAT_LOCUS8781 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESINQRDGRPLGTFSVDLKRGWCDCGRFQAFHLPYSHVIAACASIRQDHNMHIPDVFKVLNVFKVYSESFLGLSHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIRTEMDDLEKEKRRCGICREIGHMRRKCPNVADPSNRSI >CAK8539708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522527405:522527689:-1 gene:gene-LATHSAT_LOCUS8781 transcript:rna-LATHSAT_LOCUS8781-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDVFKVLNVFKVYSESFLGLSHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIRTEMDDLEKEKRRCGICREIGHMRRKCPNVADPSNRSI >CAK8561102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93689465:93689917:-1 gene:gene-LATHSAT_LOCUS14723 transcript:rna-LATHSAT_LOCUS14723 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKTIASEKVSFSDAEIDKRTAEQKAIDNWLPITSSRNAKWWYDAFHNVTAMVGAGVLSLPPAMASLGWGPGVVILILSWLISLHTLWKMVEMHEMVSGKRFDRYHELGQEAFGEKLGLWIVVPQQLICEVGVDMVYMLPPKKIPANS >CAK8573111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585667558:585668925:1 gene:gene-LATHSAT_LOCUS25597 transcript:rna-LATHSAT_LOCUS25597 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLGVGISMNCCFLRMSPSCYALSSSSSLSFPCSSFNSNNLNLIPKASSQELPNELVQDSKFVPIKDDDPRYGPPALLLLGFEADENLKIQQFLKELDGEFMKVIYCTKDMLTRSLWEVMHTAQDSLEDVKIDKSLPRICFLSGLSGEEMMMFVDAFQETGLKSAAFAALVPNSANKPLYELIEEITGDHEMLTGEQL >CAK8542360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504560103:504566527:-1 gene:gene-LATHSAT_LOCUS11204 transcript:rna-LATHSAT_LOCUS11204 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNKKAMMKLALMLFLLGFSATVVDARFDSTSFITQVLFNGDAGYTIKSTTTACCDACVCTRSVRPQCRCIDVGETCHSACIRCICTKSIPPQCRCADITNFCYEKCN >CAK8530938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65387240:65390950:1 gene:gene-LATHSAT_LOCUS765 transcript:rna-LATHSAT_LOCUS765 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSNKEDTKAVKEVSKKEGFKEASLPQSHHPTRVSSDKSKSRSVSDSKKETPAPKDGPTAHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDDDFHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRGHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQSFDPNAAGTQSNRLGSSTPRMRTDSMDSPDRRQLGSPSTHRNSPDFRKRDSRDPSELSRVDTGGSGSGRKWGGIDDLERHDSQRESPVNTGRARETPRNRDLDRERAVAEARVWGENWREKKRANAMGSFDGTNE >CAK8579458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700594120:700594619:1 gene:gene-LATHSAT_LOCUS31405 transcript:rna-LATHSAT_LOCUS31405 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIGPVFERIYVCLRACKATFAMTCRPLIGLDACFLKGGYGGQSMAAISEDENNQIYPITYVVVEAETQDSWQWFVDLFLEDMNGILQKPYSFISDQQKGLVHVIETLGPNVEHNLCVKHLYGNWKKKYPGGHMKELRW >CAK8574697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6778686:6779468:-1 gene:gene-LATHSAT_LOCUS27017 transcript:rna-LATHSAT_LOCUS27017-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKCGVFKEAHLVFNNIKGKDLISWNSVIGGYGMHGLGENALRTFDEMIKAGMRPDNITFVAVLSACSHAGLVAAGRNLFDRMVGEFRIEPNVEHYSCMVDLLGRAGLLHEASNIVKNMPIEPNECVWGALLNSCRMYKDTDFIEETASQILAHKSEITGSFMLLSNIYAANERWEDSARVRVSAKKKGLKKVPGQSWIEVRKKVYTFSAGNVVHLEQGEIYAILDELALQMASVNYNINSFFDQQCICDQSKLSLIGN >CAK8574696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6778686:6780800:-1 gene:gene-LATHSAT_LOCUS27017 transcript:rna-LATHSAT_LOCUS27017 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLSSLSCAKLTPSIYNNHLLDFFDSLLLQRCFTLQQARQIHTQLILTTAHNSPFLSSRLISTYSRFGSIFEARKVFNSTPVEFLQNILLWNSIIRANVSHGCYEYAIQLYVQMRKFRFLPDGFTLPLIVKSCSCLGVLRICKIVHCHVLQLGFRSHLHVVNELVGMYGRVRRMEDACQVFDGMVVRSVLSWNTLVSSYAFNLDSVGAYGIFKRMELEGLKPNYVTWTSLLSSHARCGLCDETIELFKLMRTKGVEISAEAIAVVLSVCADMEGVQRGKEIHGYVIKGGYEDYLFVKNALIGTYGKKHEHLGDAHKIFSDIKNKNLVSWNALISSYAESGLCDEAYEVFLQLESHGHPAVRPNVISWSAVISGFASKGCGEESLELFRQMQNKMVMPNCVTISSVLSVCAELAALNSGRELHAYAVRNLMDDNILVGNGLINMYMKCGVFKEAHLVFNNIKGKDLISWNSVIGGYGMHGLGENALRTFDEMIKAGMRPDNITFVAVLSACSHAGLVAAGRNLFDRMVGEFRIEPNVEHYSCMVDLLGRAGLLHEASNIVKNMPIEPNECVWGALLNSCRMYKDTDFIEETASQILAHKSEITGSFMLLSNIYAANERWEDSARVRVSAKKKGLKKVPGQSWIEVRKKVYTFSAGNVVHLEQGEIYAILDELALQMASVNYNINSFFDQQCICDQSKLSLIGN >CAK8534242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709487519:709487842:1 gene:gene-LATHSAT_LOCUS3802 transcript:rna-LATHSAT_LOCUS3802 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPDSKCSWILRKILNQRTVVEASRTVAVMLHKECFSLRQCYKSLMKQSPKVEWRRLMYENKARPRAIIILWLSCLDILTTKARLVKHGMLSSAKCELCDKDETM >CAK8572281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526228763:526229698:-1 gene:gene-LATHSAT_LOCUS24863 transcript:rna-LATHSAT_LOCUS24863 gene_biotype:protein_coding transcript_biotype:protein_coding MCETLKTNYQLSDEIGRGRFGTIYRCFHPSSAVPHACKVIDKSLLSDSTDRECLQNEPKFLSLLSPHPNILQIFDVFENDDFLSIVLELCQPLTLLDRIVSNPFTEQQAASLIKKLLEAVVHCHRLGVAHRDIKPDNILFDSNDNLKLADFGSAEWFGDGRTMSGVVGTPYYVAPEVLLGRDYTEKVDVWSVGVVLYIMLSGIPPFYGDSASEIFEAVIRANLRFPSRIFRSVSSSAKDLLRKMICRDASRRFSAEQALRHPWIVSGGETTNQN >CAK8531041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:73859979:73861001:1 gene:gene-LATHSAT_LOCUS857 transcript:rna-LATHSAT_LOCUS857 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVAATSSSVCKQELPLINFQKGLTKMESLFHNRNKDKVVVIMGATGTGKTKLAIDLAKHFQPSEIVNSDKIQVYKGLDITTNKVTEQECDGVPHHLLGLFDPTTNFTANDFCYHACSAIDSIVQKDGLPIIAGGSNSYLDALVNHCSEFRLRYECCFLWVDVALPVLHSSLQSRVDRMIEAGQVDEVREFFDPLGDYTKGIRRAIGVPEFHDFLMAEANSANERIKMRLLEDAIIRVKINNCTLANRQREKIQRLNGMWKRSMHRLDATETVIRSGTRARKEAWDDHVLSKSLVILYNFLYSETRVRSRNVSPKNIIDTLSGSQPALALSVVAAATH >CAK8538642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490583215:490586309:1 gene:gene-LATHSAT_LOCUS7822 transcript:rna-LATHSAT_LOCUS7822 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNFSFQLVHILFSLSFFLIISLSQPSNNQFCEAGIGYGESSCGTPIPSSSNKLLIKGGTVVNAHHQQVADVYVENGIIVTVQPNIVVEEDVYVIDATGKFVMPGGIDPHTHLEIGSLGIESVDDFFSGQAAALAGGTTMHIDFIIPVDGNLTAGFEAYENKAKKSCMDYGFHVAITKWNEDVSRDMEIMVKDKGINSFKFFMAYKGIVMVNDELLLQGLKRCKSLGALAMVHAENGDAVEAGQLKMIELGITGPEGHPLSRPALLEGEATSRAIRLADFVNTPLYVVHVMSIDAMEEIAKARKSGQRVIGEPIVSGLALDDSWLWHPDFKTAAKYVMSPPIRSKGHDKALQAALATGILQLVGTDHCTWNSTQKALGVDDFRQIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAILPGSDADIIILNPNSSFEITAKSHHSRTDTNVYEGRKGKGKIEVTIAGGRVVWENNELKVVPGAGKYIKMAPFSYLFDGLDKKDEICLNSLHAPVKRAKSKT >CAK8538643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490583218:490586309:1 gene:gene-LATHSAT_LOCUS7822 transcript:rna-LATHSAT_LOCUS7822-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFSFQLVHILFSLSFFLIISLSQPSNNQFCEAGIGYGESSCGTPIPSSSNKLLIKGGTVVNAHHQQVADVYVENGIIVTVQPNIVVEEDVYVIDATGKFVMPGGIDPHTHLEIGSLGIESVDDFFSGQAAALAGGTTMHIDFIIPVDGNLTAGFEAYENKAKKSCMDYGFHVAITKWNEDVSRDMEIMVKDKGINSFKFFMAYKGIVMVNDELLLQGLKRCKSLGALAMVHAENGDAVEAGQLKMIELGITGPEGHPLSRPALLEGEATSRAIRLADFVNTPLYVVHVMSIDAMEEIAKARKSGQRVIGEPIVSGLALDDSWLWHPDFKTAAKYVMSPPIRSKGHDKALQAALATGILQLVGTDHCTWNSTQKALGVDDFRQIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAILPGSDADIIILNPNSSFEITAKSHHSRTDTNVYEGRKGKGKIEVTIAGGRVVWENNELKVVPGAGKYIKMAPFSYLFDGLDKKDEICLNSLHAPVKRAKSKT >CAK8570578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63172696:63172965:-1 gene:gene-LATHSAT_LOCUS23316 transcript:rna-LATHSAT_LOCUS23316 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRLAASSRVNESPVIRRTKEAASDAVFVAKKLLRSTGKAAWIAGTSFLILVVPLIVAMDREQQINELESQQANILGTPTSHPLPLSN >CAK8536450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942656531:942658668:-1 gene:gene-LATHSAT_LOCUS5818 transcript:rna-LATHSAT_LOCUS5818 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNQGSMHSNLDCFVRSTTPVVQSQFLPKSEIMNLNRLWQPWERETMEYFTLGDLWKCYDEWSAYGAGVPITLTSGETLVQYYVPYLSAIQIFTSNTFREETESGDCETRDSCSDSYSDESECDKLWRWDGTSSEEGGYEQDCLWHLNDRLGHLYCQYFERSNPYGRVPLMDKISGLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQGMDLDDDIEGGQEKKKEGENISLPAFGLATYKMQGGNVWAGGNRGRDQERLMSLLSVADSWLKQLRVQHHDFNYFMGIRHG >CAK8576283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443512861:443513182:1 gene:gene-LATHSAT_LOCUS28485 transcript:rna-LATHSAT_LOCUS28485 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGRDGNNQIFPIAYAMVEAETKDSWEWFLQLLLEDLSAFNQRVYGFISDRQKRLVPVIQSIIAHVEQRLCVKHLYGN >CAK8577257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549688301:549690193:1 gene:gene-LATHSAT_LOCUS29378 transcript:rna-LATHSAT_LOCUS29378 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQNQPENHQSDAEPSDPSLNQPPPESPEPELAGGEEEEEEGECGFCLFMKGGGCKDTFVDWENCVKEAEDKNEDLVEKCSQVTAKLKQCMDSNSDYYEPILRAEKHAEEQVAIELEKEKQDSEATNNRQEAPSQSNQNDRELELKATPTPPKQDEKEQSNSEAEKRSPDQPASEAPKAEDKEEEEEGECGFCVFMKGGGCRDTFVNWENCVTEAEENKEDIVEKCSQVTFLLKECMDSHSDYYAPILVAEKQIEEQAVIELEKEKLDSATTNNEQEAPSHSNQK >CAK8578283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617102323:617107855:-1 gene:gene-LATHSAT_LOCUS30308 transcript:rna-LATHSAT_LOCUS30308 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISRSRRKRVESALLFFLCLFLCSFLDLVTAHQGHSHSESSSNIHHHHCGGDDHHHHHDHHHGHDHDHGHARQSMLPEELAEEEDMKLYGFGLPHHDLHFIGSTELSGLGLWLNALGCSFLVSMASLICLIILPVIFVQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHSDHADHDHDASSGHGHSHSLADLSTGMSILAGIVLFLLVEKLVRYVEENSGGANSWGHGHHHHNHNSKKKLKDDNNSDVNDQSQSIKPKKERLLDEGKEDNDVSLDSSKGDKLAQTESSLRKRIGSNTTKDDSLNDNAEDSSSDNIKPSIVKEPVRPASSLVFGYLNLFSDGVHNFTDGIALGSAFMLYGSVGGWSRTLFLLAHEIPQEIGDFGILIRSGFSIPKALFFNFLSALVALAGTALALLWGKDPGQSSLIEGFTAGGFIYIAIAGVLAEMNSNGKTTFRSTAVHIISLTMGMAVALGISLIE >CAK8532745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:509532394:509534864:-1 gene:gene-LATHSAT_LOCUS2419 transcript:rna-LATHSAT_LOCUS2419 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIKELLSLCFSCRHSKIHHQSLSKSLQPTFSSQCSTSFSTFSKSQRDSLIFQQFKERKLKGSSKDSIFNPKVSNPVPESFDTNVEKTVQKGLKNESENAYSTVVANFKELGLSEILVEVMKEIGDFVPSEIQCVVIPTILEGKSLLLSSPSQPDRTLAYLLPLIQLLRRDREFGSNSKHPRAVVLCASEEKVEQCFNAARYIIRSAELKSTKICASSDNEKSNSSIGLMIGTPCEILQYIDEGIVVPAELKYLVLDEADSMLGNHLGPEIHKIIEPLQHHDSKSNVKRLQTILAISTIAEVLGEKSPIVERLESNHAGNISALSIEMEEAEVFHLTESLDALRKKLEEAMNSL >CAK8533188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588977009:588977479:-1 gene:gene-LATHSAT_LOCUS2835 transcript:rna-LATHSAT_LOCUS2835 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGYKLLKPDSTRPGFEFSAGFISSPPSDVVFCGKVISRKTEASQKTQMEGESTSDRINVFVAGLRSPSGRGNLWWRSNSDRKSYTGIFGTVKFPLQMELSDMKTRQERREPMPLPKFTTKDDGGESYWELVRPIRRRGSIMRTLMSSFSCISIA >CAK8579638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714147549:714147928:1 gene:gene-LATHSAT_LOCUS31568 transcript:rna-LATHSAT_LOCUS31568 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLKLEDEDPIESDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPGQSEMCDLLPVKPTNAYVDRIEIN >CAK8573187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592404096:592411634:1 gene:gene-LATHSAT_LOCUS25662 transcript:rna-LATHSAT_LOCUS25662 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSMRLLSSEFMCPTSETKQYKNRNFLLSKPSVRVSSFVNKDVCNRDGRNMRVCCQLMHGHLEESYKRKKMLQVSLQKPDFVRTLLIDNYDSYTYNIYQELSIINGVPPVVIQNDDWTWEELCHYLYEENAFDNIVISPGPGSPACPEDIGICLQILLECRDIPVLGVCLGHQALGYVHGAQVVHASEPVHGRLSEVEHNGCQLFHGIPSGRNSGFKVVRYHSLVIDSESLPEVLIPIAWTSTSTLPSIGSKVSDKYNGHEVQTDQSIFFDSFLPEAGNGSSNLTDYGQTRNARVLMGVKHSTRPHYGVQFHPESVATCHGSQIFKNFREITEDYWLRFRSSHNKEKHANSNAYTQVSSASRLYRDFCRSNNAEINTVDQPRKVNHGDEHLVHNNTEMNYKFLKLKWRKFDHLAGQVGGAKNIFCQLFGHEAKNTFWLDSSSTDMGRARFSFMGGKGGSLWKQLTFRLSDQSDGCLKGGGFLSLEDSGGSAKTMFLEGGFLDFLNKELQSYHYDKNEYEGLPFDFHGGYVGYIGYDLKVECGATSNRHKSKTPDACFFFADNLVAIDHKNDDVYLLAIHEESSSTTEWLDDTEEKLLRLTGSKSMDLEKQYSHPSTFSSHKAGFAAEQSREQYIRDVKKCLNYIKDGESYELCLTTQIRKPIEVLNSLGLYLNLRERNPAPYAAWLNFPKEDLCICCSSPERFLQLDRNDMLEAKPIKGTVARGATEEEDKQLKLKLQLSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPHLMDVQSYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTIVIHEGEASIGAGGAIIALSNPEEEYEEMILKTKAPASTVINYE >CAK8573186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592404096:592411634:1 gene:gene-LATHSAT_LOCUS25662 transcript:rna-LATHSAT_LOCUS25662-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSMRLLSSEFMCPTSETKQYKNRNFLLSKPSKPDFVRTLLIDNYDSYTYNIYQELSIINGVPPVVIQNDDWTWEELCHYLYEENAFDNIVISPGPGSPACPEDIGICLQILLECRDIPVLGVCLGHQALGYVHGAQVVHASEPVHGRLSEVEHNGCQLFHGIPSGRNSGFKVVRYHSLVIDSESLPEVLIPIAWTSTSTLPSIGSKVSDKYNGHEVQTDQSIFFDSFLPEAGNGSSNLTDYGQTRNARVLMGVKHSTRPHYGVQFHPESVATCHGSQIFKNFREITEDYWLRFRSSHNKEKHANSNAYTQVSSASRLYRDFCRSNNAEINTVDQPRKVNHGDEHLVHNNTEMNYKFLKLKWRKFDHLAGQVGGAKNIFCQLFGHEAKNTFWLDSSSTDMGRARFSFMGGKGGSLWKQLTFRLSDQSDGCLKGGGFLSLEDSGGSAKTMFLEGGFLDFLNKELQSYHYDKNEYEGLPFDFHGGYVGYIGYDLKVECGATSNRHKSKTPDACFFFADNLVAIDHKNDDVYLLAIHEESSSTTEWLDDTEEKLLRLTGSKSMDLEKQYSHPSTFSSHKAGFAAEQSREQYIRDVKKCLNYIKDGESYELCLTTQIRKPIEEDLCICCSSPERFLQLDRNDMLEAKPIKGTVARGATEEEDKQLKLKLQLSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPHLMDVQSYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTIVIHEGEASIGAGGAIIALSNPEEEYEEMILKTKAPASTVINYE >CAK8532699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:486451950:486453071:1 gene:gene-LATHSAT_LOCUS2380 transcript:rna-LATHSAT_LOCUS2380-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANISSSLGLPSPNPTELSTSLNDFNGLINHFTTLSLTHTTTNPFASVSNSTQPRSKPGPKPQTLLKKLTVFERAFIGAAGGGIAGAFTYACLHPLDTIKTKMQTRGASQIYKNTLDAVSQTFSTNGILGFYRGFSAVVVGSTASSAVYFGTCEFGKSFLSKQENFPKILIPPTAGALGNILSSAIMVPKELITQRMQAGAKGRSYEVLIKILQNDGVMGLYAGYSATLLRNLPAGVLSYSSFEYLKLAVMRETKKNHLEPIQSVICGALAGAISASITTPLDVVKTRLMTQARNEAVGKVAAVMYGGVSSTIREILKEEGGVGFTRGMGPRVLHSACFSALGYFAFETARIAILNEYVKRKGLEDEVVSSS >CAK8532698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:486451941:486453071:1 gene:gene-LATHSAT_LOCUS2380 transcript:rna-LATHSAT_LOCUS2380 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEANISSSLGLPSPNPTELSTSLNDFNGLINHFTTLSLTHTTTNPFASVSNSTQPRSKPGPKPQTLLKKLTVFERAFIGAAGGGIAGAFTYACLHPLDTIKTKMQTRGASQIYKNTLDAVSQTFSTNGILGFYRGFSAVVVGSTASSAVYFGTCEFGKSFLSKQENFPKILIPPTAGALGNILSSAIMVPKELITQRMQAGAKGRSYEVLIKILQNDGVMGLYAGYSATLLRNLPAGVLSYSSFEYLKLAVMRETKKNHLEPIQSVICGALAGAISASITTPLDVVKTRLMTQARNEAVGKVAAVMYGGVSSTIREILKEEGGVGFTRGMGPRVLHSACFSALGYFAFETARIAILNEYVKRKGLEDEVVSSS >CAK8565745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:319329523:319330155:1 gene:gene-LATHSAT_LOCUS18932 transcript:rna-LATHSAT_LOCUS18932 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLSLLVVLSMFFTISSVLVLADNAPASSPQSSAKPPSTVSVPPVQSPTASKASPAKSPTLSPPSQTPTISPPGSTPPPTVQPPSSVSPAISPSTNVSSPPVQPPSTPPPTAAVAPVSSPVGAPTVTEGPSVSSPPEAASGGIPSSSATPADSPATLPSSKSPPGTAPSSSSPETSSPGPIGDDSGSRSISGAPVVLGGVALLVALSF >CAK8568913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653936762:653937193:1 gene:gene-LATHSAT_LOCUS21821 transcript:rna-LATHSAT_LOCUS21821 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPNRNMRTNGFKVKQGLKIFTLIAAAIWLLYQLKHSDETKVLGRKGFQFWMKSPYELMGGVKKRKNDIVMEENIGLGNDVVDRDRVEEEEPEEIENVVDEEDEEENEAMEEDMMSLLEYQAEKDTHATAKRHRKETRVL >CAK8541967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:444467767:444469963:1 gene:gene-LATHSAT_LOCUS10846 transcript:rna-LATHSAT_LOCUS10846 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISTKTNHFRYASRCLLSHLLRNPTPTSSLLSPSSLSHHLSTQPRQVGNQGYGNGSFMLYQRLLSGTANQDKPPADNNNSSKDDSGKGKESGGEQEQKNDAGKSIRGSPVSWLSLVFLVLTGAGLVYYYDREKKRHIEEIHNASEAVKQGPSAGKAAIGGPFELTNHHGKRVTEKDFLGRWTLMYFGFTHCPDICPEELQKLAAAVDKIKEKSGIQTVPVFISVDPERDTVEQVAEYVKEFHPKLIGLTGSIDEIKSVARAYRVYYMKTAEEDSDYLVDHSIVIYLMAPDMGFVKFFGKNNDVDSLTDGVIKEIKQYKKK >CAK8575178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:46435455:46437727:-1 gene:gene-LATHSAT_LOCUS27463 transcript:rna-LATHSAT_LOCUS27463 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMGILFLIVLGAVWVCDARELVNSELNRKPDVCSLCEEYTTQVLDYLQDNDTQVEIIDSLHNKCHQLRSLNQECGKLVDYYAPLFFSEIAPMKPDEVCEKFNLCESAKISSQVHRNNSCGLCKDTIAALLAELNDPDTKLEILEKLLKACDSVEKYKKECKKAVFEYGPLILANAEKFLKTTDICTALHACSASTIVSQEATTMEESPLLSDS >CAK8538373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479660893:479663203:-1 gene:gene-LATHSAT_LOCUS7584 transcript:rna-LATHSAT_LOCUS7584 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKTSRKGKKAWRANISTEEIEDFFEKSTKDALSGGSLQAVSSESLFFEDKSKDLAVKKKIEKHREKVLRVDSVLQKNQFVVPVPSSTLKKSSKNRKALPKSLGTNQDSQKVDSDVFEMWGDKDEDNKKFKKMSKPAHIPAVEVDPPGCSFNPTHESHQDTLATAVAEEMIKVYKNELGPEPVPLTVPGEAISEEDMYFLDVDDGNDDESNHENEGENGDAASENKPIKKKKVTRVVLNKRARHREQLRKEAEAKRLKNFSKEIDSIPKIFAEIKNEDEEKKRRLLRRQTAKQEKLKVCPPRIGKHKFQPAPVQVLLSEEITGSIRKLKGCCTLIKDRYKSLEKRGLIVPKPRRNR >CAK8564854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13480178:13480384:1 gene:gene-LATHSAT_LOCUS18112 transcript:rna-LATHSAT_LOCUS18112 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGIFMGMLFGTTLMVGWACMMRYRSAKRMAKVVDIKLLGSLSRDDLKRIYGENLPEWISFRVYE >CAK8568835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644955444:644956331:-1 gene:gene-LATHSAT_LOCUS21753 transcript:rna-LATHSAT_LOCUS21753 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGRPKTTVLPSKAPESGPKVEKETTTTAEKETTTTIEDATREPRIETTQAQPEDRKLWVDIINDNRNPSKGLTMEYVAPKVVNGMIEIDIEQEDIETEIHFWDNALILYVVGGDLSMNMVKNFMQRMWNFVRIPDLYYHDDGYFLLRFNSQKDKETVMMKGPYTIQNMPMILEEWKTWFNLKRDLLRTLPIWVKLPQLPLQLWGAKSLSKIGSAIGKPLVTDECTANRLRVSYARLLIEVDITQQLSDEIAIRNVEGDVITQPVK >CAK8533112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:579482679:579488126:-1 gene:gene-LATHSAT_LOCUS2760 transcript:rna-LATHSAT_LOCUS2760 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQNLINSAVLTLCVLVLSTCNYQACAFGKFGKFGNFGNHKWASKDHFWDRKKDDYVVRSICADLITTHGYKCDEFEVTTNAGYILSIQRIPVGRSESSSNATREPVIIQHGIMMDGASWFMNSPAQNLPMILADNGFDVWITNGRGTKYSRKHTTFDSSKKQYWNWGPDELVSDELPAIINFVFKQTGQKINYLGHSLGTMVALLSLSEGKWVNEVKSVALLCPISYIGNMKAKLATLSMRSERGKKYTARDFTEFKPKGRITLSFIRVICATFRLNCNDLFTALTGENCCLDRAAFVRLAQVEPQSTSKKTLYHLSSIYLNDIVAKFDYGRREINQGYYGQPKPPIYNLSNIPNNIPIFMSYGGKDALSDVADVQRLLSLHFQNHDKAKLNVQFIHEYAHFDYMMGVNANDLVYKHVASFFKQKF >CAK8567389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510121315:510124643:-1 gene:gene-LATHSAT_LOCUS20449 transcript:rna-LATHSAT_LOCUS20449 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSNHETQKSGWKKFLPYIGPGFLVSLAYLDPGNMETDLQAGANHGYELLWLVLIGLIFALIIQSLAANLGVTTGKHLSEVCKIEYPLFVKYCLWLLAEVAVIAADIPEVIGTAFALNILFHIPLWAGVLLTGCSTLLLLSLQRFGVRKLELLITLLVFVMAACFFAEMSYVNPPVSGVLKGMFVPKLSGEGAVGDAIALLGALIMPHNLFLHSALVLSRKVPSSGRGINDACRYFLYESGFALFVAFLINVAMISVSGTVCSASDLSKDNVEHCNDLTLNSASFLLKNVLGRSSSTIYAIALLASGQSSTITGTYAGQYIMQGFLNIRMKRWKRNLMTRCIAIAPSLVVAIVGGSSGSSRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSMTVITISWILASGIIGINIYYLSTAFVKWLIHSNLPKVANVFIGIIVFPLMAIYIVSVIYLTFRKDTVQIVMETKNDTVMQNQVEKGVLDQDQIELSHVPYREDLADIPLPE >CAK8575614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:206292462:206292596:-1 gene:gene-LATHSAT_LOCUS27863 transcript:rna-LATHSAT_LOCUS27863 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLQITEPWLLEYQVLPSRTHPHMQMSVFGGYILSGTKICSS >CAK8535986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895549932:895550177:1 gene:gene-LATHSAT_LOCUS5397 transcript:rna-LATHSAT_LOCUS5397 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDKLYPERRGLKPNFEEGVKGFITWTFSQECCRSEGGVRCPCLKCGCRPIISDPEEVERHLKRKGFIENY >CAK8565721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:312924185:312925817:-1 gene:gene-LATHSAT_LOCUS18909 transcript:rna-LATHSAT_LOCUS18909 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIFNIFTLFAIFLFMIIALKLKNHCEKNYSSTKKIPPGPWKLPIIGNILNLVTTNPPRKLRDLAKIYGPLMHLQLGEIFFVVVSSPEVAREVLKTHDIVFASRPHLLATEIAAYNSTDIAFSPYGDYWRQLRKICAIEILSTRRVKTLWPVREKEINSLLRKISSNEGSDFNLTQAIISMMYTFTSMAAFGKKYSEQEEFISVVKQLIKLAGGFYIGDLFPSAKWIQNLSGMRPKLEKLSQQVDRILERIIDDHKETRSRRDKQGLAEADEDLIDSLLKFHDNDRDTDFHLTSDNVKAITLDMFTGGSDTAATLINWTMAEMLKDTRVLKKAQAEVREAINGRGKIDEATFEDFNYLKAVIKESLRLHPSVPLLLPRENRQAVVISGYDIPVKSRVIVNAWAIGKDPKYWTEPDRFYPERFIDSLMDYKGNNFEYIPFGAGKRICPGMNYGLANTEQVLALLLYHFDWKLPNGKKNEELELTEEFGITMSRKGDLHLIPITSHQLLEI >CAK8532241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228228123:228236945:1 gene:gene-LATHSAT_LOCUS1971 transcript:rna-LATHSAT_LOCUS1971 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKKLINDPNDVETEFIDGLMETYPTLQFLDGYPSVKVVFRADVYPGPPYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPTVDAILAGIRTVTGPMGCLLIIKNYTGDRLNFGLAAEQAKSEGYKVESVIVEDDCAIPPPLEMAGRRGLAGTILVHKVAGAAADAGLSLGNVAAEARYASENVGTMGVALKACTLPGRIFTDRFGPTQMEVGLGIHGEPGAHVTDIQPVEAVVSLLLNKILSKETNYLPISRGERVVLMVNGLGGTPLMELMIAAGKAVPQLMVEHGLAVDRVYTGSFMTSLDMEGLSISIMRADRSILQRLDAETKAPYWPVGVSGNRLPAKIPVPIPRPRSAKIVEPQSRPLKLTEQGQLLELVIVAAATALTHLKDTLNEWDSKVGDGDCGSTMYKGAKAVLEDMKNYPLNDAAETVGEIGSTIGKSMGGTSGIIYSILCKAACAQLKTSSHSVITSKQWAKALAAAIDAVSKYGGAKVGYRTLLDALIPALSSLEERLYSGDDPATAFLTSSQAALDGAESTKKMRAKAGRTLYVPQEIQSSVPDPGAYATASWYRAAALAVDKYKNK >CAK8576305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:451799691:451806694:-1 gene:gene-LATHSAT_LOCUS28503 transcript:rna-LATHSAT_LOCUS28503 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPVARSRGRPRKRRKEDEKDSKSVPDAKRQALERKPVALVGRYVLKKFPRNGVFLGKVVSYECGLYRVIYEDGDFEDLESGEIRPILVSDAVDFDAGMVKRRTKLEKLVLQNRAKAADVADTGSPKPVKEESAVDVTDPSELNGGSSIENDKDEDDGADDDCVSVSEAETASLPPPPLLPPSSGTIGVPELSVSHLFSVYGFLRSFSTRLFLHPFTLDDFVGSLNCQFSNNLFDAIHFSLMRVLRRHLETLSPEGSELASQCLRCYDWNMLDSLTWPVFVILYLFVNGFTKGPEWKGFYDEVFSGEYYLLPVSRKLVILQILCDDVLESDELKTEMSMRKESEVGMDSDAEDILPAETGPRKVHPRYARTSFCEDKEAIKLVSASIAVNQPGNSISHCREIDSTGDGDADRNGDDCRLCGMDGTLLCCDGCPSAYHSRCIGVMKMFIPEGPWYCPECKINMAGPTIAKGTSLRGAEIFGKDLYGQLFMGTCDHLLVLNIDNNEVCLRYYNQKDIPKVVQVLYESMLHRPMYYDICMAVLQYWNVAEKFLPLPLSTETNIKDESQFPALLLPPPSEDNHKPVSLVQGENSPTTASLIHNSNMVSSIDALEIITQSPALESSGIARSEVLTMNKNLGEETRMEAIISAGSVSHQSNLNFQNSVNMSTAVDATKCSLINSQLSNCVHANDMGLPLNFSSQNKESAQLGFGKCEYNANADFCYMGFLYKPMSYINYYMHGDFSASAASKFAIVSSEESRSEGLASDSQKKTASAYTYMQAKAFSLAASRFFWPSSEKKLVEVPRERCGWCISCKAPVASKRGCMLNHALISATKSAVKILATFSPIRSGEGILPSTIATYLLYMENCLHCLVVGPFTNASFRKNWRKQVEHATTYSAIKPLLLKLEENIRVVAFCADWVKLMDDWSVEFPTMQSATSTLGTTQKRAPSGRRHKKKSSIDEATVNVTKEGMWWRGGKVTKYIFQNAALPKSMVRKAARQGGSRKISGIVYADGSEIPKRSRQLVWRAAVQMSRNASQLALQVRCLDSYLRWSDLIRPEQNIQEGKGQETEASAFRNANICDKKLVEGKVCYGIAFGSQKHLPNRVMKSVVEKEHGPLGKEKYWFSETRIPLYLVKEYEEGNEKVPCEEQYSGASQLHRRRLKGTCKGCNNIFFYLVCKKDNLAFSCSSCRMAISIRNAHKCNACQGYCHEDCSISSVLRLSTNGRVECLTTCKRCHHAKLLSPNVTSNESPTSPLILQRQETSTGTGIIFKGPRPKASHSDVKQVNSMSGLKGSKRKSHDQTSTSTKKKNSHPDTKQAVVDSTSASINRRNNNCSWGIIWKRKGYEDTNIDFRIKNILLKGGSNTPDLSPVCHLCKNDYRSDLMYICCETCQNWYHAEAVGLDESKISDVLGFKCCKCRRIKSPVCPYSDSKPKSEVKKSRRKASKKQHSGPDSDSGAFNDMRESEPATPVFPVENDPLLFSLANVELITEPNNLDSDEWNTLSMPGPQKLPVRRHVKHEGGGDGSVSGIPFHDEFSTYSEAGSLSNPADSILPLDYDSADFDSNLLSNSEFVNNDDNMFNDNTIFDVNDLLRSDDSQIGEGDVTEELLGYNMDQNNEGDVPGELLGYNMDQNNEGDVPGEFLGYNMENSGTPVPEEFGDGNFFCSKCLQTEPGPEFFCGTCGVLYHAHCLPWPESASDPLHWTCQTCRDWQ >CAK8578356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623128216:623134815:-1 gene:gene-LATHSAT_LOCUS30373 transcript:rna-LATHSAT_LOCUS30373 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKQQSIPALPWMRDPVDVSLSQELPLNSVPSLHPKLKSALEDMGISSLFQVQVAVWHETVGPGNFERDICVNSPTGSGKTLAYALPLVQILSGRITKCLRALIVVPTRDLALQVKRVFDAVASPLGLRVGLAVGQSSLAGEISELVDMPARDIGMCYDPHCVSLPRFQSKVDILVATPGRLMDHINTTIGFTLEHLHYLVVDETDRLLREAYQSWLPTVLELTQSNDDGFFQPSDSFFPCSVGALRTRRRCGVERGFKDKPYPRLAKMVLSATLTQDPGRLIQLNLHHPLLLKAGEMRYRLPKNLESYKLVCETKVKPLYLIALLKSLGEEKCLVFTKSVDSTYRLCKLLNCFGDLQIDIKEYSSLQHQRVRSKTLNEFRKGMFQVLVSSDALTRGMDVEGVRNVINYDVPKFIKTYVHRAGRTARAGQAGRCFTLMSEDEVRRFKKLMRKAESGSCLEHIVPLNQIEALGTTYQSALTKYKEIISKTRKKPKA >CAK8544855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709325885:709326884:1 gene:gene-LATHSAT_LOCUS13502 transcript:rna-LATHSAT_LOCUS13502 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYWKRSGQIPAFGNWDFANELPITQYFENARQAGLIRYSSSSGETDPYVCGDDHDFYAVDSKKPAPKARRIKDTRYTNAMVNEKVTTVRKQWKVYDETEHPRNQTMNKNKVVHVNDVVGSAHNQLASKQDPKPVDEDLYMIPPELLRTTKRKKMLGFISKCLVPAACVS >CAK8568076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:570031381:570031816:1 gene:gene-LATHSAT_LOCUS21072 transcript:rna-LATHSAT_LOCUS21072 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGRDGNNHIFPIAYVVVKTETKDSCERFLFLLLEDLCEVNHKSYAFTSNQHKGLVNAVQGISTCVEQRLCVKHLYSNWKKKYSGLELKEFMWVAVMEITIPAWEKAIQRRKKGFK >CAK8575758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:310422658:310437711:1 gene:gene-LATHSAT_LOCUS27994 transcript:rna-LATHSAT_LOCUS27994 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYTVKVEEARSATHGKPSAGPVYRCIYTKDGLLELPSHFQSPWEFFRESAAKWPGKPMLGQRQKTDSKVGPYEWVTFEEAYDAAIRIGSAMKSRGVNPGDRCGIYGSNCPEWIIAMEACNSYAVTYVPLYDTLGPNAVEFIINHAEVSIAFVQQSKIPSVLSCLDRCTSLKTIVSFGDVSINQKTEVEELGVSCFTWGEFLQSGNRDLDLPFKNKINICTIMYTSGTTGEPKGVIIKNEAFMTQVLSIDQLLSLTDKGAAEDDVYFSFLPLAHVYDQIMVTYCIHKGSSVGFWQGDIRFLMDDIQTLKPTIFCGVPRVYDRVYAGINCKISSEGSLKKTLFQYAYNYKLGYLNSGLPQDKAAPWFDRLVFDKIKQTLGGRVRILLSGAAPLSKHVEEFLRVTCGSTLAQGYGLTESCAGCFTSISNVFSMVGTVGIPMPTIEARLESMPEMGYDALSGQPRGEICLRGNTLFAGYHKRQDLTEEVMVDGWFHTGDIGEWLPNGAMKIIDRKKNIFKLSQGEYVAVENIENKYLQCPLITSVWVYGNSFESFLVAVVVPERQALENWAVKHDLSDDFKSLCGNPEARKFILDELNSIGQKHQFRGFELIKAVFLEPIPFDVERDLVTPTFKLKRPQLLKHYKDCIDQLYKEAKGAMV >CAK8567279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499964518:499970687:-1 gene:gene-LATHSAT_LOCUS20345 transcript:rna-LATHSAT_LOCUS20345 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTFPSNHNPNFNRQPPCPAYRYRKPGFQSNHNHRVDRPPERNSPRQPNFILKLHFGCGSVHRDDVECLISKCKPNPDNFCFYPCDGVAASLNFIQWTDAREAVVWFWESRFAGGHGFTPELISNVMVPSDRVELEGRLRSLFVSHVKELMEGKEVKKWVEEWDGLSKEIARVKGLLGKPFPVRVQQQHIERKKGLDDEKSLVEKRLKEFEFAMESILQHLEESNNVESGEDFVPVFRFDGSFDWKKIHSLIVRERRRLEEGLPIYAYRQEILQQIHHQQITVLIGETGSGKSTQIVQFLADSSIGADGSIVCTQPRKIAAKSLAQRVQEESSGCYEENSIQCVSTFSSSQKFDSRISFMTDHCLLQRYMGDRNLSGVSCIIVDEAHERSLNTDLLLALIKNLLCKRVDLRLIIMSATADAKQLSDYFYGCGVFHVNGRNFPVEMRYVPSDYGDHSGSAAVESYVVDVVKMATEIHKTEKEGTILAFLTSQVEVEWACEKFKVLSAVALPLHGKLTSEEQFHVFQNYPGKRKVIFSTNLAETSITIPGVKYVIDSGLVKDCRFDPCTGMNVLKVCWISQSSANQRAGRAGRTEPGRCYRMYSEADYHSMELNQEPEIRRVHLGVAVLKILALGVKNVQDFDFVDAPSPSSIEMAIRNLIQLGFIKLNNDVHELTYEGRYLARMGIEPRHGKLILGCFQLALGREGVVLAAMMPNASNIFCRFGNEGDKQRSDCLKVQFCHSDGDLFTLLSVYKEWEALPRERRNKWCWENSINAKSMRRCEDTVFELESFLEREHGFVVPSYWRWDPHTPSVHDKNMKKVILASLSENVAMFSGRNQLGYEVAQTGQHVQLHPSCSLLVFAQKPSWVVFGDLLSVSNEYLVCVSAVEFQSLYDLQPPPSFDVSKMEERKLQTKTLTGFGTILLKRFCGKSNSNLLGHVSRIRKACLDERIFAEVNVDENRIQLYAASHDMNTATMLVSDVLEYEKKRLRTECMEKCLYHGSGSSSPMALFGSGAEIKHLELEKHSLSVDVYHPNIIAIDDKELLMFFEKNTSGSICAVYKFSGMGKDLPDKEKWGKITFLTPDAAKRAVELDGEEFCGSYLKILPSQSAMGGDKTFPKVKAKIFWPRRLSRGFGIVICDKNDVNFMLRDFCNLAIGGRRVCCAPSNKSMDGIMISGLDKELQETEILAVLRNATSRRILDFFVVRGEAVGNQPCSACEEALFKVISPLIPKVDPHISSCRVQVFPPEPKDSYMKALINFDGRLHLEAAKALEEIEGNVLPGCLSWQKIMCEQLFHSTLIFPAPVYHVIAEQLENILANFKNLNGLEWNLNRTANGSHRLTITANATKTVAEVRRPLEELSRGKIIDHGSLTPAAQQLMLSREGISLKCSIQQETKTYIVFDRHSLNLRIFGSPEKIALAQQKLVQSLLSLHEEKQLVIPLRGKDLPSDLMKQVVKTFGPDLHGLKEKVPGADLKLNTRQQAIFLNGNKELKPRVEEITLEIARSSIHLVETLDTGPSCPVCLCEVEDGYQLEGCRHLFCRSCLVEQCESAIRNQGSFPICCAHTGCGDPILLTDFRTLLSNDKLDELFRASLRAFVASSSGTYRFCPSPDCPSIYRVADPDTGSELFTCGACYSDTCTKCHLEYHPFVSCERYRELKDDPEITSLREWCKGKAQVKSCSACGQIIEKVDGCNHIECKCGKHVCWVCLEIFLESDECYDHMRAIHLTI >CAK8543423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607092723:607093373:-1 gene:gene-LATHSAT_LOCUS12180 transcript:rna-LATHSAT_LOCUS12180 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNKTTSNFSICRKIRQLLATNLAFKTVVQMKQQNQEPKPQQQMKIVNIEGGSGGGTIPITFDYSKVSEHTSKITSPHVGISERKGESVIIQNLARSKTVTTDDDRNINTKQKMIGENYKRKSLKVGLVNLEKQGEKSLNINDAFSEYIQSITNGIGAVSNVGKGHNNHVQDEANSFKKMENLNNDHFSDFILETRKKITTTSNVGKTSSLKRG >CAK8538337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478017864:478019954:1 gene:gene-LATHSAT_LOCUS7549 transcript:rna-LATHSAT_LOCUS7549 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQRSKMMMMISPGLLPTESLLDSLVLISNEVSSIENFPLVQIKNVSSMIRRIKLLSSLFEEIQESDTPLPPSSILCFTELFSMITRVKILIQECKDRSSLWSLVQLEFVSNQFFALVKEFGRAFDILPLNLLHVTHDIKEQIELLHRQAKRVEVELFIDPREVQRREKLLEVMSKNCLQNKKTTKGFIDFVKVEEIMRSIGLRTLSDYVEEISKLEVEAQNQAGTGGLIVVSNINNLMLLVSYTKSMVFRNDDESEECKPLSMFLYNKTKIHDNDRSSLSSSSSRSMISSMVNIPDEFRCPISLDLMRDPVIVSSGHTYDRISIAEWINSGHHTCPKSGQRLIHTALIPNYALKSLVHQWCYENNVSVNESMENNNSSSKRHKNENAIDHISANKASKDAVKMTAEFLVGKLATGSTDIQRQSAYEIRLLAKTGMDNRRIIAEVGAIPFLVTLLVSKDSRIQEHVVTALFNLSIYDNNKILIMAAGAIDNIVEVLELGKTMEARENAAAAIYSLSMIDDCKVQIGASSKAIPALVGLLKEGTPIGKKDAAIALFNLAVYNPNKLSIVKSGAVGLLVELLMDDKAGITDDSLAVLAVLLGCSQGLEEIKNSKSLVPILIDLLRFGSVKGKENSITLLLGLCKEEGELVARRLLANPRSIPSLQSLAGDGSLRARRKADALLRLLNRCCSQPHHSL >CAK8534279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712257125:712261585:-1 gene:gene-LATHSAT_LOCUS3836 transcript:rna-LATHSAT_LOCUS3836 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKMYQIPLSAFQNQNPLSMSFINHSPSSSSPFTIATSMGFTATTSNLTFKTTTTFPFSSTRNRSRFALVVRAKAETDYYSTLNVSNNASLQEIKSSYRKLARKYHPDMNKSPGAEEKFKEIGAAYEVLSDDEKRSLYDRFGESGLEGENGGPAGASEVDPSDLFNAFFGRSDGLFSDERGFGFNMRNNRNRDHDIRYDLRLSFEESIFGGKREIEVSCYETCNSCDGTGAKSKSSIKKCIRCGGKGGEKKTERTPFGMMSQVSTCSKCGGLGMIITDSCRKCDGNGRVRAKRKVEVVIPPGVNDEDTMQIRGEGNFDKKRQYTGDLFVVLHVNEKKGIWKEGLNLFSKINIDFTEAILGSVKKVETVEGLRDLEIPSGIQHGHSVKLSRLGVPDMKKPSIRGDHYFVVNVVIPKDISGMERTLVEKLASLRASRKGRSFSSGIFNDFTKKDTESDVTSKGTETAKSLWGSIKNFLRKGNSEERFASISQDTSTMLWRFDRQNYLVPHSIFLVIFITWIFNSIAKSKNLKRT >CAK8534280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712257125:712261468:-1 gene:gene-LATHSAT_LOCUS3836 transcript:rna-LATHSAT_LOCUS3836-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTATTSNLTFKTTTTFPFSSTRNRSRFALVVRAKAETDYYSTLNVSNNASLQEIKSSYRKLARKYHPDMNKSPGAEEKFKEIGAAYEVLSDDEKRSLYDRFGESGLEGENGGPAGASEVDPSDLFNAFFGRSDGLFSDERGFGFNMRNNRNRDHDIRYDLRLSFEESIFGGKREIEVSCYETCNSCDGTGAKSKSSIKKCIRCGGKGGEKKTERTPFGMMSQVSTCSKCGGLGMIITDSCRKCDGNGRVRAKRKVEVVIPPGVNDEDTMQIRGEGNFDKKRQYTGDLFVVLHVNEKKGIWKEGLNLFSKINIDFTEAILGSVKKVETVEGLRDLEIPSGIQHGHSVKLSRLGVPDMKKPSIRGDHYFVVNVVIPKDISGMERTLVEKLASLRASRKGRSFSSGIFNDFTKKDTESDVTSKGTETAKSLWGSIKNFLRKGNSEERFASISQDTSTMLWRFDRQNYLVPHSIFLVIFITWIFNSIAKSKNLKRT >CAK8562885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553260386:553262386:1 gene:gene-LATHSAT_LOCUS16347 transcript:rna-LATHSAT_LOCUS16347 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTSLGGGAGGDDRVLATAQQILKSLKAPKEDREDMLLIFSTFDNRLSGITDLINGDDSKSSEDEELDRFEAAEKIILDDSSLYTESSRQSTSLFDPPNDPVEYFLAVDEIIQWIEHFSISPDHSTGKTDRIILDRAETAIQLAMSRLEDEFRHVLICNTIPPNAVSRCSTNRRSSLSFSSQDGGIDDNSGSFGEASDAGSNRFHERGVSLGDDLFVDLVRPESILNLKDIIDRMVRSGYERECLQVYSSVRRDALIECLTILGVEKMSIEEVQKVEWKSLDEKMKHWVQAVKAVVGVLLSGEKRLCDSLFGEFYDLKDTCFNETAKACIMMLLNFGEAVAICKRSPEKLFRILDMYEALRDALPDLEEMVLDALVIMEAKGVLKGLGEAVKGTFAEFENCIRHETSRKPVITGDVHPLPRYVMNYLKLLVDYSDAMDSLLEISEEALYHFKNDLGGEVSQLEDLSPLGRQILLLMSELEHNLEEKSKLYEDIALQQVFLMNNLYYLVRKVKDSDLKDVLREKWIRKRSVKVRQYSTGYLRVSWSKALSCLKDEGIGGSSNSASKMALKERFKNFNACFEEIYRVQTGWKVPDEQLREEMRISISERVIPAYRSFVGRFSCQLEGRHSSKYIKYSPEDLETFLLDLFEGSPAVLHHIKRKSG >CAK8538395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481216983:481217800:-1 gene:gene-LATHSAT_LOCUS7604 transcript:rna-LATHSAT_LOCUS7604 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNAIFLNLGLLAMILLISSEVSARDLTEKGLVDEKTFNNGIFGHGGYYGNGYPGYGGYPRNSGGYNSGYGGGYPGNYGGYNGRFGSGYPGIDGGYNDGIGGYGGEDVDGQTKDDTHN >CAK8569036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665620749:665622484:1 gene:gene-LATHSAT_LOCUS21933 transcript:rna-LATHSAT_LOCUS21933 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCVAAKDQTISTRTGGESFHRNPTCSPSLSFQWDRWSRVAGEIDDLSFLTSRRVSRSVSMELKGSLSSERGNLSDVGSTLENSVTPMSQKSPIHEQLGASQMTLSSDLSMSSNCSTAVKKLTESPEIAESSVPNLSFSIPSYFSTPITCNLNHHNLPSSTPSRWAHRSPGHPLLRQISDSRILGLKSPDNSISEGRPSFVLSTCSNDMITGSQCGSSDGWSMRTFSELVASSQKERWSFDSEHLGSGRHKISGTSSRFSYSPSMDLQSCGACSKLLTERTAWSSQKFISNNDLSVVAVLVCGHAYHAECLETMTSEADSYDPTCPICMVGEKHVSMLSRKSLKAESEMKGKSYKISRNRVVDSYFDSGLDVYNRQKDVVSKLEPSSSSRSSLRKPFLRRHFSLGSKWNRSQSENDSARKKGFWARYRKD >CAK8565292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:104274166:104275191:1 gene:gene-LATHSAT_LOCUS18516 transcript:rna-LATHSAT_LOCUS18516 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQNFEQHSRHLVESDLTVQARLQMVMEVRDSLEIAHTAEYLNFLKCYFRAFSGILLQIIKPQFVDNPEHKLRNIVVEILNRLPHSEVRRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLIVSHFFENMAMTGEDVKPIDNSLSDQGINPATATGSQLNPSTCSFKIVTESPLAVMCLFQLYSHFVQANIPQLLPLMVTAISVPGPERVPPHLRTHFTELKKQGDPIEERYKEHTDRDAVIEQLVYCINSYGEVAEVAMSNDPKFDHIDINEEQKVLNECGEAENWLREKKQQQSLLPKCAAPSSCQLI >CAK8563262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:587074779:587078306:-1 gene:gene-LATHSAT_LOCUS16690 transcript:rna-LATHSAT_LOCUS16690 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFRRLTVVTRHHFQRQPNLSFNSHRISHTHLQPNITESSNLAHHIPSSSAVHHFHSWRSLLSHPTIVQQLSSVSRNYLSTLFSKTLLVRSFPRVDFHRQNFSFNQNFNSYQHRWRSWFNRLTPNDVVLGLIVSNVAVYLFWRIANQKFMLNNFTISLDNIKSGRLHTLITNAFSHADTWHLIYNMIGLYFFGGNILSQFGPEFLLKLYLAGAIGGSVFYLAHQAYKAQTSKGWGAINSSREFALGASGAVNAVILLDIFLNPKGTLYLNFFIPVPAALLGIFLVGKDLLNIIEGDNTTSGSAHLGGVAVAAIAWARLRKGRF >CAK8560349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15254084:15254386:-1 gene:gene-LATHSAT_LOCUS14029 transcript:rna-LATHSAT_LOCUS14029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKKHDVFISFRGQHTSASFTSHLYGALTREKIKTYIDYARKIDFGLNLSKQFKTQLYLSLYSQKTTLHQSGVWMKSLKYLSVEKIKVKSLYLSSIE >CAK8535330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838637000:838637663:1 gene:gene-LATHSAT_LOCUS4796 transcript:rna-LATHSAT_LOCUS4796 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSGDKARVIQTLLFVAGINTLLQALFGTRLRAVVGGSFAYVIPVAYIIGDSSLQRINDPHEALHHFIYKDALIEDEEFFMSGSSFLPSISETFAAKLLAAAEKYDLPRHLKKQQEREVAALTKKSDSDAQ >CAK8538650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490646207:490648362:1 gene:gene-LATHSAT_LOCUS7828 transcript:rna-LATHSAT_LOCUS7828 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGGTDDPEDKGETDQQNNNNANANGDSATTPPSSKPSPPSKSSKPAAMGPVLGRPMEDVKSTYTIGKELGRGQFGVTHLCTHKTTGKQYACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELVGAFEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDETSPLQATDFGLSVFYKQGEVFKDIVGSAYYIAPEVLKRKYGPEVDIWSVGVMLYILLCGVPPFWAESENGIFNAILKGHVDFSSDPWPSISPPAKDLVRKMLNSDPKQRLTAHEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNQFKKVALKVIASCLSEEEIMGLKQMFKGMDTDNSGTITIEELKQGLAKQGTRLSEQEVKQLMEAADADGNGIIDYDEFITATMHMNRLNREEHVYTAFQYFDKDNSGYITIEELEQALHEYNMHDGRDIKEIISEVDADNDGRINYDEFVAMMSKGNPETNTKKRRDSTLY >CAK8565287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:101297967:101300514:-1 gene:gene-LATHSAT_LOCUS18512 transcript:rna-LATHSAT_LOCUS18512 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVKKWLQQCPVQRLLLTKDYNTLDPRPSKATFEVTTLVALLQKISPRFKKAFREILEGRASAHPFENVQSLLPPNSWLGLHPIPDHRRDASMAENALTLLYGSEPIGMQRDWNEELQSCREFSHTTPQERILRDRALYKVTSDFVDAAINGATGVISGCIPPINPTDPECFHILWFSNGMVLETLWPNGLLSLLVAHKELSGFERPWTSNPLIFDNSYFTFTMSPEDEERKSEVHATLGRPLRNYSTVAILQCYIDSMVDSRGWEEIPGQGTDNVTYVEFENVGPGSNTDGRVEWHGVRVLGNHNQALVFTASYFLDADSWIPTRGVPYDSEL >CAK8568072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569346985:569352709:1 gene:gene-LATHSAT_LOCUS21068 transcript:rna-LATHSAT_LOCUS21068 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAQSLSVPGLVAGHKHSQHEGSGKSKSSVKMMCALRTSGGFSGLRTFNHLNTMLRPGLDFHSKVSKAVSSRQTRAKRFIPRAMFERFTGKAIKVILLAQEEARTLGHDFVGTEQILLGLIAEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSAIVDVEIPFTPRAIRVFELSLEEARQHGHNYIGSEHLLLGLLQEGEGVEARVLENLGADPTDIRTQVNSHGG >CAK8579598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711561146:711563016:-1 gene:gene-LATHSAT_LOCUS31531 transcript:rna-LATHSAT_LOCUS31531 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFSNHMDKLLFNCFIAHFLFFALLFVHAKELSCNQTPYPHVCNHYIGTTNTLSTTDSSSSSFHDISIKVTMDQAIEAYKLVSTMDLNYFKDNRAKSAWEDCLELYEDTIYQLNRSINSNNLNDKLTWQSASITNHQTCLNGFIDLNLPSHLNYFPSMLTNFTKLLSNSLSITNTLASSLSSLLSNTKQNGGRRRLLSDKFPHWLSGSDRKLLQTTPSADIVVAQDGSGNYKTISEGVAAAKGSGKGRVVIHVKAGVYKENIDIKKTVKNIMIFGDGMDSTIVSGNHNAEDGSTTFRSATFAVMGDGFIAKDMTFENTAGPQKHQAVALRSGADHSVFYRCSFKSYQDTLYVYANRQFYRDCDIYGTVDFIFGDAVTVLQNCNIFVRKPMSNQQNSITAQARTDPNENTGIVIHNCRITAAGDLKPYQNSVKSYLGRPWQKYSRTVVMKSNIDGVINSQGWAPWSGGFALSTLYYGEYMNIGDGANTDGRVNWAGFHVITNPSEAVKFSVGNFLAGESWISGSGVPFDAGL >CAK8541872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419240461:419241132:1 gene:gene-LATHSAT_LOCUS10763 transcript:rna-LATHSAT_LOCUS10763 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDVTPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFSINDEALEECKFCKSLRYQIRSKAINRKQKRVAVKSMFYLPIIPRNVRLGLCSDGFTPYVQASTIAYSRWPVIVTPYNLPPEMCMTKPYMFLTCLIPGLSSPKAGIDVYLQPLVDDLKRLWIRE >CAK8542556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525545929:525546942:1 gene:gene-LATHSAT_LOCUS11385 transcript:rna-LATHSAT_LOCUS11385 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTQHDKKLEDDDKLNCSSIDSSLHQVDRLPHNHCSYNEDYSFDFLSALKECPPISFTAFFGSKILRTCLKEVKQNSIIGNRSSHLDNNELRVAPAPGRGLDWQHKLTSELHRYNTYVPRDTKLQYDFTYKYNRQIRKEIVGCISSFVVSNTVFLGIFYNIWSILLKSTSVQPLQKEHDHHHSIWPSLLGLFGFMVLVCAVAAMILAGAVFTRMQKAMLIFWVVFMHLQSANSIIEVFVILIGGLFMGWYSFGEKQPPNEVI >CAK8573848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642105594:642108188:-1 gene:gene-LATHSAT_LOCUS26249 transcript:rna-LATHSAT_LOCUS26249 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSTPFIHHSSTLRHGTNPFPSPHATSTPKPRHFKPLCAKRSLDHIPKQFREENLKDGVIENFKNVPQFLYGLNPSQIDTLMSSGNTMHQMSQSVTEESITSAKSYLHHSGMDSLSSISNSGSSRTSMSISMRRGGGWGRTRRSPPDLPSVLLDSRIVYLGMPIVPAVAELIVAELIWLDYDDRKKPVYLYINSPGTQNMRSETVGSETDAYSIADMLNHIKPDIYTVNVAVAFGQAAMLLSIGKKGYRTVLPHSTSKVYYPKVHRSSGSVTDMWIKAKELEVNYEYYIELLAKGTGKSEEEIAKDVQRTRYFQAQDAIDYGLADRILKTQEVINDKRDYNEMGATRALQRGGGNPQAAASGF >CAK8578051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604030331:604033176:1 gene:gene-LATHSAT_LOCUS30095 transcript:rna-LATHSAT_LOCUS30095 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTNVSGFLCFLIMFASILLSCGGVSSQTSPVFACDVVKNPALANYRFCDKSLGVNARVKDLVMRLTLQEKVGNLVNSAVNVSRLGIPKYEWWSEALHGVSYVGPGTHFSNVVPGSTSFPMPISIAASFNTSLFQTIGKVVSTEARAMHNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASKYAAGYVKGLQQTDDGDSNKLKVAACCKHYTAYDVDDWKGVQRYTFNAVVSQQDLDDTYQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLKGVIRGKWKLNGYIVSDCDSVDVLFKDQHYTKTPEEAAAKSILAGLDLNCGSFLGRYTEGAVKQGLIGEAAINDAVSNNFATLMRLGFFDGDPSKQLYGNLGPKDVCTSANQELAREAARQGIVLLKNCPGSLPLNAKAIKSLAVIGPNANATRTMIGNYEGVPCKYTSPLQGLSALVPTSFAAGCRDVQCTEAALDDAKNIAASADATVIVVGANLAIEAEGHDRVSILLPGQQQQLVTEVANAAKGPVILAIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGYHNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPATGYPGRTYRFYKGKTVYSFGDGISYSTFEHKLVKAPQLVSVPLSEDHVCRSSECKSLDVADEHCQNLAFDIHLRIKNKGKMSSSQTVLLFSTPPAVHNAPQKHLLAFEKVHLTGKSEAMVSFKVDVCKDLSMVDELGNRKVALGEHVLHVGDLKHPLGVMI >CAK8531693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140313454:140314049:-1 gene:gene-LATHSAT_LOCUS1466 transcript:rna-LATHSAT_LOCUS1466 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANADALRWIDSIPAEKWTRAFDGGRRWGHMTTNLVESLNVVFKATRNLPITALVRATYYRLESLFAERGAKWSAVLNYGKTFTDNCLKVMKQETTKSSTHQVRIFDYTNNVFSVKETMNHGEGKPMGHYKIDLLNGCVSALDWLHFVAKH >CAK8563519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612257314:612257820:-1 gene:gene-LATHSAT_LOCUS16919 transcript:rna-LATHSAT_LOCUS16919 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNRSTPTMSTDSLEQKGQNITESNAGIIQCPLSQQHCSSLDGPVSILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLINVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFASAFIRMSLHAFIF >CAK8574487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649226:652001:1 gene:gene-LATHSAT_LOCUS26831 transcript:rna-LATHSAT_LOCUS26831 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKIEKVGEGTYGKVYKAKETSTGQIVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYIVRLLNVEHVDKIPKSGTNSTPKPILYLVFEYLDTDLKKFIDTFRKGANPRPLPTSLVQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQQKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTTYSTGVDIWSVGCIFAEMVRRQALFPGDSEYQQLLNIFKLLGTPTEQEWPGVSSLRDWHVYPRWEPQNLTRAVPSLAPEGVDLLSKMLKYNPCERISAKAALDHPYFDSLDKSQY >CAK8568570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618516970:618517269:1 gene:gene-LATHSAT_LOCUS21515 transcript:rna-LATHSAT_LOCUS21515 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDFLIRARVLKLYRQGLRVAGRAPPPARGELRQMIRQEMENNRKCNDKQRIRYLISEGLEKLKRLDEMLDMQGH >CAK8576587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:495648942:495649406:1 gene:gene-LATHSAT_LOCUS28763 transcript:rna-LATHSAT_LOCUS28763 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSSGSGSGSGSGSSLLQNSGSEEDLQALMDQRKRKRMISNRESARRSRMRKQKHLDDLVSQVTKLRKENQEILTSVNITTQQCLSVEAENSVLRAQIGELSSRLESLNEIVAVMNSSNGGFVEPNNGFLFFNPLSNMSIMASSDILQY >CAK8568378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599914767:599916238:1 gene:gene-LATHSAT_LOCUS21338 transcript:rna-LATHSAT_LOCUS21338 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAANEILIAKENKKILNGEEGRAHTKKEKGVKLLKKSKYQLVDYKSLPTYLKDNEFILDYYRSEWPLKQIFLSIFSIHNETLNVWTHLIGFFLFLFLTICTAMKAPMVMDSLQHLPEIIEKADLNKIHAELLKCLPSLPSIPDLDKVKNELMASLRSLDFSSLSGWNVMEHLTSCLHDKFSIKGLKGEKMDFLSPSIVQPITRWPFYAFLAGAMFCLLASSTCHLLACYSQRLSYILLRIDYAGIAVLIATSFYPPVYYSFICNPFFCYLYLGLITLMGVASIVFSLLPVFQKSEFRKYRASLFFLMGFSGVAPIMHKLILYRDEPEALQTTGYEVLMGVLYGLGAAIYVARIPERWMPGKFDIAGNSHQLFHIFVVAGAYTHYLDGLIYLRWRDLKGC >CAK8570366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39903809:39904861:-1 gene:gene-LATHSAT_LOCUS23119 transcript:rna-LATHSAT_LOCUS23119 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDIEGFLRSNNNIIPLRYSYKEIKKITKQFKTKLGKGGYGSVFKGQLQSGRFVAVKLLDKTKSNGQDFVNEVVTIGRIHHVNVVQLIGFCIEGSERALIYEFMPNGSLEKYIFSHIEESYSLTCEKLYSISLGVARGIEYLHNGCNMKILHFDIKPHNILLDENFNPKVSDFGLARLCPTDNSIVSLTAVRGTIGYMAPELFYRNVGTISYKADVYSFGMLLMEIASRRKNLNSLVEQSSQLYFPFWVYDRLHDGREVTIENDTNQEMKLAKKMMIVALWCIQTKPGDRPSMDKVIEMLEEEDEDLQMPNKPYFYAQDLPDDEHVRDNSTSGSWSSSSTLVTNSKQLA >CAK8577824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591212544:591213136:1 gene:gene-LATHSAT_LOCUS29894 transcript:rna-LATHSAT_LOCUS29894 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMEELLYNARVDVVFIGHVHAYERFTRVYKDKSDNCGPVHITIGDGGNREGQATKYQDPKLDISLFREASFAHGLFEVVNTTHALWTWHKNDNDESVVSDYVRLTSLFSNTACKA >CAK8535315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:837038591:837039046:-1 gene:gene-LATHSAT_LOCUS4783 transcript:rna-LATHSAT_LOCUS4783 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSTASPCSEATLYYYGLSAEYQDQYEYLGSMKKYNLEMQCPKLKPNDVLNFHINVVSHSVDSNSVPRLNTLLHNFQHVSCKRFFQEGEDWIQSILFHPDFSCESLEGLTKRIVHEVHELFDFDQVADGVGASVSHRFTLYLRIVLQK >CAK8564677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6990679:6994959:1 gene:gene-LATHSAT_LOCUS17957 transcript:rna-LATHSAT_LOCUS17957 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGKVVCVTGASGYIASWIVKFLLRGGYTVKATVRDPNDPKKIDHLLKLDGAKERLQLFKANLLEEGSFDSVVQGCYGVFHTASPFYHDVKDPQAELIDPALKGTLNVLKSCAKSSSLKRVVLTSSMAAVAYNGKPRTPEVVVDETWFTDPDLSRESNLWYVLSKTLAEDAAWKFVKENNIDMVTINPAMVIGPLLQPVLNTSAAAILNLINGAQTYPNASFGWVNVKDVANAHILAYENASASGRHCLVERVVHHSEIVKILRELYPSLQLPEKCADDKPKVPTYQVSKEKVKSLGIEYIPLEVSIKETVESLKEKKFAKL >CAK8564102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651790598:651795341:-1 gene:gene-LATHSAT_LOCUS17441 transcript:rna-LATHSAT_LOCUS17441 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKGWRLGSMGDMHILPGSRHRPPMKRPMWIIVLVLLVCVFLICAYMYPPQSRSACYIFSSKGCKRLAGWLPPVPAREYTDDEIASRVVIKDILSSPSVVSKKSKIAFMFLSPGSLPLERLWHSFFQGHEGKFSVYVHASKHKPFHVSRYFVNRDIRSDQVIWGKISMVDAERRILASALQDPDNQHFVLLSDSCVPLYHFDYIYTYLMYTNISHVDCFKDPGPHGNGRYSERMLPEVEVKDFRKGAQWFSMKRQHAVIVMADHLYYSKFRAYCQPGFEGRNCIADEHYLPTFFQIVDPGGIANWSLTHVDWSERKWHPKSYRAEDVTYQLLKNITSVDVSVHVTSDEKKEVQSWPCLWNGMQKPCYLFARKFTPETLDTLLHIFSNYSAP >CAK8576550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492194156:492194794:1 gene:gene-LATHSAT_LOCUS28729 transcript:rna-LATHSAT_LOCUS28729 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQFQVQEVPNFLSKEKADSIPLSISQLPNVLQLLSIREDSPKAKFMKETLEQCEAEAVTGETKSCVNSIESMHEFVHTIFGSKTKHTVLTTNNPSPSATPLQKYTILKISHDINAPKWVSCHPLSYPYAIYYCHYIATGTRVFKVSLVGDVNGDKMEALGMCHLDTSDWNPNHMIFKKLKVNPGKNTPVCHFFSINHLLWVPLESTKATM >CAK8536875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:32112884:32117037:-1 gene:gene-LATHSAT_LOCUS6202 transcript:rna-LATHSAT_LOCUS6202 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFSKFKLQLQSLITEVRDLRDRERSATEQHHHLIQKQKRNEEECSRKIQELQSELASVKEERGKLERKVNYIQNDNVMLENKVKELKGTLNSLLQSRENFVIAYEESTSHMKRSIETKDRMLSVLTEKINSHLLLYDSIEKEVFYTKQILDKVQNIVKEKEEIVTSLKNKMERVCAFEKEFVEHITDLRNKLENKEVESKRKDRVISELEAKLDAAKISNNNQAQMEDLQKTLSAKDAEIQNLISDKEALHYEVGSLRLILQRFQGTITNMNEEDKRLFSSVLRHKEASTTDMEIADTIRTEDIAQNNEEKSQEPT >CAK8538382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480339615:480340373:-1 gene:gene-LATHSAT_LOCUS7593 transcript:rna-LATHSAT_LOCUS7593 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNEGFNMFMKEAQPRFKIPSRVTVARDYLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNNASANNLAIDYLNRGMSFWNGRTLFNGEYLHMRCSAHILNLIVKEGIKDIDESVKRIRAACKFVKASPSRLATFKKCAEAVGVCSKALVTLDVETRWNSTYLILNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMDIKKNVE >CAK8538384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480339615:480340337:-1 gene:gene-LATHSAT_LOCUS7593 transcript:rna-LATHSAT_LOCUS7593-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAQPRFKIPSRVTVARDYLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNNASANNLAIDYLNRGMSFWNGRTLFNGEYLHMRCSAHILNLIVKEGIKDIDESVKRIRAACKFVKASPSRLATFKKCAEAVGVCSKALVTLDVETRWNSTYLILNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMDIKKNVE >CAK8538383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480339615:480340343:-1 gene:gene-LATHSAT_LOCUS7593 transcript:rna-LATHSAT_LOCUS7593-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKEAQPRFKIPSRVTVARDYLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNNASANNLAIDYLNRGMSFWNGRTLFNGEYLHMRCSAHILNLIVKEGIKDIDESVKRIRAACKFVKASPSRLATFKKCAEAVGVCSKALVTLDVETRWNSTYLILNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMDIKKNVE >CAK8530179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4358881:4366052:1 gene:gene-LATHSAT_LOCUS67 transcript:rna-LATHSAT_LOCUS67 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVLHLRTAKTPNVRQLAAVLLRKKITGHWSKLSPQDKQLVKDSLIQSITLEHSPPVRKASANVVSIVAKYAVPSGEWPELFPFLFHSSQSPQEDQREVALILFSSLTETIGNAFRPHFADLQALLLKCLQDETSNRVRVAALKAVGSFMEFTHDGDEVIKFREFIPSILHVSRQCLASGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSTQSLEPNTRHQAIQIISWLAKYKSNILKKHKLIIPILHVLCPLLAESTNEDEDDDLAPDRAAAEVIDTMALNIPKHVFPPVLEFASVSYQNANPKFREAAVTALGVISEGCLELMKKNLEPVLHIVLAALRDPEQMVRGAASFALGQFAEYLQPEIVSHYESVLPCILNALDDASDEVKEKSYYALAAFCENMGGEILPFLDSLMGRLLASLQNSSRVLKETCMSAIGSIASAAEQAFFPYAERVLELMKNFMVLTNDEDLRSRARATELVGMVAMSVGKARMEPILPPYIEAAIAGFGLEYSELREYTHGFFSNIAEILGDSFAQYLPHVVPLALSSCNLDDGSAIDIDDGDDEIANGFEGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTTISYAPYLEETLRILVKHSSYFHEDVRLQAITALKHTLTAAHAIFQSQNEGAAKAKEILDTVMVVFIKTMVEDDDKEVVAQACTNVADIIRDYGYATLEPYLPKLVHATSLLLQEQSACQQIESDSEIDDDDSSHDEVLMDEVTDLLPAIAKAMGAQFAPIFVNLFDHLMRFSKAFRPPQDRTMVVACLAEVAQNMGFPIADYVDRVMPLVLKELSSSDATNRRNAAFCVGELCKNGGGSALKYFDNILRGLHPLFSESEPDHAVRDNAAGAVAKMIMVHPESIPLNQVLPVFLRVLPLKEDHEESMAVYSCVYALVFSSNPLIVSLIPELVNIFAQVVTSPIETPEIKALVGRTFCHLISLYGQQMQPLLSSLSPDHANALSTFSTMG >CAK8566831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466078540:466078941:-1 gene:gene-LATHSAT_LOCUS19936 transcript:rna-LATHSAT_LOCUS19936 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSSNLRELSGFQVKNSIHFDLLKFADDIIILCEPSWNNLWSLKGILRGLELVSSLCINLSKSKLIGINFEEDFIQAAPSFLTCNVGSVPFSFLRIQVGVNRRRKEVWFSILSTLHKTFSYWRGLHLEESF >CAK8572630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552089870:552091502:-1 gene:gene-LATHSAT_LOCUS25172 transcript:rna-LATHSAT_LOCUS25172 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIPVSTETFLFPQPSHTPIPITHSSTLLYPHPFRLNKTLSTTPRSFNSKTTLFFYPASKSNNPLTLDDDNDDDDPGNLLLTHDTQLQDPDSLVEDGVFIQVTKLDNNSRRIESRISIHASLDSIWNILTDYERLADFIPGLALSKLIQKAPNFARLLQIGEQNLAFGLKFDAKGVIDCYEKDLETLPSGTKRDIDFKMIEGDFQLFEGKWSISQLFSSGSNDESPIPEISTTLTYIVDVKPKMWLPVGLIEGRLCKEIKKNLISIRGEAQKATDRTVDVNQFG >CAK8561067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84922734:84923045:-1 gene:gene-LATHSAT_LOCUS14690 transcript:rna-LATHSAT_LOCUS14690 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQQRERRAENERKRRQNMNIEQREKYLSIRRENYRWRKEQDKQAQTSRTMNSRRRVPFQNFTNMRSPISHFQGTHDNEVGPSRITHVNDVALG >CAK8541062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:54923198:54925881:1 gene:gene-LATHSAT_LOCUS10013 transcript:rna-LATHSAT_LOCUS10013 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYAKLIRRMNPPRVVIDNNACENATVIKVDSVNKHGILLDVVQVISDMNLVIKKAYISSDGVWFMDVFNVTDRNGSKIKDKEVIDYIQRRLEKNPSFATSMRESVGVVPSEEHTVIELTGTDRPGLLSEICAVLADLHCNVVTAEIWTHNTRAAAVVHVTDDSSECAIEDPSRLSIIKDLLCNVLRGSDDPKTARTALSHPGVMYRDRRLHQIMFADRDYERVEKAGLRERDKSPFPHVTVLDCIERDYTVVIMRAKDRPKLLFDIVCTLTDMQYVVFHGVVQTERTEAYQEFYIRHVDGFPISSEAERERLIQCLEAAIERRASEGMELELCTEDRVGLLSDITRIFRENSLCIKRAEISTENGKAKDTFYVTDVTGNPVDLKIIDSIRRQIGDTVLQVKHNSSLSPKPPQGTTIGFLLGSFFKNRSFQNFKLIRSYS >CAK8566662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446760463:446760720:-1 gene:gene-LATHSAT_LOCUS19777 transcript:rna-LATHSAT_LOCUS19777 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEPREWSDELNRIISHSKGKGWKADILKLAAVEIKYEVGRFQNDKCFSNSADNTKIVDSIIYMIMYRKCYRKRLRPHMARLMV >CAK8572502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542092763:542094759:-1 gene:gene-LATHSAT_LOCUS25063 transcript:rna-LATHSAT_LOCUS25063 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIAARLVRHLRLSSYDAVPRSAAMWYSTLISETDVDHQPSANKEELDDEFDDFLGGRPELQLQGVDPRRGWGFRGVHKAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMYDQRIIGSKDLPKPAQWHRIAVHNDVLGAYAVQQLFKNSSVYVEGEIETRVYNDSINGEVKSIPEICVRRDGKLRLIKSGESVDKISLDELREGLF >CAK8571871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:487360748:487378455:-1 gene:gene-LATHSAT_LOCUS24494 transcript:rna-LATHSAT_LOCUS24494 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGSKEGRTMKWVTLLKDFKEKVGITQSSPPALTASSSAQPSSSSRENNAFSASQVSSSSPTRDRHELELDFKRFWEEFRSSSSEKEKEAALNWSIDSFCRLVKQQANVAQLVTMLVETHIFSFVVGRAFVTDIEKLKISSKTRSLDVAKVLKFFSEVTKDGISPGANLLTSVGILVSGPIDKQSLLDSGIFCCLIHVLNALLDPDVTIQRPNNATDHEEHLVLQKEYNGDIGHNRRLEIEGSVVHIMKALASHPSAAQSLIEDDSLQLLFQMVAKGSLIVFLRYKEGLIPLHSIQLHRHAMQILGLLLANDNGSTAKYIRRHLLIKVLLLAVKDFDPDCGDSAYTVGIVDLLLKCVELSYRSEAGTVRLREDIHNAHGYQFLVQFALTLSNMTESQGFQSIPSDDDKNVTPDESQNSREQSFNEQEKSSIQYLSPTLSRLLDVLVSLAQTGLMESPPTYGGKGSKPSQSKGGGHIKSRTLSSDWLGDELWEKDNDKIKDLEAVQMLQDILLKASNQELQAEVLNRLFKIFSGHLDNYKLCQQLRTVPLLILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKQTILSFFVKLLSFDQQYKKVLREVGVLEVMLDDLKQHRILGPDQQNVNFNQLERKSSSSSFKKHMGNRDVIITSPKLMESGSGKFPIFDVEATIAIAWDCMVSLLKKTEANQASFRSATGVTTVLPFLVSDIHRPGVLRTLSCLIIEDTSQAHPEELGVLVEILKSGMVTSASGSQYRLSLDAKCDTMGALWRILGVNSSAQKVFGEATGFSLLLTTLHGFQSDEDLDQSSLNFYTKVFTYLLRVVTAGVSDNAVNRMKLHAIISSQTFFDLLCESGLLCVEHEKEVIQLMLELALEIVIPPFLASEGLTKSNAIENESSQNLLLTPSGPVNPDKERVYNAGPIKILIRSLLMFTPMVQLNFLDLIEKLARAGPFNLESLTSTGCVELLLDTIHPFLSGSSSLLSRALKIVEVLGSYRLSASELRTLIRYVIQMRMKNSGHIIVEMMEKLILMQDMSSENISLAPFIEMDMSKIGHAAIQVSLGERSWPPAAGYSFVCWFQFQNFLKSPSKDTDPSKAVPSKKRFGPNGLQERHVLRIFSVGATNNDEATYAELYLQEDGVLTLATSNSSCLSFSGLELEEGRWHHLAIIHSKPNALAGLFQASVAYVYLNGKLRHTGKLGYSPSPPGKPLQVTIGTSVGSARVSDLAWKLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDADLTLVANGQRVDTISKQGDLRADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTSTEFIRSSGSFSVLNLVDPTSAAASPIGGIPRFGRLCGDAYICKQDVIGETIRPIGGMELVLALVEAAETRDMLHMALILLACALHQNHQNLKDMQTYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKLETTQANMSPGASLQEANLEDSFLSKFQDENSSIGSHGDMDDFSVPKDSFSHISELENTDIAAETSNCIVLSNADMVEHVLLDWTLWVTASVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELENVVRFVIMTFDPPGLAPQRPIMRESMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKVVSSKLITYFLDEGVHPTSMRWVMTLLGVCLTSSPTFALKFRTGGGYQGLVRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPNDGNYTELKFVELLDSVVAMAKTTFDRVSMQSMLAHQTGNLSQAGASLVAELIDGNSDMAGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPLFTAVCRRPEFLESCIDLYFSCARAAHAVKIAKELSAVTEEKTLNDGDDTSSSQNTFSSLPLDQDQSVKTSISVGSFRQGQVSSSSDDMAAPANSMVGEKSDNVTVTKPESNVTVTEPESNKSLHEDTQTVQSLDGDIADQGSVSSSAHEFSFHSSKGNLDIHMPTYSQSSASLAALDSPVFSEKSSSKIPVTPSSSPVLALTSWLGSSSHNEAKSPVTPTPSFSSSMSAVEFDSTSNPKSNFQEASSANSYFTVTSKLLLDINDSGYGGGPCSAGATAVLDFIAEVLSEFVTEQVKASQLIENILESVPLYIDSESVLVFQGLCLGRFINFLERRLLRDDEEDEKKLDKIRWSSNLDALCWLIVDRVYMGAFPQPSGVLKTLEFLLSMLQLANKDGRIEEAAPAGKRLLSIARGISIGEDDLLSRLGFLVEPKKRLSSTSSQDDSGIDIYTVLQLLVAHKRIIFCPSNADTDLNCCLCVNLVSLLCDKRHNVQNIAIDVFKYLLVHRRAALEDLLVSKPNQGKQIDVLHGGFDKLLTRSLSEFSEWYQTTEQIVNKVLEQCACIMWVQYIAGSAKFPGVRIKGIEGRRKKEMGKKTREAAKLDLRHWEQVNERRYALDLVRDAMSTELRVVRQDKYGWILHAESEWQCHLQQLVHERGIFPLSKSSLTEEPEWQLCPIEGPYRMRKKLECCRLKIDTIQNILDGQFELEIPELSKGKVDHGPDASDSKPYFSLLTDGAKQNSSDSELFEPFFEDTLDSVKDAVSEKNEWNEDKASSMNASLHSALEHGAKSSTMSVPIGESTLGRSDMGSPRQSSSVKVDDLKIADDRSDKELYDNGEYLIRPFLEPSEKIRFKYNCERVVGLDKHDGIFLIGEFCLYVIENFYIDDSGCFWEKECEDELSVIDQALGVKKDLSGSLDFQSKSTLSWSTTAKSLVGGRAWAYSGGAWGKEKLQTSGNLPHPWRMWKLDSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSSKQESNEGSRLFKVMAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRRLDKPMGCQTPEGEEEFKKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSSENQKLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENQFNLDLGEKQSGEKVGDVFLPPWAKGSAREFINKHREALESDFVSENLHHWIDLIFGYKQRGKAAEESVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKAHVKRKIERKLPPHPLKHSSHLVPHEIRKSSSPITQIVALNDKILIAGTNNLLKPRTYTKYVAWGFPDCSLRFLSYEQDRLISTHENLHGGNQIQCASVSHDGQILVTGADDGLVNVWRVSKFGPRALRRLKLEKPLCGHTAKITCLQVCQPYMLIVSGSDDCTVIIWDLSSMAFVRQLPEFPAPISAVFVNDLTGEIVTAAGILLAVWSINGDCLSMINTSQLPSDSILSVTSSTFSDWQETKWYATGHQSGSVKVWQMVHFSDPDSSLSKSGSSGFRALNLGSKEPEYRLILRKVLKFHKHPVTALYLSTDLKQLLSGDGEGHLLSWTLPDESLRGSLNQG >CAK8573430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611803695:611806823:-1 gene:gene-LATHSAT_LOCUS25877 transcript:rna-LATHSAT_LOCUS25877 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFMYYWIFVTMFLSCSGVCISSEIELGSRLLASKNQVWVSDNGTFAIGFTPSDADNRLFTFGIWFASLPGDRTFVWSPNRNSPASQEAILELDTTGNLVLVDKKVTIWASNTSNANVESATMSESGNFILHNINNHPIWQSFSQPSNTLLPNQPLTVSSELTSSKSSSHGGYYALKMLQQPTSLSLALTYNLPESYQTFDENESYANYSYWQGPEISNVTGEVIAVLDQAGSFGIVYGNSSDGAVYVYKNDNDDAGLASAVHQSTPLTVLRRLTLEENGNLRLYRWEDINGSKQWVSQWAAVSNPCDIGGICGNGVCKLDKTKTNASCTCLPGTSKVGRDGQCYENSSLVGKCTNGKNENTTSNFRISTVQQTNYYFSESSIIANYSESDVSSVSKCGDACLSDCDCVASVYGLNEERPFCWVLRSLSFGGFEDTSSTLFVKVRANSSWTPEGQGRSNNSSSDGMGSAKEKAVIIPIVLGMIVLIILLSMLLYYSVHRKRTLKREMESSLVLSGAPVNFTYRALQIRTSNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKILPHGEKEFITEVNTIGSMHHMNLVRLCGFCSEGPQRLLVYEFMKNGSLDQWIFPSIRGRDRLLDWQTRFDIAKNTAQGIAYFHEQCRNKIIHCDIKPENILLDENFCPKVSDFGLAKLMAREHSHVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIIGGRRNLDLSFDAEDFFYPGWAYKEMTSGSTIKVADRRLNGAVDEEELTRALNVAFWCIQDDVSMRPPMGEVVRMLEGQESNIINMPPMPQTVLELIEEGLDHVYKAMKREYNHYSSFTITSHLTSHATCSNSTMSPR >CAK8575783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:323487979:323488891:1 gene:gene-LATHSAT_LOCUS28018 transcript:rna-LATHSAT_LOCUS28018 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVFYGMLNTRKTALVKQLIVIAMMAMFVADAADTNDIYSPCLDAKVQKGDGFTFGIAFSSKQSFNPDNGPQLSPCDSRLDLPKKGAQLAVFRPMVDEISLLTINRSTLDLAASGGYMVAFAGQKYAARSLPIMFADNTHTITSFTLVLEFQEGTLQNLFWKSFGCDSCSRGSICLNNQDCAVPNSQCQKNGDTACNISIQLTFSGTDKNLDALNSWYEVKNLRQYSLTGLFSNLRDSFI >CAK8561532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:276045827:276046871:-1 gene:gene-LATHSAT_LOCUS15114 transcript:rna-LATHSAT_LOCUS15114 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLLLYRHRWTGRFEANLWDHNSKLEGRVRKGRQGGYDSEENAARAYDLAALKYWGQTTTTNFPVSDYAKEIEEMKHEGKREYITSLRRKNNGFSRGTSKYRGVTRHRQSGKWQARIGRVAENKD >CAK8530195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5400131:5400784:1 gene:gene-LATHSAT_LOCUS82 transcript:rna-LATHSAT_LOCUS82 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTNAFTLEFGGKTSWFDCHRRFLPRDHVFRRNKTDFKKDVQVKDFPPPRLSPEEIWNRVFELPKFTNYGEAYKIQGYGVKHNWTKRSIFWDLPYWKDNLLRHNFDVMHIEKNFFDNIFNTVMDNQGKTKDNEKARRDMEILCDRKDLELKPQPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPNGYASNLARCADTKTGKLHGIKSHDRHVFME >CAK8535617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870026949:870030744:1 gene:gene-LATHSAT_LOCUS5060 transcript:rna-LATHSAT_LOCUS5060 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSSWDSLRKQARKIEAQLDEQMVLYRKLVSTKADATEGDLESWIDRLLNQLKQVNSQMQAWVSSGGSEMVSHTLTRHQEIYQDLSQEFYRLRSSLRAKQEHASLLDDFKEFDRTRLDLEEGGGSEQQTLLKERASISRSTGQMDSVISQAQATLGALVFQRSTFGGINSKLSNVSSRLPTVNTILSAIKRKKSMDTIILSLVGSVCIFLIFIYWLTK >CAK8537251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:177729891:177731354:-1 gene:gene-LATHSAT_LOCUS6554 transcript:rna-LATHSAT_LOCUS6554 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNNQNSLNPISRHFNSHLHLIRFLSYLLLFTCGVTIGIIFSFYLKSCNFSLQFTQFSLSASQKTTTPPPLILTPRVSNSSLNDSHVGLKKFIEPSQVVHDLYDEELLWRASLTPKIDEYPFDRVPKVAFLFLVRGPVPLAPLWEKFFKRHKGYYSIYVHSNPSYNGSEVESPVFHGRRIPSKKVEWGKFNMIEAERRLLANALLDISNQRFVLISESCIPLFNFSTVYSYLMNSMQSYVMAYDEASSVGRGRYRVKMSPKIKLKQWRKGSQWFEMDRKLALEVISDRTYYPVFEKYCKGSCYADEHYLPTFVSIKFWERNSNRSLTWVDWSKGGPHPVKYVRPEVTTEFLENLRNQTCKYNGNNTNVCFLFARKFLPTSLTRLMRFAPKVMSL >CAK8567984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561246441:561248895:-1 gene:gene-LATHSAT_LOCUS20988 transcript:rna-LATHSAT_LOCUS20988 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFIIVSRNDIPIYEAEVGVAAKREDAAQLHQFILHAALDVVQDLAWATTAMYLKSVDRFNELVVSVYVTAGHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >CAK8567985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561247636:561248895:-1 gene:gene-LATHSAT_LOCUS20988 transcript:rna-LATHSAT_LOCUS20988-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFIIVSRNDIPIYEAEVGVAAKREDAAQLHQFILHAALDVVQDLAWATTAMYLKSVDRFNELVVSVYVTAGHILYHRELIYL >CAK8533086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576590870:576592557:-1 gene:gene-LATHSAT_LOCUS2736 transcript:rna-LATHSAT_LOCUS2736 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIYFLLILVFLSSFRFTFESNILESKYVSVFEQKSLDLKLREAESKKIQITISKDGNSNFTTITAALSSIQPPNNRRVILLIGPGVYREKIVIPQTLPFITFLGETRNEQTISWNDSSSIIGRDGDALGTFNTPTVAVNADYFMAINITFENSASYFGKKVEQAVALRISGNKSAFYNCTFRGVQDTLYDHKGLHFFKNCFIEGSIDFIFGFGRSLYEECTLNSIAKNIGYITAQKRSSSSLDTGFSFKNCTVKGTGQVYLGRPWGEYSRVIYSYTNMKEIVLPKGWEDTMNGTHYPKTIYYGEYKCSGPGSNFSGRAPWARNLTDEEVQPFLEIHFIEGETWLINPN >CAK8578505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633923485:633925373:-1 gene:gene-LATHSAT_LOCUS30510 transcript:rna-LATHSAT_LOCUS30510 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSSILIFILALTTFFFVTNSTAKESVYDLLPKYGLPSGLLPNSVIDYTLSDDGRFVVVLDSTCYIQFDYLVYYEKKITGKLSYGSISDLKGIQVQRVFIWFNVDEIRVDLPPSDSIYFQVGIINKRLSLDQFKTVRSCRNSIGSSPCSSYSSLLPAPLKEIPMLLTE >CAK8564957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18869958:18873295:1 gene:gene-LATHSAT_LOCUS18206 transcript:rna-LATHSAT_LOCUS18206 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQVVESGRGLGKRKFGRNQGRKKTNKKPKVMQPPRGQKKVKIDQKMKKLYHKRAREYNSDDEDETAAPASVRTKGVASKKTRGVASFTKKKHEEEDIEGEEMSEEEGAAGGQRTQKKNVTDKKVSFSEDEGEDGDEIQPGITKFTEGCKAFKMAFKSIIKKSVSDDLLGPVLSAQKNLVIEKLAEEEAERKVKGEAKKEKLMLAEKGHVKPATYLDSHEKFLISVATKGVVKLFNAVNKAQVAQKGLDPSKNRDAKELRKRTKEAFFSELGKPATGTSVKANASTSNGEDEQPSWAPLRDNYMLTSSRIKDWDKNMPGKNESDDNENISEDSSSDED >CAK8579799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722940493:722942833:-1 gene:gene-LATHSAT_LOCUS31714 transcript:rna-LATHSAT_LOCUS31714 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGLIQAKTIHYLRKQISSLQQQAFMASSSSFEFLSLKTYTPPSWASHLDPIPSHFFSLAHLPTPIHRWNLPNLPPNTEVWLKRDDLSGMQLSGNKVRKLEFLMADAVAQHADSVITIGGIQSNHCRATAVAAKYLNLDPFLILRTSKLLVDQDPTLTGNLLVERLIGAQLHLISKQEYSLIGSVTLANLLKQKLINQGRNPYVIPVGGSNSLGTWGYIEAIREIEQQIQSGTSNVKFDDIAVACGSGGTIAGLALGSSLSTLKARVHAFSVCDDPDYFHNFVQDLLDGLKAGVNSRDIVHIQNAKGIGYAMNTSEELKFVKEIAEATGVVLDPVYSGKAAYAMVKDINENPKKWEGRKILFIHTGGLLGLYDKVDQLGSFVGNWQRMDVNESVPRKDGTGKMF >CAK8579800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722940493:722942755:-1 gene:gene-LATHSAT_LOCUS31714 transcript:rna-LATHSAT_LOCUS31714-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFEFLSLKTYTPPSWASHLDPIPSHFFSLAHLPTPIHRWNLPNLPPNTEVWLKRDDLSGMQLSGNKVRKLEFLMADAVAQHADSVITIGGIQSNHCRATAVAAKYLNLDPFLILRTSKLLVDQDPTLTGNLLVERLIGAQLHLISKQEYSLIGSVTLANLLKQKLINQGRNPYVIPVGGSNSLGTWGYIEAIREIEQQIQSGTSNVKFDDIAVACGSGGTIAGLALGSSLSTLKARVHAFSVCDDPDYFHNFVQDLLDGLKAGVNSRDIVHIQNAKGIGYAMNTSEELKFVKEIAEATGVVLDPVYSGKAAYAMVKDINENPKKWEGRKILFIHTGGLLGLYDKVDQLGSFVGNWQRMDVNESVPRKDGTGKMF >CAK8571790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:473447918:473455194:-1 gene:gene-LATHSAT_LOCUS24420 transcript:rna-LATHSAT_LOCUS24420 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWNSIAFFSHLARRRSTSYSTLRDAPFNPFIKPNKFISTPFRTMDTLVHKPSQISSRQRKIAEKSQFEEAFESAETTEEMLKAFSDMEVVFNEKELGLASLKIGLKLDREGEDPEKALSFANRALKAFDNDNKPSFPFAMTLQLMGSVNYSLNRFSDSLGYLNRANRVLGRLEDEGVCVDDVRPVLHAVQLELANVKTAMGRREEALENLRKCLEIKEMTFEEDSGELGKGNRDLAEAYVAVLNFKEALPYCLKALEIHMKRLGMNSVEVAHDRKLLGIVYSGLEEHEKALEQNVLAQRILKNWNLNSDLLRAEVDAANMMIALGRYDEAVGTLRNVVNQTEKDSESRALVLVSMAKALCNQEKFADCKRCLEISLGVLDKREQITPVEVAEAYSEISMLYETMNEFETAISLLKRALALFEKLPQEQHSEGSVSARIGWLLLLTGKVKQAIPYLESAAERLKDSFGPKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDVSLGPHHADTIEACQNLSKAYGEMGSHALAIEFQQQVVDAWESHGASAEEELKEAQRLLEQLKRKARGTLSNEIPMKALPLRGALSNEVPVKALPSSTNTPATSSVSQPDIPLRQSRTV >CAK8539295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508573699:508576582:1 gene:gene-LATHSAT_LOCUS8404 transcript:rna-LATHSAT_LOCUS8404 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGVALRPILKESANSQFHRQERIARCSSESILIYLTADGAVTPMRVLESDSIASVKMRIQTSNGVPEKKLMLVSNGRQLARNNKLVKEYGVTAGNVVHMVLRLSDMIFIVVRTTCGEEFELKVDRHKNVAYLKHCIKKKRKGFIDLVEDEQEFFCGGQKLDEKRIFDDICKNEDDVIHLIVKKSAKVKAKVARNKDLELSVVAEDLNLNNHDQNQNEVVKVIEEQRCGGADFWLEPFFVNPRINFFPFLWDMIDSTFNGLKKGNKPVRSSEGTGGAYFMQDSRGVEYVSVFKPIDEEPMAVNNPCGLPVSSNGEGLKRGTKVGEGAVREVAAYILDHPKAGPRLVSGEAIGFAGVPPTVLVRCLHEAFNYPNEGDCGSLMMDRKVGSLQKFMGNDGNCEDIGPGAFPVEEVHKISVLDIRMANADRHAGNILFRKDSSGQILLIPIDHGYCLPEKFEDCTFDWLYWPQAREPYSPDTVDYINTLDAEKDIELLKYYGWDVPVECARTLRLSTMLLKKGVERGLTPYAIGNIMCRENLNKKSVIEEIVFEAQESLLPGMNESVFLEIVSQIMDSRLGELSK >CAK8574584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3060575:3061978:-1 gene:gene-LATHSAT_LOCUS26914 transcript:rna-LATHSAT_LOCUS26914 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLYLPDDCWECVFKFLNNHEDDKYNRYLNPLSLVSKQFLSITNRLRFSAAVDSKILPFIHLLFQRFPNITSLKLNRSFYSDGDAILHQISGFSLKLKSLDLSGQNIIPADGLRAFSENVTTLTSLNCSQMNSIKSSDMVLIADCFPLLEELNLGRFAILNSKDSFIDGINTLSLALSKLGKINLTGHRYMTDECLFHLFYNCKFLQEAIIYGCLNITNAGIVSALRERPNFRSLHFTNKTDNCSNLFAILRSCPSLSNIKMEYPYTYWWKRSADNSNSLMVLSPQLETLCLAGNKWLTDESVTIFASIFPNLQQLDLSYCDNISQGICQVLKKCRKIRYLNLSHCLNVKFLGMNFEAPKLEVLNLSCTRVNNAQLYIISKSCRGLLQLSLEHCYYVSNSGPKHVVENCLQLREINLTSCDKVHPKVVASMIFSRPTLKKIIVPPRYRFNCGKMERYSHGCLLC >CAK8573297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601206447:601206952:-1 gene:gene-LATHSAT_LOCUS25764 transcript:rna-LATHSAT_LOCUS25764 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTDIDTSGIHAFEDLLNSLKKKDVQLVANPGPIVIEKLHASELTGLIGEDNIFLTVAVATFGPMGLDF >CAK8531885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171624111:171625432:-1 gene:gene-LATHSAT_LOCUS1646 transcript:rna-LATHSAT_LOCUS1646 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGFGTFDDSFSIASLKAYLSEFIATLIFVFAGVGSAIAYNELTSDAALDPAGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITILTGFFYWIAQLLGSIVASLLLNYVTAKSVPTHGVAAGLNPIAGLVFEIIITFGLVYTVYATAADPKKGSLGTIAPIAIGFVVGANILVAGPFSGGSMNPARSFGPAVVNGNFADNWIYWAGPLIGGGLAGLIYGDIFIGSYAPAPTTETYP >CAK8564179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655083063:655083284:1 gene:gene-LATHSAT_LOCUS17507 transcript:rna-LATHSAT_LOCUS17507 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGIELAEQADTKGVQIKIVGRMEGKEIARVEWTREGRVPLQTIRAPMDFCSVPLVTLFGVFGVKIWIFQNF >CAK8532130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210739487:210747563:1 gene:gene-LATHSAT_LOCUS1864 transcript:rna-LATHSAT_LOCUS1864 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTKPNHTLTTFIFIFLTFLLRSPILLCGSVPTKTAAGFEFLKVAPSEFVGSVNDVVDILQQVTSILSQFGGAGFGDSRLSNAVSDCLDLLDLSSDALTWSVSATQTPQGKHNSTGNLSSDVRTWLSAALANPETCQNGFEGTNGIVSTLVSTGLGQMMSLLTELLTQVNPNFDSFATKQAQKGQFPAWFKREDRKLLMANGVGVDVVVAKDGSGNFTTVMDAVHAAPDYSLKRFVIYVKRGVYVENVEIKKKKWNLMMVGDGMNASVISGNRSFVDGWTTFRSATFAVSGRGFIARDISFQNTAGPEKHQAVALRSDSDLSVFYRCGIFGYQDSLYTHTMRQFYRECKISGTVDFIFGDATTVFQNCQILVKKGLPNQKNTITAQGRKDPNEPTGFSIQFCNITADSDLLPLVNSTWTYLGRPWKEYSRTVFMQSHISNVLRPEGWLEWNGDFALDTLYYAEYMNYGLGAGLNKRVKWPGYHIINDSSHANNFTVTQFLEGNLWLPTTGVSFTAGLGV >CAK8569089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670029154:670032980:-1 gene:gene-LATHSAT_LOCUS21979 transcript:rna-LATHSAT_LOCUS21979 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPREDQFHHFSQFKFDLLHSPNPPHLPPFTPMLPLSQRNGINNVNLHASNVEAFPSPSNSVNLPPLLQIEPSQRGKHNGKSKVSRNSKSAAAQMTNGESLNAVAVNNCRYDSSLGLLTKKFVSLIQNAKDGTLDLNKTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKGCDGIGPRELEGQVNSLKAEVESLYAEEFKLEECISERKELLRNLEEGENTGKYLFFTKEDILTLPCFQNKQLIAIKAPKASFIEVPDPDEELGFHQRQYRMIIRSATGPINLYLLNKHDHRLEDVSVDQAELMDPSWSSNHSRTEGVGLLESRGYQKNPSGSLSLQGSEAFGIQQITPTDLDVDGDYWFQSDPEVGLTQLWG >CAK8534241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709370072:709373690:-1 gene:gene-LATHSAT_LOCUS3801 transcript:rna-LATHSAT_LOCUS3801 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLVDGIRRFFNRRNSIDNNNNDSIHSNDLNNANNSPSSLNLLKVPKRSHFKSSSMDRIKKGAGEVEFFTEYGEASRYQVQEVVGKGSYGVVVSAVETSTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDVYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYTHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLSGKPLFPGKNVVHQLDLMTDLLGTPPAESISRIRNEKARRYLGSMRKKQPVPFSKKFPNVDPLALNLLERLLAFDPKDRPTAEEALSDPYFHGLSNVDREPSTQAISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLSGGEQTSFMYPSGVDRFKRQFAHLEDNYGKGERGTPLLRQHASLPRERVPAPKDENSHNNDCETPSRINLHSPSGLTNSGDPDAQNGPSHSMTRCLLKSASISGSKCIDVKQSKNPEEETIPEDNDEAVDELSEKVATLLT >CAK8540584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11459624:11462392:1 gene:gene-LATHSAT_LOCUS9576 transcript:rna-LATHSAT_LOCUS9576 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIPIPKPHLQIQPKPVKKGNSCSDFIIKFLFLAIFAIVLPLFPSQAPEFLNQTILTKLWELLHLLFIGIVVAYGLFSRRNAELETNLETQPSGESSNSNNSTTPSYVSKFFPASNIFGDESEIDNSCGFDENKMMHWNNSQYFEGNSNSTVGVFDEQYNTQKLSNSEDNFGYSVRFDDGNGTNVVQSWSSEYYYSEPVVVAQPYYGNGECGEVVGHKPLGLPVRSLKLVEREVDGLRYVDENANDLSSGSVRSSKRLDMSEDREFGDMDPGNLEKEFNDAAIGGGIASPIDWNSRFGRMEREKVYGNVNGSSQFSSISVDETKFDGLGSNSLQSTASFFSHAGMYSSFDPIASDNVNFQDEEMTRKESLFVHASEMKNFQDNDVRWRKTSFVPAPEIMIFEEEEMTRKESSFVHASEMKNFQDKDVRRRKKSVVPAPENMIFEEEDTEQRVQRRDLGKKISEGRSLRNRRMVTKGKHADGVYPANFRTMTVDETQFEPHNSQTGKSAGSFSPNTGIYSSIDSISSDAIDFREEDIMVQKESFPLHTSENMNSQEEVVEQKNTSYVNASENVDFRVEDLGQKKNSFVPVSEDMNFQDADLVKMISQVSSRNETMETGGRYAAVSHPLRFRPISVDEIQLESRSSRSLQSMGSFSSHTSNTSIRSSLDSVSSENMNSLQEGLGEKKSLHGSSSSSSSSSPSSSARRNGETPLQPIEGHGYSIDSLVHGDLKGKSGIVDEDPPRYEESVMHGLHSDSDKPTSLAKVLAKGKSVRTRRISGLTSGTKKFDEIPNKQADDDKVVVKKPKSREPDSILKGISKKTLDCHIPNHHEGIFSSHRKRDKPEPSKNVYKEDSDNKRESIQGSSDDDRVSEYVNDSGLDSEVDKKASEFIAKFKAQIRLQKTGSIERSKGQKMFGDNNVR >CAK8562535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506614882:506615358:-1 gene:gene-LATHSAT_LOCUS16025 transcript:rna-LATHSAT_LOCUS16025 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRASSRGTKAMRYMKSPFKMLIKVKDMYVRAMIRCSTGMAGMDTGYPMQHSFIHPESFRVYSTTASSSSSGDDFKELVKTASLKIRPGGSGVDVGVEAKNVPTPRSRSVGMPTIKEEDEFDEEFVSGYDDGDIIMKVNPLLSQSRSCVIRNGSSMF >CAK8534460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728608073:728610734:1 gene:gene-LATHSAT_LOCUS4005 transcript:rna-LATHSAT_LOCUS4005 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKNNKLEKRSYDVVNEDQHHLAHSKKPKLPGLASVIVEALKVDSLQRLCSSLEPLLRKIVSEEVERALAKLDHDKLGDRSSLPGEKNLQLHFRTRMPPHLFTGGKVEGEQGAVIHVILLDPNTGNVVQVGPESVSKLNVVVLEGDFNEEVDDDWTKDHFESHQVKERDGKRPILTGDLQVSLKEGVGTLGDLSFTDNSSWIRSRKFRLGVKVAPGYCDGIRVREGKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLIQSRIVTVEDFLRLLVREPQKLRSILGSGMSNRMWENTVEHAKTCVLGTKLFVYYTDETNSTGIMFNNIYELRGLIADGHFFSLESLTPNQKMSVDSLVKKAYDNWGQVIEYDGKVLNSLTNSKRGSKSVDTHAMHHNNFQDQQYASAKGRSLYVSSVPNQHLQITSNYLSSPDYQMVGTSVNDSQIALPGTMNYNMSGPENGGAYYQGEWSRQRNGQGLEDIVAEELRLRSSQMLESDDMQRLLKTINEGADFGHSNESCYSYRLEYEPPYGGEDNVKCSGKAVVGWLKLKAALRWGIFIRKRAAERRAQLTELN >CAK8542092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471818732:471820234:1 gene:gene-LATHSAT_LOCUS10963 transcript:rna-LATHSAT_LOCUS10963 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQQSHNQLHVVFLPYPTPGHMIPMIDTARLFAKHGVNVTIITTQASASTFQKSIDSDFNSGYSIKTHLIHFPSAQVGLPHGVENLKDGTSREIFAKISRGISMLRKPIEVVFQDLQPDCIITDMMHDWTVESAAKLNIPTIHYYSASYFSNCAFRFIAKYRPHDDLVSDTHKFTIPGLPHTIEMTPLQISDWLKVKNTANSKLILDLAPTFESEERSYGTLYNSFHELESDYEKLNKTTIGIKSWSVGPVSAWANKDDERKANRGHMEKNFGKEKELLIWLDSKPNDSVLYVSFGSLSRLSHAQIVEIAHGLENSCHNFIWVVREKDKDEDKEGFLHDFEERMKESNKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESVNSGLPMITWPMFADQFFNEKLVVDVLKIGVSVGSKVNKFWLGIVEEIVVKREEIAKVVEILMGNGQEGKEMRTRARNLGDAAKRSIEEGGDSYNNLIQLIDELKLLKKSKALGEK >CAK8571292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364989739:364990199:1 gene:gene-LATHSAT_LOCUS23967 transcript:rna-LATHSAT_LOCUS23967 gene_biotype:protein_coding transcript_biotype:protein_coding MLETFNSVYVIARAKPIVTVIEEIRAYLMMKWESNRKKISKYEGDILPNIKKRITKESEKSNKWLVRRAGEFDYEVRHILYNGEKYFVSLSTKECSCMRWMLTGLSCCHAISCMKSQQLEINTFVPDF >CAK8567754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541661074:541666011:1 gene:gene-LATHSAT_LOCUS20777 transcript:rna-LATHSAT_LOCUS20777 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSRGRCGGCSQRITQLHQLFLRKPFTSTSPPPLHHSSSSSLFAPHPKSTLSSFLHSSPIFYPSATRFGAFRFFSSKSPNLGFNAKKVFDKPAAALTSAFSRYREAMVLQLEAFFKRNQLFLFGAAGVVFCALLWRILFGVANTFVVLSEGMAKYGFLALSSAIVAFTGLYIRSRFTINPDKVYRMAMTRLNTSAEILEVMGAPLTGTDLRAYVMSGGSLTLKKIKPSLRSRRCFLIFPIKGSERKGLVNVEVKKKQGKYDMKLLAVDVPMASGPDQRLYLIGDEEEYRVGGGLISVLRDPVVKAMAATKEFDDLDEIEEEEDAERERQEAERKIREEIEKVENSSDNK >CAK8570501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52614783:52627325:1 gene:gene-LATHSAT_LOCUS23244 transcript:rna-LATHSAT_LOCUS23244 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCFSLSSSHSHFSLHSRHHPSSFSLSTSTPISCSLKPPPDSNNSASPAPAPFRRPSKPLKIKTTTTPPPNPNPNHKPAPISNPLRNPFHSSNPLTNKLWLTSKLSPPPPPPPPPPLPREAESEAEISEEDVEDGEGEVEGESSRTEFRQPGKIFVGNLPGWVKKPNVSEFFRQFGPIKTVILIKGHNDTERNVGFGFIIYDGETAENSAMKAVEFDGIEFHGRVLTVKLDDGKMWREKRLEREKWLHGNDEKEYRSTWHEERDGSRIEFQKVMETAPENWQEVVSAFERIKKPARKEYGLMIKYYARRGDMHHARQTFESMRARGIEPSSHVYSSLIHAYAVGRDMEEALHCVKKMKEEGIEMSIVTYSIIVGGFAKVGNADAADNWFKEAKERLPSLNAVIYGSIIYAHCQACKMGRAEALVREMEEQGIDAPIDIYHTMMDGYTMVGNEEKCLIVFERLKECGFSPSIVSYGCLINLYTKIGKISKALEISRVMKTLGIKHNMKTYSMLFNGFVKLKDWANAFSIFEDITKDGLKPDVILYNNIVKAFCGMGNMDRAICIVKKMQKERHRPTTRTFLPIIHGFAKIGETRRALEIFDTMRRNGCIPTVHTYNALILGLVEKCQMEKAVRILDEMNLAGVSPNEHTYTTLMKGYASLGDTEKAFRYFTILKNDGLEIDVYTYEALLKACCKSGRMQSALAVTKEMSAKKIPRNTFVYNILIDGWARRGDVWEAADLMQQMRKEGVQPDIHTYTSYINACCKAGDMLNAAKIIEEMEVRGIKPNLKTYTTLIHGWARAAFPEKALKCFEEMKVAGLKPDKAVYHCLMTSLLSRATVTQSYVYSGLLSICIEMIESEITIDMGTAVHWSRYLRKIERTGELTEALQKTFPPDWTSHNILAANYEIGSANPEIDDQGDDDIVYYPSESDDDECTHDGDHGNDTCDDF >CAK8561423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203965279:203965488:1 gene:gene-LATHSAT_LOCUS15017 transcript:rna-LATHSAT_LOCUS15017 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWRLLKDEPKWKGQEMNNSSKRSKISSTGTYSSSFNPENPIDCSEYNSATQTDRPAGQKVAKRKGK >CAK8571115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303245764:303250447:-1 gene:gene-LATHSAT_LOCUS23808 transcript:rna-LATHSAT_LOCUS23808 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRLITTPEPSSDPKENTQHKPRQQIDPPISPPKWGFLFKLSLFSIPYLYLIFFHFTIDSDLRTSIIINAGLSLAAFFLTVRMIPVASRYVLKRNLFGYDINKKGTPQGNIKVPESLGIVVGIVFLVVTILFQYFNFTADSNWLVEYNAALACICFMTLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVPHIGIEILDLGWIYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVITYAILIHNIMQIGASKDPEYKLAHAFSIYLVQPLLATSLAILSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLSGYIPCPRHRLPRFDPHTGLLTGTNDGTLVNFFLRSLGPKSEKSLCVYLLIFQGIACGFCFLLRYFLAGWYK >CAK8579381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695030573:695033559:1 gene:gene-LATHSAT_LOCUS31332 transcript:rna-LATHSAT_LOCUS31332 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLIVLAIISIGCVFAISSAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVSFTDDERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDLKLVPYKIVNKDGKPYIQVKVKDGETKVFSPEEVSAMILSKMKETAEAFLGKTIRDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRVMEYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQIRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYIYNMKNQVNDKDKLADKLESDEKEKIETAVKEALEWLDDNQSVEKEEYEEKLKEVEAVCNPIITAVYQRSGGAPGGGASGEGEDDDESHDEL >CAK8533359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607671559:607674312:-1 gene:gene-LATHSAT_LOCUS2994 transcript:rna-LATHSAT_LOCUS2994 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCEAEVALIIFSNRGKLYEFCSSPSMLKTLDRYQKCSYGAVEVNKPAKELESSYREYLKLKTRFESLQRTQRNLLGEDLGPLGTKDLEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEHMLMEANRSLTMKLEEININSRNQYRQTWEGGDQSMAYGNQNAHSQSFFQPLECNPTLQIGTDYRYSPVASDQLTATTQAQQVNGFIPGWML >CAK8536083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905807091:905809275:1 gene:gene-LATHSAT_LOCUS5484 transcript:rna-LATHSAT_LOCUS5484 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRNPQGGTVELNVHSYRDDSAVHNGHGRGSQPSNPPVIHDRDFRLFDRWFPWLVPTFVFANIVIFIVTMYVNDCPKNSFNGSCVASFLGRFSFQPLKENPLFGPSATTLGKMGALVVDKVVHGHEGWRLLSCIWLHGGAIHVLANMLSLVFIGVRLEQEFGFVRIGILYVISGFGGSLLSALFIQSGISVGASGALFGLLGGMLSEILINWTIYANKLAALLTLIVIVLINLAVGILPHVDNFAHLGGFGSGFLLGFILLIRPQFKWISQNRSPSGFAPSVKHKHKSYQYALWILSFVILAAALIAGIVLLFEGVNLNEHCSWCHYLSCVPTSKWSCQEQKIYCQTDEMGNQLNITCMSTGRSYIYPLSSTSSSDMQQLCTRLCRG >CAK8569248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687224667:687227495:-1 gene:gene-LATHSAT_LOCUS22126 transcript:rna-LATHSAT_LOCUS22126 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGASLPLLTIAGLKFYVLVLIFLAIVVSIILITVLCIRRNRSSKKSEMQVKVKHSSGTIPLVSKEIVEVIKIGNAIDDDPKMQKQVECEIEESSTVSVESPSSSSENIGWGRWYSLKELENATDRFSEGKVIGEGGYGVVYRGILQDGSVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLVGYCAEGAQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNARVSDFGLAKLLGSGKSYVTTRVMGTFGYVSPEYASTGMLNESSDVYSFGILLMELVTGRSPIDYSRPPAEMNLVDWFKGMVASRRGDELLDPLIEIQPSPRSLKRALLVCLRCIDLDANKRPKMGQIVHMLEADDFPFRSDLRTREKDSVASQADESKKVLYPTRHAEPVYKASWR >CAK8574890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13765048:13765353:-1 gene:gene-LATHSAT_LOCUS27189 transcript:rna-LATHSAT_LOCUS27189 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGDRSRSILGLSQRSHINTVLVRFFIKDRKPGDTLVAKGDKFSLKQCPTMDLEKEGMHKVPYVSAVGSLMYAQFFTHSNLAFIVGVLGIYLANLGKQH >CAK8566989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478227488:478228051:1 gene:gene-LATHSAT_LOCUS20082 transcript:rna-LATHSAT_LOCUS20082 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPLTNGLESLSELEFLDLSTNQFIGPIPAQLFFRPTLSSLFLQRNNLSCGLPQRPNDGEPSIGSSSYGQGFIVDLSHISLTGQLSTVFDGVESLFLNNNRLMGRVPEEYVNNVCRGSTRTLYLQHNYFTGIPLEKGTVMPDTASLCLSYNCMKPPASLMTCPASAGEELSRPASQCSVFNNSDRD >CAK8540646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15204647:15205630:1 gene:gene-LATHSAT_LOCUS9632 transcript:rna-LATHSAT_LOCUS9632 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSILLLVPIILAFMFTSVNSMRFSLTSGEPKCISEDIKSKAMTVGNYSVVNPGEGYPIPDSHRLTVRVRSPKGNNYHFGNMVTSGNFAFTTAEAGDYTTCFTARERKPPLTVMVDFEWRAGMAERDWYKIAKKDHIEVMEYELQKMFDTVTFIHDEMFYLRGREEEMQDLNRTTDNKMFTFIFLSITVCLSVAGMQLWHLKTFFERKKLL >CAK8573045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579972969:579977980:1 gene:gene-LATHSAT_LOCUS25539 transcript:rna-LATHSAT_LOCUS25539 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGAANTNPNKSFEVTQPPSDSISSISFSSKANFLIATSWDNQVRCWEISKNGTTLNSTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPIKEVAWIPEMSLLATGSWDKTIKYWDTRQSNPVHTQQLPDRCYTMSVRHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHRESSDIYSVNSLSFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMQRCGQPIPCGAFNNDGSIYAYAVCYDWSKGAENHNPTTAKNCIYLHLPQDSEVKGKPRAGSTGRR >CAK8579677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715599316:715600768:-1 gene:gene-LATHSAT_LOCUS31604 transcript:rna-LATHSAT_LOCUS31604 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVPFPSKMLLLENETSSNLLSLVAMETLLSCLPK >CAK8579777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722278448:722280759:-1 gene:gene-LATHSAT_LOCUS31694 transcript:rna-LATHSAT_LOCUS31694-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFILLLLLLTGPQLAPADLDSERAALLALRSSVGGRTLFWNATNQTPCNWAGVQCDDNRVVELHLPGVALSGQIPSGIFSNLTHLRTLSLRFNALTGSLPSDLASCVNLRNLYLQRNLLSGEIPHFLFDLPDLVRLNMGFNNFSGPISTSFNNFTRLKTLYLENNHLSGSIPELTRLTLDQFNVSNNVLNGSVPVKLQTFPQDSFLGNSLCGRPLSLCPGTETNDSSPFSTDGGNTSKKKNNLSGGAIAGIVIGSIVFLLLLVFLLIFLCRNKSSKKTSAVDVATVKHPEADVPRDKSISDLENGNGYASAATAAAAVVAVNKVEANGNGNTAGGAKKLVFFGNAPRAFDLEDLLRASAEVLGKGTFGTAYKAVLESGPIVAVKRLKDVTINEKEFREKIEAVGAVDHQSLVPLRAYYFSRDEKLLVYDYMSMGSLSALLHGNKGAGRTPLNWEMRSSIALGAAQGIEFLHSQGPNVSHGNIKSSNILLTKSYEAKVSDFGLAQLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDKRPSMSEVVRSIEELRRSSLKDEQDQIQHEQ >CAK8579776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722278448:722280780:-1 gene:gene-LATHSAT_LOCUS31694 transcript:rna-LATHSAT_LOCUS31694 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHTSMDPIFILLLLLLTGPQLAPADLDSERAALLALRSSVGGRTLFWNATNQTPCNWAGVQCDDNRVVELHLPGVALSGQIPSGIFSNLTHLRTLSLRFNALTGSLPSDLASCVNLRNLYLQRNLLSGEIPHFLFDLPDLVRLNMGFNNFSGPISTSFNNFTRLKTLYLENNHLSGSIPELTRLTLDQFNVSNNVLNGSVPVKLQTFPQDSFLGNSLCGRPLSLCPGTETNDSSPFSTDGGNTSKKKNNLSGGAIAGIVIGSIVFLLLLVFLLIFLCRNKSSKKTSAVDVATVKHPEADVPRDKSISDLENGNGYASAATAAAAVVAVNKVEANGNGNTAGGAKKLVFFGNAPRAFDLEDLLRASAEVLGKGTFGTAYKAVLESGPIVAVKRLKDVTINEKEFREKIEAVGAVDHQSLVPLRAYYFSRDEKLLVYDYMSMGSLSALLHGNKGAGRTPLNWEMRSSIALGAAQGIEFLHSQGPNVSHGNIKSSNILLTKSYEAKVSDFGLAQLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDKRPSMSEVVRSIEELRRSSLKDEQDQIQHEQ >CAK8575240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57723007:57726426:1 gene:gene-LATHSAT_LOCUS27519 transcript:rna-LATHSAT_LOCUS27519 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCVEPQWPADDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTIHTRTVAIVMATAKILTAAVSCATALMLVHIIPDLLSVKTRELFLKKKAADLDREMGMIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPINLPVINQVFSSNRAVKISSNVPVARLRPHTAKYMPGAVVAIRVPLLNLSNFQIYDWPEVSTRSYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDMARREAETAIHARNDFLAVMNHEMRTPMHAIIALSSLLQETDMTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSFQLETATFNLHSMFREVLNLIKPVACVKKLSLTLHFAPDLPVYAIGDEKRLMQTLLNVVGNAVKFSKEGSISITAFVAKPESFRDIRFPDFLPVPSDGHFYLRVQVKDSGSGVNPQDIPKLFTKFAQNQLATRNPVGNGLGLAICRRFVNLMEGHIWIESEGIGKGCTVSFIVKLGIPDRSNEFKLPYKPKALVNHGSTNIAGLKILVMDDNGVSRSATKGLLMHLGCDVTTVGSSEECMRVVSQEHKVVFMDVCTGLDGYELAVRIQEKFVNRQDRPLIVALTGNTNKWSRENCTRAGVNGLVLKPVSVEKMKGVLIELLERRFVFETV >CAK8538624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489377083:489377379:-1 gene:gene-LATHSAT_LOCUS7804 transcript:rna-LATHSAT_LOCUS7804 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKETRKKEIEKKNRKYRFCVCGARHSFLTLVTSITFNTVVTRPSRSSRSTRPSQDHDDRHEPSIRIKQKSTRPSTKFLPALSPLTAHYWKLLPLPP >CAK8564351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669200009:669222892:1 gene:gene-LATHSAT_LOCUS17666 transcript:rna-LATHSAT_LOCUS17666 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLKTLFLEILLLLPLSSAVDDDLSLFPKNFLFGSGSSSYQYEGGYNIDGKGQSNWDNFTHGGGRSMIVDGSNGDIAVDHYHRYKEDIELLEALKVNSYRLSLSWSRILPKGRFGEINWAGIDFYNKLFDALLLKGIQPFVTLSHYDSPQELEDRYGGWLSPQSQQDFAFYADLCFKTFGDRVKYWVTFNEPNNQVPLSYRSGIYPPSRCSGSLAMANCKEGDSEKEPFIAAHNIILSHAAAVHLYRTKYQVEQRGRIGIVLQHEWYEPMSNSPADKLATERARSFTFNWFLDPIIFGKYPKEMENILGNILPKFSTNEKKKLKKGLDFIGINYYTASYVQDCIHTKCDSRFGISTTEGSYMTSGEKNGVSIGESTPFSWFNIYPQGMEKTVTYVKDRYNNTPMFITENGYGQQDDPNFTLEDQVNDFKRIQYMENHIEALSIAIRKGADVRGYFAWSLLDNFEWIYGYTIRYGFHHVDYATLKRTPRLSATWYNQFIANYTETKLSGIDKLVQST >CAK8560565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26043618:26044985:1 gene:gene-LATHSAT_LOCUS14227 transcript:rna-LATHSAT_LOCUS14227 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLETINGEAKHLTKGGDVHEEDGIVFALNLITSVMLPLTVRSAIELGIFDILAKNGKDAKLSADDIAVKIGSKNAEAPAMLDRLLRLLASHSMLHCSLSEEKQGLGSPQRLYSLAPASKYFVTDADGVSLGPTLNLPLDKVFLGSWTEMKGAILEGGIPFNRVYGMHAFEYPTVDPRFNDVFNNSMVNCTTIIMKRILEIYDGFEHINKLVDVGGGLGINLKLITSKYPHIQGVNFDLPHVLEHAPTYEGVTHVGGDMFESVPNGDVIFLKWILHDWSDEHCLKLLKNCHKAIPGNGKVIVVDSIMPVFPESTKVANLGFQSDLLMMAQNPGGKERTEDEFKELALRSGFSEMKIACNFSGFWVLEFLK >CAK8535526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858207012:858210727:1 gene:gene-LATHSAT_LOCUS4980 transcript:rna-LATHSAT_LOCUS4980 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNKTIAEEPLLLPASDAPPTHHESDGELERVLSDTTVPYFRRIRSATWIELKLLFFLAAPAVFVYLINYVMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAEKYEMLGTYLQRSTILLTITGLFLTVIYILSKPILIAIGQSPRISSAAALFVYGLIPQIFAYAVNFPISKFLQAQSIVLPSAYISAATLVVHLVLSWLVVFKIGLGLVGASLVLSLSWWIIVVAQFVYIVKSEKCKKTWNGFTVEAFSGLPEFFKLSAASAVMLCLESWYFQILVLLAGLLPEPELALDSLSICTTILGWVFMISVGFNAAASVRVSNELGARNPRSASFSVKVVTLISFLVSIISAIVVLALRDVISYVFTEGEAVAAAVSDLCPLLAVSLVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIAGIPLGAILGFYFNFGAKGIWLGMLGGTSMQTIILMWVTFRTDWNKEVEASVQRLNKWEDKKEPLLN >CAK8532191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218223299:218224042:1 gene:gene-LATHSAT_LOCUS1922 transcript:rna-LATHSAT_LOCUS1922 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNRFYDISAVEVCQHFYWQIGDFQVHAQVLITSWVVIDIFLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFQLFGNILADELVVVVLVSLVPLVVHIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8542571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526414051:526417508:1 gene:gene-LATHSAT_LOCUS11399 transcript:rna-LATHSAT_LOCUS11399 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLYLSFLFMFLFYSEASLASIKSNNRRILHEPFLPLNSLPPSELPKPPPPPPPPSPHSPPSTPNPKHPFSTTIPTDNNPNQNQNQNESPFFPNYPLSPPPPSPLTFASFPANISALILPHTPQPASSSNKLVPIALSATAIAAAAVIISGFLYFRRRRYNRRASTDNKTLRSDSSLELFPRNVETAVEVGGNASDFLYLGTVVNSRQIDIVPPRGGASVSRKLESPELRPLPPLARLPEPPLPPPRGDTYSDEEDEEFYSPRGSSLGTRESSGGTGSGSRRAFSAIAAGKSNESSSVSCSSSSFGSPAQSHSMSLSPPASSSPRRTQQKSPETTPIQNQHVQYCSSSSSSFSSSRTTPERDFDKEKENASLPSNAHASSSSSLSLQERFMEKTENASLSEQPPRLSNHSSASSSAFSLPSSPEKNMMMHQGFDQSPRMSSVSDMSKLPGLSSLPLSPALLSSPETERGGFSCNPNQWGTFSYAMPALQRKHWEVPVLPKPIAPPPPPPLPRQRRHWEMSATSAIVDQPRSILKPPVLVPPSRPFVLQNQTTNESLGGNFEESLKPKLKPLHWDKVRTSSDREMVWDQMNSMSFKLNEEMIETLFVVKPSSQNSKDDTPRSVLPLPNQEDRVLDPKKSQNIAILLKALNVTIEEVCEALLDGSSDTLGAELLESLLKMAPTKEEERKLKEHKDDSPTKLDLAVNFLKAVLDIPFAFKRVEAMLYMVNFQSEIEYLRKSFQTLEVACEELRNCRMFLKLLEAVLKTGNRMNVGTNRGDAEAYKLDTLLKLADVKGADGKTTLLHFVVQEIIRTEGARLSSTNQTTNSTLTDDVNCRRLGLQVVSNLSSELSNVKRAATMDSELLSSDVSKLSKGARNIAEIVQLIEKAGLDETSKKFTESMNNFVRMADDEIMKLQAQESVALTLVKEVTEYFHGNLSKEEAHPFRIFLVVRDFIAVLDRVCKEVGMINERTSVSSAHKFPVPVNPMLPQPLPGLHERKHCRISSDDESTSP >CAK8569949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17271814:17275378:1 gene:gene-LATHSAT_LOCUS22745 transcript:rna-LATHSAT_LOCUS22745 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQEPPLSSEAQSKKAAKKEAAKQEKLRRKQELAAATAAASSLSVEDDPLAVNYGFVPLIEIQSKTSVDVNEWTRVEALDDSVANKPVKIRGRAQTIRPVGKKMAFLVIRENGFTVQCLVQAQPDAVSPQMVKFAAALSRESIVDVEGVVSIPAAPIKGATQQVEIQVSKLYCVSKAIPTLPINLEDAARSEVEIEKAIQAGEQLVRVNQDTRLNFRVLDLRTPANQGIFRIQSQVGNAFRQFLLAESFVEIHTPKLIAGSSEGGAAVFRLDYKGQPACLAQSPQLHKQMSICADFGRVFEIGPVFRAEDSFTHRHLCEFTGLDVEMEIKKHYFEVMDVVDRLFVTLFDSLNTNCKKDLEAVANQYPFEPLKYLRKTLRLTYEEGIQMLKEVGVEIEPFGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDNSNYSNSFDVFIRGEEIISGAQRVHVPEFLEQRAQACGIEVKTISAYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLYPRDPLRIAP >CAK8563783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630912919:630921139:-1 gene:gene-LATHSAT_LOCUS17158 transcript:rna-LATHSAT_LOCUS17158 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFADDDFGELYADLQLPLLPTQPHQQRDNDDCVVSNNNADASDAAVSDAVANDDYTGTDSDDGLDIVLNDDDCRGCDEEAHGGLDNNGGGEGFEQTKVGSEFVASDGVKGGYGSQFFRSKFMKTQGSVFVNNAKAGNCSSFPQGRGDNIQNRTSSSSYFDGSYLPWHWKIYDVNIDKFEEKPWRLPGADITDYFNFGFDENTWKQYCLSMASTQEQFDQPVSGSLPSRSSKCEVPKGRAIQVEDSVVERQPSIHVRRPRDIDSDVIIQIKVQGSPGNDSGSVKSNLHDLCDVGELISGNNRDKSNSSSESDVLSDERLENAKKSEESSGQERNELIPNVVKVQHPDEEDRDSEDDKLLEEEIKTERVGIDTCSADPCWSEPELSLGDQELSLTSYSDSDSEGTEDSLHVYNEQNHSPLRSHLVSSDTNLKESLSLYDKTSKNISVNRKPVNISYYSRNRGAVQQDQRHQSGSRHMPSSKLKKNIENDNNVSHIPRSSGRNLSPWGHQFVNYRSDDRLQYFGSHERNDVSYNWETKQTCYYGADKNVDDLDHAVYSEYSDRESEDRFRENENQYIIKSRDKRECVFEQRTLIKYNEDSGWHAASRKHYVDDHPSLLSYRESRQFLPKHSSFPAKDREDRRKIKHYRPHFKDRNCDFDPYFDEDEFEILNRSYRMPSSFAKRERESMNSTHEEQFLQSDRVLERYSGRGRHHHRPPLVADTLWSGEPEDKFSDYASNQNSYLKYQRQPYTDSERYYMHRESDGFRGHERHNNATNRGNDWHRDYIDAAEEDWTTSPVDKCEFYPLPSEVPHWSNNDNIHWHHDGSGLHPDEGAIFYEETPRHERHERNITLHARMQRHDIKLQQHRIILSKRDRDSFLKRSSKVMSRDYCHQTVLKCRKSVDMVNREGKSAKNSRVTCNDRLENVDQGIAEKRKALVGFDDSRKKAIKFDNSNPQCDNRNKKPLQNLSDIGQKKALDVEEGEIVTEEPCVEVSVSRKGVSEDAAVADSGKKQNGNNSELHTGNIDSVKILVTLAKMEKRRERFKQPISLKKEAEKSLEAEKSLKLNTESTVDIGETKQHRPARKRRWNGG >CAK8575496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:140437185:140437542:-1 gene:gene-LATHSAT_LOCUS27755 transcript:rna-LATHSAT_LOCUS27755 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPISTVASESAFSTGGRVLEVYISSLKPEMAAALIYAQNWLRPSFYQFKDLEFNKEYEIYEDVLQGFTETSAGSGVSSSSPTQSQPSSCA >CAK8541353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:133124494:133125381:-1 gene:gene-LATHSAT_LOCUS10278 transcript:rna-LATHSAT_LOCUS10278 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEVLCPCVVCCNDSWEVRDVVYDHLCSKGFVKGYTKWIYHGEDESLMDLDDDSDNETSSHDDIDGLLFETFKDVADGGGVHECLNEDAKKFYKLVHDAKQELYPGCEKFSSLSFTIRIYLLKCLHGWSNASFTALLELLKEATPDLNIPVSLNKTKSMIKDLGLDYKKIGVCPNNCMLFWKDHGKNDSCHICGASRWIKYPEVANDLKDSIKAHKVSAKVLRHFPLIPRLKRLFMCLKLQVLVLNNFKFTTKSNISLYVF >CAK8568340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597232877:597235620:1 gene:gene-LATHSAT_LOCUS21303 transcript:rna-LATHSAT_LOCUS21303 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFNPESIDDDSVSFSPQSTFLRRVSSLNPYQTQTPNVNVNQNQNPSVSDRRFVSQIQNPWNENQTLEDSFSRLSVGNPSSGYGGSSFVDSRYYNHGVQATNCCQNQETAFGFNGAGYGYGRGRPLMMSEIDRVKYNQIEFLWRQNGYGYGNSYGYGYGYGYGNSNGYGYGYGNGNVNNLLNGGVSVAGNGGGNGLGGRLQYGLLNESSGPLVNVNGIVNDNGRRSYWFDQIRGMVYLMAKDQYGSMILGGLMEKFGREAVSYIFTEVIDNVSELMLDEFGNVVIQKMIGLCNQNQLTRVTLMVTSYECQLVKISVDIHGFRSVEKLCENATTRDQRILIMSAFNPAAILLSKDVNGHRVALSCLRNFPQEDTKIFLSIIATNSLSIARDKTGCCVIQYCASHAQGEVKNRLIDDIVLNAPLLAEDCYGNYVLQHLLSMKIQRISGNLHRQLEGRFVYLSCNKYGSNVVEKFFHDAGVHLSEKIIAELLNSPNISRLLVDPFGNYVICTALVKFKGNPYLKNALLDLIQANSLMMRSNMFGKKLLDRADKELRNM >CAK8535884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888701986:888702507:1 gene:gene-LATHSAT_LOCUS5303 transcript:rna-LATHSAT_LOCUS5303 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNRTPSNKKDDKESKKKLIDGNKDVDPQLWHVVDGGMVQIPQVNSKIFYFPQGHAKHAYQSVIFPADFIIPSQIPCGFAAIYYRADPDTDEVYAKLRLVPLQISEASFDDDDVAGIDNMSETNNRHWSYMKTLTQSDANNGGGFSCSMYCAESLFHPLDYSVMLPSQVRN >CAK8570842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126956733:126957221:1 gene:gene-LATHSAT_LOCUS23557 transcript:rna-LATHSAT_LOCUS23557 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSINLRGGSSVVKRRRLAHLIQSGKVDICFVQESKLVAVNLEMTSSLWGDPNVECSESGACGASGGIIILWRKGILNLNFSFRGVGFRKFWSDLMGMKSRLNRGCWIVSGDFNAVLRDNERKRVSVSGRRTEM >CAK8530752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47065451:47068585:-1 gene:gene-LATHSAT_LOCUS595 transcript:rna-LATHSAT_LOCUS595 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNEMSSLTTSRLSAQAKPFTLNRASTLSPKPSTKSFDHCDNDDGVDDDPFSSLLDSFRKSNLGSKGCSVSLNGTVKTTTLPDEGASRGVSVFERNPFRELAKNGDFDVINWTQFEVGSSAGDVSMLQKVKPAVDGLNHHLTASEGLFEKSTGIIAGKDILSNSKGSKQSADESSSFLKAQNKVAPLKISRDISSAKSAPQNQFLNILGDSDADVDSPCWKGKAFSLIPSEISQSVQFHHVEKATEKHNTLNPRAPQFFPGIGYVTDDFLSSNSGVPVTTNLLSGEDILMKTVTAEFLVELNKEELRYSTNTNGIEKAFNMVNNPGSTSMDPMLNSHSTMTHPCSKKDFTTSKGKHVTIGDVDDSVKGAGNPWASRSTMSDVFPTKGYYPIAHASSSQVNVYTDLLKTFEGLSKSLIESPKPDVKIMVGAMHVLSELLAQTCVDGVDSNSEHDLSMTTILEIVNNLNDFHAKVGGERISISALDSAPANSPFSLDSSLKLTKGLEMANVETLTVPHQLYLQNDYVGRNMVSNVIGQSELSSFASSSGGGTKKSNEVGQLQVIRRILGKNLDFDKQMPPETSLFWNLWLDSEAERCFRKFKTYHWLMEAGVDANCKNVAELWR >CAK8532774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:512224129:512224365:1 gene:gene-LATHSAT_LOCUS2446 transcript:rna-LATHSAT_LOCUS2446 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGQTQVHGIDEAVLDDIIHRLTEVRLSRPGKQVQLSEDEIKQLCLASRDIFLQQPNLLELEAPIKICGTYILTPF >CAK8561449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:221799124:221803005:-1 gene:gene-LATHSAT_LOCUS15039 transcript:rna-LATHSAT_LOCUS15039 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNSIRTLKDFSIPDYILLARTEPRGVTHLPACPVVVFINSKSGGQLGGELLVTYSSLLNQNQVYDLGINAPDKVLHQLYANLEKLKHNGDNFAAEIQNRLRIIVAGGDGTASWLLGVVSDLQLPHPPPVATVPLGTGNNLPFAFGWGKKNPATDVQSVMSFLNNVKAAKEMKIDSWHIIMRMKAPKEGSCDPVAPLELPHAMHAFNRVSSSDKLNLEGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPERFKNQLYNQSAYLKLGCTQGWFMSSLFQSSSRNIAQLTKVKIMKKGQWEDLPIPRSIRSIVCLNLPSFSGGLNPWGKPNRKKSIYRDLTLPFVDDGLFEVVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKAAADCTFMRIDGEPWKQPLPKDDATVVVEISHHGQVSMLATPLCRSKSMQDGDVPSSSSVEHDEDDSSDEELSESSEERRKFGAAETFKYTDGVDMSHKLV >CAK8568805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642421236:642434225:-1 gene:gene-LATHSAT_LOCUS21729 transcript:rna-LATHSAT_LOCUS21729 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKEKDPEFKWGKKKGFGGKNREVTFYESFIYDGVAYTLYDSVYLYKEDEPEPFVGKIIRIWESGNKKKRVKVLWFFRPCEILNFLEGYEAGENELFLAAGEGLGLANVNPLEAIAGKCNVACILKDDRNPQPLDVDLQNADFVCYRFFDVGKHTILDEIEDKIAGIEVKNILNNLYSRKLGGLSKLGLVEKEVSVKGTTSNEAATLSCEKNNQLLVEKLDGKCFDNVDSRDKTLPQAKEKDNGVYTTSLAKQKSYAKLSHCSRDSLEMKEISKIGGNTSIDKTLLKSKINLEMGGHNIVGIPDQQINKRLGEGKVSEKEKYGISSPKITTNVKNRKNYDEYDDVKEVPSKKPKIGIVLVKHSEDKLPDKQINKRLGEGKAFEKGKYGASSARKTNNVQNRRNYYDNDDDDEKEVPSKKPKIDTMPGKLACDMLQKDYRVMEVTHRPDVDRRKWFKPMPWEERMKNACEQGKLVRLENLDPSLTSSEVQNIIWHGFEESCTAKMIQKTAYSSPHSGQAFVIFKRKEAAESVVRKLEEGCFLMSNGRPLVGSFEHPCLSGKKPIFYGHHAIDQPRQRETKDAVSTSHCSQPNNIEYDMALEWCLLQEKEDKTWRRLYKRQGEELSKLKAKLKSKI >CAK8533641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644568038:644568517:-1 gene:gene-LATHSAT_LOCUS3253 transcript:rna-LATHSAT_LOCUS3253 gene_biotype:protein_coding transcript_biotype:protein_coding MDENPNRISSSKITRHNKRFDSADGEADLIECSGKYCKSCTSGLVADCVALCCCPCVVLHCFALAFIKAPWIMGRKCLGLGRKNKNKKKKCCHKKCKRGPKDVDDVVLEGEKENDLNVGWPASPMDNVHVNVGFEAEKVWHELYQIGHLDFGRISFSNV >CAK8537125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:103387516:103391394:-1 gene:gene-LATHSAT_LOCUS6439 transcript:rna-LATHSAT_LOCUS6439 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGEDGRGYELARSLESNGVWRKWLGDSHYTTFAQFLSSPSAWDSFMASDSSQSALHIHLQLRVRALLFDKASSVSLSSKPSLSKLNPNFLRLHADDIYFTLDSSNSPSSSNSKVGSRYADSELPETWYNQVIENFKANKKLVMWDRELTTKRSPSEMASYITCSSNRKKRRVVFKEEQHQVMDQSNGGNLVVDDDEFVFPEITYAWNCVPESAIPVSERVENNNNQKVRINSVLDTLPMIRNPLMTERLGNRAESVNGEHGGGLYRGKSGCEEYGRVLGHEEARKLSQKVVARILLGAGFEASMEGPIEYLSEVMSKRVLKIGTNLRVLTDCYKKQCSAIELLKMLLKTMGFSNFAPLVDVVKDGSKNLVQQGQLHAHGIQSQLQSQQQNSLRLPQQVQMQRQMHPQMQQMINSHSLTFQQQQQLQLERMRNQQSNPRPAMDVNKERPLVQVKIESASDLPSDGNAFNSRHPQMQFRQQQLAAMSNFHPQSNTQFRQMSSLQTPQMQSQNNISMIRAPPVKVEGFQELMGGDSSTKLDSEENRLTSPSSK >CAK8540146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542005050:542007861:-1 gene:gene-LATHSAT_LOCUS9179 transcript:rna-LATHSAT_LOCUS9179 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRFCDYNNWSNLYNKARNLISTQRSSSATFAAMTDLNILKTKLCIIGSGPSAHTAAVYAARAELKPVLFEGWMANDIAPGGQLTTTTDVENFPGFPEGILGIELMERCRQQSVRFGTEIFTETVSKVDFSSRPFRVFTDTRTVEADSVIVATGAVAKRLPFTGSGDGPDGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSEVYIIHRRDTFRASKIMQSKVANNSKIKVIWNSSVVEAYGEGETKRLLGGLKVKNVVTQEVSDLKVSGLFFAIGHEPATKFLDGQLELDYDGYVVTEPGTTKTSVEGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHFLQNIGSQQDKSD >CAK8570929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:174987876:174988205:1 gene:gene-LATHSAT_LOCUS23637 transcript:rna-LATHSAT_LOCUS23637 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGNNVAILHNVTLGGTGKACGDRHPKIGDGVLIGAGTCILGNVSIGDGAKIGAGSVVLKDVPPRTIAVGNLAKLIGGKDNPIMLDKIPSFTMGHTSYISDWSDYVI >CAK8568016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:563220246:563222346:1 gene:gene-LATHSAT_LOCUS21018 transcript:rna-LATHSAT_LOCUS21018 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVPMKTTVETSQEALPLAEPEPKPIPEPEEKPVENKTEENATETEASKPEGDEKIPESGSFKEETTIVGELPEAEKKALQELKQLIQEALNKHEFSSYSTPSPNTPDEQKPEPAPEAESQPHAEENNKKEDLNNEQVSETESVVAVSTADDDVTPPPPPPPPPTTTTETEKPNEGAKKEETEVAASSIDEDGAKTVEAIEETVVAVSSSIPVEPKAVEPSSPEKQQQPAPEEVSIWGIPLLLDERTDVILLKFLRARDFKVKESFTMIKNTIRWRKEFKIEELLFDENLNDEHLQKTVYMQGHDKEGHPVCYNIYGEFDNKEVYKKTFSDEEKRQNFLKWRIQFLEKSIRKLDFNPGGISTIVQVNDLKNSPGPTKWELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSAETLLRYIAPEQLPVKYGGLSKDGEFGISDAVTEITVRPAAKHTVEFPVTENCLLSWEVRVIGWDISYGAEFVPSSEGNYTVIIQKSRKVGASEEPVICNSYKIDEPGKVVLTIENSSSKKKKLLYRLKTKTSNSD >CAK8542452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516278584:516279870:-1 gene:gene-LATHSAT_LOCUS11291 transcript:rna-LATHSAT_LOCUS11291 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPLFISEDLIAEVLSVLNVKSILRFRCVNKHWDTLISDPTFVKLHLKQSAKRNPHVILKSDHTKSIPGESPYGSDDESECARGIIPHSISSLIENPSFTVYVDSFYIVEDKGCSSMVGSCNGLICLAGFTTTREYLEDWFRLWNPATRTTSPKFGFLRLFYNRPDFTSSYANDGYYKFTFGCDDSTGTYKVVASRHNDRELRSNVRILSFGDSVWREIESFPVDPLCLRSCCDNGVSFKSTLNWLAVHNTIFYIGDDYKDITIDQFSIVSLDLRSETYNQYLVPHEFDEVPPNAPIIGVLGDCLFFSSRYKETDIIIWQMKKFGVQDSWTQFLKISCHSLQIDYDYSEYMKYHFKLVPLFLSKDGDTLILYCILEHPTILYNWRNNSVVRTNITARKIITDDETSNCISCSANVYFESLVSVFGV >CAK8544681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699205572:699206180:1 gene:gene-LATHSAT_LOCUS13338 transcript:rna-LATHSAT_LOCUS13338 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNGRGEGENVKCILDRSIATESFINRFSPIKINHLPRYGSDHVTIRIDLEADIFGHGRKERHLFRFEEVWSREPRCEEYVARMWNNDAVRGHRKLVVMQGLDDLFQEYRRSIVSKDIGRIEELMKDKCIWDANLEDIKVYQALENQRNNLLQVEEVILRKRSRVLWLKHGDKNNHFFHGKADQNRKINAITKLKDDNGVW >CAK8539135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504931832:504934868:1 gene:gene-LATHSAT_LOCUS8260 transcript:rna-LATHSAT_LOCUS8260 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSMAVKSFKGNFPFRVHHTPLLRNLSTWTFPGNGAANQQVNKSESSEEFEQRIFSPGRNSKTDAILDRLNQQARGRDRSGGSSQLLDDLEQSFDTLSDGLDGKLNNAARYFEFDPDEIDKDDYSYRYDTTFHQGSTYSTKDLDLTKPAARKPAIRSEFVVSTKEVLSQADFRNVRFLANFITEAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFIYGRTMENLDDDFSYRSETRNMPSEIDIEDHV >CAK8575207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52627508:52630442:1 gene:gene-LATHSAT_LOCUS27491 transcript:rna-LATHSAT_LOCUS27491 gene_biotype:protein_coding transcript_biotype:protein_coding MDATHTMFCNNDIVNPLSSIGMQVSCILVVSHFFNVLLRSLGQPGPIAQILAGLILGPMSHIPYIQKTFFPPSSINYYEVVGFFCRIHFMFLFGLETNHQYTLRNLRLVTLIACGGALVGAAFGLSVSFYLYQNLHLSLNFTYFCLVIMLMVSYTSSPMVIRLSAELRFAASNIGRIAVSSALITEMGCLLFFDIVVCWKRQNHISNGFLCTITTSFVILINKYLAEWLNTRNRNQKYLKAPEVLLIHFILLTSSMVIEILGYNSIISCFIIGSLFPKEGKTARTLIYKLGYSIYNFVLPVYFGYMGLQCDLIQVFRSLSKTTDTAILILLCIGSKLGGTLLVCRFLNIPTNEGIFIGFIMNTRGYADLLFLADVAKHTLELDEDAYNMLIVSIILNTVISGIVVAFLAKGESKMFSNNHTTVEPQQMEDELRILACVYDPRQVSAVLATILAMHGSRTSPSTTYLMHLIELVKKIKSNLLYHEKENGSLSDDEDSFGGNDVVDINNALDAFTLDTKILIHQKKTVSSFPSMYEDVCNEAEDLKVTIILIPFHKHERIDGKLESGKESIIVTNQKILRHAPCSIGVIIERGLTKTFGFSELIESEATQNVATLFFGGPDDREAIAWSLRISKCPHIKLTVIRFLPLSASSQNEPIHENGVQYNEKEILMSLSGEESESNEIDNAFMVDFYNRHVTLGQIGYVENFVRDGKQTLECLKEVGDVYSLFVVGKGGRRNNSLTIGMSDWEECPELGTVGDVLASSDYDIHGSVLVIQQHRDVKKGLIHY >CAK8571441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:417579641:417581323:1 gene:gene-LATHSAT_LOCUS24107 transcript:rna-LATHSAT_LOCUS24107 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKFLSNSLILVTILLLSIITWTIAKEVEDEHEFDYIKGSKKGPSHWGELKKEWEACKNGRMQSPIDLSNHRVRRVSNLGKLKKNYKTQNATIKNRGHDIQVKWEGDAGSININGTNYFLRQAHWHSPSEHTINGRRYDMEVHMVHESSKRKGKSKIAVVGLLYKIGRPNPLLTKLSKYVKAMVDIEAERSIGAIDPSKIKFDGKKYYRYIGSLTIPPCTEGVIWTIDKNIRSVSRAQIKLFREAVHDHAERNVRPIQLLNKREIQFYGPKLKE >CAK8532915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549372854:549374062:1 gene:gene-LATHSAT_LOCUS2576 transcript:rna-LATHSAT_LOCUS2576 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISLSSHNILLLKHHSSSTSSSPQQHHPHHSLIHHRNNIKSFQNPTSKKPFFHQPNSLLSHNDTKPSSLPSLMLYYAENALFHQSQTTWEQLLNSSFNPSFNFISKLFKSYTKNQNFDQIINVLHSLNSKNSPLLPQFYSLAISSFGSAGNLKLMEEATHEMVSKGFLMDSKTGNEFLLCYAVYGSLKEMENAYGRCKRSRFLIEINVIRAMAYGYIKKRKFYELGEFVRDVGLGRRNVGNLLWNLLLLSYAANFKMKSLQKEFIRMVELGFRPDVTTFNIRALAFSRMSLFWDLHLSVEHMRSEKVVPDLVTYGCVVDAYLDRKLGRNLEFVLHKMDVDDRPRLSTDPFVFEVLGKGDFQLFSEAFLEYKREERKWSYRVLIGKYVKKHYRRDHIFWNY >CAK8533871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666323804:666326069:1 gene:gene-LATHSAT_LOCUS3460 transcript:rna-LATHSAT_LOCUS3460-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFVGIRLPVVACYRSSNVAVVSSSSSRLNRTFKNAPRRMLLALGASSFLSHFTTNMYASSGKSFLASARISSGPSVDQILKNVEWPEQFPFKDEDFDRFDESSDSTFYESPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVSILDMCSSWISHFPSEYKQERVVGLGMNEEELKRNPVLTEYAVQDLNVNPKLPFEDNSFDVITNVVSVDYLTKPLDIFKEMSRILKPGGLAIMSFSNRCFPTKAISVWASSGDADHVMIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSAA >CAK8533870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666323801:666326069:1 gene:gene-LATHSAT_LOCUS3460 transcript:rna-LATHSAT_LOCUS3460 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNFVGIRLPVVACYRSSNVAVVSSSSSRLNRTFKNAPRRMLLALGASSFLSHFTTNMYASSGKSFLASARISSGPSVDQILKNVEWPEQFPFKDEDFDRFDESSDSTFYESPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVSILDMCSSWISHFPSEYKQERVVGLGMNEEELKRNPVLTEYAVQDLNVNPKLPFEDNSFDVITNVVSVDYLTKPLDIFKEMSRILKPGGLAIMSFSNRCFPTKAISVWASSGDADHVMIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSAA >CAK8573408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:610130043:610137527:-1 gene:gene-LATHSAT_LOCUS25855 transcript:rna-LATHSAT_LOCUS25855 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGFWNRQQPLLPSPGLLKRPRTEYDMSSSGVGLNSGNELHTYIAQNDGHQMPNDVKTLGSSYDRYLQNAGLTSGEASVIGGVGLARAVGGMPGHSLGNPSIMGRPGGGPDLARNGHNVNFGGQLPMGAVSRPRPETIPLPPDASCTLYVEGLPSDSTKREVAHIFRPFVGYREVRLVTKESKHRGGDPLILCFVDFVNPPCAATAMNALQGYKVDEHNPDSSYLRLQFARSPGPRNGPGPRGKR >CAK8562000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424579689:424580753:1 gene:gene-LATHSAT_LOCUS15538 transcript:rna-LATHSAT_LOCUS15538 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVSAIGFEGFEKRLEISFFELGIFVDPEGKGLRSLTKSQIDEILGPAECTIVSSLSNEKVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPILKLSEALPLGVRSVRYTRGSFTFPGAQSFPHRSFSEEVAVLDSYFGKLGSGSMAYIMGGSDNSQKWHVYSAASESVSLIDSVYTLEMCMTGLDREKASVFYKEQFSSAVLMTANSGIRNILPDSQICDFDFEPCGYSMNSVEGPAVSTIHVTPEDGFSYASFETCGYNLELMNLNQLVERVLTCFQPNEFLIAVHVDVESNSFAENCLVNVKGYCREERFYQELGRGGCVVFQKFTKISRCSSPVSTLKCWKAEGEE >CAK8568787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641136496:641141262:1 gene:gene-LATHSAT_LOCUS21712 transcript:rna-LATHSAT_LOCUS21712 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLFVFALSVALIFVIGSSDADVSIEESDSSALKIQLDQLNTKIQFLESQISEKSEELKKKDEIIAEKEKLFNDKASAIQSLQNEVNSLQKKGSLDAEERVVKSNARAEELQKQVDKLKSELESQNSEKGNWETRVDELEKKIHVLNSKLEKIQKINEEQKKQIRKTERALKVAEEEMLKAKLEATFKAKELSETHGAWLPPWLAVHYLRSKSVVETHWNEHGKPVLEVITQKALEKKAQAGKWAEPHLETVKTKWIPAANEHWSAVKTKVEPHVQLLTTKTVEVYKSSKDVLTPHISKGLECVDPYYQEVRKFSKPYIDQVATAAKPHVEKVQVVLKPYTKKVVHVYGNFLESATAYHSQVQATVQETLKKHELTRPLATKELEWFAASALLALPIILLARAFSAVFCKKAVKPARSGHSHHARRKAKRGHPDK >CAK8533743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656290558:656290863:1 gene:gene-LATHSAT_LOCUS3343 transcript:rna-LATHSAT_LOCUS3343-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKEWYHNLGAFKQDELHRLETTGSVLGVLHREVIGDMEIFDRKSKQEFFGMKCCSLKTKDLDKHYHRMAQRYYVLNGYNDPSLKNTYVSSLPQELQP >CAK8533742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656290504:656290863:1 gene:gene-LATHSAT_LOCUS3343 transcript:rna-LATHSAT_LOCUS3343 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIEEFCCRMTGTMKEWYHNLGAFKQDELHRLETTGSVLGVLHREVIGDMEIFDRKSKQEFFGMKCCSLKTKDLDKHYHRMAQRYYVLNGYNDPSLKNTYVSSLPQELQP >CAK8568595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621490877:621491503:1 gene:gene-LATHSAT_LOCUS21537 transcript:rna-LATHSAT_LOCUS21537 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIPLCFLISLLVLLFNTQPLQGAEPEPVVDKQGKPLQPSEGYYVFPLWADNGGITLSPTRNRTCPLDVIRNPAALGSPVYFSAPGLDYIPTQTDLTVEIPILGSPCKEPKIWKLSKEGSGFWFVSTGGAAGNLVSKFKIERLEGEHAYEIYSFKFCPSVPGVLCAPVGTFEDSDGTKVMAVGDGIDEPYYVRFQKVSTFAQGLSSV >CAK8566484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428375543:428378263:-1 gene:gene-LATHSAT_LOCUS19611 transcript:rna-LATHSAT_LOCUS19611 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQKQTYSVCFCCRRRFKLGISEAPPQIRELYHNYSDESAIMTASHLQRFLVEVQGDENITENEAQSIIDGHKHLSIFHRRGLNLESFFKFLFSDNNPPLLPSRGVHLDMTLPLSHYYIHTGHNSYLTGNQLSSDCSDAPIIVALQRGVRVIELDIWPNGSKNDIEVLHGRTLTTPVALIKCLRSIKEYAFVASEYPVVITLEDHLTPELQAKVAQMVTQTFGDILFCPSSESLKEFPSPDSLKRRIIISTKPPKEYLEAKEVQEKEESRKEKSLGDEEAWGKEVPSLRGGTISDYKQNSGDDDDDDLNEEEDSDDGEKSRQNGSDEYRRLIAIHAGKPKGGLVEGLKVDPNKVRRLSLSESQLEKAAETYGKEIVRFTQRNILRVYPKGTRITSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFKANGGCGFVKKPDFLLKTGPNNEVFDPKASLPLKTTLKVTIYMGEGWYYDFEHTHFDQFSPPDFYARVGIAGVPFDTIMKKTKTIEDSWLPSWNEVFEFPLSVPELALLRIEVHEYDMSEKDDFGGQTCLPVWELRSGIRSVPLHSRKGVKYNNVKLLMRFEFI >CAK8538686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493008550:493010677:-1 gene:gene-LATHSAT_LOCUS7860 transcript:rna-LATHSAT_LOCUS7860 gene_biotype:protein_coding transcript_biotype:protein_coding MILIKAMLLFILVVKSCSLGFSEVVTCSDIVPLNHRTDNISVIDFGAVGDGKTLNTNAFKDAIYKISLLSQREGGTTLYIPPGVYLTESFNLTSHMTLHLAAGAVIKATQNSSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLQDVVITGENGTIDGQGDEWWNMWRNKTLQFTRPGLVEFANSKDIIISNVTFKDSPFWNIHPVYCSNVVVRFVTILAPHDSPNTDGIDPDSSSNVCIEDSYISNGDDIVAVKSGWDEYGISYNRPSSNITIRRINGSSAFAGIAVGSEASGGVENVFAEHINLYNMGSGIHIKTNIGRGGYIRNITLSNIYIENARTGIKISGSVGDHPDDEYDHNALPIVKGITVKNVWGVKVLQAGLIQGIKNSPFTDICLSDINLHRTNETKSRTPSWKCSEVSGVARQVSPWPCSELISDQSGSFADYTNAPRD >CAK8560989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:68811314:68811709:1 gene:gene-LATHSAT_LOCUS14620 transcript:rna-LATHSAT_LOCUS14620 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8560990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:68811380:68811709:1 gene:gene-LATHSAT_LOCUS14620 transcript:rna-LATHSAT_LOCUS14620-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8536606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1894101:1898280:1 gene:gene-LATHSAT_LOCUS5960 transcript:rna-LATHSAT_LOCUS5960 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTCFATITLFVIIKTQMCLSEFNRHSFPKGFVFGTASSAFQYEGAVKEDGRGASIWDTFSHSSGKILGNTNADIAVDQYHRYQRDTELMKDMGMDAYRFSISWTRIFPNGSGFLNQAGVDHYNKLIDALLAKGIEPYVTLYHWDLPQALEDKYTGWLSPLIIKDFAAYAETCFQKFGDRVKHWITFNEPHTFTMMGYDVGQHPPGRCSILFHNVCRTGNSATEPYIVAHNVLLSHATVADIYRKKYQKIQGGSVGISLDAIWFESATNSKEDTEATQRALDFTLGWFLDPLIFGDYPNSMKSRVGTRLPKFSKSQSSLIKGSLDFVGINHYTTFYAMHNASDSLKAALHDYISDAEVLTVPFNGTEIIGEKANSLWLYIVPQGMRSIMNYIKQKYENPLVIITENGMDDPNDPSISIKDALHDDKRIRYHNDYLSNLLASIKEDGCNVKGYFVWSLLDNWEWQAGYTSRFGLYFIDYKDNLKRYPKNSVDWFKKFLN >CAK8543595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624467098:624468903:-1 gene:gene-LATHSAT_LOCUS12343 transcript:rna-LATHSAT_LOCUS12343 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLSFCFLLLGGCLALREQLQQNECQLERLNALEPDNRIESEGGLIETWNPNNRQFRCAGVALSRATLQRNALRRPYYSNAPQEIFIQQGNGYFGMVFPGCPETFEEPQESEQREGRRYRDSHQKVNRFREGDVIAVPTGIVFWMYNDQETPVIAVSLTDIRSSNNQLDQMPRRFYLAGNHEQEFLRYQHQQGGKEEEQTEGNNIFSGFKRDFLEDAFNVNRHIVDRLQGRNEDEEKGAIVKVKGGLSIISPPERQSRRQRGSRQEEEEDEDEERQPRHQRSREEEEKEDEKERPRQHSQKGDSRRRGDNGLEETICTAKLRQNIGSSSSPDIYNPQAGRIKTVTSLDLPVLRWLKLSAEHGSLHKNAMFVPHYNLNANSVIYALKGRATLQVVNCNGNTVFDGELEAGRALTVPQNYAVAAKSLSDRFTYVAFKTNDRAGIARLAGTSSVINDMPLDVVAATFNLQRNEARQLKSNNPFKFLIPPRESQNRASA >CAK8566774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461650786:461666855:1 gene:gene-LATHSAT_LOCUS19883 transcript:rna-LATHSAT_LOCUS19883 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDLRWRICQDDSRKFSVQKRNVSLELVIPSIEQLSWLDMDWCSILQRTQLHMNLLEPFIPFRRVLLQTLSCRDNMLQHLLQSATILRKGSRFSQAAGALHEFKSLCVGTKEQHSSLYCLGRIEEAKLFRAQGQNEMAINLGMYISQNLQSNEEASDVYRLIGKWLAETRSSNSRTILEKYLKPAVSITEDVKTTDRKAMGRRCQTHFHLAHYTDALFRSHEERLNSNEWQSAMRLRKHKTVELEALIKRLRNSTKGEKTDYTMKIQELQKQVAMDKEEEQKLQDDRDNFLNLALEGYKHCLVIGDKYDVRVVFRIVSLWFSLSSRKHVVNSMLSTIDEVQSFKFIPLVYQIASRMGSSKDGQGPLNFQFALLSLVKKMAIDHPYHTVLQLLALANGDRIKDKQRSRSSFVVDMDKKHAAENLLNELSSYHGAIIRQMKQMVDIYIKLAEMETKREDTNKRVTLPRDLRNLPVLELVPVVTATISIDHSCQYHEGSFPYFKGLADSVMIMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLRNHQDTWRRRLGVRTYKVVPFTPSAGVLEWVNGTLPLGEYLIGSMRNGGAHGRYGVGDWPFFKCREHMANERDKRKAFQEVCRNFRPVMHFFFLERFLHPAEWFGKRLAYTRSVATSSMVGYIVGLGDRHSMNILIDQTTAEVIHIDLGVAFEQGLMLKTPERVPYRLTRDVIDGMGVTGVEGVFRRCCEKTLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKEMDVDLDTSLEEHQNEYEGNKDAARALLRVKQKLDGYEDGEMRSIHGQVQQLIQDAIDSERLCQMFPGWGAWL >CAK8561679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:353377380:353378561:-1 gene:gene-LATHSAT_LOCUS15247 transcript:rna-LATHSAT_LOCUS15247 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKEIPTSFCKDIPNLLSSFVDTFVDFSVSGLFLPPPPPPSPPPTRLPSSKRLIAIGDLHGDLNKSKQALRLAGLIDSSDRYTGGSATVVQVGDVLDRGGEEVKIIYLLEKLKREAARCGGIFITMNGNHEIMNIEGDFRYVTEPGVEEFRVWLEWFREGNKMKSLCHGLKPPKDPLEGVRVEFRGANKEFHEGFCARVAALRPNGPISRRFFTDNVTVLVAGESIFVHGGLLPEHVSYGLEKINAEVSDWIKGSTGRFSPPYCRGRNAVVWLRKFSDEVAVNCDCSTLDHVLSTIPGVKRMIMGHTIQMNGINAVCDNKAIRIDVGMSDGCGGGLPEVLEINETFGVRILTSNPLYQNKESASGFDVGRQEGLGLLLSEHGRPMQVKVKA >CAK8568685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632223114:632223853:-1 gene:gene-LATHSAT_LOCUS21620 transcript:rna-LATHSAT_LOCUS21620 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKVVPTLAAGCATILKPSELAFVTCLELGEICKEVELPPGVLNIITGLGHEVGASLVSHPDVDRISFTGSSATRSKIMTTATQLVKPVSLELGGKSLIVVFEDVDLDKGWCTFLNMYLWNHIFLHFGITFYKEPIF >CAK8531905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174129768:174130373:1 gene:gene-LATHSAT_LOCUS1663 transcript:rna-LATHSAT_LOCUS1663 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLLQPSFLMSKTRSCALILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPIFLYF >CAK8530555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30008927:30009316:-1 gene:gene-LATHSAT_LOCUS413 transcript:rna-LATHSAT_LOCUS413 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVKNHLIAFGFQKGYDVWVRHGEKKLKLDGLNDNHMNEEEDQIDDIDGLLHERFRDVVQEENDVNVSLNGVVCPTL >CAK8579474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701667417:701679256:1 gene:gene-LATHSAT_LOCUS31418 transcript:rna-LATHSAT_LOCUS31418 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLHNHKTLSFTLYYTFSFLLFLHTSNSHHDFSVTDSEFNSFYSDYSPPSPPPPPPEPHPPSLSCEGLNGTGSLNTSCDVNSSLIFSGDVYIEGNGSLNILPGVNLTCFVLGCVIKVNMSEDFTLQNGSVIVAGTVSIASRNASLFEGSLINVSGLAGLPPAQTSGSPTGTQGAGGGHGGRGATCVSDNTKLPDDVWGGDAYSWSTLHKPWSYGSKGGTTVKNESYGGEGGGRIKLEVVDSVEVSADLLANGADGGMKGGGGSGGSIFIQAHRMTGGGIISAIGGSGFAGGGGGRISIHVFSRHDNTNFFSHGGVSLGCAGNAGAAGTYYDAVPRSLTISNHNLSTQTDTLLLEFPKAPLWTNIYIQNQAKALFPLYWSRVQVGGLIRLTSGAALGFGLAHYSSSEFELMAEELLMSDSVIKIFGALRMSVKIHLMLNSKILIDANDDSIVTTSILEASNLVVLKDSSIIHSNANLGVHGQGFLNLSGPGNLIEAQRLVLSLFYSISVGPGSVLRGPLEASSDDNMTTTPQLYCKHENCPVELLHPPEDCNVNSSLAFTLQICRVEDVSVEGTITGSVLHFHWIRSVEVESSGVISASGLGCIGGLGKGRYFENGIGGGGGHGGYGGDGYYNGNFIEGGTIYGDADLPCELGSGSGNDSVAGATAGGGIIVMGSLEHSLSRLTLNGSLRSDGESFGEDIRKQDGRASSIGPGGGSGGTVLLFVQTLALGDSSVISTVGGQGSPSGGGGGGGGRVHFHWSNIPVGDEYITLASVEGSIITGGGFGGGQGLPGKNGSISGKACPRGLYGIFCEECPVGTYKNVSGSDRALCHSCPSHELPHRALYISVRGGVAETPCPYKCTSDRYHMPNCYTAFEELVYTFGGPWVFGLILLGLLIVLATVLSVARMKYVAVDDLPALAPARNDTRLNHSFPFLESLNEIIETNRSEESPSHVHRLYFQGSNTFSEPWHLPHCPPEQVKDIVYEDAFNRFVDEINSLAAYQWWEGSIYSILCVFAYPLAWSWLQSCRRKKLQKLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYMDFFLGGDEKRSDLPPRLHQRFPMSIIFGGDGSYISPFSLHSDNILTSIMSQSVPSTIWYRLVAGLNAQLRLVRRGHLKITFGPVISWLDVYANPKLATYGVRVDLALCQPTASGYCQFGLVVHTTENENMSSSREGYDDSRVTEKQSGFLRSPENSMHRLISNEHLVMPRRMSGGILNGKILRTLKERKTIYYPFALIMYNTKPVGHQDLVGLFISILLLGDFILVLLTLLQMYSLSLVNFFLVLFVLPLGLLFPFPSGISALFSPGPRRSAGLARLYAVWNLTSLVNVVVAFICGFIHYTVHSHDKHSNIQSWSFSMDESEWWMLPSGLFLCKIIQARLIDCHVANQEIQDLSLYSSDTNVFWNS >CAK8532479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269390192:269390740:1 gene:gene-LATHSAT_LOCUS2181 transcript:rna-LATHSAT_LOCUS2181 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLCVCTKLCSFDLGSSLHGLIVKTNSCDSFLGNVLVDMYGKCGNIENSVKVFEEITDRNVITWTALISALGLNGCARGAVKIFHNMILMGFKPDALALRAVLSSCRYGGLVSEGMEFFKQIGTIYGIQPEHNHYLCIVDLLAKNGQIKEVEEVMASMPFPPNAHIWRSFLEGYKKQEIAV >CAK8568448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606683461:606683761:1 gene:gene-LATHSAT_LOCUS21404 transcript:rna-LATHSAT_LOCUS21404 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHAYGITRRSPKSTFNHSIGNLLFWLFIAILLCQPMAHNYGLLMLLTQSALQLCEDLSVVLRRIVTRRMMNRR >CAK8563102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573725802:573728434:1 gene:gene-LATHSAT_LOCUS16545 transcript:rna-LATHSAT_LOCUS16545 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLTNLTIPPPSSSSYRPPIDPDPSPQVIYSRSHSFVGPSPRIPPPSDDNNNNSSLFFLDELASNSDNSDDDDQIQQPFQHKFGPSDFQILKVIGQGAFGKVFMVRKKGDSNSSDANGIFAMKVMRKDNIIKKNHVDYMKAERDILTKVLHPFIVPLRYSFQTKSKLYLILDFINGGHLFFQLCRQGIFSEDEARIYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIEESERSNSMCGTTEYMAPEILLGKGHNKDADWWSVGVLLYEMITGKAPFTHNNRKKLQEKIIKEKIKLPPYLTGEAHSLLKGLLQKDPSTRLGSGPNGDEQIKNHKWFRTINWNKLEARELQPKFKPSDVSGKDCTANFDRCWTTMPLEDSPASTPTAGDHFQGYTYVAPNPWLSSR >CAK8574275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673173220:673180428:-1 gene:gene-LATHSAT_LOCUS26635 transcript:rna-LATHSAT_LOCUS26635 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNVENIHHFINSTWRLCAHLGCWVSGLISKPNKEVVGSISRLAEERENCYLDSMDIAYIDISSSDDELEILDSGRILPSWAAFERNSDSRRANGNTSQHQTVNSRISNSHGTDYEKMSSQQALKRTLPSSFQPGPSNGNTSQHQTVNSRISNSHGTDYEKMSSQQAFKRTLPSSFQSSETRAFPPSSFAPNNRVSNLSSSQLHDAYRSRHHGVGPSTSGEKGFFHDNFGRGNDGDRFMNQNGGTRALPPSLMIGKAITPPFASSSESAYRSGIGDERAPETDERLIYEAALQDISQPLKEADLPAGIMAVPLMRHQKIALAWMLQRENRSLHCLGGILADDQGLGKTISTIALILMQRQSQIKWKTDDTCNHKAEALNLDDDDDNGSIDVEKLKNDEESIDTKPIIEPSSSTRAPSRKRPSAGTLVVCPASVLRQWARELEEKVGDEKLSVLIFHGGSRTKDPVELAKYDVVLTTYSLVTNEVPKQPLVEDDDIDEKDRENFGLSSDFCVKKKRKKTYNGSKKGKKGKKGIDGSSFDNASGALAKVGWFRVILDEAQTIKNHRTQMARACSSLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAVYKSFYNTIKVPISRNSIQGYKKLQIVLRTIMLRRTKGTLLDGKPIITLPPKTINLSKVDFSCEERAFYKKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKEYNSDPVGKDSVEMAKRLPKEMLINLFNCLETTAAICCVCNDPPDDAVISMCGHVFCYQCVSEHLTGDENMCPANHCKEQIGEDLVFSKATLRSCISDDLSGSSSGSSSLVDYSIVQNSDYSSSKIKAVLEVLQSSCRLKANSSNRYSPPSDDSDIEDFDSDVKVTKVTRNYSECTTGGPLKAIIFSQWTTMLDLVETSMQQSGIKYRRLDGRMTLTARDRAVKDFNTDSEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILALQDEKRKMVASAFGEDHAGGSGTRLTVDDLKYLFMV >CAK8560121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8126332:8129291:1 gene:gene-LATHSAT_LOCUS13831 transcript:rna-LATHSAT_LOCUS13831 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGEGSDLQFTPTWVVALVCTIIVAVSFAVERSLHRLGKYLKKKNQKALFQALQKIKEELMVLGFISLFLTVTQNGITKICVRESWTLHMLPCSLDEKEKKESKLSSTSHFQRFFSSNDVFSAARRLLADESNDRPATHEKLGYCAAKGKVPLLAVEALHHLHIFIFVLAVVHVTSCVLTIIFGGLNIRRWKHWEDSIATDENIESQHAPERMESVTHVHQHEFIQSHFTGFGKDSTIMGWVRSFFKQFYGSVTKLDYVTLRLGFIMTHCKTNPKFNFHKYMNRALEDDFKKVVGISWYLWIFVVIFMLLNVNGWHAYFWIAFIPVILLLAVGTKLEHVIIQLAHEVAEKHSAIQGELVVQPSDDHFWFHRPRIVLFLIHLILFQNSFEIAYFFWIWVTYGFNSCIMGELRYSVPRLVIGVFIQVLCSYSTLPLYAIVTQMGTNFKNAIFDEQVQVRLAGWAQKAKKKGLRGNNSQSGQGSSHNNGGSVGIQLGSAFRRTPGPENNTNVHRGGEESV >CAK8542385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507392115:507396138:1 gene:gene-LATHSAT_LOCUS11226 transcript:rna-LATHSAT_LOCUS11226 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRFSTDFTVFLSVFMLLLGVSFAGDIVHRDDSSPKRPGCENNFVLVKVPTWINGLESGEYVGVGARFGPTLESKEKRANHTRVAIADPPDCCSKPKNKLTGEVILVHRGQCSFTTKANIAEEAGASAILIINNRAGLFKMVCEKNETDVDIGIPVVMLPQDAGETLENYIQNKSTVSVQLYSPRRPSVDVAEVFLWLMAVGTILCASYWSAWTAREDVIEREKLLKDDSDEYLNTENAGSSRYLEISTTAAVSFVVIASCFLIMLYKLMAFWFVEVLVVLFCIGGVEGLQTCLVALLSCFGWSQRAAQTYVKIPFFGAVSYLTLAVTPFCIVFAVVWGVKRRVSYAWIGQDILGIALIITVLQIVHIPNLKVGTVLLSCAFIYDVFWVFLSRLIFHESVMIVVARGDRSGEDGIPMLLKIPRLFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLAKRNLRSGYFLWAMSAYGLGLLVTYIALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGELKILWTRGQPEMPCPHIQEDSQPMDQ >CAK8544445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685112324:685116538:1 gene:gene-LATHSAT_LOCUS13121 transcript:rna-LATHSAT_LOCUS13121 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCCAVCAEPLEWVAYGPCLHREVCSTCVARLRFICDDRRCCICKTDCNLIFVTKALGDYTRVINDFASLPSEVREGKVGSYWYHEDMNAFFDDVDHYKMIKAMCRLSCSECDKAEEQQNDGSRRQARFRNIGQLKGHLFHRHKLHMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGSESDRGGFMGHPMCEFCKTPFYGDNELYTHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRQQHFLCEDDACLAKKFVVFQSESEMKRHNTMEHGGRMSRSKRNAALQIPTSFRYKHNNEQDQRRGRGRMFRRDLSENQLSMAIEASLETANAEQTYREPTSSSGQIAYEDGDADIDPIIHPFESLATAGSESTSRYRQALGHGSKPLVDSSFPPLPITSSNGQQRSKHEFEGSSSNTMAARLRRHGNRNISVINSGNAWSVAGRGPVQTSSSHSHSKKSTNHALGGSHNSSQTKTVVSSGPPPSSYANPIPSAHSQTKTVVSSGPPPSSYANPIPSAHRTAHGQLPAGPLWDTRDNGRIVHSASAPNLVENNPVGVSISDFPPVSALQVSKLPTSSQPPLNVENVQSANKSLVDKIRSTLDFDEDRYTIFKDISAQYRQGTIDTETYVDYVQQFGLFHLVPELARLCPDARKQRELVESYNAGLQRNAFQESDRVYGSASTHHKNGNVDKKGKGKSLEVKQNQSTEKLADSFLSTVHQLQSNYKPSEEKLEVLSKGAYRTDKGKYKTELKTDTNTSNQNMIKLGGKIETSNGTLTNQNKEDGVGGNKQRKKASKFLRVRLGDGSASALLDLDNSRTTSDPRGTENLDGNNNDSGVGLPVRGVWRKGGGQKLFP >CAK8544444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685112324:685116538:1 gene:gene-LATHSAT_LOCUS13121 transcript:rna-LATHSAT_LOCUS13121-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCCAVCAEPLEWVAYGPCLHREVCSTCVARLRFICDDRRCCICKTDCNLIFVTKALGDYTRVINDFASLPSEVREGKVGSYWYHEDMNAFFDDVDHYKMIKAMCRLSCSECDKAEEQQNDGSRRQARFRNIGQLKGHLFHRHKLHMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGSESDRGGFMGHPMCEFCKTPFYGDNELYTHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRQQHFLCEDDACLAKKFVVFQSESEMKRHNTMEHGGRMSRSKRNAALQIPTSFRYKHNNEQDQRRGRGRMFRRDLSENQLSMAIEASLETANAEQTYREPTSSSGQIAYEDGDADIDPIIHPFESLATAGSESTSRYRQALGHGSKPLVDSSFPPLPITSSNGQQRSKHEFEGSSSNTMAARLRRHGNRNISVINSGNAWSVAGRGPVQTSSSHSHSKKSTNHALGGSHNSSQTKTTVVSSGPPPSSYANPIPSAHRTAHGQLPAGPLWDTRDNGRIVHSASAPNLVENNPVGVSISDFPPVSALQVSKLPTSSQPPLNVENVQSANKSLVDKIRSTLDFDEDRYTIFKDISAQYRQGTIDTETYVDYVQQFGLFHLVPELARLCPDARKQRELVESYNAGLQRNAFQESDRVYGSASTHHKNGNVDKKGKGKSLEVKQNQSTEKLADSFLSTVHQLQSNYKPSEEKLEVLSKGAYRTDKGKYKTELKTDTNTSNQNMIKLGGKIETSNGTLTNQNKEDGVGGNKQRKKASKFLRVRLGDGSASALLDLDNSRTTSDPRGTENLDGNNNDSGVGLPVRGVWRKGGGQKLFP >CAK8537332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:275478358:275482185:1 gene:gene-LATHSAT_LOCUS6629 transcript:rna-LATHSAT_LOCUS6629 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSPISATFFFSNPSPIHKPRTPPFSVLNTRAMSKDLYLNHDGSTTKKLLAGVELVAELLGVTLGPKGRNVVLHNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVVLAHGLIKEGAKVIAAGMNPVQIARGIEKTAIALVSELSLMSREVKDHELKDVAAVSAGNDYVVGNMISEALQQVGRRGVVTIEKGKSIGNSLEIVEGMQFDRGYLSPYFVTDRRKMTIELRNCKLLLVDKKIKNPKELLNILNSAVKEKYPILIVAEGIEQDALAPVIKNKLRGVLKVAAIKAPAFGERKSHYLEDIAILTGGTVIREDMGFTLEKASKDMLGSATKVVITKDSTLVVTDGSTRTVVENRVSQLRSLVENTKENFQKKILNERIARLSGSIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCSLLRLSKKVDGIKNLLDNEEQKIGAEIFKRALSYPTRMIAKNAGVNGNVVIDKVLSNDNMNFGYNAARDCYEDLMKARIMDPTKVVRCCIENAASVAKTFLTSNAVVVDRMELQQPLRRPMPMPRNHMPIMPKKPMPIMPRKPMPIMPRKPMPSSGLGPIGF >CAK8579011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667249265:667251211:1 gene:gene-LATHSAT_LOCUS30988 transcript:rna-LATHSAT_LOCUS30988-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPDPSPSDSSLLLCLPDDVFATVSRFLIPREVFNLSLCCKSLYALATSEKVWFTQCDIIGIVSHKDLVEWREYVSSYKVLCRFLSSVKPLIGIWVQQNPELGNLVYVMPGFVSVVACRIIPQEIVSSGSIQDGSILWSPVFEIVCDFDGSSMFLLHGREEKGIDCVYHGSVKCVNRFCNLLLLEVESLKEDNNEGIVPFSKLGFRDRKKLLEVTTSTSQVRLEVPNYAYDPLFRRSRDDYVDFQKDLVLLKERREFLIQMTQMYKLGCIQIENRENSQEEEVGSMRLQANEVRKSHDYSKALSFPPSNENSHTQCIKRKSFGGYFWDGFKHILGRSRTRNDHMMLSSSHETKHDRLQDFLKSSDTLRLTLKALTANLSCFRGWPNMNKNWFALYKMPLQVPKVDQVYAGLWGGTFGWPHEKSSQDKPRKALFFLLLSYSESKGQQFLIGTKILEGTCYAMHPNGSAMFTVNINEPSSDPFPSKTDRDSSSRNNIEHAFMGEGIANGYGFKYPGSKPGSLFAFQNGDLAFVWKESRDVLTLQRLNLQELLKKGKRIPSLPPIDNFSYLMKSCLNVFTS >CAK8579012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667249265:667251211:1 gene:gene-LATHSAT_LOCUS30988 transcript:rna-LATHSAT_LOCUS30988 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPDPSPSDSSLLLCLPDDVFATVSRFLIPREVFNLSLCCKSLYALATSEKVWFTQCDIIGIVSHKDLVEWREYVSSYKVLCRFLSSVKPLIGIWVQQNPELGNLVYVMPGFVSVVACRIIPQEIVSSGSIQDGSILWSPVFEIVCDFDGSSMFLLHGREEKGIDCVYHGSVKCVNRFCNLLLLEVESLKEDNNEGIVPFSKLGFRDRKKLLEVTTSTSQVRLEVPNYAYDPLFRRSRDDYVDFQKDLVLLKERREFLIQMTQMYKLGCIQIENRENSQEEEVGSMRLQANEVRKSHDYSKALSFPPSNENSHTQCIKRKSFGGYFWDGFKHILGRSSSIDGCVNIDQQHSTIAETRNDQSSCSIDGSVCNVDKQHSTNTGTREDQSSRTIDGSVISIDQQQNTAPGTRNDHMMLSSSHETKHDRLQDFLKSSDTLRLTLKALTANLSCFRGWPNMNKNWFALYKMPLQVPKVDQVYAGLWGGTFGWPHEKSSQDKPRKALFFLLLSYSESKGQQFLIGTKILEGTCYAMHPNGSAMFTVNINEPSSDPFPSKTDRDSSSRNNIEHAFMGEGIANGYGFKYPGSKPGSLFAFQNGDLAFVWKESRDVLTLQRLNLQELLKKGKRIPSLPPIDNFSYLMKSCLNVFTS >CAK8567286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501344791:501345709:1 gene:gene-LATHSAT_LOCUS20352 transcript:rna-LATHSAT_LOCUS20352 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLPHSLCFTTPTTIAPATYFQTPVFNSSRLQTFGSFTIRCTDQVHLEVLQPESNFQKRVVISDQNFDSLLSAMELSCLVSSAIFSVAIAVSGSKNWLMAVSGNRVNAVWGILILVGGVAAGAMLRRRQWKMISRENMKGGLMERIEKLEEDLRKTVRVIRILSRHIEKFGKRFLVTKEPITQSAAIAQKNSKATRAIAVQYENLEKEIHELQNVLLTIQEQQQKQFDLILSVKPWESKHKTPNEQDI >CAK8533143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:583783891:583787777:1 gene:gene-LATHSAT_LOCUS2790 transcript:rna-LATHSAT_LOCUS2790 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIQQLLRRKLQSHSPNPLSVSSIITKNDGAGSTSSRSLKALALIGAGVSGLLGFATTASADEAEHGLASPSYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEGEVKAMATEIEVEDGPNDEGEMFTRPGKLSDRFPQPYANESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVVIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATESQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >CAK8530662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38942190:38943185:-1 gene:gene-LATHSAT_LOCUS510 transcript:rna-LATHSAT_LOCUS510 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSGNWTECGVLLQAWGWSRMTNIAPIQRNNFEFPFAKRWSSLGMNYDNCPHYSITQYRNLIDHLGQDDFIWRPYLGLEAFHEVERQDSAVWSAKVPIINFTTVERHNSDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYNPWTKYAKHECREWSHRNNYVLSDTVFPYEMKQSIQYMTWYRSVSIGFISHPRYLVDPRQQDSSSMPQQSTQPYFQLPTQPHFQPSTQPHSQPSTQYHFQTPTQPHFQPSTQPHFQPPYQPHFQPPT >CAK8534551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741520838:741525529:1 gene:gene-LATHSAT_LOCUS4091 transcript:rna-LATHSAT_LOCUS4091 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEADMSAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKAVDRKTWLQEAIVSTAVAGAIIGAAVGGWMNDKFGRKISILVADTLFLLGSLVMAFAPTPSLLILGRVIVGIGVGMASMASPLYISETSPTRVRGALVGLNSFLVTGGQFLSYLINLAFTRTPHPWRWMLGVAAAPAVIQVGLMLTLPESPRWLYRKGKEEEAKRIMRKIYEAEDYDDEVQALKESVDMELKNTEKVSMMQLLKTTSVRRGLYAGVGLAFFQQFIGINTVMYYSPSIVQLAGFASNRTALLLSLITSGLNAFGSILSMYFIDKAGRKKLALISLTGVVVTLVLLTVTFHEAGIHAPMVSVDDSLKFNNNTCPDFKTAMNNEKWNCMRCLKATSTSCGFCAAHNKLAPGVCIISNGSTNGMCANDHWYTRGCPSNFGWIALIGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTTIWVSNLVVSQSFLSLTEAIGPAWTFLLFGIIAFIGIFFVIVFVPETKGVPIEEVENMLEKRFVHIKFWQKREKQ >CAK8566460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425245139:425245678:1 gene:gene-LATHSAT_LOCUS19589 transcript:rna-LATHSAT_LOCUS19589 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKGYEPRSSSSCAACKLLKRRCTPNCLFAPYFHSNECKKFAKVHKVFGASNVSKILIEVPEKQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRKMMELQHDLDIAKGRLAHCRALAATATTTATITPVDSVTLPPFPEFSTSNDLSDIFCHGSSSQLSTRLETVDDFNQIPYIF >CAK8576663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:503657659:503658284:-1 gene:gene-LATHSAT_LOCUS28836 transcript:rna-LATHSAT_LOCUS28836 gene_biotype:protein_coding transcript_biotype:protein_coding MREMRGVNEEAFKHMMKTPPRFWSKSQFKTTSKCDSVLNNMSEVFNNVIIEARAKPIVAMLEEIRTYIMERWTKNRMRFANLTDDDILSNIMKMIARISDYTNMWIVRMSTEHIFEVRHLENVGDKFSVNLQDLSCTCRK >CAK8570983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:219780590:219781114:1 gene:gene-LATHSAT_LOCUS23686 transcript:rna-LATHSAT_LOCUS23686 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSVLQSTFMEKARDDVERLDGGTVFKLWTDSAGGRSRGRVYGTADLAINVKHGSTSFIQQPQNSCGSLFGTSFELETASRITAEQLATATLARIEEATKVIQASNEIARKATKQYQASNEFAKKMESELNALKAFIMQKLDLTNGQSASVVIRSSNPHYDDDLDDQSLSED >CAK8542781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546361646:546362269:1 gene:gene-LATHSAT_LOCUS11589 transcript:rna-LATHSAT_LOCUS11589 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMKNKTAKLLKKIIAGLTLMAKSKTMALKSKANAVKARLIIFSLMKNKKFLMSSISDKFHSIWGSHSHHHSKEDCLIEQGVSSDDHHRRAMVVYNNNARTYEALQNPSELAQVVDEQDQEDGCYEDDDDKYPDLTHSLFDSEELDFGGSVIDRVKNCKEEAGKEFKLEDDIDEVADLFIRRFRRNIILQKQDSLKRKREIAQNGT >CAK8542850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553701601:553701954:1 gene:gene-LATHSAT_LOCUS11654 transcript:rna-LATHSAT_LOCUS11654 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLAYKCTEVTYMRVIHFSHTSASRDQYELQTALQMTPLGESPSSSASDVDNVNNSTTADKFALSKSVNSPHVAGNHVIAARSRPNFCEDTWLYSGCEFYHESLKEITDLFCSC >CAK8563676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624536971:624542413:-1 gene:gene-LATHSAT_LOCUS17061 transcript:rna-LATHSAT_LOCUS17061 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVCPISSSRATAISALLSPPSPPQIQEYYHNIFSARQCTAITVKHDDKIGKGVYAAMDFKEDELVLKDQMLVGTQHSFNKIDCFVCSFCFRFIGSIETQIGRRLYLRQLRANQSHACDVGTSSQSIENSHEMDSSDEEESTLQRCSGGLKNIVPLPQAVVESLMNGQLRLPCSDKFSLPPSVPCPGGCGESYYCSLVCAEADWESSHSLLCTGESSDPRRREALLKFMKHANETNDIFLLAAKAISSTILRYHKLKANCPEEKLKYDASCVSDNYNFSLLLEAWRPISMGYKKRWWDCIALPDDIDSSDEASFRMQIKELAYESLQLLKTAIFDKECDLLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYIDEMTNPDKEEAEKITQPILDALGEDYSTCCEGTAFFPLQSCMNHSCCPNAKAFKRDEDRDGQATVIALRSICKGEEITISYVDEDLPFEERQASLADYGFRCNCPKCIEQGP >CAK8535192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:822920627:822921670:1 gene:gene-LATHSAT_LOCUS4666 transcript:rna-LATHSAT_LOCUS4666 gene_biotype:protein_coding transcript_biotype:protein_coding MASENETMKSPLYGEVGGRSKSRKTKGPKKPPQRGLGVEQLERLRAEEALKKMAEASGVYHVDHHHHQQYHQQQQQALLRYGALSSNVPFQFPQQQMMINQNNNNSTIVGASAFGPYKNGFGIGTCSNVASGWFLPNNQHNNKEINHLGSGSSLLRNPLEPSKDLSSMQNLKKTRLNEEENVMSASIWSNHVHDFHNKLLFKHDESVEVVAVHRRGNSSSGNSKVFMEYEFFPRKDERDTVSKEQEFPTIDFGFGEASSSSSITVNTTPYGGDSSNAYDSIDLSLKL >CAK8570291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34574896:34586010:1 gene:gene-LATHSAT_LOCUS23053 transcript:rna-LATHSAT_LOCUS23053 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPPTPIGGAQSASPSLMRSNSGMMGGQGGQASFPSLVSQRNQYNNNMNMLGNMSNVASMMNQSFSNGIPNSGLGGLGNSQRGGMDAGAEQDPLSGVGNGMGFGNPSSSFGQSNMANPGSSGQGQGQGQQFSNTSGNQLLSDQQHSQQHDSQNFQHGQQQSAQQFSAPMNSQQQQHQQQQQHFQSMRGGIGGIGPVKMEPQGNNDQFGQQLPSLRNLAQVKLEPQQLQSMRGMAPVKMEPQHSDQPFLHQQQQQQQQQQLLHMSRQTSQATAAQMNILQQQRLLQYQQQQQHQQQQLLKSMPQQQRSQLPQQFQQQNMPIRSPVKPAYEPGMCARRLTHYMYQQQHRPEDNNIDFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDIWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAVAQKYQACTQNAAPNLSIPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMDSLSKFPRRTSNSSALHSQAQQSEDQLQQQQQHMASHNSNGDQNSVQTAAMQIPSNNGVANVNNNNNVNSASASTTTSTIVGLLHQNSMNARQQNSMNNASSPYGGNSSHIPSPGSCNNTVPQAQPNSSPFHSPTPSSSNNPQNSHPGIPSANHMGTANSPANISLQQQQQQTSISGEADPSNDAQNSVQKIIHEMMMSSQMNGTGGMVGANSLGNDMKNVNGILPGSTNTGLNGGNGMMGNGGVNSNPSVGVGGYGTMGLGPSGLPNGMRPGMGNNSVMNGRGGMASITREQAMNHQQDLSSQLLSGLGSVNGFNNLQFD >CAK8538023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459292971:459297169:-1 gene:gene-LATHSAT_LOCUS7264 transcript:rna-LATHSAT_LOCUS7264 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELGEYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFKRARSVWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEVLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPRSRNVYERAVEKLADDEEAEQLFVAFAEFEERCKEAERARCIYKFALDRIPKARAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKGRTREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLNQIPHQKFSFAKVWLLAAQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLAETERARAIFELAIAQPALDMPELLWKAYIDFETAECEFEKARVLYERLLDRTKHLKVWISFAEFEATAIDESLDLSEQEQKEQCIKHARRVFEEALNYFRSSAPDLKEERAMLLEKWLNLEASSGELGDVSLVQSKLPKKLKKRRQISTEDGSSRIEEFIDYLFPEETQTTNLKILEAAYKWKKQKLSSADD >CAK8535742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878908253:878921822:-1 gene:gene-LATHSAT_LOCUS5170 transcript:rna-LATHSAT_LOCUS5170 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKYPDHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLAGFVETRQQLLTLKSNHRMNWIGFAVSHHLNSNASKAIEILEAYEGTLEDDYPPENERIEHGEMLLYKVSLLEECGFFERAFEELQKKESKIIDKLGYKEQEVSLLVKLGRLEEAEKLYQTLLSMNPDNYRYYEGLQQCVGLYSANGHFSPDEIDRLDALYKTLGQQFKWSSAVKRIPLDFLQGDRFREAADSYIRPLLTKGVPSLFSDLSSLYSHPGKADILEQLILELENSIRLTGQYPGRVEKEPPSTFMWTLFLLAQHYDRRGQYEIALSKINEAIEHTPTVIDLYSAKSRILKHAGDLAAAAALADEARCMDLGDRYVNSDCVKRMLQADQMVLAEKTAVLFTKDGDQHNNLHDMQCMWYELASAESYFRQGDLGLSLKKFLAVEKHYADITEDQFDFHSYCLRKMTLRTYVEMLQFQDRLHSHAYFRKAAAGAIRCYIKLHDSPPKSTDEEDSEMSKLLPAQKKKLRQKQRKAEARAKKEAEEKNEDSSASGISKSGKRHTKPVDPDPRGEKLLQVEDPLLEATKYLKLLQKNSPDSLETHLLSFELYMRKQKILLAFQAVKQLLRLDAEHPDSHRCLIKFFHKVGSMNTPVTDGEKLVWSVLEAERQTISQLHGKSLLEANNLFLEKHEGSLMHRAAVGEMVYILDPNRRSEAVKLIEGSTNNLVSRNGALGPIPEWRLKDCIAVHKLLGSVLEDQDAALRWKVRCAEFFPYSTYFEGSQSSATPNSALSQICKTAVNGTSSHSLGDHVEHVASNGKLDTFKDLTI >CAK8531267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94620800:94624010:1 gene:gene-LATHSAT_LOCUS1071 transcript:rna-LATHSAT_LOCUS1071 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNGREEDFNGTLSEASSDDGEREGGFDSVSDSMSVPDGVTENPLTSEEMGHSPPASPRTTQSPLMFSPQVPVVPLQRPDEMQAPTPSWMQTTSGYEDMFDEIGIPTMITWSYGGKEVFVEGSWDHWKSRIPLQRSGKDFTIMKVLPSGVYQFRFIVDGRWRHAPDLPWEQDDAANTYNILDLQDYVPEDIGSIASFEPPKSPDSSYNNLHLSSEDYAKEPPLVPPFMQMTLLNVPSTNMEFQPLVSRPQHVMLNHLYMQKGKSSPSVVALGTTHRFVAKYVTVVLYKSLQR >CAK8532302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:243464878:243465195:-1 gene:gene-LATHSAT_LOCUS2026 transcript:rna-LATHSAT_LOCUS2026 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNASAILTRANRIKSKLQSSLEATVLEVDDVSYQHAGHAAMKDTSDKETHFNLKIVSPKFEGQSLVKRHRMVYDLLSDELQSGLHALSIVAKTPNEVTVSAK >CAK8562804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538624420:538624932:1 gene:gene-LATHSAT_LOCUS16270 transcript:rna-LATHSAT_LOCUS16270 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQVQPKNILATLKRKRYENISNIKHVYNIQCRYNKALRGDRSEMQKLLKFLDDNNYVSKYQKCEDGVIVRDIFWIHPHSIKLSNTFPIVLIIDSTYKTNKYRLPLLDIISVTSTEKTYFVGFAFLEREKKENVTWALEVCPTMLRDQEEMPKVIVIDRDTALIMRSH >CAK8564235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660544157:660545056:1 gene:gene-LATHSAT_LOCUS17558 transcript:rna-LATHSAT_LOCUS17558 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAAAIWRCFSPFKILFNSLTILSTNKLLFTTLFIFTTLPLSTLTIYQSIFTQPLTSQIRHLEALAHFASTQFETRHVRHESRNDAVFLIRVKALFSIPSYIISLISTLSVVHSSLLASHNITPTIHSAVASFKPNLMRLFATSIFVYAILLAFSSLPLFLTALTGSKSNNFVFLIGSGLEVYLMAVLSVGLVVSIAEERFGWDAIRVGSGLMEGNRVCGWILSGLFVWVSGLIGSRIDGEDSFEDKAVVIVCYGFVVLWSYVIMTVFYCECRKRHPIKEFHQIEEGDEDQENQLSVL >CAK8568282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589689447:589691621:-1 gene:gene-LATHSAT_LOCUS21255 transcript:rna-LATHSAT_LOCUS21255 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKTVPCFKSILNLSLFHSLFQNSNSALVIFRQLLQSDANPNAFTFSLLIKACLTSPSLVHASSTAAIQARQIQTQSLKRGINQFIHVNTSLIDLFMKLGFVSHARRLFDDMSFRDVVSWNVLICGYSQNGYFYDAVQTFVDMLREDFRPDQTSIVSLLPSCGCFEMILQGRSVHGFGIKAGLGWDSHLNNALMSMYAKCDDLKASELLFDEMDEKNVVSWNTMIGAYGQNGIFDKAALCFKEMMKEGFQPSSVTLMNLVSANAFPETVHCYAVKCGFDKDASVVTSLVCLYAKEGFTYMAKQLYESYPTKILIALTAIMSSYSEKGDIESAVECFIQTMKLDVKPDAVALIGVLHGIACPSHFSIGCAFHGYGVKSGLSNDCLVANGLISLYSRFDEIETALSLFYDMRERPLITWNSMISGCVQAGKSSDAMELFSKMNIRGQKPDAITVASLLSGCCQLGYLRIGETLHSYILRNNVKVEDFTRTALIDMYSKCGRLDYAEKVFNSIMDPCLATWNSIISGYSLYGLEHKAFNCYSKLQEQGLELDKITFLGVLAACTHGGLVYLGLEYFTIMTEEYGLLPSLQHYACIVALLGREGLFKEAIEFINSMEIRPDSAVWGALLSACCIQQEVKLGECLAKNMFLLNYKNGGLYVLMSNLYAIVGRWDDVARVREMMRDSGGDGCSGVSVINVTSFKDFNSILNPSDVYLNTSTWQHSCLY >CAK8542379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506874422:506877941:-1 gene:gene-LATHSAT_LOCUS11222 transcript:rna-LATHSAT_LOCUS11222 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPQRFPVQYEKDQSGCMWGFISMFDFRHARFTRKLIADKRHNNKQALEAVPTKNKFEALSDLDEEYQGNFDRESKRLTVKTGADKLSVKRLIEEEMFIDRDEVKDQSNNVGSKRTELGSRDSLKTDSKRKRKSHKKSRDMDTNDVTVTNDVSVTLKSEFSDNQHLKQQSRDNLDLDKIMEDFCQIERACSLMHDDDDDSKVNAQSNQKNTNSEELARDAIHDFVKQKILNGKDRVEDKKRLSSHELMETLQELENARGRSEKEFNSVADSNFSEQDLHSLKQTKEIVNRKHRKFFWKKVKSRSKVIAKTEVPNRIVILKPATTGMQISESENNIASSPDSRDIVPYKSPSSIRVGSHFSLTEIKRKLKHAIGKEKNGSREFPAEGEINGSTGKVNGKDKNGMKSPNKDRFFIEKIARPMFDVVKGNKTSTLKDSKFNAERESGSKKEKVSNIYIEARKHLSEMLENGDDDTGVSSRQIPKTLGRILSLPEYNFSPLGSPGGNLEHHCVTGIARTKFSTSDKIVEVNDDNLSPKQVTSIDQIDQETSISGNQSSVCDENERSNEVPEIKTKSTFSHDLGHVDNVEASYPVRDDIVVEGNVELTKDINVLESSSDPNDCIDRKDQNNDASEIPDDTRFSESLNEDVKEENQPSSPLSSPSHSSITEKIEELEISADVSGRPSPVSVLDIPFSDDDPGYSTCQPVKLRAQPLQIRFEEQDTSSMDRFHRRKCCFEENELIYDYINAVFHASGLTQDQLLTKCLSSNKILDPSLFDQVEFFSNMLFHEQKLLFDSINEVLMEVCWHYFGVSPCVSFVNPSIRPTPNMKKIILKVWEGVCWHVLPLPPPHTLEQIVRKDLARNGTWMELRLDAETVGFEMSDTILVELMEDAILSLVSESTES >CAK8544563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692977973:692981993:1 gene:gene-LATHSAT_LOCUS13229 transcript:rna-LATHSAT_LOCUS13229 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRPTANARTEVRRNRYKVAVDAEEGRRRREDNLVEIRKNRREESLQKKRREGLQNQQMPASVQSSLLEKKLEHLPALVTGIWTDDNNMQFEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLTREDFPQLQFEAAWALTNIASGTSDNTKVVIDSGAIPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQVKPALPALASLIHSNDEEVLTDACWALSYLSDGTNDKIQGVIEAGVCSRLVELLQHPAPSVLIPALRTVGNIVTGDDMQTQVIIDHQVLPRLMNLLANTYKKSIKKEACWTLSNITAGNTQQIQAVIDANIIPPLVTLLQNAEFDIKKEAAWAVSNATSGGSHEQLKILVNQGCIRPLCDLLICPDPRIVTVCLEGLENILKVGEADKNIGNTDGVNLFAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEDDETMPTGEGFNFGGSEVPSVPTGGFNFN >CAK8577656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581696421:581699265:1 gene:gene-LATHSAT_LOCUS29743 transcript:rna-LATHSAT_LOCUS29743 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEFWTSRLAAAKRHYTFQHNHPTSHLGIDDFDVEEEVRPDFPCPYCYEEFDIGSLCSHLEDEHSCESRVTICPVCSVKVARDMLSHLTLQHGNLFKIQRRRRLRRVAIPNSQSLSLLGRDLREAHLQVLLNGGGGYRSHSNSVSNVPADPFLSSFILNYPQSEAEEISKSVVTSAEDSSSTKNTASPVQHIWKSSFDQSLSIEEREKRIRQAAGRSSFVQDLFLSTLLGDE >CAK8534933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792388943:792390492:-1 gene:gene-LATHSAT_LOCUS4430 transcript:rna-LATHSAT_LOCUS4430 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFHLSSLTSFTLALLFLWTVSPFPIQQQPCDYSQGNWIIDLHSTLYHPSKDCPFIGQGFDCLKNGRTDKDYLNYRWKPSSCNLPRFDGGKFLERYKGKKILFVGDSISNNMWQSLTCLLHIAIPNSNYTLTQQTKELTVFSFPEYEASIMWLKNGFLVDLVHDKEKGRILRLDTISTGNQWKGYDVLIFNTYHWWTHTGKSQTWDYFQVGNELIKEMDHLEAFKIGLSTWAKWVGSNIDPSKTRVLFQGIAASHVDAKGCLRQTKPDEGPMPPYPGVDIVKNIISKMEKPVELLDITLLTQLRRDGHPSIYTGRGGSYVDCSHWCLAGVPDTWNEMLYAALVEN >CAK8562765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534209626:534211911:1 gene:gene-LATHSAT_LOCUS16234 transcript:rna-LATHSAT_LOCUS16234 gene_biotype:protein_coding transcript_biotype:protein_coding MNALPQTQAQTVPDAWDSKGHPADRSKTGGWASSAMILGSEVCERLTTMGIAVNLVTYLTGTMHLGSAASSNIVTNFLGTSYMLALFGGFVADTFLGRYLTIAIFGTIEAIGVILFAITIKIPNLHPPNCSQNSPNSCEPANNLQLTVLYIALYVIALGIGGIKSSVSGFGSDQFDESNEGEKKQMVKFFSWFFFFISMGSILAVTVLVYIQDHLGRVWGYGLCACAIIVALLVFLSGTKRYRYKKLMGSPLTQIAAVFMGAWRKRKLELPSDSYLLYNVKDIKYPQDARNKKLMLPHSKQFRFLDKAAIKDPNTDGNMVRKWELSSLTDIEEVKLVIRMLPIWATTIMFWTIHAQMVTFSVSQATTLKRHIGKSFQIPPASLTTFLIGSILVTIPIYDRIILPIRRKLFNKSQGLTPLQSIGLGLVLSTCGMVAAALIDLKRTRMAHLHDLTHNTSTLVIPMSVFWLVPQFFIVGSGEAFIYVGQLDFFLRECPEGMKTMSTGLFLSTLSLGFFISSLLVFLVQKVTGHHHPWLTDDINQGKLYNFYWLLAFLSAINLVIYLFCAKRYVYKDKRLDEQVIELEKDLDNVDHA >CAK8571251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354799732:354806236:1 gene:gene-LATHSAT_LOCUS23929 transcript:rna-LATHSAT_LOCUS23929 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETVVNNVMCLLTHQDGAALGPTMYLPQNIGPQQLQLIVNQLLKNEEKLPYAFYISDEELLVPLETYLQKHKVSVEKAVPIVCQPQAVFRIRPVSRCSATISGHGEAVLSVAFSPDGRQLASGSGDTTVRFWDLGTQTPMYTCTGHKNWVLCIGWSPDGKYLVSGSKSGELICWDPQTGKQSGNALTGHKKWITGISWEPVHLNAPCRRFVSSSKDGDARIWDVSLKKCIVLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTAKHLSSPEEMKKVALERYKSMRGNAPERLVSGSDDFTMFLWEPFINKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKIWNGTTGAFVTVFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVFSVDWSPDGEKVASGGKDKVLKLWMG >CAK8565783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:332443053:332446247:1 gene:gene-LATHSAT_LOCUS18966 transcript:rna-LATHSAT_LOCUS18966-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKEARFVGHKYISTEHILLGILGDGTCSAAKILKSYGIDFNVSREQVMKLIGRGGGCSGLSCKEVRFTYDAKNVLEFSHKYATSLGHDYVDTMLLLLGLLQGSSGVVTQLIENQGADVNKIREHVIREIEVNVQKVDVTAESSKNKMKDHVEDRVHYDVVTAESSIYNQIKSQVVNQNYNLGARKDENANKQKSALETFGTNLTKLAQEGKLHPFVGREEQVERVIQIICRRMKNNPCLVGEPGVGKTSIIQGLAQRILSGFVPENLKGKKVITLDVADFLYVISNQGFSEDRVKCLIKEIEQSGDVILFVKEVHHLFEAVTSGAKTFAYILKHALERGVIQCIFATTLNEHRMHMENDVTLKRIFQLVKVDEPSVEETVEILKGLRRTYETHYKLEYTDEALVAAASLSQQYVSDRFLPDKAIDLIDEAGSHVQLCHAKNKKSGNAFVPSVKKSDIQHVVSSWIGVPVSDVSKEEGEDLLNLEGMLHKHVIGQNEAINTIGRAVRRARVGLRNCRRPIASFMFTGPSGVGKTELAKALASNYFGSKDSLIRLDMSEYMDKHNAARLIGAPPGYIGFDEGGQLTEAIRRNSHAVVLFDEIEKAHSDVFNLMLQVLDDGRLTDGKGQTVDFKSTLIIMTSNLGNNIIEGVDDKDFSFDRKKILVMEELKKHFRPEFLNRLDEIIVFKELTKVEVEQIANIMLREVCEYLLVKNINLSLTCRFRDYVIQHGYNPSYGARPLRRTIARFLEDTLAEKMLRKEIKEGDSIVVDMNVDEGNVVVLNKKNFKRDDLISFVDSDCGNLVDGKRKDVLGISCNLMGKMLDMLAHRKSP >CAK8565782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:332443014:332446247:1 gene:gene-LATHSAT_LOCUS18966 transcript:rna-LATHSAT_LOCUS18966 gene_biotype:protein_coding transcript_biotype:protein_coding MLESFTECTKKVLMASQKEARFVGHKYISTEHILLGILGDGTCSAAKILKSYGIDFNVSREQVMKLIGRGGGCSGLSCKEVRFTYDAKNVLEFSHKYATSLGHDYVDTMLLLLGLLQGSSGVVTQLIENQGADVNKIREHVIREIEVNVQKVDVTAESSKNKMKDHVEDRVHYDVVTAESSIYNQIKSQVVNQNYNLGARKDENANKQKSALETFGTNLTKLAQEGKLHPFVGREEQVERVIQIICRRMKNNPCLVGEPGVGKTSIIQGLAQRILSGFVPENLKGKKVITLDVADFLYVISNQGFSEDRVKCLIKEIEQSGDVILFVKEVHHLFEAVTSGAKTFAYILKHALERGVIQCIFATTLNEHRMHMENDVTLKRIFQLVKVDEPSVEETVEILKGLRRTYETHYKLEYTDEALVAAASLSQQYVSDRFLPDKAIDLIDEAGSHVQLCHAKNKKSGNAFVPSVKKSDIQHVVSSWIGVPVSDVSKEEGEDLLNLEGMLHKHVIGQNEAINTIGRAVRRARVGLRNCRRPIASFMFTGPSGVGKTELAKALASNYFGSKDSLIRLDMSEYMDKHNAARLIGAPPGYIGFDEGGQLTEAIRRNSHAVVLFDEIEKAHSDVFNLMLQVLDDGRLTDGKGQTVDFKSTLIIMTSNLGNNIIEGVDDKDFSFDRKKILVMEELKKHFRPEFLNRLDEIIVFKELTKVEVEQIANIMLREVCEYLLVKNINLSLTCRFRDYVIQHGYNPSYGARPLRRTIARFLEDTLAEKMLRKEIKEGDSIVVDMNVDEGNVVVLNKKNFKRDDLISFVDSDCGNLVDGKRKDVLGISCNLMGKMLDMLAHRKSP >CAK8579069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672279563:672283315:1 gene:gene-LATHSAT_LOCUS31042 transcript:rna-LATHSAT_LOCUS31042 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENPSPTITLKPVAWVLPYRTEKITEIYRMGRKLGQGQFGTTYLCTHKSSNRRYACKSIPKRKLFCKEDYEDVWREIQIMHHLSEHSHVVRIEGTYEDSTAVHIVMELCEGGELFDRIVQKGHYSERQAATLIKTIVEVVEACHSLGVMHRDLKPENFLFDTVDEDAKLKATDFGLSVFYKPGESFSDVVGSPYYVAPEVLRKLYGPESDVWSAGVILYILLSGVPPFWAETEPGIFRQILLGKLDFQSEPWPSISDSAKDLIRKMLDQNPRTRLTAHEVLRHPWIVDDNIAPDKPIDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDSSGTITFDELKDGLKRVGSELMESEIQDLMDAADIDNSGTIDYGEFIAATVHLNKLEREENLLSAFSYFDKDASGYITIDEISQACKDFGLDDIHIDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRNTLNLRDALGFVGNASNQVIDGYL >CAK8543742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635893265:635896923:1 gene:gene-LATHSAT_LOCUS12482 transcript:rna-LATHSAT_LOCUS12482 gene_biotype:protein_coding transcript_biotype:protein_coding MASVITHRDEETPLVTADESPLQLEPHKSHSRDVHIMSLAFLLIFLAYGAAQNLQSTLNTEEDLGTTSLGILYLSFTFFSLFASLVVRILGSKNALIIGTSGYWLYLAANLKPNWYTLVPASVYLGFCASILWVGQGTYLTSTARRHAIDNNFHEGAVIGNFNGEFWGVFALNQLIGNLITFALLSDGQGGSTKGTTLLFVVFLFIMTFGAILMCFLHKRSGNSKEGYEHSDADAGESKSLKSLCSSLTSTLSDVKMLLIIPLIAYAGLQHAFAWAEFTKYVVTPAIGFSGVGIAMAAYGAFDGICSLTAGRLTSGLTSITSIVSFGAFAHAVVLILLLLNFSISSGFLGTLYILFLAALLGIGNGVLMTQLNALLGMLFKHDMEGAFAQLKLWQSATIAIVFFVAPYISFEAVIIVMLVVLCLSFCSFLWLALKVGNASSPSTT >CAK8541483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:198236440:198237831:1 gene:gene-LATHSAT_LOCUS10401 transcript:rna-LATHSAT_LOCUS10401 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGSSSFVSPLPLYCENLTGSVNSSWHAKPKTEQPMLSDNASIPDCNTVVQEEPDSSNISGSSSSKDQTIQQTLAAPPVKRRKRHRRKTLHSQDASMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFDLPEEEKQELRKFKWDDFLAMTRQAITRKKHKRSLELQNEDWESKQGVSGFSTYEDAEQETSGS >CAK8541482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:198236440:198237831:1 gene:gene-LATHSAT_LOCUS10401 transcript:rna-LATHSAT_LOCUS10401-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGSSSFVSPLPLYCENLTGSVNSSWHAKPKTEQPMLSDNASIPDCNTVVQEEPDSSNISGSSSSKDQTIQQTLAPPVKRRKRHRRKTLHSQDASMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFDLPEEEKQELRKFKWDDFLAMTRQAITRKKHKRSLELQNEDWESKQGVSGFSTYEDAEQETSGS >CAK8573117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586349051:586358925:1 gene:gene-LATHSAT_LOCUS25602 transcript:rna-LATHSAT_LOCUS25602 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLENAPVNLTSIREHSQKELINILKNVRGKKCLVIDPKLGDSLSLIIQSSILKEQGVELRHLSADPIQSDCTKIVFLVRSQPDLMRFICSNVHDDVSKGVQREYHVYFVPRRTVVCEKVLEDEKLHHMFTIGEYPLYMLPMDEDVLSFELGLSYKECLVDGDASSLWHIAKAIHKLEFSFGVIPNVRAKGKASVRIADILNRMQAEEPVNSSDMVMPEINTVILLDREVDMVTPLCSQLTYEGLLDEFLHINNGSVEIDGSILGLPQDGKKTKVPLNSSDKLFKEIRDLNFEVVVQILRQKATSMKQDYTDMTTTTQSVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPTFLGQLDMEHTIIEAQSYDICFEYIEELIHKQEPLITVLRLLILFSITNAGLPKKHFDYFRRELLHSYGFEHIATLNNLEKAGLFKKQESRSNWLTIKRTLELVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAIRSGWRPVEEILKLLPGPHLETRRGGFSNSPSFDTLSGIPTSMAKVPDGRRALVLVVFVGGVTFAEISALRFLSAQESMAYDLVIASTKIVNGQTLLETFMEKLG >CAK8572775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562256468:562259348:-1 gene:gene-LATHSAT_LOCUS25302 transcript:rna-LATHSAT_LOCUS25302 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRYFSLVFLLFLNFSLWVFSTTDHNDYSRSDFPVDFVFGSGTSAYQVEGAANEDGRTPSIWDTFAHAGFGHGGNGDVACDAYHKYKEDVQLMVETGLDAYRFSISWSRLIPNGRGPVNPKGLQYYNNLINELISNGIQPHVTLHNYDLPQALEDDYEGWLSRDVIKDFTNYADVCFREFGDRVKYWTTVNEPNIFATGSYDQGITPPKRCSPPFCVIPSSKGNSTFEPYLAVHHILLAHSSAVRLYRRKYREQQHGFVGISLYTFGTVPQTNTEKDRAACERIRTFFFGWFMEPLLHGDYPNLMKENAGARIPAFTVRESEQVKGSYDFIGIIHYSKFNVSNNSDALKKKLRDFSADSGAKLASEDILADAEYPMAPWALQEVLETFKTLYGNPPMFIHENGQRTASNASLHDVSRVEYLQAYIGSVLDSLRNGSNVKGYFVWSFIDAYELLDGYKSIFGLYSVDRNDPELRRYPKLSAKWYKQFLNGSETSLVGAIELKDNSSLASLGNSFQ >CAK8572492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541022082:541023930:1 gene:gene-LATHSAT_LOCUS25053 transcript:rna-LATHSAT_LOCUS25053 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDAQKGRRIAIIGVSTLLLVAMVVAVTIGASRNESGADNDIEDNRRNHVSSTMRAVQSICQPTDYKKECVETLTAEAQAGNVTDPKELIKIAFNVTMKKIGEQIKATDLIHEVEKDPRSKDALETCKQLMDLSIGEFTRAIDGIDQFNLNDIDRILMNLKVWLNGAVTYMDTCVDGFENTTTDAGKKMKEILTSSMHMSSNALAIISDFADTFDNWNTSNLFGQRRLLDSDTPSWVSEHRMLFDAKTSSFKRKPNVTVALDGTGDVKTINEALLKVPEKSEKPFIIYIKEGVYNEYVEVNKKMTYVVFVGDGGQKSRITGNKNFIDGVNTYKTASVAIQGDHFTAINMGFENSAGAHKHQAVALRVQGDKSIFFNCSMDGYQDTLYVHTMRQFYRDCTISGTIDFIFGNALSVFQNCTFVVRKPMSNQQCIVTAQGRKERFQPSAIVIQGGSIVSDPEFYPVRFDHKAYLARPWKNFSRTIFMDTFIDDLIHPDGYMPWQTPEGFSGMDTCFYAEYHNYGPGSDKSKRVHWAGIWNLNSKAAHWFAPSKFFHGKDWIEETGVPFFSSIPKHHRHKKTVLKW >CAK8573380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607017873:607020270:1 gene:gene-LATHSAT_LOCUS25834 transcript:rna-LATHSAT_LOCUS25834 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPAKNAGLGVAAMSYIAVDYLRHLSPKWHSRLQPALWSILALAAVARVPSYRHWSVEFRSAIPFVASMLFMLVALLYEAISVRSVTAVLGLDWHLNTAPLPDTGQWFLLAMNEKLPAPIVAILRARIIGLHHFLMLFMMLAFSVLFGSVKAPGLGLGARYMFTMAIGRLLRAITFASTILPSARPWCGSSRFRVPGYPHRWAQKYYTPYASDHNAISQLLRLDQAYVDIGKPVGDYRPEWGFMSFLIDFLRPTASEGPSWFSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALVWLLVVHSAQREVRERHHYSVDCIVAIYVGILLWKMTGFIWSHEVRSGNKNLVKFEKIKSRLIQASKDSDIDQVRELLKEIDLSNEDNKKQTAFKYARLFSGATIAFALTIVVLAFTLTTDG >CAK8575601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202174953:202178397:-1 gene:gene-LATHSAT_LOCUS27852 transcript:rna-LATHSAT_LOCUS27852-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGWLPAYVKISPQLDRLVAKPFYNQKSTNRSLKCSPQPQLQLGSNPFQPKNLKRCYCKTKDQDTPFASDSPWESGDIWTNLALYLFTLHIPLSFGGLSVVSLLTGQQPLLHPQTQAISLVTIQVLEFNAALILFKYTAKPQYRYSNFFKNNDRNWFLSSALVLGFLVLLICLTSLLGDILFDFKPLSNPSLKEILLDSDISRVCCVVAYCIVTPLLEEVVYRGFLLTSLSSTMKWQQAVAISSVIFSAIHFSVENFVQLFIIGCALGCSYCWTRNLNSSIAIHSLYNALTLLITYFY >CAK8575602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202174953:202178397:-1 gene:gene-LATHSAT_LOCUS27852 transcript:rna-LATHSAT_LOCUS27852 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGWLPAYVKISPQLDRLVAKPFYNQKSTNRSLKCSPQPQLQLGSNPFQPKNLKRCYCKTKDQDTPFASDSPWESGDIWTNLALYLFTLHIPLSFGGLSVVSLLTGQQPLLHPQTQPLSNPSLKEILLDSDISRVCCVVAYCIVTPLLEEVVYRGFLLTSLSSTMKWQQAVAISSVIFSAIHFSVENFVQLFIIGCALGCSYCWTRNLNSSIAIHSLYNALTLLITYFY >CAK8564623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2722062:2725583:-1 gene:gene-LATHSAT_LOCUS17909 transcript:rna-LATHSAT_LOCUS17909 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIQGELSNSVKALLSKVAYALRHANCSSMSSKVSLMKKVEKTLVDLQHVLYHANNKLQTSSYVLNLLTRLVSQAENLLDKSYFFGYAYATGLSPDQLKIHSRLSFLIVLIESKTENLMQILQGLSSGEQVGDDASSIYGREADIKKLKHLLMSSETRMVSIVGMGGIGKTALAKHLYNHPQVKAKFELKLWADFSNEVDVLKTTLQSITTSQTFLLVLDGVWDARSINWTLLTNILNAGELVSKIIVTTRDERVALCMKTFLYVHYLGPLKVEDCWSILAEHAFGAHNYQQGSYLEEIGAFKKEVQEEIVRKIAKKCDGLPLAAVEHGSLLRISLNPYDWNYVLESHFQETTYEVVGSLKLSYNFLSYRLKQCFRYCSYFPKKSILEKKMVVQLWIAAGLLESSSSTNQEKVGGQYFDELVSRSLIYRRSIGDKKGNFGMHDFIHDLATEVSSSYCLNMDTRNLDDMMYNFSYNRGTYDSYDKFDKLYGLKELRMFLAFPLQEQLPLCLLSNKVVHDLLPTMKQLRVLSLSSYKSITKVPNSIGNLSYMQYLNLSHTNIERLPTEICKLYRLQFLLLAGCKRFTELPEDIGKLVNLRYLDVSDTALREMPVQIVKLENLHTLSDFVVSKHNGGLNIADLGKLPHLHGKLSISQLQNVNDPFEVDRANIKKKEQIDELALEWDCSSTSLDSQIQSVVLEKLRPSTNLKSLTIKGYGGINFPNWLGSFIFSNMVYLRISNCNDCLWLPPLGQLGNLKELVIEGMQSVETIGIEFYGWGGSSFQPFPSLEILHFENMQEWEEWDLNGGTTFPSLKTLSLSKCPKLIVGNIIDKFSSLTELELRECSLLVQSMPLSNHLFRQLMFPLNSLQQLTIDGIPSSMSFPTDGLLKALKLLIISNCENLEFLPHDYLHNYTSLEELKISYSCNSMISFTLGTLPVLKSLFIEGCINLKSILITEDASQKSLSLLRSIKIWDCNELVSFPPGGLATPNLIYFAVWKCEKLPALPEAMQNLTDLRDMEIDNLPNLQSFVINELPSRLQKLSVGSVGGIIWNTEPTWEHLTCLSELRINGDDIVNTLMRPLLPTSLVTLCICGLNDTSIDEKWLQHLTCLQNLEIINAPKLKSLPKKGFPSSLSVLSVTRCPLLEASLRKKRGKEWRKIAHIPSIIINDELIT >CAK8575648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:229923485:229923930:-1 gene:gene-LATHSAT_LOCUS27891 transcript:rna-LATHSAT_LOCUS27891 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELFFWNGSNNPNPLQQFQHNNSNLLLLSGPPSSGKTSLLFQFAFNVAAALHSNSSNPNVVFICNQNRLDSKPPFLSQGIDPSSNIFRRIQMKYILYTITLFCYLSLVITSYIFTLI >CAK8574368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677972549:677979226:1 gene:gene-LATHSAT_LOCUS26722 transcript:rna-LATHSAT_LOCUS26722 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRVSRLATFTRRSQHRFSISLAFSSLPQSQILHNFLPWLEKKATSTISSSLSIANSSYGNSLFASNSIQTGDCILQVPYSVQITADNLAPEIRTSISEDVGNIAKLAIVLLIHKNLGQDSEWHPYISCLPPQGEMHNTIFWNESELDMIRASSVYQETIYHKSQIEKDFLAIRPVLETFCQSFGDFTCKDFMHACTLVGSRAWGGTKGLSLIPFADFLNHDGISESIVMSDDDKQCSEVTADRDYVPGEQVLIRYGKFSNATLMLDFGFTIPYNIYDQVQIQFDIPKHDPLHDMKLELLQQYSVPPTNDAKGLKCSVNSFTIKEVKSARGKGKGVPQSLRALARILSCTMPQELDHLVTEAGQTDGRLARRPLQDMNKEIQAHQMLSSLFIRLIEERNTTLMSLDSCDFSSLCERLPVRKQMAQHLLHGELRVLKSASTWLDNYCFSLT >CAK8533779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659658649:659660604:1 gene:gene-LATHSAT_LOCUS3377 transcript:rna-LATHSAT_LOCUS3377 gene_biotype:protein_coding transcript_biotype:protein_coding MLENVEIGEQKRRDDAYKAAKKKTGLYDDKFNDDPSAEKKILPKYDPVAEEGLTLDERGSFSGEAEKKLEELRRRFTGVSTNNFEDLTSSGKVSSDYYSHEEMLQFKKPKKKKSLQKKDKLDINALEAEAISSGLGVGDLGSRKDAKRQAIKDEQERLAAEMRNNAYQSAYAKADEASKLLRPEQSLYNKTGEDETPTFADDDEDLRKSLEKARRLALKKQEEKGASGPQAIALLAASNPSNETVDDPNSTAGESRENKVVFTEMEEFVWGLHIDEEARKPEGEDVFMHDVEANVPVEENKDEAGGWTEVKETETQMSNPTQKTRK >CAK8536604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1822053:1823561:1 gene:gene-LATHSAT_LOCUS5958 transcript:rna-LATHSAT_LOCUS5958 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRTTALIFTNNLTTVQSLRRHLSNLISPVNQEDLLRVCTILYQQQNSTESRLHSKLISSNFQLTHEFFLQVCNNFPYSWRPVYRFFLFTQQQREQNPTFTHSSVSFNKMLDVVSKSRNIDLFWNLLNETATRRLANDRTFVIALKTLGGVRELKKCVEFFHLMNSNGYGYSVERLNKVVDEMCRVKLVEEAKFVVFKMKDWIKPDGVSYKHLISGFCEKGDLIEASKIWNLMVDEGFVPDVDAVEKFMETFFKVNQFGEALKLFETTRLKRMDELGVSTYRLVIKWLCKKGMMNRAHEVFDEMCERGIRVDSLTLGYVVYGLLAKHRVREAYQVVEKIDVVDISVYHGLIKGLLKLRRASEATQVFREMIKRGCEPNMHTYIMLLQGHLGRRGRKGSDPLVNFDTIFVGGLVKVGHSKEATKYVERVMNRGMEVPRFDYNKFLHYFSNEEGAVMFEDVAKKLREVGLVDLADILERYGQKMATRDRRRDRFPIIEDTAV >CAK8575738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:295772991:295775222:-1 gene:gene-LATHSAT_LOCUS27975 transcript:rna-LATHSAT_LOCUS27975 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVSLLSLSQRAWLLNFLAIELHAGDVGSRNHRDAYHTILSNLFGQGTTGIDGDQALYPFSLQDNSGNADFRNVSKSKVLELLEIIRFRCPDSTNKPSSTMAGMKYDLLAEDILENPGNSGKGGVYYYSERGDRLIDLASFHDKLWQVSNLGNEVELNDVRETIQQLLRWGWKYNKNLEEQAS >CAK8573216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593893981:593894484:1 gene:gene-LATHSAT_LOCUS25689 transcript:rna-LATHSAT_LOCUS25689 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETIKAASHQVRGFDCHDYSFIVDGINDHNKGWPTRYYRVETHKNWCDCGKFQTFCMPCFHVIAACFNVRQDPFLQLSEVYKVSNLFGISNNRFPMVASEDYWPTYQGGTSYHNENMRRNKKGRPRSTGIRNEMDTTEKMERLCGICRLPGHTRKRCPNIGTSSK >CAK8576064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:397761161:397763029:-1 gene:gene-LATHSAT_LOCUS28281 transcript:rna-LATHSAT_LOCUS28281 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKVQIKILVVSTPPPPPLKRWFPVLIPMILVVNVILFLVTMYVNNCPELSIPPTSCFATFLGRFSFQPLEQNYLLGPSYYPLIKMGALHVDKLVHIHQAWRLFTSMWLHHGVLDLLSNTLFLVIIGIPLEKEFGSVRIGLVYVISGLGGNLLCVLFLDSIIYVGSSGAISGLQGLILSELLTKWRIHTHKFVKLLILILLISTDLALGTFPFENNFSTIGGFTSGFLLGFAILIRSQYNGVNLTKSNSSPKQESYQYALRVISFVLLSVGLVGGLVLFFKWVDLNDYCSWCHCITCAPPSCKLGYISCEDYQIGNQLK >CAK8572273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525939346:525940680:-1 gene:gene-LATHSAT_LOCUS24856 transcript:rna-LATHSAT_LOCUS24856 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSPSINLKFLNGKILTSPSSSSTNHHHLKPISAVANNSSYRKKQQQLQQQPQQQLYQPFRPPPTPLPSRFQNLDIPGRIDILSNRLGVWHEYAPLITSLMREGFSPPTIEETTGISGVEQNRIIVATQVRDTLVQSNLDEDILSYFDNGGAEVLYEIRLLSGPQRAAAACFLVEKRYDGKGSQDLARAIKDFPSRRGEKNWESFDYTLPGDCLAYMYYRQSKEHRNPSDERVSALEKALSVVESENARKVVFEELNGKGEGEEGEIEAIALKVPVPVVRLQIGEVAEANSVVVLPVCKAEEGVEVILAAPSEIRNEGVFGIAVAEKGWEKWVVLPGWGPVVGLGKGGVVVSFLDARVLPWKANRWYKEEPILVVADRSKRKVENDEGFYLVKDEGSDVGLKVQRGLVLKELGVTECLGNVVLVVRPPNEDNDDQLSEEDWD >CAK8576579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494751082:494751684:1 gene:gene-LATHSAT_LOCUS28755 transcript:rna-LATHSAT_LOCUS28755 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYKWELRTYFATREDFKEAVRIYAIYSSRNLKFKKNDNKRMRVICKKGCPWESYCAKLQEDNTWQLRKIVDKHTYSRDYKVRFLNFKWLGKKIQSNVRENPNLKLIDVMEKTNQKWNVGINKTLAYKVKSLAIGIFDGSFRVQYTRIHDYSHELLRANIGSSMKITSQPSQGGEENSENPKRSYLICFILVLVTDVDV >CAK8569407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699310760:699315363:1 gene:gene-LATHSAT_LOCUS22263 transcript:rna-LATHSAT_LOCUS22263 gene_biotype:protein_coding transcript_biotype:protein_coding MNETVLREWFNRVDSEKSGSITALQLKAALGKGNLEFSLSVVEQMIRMYDFDKNGTMSFQEFVALNNFLLKVQHAFADLDKGRGFLLPDDVFQAVVKIGFMLDSPAFYSVCESFDQSKNGRFRLDDFISLCIFLQSARNLFTSFDTAKQGRVTLDLNQFIYCSK >CAK8575170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43729584:43729856:-1 gene:gene-LATHSAT_LOCUS27456 transcript:rna-LATHSAT_LOCUS27456 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIRSSGITPNVVPWPTRISGCSQNGKCTNAPQVFNQMRAENVEPPWQIGDDSLRSGDAITLTTLLSGCKKSGLVEERWRYLDSTHEDQ >CAK8568524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613792747:613793874:1 gene:gene-LATHSAT_LOCUS21472 transcript:rna-LATHSAT_LOCUS21472 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNIEELSIHDENEEEGFCFEVNEEGDETSNLRWCLVGRFLSDRPFHVTIMKKRVADVWRPVRGVIIKKATKSLFLFHFDHKLDMESVLNGGPWNFDNNLLIIEMVQLGVQIENIPLNHVEFWVQVHNLPAGLMLERVGITMANFIGSFVEYDKNNNSSFWRQHMRLRVKIDVWKPLKKQTRVKNKGGEWCTVSFKYERLGIFCFVCGILGHTEQRCEVRFAMTKDNGVREWSNELRAENRRINGGQSSKWLKSEKEGGVHVSESGSSKAREEYEPGLESEAETNHEAGPQVLSSQDPHSNKNQIMLKANFNNTDRVLTMYGNNNNSPLIVVTKVIDQAQTKSLTQSLAKNNLAPPLINVVPPLINAVPHWLP >CAK8572051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505430378:505431997:1 gene:gene-LATHSAT_LOCUS24659 transcript:rna-LATHSAT_LOCUS24659 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGVLNALDVAKTQMYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDYTKPKPGVLPPGVQAGVTGVALCGTLAGQLFFGWLGDKLGRKKVYGMTLMLMVGCSLASGLSFGSSPKSVMASLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMAGGIFALIVATAFDHKYKVPTYEENAEASLVLPAFDYVWRLILMFGAVPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVELQAEEEKVQQIVENKSQKFGLFSKEFAKRHGMHLLGTTSTWFLLDIAFYSQNLFQKDIFTAIGWIPPAKEMNAIHELYRIARAQTLIAMCSTVPGYWFTVAFIDYMGRFAIQLMGFFFMTVFMFALAIPYDHWTKKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDASKTDAGYPTGIGIKNSLIMLGVVNFFGMVFTFLVPEANGKSLEEMSGENEEDGAEAVEMAGPGTARTVPV >CAK8538291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475570869:475572371:1 gene:gene-LATHSAT_LOCUS7506 transcript:rna-LATHSAT_LOCUS7506 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPHKKMVGNTRMDAALDAMQQFGFKEKLVRKTVEELLDVYEGTQGWPFIEEGSYKLLIETLLCNQQTCVEDKDDTRRDDVCETSSAATLTTGMTEVGSSCLVAHDSISCASDDLDSRSQTNDHHHDSAPTINREIGTDDKDTNVTTKRGGNQQDINVKSKNDQNPMNNVKENNHKPSVSNVETTVVKNSMIESSKTSDKLPCNRLRRPCHGWISSDDTIDLLYFPPPPLPKHIEKIIGKMEDSQIQRRKSRWDEKPDDNM >CAK8563667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623732713:623733852:-1 gene:gene-LATHSAT_LOCUS17052 transcript:rna-LATHSAT_LOCUS17052 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLRPLVYLNKLSSDPKCHLLLLLLKAHSFNFFSTTNATTSDSDQQSFAISYLTNNCGLSPQDALKASKRLRFNTPDKPDTVIAFFKAHGFSIHHIQSIILRNPQLILSNPIKTILPKFQFLASKGASPSDIVAAVTRSPFFLRASLHKHIIPAFQLVRTFCPSDQKAITSIIFCPSSICDVRMKPNLQFLLDSGVTPSSIYRLLCSRPSVICSNDLRKAVQEIKELGFHPSKYNFCFALLAKRAITKSQWDAKIDALKCWGCSEDAIFNAFKRQPNFMLRSPEKLNAVMTFWIKQLGWDPSVLLAAPNIFEFSLEKRIIPRASVVRYLLSKGLIKKGASLRAPFSLSDDLFMKKYVNCYEAEASRLLRLYQGKNASI >CAK8535583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864862995:864863210:1 gene:gene-LATHSAT_LOCUS5028 transcript:rna-LATHSAT_LOCUS5028 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKQNNVSFFQMPLHYPRYTEKDYQDMPEWKLDSLLKEYGLPTNGDLAYKRNFAMGAFLWPKFPLNSKS >CAK8567933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557328964:557329689:1 gene:gene-LATHSAT_LOCUS20941 transcript:rna-LATHSAT_LOCUS20941 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTLIQTNSPNNHEGEDKDFCFWTSPSLESSRSKDILEGKKELMEMMQRMPESSFELSFPEAKLEPEPVESKVQQPQHKKMNEKKKIKKENKSNSTGRHGKILRAESMDSETFLLKMVFPTSLDWKKKNTKRKNGSKVEEKDKEWRIKRFFTQHRQNTRSSSSSSNNSNDKSRYVDRSFSFSQGCFPFFDHIKSKVKKNTLRLGG >CAK8562118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:442653211:442653672:-1 gene:gene-LATHSAT_LOCUS15644 transcript:rna-LATHSAT_LOCUS15644 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMERLSSPPKNEEKTMCFYVFHPCYCLKEALMTFLKCLGFESTQIKQEENSSTSLLKHHACSSDSILASQDPYNSSSSTQKHSQEGVADTPTTSTQTLNLSSMGRGGPRRTPLTKDPSPGHN >CAK8565200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67142746:67143777:1 gene:gene-LATHSAT_LOCUS18433 transcript:rna-LATHSAT_LOCUS18433 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSRKLHQLLGPKPHETSFHYTDLLHHCKTTDCIKKTHAQIVIGGHEQDPFIAAKLIDKYSQLGGSNVEHARKVFDNLSQRDVFCWNVVIKGYANMGPFVEALNVYDDMLLSGATPNRYTYPFVLKACSAERAYIKGRIVHGHAVKCGLDFDLFVGNALIAFYAKSQEIEAARKVFDEMPIRDVVSWNSIMSGYITNGYVDDAVMLFYDMLRDDDIGFPDNATLVTVLPAFAEKADIHAGYWVHCYIVKTGMKLDPAVGCGLITLYSNCGYITIARAVFDQIPDRNVIVWNAIIRCYGMHGFAQEALSMFQQLVDSGLHPDDIVFLCLLSACSHAGMHEQG >CAK8575913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:359506270:359508717:-1 gene:gene-LATHSAT_LOCUS28138 transcript:rna-LATHSAT_LOCUS28138 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPKTRSGSSSEVTQKVSARAVRQTRTTTIDTNSISSSSSSSTQVNRLSKERSPKLNDRKSPRSPVPERKRPSKISELESQISQLQDDLKKVMDQLVLTESSKKQAQQDAEESKEQLLTLSAKLEESQNQYLELCANGEARDIELQRMTEERDRAWQSEVDDSEKNLSVDSTALASAVNEIQLLKVQLELVANCGSVQTQHAESVNTELLNLKQKLSESLSLMESMKNQLDNSKESEAQAQAVVDEALFQLEAAKRTVEILADDAVKDVDDYNSIALELEHSRARVNTLETLVSKLKTHVNDNESTHSDQNLLHDREFEKECEIVKGGEDPNQIEVEICSLKSEVERLRSALETTETKYQQEQIQSTVQIKTAYELMEQIKSDSCERQRELEAELQRKKTDIEELKANLMDKETELQGIMEENENLNSKLKNNMSSQNDNGLAKEVKRLEQCLDEMKADMMDKETTLQTISEENKTLKTEISKRCSDVENAREEIEKAKAAEREVATKLGIAMEEADRSNRKAARVTEQLEAAQAASSEMEGELRKLKVQSDQWRKAAEAAAAMLSAGNNEKLTERGVSLDNNYKCSPPYGEDIDDEFQRKKNGNMLKKIGVLWKKPQK >CAK8567845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550552443:550552847:1 gene:gene-LATHSAT_LOCUS20863 transcript:rna-LATHSAT_LOCUS20863 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKLFVILSLSAIATARSLTLTTAKTIPTRLHSFAGAGTNNKCWETMFELQHCTGEIVQFFINGETHLGSGCCDALLTIANECWPNMLTSLGLTDEEAEILHGFCNGAASVTKPSPPSVTANAPAPNNYYY >CAK8538706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493459564:493461316:1 gene:gene-LATHSAT_LOCUS7878 transcript:rna-LATHSAT_LOCUS7878 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPIILLVPYPAQGHVNPMQNLASSFIAQGFETLMILPQHVHNKINVDDDKIVKCVGLEDGIEEETTTPDFFAIESSMENIMPIHLEEFLQNNERVGEVCLMVVDLLASWAIQVAGKFGIPTVGFWPAMLASYLLIAAIPQMLRTGLISDTGLPQHEGKITFVPTLPLVSTNDLPWLIGTIDARKTRFKFWMRTLERSKDLKWILVNSFPYETKVKQQNVLFIGPICRPQKFIQTLSFWEEDLTCLRWLINQKVSSVVYISFGSWVNPIGESNLKKLALALEATMRPFIWVLRSTLHQWLPIGFLERVLKQGKGMVVSWAPQTKILEHGSVGCFITHCGWNSTLEALQFRKKLLCYPLAGDQFLNCAYIVEIWRVGLRLNGLGLKDVEEGLDMVMEDKEMSNRLDTLYERFMDIHACDSKSGHFVLKEMLKKASINHERSS >CAK8564364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670100225:670101093:-1 gene:gene-LATHSAT_LOCUS17676 transcript:rna-LATHSAT_LOCUS17676 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNKAILECRDKPIITLLEGIKHYLTKRITSQKELMNTYTGDICPRIQLLLEKNRKHAEGWTPTWHGDDDLSIFCVTNGIETYSVDLKKQTCACRKWDLTGIPCSHAISCIWKNEKKLEDYVSEYYSYSHIIYPTNGPQLWPLLEGQVPIKPPVLRRAIGRPKKLRNKVNDEPINPHVLPKKLTTASCHKCGAMGHNKRSCKGKRVVERVIPKGGNKKKGHTSKDGKRQKLETKGGKKTKAVVTEIRNSSQAP >CAK8560775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41436285:41436872:-1 gene:gene-LATHSAT_LOCUS14425 transcript:rna-LATHSAT_LOCUS14425 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQEKQRSERRKNGLEETICSAKIRENIADPARADLYNPRAGRISTANSLTLPVLRYLRLSAQYVRLYRNGIYAPHWNIDANSLLYVIRGEGRVRIVNCQGDAVFDNKVRKGQLVVVPQNFVVAEQAGEEEGLEYVVFKTNDRAAVSHVQQVFRATPEEVLANSFGLRQRQFTELKRSGNRSPLVHPQSESQSH >CAK8561689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:356465029:356467306:1 gene:gene-LATHSAT_LOCUS15255 transcript:rna-LATHSAT_LOCUS15255-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREEFEVDGKKGPLDWRFVSGNLANSSMGLVSMENSMMGCSPSCSNSMVDSYGSHFLDLLPSSESFGFCDVNGHSNGNGKDGLCFARVGCDDRTLGFGWNVASSMMKRDGVLTNGHEMFPQSLSQFPTDSGFIDAAQMPCFNAGGFGDMVNSCRIPQSTALHVSRPVEYPGSDGIPLQNDGRSDCPVMSLDEGKQALGGSCDEVDRAESTGEGDDGVAVGSHDGSQMLDCTSGEPSIKGLNSKKRKRSRQDGDSDNAVGTPELPKETAKESRQKGEQQPNSKAKASGKNAKQGSQASDSANEGYVHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKALMLDEIINYVQSLQQQVEFLSMKLATVNPHVDFNVERLLPKDILQHRPVPSSALGFLAEMPMAFPPLLHPSQQGLVRSSLPNMANSSDILGRTVEPQFTPLTGEFKEPDQVNPLQEAKH >CAK8561688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:356465029:356467624:1 gene:gene-LATHSAT_LOCUS15255 transcript:rna-LATHSAT_LOCUS15255 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREEFEVDGKKGPLDWRFVSGNLANSSMGLVSMENSMMGCSPSCSNSMVDSYGSHFLDLLPSSESFGFCDVNGHSNGNGKDGLCFARVGCDDRTLGFGWNVASSMMKRDGVLTNGHEMFPQSLSQFPTDSGFIDAAQMPCFNAGGFGDMVNSCRIPQSTALHVSRPVEYPGSDGIPLQNDGRSDCPVMSLDEGKQALGGSCDEVDRAESTGEGDDGVAVGSHDGSQMLDCTSGEPSIKGLNSKKRKRSRQDGDSDNAVGTPELPKETAKESRQKGEQQPNSKAKASGKNAKQGSQASDSANEGYVHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKALMLDEIINYVQSLQQQVEFLSMKLATVNPHVDFNVERLLPKDILQHRPVPSSALGFLAEMPMAFPPLLHPSQQGLVRSSLPNMANSSDILGRTVEPQFTPLTGEFKEPDQVHEMWEDELHNALEIRFTTTSPI >CAK8544164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670009782:670011107:1 gene:gene-LATHSAT_LOCUS12866 transcript:rna-LATHSAT_LOCUS12866 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKKRKITSKLIENFSKRKMCYKTRLKGLFTKMEQVTTLCDVQACAVVFGPGDTTPSMWPSRDAAEKLIHEFDSMPEYVRFKNVTDQLSFLKEKGKKLQATLDKIKEDNEDTLMGSYLYQIENEGKPLSDFQPSVLNRLINFMLKKYKLFSHRVENYEEDVSYLNNPSPPRLPPISCSTDYEINRNEQMLNQQPLLDLVTQSDRMISDFDNSICSSMRPSPHENLNNGDMLGYQNDFEVFGGFNNNAGVGDMLAYQSEFDVFGGFHNIRVPPHECLSGGDNMFLTQGNLGGFDSHTSGGVGMSLALENFEGFNNIGNTTPHENHSVGDNMVIPQGDLQGLYNNDIGITPYLNPNVRVGEVYPERKFEDFNGEDFEGFEAFPLNTFGIHNEAIPTNDGRGMDMSMPLNFYEDNNNGRYMGSFNGNFGNINYGNNFNNRFL >CAK8578727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650174602:650175354:-1 gene:gene-LATHSAT_LOCUS30713 transcript:rna-LATHSAT_LOCUS30713 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTLTKPFILRCFLISLFLSLPLLFLHLFSYQPPIITTTTTTTTTTGLKIRPGYTSYETYIQRQLNKTLNPKLRKIWTTRDWNRKIPVFVKFFQQLITNNLLQKSSKALCIGARVGQEVEALRRIGVVDSIGMDLVPYPPLVVKGDFHNQPFDNDTFDFEFSNVFDHALYPQKFVAEIERTLKPNGVCVLHVALLRRADKYSANDLYSVEPLVELFKNSVLVHVVKVDGFGLDTEVAFRKKLPPTPHKL >CAK8538810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496848216:496851043:1 gene:gene-LATHSAT_LOCUS7966 transcript:rna-LATHSAT_LOCUS7966 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSKKESAPDQRHVDSGHPNPNHKHNNNHNQNHKNHEPNVNQSKTKAPGPHASNTKPAHRSDTYTILGKQFEDVKQFYTIGKELGRGQFGVTYRCKQSSTGLKFACKSISKRKLVSKADKEDIKREVQLLQHMSGQPNIVEFKGAYEDRKSVHVVMELCAGGELFDRIIAKGHYSERAASSICRQVVNVVHICHFMGVMHRDLKPENFLLSSKDDKATIKVTDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEVDIWSTGVILYILLCGVPPFWAETEKGIFDAILQGRIDFESRPWPSISNSAKDLVRRMLIPDPKKRITATQVLEHPWLKEGGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSSEEIQGLKAMFTNMDTDKSGTITYEELRTGLHRLGSKLTEAEVQQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFNHFDKDNSGFITRDELETAMKEYGMGDADTIKDIISEVDTDNDGRINYEEFCTMMRSGVHQQGKLF >CAK8535626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870659742:870663015:-1 gene:gene-LATHSAT_LOCUS5069 transcript:rna-LATHSAT_LOCUS5069 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLQTHFLQTRPSLFRFSTSSSRPTSLRCTCSAATPSTKKSYKITLLPGDGIGPEVVSVAKDVLLLTGSLHGIKLEFQEKLLGGAAFEATGVPLPDDTLSFAKQSDAILLGAIGGYKWDKNEKHLKPETGLLQLREGLQVFANLRPATVFPQLVDASTLKREIAEGVDLMVVRELTGGIYFGKPRGFGTNEHGQEIGFNTEIYAAHEIDRIARVAFNIARKRGGKLCSVDKANVLEASMLWRKRVTALAQEYPDVELSHMYVDNAAMQLIRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGDSGPGLFEPIHGSAPDIAGQDKANPFATVLSAAMLLKYGLGEVEAAERIESAVLETLNKGFRTADIYSAGTKLVGCKQLGEEILKSVESHVPATAGV >CAK8537775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:430695659:430705166:1 gene:gene-LATHSAT_LOCUS7029 transcript:rna-LATHSAT_LOCUS7029 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEINQHRSRARPPTSSTRPQQPVQPRIPLRKLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHKWASIIWLCGPVSGLFVQPLVGHLSDRCASRFGRRRPFILVGATSIVVAVVIIGYAADIGWLIGDDVSQSYRPFAIGVFIFGFWILDVANNVTQGPCRALLADLTRNDARRTRVANAYFSLFMAVGNILGYATGSYSGWYKIFTFTVTPACSISCANLKSAFFLDIAFIAVTTYLSIMSANEVPLSSSGEAHAGEGAGESGGAEEAFMWELFGTFKYFSMPIWIVLSVTALTWVGWFPFTLFDTDWMGREIYGGDPNGGLVYDSGVRMGALGLLLNSVVLGVTSLLMERLCRKRGAGFVWGLSNIFMTICFISMLVLTYAAKSIGYVEKGLPPPTGIVIAALAIFTILGFPLAITYSVPYALISTHIEPLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVAAVAALFSGLLALLAIPRTGTQKPRIRV >CAK8537404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:334546542:334551046:1 gene:gene-LATHSAT_LOCUS6697 transcript:rna-LATHSAT_LOCUS6697 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLHLSKIYSFACGRPSFKREHSHIGERGYSRHVFCNQPHTFDYADNSVSSTKYSLATFLPKSLFEQFRRVANFYFLVTGTLAFTNLAPYTAASAILPLIIVIGATMVKEGIEDWRRKKQDVEVNNRRVKVHKGHGTFEYTEWKNLKVGHIVKIIKDEFFPADLVLVSTSYEDAVCYVETMNLDGETNLKLKQGLEVTCSLQQDFDLSDFRASIKCEDPNANLYSFVGTMDFNDQQYPLSFHQLLLRDSKLRNTDYIFGVVVFTGHDTKLIQNSTHPPSKRSRVEKKMDKIIYLLFCFLFFMAALGSVFFGITTKYDLREQGVMKRWYLRPDHSKIFFDPKRASAAAMFHFLTALMLYSFFIPISLYVSIEIVKVLQSIFINQDIHMYYAEADKHAYARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVERSMNRRNDSPLINDIRNSPITNEPLPIKGFNFTDERITNGTWVNEPNADIIHKFFRLLAICHTAIPEVDEDAGNVSYEAESPDEAAFVVAAREIGFEFYKRTQTSLSMYELDPVSGDKVERVFTLLNVLEFNSTRKRMSVIVKDEEGRILLLCKGADSVMFERLAKDGREYEEKTLEDVHEYADAGLRTLILAYRELDEEKYWEFDNEFSQAKFSVSADRETLIDGITDMIESDLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSFLRQGMKQLIIQLESPDIQALEKAGDKRAIAKASRENIRHQISDGAQQLAASRGTTEQAFALIIDGKSLAYALEDNIKDMFLDLAIRCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNITFGFTLFLYEVCTSFSGQPAYNDWFLSLYNVFFSSLPVVALGVFDQDVSARYCLRFPILYQEGVQNVLFNWRRILSWMLNGFMSAIIIFFFCTNAMEIQAFDKEGRTAGRDILGATMYTCVVWVVNLQMALAISYFTLIQHVFIWGTIALWYLFLLVYGALPPGISTISYKLFLETLAPSPSYWILTLFVVITTLIPYLSYSAIKIQFFPFYHEIVQWIRYEGKTNDPEFCHMVRQRSLRPTTVGSTARLAAKTNSITESSTNRR >CAK8576711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508433860:508434615:-1 gene:gene-LATHSAT_LOCUS28884 transcript:rna-LATHSAT_LOCUS28884 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIVKELKDLQRDPPTSCSAGPVGEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEVAHMCKTDRVKYESTARSWTQKFAMG >CAK8544253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674204941:674208836:-1 gene:gene-LATHSAT_LOCUS12948 transcript:rna-LATHSAT_LOCUS12948-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLILFLHVVYYGICFELVYVDKNVDSIWLGDGVEDANGCEKYEETVLFEDTLVIRSPFTETEVEMPDVNDTEIVEDSEPVENLIIDAKCEYETEVVLDSEDEEMNNAGKVTVGERFLEDESTPGVSNLSVLFRKRLSKIPCDCEHASSKSNAVTSGKSSTGDERASINAESFNDNNHLYHSPEHDDSTLAALGFVDQYLSSLDIDLFQGVQNGKITREKSPHVSSARGSLSLAKKLNARTQNEDKDPFKWAESDQSKKEAGIFSEKIEASFNFGSHGLTYKRRIQKKGSHSQNQGKCSATNRCDENLAREPRMAADNSNSLKELDIVSSAPRENVDIYSNVARTEDDYDIGLDTQIAAEAMDALANLPPAGFHFSDADQSENVSNASLSDLKQAHQINSPFKENPGSQPFALKSYKRNVSACRFRKVTYNSSFQHKNDQEEGIIRHRRKGNCLVAAYPGKIDDVKKKHLNLYTSSYGVAKKSSLNHPVEVRPQLFATNSFSKTDSWTYPKGPRGKRKRANAPRVLCIDDKENNVYSTRSLENHDDLKKKHINLSSCSYGVARKSSLNHQVEVSPQLSATSSYSKIDYWTYPKGSRGKRKRANAPSVVCIDDKENNVYSTKSLEGRDDVQKPRLPPVSAGGAIKFENLHDMHPLLLAHVEVSSNKCVVQSSSEISASVAPSEGIQISNANHKCNEHRKKACEKNRPKSSLLKELVRLGAPKSTSEMMNKDPRHRKDMTNVRVLFSQHLDDSVLKQQQKILARLNISTASSSMEATHFIADKFTRTKNMLETMALGNLVLTHLWLESCGQANCFIDEKNYILRDMKKEKEIGFSMPVSLARARQKPLLKDKRVHITPHIKPNKEVVASLVTAVQGQLVDENQIVADKNDNILDDLLILSCEEDFAICRHFLERGASVYSSELVLNGIVIQKLELERHKLFVNQVSKNKLGKCWFGKVYRRGLRPLS >CAK8544252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674204941:674209246:-1 gene:gene-LATHSAT_LOCUS12948 transcript:rna-LATHSAT_LOCUS12948 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKPSSAFDGDGVEDANGCEKYEETVLFEDTLVIRSPFTETEVEMPDVNDTEIVEDSEPVENLIIDAKCEYETEVVLDSEDEEMNNAGKVTVGERFLEDESTPGVSNLSVLFRKRLSKIPCDCEHASSKSNAVTSGKSSTGDERASINAESFNDNNHLYHSPEHDDSTLAALGFVDQYLSSLDIDLFQGVQNGKITREKSPHVSSARGSLSLAKKLNARTQNEDKDPFKWAESDQSKKEAGIFSEKIEASFNFGSHGLTYKRRIQKKGSHSQNQGKCSATNRCDENLAREPRMAADNSNSLKELDIVSSAPRENVDIYSNVARTEDDYDIGLDTQIAAEAMDALANLPPAGFHFSDADQSENVSNASLSDLKQAHQINSPFKENPGSQPFALKSYKRNVSACRFRKVTYNSSFQHKNDQEEGIIRHRRKGNCLVAAYPGKIDDVKKKHLNLYTSSYGVAKKSSLNHPVEVRPQLFATNSFSKTDSWTYPKGPRGKRKRANAPRVLCIDDKENNVYSTRSLENHDDLKKKHINLSSCSYGVARKSSLNHQVEVSPQLSATSSYSKIDYWTYPKGSRGKRKRANAPSVVCIDDKENNVYSTKSLEGRDDVQKPRLPPVSAGGAIKFENLHDMHPLLLAHVEVSSNKCVVQSSSEISASVAPSEGIQISNANHKCNEHRKKACEKNRPKSSLLKELVRLGAPKSTSEMMNKDPRHRKDMTNVRVLFSQHLDDSVLKQQQKILARLNISTASSSMEATHFIADKFTRTKNMLETMALGNLVLTHLWLESCGQANCFIDEKNYILRDMKKEKEIGFSMPVSLARARQKPLLKDKRVHITPHIKPNKEVVASLVTAVQGQLVDENQIVADKNDNILDDLLILSCEEDFAICRHFLERGASVYSSELVLNGIVIQKLELERHKLFVNQVSKNKLGKCWFGKVYRRGLRPLS >CAK8532934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551952393:551957979:1 gene:gene-LATHSAT_LOCUS2593 transcript:rna-LATHSAT_LOCUS2593 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWCSSNNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERHSNDNNKTLKRTSKVSRCLNLGSYNYLGFAAADEYCTPRVIDTLKKYSPSTCSTRVDGGTTALHNELEECVASFVKKPAALVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNVPAHLEEVLREQIADGQPRTHRPWKKIMVVVEGIYSMEGELCKLPEIIAICKKFKAYTYLDEAHSIGAVGKSGRGVCELLGVDTADIDIMMGTFTKSFGSCGGYIAGSKELIKYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSCRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNIAVVTVAFPATPLLLARARICISASHTREDLTHALKVISNVGDLVGIKYFPAEPLKQQQGDKTVKFD >CAK8541009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:42463015:42463200:1 gene:gene-LATHSAT_LOCUS9962 transcript:rna-LATHSAT_LOCUS9962 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILVECDNNQREDVEVDHGDGDESLWVPAIGMCFLCLEEVKTYYQEYALKKGVWMED >CAK8539430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512622067:512622435:-1 gene:gene-LATHSAT_LOCUS8527 transcript:rna-LATHSAT_LOCUS8527 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVVNGFPLRIEAEKVLEKNVEMNINFLKNMFDKIEWKAFVEASRGMGYTELPEEADSSMLDSDEFLNRFHHALLELHLEEGALVCPETGRRFPVRKGIPNMLLHEDEV >CAK8563313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591916155:591916837:-1 gene:gene-LATHSAT_LOCUS16737 transcript:rna-LATHSAT_LOCUS16737 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNASNSLFLCLILLSASQFLVINCAEFEVGGRVGWVVPTSKDSDEMYNQWASQNRFKIDDTIHFKYDKDSVMMVTEEEYEKCKSDRPLFFENNGNTVYKFERPGMFYFISGVSGHCTRGQKMVIKVLNIEPITARSPQSANETAPIAHSKATQMNPISVTAFTLFVLSFLGMAYV >CAK8570183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27973480:27973791:1 gene:gene-LATHSAT_LOCUS22958 transcript:rna-LATHSAT_LOCUS22958 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIHRLVRRGGVKRISSLIYEDTMLFLKVFLTKIIHDTASYTNHARRKVVNVMDVVYTLKRQGRTLYGFGG >CAK8532653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:351688271:351688504:1 gene:gene-LATHSAT_LOCUS2336 transcript:rna-LATHSAT_LOCUS2336 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPLRNVFNCKLFVPLAYFHSRVYPNSSCHVWGLSGYQVLVPEELGSKIRFIITHQFKWISSVDLFSRRLIHTDSR >CAK8542627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533276982:533277749:1 gene:gene-LATHSAT_LOCUS11451 transcript:rna-LATHSAT_LOCUS11451 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELCSENCGVSSVSPRISFSQDFSQTDAIPVEHQPFRSNSSGLNSSIDFDFCVNQSLNLESSSAEELFSDGRILPAEIKKKKLPLKQPLTTTQSSPPNPPLHPSCLRKDSSKEISKESKYLNDEVCEKQSSSSNSKSFWSFKRSSSCGSGYGRSLCPLPLLSRSNSTGSTSSVNKRNSMSKEGISIKQNSQKHSSSTRLSNSSGSNSYLKPPLNKSHGSHGSVRVNPVLNVPSANLFGLGSIFSNNRDKSKKK >CAK8565289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:101302210:101302921:-1 gene:gene-LATHSAT_LOCUS18513 transcript:rna-LATHSAT_LOCUS18513-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPAFLLGRALHETRACRVEECHCSTTHCTAWCGAFDEAPWNFTLMKRSWLKRKNLDAQNLAAMRKSISAITATSQL >CAK8565288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:101302134:101302921:-1 gene:gene-LATHSAT_LOCUS18513 transcript:rna-LATHSAT_LOCUS18513 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPAFLLGRALHETRACRVEECHCSTTHCTAWCGAFDEAPWNFTLMKRSWLKRKNLDAQNLAAMRKSISVSLKVS >CAK8571087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:286935764:286936302:1 gene:gene-LATHSAT_LOCUS23780 transcript:rna-LATHSAT_LOCUS23780 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQSQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVEQQESAVWRAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSD >CAK8533549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:635259382:635264409:-1 gene:gene-LATHSAT_LOCUS3169 transcript:rna-LATHSAT_LOCUS3169 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSMSTAAVIPNCFSLCLTFSSRLLFNPHKLTTLVFTPWKRTCTTHVICQAKKEEKLAVVGGGAAGVYGAIHAKTIAPHLNVIIIEKGKPLSKVKISGGGRCNVTNGHCADNLILAENYPRGHKELRGSFFNAHGPEDTMSWFSSHGVELKIEDDGRAFPVSNSSSTVIDCLMSEVNRRGVSMQTKKNVTAVSVLPGGKFLLEIKQLPAGSAEHVEVDYLLIASGSNRQGYELASQLGHSIVEPMPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDGLQKNIPQLTQVGPMLVTHWGLSGPAILRLSAWGARFLFSSGYKGRVVVDFIPDVHVESLKTIVSRHKLQFAKQKVINSCPLEFGITKRFWSYVLERQGLSGDVLWASISNNSLMSIGSLLKECAFEMTGKGPFKDEFVTAGGVPLSEISLNTMKSKICSNLFFAGEILNVDGVTGGFNFQNAWSGGFIAGTTIGGLALQSQS >CAK8532469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:267644364:267646907:-1 gene:gene-LATHSAT_LOCUS2171 transcript:rna-LATHSAT_LOCUS2171 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNESQTHHHNNNVETKTTLQTPPLSSKTHPILTLQLKESQDSIFSTNSNTLFTKTMTSTNSTSTEPLPSSDDIAAKAVNKRYEGLVTVRTKAIKGKGAWYWTHLEPILVRNPDSGLPKSVKLKCSLCEAVFSASNPSRTASEHLKRGTCSNFNNSGLKQHQQQQPGSVPSPVPISSVSGSNRKRGSPHQMGVPVSVSTSPTSLTYQNHNLALVEIGYPQVHGSMVHHQNQNQSLNQNHLMLSGGKEDLCALAMFEDSVKKLKSPKTSPGASLSKEQVNSALDLLADWFYECCGSVSLSTLEHRKFQAFLSQVGLPVGSCLRREVSGPRLDARFSEVKSESEAKIRDAMFFQVASDGWKSYNNSSSTSSSNRNLYGLCCGGESLVKFMVNLPNGNSVFQKAVFTGGGGVVNSKYAEEVLWETVTGVSGSVVQRCVGIVADKFKGKALKNLEIQNHWMVNTSCQLQGFVSLIKDFSNELELFSVVTKNCLKVANFIDTESQVRNVFVNYRMQEMEYGGLIRVPSPKCDPLKNFSLVFPMLEDILSCARVIQMVVMEDGFKAMFMEDPVAREVVGLVQSELFWNELEAVYSLVKIIKGMVHDIEAERPLIGRCLPLWEELRTKVKEWCGKYNVVEGLVEKILEKRFRKNYHPAWSAAFILDPLYLIKDTSGKYLPPFKFLTREQEKDVDKLLTRLASREEAHIVLMELMKWRSEGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLCEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKVSSANKNSRVALERAQKMIYIAAHAKLEKRDFSSEEEKDAELFAISGSDEDSMLAEVYADAMQP >CAK8543291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596385434:596387393:1 gene:gene-LATHSAT_LOCUS12058 transcript:rna-LATHSAT_LOCUS12058 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNKARVSDDEEMEDQPQKSLYQVLGLEKTASQQEIKKAYYKLALRLHPDKNPDDEEAKEKFQQLQKVISILGDEEKRALYDQTGCIDDDGLAGDVQNLYEFFRTMYKKVTEADIEEFEANYRGSDSEKNDLIDMYKKCKGNMNKLFCSMLCSDAKLDSHRFKDILDEAIAAGELKATKVYQKWAKEVSETKPPTSPLKRKAKSNKQSETDLFAVISQRQNERKGQFDSMFSSLVSKYGGDNMQEPSEEEFAAAQKKLEKGRSSKTPKQSKRK >CAK8571758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:469249939:469253067:1 gene:gene-LATHSAT_LOCUS24391 transcript:rna-LATHSAT_LOCUS24391 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDVGASLPPGFRFYPSDEELVLHYLLKKITNENVIKGTLEEVDLHTCEPWQLPEVAKLNATEWYFFSFRDRKYSTGFRTNRATTCGYWKATGKDRTVYDPITREVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKGKTENNGKISPQDMYETIAPSLTNQTMPIGYNYNQFAPFSSSMTTHHYNQNDSLLNLLQLSKETNTNCSSVTQISPKCDDGYGFLWDMDLEDHHDGVESSNLEGIRFEVDNNNSSMVLI >CAK8531684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139241509:139248932:-1 gene:gene-LATHSAT_LOCUS1457 transcript:rna-LATHSAT_LOCUS1457 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPVEPQSLKKLSFKSLKRALDLFSPVHQQLAPPDLESKKIRINYKVNAEYGGIKNTTQPPQQTKDNSQQAGSSNALALPGPGNSDLPKGGPQKALVVGPAMPSTATNGLGSQGKSTLVVSSSGSSERNFSTSALMERMPSKWPRPVWHAPWKNYRVISGHLGWVRSVAVDPSNTWFATGSADRTIKIWDLASGVLKLTLTGHIEQVRGLAISSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLAIHPTIDILLTGGRDSVCRVWDIRSKMQIHALSGHENTVCSVFTRPTDPQVVTGSHDSTIKMWDLRYGKTMLTLTNHKKSVRAMAPHPKEQAFASASADNIKKFTLPKGEFCHNMLSQQKTIINAMAVNEEGVMVTGGDNGSMWFWDWKSGHNFQQSQTIVQPGSLDSEAGIYALTYDVTGTRLISCEADKTIKMWKEDDNATPETHPLNFRPPKDIRRF >CAK8565348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:145663252:145666380:1 gene:gene-LATHSAT_LOCUS18569 transcript:rna-LATHSAT_LOCUS18569 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVKIEDQHHLPKDLYHLSIKDLIGKVTEEEENHPVGFGKHGGICAICLEVIVLQETALVKGCEHAYCVTCILHWATYSKKVTCPQCKHPFEFLAVHRTLDGSIQDYMFDESVCLLLRAKWFKPLIVEECAVVDEDIYQEIEDYYNQYEEADVDLDDDEAYYGASANVRLGNRRWGDNGFVRAGRQEARPVNRPSFNDSGASSSREPKKKEQECPKVMTGRRAKRALKREAANKAAEVKHQKHLDRLGRN >CAK8562566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510697761:510698124:1 gene:gene-LATHSAT_LOCUS16054 transcript:rna-LATHSAT_LOCUS16054 gene_biotype:protein_coding transcript_biotype:protein_coding MNINSRISSTFNKYNFSLISSRFRFGLSTQSPSLRNRPSRSSFFQVWVERDLGIQKNNRLKDDVKKVEDWVNMCVLGIEGGGFKGKVIWG >CAK8564368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670455327:670455707:-1 gene:gene-LATHSAT_LOCUS17680 transcript:rna-LATHSAT_LOCUS17680 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIKIIQQALEGIPGGPYENFEIRCFDREKEPEWNDFEYRFIGKKPSPTFELPKQELYVRIEAPKGELGIFLIGDQNGFPWRWKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >CAK8573106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585264397:585266262:-1 gene:gene-LATHSAT_LOCUS25592 transcript:rna-LATHSAT_LOCUS25592 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLWLEKLADISLRQSAAYGFWNFNFNTLYHSTQVIVVLFGGMSILAGHITAEKLTKFILYSEWLIYSTWRVGDNISNLMQSVGASEKVFNLMDLSPSSQFITEGMRLQSLTGHLEFVNVSFHYPSRPTVSVVQHVNFVVNPSEVVAIVGLSGSGKSTLVNLLLRLYEPTSGQILIDGVPHKDLDVMWWRERIGYVGQEPKLFPMDISSNIRYGCTRDVKQEDIEWAAKQAYAHDFISALPNGYETLVDDDLLSGGQKQRIAIARAILRDPKILILDEATSALDAESEHNVKFQHTNHT >CAK8573620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625646474:625653014:1 gene:gene-LATHSAT_LOCUS26049 transcript:rna-LATHSAT_LOCUS26049 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKGFVLLLYGASQHKLRSMMFYTTLLFPMMLVLSPFAFSLTEEGQALMAMKSSFNNIADVLLDWDDVHNDDFCSWRGVFCDNVTLTLTVVSLNLSSLNLGGEISPAIGDLRNLQSIDLQGNKLTGQIPDEIGNCGELVHLDLSDNQLYGDIPFSISKLKLLEFLNLKNNQLTGPIPSTLSQIPNLKTIDLARNKFIGEIPRLLYWNEVLQYLGLRGNMLTGILSPDICQLTGLWYFDVRGNNLTGTIPESIGNCTSFEIFDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENLLVGPIPPILGNLSFTGKLYLHGNMLTGSIPPELGNMSKLSYLQLNDNQLVGEIPNEFGKLEHLFELNLANNHLNGSIPHNISSCTALNQFNVHGNQLSGSIPLSFRNLESLTYLNLSANNFKGIIPVELGRIINLDTLDLSSNNFSGHVPASVGSLEHLLTLNLSRNHLDGPLSAEFGNLRSIQIIDMSFNNLSGSIPPEIGQLQNLASLILNNNHLHGKIPEQLTNCFTLSSMNFSYNNFTGVVPSSKNFTRFSADSFFGNPLLCGNWVGSICRPYFPKSREIFSRVAVACLTLGIIVLLAMVIIAIYRSIQSKQLLKASSMSDQVPPKLVVLHMDLAIHTLDDIMRSTENLSEKFIIGYGASSTVYKCVLKNSRPIAVKRLYNQHPHNLREFETELMTIGSIRHRNLVVLHGYALTPYANLLFYEYMANGSLWDLLHGPLKVKLDWETRMRIAVGAAEGLAYLHHDCNPRIVHRDIKSSNILLDENFEARLSDFGTAKCIPATKTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSKADNNTVMEAVDPEVSVTCVDLAHVKKTFQLALLCTKKNPSERPTMHEVTRVLISLLPAPPSKVIAATGKGFDYAPFVVDKGQHHRKLDGLQPQRDNNSSNAQWFVRFGDAISKSSL >CAK8566794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463619122:463619535:1 gene:gene-LATHSAT_LOCUS19901 transcript:rna-LATHSAT_LOCUS19901 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSFSSGEETIPTPRRASCFPCCFTFRRSTSDDLPWWQRVQNTSLSRGFMKIREWSEIVAGPRWKTFIRRFNRNKTGAGGYRQAGKYQYDPLSYALNFDDGHNGDLEDESPDEFPKFSDRYATAPLYKPGSTDSV >CAK8536957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45344200:45345438:-1 gene:gene-LATHSAT_LOCUS6280 transcript:rna-LATHSAT_LOCUS6280 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRLLSMYKRNPSALSDPPPSGPNSGYLVIFDEEAQTYSCFGLCKNNRIKDFPIPQNKNLTIVYSVGENSHTEKAMFIPVLNQPLSSNRYYVIKRKGKNQGQASTSSKEEDMATCLCCNFVRDVKPRPLEPFDDYQQVEIIKKRYGFRAKSVASDGIPPGLLREKGWTLHASTPDNYRLGQALGSNDSLRAKLPNFNFLLSNDRSESVVVGKWYCPFMFVKEGMRLKEQMKMSVFYELTLEQRWEKIFSKENSGEGGVLVDVDIQTEVAKVAGKDAVWDENNLVDGVLWFKSVEEVGEEISVGLSLQLVEGMKWEQERFGWIAEKGRQVKVTKFEEFGGTNNWNKFSCYVLVETFSLRRMDRRLIFTYDYTHSHQIRNIWE >CAK8544358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679562810:679566697:-1 gene:gene-LATHSAT_LOCUS13045 transcript:rna-LATHSAT_LOCUS13045 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHTTVHTNGIKMHVASIGSGPVILFLHGFPELWYSWRHQLLSLSALGYRAVAPDLRGYGDTDAPSSPSSYTAHHIIGDLVGLLDALAVDRVFLVGHDWGAAMAWYFCLLKPDRVKALVNMSVVFRSRNPSRKPVQTLRALMGEDYYMCRFQKPGEAEEEFAHAGATRVIKSFLTIRDPRPLCVPKEIGFGGSPNTPITLPKWLSEEDVNYYATKFEQSGFTGGLNYYRALDLTWELMAPWTGDQIKVPVKFIVGDLDLTYNTPGVKEYIHNGGFKREVPYLQEMVVMEGVAHFINQERPEEISAHIYDFIKKF >CAK8566622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443432386:443432994:-1 gene:gene-LATHSAT_LOCUS19740 transcript:rna-LATHSAT_LOCUS19740 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVLTVVILAHVWLLMATTSIAQIVIDTSGDAVEDDEEYFIRPAITGNGGGSTLITGNAPCPLQVGLVTTDLANGFPVVFRPFVPRHDEDDVLLDRDLRVTFVASTSCAQSTEWRVGEKDATSGRRLIITGRDDSTVGSYGNFFRIVPTQTSGIYNIQWCPAEVCPSCKFECGTVGVIRENGKILLALDGGALPLVFQKE >CAK8544699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700230568:700234191:1 gene:gene-LATHSAT_LOCUS13355 transcript:rna-LATHSAT_LOCUS13355 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDFGSIPFFCKHIFSSFSPYQQTTRHSHYSFSSTYFPKPLLSHHHHHNHLTMPPVVINGKQTVDVDDSSSSCCSSVTVKPPSLHDYHSFDQQLLDNIAYDALVWASLHGLLMGDKSSKNSGTVPGVGLVHAPFALLPVLLPESKFKQACDLAPIFNELVDRVSLDANFLQESLSRTKKVDEFTSRLLDIHSKMLHLNKKEEIRLGLHRSDYMLDEQTKSLLQIELNTISSSFAGFGSIVTELHRYILSHHGKLLGLDAEKVPVNNAASQNAEGLAKAWIEYNNPKAVIMFVVQAEERNMYDQHSLSAVLKEKHGITIVRKTLAEVDQEGKILPDGTLTVNGQAVAVVYFRAGYTPADYPSESEWQARLLIEQSSAVKCPSISYHLVGTKKIQQELAKPGVLERFLENKGDIAKMRECFAGLWSLDDSDIVKKAIEKPKIFVMKPQREGGGNNIYGDAVRETLIKLQNLGSQEDAAYILMQRIFPNLSAALLMRNGCLHKDHAISELGIFGTYLRNKDKVIINDQSGYLMRTKIASSDEGGVAAGFAVLDSVYLS >CAK8564072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649297971:649299089:1 gene:gene-LATHSAT_LOCUS17412 transcript:rna-LATHSAT_LOCUS17412 gene_biotype:protein_coding transcript_biotype:protein_coding MISDQYQQAHVLYLHYLRQLCPMNQMSNDKIAYKIQAVVKKININFFSQREMNSLFFHNANATTLHSLNLTSSLLFPNNHNLSSKPRFQSSIKTNTPNPKHIPNKKVIILWDLDNKPPRGPPHDAALSLKTLAERFGDVVSISAHTKRHSFFNLPKWNPNQNPNPNSILCRVCGHECKSISDLEIHFRRVHLNRRGKLREKLRSVKLSRSRVGVVRRIHPYNEAAGNVVAPRVGFGMASELRRAGVFVKVVKVGEKVNAADLWLEREMMNGEIGWLVLVSDDREFAEMLRKVREVNLKTVVVGDYWDRDLGKNADLWLPWIVVENGKVDGMGLNFNGKKKTITEGLDDELEEDENLGYEYVTEEEQLDDERF >CAK8531792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151720106:151725321:1 gene:gene-LATHSAT_LOCUS1558 transcript:rna-LATHSAT_LOCUS1558 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDVRDLQLTFLPAGRKMSATDDFDDLEDARLLDFRDGIDDERRRIQVRISGMTCTACSNSIESALKAVDGVLSASVALLQNKADVVFNPALLKDEDIKNVIEDAGFEADILPESSGFGNTPHETLVGQFTIRGMTCAACVNSVEGILTNLPGVKRAVVALATSLGEVEYDPSVISKDDIVNAIEDSGFEAAFVQSNEQDKIVFGVVGASSLIDAQFIEDMLSNMKGVRQFRFDWMSGELDVLFDPQVLSSRSLVDEIHGESNGKFELYVKSPYARMASKDVEETSTIFRVFISSLLLSVPLFFMKVVCPHIPFMYSLLLRRRGPFLIGDWVKWALVSVIQFGIGKRFYIAAGRALRNGSTNMDVLIVVGTTTSYVYSVYALLYGALTGFWSPTYFETSAMLITFVLLGKYLEVLAKGKTSDAIKKLVELAPATALLIINDKDGKSFKEREIDSLLVQPGDTLKTLPGTKIPADGIVTWGSSYVNESMVTGESVPVLKEVNAPVIGGTINMHGILHIKATKVGSDTVLSQIISLVETAQMSKAPIQKFADYVASIFVPTVVSLALLTFLGWYIAGAVGAYPEEWLPENGNHFVFSLMFSISVVVIACPCALGLATPTAVMVGTGVGANNGVLIKGGDALERAQMVKYVIFDKTGTLTQGKASVTSAKVFTGMQRGEFLTLVASAEASSEHPLAKAVLAYARHFHFFDDSSAITENDVKSGWLFDVTDFSALPGRGVQCSINGRHILVGNRMLMVENDIDISKEVENFVIELEQNAQTGILVSYDNILIGALGVADPLKREASVVIEGLQKMGIMPVMVTGDNWRTANAVAKEVGIQDVRAEVMPAGKADIVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAANFVLMRNNLEDVITAIHLSRKTFDRIRLNYIFAMAYNIVAIPVAAGVLYPSLGIKLPPWVSGACMALSSVSVVCSSLLLKRYRRPILTTVPEIVVE >CAK8544016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656238267:656239775:1 gene:gene-LATHSAT_LOCUS12727 transcript:rna-LATHSAT_LOCUS12727 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYHLPLTNIMLLLFLFTTLTSLATTSSIPRELLKGFSAVPLSTSTSFQPVLSDPTGNFSLGFLRQNQNDLQLAVVHTPSSEPLWIANPTQSASWADTTRLFFNGSLVLWDSQRSITWSTNTDGDRVVLLNTSNLQIQNKPTTKTPLWESFHFPTNTLVQDQNFTTNMTLVSSNRLYSLRLGDNFMGLYTINSEEEQGRSLSKRLFLLYWKHTALQAKARVVTGAGPISARVSTEGYIGMYQTSSKPIDVQKFNSFQQPSSTSFLLVRLESDGNLKGYYWDSTKSTWLLNYQAITETCELPNPCGSYSLCTPGESSCSCLDNQTRFEPGGCFNGDGGGDGELCGVDGIGGEKNYMILRRNGVEPPHKELLEEVTTLSLEECEGLCEKNCRCWGALYNNQTGFCYVLDYPIGTMLGTGDESKVGYFKVRKAARKRNRVGVILGIVVAVLVGIIVVGGVICLVRWRKKKANLNEEDNWALPGPYKNLGSESFSSIEMSGSAQ >CAK8575192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50296585:50298463:-1 gene:gene-LATHSAT_LOCUS27476 transcript:rna-LATHSAT_LOCUS27476 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKLRICLAICFIGVISASDVIPSAITHVSSFIPDPSSQLSTTFYVTKCPLALQIIKEEITREVLNDRRLGASLLRLHFHDCFVQGCDASVLLKDNATFKGEQNALPNANSLRGYEIIDKVKDKLETYCPDVVSCADILAVAARDSVVALGGPIWPVLVGRKDSTTANFNAANLDLPSPFLNLNGLIDAFKKKGFSAEEMVALSGSHTIGQAKCALTKSRIYNESNIASDYRTSLQKTCPIKGGDNNLSPLDATTPNFFDNQYYKNLLDRKGLLHSDQQLYNGGSGSLDYKVLSYAINSLLFKLDFANAMVKMGNLSPLTGYPGQIRKYCSRVN >CAK8564531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679966303:679969602:1 gene:gene-LATHSAT_LOCUS17830 transcript:rna-LATHSAT_LOCUS17830 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVVGVRCPPPKFTSHRTINASFFWEPTPKRNTYSSSHHQQFTFPLTNNNITISFVSSISQIPSTQWDACALDATGHHKYNPFLSHAFLSTLEHSHSAVQDKGWTPHHLLAKDQSDQILAVVPLYLKTHSYGEFVFDHSWANAYYNYGSSYYPKLQSCVPFTPVTGPRILIRDTSIKNHVFDFILSAIKDLTAMSHLSSFHVTFPSQNEWHKFTQKGFLPRIGMQYHWTNLNYKNFDEFLMDLKHNKRKKIRQERKKVAAQNLVMKRLRGYEIKAKHWDSFYTFYRNTTDNKWGTPFLTREFFHEMGSKMGDQVLLIVAEDGGELVGGALNLIGGDTLFGRLWGCQPETYYPFLHFEACYYQAIDAAIELNLKTVEAGAQGEHKIDRGYLPVTTYSCHYLIDQEFSKAIEDFLVRETSQVKMVMKLLHDSGPFKEGIF >CAK8542708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539232622:539234898:-1 gene:gene-LATHSAT_LOCUS11522 transcript:rna-LATHSAT_LOCUS11522 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPDEVIEHVFDYLVSHSDRNSLSLVCKSWYRIEGFTRKRVFIGNCYSISPERLVERFPDFKSLTLKGKPHFADFSLVPHGWGGFVYPWIEALAKSRVSLEELRLKRMVVSDESLELLSRSFMNFKSLVLVSCEGFTTDGLAAVAANCRSLRELDLQENEVDDHKGQWLSCFPENCTSLVALNFACLKGEINVGALERLVARSPNLKSLRLNRSVPAGALQRILTRAPQIADLGIGSFIHDLHSEAYIKLKNTILRCRSITSLSGFLEVAPFSLAAVYPICRNLTSLNLSYAANIQGAELIKLIRHCGKLQRLWIMDCIGDKGLVAVATTCKELQELRVFPSAPFGNQAAVTEVGLVAISKGCPKLHSILYFCHQMTNAALITVAKNCPNFIRFRLCILDATKPDPDTMQPLDEGFGAIVQSCKRLRRLSLSGQLTDQVFLYIGMYAEQLEMLSIAFAGESDKGMLYVLNGCKKLRKLEIRDCPFGDTALLTDVGKYETMRSLWMSSCEVTVGACKTLAKKMPSLNVEIFNESEQADCYVEDGQRVEKMYLYRSVAGKREDAPDYVWTL >CAK8570390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42693228:42695241:1 gene:gene-LATHSAT_LOCUS23140 transcript:rna-LATHSAT_LOCUS23140 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSSCNLSITKLIVVTTATLPQNSDSSSSSSSPQQTNLPQSKKPSLGPTNSTTSSPPNKLRQRAPRPSIMQIERALGAGSFRDGEPDLKNEKDLDMKKTTMDLFLGQAFEGMVQKKLRETGEWLQENAETRFRSTKTRKGILVFAFQWMMPIWVLSFLIASGAIKLPFSIPFLDDLLM >CAK8541717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:364125771:364127270:-1 gene:gene-LATHSAT_LOCUS10613 transcript:rna-LATHSAT_LOCUS10613 gene_biotype:protein_coding transcript_biotype:protein_coding MARCQGSTLCFTFFHIEEPSPLETLGILSFDAVKTMCRLISLYKSLTDVEIHKLRRHVIKSKGVSHLNSLDECFLLNLACAERLEDLNLAAAAVSRLGSRCSNKTLTHFDAVYADIKNGAVDLKKVEFGTKNVEKVIDKMEKLVSATRNLLNSMESLSEMEVSEKKMQRWRTMRVNNGLKVKVECFNDRIIYHRRQVQYYKQISLWNLTFDKVTGLMAQIICIVYARISFVFGSLITGCNSSRFYNNNSNNNNGVNKVKGVFRMKLDNRCCRIEHMELYKINLCIYDKEEETLQKKTKKYVGCVLKSNKLGVIHFHSHSPVAKESSIGVGNVAAKNNIVYRLAPASTVGGVGLSQRYANVILFTERIVHAAAPIGEDARKLLYEMLPEGLQMKLRGKLRAKWLKWEEGVESLVGEEEGKSKAMERWRDAAEEVMEWLAPLAHDTLKWQAERNLEKLKFETKPTVLLLQTLHYSNLEKVDEAIVDVLVGLSCIYWCQKEW >CAK8538528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486200435:486205774:-1 gene:gene-LATHSAT_LOCUS7716 transcript:rna-LATHSAT_LOCUS7716 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKSENQTSLIFVINGEKFELSKVEPSITLLEFLRTQTRFKSVKLACGEGGCGACVVLISKYDPLLDRVEDFTANSCLTLLCSIHGCSITTSEGIGNSKQGFHPIHQRFAGFHASQCGFCTPGMSVSLFGALVNADKRNSPEPQAGFSKINVSEAEKSIAGNLCRCTGYRPIADACKSFAADVDMEDLGLNSFWRKGESKDLKLSKLPRYDPDHRSIKFPMFLKDVKHDLFLASDKQRWHKPSSLKELQSLMKLNNANETKVKIVVSNTGMGYYKDKHGYDKYIDLSGISGLSKIKKDRSGIEIGAAVTISKAIAVLKEESKSDFISDFQMILEKIADHMNKVATGFIRNTASVGGNLVMAQKNRFPSDIATILLAADSMVHILTGEKYEWLALEEFLKRPPLGLESVLLSIKIPSLELIKSESSEPRNRFIFETYRASPRPLGNALSYLNAAFLVQVTPCKDSDGTMIQTCRLSFGGLVNKHAFRAENVEDFLVGKLLSVRNLYDAINLLTATATAIIPQDETTKNDYLSSLAVGFLFQFFNKLIDSLSGINNGNLNGHIYLPSVKASDIKENQKQAQHNMVPTLLSSGKQILEADSEYSSIGKPVVKSGAAIQASGEAVFVDDIPSPPNCLHGAYIYSEKPLARITSIKLRQESEHDGVRDILSSKDIPNGGENLGMKTVFGAEPLFAEEIARCVGERLAFVVADTQKLADLAANSALVDYSIENLEPPILCVEDAVERSSFFEVPPFLLPKNQVGDVSKGMAEADHKILSVEMKLGSQYYFYMETQTALAVPDEDNCFTVYCSSQSPEFVHSTIARCLGIPENNVRVITRRVGGGFGGKGVKSVAGAVSCALAAHKLQRPVRIYLNRKTDMIMVGGRHPMKITYSVGFKNNGKITALHLEILVNAGAYSDVSVIIPRNISATLRKYDWGALHLDVKLCKTNHPSRSAMRAPGDLQGSFIAEGIIEKVAATLSMEADSVRSINLHTYTSLTEFYEDCHGEPLEYTMPLIWHKIGVSANYELRVDKVKEFNSVNTWKKRGISRVPVVYELNLRPTPGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFALGTIQCDGSESLLDKVRVVQADTLSMIQGGLTAGSTTSEASCEAIRLSCNILVERLKPIKKTLQEKMSSIKWEDLILQASMQAVNLSASSYYVPSNSSKSYLNYGAAVSEVEIDLLTGETRLLQTDIIYDCGQSLNPAVDLGQIEGSFIQGLGFFMLEEYETNVEGLVLADGTWNYKIPTIDTIPQQFNVEILNSEHNQHRVLSSKASGEPPLLLAASVHCATRAAVKEARKQLHSWSNLDESDSTFQLGVPATMPVVKELSGLDIVERYLKWKMETK >CAK8570685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88202960:88203304:-1 gene:gene-LATHSAT_LOCUS23420 transcript:rna-LATHSAT_LOCUS23420 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNPSHIVIMFVDYMHDDMDEELVRLFMKEEASSSKRPRRQRRNIERNREEGHDRLFNDYFSETPVYMNEQFQRRYQMQKHVILCTVEALGQHDEYFRMMVDASDRSSLSPL >CAK8572082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507936152:507936535:1 gene:gene-LATHSAT_LOCUS24686 transcript:rna-LATHSAT_LOCUS24686 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSQSQSHHSRRMLPPVNTRKRKHNEADKPAKPDKPIGSNKLLAGYLAHEFLTKGTLLGQKFDPKLSRAGIYPDSRVGSGEYLQAEGSDVREEHESFGEVASIMKMDGTHIKGIVNPTQLSQWIHM >CAK8577642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580555289:580555600:1 gene:gene-LATHSAT_LOCUS29730 transcript:rna-LATHSAT_LOCUS29730 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTTHSWDFMELLDDKTMENMGYSNKNQANVIIGIIDTGIWPESPSFRDTNMPPIPRR >CAK8540579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11251912:11252727:1 gene:gene-LATHSAT_LOCUS9572 transcript:rna-LATHSAT_LOCUS9572 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSSTSTTLQDQSDSSHSKEVVKIKEIKQDQSSDANTNNLVSFVKLLKGDSVSESNLQERDFFSPTKNNNERRHENKSEEKNSDSKIFSCSFCRKQFSNSQALGGHQNAHKAERALKKMRKERYETAGALRFGQPRFHPYFSYSNTLFRPYNYNLLGNRIDSTIQKPAYFNPNPRFTSNSFEYANGALYLQERLNPSLVSLTNMRNGNSMVGNLSIGGTSLILNSNMEKKVILAPTSTKDDVHQSKSINGKGEPSNSESCELDLSLKL >CAK8573228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594819810:594820916:1 gene:gene-LATHSAT_LOCUS25700 transcript:rna-LATHSAT_LOCUS25700 gene_biotype:protein_coding transcript_biotype:protein_coding METVKVVTVKPIEATPSTFQDYGQVIEPSPDGDGFGPHDAQLDLSKGIPRFYIMHLENRPLKFSNITHHASVTQCLGSIGGNVWFLGVAKPSIVDSNEIKDSEGKKIVQSCSGHSYVPPAVEDVQVFKVSGSKFLKLNRGTWHAGPLFESDAMDFYNLELSNTNVVDHTTHSFENDNGVVFSIDE >CAK8541928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435378833:435381713:1 gene:gene-LATHSAT_LOCUS10816 transcript:rna-LATHSAT_LOCUS10816 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSDEGSATHKERLRWTQQLHDLFVEAVNRLGGPDRATPKGILKVMMATDISNLNIYHVKSHLQKYRNSKLIPESTTRGKIEKRGVSHILPNFCSISALQLKEVLQMQAEVHKRMNDRVEVQKSLKLKIEAQGKYLDRIGQSSQIKTITRKASKSFVGRATPLPSLSEESESLKIQSEEEHQTTKKKKVAYDDDSIFPADYELGSSPISEFCNQTWNISWSQLAEATCQSPLVSSFLL >CAK8540360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554586040:554586720:1 gene:gene-LATHSAT_LOCUS9370 transcript:rna-LATHSAT_LOCUS9370 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLDEEEIWKCLKHPSKRRKIGICPICLRERLATLCPECANVRPCSCYVTTSSSSSSASSSFSRFSVTGDSIGAIGKVHNLIDREPSLRRSRSMAIPFLRSRSRFSGGIKEMDHDNTKDSPVINGSSSARSFWSMFKTSNRNRGSESERDWEVKKILTAERDSDVSRTAVMARSRSVAVVSVTGDGESKPRSKGKGWSFPSPMKVFRQSKASKVVQERSPLYRG >CAK8575366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:95733136:95733459:1 gene:gene-LATHSAT_LOCUS27636 transcript:rna-LATHSAT_LOCUS27636 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMTAPFFVGSSAIATRSPVAPQRKLVVANAARGVEVEKMKVSYENEKEETNGRRNIMFVAAAAAVCSVAGMALADEPKRGSPEAKKKYGPVCVTMPTARICRN >CAK8572585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549559323:549562077:1 gene:gene-LATHSAT_LOCUS25138 transcript:rna-LATHSAT_LOCUS25138 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYELYRLARENLGNETNTTSVADQPSSPENDFFELVWESGQISSQGQSSRGRKSSPSCRSLPSHCLPSHSPRGRDKDVGGGGYGNNPKIGKFGDLESGLNEIPILVPSREVDEMMPWLDYSMDGSLQTEYGSDFLQELHSDIPASNSFTLFDKRSNGSQIFRDSNKDSAEPMNVSKGSSAEQVETARNKASTNQLYPPSSNQCQTSFVTVRSKESDRTENDNPSNGNQDVPYGEITRIPSSSGDFSSLKAQKQDPKMSGNGSNVMNFSHFARPAAIVRANLQHIGLKSGLVSASARSDSMGIKNRGEASTSSNPPESTLVNSSGECSKEPEIHCQKVAVQSKADLKPLQTKSLEMNAVASKQSEPVCKESGIKKDQPSNPVLGDGSAKVQTAAEKGIEAAAVASSSVCSGNGADRGSDDPNRDLKRKSRDTDDSECHSEDVEDESVGVKKGGSGRGVAGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSMGAGLYMPQMMFPPGMQHMHAPHMAAFSPMGIGMHMGLGMGYGMGIPDMNGGSSRFSMMPQMQGTHIPMSGPSAINGMARSNPQGFGLPGQGISMPMPRAPVFPFSGGPVLNSSAPGPSAYGSTGNAETVNPASVSGSKDPMRNVDSQIQQSTGGRDLTNQIPNQSALAHNGGHPSVVDDSGAANPGNITL >CAK8544254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674209759:674210968:1 gene:gene-LATHSAT_LOCUS12949 transcript:rna-LATHSAT_LOCUS12949 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFCLRKNLPYARLLSTQTGRKLENKVALITGGASGIGKAAATKFINNGAKVIVADIDQQHGRETVKELGPNATFVACDVTKESDISNAVDFAVSEYKQLDIMYNNAGIPCKTPPSIVDLDLETYDKVMDINVRGVMAGIKHAARVMIPRGTGSILCTASVTGVIGGMAQHTYSVSKFAVIGIVKSLASELCKHGIRINCISPIAIPTPFVMNEMNQIYPHLESQRLEEIVRNVGVLKGANCEPSDVANAALYLASDDARYVNGHNLVVDGGLTSFKSLEFPAPDQMQ >CAK8543863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645235612:645236198:-1 gene:gene-LATHSAT_LOCUS12588 transcript:rna-LATHSAT_LOCUS12588 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVILILSISMVLLSSVAVATDHIVGDDKGWTVDFNYTQWAQKIVFRVGDNLVFNYNPSFHNVFKVNGTLFQNCTFPPENEALSTGKDIIPLKTEGRKWYVCGKGDHCAARQMKFVITVLPEGAPAPSSPPPSSTAHYVVSSVFGVVMTAMVAIAIIFV >CAK8533011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:565722596:565723027:1 gene:gene-LATHSAT_LOCUS2663 transcript:rna-LATHSAT_LOCUS2663-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTWKFNVIFGIHNRSLNDKQAGQCIVCRLVLEERELVSDMALNMVAPKNILTSLKQERPLNVSNIKKIYNVRARDNKTVRGPRSEIQQLLKLLDDDYYVSRYRVCEDKVIVRDIFWIHSNSLELLNTLPFILIIDSTYKK >CAK8533010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:565722389:565723027:1 gene:gene-LATHSAT_LOCUS2663 transcript:rna-LATHSAT_LOCUS2663 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWVCRKARKLEFGVVIENSDNGSDRRQVFLTTICERSDTYRSKIRKLKRNNTRSRKCECPFKLHGYRMAYKTWKFNVIFGIHNRSLNDKQAGQCIVCRLVLEERELVSDMALNMVAPKNILTSLKQERPLNVSNIKKIYNVRARDNKTVRGPRSEIQQLLKLLDDDYYVSRYRVCEDKVIVRDIFWIHSNSLELLNTLPFILIIDSTYKK >CAK8574307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674543911:674544501:1 gene:gene-LATHSAT_LOCUS26663 transcript:rna-LATHSAT_LOCUS26663 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERESDHNNTNSITMAKYLMYLSGGAQQFDQMSYSSNFNNRVFECKTCKRQFSSFQALGGHRASHKKPRLMEMNSDGDGGIFTTTTKAKTHECSICGLEFSIGQALGGHMRRHRRLLNTTNGNTHAFIDNTATNSNNNSDCSTVDNSTDTNLAKRKKLNTKKFLSLDLNLTPLENDLKILKIGQSTPNLVDCFN >CAK8574308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674543959:674544501:1 gene:gene-LATHSAT_LOCUS26663 transcript:rna-LATHSAT_LOCUS26663-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLMYLSGGAQQFDQMSYSSNFNNRVFECKTCKRQFSSFQALGGHRASHKKPRLMEMNSDGDGGIFTTTTKAKTHECSICGLEFSIGQALGGHMRRHRRLLNTTNGNTHAFIDNTATNSNNNSDCSTVDNSTDTNLAKRKKLNTKKFLSLDLNLTPLENDLKILKIGQSTPNLVDCFN >CAK8540034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536502266:536505510:1 gene:gene-LATHSAT_LOCUS9073 transcript:rna-LATHSAT_LOCUS9073 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDHMGDFANIAQLTGVDAVKLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYMLVHSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEYIEKDQREYTLDDEDQQAHTVILKPDPDKEDTAVLKKTLSCSYPNCSFTEAIKKEKEKLNLELQRSQANLDMNQCEVIQRLLDVTKVAEYSLPNKGSPEKSHKKEKYNHSDSNDDKGVSSDENYHAKVDTLSPTRFSVSKNDVMSTRGGGSYQQEDWHTDLLACCSEPSLCMKTLFYPCGTFSKIASVVRNRPMSSAEACNELMAYSLILSCCCYTCCVRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGISGAEKTKTSPPPSQYMES >CAK8575046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23963901:23965663:1 gene:gene-LATHSAT_LOCUS27338 transcript:rna-LATHSAT_LOCUS27338 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNHSSHHQNHHNHHTNHHNLPHKWLIIPTLTIFLFLLLILLPRSKPSSSSPSSENPNFTTNFNLPNLPKFAYLLTGTKGEVSQVKRLLQATYHPRNYYLVHLDLEASDAERLEVAKYVKSERIFGVFGNVMVVGKGDLVTYKGPSMIASTLHSVALFLKKVHDWDWFVNLSASDYPLFSQDDLLHIFSFMPRDMNFIEHTSNMGWKEFQRARPIIVDPGLYHSRVSSVYYAKEKRSLPSSFKLFTGSEWVVLTKPFLEFCVWGWDNLPRTLLMYYTNFLSSNEGYFHTVICNHKDYQNTTVNHDLRYLRWDNPPKQHPLSLKLEHFKDMANSGAPFARRFDKDDPVLDKIDKELLGRSDGYFPRGGWCVGDSLKGRNPCDVYGNPDVVKPSLRSKILEKLMLKLLDSENFRPKQCR >CAK8561923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:412693533:412697384:-1 gene:gene-LATHSAT_LOCUS15470 transcript:rna-LATHSAT_LOCUS15470 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSFLSSTSPPPQSSSSFNRPLSSGSTSTVGFSATTSSAGRSQISEIASGSIDSVTEGSLPVPASPDGQILERPNLKVFSYADLKSATRNFKPDTLLGEGGFGKVYKGWLDEKTLNPAKTGTGMIVAIKKLNSESTQGFQEWQSEVNFLGRLSHPNLVKLLGYCWDDEELLLVYEFMPKGSLENHLFRRNPNIEPLSWNTRIKIAIGAARGLAFLHESEKQVIYRDFKASNILLDGSYNAKISDFGLAKLGPSGGQSHVSTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTAMRAMDTKRPSGQQNLVEWVKPFLSNKKKLKGIMDGRIEGQYSQKAAIQAAALSLKCLEGDPKQRPSMKDVLESLEAIEAFKSSPRNPRKIVHINHQFIKLLGTRDL >CAK8561924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:412693533:412697384:-1 gene:gene-LATHSAT_LOCUS15470 transcript:rna-LATHSAT_LOCUS15470-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSFLSSTSPPPQSSSSFNRPLSSGSTSTVGFSATTSSAGRSQISEIASGSIDSVTEGSLPVPASPDGQILERPNLKVFSYADLKSATRNFKPDTLLGEGGFGKVYKGWLDEKTLNPAKTGTGMIVAIKKLNSESTQGFQEWQAKVNFLGRLSHPNLVKLLGYCWDDEELLLVYEFMPKGSLENHLFRRNPNIEPLSWNTRIKIAIGAARGLAFLHESEKQVIYRDFKASNILLDGSYNAKISDFGLAKLGPSGGQSHVSTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTAMRAMDTKRPSGQQNLVEWVKPFLSNKKKLKGIMDGRIEGQYSQKAAIQAAALSLKCLEGDPKQRPSMKDVLESLEAIEAFKSSPRNPRKIVHINHQFIKLLGTRDL >CAK8560071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6360867:6362449:1 gene:gene-LATHSAT_LOCUS13784 transcript:rna-LATHSAT_LOCUS13784 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFNMICPNICYAHLAATQLGQFMKFEDKSETSSSHGGLSAAGAVPVPQLPKLQESLDKLLKEHQIILEESIIVIQTVHLQGV >CAK8538767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495433086:495438480:-1 gene:gene-LATHSAT_LOCUS7930 transcript:rna-LATHSAT_LOCUS7930 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNKLQSRFSLVRQSSRAPDREDSGAVDVPESVALNPTVPLMYLANEGDFEAINELLDDGYDVNFRDIDGRTALHIAACQGRTEVVQLLIQRGAEVDPQDRWCSTPLADALYYKNHDVVKLLEEHGAKPPEAPMHVQNAREVPEYEIDSSELDFTNSVCITKGTFRSALWGGIQVAVKTLGEEVFTDDDKVKAFHDELTLLQKARHPNVVQFLGAVTQSTPMMIVTEYLPQGDLRAYLKRKGALKSSVVVKFALDIARGMNYLHEHKPDPIIHRDLEPSNILRDDSGHLKVADFGVSKSLKITKTVKEDKPVTCQDTSWRYVAPEVYKNEEYDTKVDVFSFALILQEMIEGCPPFYRKPENEVPKAYVENERPPFRASPKCYANGLKELIEECWDEEPYRRPTFNKIIKRLDNINHHLAQKKRWKALTPSCIWSLEALFKRYPTNPDSRSACST >CAK8562576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511227097:511227577:1 gene:gene-LATHSAT_LOCUS16063 transcript:rna-LATHSAT_LOCUS16063 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKRPRKLKSLETCNWTTAMDEVLLDAYLHQQTLGNKNGNSMTTSAMDSILKELKTHFPDKPISKEKIKDHMKHIKTKFNSCYDLFQNGLSEFGWDSTTNIWIAEDEVWNKLIEAKPEAAE >CAK8540014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536039944:536040273:-1 gene:gene-LATHSAT_LOCUS9056 transcript:rna-LATHSAT_LOCUS9056 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSSNFVAEKWLGFVTAIWVQAICGNNYTFANYFDVLKSLMFLTQMQLNNLSVVKDVGKAFGIFSGLVSDRWPTSVILIIGAIMDSSVMVFNGLLLAKESPLSLIGW >CAK8561784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:384704364:384705008:1 gene:gene-LATHSAT_LOCUS15343 transcript:rna-LATHSAT_LOCUS15343 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAIIQFGILILTLSLCFLMLYFRNQKTHSKTRTRNKIRQPEPNRHLNQASRHLNRARSTTNKTLHAKNALSEADQALAITPRDPSVHILRARALCIMNHRTSAIKSLDTALSLPAAKFLPPQERADLLVFRAEMKLAVNRKRRVESAMEDIEEAVRVSGEENDNSEALCLLGKCYEWKGMREEAKNVYEKVLDVEPGSAEARIGLKRLGL >CAK8571218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:340500276:340503082:1 gene:gene-LATHSAT_LOCUS23901 transcript:rna-LATHSAT_LOCUS23901-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTEHSTESCSKKSKGLNIGEYQIEGLSIGGHETCIIVPKFNVAFDIGRCPPRAVSQDFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLVGLDVGEEFSLRNDLKVKAFRTYHVIPSQGYILYSVRNKLKPEYIGLSGNEIKNLKSSGVEITNTLAEPEIAFTGDTTSDFISDENNTDVLRAKVLVLECTFLNNSITVEHARDYGHTHLSEIINHADRLQNKAVLLIHFSARYTVEEIQQAVSALPPSLAGRTFAFTEGF >CAK8571217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:340500189:340503082:1 gene:gene-LATHSAT_LOCUS23901 transcript:rna-LATHSAT_LOCUS23901 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIRAPLHTAIPRFFSTPIHYYLESLLMERVTEHSTESCSKKSKGLNIGEYQIEGLSIGGHETCIIVPKFNVAFDIGRCPPRAVSQDFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLVGLDVGEEFSLRNDLKVKAFRTYHVIPSQGYILYSVRNKLKPEYIGLSGNEIKNLKSSGVEITNTLAEPEIAFTGDTTSDFISDENNTDVLRAKVLVLECTFLNNSITVEHARDYGHTHLSEIINHADRLQNKAVLLIHFSARYTVEEIQQAVSALPPSLAGRTFAFTEGF >CAK8541505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:208337627:208337944:1 gene:gene-LATHSAT_LOCUS10422 transcript:rna-LATHSAT_LOCUS10422 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTTLFKSSLFSLNTKTKPYSLPKSKPTNFIIKSQSQSQTEPLTHNNNSNNSTSSLATPPSSSSKHHRPADENTCDEAHRVNVSQHLFSVKYAPFNTNPSST >CAK8574376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678612813:678613420:1 gene:gene-LATHSAT_LOCUS26730 transcript:rna-LATHSAT_LOCUS26730 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8566111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381125434:381125888:-1 gene:gene-LATHSAT_LOCUS19274 transcript:rna-LATHSAT_LOCUS19274 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKMKKINRWNEKYLTRVGKEVLVKAIAQAIPCYVMSYYKLPIKEIKAMPTSLRILNDKSSLLSKVLKGKYFPRCSIAEASMGHSPSFVWRSILGYRETFENGT >CAK8565500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:247613186:247614569:-1 gene:gene-LATHSAT_LOCUS18711 transcript:rna-LATHSAT_LOCUS18711 gene_biotype:protein_coding transcript_biotype:protein_coding MERKITNVSFPIFTVLIALLSQHLVIPVISSTLVDQKNYYIPDPRLRNPPTSFSDSLCPHSSSSPPSHSSSPSHGSSPSSHGSSSSPPSHGGYYTPTPPSVGCISSPPHDPSTPSYNPTPSTPSNPPSSGGYYNSPPSTPVDPPITLTPPSPSTPIDPGTPTLPSPPFLPSPSPLTGTCNFWRNHPAIIWGVLGWWGTLGNAFGVTSVPGFSPGLTLPQALSNPRTDGLGALYREGTASFLNSLVNHKFPYTTDQVRDRFSASLHSNKAAATQAHIFKMANEGKMKPRSP >CAK8570425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45210254:45216957:1 gene:gene-LATHSAT_LOCUS23173 transcript:rna-LATHSAT_LOCUS23173 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFGDGGGVATPVPLSVSGSFREGRGSSRRRGSARQPSMEADEFMSLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRHSERLREKAVEELSEELSKVDGKLKLSESLLESRNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQFALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEESVLAGGAAANAVRDYQRKVQEMNEERKTLNRELGRAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTSKSEAQLKEKYQLRLKVLEESLRGNSSGSSRSTIEGRSINNGYSRRQSLGGADNISKLTSNGFLPKRAPSPQLRSSSLSSSSILRHAKGTSKSFDGGSRTLDGGSRTLDRSKTLLSAAPQSYSFNQSLEETKEREADDNWKGSSGDKPNDFPPGDTDDSIPSVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVEKVQENRAKRFSNIKGPVNSAQNQLISGRNVTRGGLTRSTQ >CAK8577447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564679952:564680206:-1 gene:gene-LATHSAT_LOCUS29555 transcript:rna-LATHSAT_LOCUS29555 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLIVFLPLLGSSVAGFFGRFLGSEGTSIMITTCVSFSSILSLISFYEFAPGASACYLRIAPWISSEMFDASWGFFGDREVTG >CAK8536980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:48329603:48329902:-1 gene:gene-LATHSAT_LOCUS6301 transcript:rna-LATHSAT_LOCUS6301 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVRKELLLMRFVASCTVFVGFGETLHWDFHGQLVCTTHGFKWGRLLFVLQLMACGGFLFSFSAVDSVTSFNLKNHIGLLWLSHDKLLELFCVIYVMW >CAK8544801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706308668:706310310:1 gene:gene-LATHSAT_LOCUS13451 transcript:rna-LATHSAT_LOCUS13451 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLGECAENQARLQRNLMYLAAIADSQPQPQTMPGQYPGGGGMMQGQQQGGGGGAHYMQQAQQMTQQQLMAARSSLLYAQQQQQQPYSALQQHQLGGGGSSGGLHMLQSEACSNMNVGGSSSSGGGVGGFPDFIRGGGGGDGLHRSLMGGGSKQGEIGMGSSSDQGRGGGDGGENLYLKSSDDGN >CAK8562185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452070363:452071422:-1 gene:gene-LATHSAT_LOCUS15704 transcript:rna-LATHSAT_LOCUS15704 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTHLPLSPFNSFTTPSSPSSLHPSPQVVTKMECVNSETTSSDFHVQNIAPVDDFSVDDFLNFSNEEEQEQEQDILQQPQQEQDILEEKQQQNHFQDNTQTQNDISHPIINNHFESLPTTELTVPVEEAANLEWLSYFVEDSFSQFSMTENHHLLQPKAPQPKPTSTPCFKTPVPGKARSKRTRTGVRVWPVSLVDSSSTSSSTALSSSSSHLEECSKPPEKKPKRRMGSMDGGEGRGLLRRCSHCGVQKTPQWRTGPAGPKTLCNACGVRYKSGRLLPEYRPACSPTFSREMHSNHHRKVLEMRRKKEVVPGSPLHPAAPGS >CAK8562144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446452337:446452654:1 gene:gene-LATHSAT_LOCUS15668 transcript:rna-LATHSAT_LOCUS15668 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLPQPDVIIKQILKRCSSFGKKQGYNEEGHPEDVPKGHFVVYVGENRTRYIVPISWLPHPQFQCLLQIAEEEFGFNHDMGLTIPCDELVFEHLTSLIR >CAK8530985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67959955:67960662:1 gene:gene-LATHSAT_LOCUS807 transcript:rna-LATHSAT_LOCUS807 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIMPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDKVFCFCFKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYR >CAK8563090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572751127:572752347:-1 gene:gene-LATHSAT_LOCUS16534 transcript:rna-LATHSAT_LOCUS16534 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSISAAPIPSAANTKNPGKKKRTNRSAKLKQYKIDARREQWLSQGAAKNKGCKDGVEDDIHLPSSPMGKRGKQELVKVETRRRGEEDVIHHDSDSESPSNSPISPNSSVLCGGTDSGTNFTGSSSGGSSSSSSSSGGCFSGNVTEEEEDNNDEEVEEEDDGCLDDWEAVADALAADDKHETSSLDEPVVEMDLSRELTHGSSLGYPNSKPGSAGMVPWGSSNGRAWRVDDALRPQTLPNLSKQHSMPNPDRRFGGGAPWSRTSVPSPCPICCEDLDLTDTSFLPCNCGFRLCLFCHKRILEQDARCPGCRKQYECEPVETEASVHGGSLTLRLARSVSMIERS >CAK8577218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545180530:545182425:1 gene:gene-LATHSAT_LOCUS29345 transcript:rna-LATHSAT_LOCUS29345 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWFSLKKSLHCKSEPSDVHDPKTRKHLSTILTKKGGRSGCSRSIANLKDVIHGSKRHLEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFQEGVNSGGSNASGSSGGGSSTFVGTLRPGTPGPGGHPTMHYFNPSFRTSSTPPRKSPFLLSEGSGFHGGGGGVHSSNRMSLETDANGSSTVTCHKCGEQFSKWEAAETHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLQKKHPRCLADGNELLRFYGTSVACSLGLNGSSSLCLSEKCCVCRIIRNGFSAKKELKGGIGVFTTSTSGRAFESIEILDNEPSLRKALIVCRVIAGRVHRPLENIQEMAAQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >CAK8530332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14840786:14843243:-1 gene:gene-LATHSAT_LOCUS203 transcript:rna-LATHSAT_LOCUS203 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESDSTTRHKYGDGILTSNKHSVKTQGFLQRGNSWYVATDIPSDFLVQIGEANFHLHKYPLISRSGKMSRIIYESREPDVNKVVMNDIPGGFEAFELAAKFCYGIAVDLTAGNISGLRCSAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWSENLQIVRRCSESIAWKACANPKGIRWSYTGRTASKISSPRWNSNSNNNDMMKDATSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYATKWLQGLVSETTITFDETNNSHSSSSGSGSNWIKGGGGGLHMIVSGGTRDETSTLQAKEQRMIIESLISIIPPQKDTVSCAFLLRLLRMAIMLKVAPALVTELEKRVGMQFEQATLSDLLIPCYNKGETMMYDVDLVLRLLEHFLVQEQTESSSPKRQSISENHLGSHLNAKARVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFAEQVKISTALSNPSLKDVETFTQPMVTNRKTLLEATPQSFQEGWTSAKKDVNTLKFELESVKAKYLELQNDMENLQKQFDKMLKQKHSSAWSSGWKKLSKLTKMTNVQNHDHDISPRSNNLTSAEQNRKSTRRWRNSIS >CAK8578417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628195539:628196246:1 gene:gene-LATHSAT_LOCUS30430 transcript:rna-LATHSAT_LOCUS30430 gene_biotype:protein_coding transcript_biotype:protein_coding MHIERNVFLNILFTVMDTKGKTKDTLNSRRDLKKHCKRMGLELQPGRNGNFVKPKAQYTLTKQQRIAVCEWVKNLKLPDGYVSNLSICVDMKEAKLFGMKSHDCHVFMQRLLPLAFKSLPKPILNTLTEFSQFFREITSSSLREDKLRNLEENIPIIMCKLEQIFPPSFFDSMEHLPIHLAYEARVGGPVQYRWMYPFERFIRTLK >CAK8573448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612967889:612968524:-1 gene:gene-LATHSAT_LOCUS25895 transcript:rna-LATHSAT_LOCUS25895 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIVGSNADSDTTIRVITSNGGIMEFSAPITVTFITNEFPGHAIFRSHDLFWKPLSQFDELEPGQSYYLLPINNNNNNNTESPNGSIGSDYDYEHVVRQGHVRSHSVPTTSYPAPYRMSLDYQHNLGMRFLKKSAVESLSCRSRFWKVKLVISPEELVEILAQEGRTKELIESVRIVAKCGDISSAAEDIVSDQWSLSNTSWSISSKTK >CAK8576644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500172077:500173082:1 gene:gene-LATHSAT_LOCUS28818 transcript:rna-LATHSAT_LOCUS28818 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVAEALKPSLRTESVFSQTINEEILCLNANNVVVGEDFSVDDLLDFSNSEFQHGSVGKEIDNYEEEEEEHEKNSTTSGSEHDRTEDDGNSNSMTFSGTGESDSIFAGELAVPADDVADLEWVSHFVDDSLPELSLLYPVQARVEPELRPGSCPTKITSHLTLIRRKPRTNKTRRPNCNTWSFNPILCKAKKQRKKPEAQTGGAQLQRRCSHCQVQKTPQWRTGPLGPKTLCNACGVRYKSGRLFPEYRPASSPTFSGNIHSNSHRKVLEMRRRKETEEPVSGLNRNQMASNW >CAK8566623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443490017:443490625:-1 gene:gene-LATHSAT_LOCUS19741 transcript:rna-LATHSAT_LOCUS19741 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVLTVVILAHVWLLMATTSIAQIVIDTSGDAVEDDEEYFIRPAITGNGGGSTLITGNAPCPLQVGLVTTDLANGFPVVFRPFVPRHDEDDVLLDRDLRVTFVASTSCAQSTEWRVGEKDATSGRRLIITGRDDSTVGSYGNFFRIVPTQTSGIYNIQWCPAEVCPSCKFECGTVGVIRENGKILLALDGGALPLVFQKE >CAK8567651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533758026:533759784:1 gene:gene-LATHSAT_LOCUS20685 transcript:rna-LATHSAT_LOCUS20685 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKVHTFEEVAKHSKTKDCWLILSGKVYDVTPFMEDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYYIGEIDPETVPLKRTYIPPQQSQYNPDKTSEFVIKILQFLVPLLILGLAFVVRNYTKE >CAK8531180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87087560:87088303:-1 gene:gene-LATHSAT_LOCUS988 transcript:rna-LATHSAT_LOCUS988 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFKSQSTNPIMPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLDEEVAPVRVVNTHKQRFQQKEDEILIQSWLNISKDSIVGVGQKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPFVQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEVA >CAK8571982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500181086:500185646:1 gene:gene-LATHSAT_LOCUS24592 transcript:rna-LATHSAT_LOCUS24592-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEHEVYGADIPDEEVEMEAEMEAEQQGDEELPPNHTTKELEDMKKRLKEIEEEASALREMQAKVEKEMGAVQDPAGSSVSQAEKEEVDARSIYVGNVDYACTPEEVQQLFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALILNETELHGRQLKVSAKRTNVPGLKQYFGRRPAGFRARRPFMPSPFFPPYGYGVPRYRRPTRYRPY >CAK8571981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500181086:500185646:1 gene:gene-LATHSAT_LOCUS24592 transcript:rna-LATHSAT_LOCUS24592 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEHEVYGADIPDEEVEMEAEMEAEQQGDEELPPNHTTKELEDMKKRLKEIEEEASALREMQAKVEKEMGAVQDPAGSSVSQAEKEEVDARSIYVGNVDYACTPEEVQQLFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALILNETELHGRQLKVSAKRTNVPGLKQYFGRRPAGFRARRPFMPSPFFPPYGYGRVPRYRRPTRYRPY >CAK8533493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625540574:625540750:1 gene:gene-LATHSAT_LOCUS3116 transcript:rna-LATHSAT_LOCUS3116 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGIWYYKYVEGDHVLFIINRERAGVQFDLIYDSIFERCRKHVFRKKLPPLPNEIHH >CAK8579347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693116445:693128088:1 gene:gene-LATHSAT_LOCUS31299 transcript:rna-LATHSAT_LOCUS31299 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDYGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKSDEGTREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRSKQISTVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVRSYIEKPNCIILAISPANQDIATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVDMLEGRAYRLKFPWIGVVNRSQADINKNVDMIAARRREREYFNSTPEYKHLANRMGSEHLAKMLSKHLETVIKSKIPGIQSLINKTINEIETELARLGKPVAADAGGKLYAIMEICRSFDQIFKDHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAVHSLLKDLVHKAVSETLDLKQYPGLRAEVGAAAIDSLDRMRDESKKATLQLVDMESGYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGTTILSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDHFFTDLGKMETKRLSSLLNEDPAIMERRTGLAKRLELYRSAQAEIDAVAWSK >CAK8576261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:438978705:438980198:1 gene:gene-LATHSAT_LOCUS28464 transcript:rna-LATHSAT_LOCUS28464 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPETLAHINNGFELHDFIEDPNFDQFINLIRGEENEDTTMCNFNSDLIMNQSFVDNSFVSFPTNTFDHSNNSFVTPFDPTSSLGSLSCFEGEAKGEIREENDNDNDNDNDDDDDNYSSPTTTTSGDTKPRMKTDRSKTLESERRRRGRMKDKLYALRSLVPNITKMDKASIIGDAVSYMQQLQSQAKKLKAEVSGLEASLAVSKTHQASIENHKKIQFNDNTSSICKKIVQMDMFQVDERGFYVKIVCNKGERVAASLYKSLESLRDFNVQNSNLATVSDNFLLTFSFNVKNSEPIINLPNLKLWVIGAFLNQGFEFLPSF >CAK8534692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755719659:755723189:-1 gene:gene-LATHSAT_LOCUS4212 transcript:rna-LATHSAT_LOCUS4212 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAPTTLSLLPTTKSSTSSATPSSSRVHLSSSFLGARPLRRLGFAVADPLLAVHVASKVRTASGRGVRGVVSMAKKSVGDLSAAELKGKKVFVRADLNVPLDDNNNITDDTRIRAAVPTIKYLIQNGAKVILSSHLGRPKGVTPKYSLAPLVPRLSELIGIEVIKAEDSIGPEVEKLVASLQDGGVLLLENVRFYKEEEKNDPEHAKKLAALADLYVNDAFGTAHRAHASTEGVTKYLKPSVAGFLLQKELDYLVGAVSTPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATSLLAKAKAKGVSLLLPSDVVIADKFAPDANSKTVPASAIPDGWMGLDIGPDSIKTFNEALDTTKTIIWNGPMGVFEFDKFATGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVAEVMSHISTGGGASLELLEGKELPGVLALDEATPVAV >CAK8577767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587102469:587103775:1 gene:gene-LATHSAT_LOCUS29841 transcript:rna-LATHSAT_LOCUS29841 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDSSSCSHHPHLPPGFRFHPTDEELVVHYLKRKAASAPLPVAIIAEIDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILTCDGHVKVGVKKALVFYGGKPPKGVKTNWIMHEYRLITDHNNNNSSYNAPSKTTSMAIIDHPPNNNKKNSLRLDDWVLCRIYKKSNSSTMPRPPLMDQYDKDLSMEQTYAMQHNSKPPSSRSTSYGLENDDNFFDGILASQHHHQGMESCDMNSKGDDNNNNNSDTFSMKRALNGSSSSQFWNETGSPGSSSSSKRFHGDLNSGISSNAEENNSFVSLLSQLPPNATFHQNSILEDGVMRQQFQLPDINWN >CAK8536371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935552972:935553932:1 gene:gene-LATHSAT_LOCUS5747 transcript:rna-LATHSAT_LOCUS5747 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKVLRKTLVNMGYALTEASFNYYSREIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKDNTDNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNIFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGHPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8566888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470286832:470287347:-1 gene:gene-LATHSAT_LOCUS19987 transcript:rna-LATHSAT_LOCUS19987 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQQYICASFSSSSSTTTSLKKKVVFISFRGEDTRRNFTSHLCDALSKKVLAFIDETELQRGDEISSALIKAIEETVISVVIFLKDYASSKWCLHELVKILECKRDQGQIMILVFYDIQTSHVRNQPGSFKEAYKNHKQNLRHNKDKFKKWKNALIEASNLSGWNSQDYR >CAK8535575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864274569:864280388:1 gene:gene-LATHSAT_LOCUS5021 transcript:rna-LATHSAT_LOCUS5021 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVQPSDSIMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLAENKVSEDGFLVVMLSKSKTSGPAGTSSTQNASNPPIAVPTPDSTPVVQTQSVNNNTSAEDVPITNVTTDTYGQAASNLVAGSNLEQTIQQLVDMGGGSWDRDTVNRALRAAFNNPERAVDYLYSGIPETAEVAVPAPHYPNNQTETGGVTTGVIPGAPNSAPLNMFPQETISGAGAGAGSLDFLRNNPQFQALRTMVQSNPQILQPVLQELGKQNPSLLRLIDEHHAEFLQLINEPMDGSEGDNFDQPEQDMPHAINVTPAEQEAIGRLEAMGFDRALVIEAFLACDRNEQLAANYLLENAADFED >CAK8531966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184980917:184981909:-1 gene:gene-LATHSAT_LOCUS1713 transcript:rna-LATHSAT_LOCUS1713 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPAEPLLAPNPDRFCMFPIQYPKIWEMYKKAEASFWTAEEVDLSQDLHHWKSLTDDERHFISHILAFFAASDGIVLENLAGRFMKEIQVSEARAFYGFQIAIENIHSEMYSLLIETYINNNTEKNRLFHAIDTIPCIAKKADWALKWIDSSDSFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLIYSLLRKKLSEERVKMIVRDAVEIEKEFICDALPCALVGMNGELMSKYIEFVADRLLCEVGCGKVYNAENPFDWMELISLQGKTNFFEKRVGEYQKASVMNSLNGNGAAEHVFNMDEDF >CAK8568690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632669882:632672652:1 gene:gene-LATHSAT_LOCUS21625 transcript:rna-LATHSAT_LOCUS21625 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTMVHSHNNTGGTQHDLKPVLRDFLGMKPSPSPSSAAPPAPFSSTSEIASEKQVPNHLEGVPYYGPRTDFSTTEITNRLVGNKRTNSDSSFIGSSRDAFHMVPDSFQNSHLMKVLRHVSGGERSRRPNDDEVLLGMQSMKPSSSSQIFHPPTSTMIDANKWDRSVLMNAGPSMQHLPRGGQMAPFAHQLASTSNKIRDTNVGPSFISQFAADEGSRTGIKGPGVLSSINTSATASDKISSAVLLGGSRPKPLTNIIESSTPQSSQHGLTPASRQMTIFYGGQAHVFDDVHPHKADVIMALAGSNGGSWSTAFSPKSTAKLVNDSTLHSGENEAGLMNNVPFPQELHGKLPVTGSSSRAVGPGDRVSTPTGAHQGSIFPKDTRNSIQAADPSSEEKRAL >CAK8568691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632669882:632672652:1 gene:gene-LATHSAT_LOCUS21625 transcript:rna-LATHSAT_LOCUS21625-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTMVHSHNNTGGTQHDLKPVLRDFLGMKPSPSPSSAAPPAPFSSTSEIASEKQVPNHLEGVPYYGPRTDFSTTEITNRLVGNKRTNSDSSFIGSSRDAFHMVPDSFQNSHLMKVLRHVSGGERSRRPNDDEVLLGMQSMKPSSSSQIFHPPTSTMIDANKWDRSVLMNAGPSMQHLPRGGQMAPFAHQLASTSNKIRDTNVGPSFISQFAADEGSRTGIKGPGVLSSINTSATASDKISSAVLLGGSRPKPLTNIIESSTPQSQHGLTPASRQMTIFYGGQAHVFDDVHPHKADVIMALAGSNGGSWSTAFSPKSTAKLVNDSTLHSGENEAGLMNNVPFPQELHGKLPVTGSSSRAVGPGDRVSTPTGAHQGSIFPKDTRNSIQAADPSSEEKRAL >CAK8534656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753252554:753253373:1 gene:gene-LATHSAT_LOCUS4183 transcript:rna-LATHSAT_LOCUS4183 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSILAPYPFSFSKIFLPHKKRSSSLAIYNSNFYHTTRCKASSHEVIKGSSLEVPRRSAKFQTSIWTYDYIQSLSSEYNEVMYKEQRLMLREKVRMMFMKMENEIDQLELIDVLQRLGVAYQFTNEIKNRLDNIYGTQTSKLENNLYATSLKFRLLRQHGYNISTDVFACFI >CAK8576002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:382864700:382865556:-1 gene:gene-LATHSAT_LOCUS28221 transcript:rna-LATHSAT_LOCUS28221-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEESLVMDLHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKRAQEKKHAATTTAPSISSSPDQSSSCQSSLFSNNNHASNKETEEEEENYQVQEIEQSYSMDDIWRDIAMSEEDDINILQQTVYDGTSEENCNNNFCSSIMPSASSSSWNFSNLDPLWVMEEDESKMFVPPIHEQCFSFYDQQGNTFLAG >CAK8576001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:382864700:382865867:-1 gene:gene-LATHSAT_LOCUS28221 transcript:rna-LATHSAT_LOCUS28221 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEISRKGPWTEQEDYKLAYFVGLFGDRRWDFIAKVSGLSRSGKSCRLRWVNYLHPDLKRGKMTPHEESLVMDLHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKRAQEKKHAATTTAPSISSSPDQSSSCQSSLFSNNNHASNKETEEEEENYQVQEIEQSYSMDDIWRDIAMSEEDDINILQQTVYDGTSEENCNNNFCSSIMPSASSSSWNFSNLDPLWVMEEDESKMFVPPIHEQCFSFYDQQGNTFLAG >CAK8563693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625406017:625408711:1 gene:gene-LATHSAT_LOCUS17075 transcript:rna-LATHSAT_LOCUS17075 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPCFFSFHTPSTTRRNSLIIVSSKNAHRKNHLRPKILKILNKPSLPIPSILPQPPTPQPILSPPQEYELSVDVPADEIYGEDVAAAVEETVELEELRVSVDSAKDSGVSGYLSGKNIFKYGGMYLIGAFVFQTVIYFWKLGYQRSKLTDFEVTEKEKRNGKVVEDQNVEKKIEEIKLMARKARRIELEKKGKGKEEEDEDGDSEIEDESGVSSGRLGIEKEVVERLLKLKNKINSNKDSSTALRLNGSGNSVRGGGMNVDKAKERFVFKKKSKLKSPSAKDVKTPKGFSGTRDHRVSSVKPQDDGSQAIDHAGVVDGDKQVNQQDVMHKNAFGVPLEERGKSVKDKSREIENDGKNVEEKMETPNIKSPSTKAAKTPKGFSRTQGGRVSSVKPQDYGGRAIDHAEKLDGDKRLNQQNVTHKNASGTPLGEKGKSVDDRSREIENDGKNVEEKKEMPNMKTKDGFKAKSINNGGFPKNSVEMSSPEVRELRTQNSQGFVKDNVHRINGSSGHGFAMKNSAHKQPNTRTDMWWLKLRYVLVILMQRDSNTESPKALYRLNFTSKQREQGNDFYTVAFEDRADANNFCFILESFFEDLGDGFSANVFPMSIQELNEEIISPGEKVVVVKKRQLQLYAGQLLTDVEMALCSIIEQDQNVP >CAK8563870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636258565:636262369:-1 gene:gene-LATHSAT_LOCUS17234 transcript:rna-LATHSAT_LOCUS17234-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNLGKKHGKNKLENEEGVRLGKYELGRTLGEGNFGKVKFARNTDSGQPYAVKIVDKTKIIDLNITNQIKREICALKLLRHPNVVKLYEVLASKTRICMVLEYVTGQELFHKIASKGKLNETEGRKLFQQLIDGVSYCHSKGVFHRDIKLENVLVDAKGNLKITDFGLSALPQQFREDGLLHTTCGSPNYVAPEILANRGYNGDSSDVWSCGVILYVLLTGYLPFDDRNLAVLYQKVSKGDCQIPKWISSGARNIIKRILDPNPETRITMAGIKEDLWFKEGYCQADPEDEDEDVYVDNRAFSVNELVYTNEEEKRRSESPIRINAFELIGMSSSLDLSGLFENEHVSERKIRFTTNLSAKELMKKIEDSAADTEFRVQKKNGKIKVIQESKEHKTPGSLSVTIEVFEISSSLYVVEIRKCYGDGSVYRQLCKKLLNDFGVPQGKR >CAK8563869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636258565:636262369:-1 gene:gene-LATHSAT_LOCUS17234 transcript:rna-LATHSAT_LOCUS17234 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNLGKKHGKNKLENEEGVRLGKYELGRTLGEGNFGKVKFARNTDSGQPYAVKIVDKTKIIDLNITNQIKREICALKLLRHPNVVKLYEVLASKTRICMVLEYVTGQELFHKIASKGKLNETEGRKLFQQLIDGVSYCHSKGVFHRDIKLENVLVDAKGNLKITDFGLSALPQQFREDGLLHTTCGSPNYVAPEILANRGYNGDSSDVWSCGVILYVLLTGYLPFDDRNLAVLYQKVSKGDCQIPKWISSGARNIIKRILDPNPETRITMAGIKEDLWFKEGYCQADPEDEDEDVYVDNRAFSVNELTNEEEKRRSESPIRINAFELIGMSSSLDLSGLFENEHVSERKIRFTTNLSAKELMKKIEDSAADTEFRVQKKNGKIKVIQESKEHKTPGSLSVTIEVFEISSSLYVVEIRKCYGDGSVYRQLCKKLLNDFGVPQGKR >CAK8530715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43942937:43943488:1 gene:gene-LATHSAT_LOCUS559 transcript:rna-LATHSAT_LOCUS559 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMQNDTVQASSSSSRPGATADQPSAVAPLSRYESQKKRDWNTFGQYLRNQTPPVSLSQCNFNHVLEFLRYLDQFGKTKVHLHGCIFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSPENNPFGTGAIRVYLREVKECQSKARGIPYTKKKKKRSQIKGTHDNSSKSFKQLAS >CAK8575952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:373062687:373064282:1 gene:gene-LATHSAT_LOCUS28176 transcript:rna-LATHSAT_LOCUS28176 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNSNPTFSRAADSLNNFNFPSPIASSLQHQQPLCNYDSLSQLNSEVSYNNNAGGNYNSCSSGCTSYMGSPSSLASYETQRVSDQLMQRSISSHSLQKNHPPHRHHNPFSSLFAELLDTENGPVRRAYSAGDIQRVHGMQQYYHQSDSPLSTESSMIIEQMSRPASPYSPQEKKVRIERYKSKRNQRNYNKKIKYVCRKTLADSRPRIRGRFAKNDEIVMNPPIQWSHNNGEELEDDDEEENWDNFFHSLLPTSNLSHDEFQHNSSFGVQY >CAK8536116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908841873:908842220:-1 gene:gene-LATHSAT_LOCUS5515 transcript:rna-LATHSAT_LOCUS5515 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLICFHSIFQRLGSTLPYATTWTVLLILTAVLASLAPGVAFMFAVSQFSSSLSSKPCHHHEFVRIPFDSPTEMVCLPEHAVVSTSQFDFFLPTLFAALVVSASTFLLRSVLSP >CAK8536444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941820651:941822090:-1 gene:gene-LATHSAT_LOCUS5812 transcript:rna-LATHSAT_LOCUS5812 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSGKFNMGFADETHNHNKVTQMGESQVNWEIEKGKSVELSASQKHHWKPVFDEASISHNRPFKKSKSPERENQNQNQNQFSPFSLPSPASSSSSRLVFPFAFDNNSQQFGTNNNLPFHPPPQQPIQTTQIQKQQQQMISFGSQSQTQQQNNIVSYPPPILSQQHHQQLLQYWSDALNLSPRGRMLMMMNNNNNRYLGGQYGNSNNNGAMFRPQVQPISTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKDKDKEKEKEQEQEEEAHSSPPPTTSTTTDSSVSCPSSNTTTKQPEPPLSMQELPMEESNENDSGIGSSDASHATVSEGIEGVSVSQSQELVWSEMSAWFNAIPAGWGPGSPVWDDLDTNNNFNLFSQSQIPLSNLNQQSENSMILILRWGQVLSLGHSTGTMIRINLEVFFRPQSSVADIFTRQPLSFQ >CAK8544848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708868918:708869813:-1 gene:gene-LATHSAT_LOCUS13495 transcript:rna-LATHSAT_LOCUS13495 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFKRSGQIPAFGNWDFTNDLPITQYFENATQAGLVRYSSSSGESDPYFHGEQDLYAVDYKKPVGKSTWNRETRMRKLDKVYDVTEYPRKQPMNNKKALHVNDVVVRKAPLPTRLPKPVDEDLYKISPQPVRKTKRKKMLGFISMCLVPTACVS >CAK8563526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612712142:612712426:-1 gene:gene-LATHSAT_LOCUS16926 transcript:rna-LATHSAT_LOCUS16926 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGGFWLLYEGSISCYDNSVEHRPYHRKCGCPLHNKNSRINYNHKLPRCNSTVSFPMKRKRISLVLMTSVGEGGGRTESHAFFIDSRFDKN >CAK8530356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16331131:16331988:-1 gene:gene-LATHSAT_LOCUS225 transcript:rna-LATHSAT_LOCUS225 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMQTLRRFCLLVFLFHSVIEIYHKFLSFSLRFLVFILMDFPSSFNFLTQASELGCGFVLLGGYFSRIFNFVGLVLIFGICVKILRFSEILRFSAFSDTAPRFRKMKPPAKVKRLDTRMKSKSMVKRDDGGMNLEEENLEDEVFDVMSLRKLVKMERQRYAAACAEIDKERVAASSAAEEAMAMILRLQNEKSSVEIEANQFRRMVEERQEYDREVIESLRWNIVELESQKIFLEEQLGIFKERLGDFMREDEIEEIEGADFTREFCNFSVEYDLDESLNSVSH >CAK8543647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628648839:628650745:-1 gene:gene-LATHSAT_LOCUS12393 transcript:rna-LATHSAT_LOCUS12393 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKINWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPNEY >CAK8575499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:140721543:140722131:1 gene:gene-LATHSAT_LOCUS27757 transcript:rna-LATHSAT_LOCUS27757 gene_biotype:protein_coding transcript_biotype:protein_coding MADLETSSNNVSADSTVFVDQQSSQGSNVEFSENEEMLIAMVYNLVGERWSLIAGRIPGRTAEEIEKYWNSRYSTSE >CAK8575498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:140721543:140722131:1 gene:gene-LATHSAT_LOCUS27757 transcript:rna-LATHSAT_LOCUS27757-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLETSSNNVSADSTDQQSSQGSNVEFSENEEMLIAMVYNLVGERWSLIAGRIPGRTAEEIEKYWNSRYSTSE >CAK8567140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490360337:490361135:-1 gene:gene-LATHSAT_LOCUS20217 transcript:rna-LATHSAT_LOCUS20217 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYGQPRVPGEPTDTTTSVLETVTSTVQGFGPINKIHQHLCAFHFYSHDMTRQVEAHHYCGHQNEEMRQCLIYDSSEKNARLIGLEYIISENLFLTLPDEEKPLWHSHLYEVKSGYLFMPNVPSPIEHRDMEKVCKTYGKVFHFWQIDRGDQLPLGIPQLMMALTRDGQIYDHLVQGCVERMGIDFEKERKSREYMTGPTHGIHPLANGGGKGLETRLREVELKNDSPPPYAARAFV >CAK8576409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:471452991:471457603:1 gene:gene-LATHSAT_LOCUS28597 transcript:rna-LATHSAT_LOCUS28597 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIKLPEPPSPTAHRGTPDIFESGVHTFVRRAVVIGNGFAASENQSIGLVRALGFSDNYFLYRVTRPKGGVNEWLHWLPVSLHKKIYYIIRMVGVYSHLLLRSQQKKLIPSENGVSDGLLGVLEADTKQIVNFALETYEKEGPLLVVACGRDTISTASSIKRLASENVFAVQIQHPRLHLNRFDMVITPKHDYYPLTPQGQEQVPRLIRSWITPRDPPGSHVVLTTGALHQIDFTSIRSAAATWHDEFAHVRRPLLAVNIGGPTRNCRYGGDLAKQLVASLLSVLISCGSVRISFTEKTPQKVANIIMKELGNNPKVYIWDGQGPNPHKGHLAWADAFVVTADSVSMISEVCSTGKPVYVVGSERCKWKFAEFHKSLRERGVVRAFTGSEDISESWSYPPLNDTADAANRVREALAARGWKLKI >CAK8541454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:178825981:178826457:-1 gene:gene-LATHSAT_LOCUS10374 transcript:rna-LATHSAT_LOCUS10374 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMDERVYASTGLHWWKFFFWKRRRAAADCDLRILGDGRIGCAVEIVGKGRLRRRLKLIAREWLEIVHFAGLDTRKI >CAK8575073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26535620:26535985:-1 gene:gene-LATHSAT_LOCUS27364 transcript:rna-LATHSAT_LOCUS27364 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAITLLDHLGWRKAHVFGHSMGSMIACKLATMGPDRVLSLVLLNMICFSFSPKHILPFAISQVLFLQISDHLLLVHTRM >CAK8572671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554504786:554506299:-1 gene:gene-LATHSAT_LOCUS25205 transcript:rna-LATHSAT_LOCUS25205 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEWYAFVCICIVGFSILSALYVLWINEGPSSSQSDFDILVDALLVASPSPDNRVTTGHVTTSQLWTSCWRGVHPLVLLATRFFSLLSLVVLLSFDLHEYDATIFYYYTEWTFTLVMIYFALGTTVSAYGCWKLFNKHPLVQNGEFLRRDLETKSFTRYDEQEFEQAAGFWGYLMQITYQTSAGAVILTDIVFWCVIVPFLSISRFKLNMLMGCMHILNVVFLLLDTMLNNLSFPWFRIAYFVLWSCSYVIFQWVIHACGFTWWPYPFLELNTAWCSVWYICMALAHIPCYGVYSLIEKAKIDILPRFFPYAFSRSY >CAK8570750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106727003:106727419:-1 gene:gene-LATHSAT_LOCUS23478 transcript:rna-LATHSAT_LOCUS23478-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSADSCGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIVRSGILLNVIRDVTPIPYNGCRAPKKRRV >CAK8570749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106726285:106726923:-1 gene:gene-LATHSAT_LOCUS23478 transcript:rna-LATHSAT_LOCUS23478-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLKVSTKTLQWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVFMPVRNANYSIHSYVKEIKYLRMLIRTHERVII >CAK8570748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106726285:106727419:-1 gene:gene-LATHSAT_LOCUS23478 transcript:rna-LATHSAT_LOCUS23478 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSADSCGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIVRSGILLNVIRDVTPIPYNGCRAPKKRRWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVFMPVRNANYSIHSYVKEIKYLRMLIRTHERVII >CAK8570459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47895874:47899208:1 gene:gene-LATHSAT_LOCUS23206 transcript:rna-LATHSAT_LOCUS23206 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMASSPKWGHNWPPMAMALAIVLISTTVVSAAADSYIYSSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPSYVYKSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSYEHKAPSYVYKSPPPPSTSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPHIHKDPPYYYKSPPPPSPSPPPSYFYKSPPPPSPSPPPPYYYKSPPPPSPIPHTPYYYKSPPPSPIPHTPYYYKSPPPPKVLPPTYYYNSPPPPVAYPHPHPYPHSLIVKVVGKVYSFRCYDWEYPEKSHDKKHLKGAVVEVTCKAGSKIIKAYGKTKINGKYSITVEDFDYVKYGSTVCKAALYAPPRGSPFKIPTKLNEGTKLYLYSKDKYEVVIKAKPFAYASKKHFKECEKPKPSPTPYNYKSPPPPTPVYTYKSPPPPIHYYSPPYYYKSRPPPVKSPHTPYYYKSPPPPSPDYKYNSPPPLVYKYKSPPPPVHYPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPIHYYSPPYSYKSPPPPSPSYKYNSPPPPAHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVHYYSPPYYYKSPPPPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPIYKYNSPPPPVYKYKSPPPPVHYPSPIYKYNSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPSPYYYKSPPPPSPSPPPPYSYQSPPPPSPISHPPYYYKSPPPPSPSPPPPYHYVSPPPPVKSPPPPGYIYASPPPPIYD >CAK8542369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:505682981:505683325:1 gene:gene-LATHSAT_LOCUS11213 transcript:rna-LATHSAT_LOCUS11213 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNKKAMMKLALMVFLLGFTANVVNARFDSTSFITQVLSNGDDVKSACCDTCLCTKSNPPTCRCVDVGETCHSACNRCICAYSNPPKCQCFDTQKFCYKACHNSEKEVLINN >CAK8541818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409004889:409005980:1 gene:gene-LATHSAT_LOCUS10712 transcript:rna-LATHSAT_LOCUS10712 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFHHFTAFTFLILFLKTQAFDPVSSFSFTDFGKDPSFESVVGLYGNAKVVNGGSGVLLSGYGNSGNGKVVYKKGVKLVDVGGEPKTLVSFSTYFSFSMSLGDGNGLAFVMVPSGFQGEFFDNSSSGFTFGLKEKVSKVVAVEFIASRDVGHGNENSSASCSVAINVGTSVPVKKINVSSVNMVMRNGGKLHAWIDYQAGSRCLEVRLSQYGHSKPVNPLLWQPIDFTNLWKTREMFAGFSSMKGKTSQACFLYSWSFNVRHYQRWMHSKPLRDMVFTTQDAYDRPPEPEVKPKSDCLLRILAAMIFGVGCGSLAAFTALYLWTMFGNRRPVVPEECVIQPVDYEYKKVNIVVDKPLKDAKE >CAK8574105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662906050:662907093:1 gene:gene-LATHSAT_LOCUS26482 transcript:rna-LATHSAT_LOCUS26482 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSNPTLIPQHGTKNHQQHHASSIVEEIKGLIKLHKNGYIERSNIVPCVTPDHISPNLNVISRDIIMDSVTNIWARFYVPNSQQNKLPLLVYFHGGGFCVGSAAWSCYHEFLAMLSSKLGCIIMSVNYRLAPENPLPAPYDDGLNALIWLKKQSLYQNETSTGSEFEWWTKNCNFCSVFLGGDSAGGNIAYNVAKKVDSCEDAFLRPLNLKGLILIQPFFGGKERTLSEKCMVQLSGSALNLAASDAYWRLALPYGEDRDHPWCNPLVKLEELKMAVLVCISEMDILKDRNLEFCDGLGRIGERVEYEVFEGVGHAFQILSKSQVSKIRVVQMMDRVKSFMLGY >CAK8543103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578912922:578913882:1 gene:gene-LATHSAT_LOCUS11887 transcript:rna-LATHSAT_LOCUS11887 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVNQGKEYANARPSYPPQLFQFIASKTPSHNLVWDVATGSGQAAKSLADLYKNVIATDVSEKQLEFATKLSNVKYQHTPSTMSIPEVEQIVAPQGTIDLVTIAQGLHWFNLPNFYEQVKFVLKKPHGVIAAWCYFLPRISDEVDIVFDQFYYTDSKPYWDSARKLVEDNYRSIDFPFEAVDGVDHTGPFEFETETLMSFDGLLTYIRSWSAYQTAKEKGVELLREDVVEKFKVAWGEDHGPKTAKFPIYLRIGKVGNV >CAK8568600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621695252:621703942:-1 gene:gene-LATHSAT_LOCUS21542 transcript:rna-LATHSAT_LOCUS21542 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSSEAVPSLSTVPSFTDQSQPATSSSSSSSSAAAAEDLAIGSRDGGSAQETVVVDRKNDYSAVCRWTVNNFPKVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISVYLQIMDPRGTSSSKWDCFASYRLAFVNVADDSKTVHRDSWHRFTAKKKSHGWCDFTPASTIFDPKLGYMFSNDSVLITADILILNESVNFTRDNNEAQSSAMSSSSSSMTSSVVASPVSDVLSGKFTWKVHNFSLFKDMIKTQKIMSPVFPAGECNLRISVYQSSVNGVDYLSMCLESKDTDKNVVLSDRSCWCLFRMSVLNQKPATNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGTDSGFLLDDTAVFSTSFHVIKEFSSFSKNGAVIGGRSGGGARKSDGHIGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNSSSDWSCFVNHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQDFTEHDSELNSSSSLLDSSGKRSSFSWKVENFLSFKEIMETRKIYSKFFQAGGCELRIGVYESFDTICIYLESDQAVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFDFSDLEVFASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLAGSYDGKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEVGPVADSVDECSKPSPDSSGTASPLERDNEDRVVESPQVLVNERLNSAVEESSTTSSVHSFDLNGNGIQEKTLPGQPTCPPETCATVSENASFRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQADLVALVPKLVEQSEHPLAAHALLERLQQPDAEPSLRMPVFGALSQLECGSEVWERILFQSFELLTDTNDEPLVATIDFVFKAASQCQHLPEAVRSVRVRLKSLGLHVSPCVLDFLSKTINSWGDVAETILRDIDCDEDYGESCTALPCGIFLFGEPSTAATGLHMIDEQAFRASRHFSDIYILLEMLSIPCLAVEASQTFERAVARGAIGAQSVALVLESLLSQRLNSNARTENFQHSDGATEEDACEQLGVQRDDITLVLGLAETLALSRDLCVQEFVKLLYVIIFKWYANESYRGRMLKTLVDRVTSTTDNGREVDFDLDILVTLVCEEQEYIRPVLSMMRGVVELSNIDRAALWHQLCASEDEIIRVREESKTEISNMAKENAILSQKLSESEATNSRLKSEMKAEVDRFSREKKELGEQIHEVESQLEWHRSERDDEILKLSAEKKVLHDRLHDAETQLSQLKSRKRDEVKKIVKEKNTLAERLKNAEAARKRFDEEMKRFATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDDMQSKLQAYQQHILSVETSLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIHALQQRKGSLAGSPILSPHALPHSHGLYPSATIGLPPSIIPNGVGIHSNGHVNGAVGPWFNHP >CAK8542510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521179739:521180465:1 gene:gene-LATHSAT_LOCUS11347 transcript:rna-LATHSAT_LOCUS11347 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRLERFVILPFSIGCISEASVDVGVPHPRRSKPDTNSPHDAIKGPKDEEEDSEILSGESMKNSLRVLLDVVPKPNLSFNKLFKGFKNFSQLFVEKEEELEEGDMDMDMEIGCPTDVQHVTHIGWDGVTTSFADLLSIPASQSLQPKHE >CAK8578746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651063673:651066029:-1 gene:gene-LATHSAT_LOCUS30732 transcript:rna-LATHSAT_LOCUS30732 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHMMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTFDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPVSLYNPPIHMQNIDIVDDDTTSVFGSAIQNHIGDEIEISMEFENKEACVLALQHWHITHCVDYWVYQSDNERYVIHCKKQDCMFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVPFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMTEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEEN >CAK8576510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486473595:486480802:1 gene:gene-LATHSAT_LOCUS28692 transcript:rna-LATHSAT_LOCUS28692 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLAKKDDDRDDEADYSPFMGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQSRDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGNNTQSAERPFYDFLESCLRHKSEMVIFEAAKAITDLNGVTTRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFIGIEGPKTLDPSKYIRYIYNRVHLENATVRASAVSTLAKFGASVDALKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDLENDENVRDFLFGSLDTPLANLESSLKNYVPSEVAFDIRLVPKEVKSQPLTEKKAQGKKPTGLGAPPSGPPSTVDSYERQLLSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDTHVVFQYNCTSTIPEQLLEDVFVIVDASEAEEFSQVFSKPVRSLPYDSPGQTFVAFEKPEGLPTTGKFSNTLKFIVKEVDPTSGEAEDDGVEDEYQLEDLDVVAADYILRVGVSNFRNAWESIDPDSERVDEYGLGPRESLSEAVNTVISLLGMQPCEGTEVVPPNSRSHTCLLSGVFIGGVKVLVRLSFGLDGAKDVAMKLSVRSDDVSVSDAIHEIVASG >CAK8540742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18291819:18293240:1 gene:gene-LATHSAT_LOCUS9716 transcript:rna-LATHSAT_LOCUS9716 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNIKIHQHFKVAPTSSTQVTTTIKPLTYFDLFWLRFHPVERVFFYTLPISQSHPSFFFQEIVPNLKSSLSLTLQHFLPLAGKIVWPSDSPKPFIQFNPNDDDDGVSLLIAESDLDFDRHVIENSPQEASLSRSLIPYLESTDSFASVISIQITLFPKTGFSIGISTHHAVLDGKSSMIFIKAWAYLCNNVNKTDGKQSTLLPELEPLFNREIIIDSNGKNSIDSLSKLFPNEKGNKRSLKVFPFEAKLEESVRATFKLTQEDLNKIKQNVLSKWEIFDTNEPKPKYLSSFVLSCAYSLVCIARAFQGVEKEREKFTFAFIIDCRSRLEPPMPNNYFGNCVIGHFIDTQPLDFIKEDGLNLVSKSIYDKIKLIKEKGVFEGVKDMFAKYTCLASEGVEIIGVAGSNRFGVYETDFGWGRPEKVEIVSIDRGLTIGLAESKDGNGGIEVGLVLNKHVMNLFKTLFLEGLSID >CAK8534873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779779042:779781330:-1 gene:gene-LATHSAT_LOCUS4378 transcript:rna-LATHSAT_LOCUS4378 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFQFIKFSPFFPSKPSSFPFSLRLKSQMASYSSSSSKLLFRQLFEKESSTYTYLLADASHADKPALLIDPVDRTVDRDLSLIQELGLKLVYALNTHVHADHVTGTGLIKSKVPGVKSVISKASGATADVYVQQGDKIHFGDLYLEVRATPGHTLGCLTYVTGDGPDQPQPRAAFTGDTLLIRGCGRTDFQGGSSENLYKSVHSQIFTLPKDTLLYPAHDYKGFSVSTVGEEIQYNPRLTKDEETFKNIMANLNLSYPKMIDVAVPANMVCGIQSKTS >CAK8569247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687149555:687150964:-1 gene:gene-LATHSAT_LOCUS22125 transcript:rna-LATHSAT_LOCUS22125 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNEIPILDVNRKNEVILEEGGKEWKEMSKKVKEAFESHGYFLVRCDDISNDLHDGFFTGMKSLFNLPEETKKKFISPRAYRGYMAKSRVIPYSESFGIDNDLNPETAHQDFIDLMWPQGNPTFSAALSSYASKARELSSLILKMIVEAFELPQHYNLNVEELNYYNDARMTRYSTSKESNGSNIGFISHTDKGTISLICDNGVQGLQVLPKIGNWVDVNIPPNGFVVVAGDILQAWSNGRLEAATHRVVARDEERFAFIFFAVPKEGMIIEAPSEFVDDQNYPLRYRPFEYDEYVNYQYSTGIDEAPLEKFAGV >CAK8534177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702276464:702280010:1 gene:gene-LATHSAT_LOCUS3742 transcript:rna-LATHSAT_LOCUS3742 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINSMMQRLVDHVLVVTKESVKAVTCESLNNIVRIINGVSALLLALLPGNAKILEGIHGWELRPTFRGPRFPRWMENGASSFNQFIHELSMDSDNSSLEYSSSGEEDSDRYECPPSPASHSSRASEAAFARNSRHQMNWIQYILLWILLPVKFLLRIPFRLLHLAYFVVLKALHISREKRPSHLHAYRRVQSIKDQFIHRATDRRRGIVEDLHLGIEICIETVFDFVRKGAHLLLSPTKVLGALFRLFLSHKSGNDETRTSAEDASTSTSTLGDGDPASSERKINYQSLNTEARTCQDVITDLGYPYEAIHVITADGYILLLERIPRRDAKKAVFLQHGVFDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNISSRQYWQYSVNEHATEDIPAMIEKINEVKTAELKLSKPDIEEETDDDQLYKLCAISHSLGGAAMIMYIVTRRTEEKPHRLSRLILLSPAGFHDDSNIVFSMAEILLTIAAPVLSHVVPAFYIPTRFFRMLVFKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFRVALHLAQIKRVGRFRMFDYGSASANRQAYGSPEPLDLGKHYGLIDIPVDLVAGHKDKVIRPSMVKRHYRLMKSAGVNVSYNEFEYAHLDFTFSHREELLSYVMSRMLLVDPNSKHQVNQRIAKPE >CAK8534178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702276482:702280010:1 gene:gene-LATHSAT_LOCUS3742 transcript:rna-LATHSAT_LOCUS3742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRLVDHVLVVTKESVKAVTCESLNNIVRIINGVSALLLALLPGNAKILEGIHGWELRPTFRGPRFPRWMENGASSFNQFIHELSMDSDNSSLEYSSSGEEDSDRYECPPSPASHSSRASEAAFARNSRHQMNWIQYILLWILLPVKFLLRIPFRLLHLAYFVVLKALHISREKRPSHLHAYRRVQSIKDQFIHRATDRRRGIVEDLHLGIEICIETVFDFVRKGAHLLLSPTKVLGALFRLFLSHKSGNDETRTSAEDASTSTSTLGDGDPASSERKINYQSLNTEARTCQDVITDLGYPYEAIHVITADGYILLLERIPRRDAKKAVFLQHGVFDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNISSRQYWQYSVNEHATEDIPAMIEKINEVKTAELKLSKPDIEEETDDDQLYKLCAISHSLGGAAMIMYIVTRRTEEKPHRLSRLILLSPAGFHDDSNIVFSMAEILLTIAAPVLSHVVPAFYIPTRFFRMLVFKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFRVALHLAQIKRVGRFRMFDYGSASANRQAYGSPEPLDLGKHYGLIDIPVDLVAGHKDKVIRPSMVKRHYRLMKSAGVNVSYNEFEYAHLDFTFSHREELLSYVMSRMLLVDPNSKHQVNQRIAKPE >CAK8560839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49143769:49146265:-1 gene:gene-LATHSAT_LOCUS14484 transcript:rna-LATHSAT_LOCUS14484 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQASKETVPVAAPVPTPAPAPVTAAPPLSVAPPPDVADKKAAALPPPPAAEETKALVVVDNEKTPDPVKKKVSGGSLDRDVALAGIEKEKRLSNVKAWEESEKSKADNKAQKQLSTVAAWENSKKAALEAQLRKMEEQLEKKKAEYGERMKNKIAMIHKQAEEKRAMVEAKRGEENLKAEEIAAKHRATGTIPKKLLGCF >CAK8537995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:455617381:455619311:1 gene:gene-LATHSAT_LOCUS7239 transcript:rna-LATHSAT_LOCUS7239 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFIFMCLVACINGMRIPLKEDLEIENELKVINKVPIKSIHTEFGYIVDCIDINNQPAFKHPLLTNHKLQKNPNLRTTIRNNRKNSVNSSTKATFGLQNDNCPIGTVPVRRATKEDLIRGKSYFNNGLVDHIHGNHYAEVLSDAERGESFVGVYGTTSIYSVSVTNDQSSSAVMYIRNGPDSTNYIGMGWHVAPQLYNDNATHFYVVWTTDNFKNTGCFNLQCSGFVQTNTKNYLGGRFVDTSVIDGQMIDMTISIVQNRETKNWWVTFENDMIGYFPASLFPNTPFLQVGWGGRTSNTQGGPSPPMGSGRFPIDDKYNHASYFIRIQFQYSSTNTSPENSLIQILSDKPNCFYAKYFDRNFEDFGYSLQFGGPGGNCDD >CAK8537996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:455617426:455619311:1 gene:gene-LATHSAT_LOCUS7239 transcript:rna-LATHSAT_LOCUS7239-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPLKEDLEIENELKVINKVPIKSIHTEFGYIVDCIDINNQPAFKHPLLTNHKLQKNPNLRTTIRNNRKNSVNSSTKATFGLQNDNCPIGTVPVRRATKEDLIRGKSYFNNGLVDHIHGNHYAEVLSDAERGESFVGVYGTTSIYSVSVTNDQSSSAVMYIRNGPDSTNYIGMGWHVAPQLYNDNATHFYVVWTTDNFKNTGCFNLQCSGFVQTNTKNYLGGRFVDTSVIDGQMIDMTISIVQNRETKNWWVTFENDMIGYFPASLFPNTPFLQVGWGGRTSNTQGGPSPPMGSGRFPIDDKYNHASYFIRIQFQYSSTNTSPENSLIQILSDKPNCFYAKYFDRNFEDFGYSLQFGGPGGNCDD >CAK8573614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625016783:625018718:-1 gene:gene-LATHSAT_LOCUS26044 transcript:rna-LATHSAT_LOCUS26044 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDFLFALKPHFPILIAFIVLVTYFIKIHGSGFFDKKRRYHPVGGTVIHQLFNFHRLFDYMTDLTMKRKTYRLLSFTRSEVYTSDPANVEHMLVTNFSNYGKGWYHHGVLTDLLGDGIFTVDGEKWRHQRKSASYQLSTKSLREFSGSVFKSDAVKLAGIVSEAAISNNVIELQDLFMKSTLDSVFKVILGVELDTMCGTYREGTQFSNAFDEASAATMFRYVNILWKVQRLLNIGSEAVLKKSLKVIDEYVYKLIRRKIEQLQKPHDNTLGLKGDILSRFLELNETDSKYLKDIILSFIIAGKDTTAITLSWFLYELCKNHHVQEKIAQEIREATKVENGSTIDELAAKVTDESIEKMQYLHAALTETIRLHPPIPVESKYCFSDDEWPDGYNVKKGDLVSFQPYVMGRMKFLWGEDAERFRPERWLDENGNFQKQSPFKFTAFQAGPRICLGKEFAYRQMKIFSAILLGSHSFKLADQNKLVKYKTMLTLQIDGGLHVYAFKRTK >CAK8568126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574918431:574919680:1 gene:gene-LATHSAT_LOCUS21119 transcript:rna-LATHSAT_LOCUS21119 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLKQLHKNEENFFEELPDDVVVFILTKLSSTASSSSHFLNILSTCKRFKQLGLHPLVLSKAGSKVLFVHPNKWCDSSHRFLKRCVDAGSVEAFYTLGMIRFYCLQNRKSGLSLIAKAAMMMHAPALYSLAVIQFNGSGGSKHDKDLRAGAALSARASMLGHIDALREFGHCLQDGYGVKQNVTEGRRLLVQANIRELLLVLRAISAESPSRAGFCQEALRSLKNMAVPLISNNDENSYNVTVPEVHPVNWFLRQWFESGRGTLEEGLRLCAHIGCGRVEMRPHEFRRCSVCGTVNYCSRGCQSLDWKLRHKMECSPLEGGWFEENNGGGGVDPLVGGGGGDENNENDVAV >CAK8571797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:474920547:474922045:-1 gene:gene-LATHSAT_LOCUS24426 transcript:rna-LATHSAT_LOCUS24426 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFLGRVLFASIFILSAYQEYNEFGVDGGPAAKALKPKFDTFAHRIHSQVGFQIPEIDTKLLITGAIALKGLGGVLFIFGSSFGALLLLLHQLIATPIRYDFYNYDSEDKEFTQLFIKFTQNMALFGALLFFIGMKNSIPRRQPKKAPKTKTY >CAK8535565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863638370:863643713:1 gene:gene-LATHSAT_LOCUS5012 transcript:rna-LATHSAT_LOCUS5012 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSAEHEEIPLSSSSPSSSTASKPHSFHFDSSLPLPKSSSSFFNHSSTRRISRSIYIVLIKAKINLLLPFGPLAIFLHYFTAKHVWVFFFALLGIAPLAERLGYATEQLAFYTGSTVGGLLNATFGNATEMIISIYALKSDMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKAAAVVNSGLLLMAVMGILFPAVLHFTHSEVHLGKSVLSLSRFSSCIMLLAYASYLFFQLRSQQNFYTPVDEEADTSENTDEEEELELTKWEAIIWLAILTVWVSVLSGYLVDAIEGASESLNMSVAFISVILLPIVGNAAEHASAIMFAVKDKLDITIGVAVGSSTQISMFVIPFCVVVGWCMGKEMDLNFQLFETATLFITVLVVAFMMQEGTSNYFKGLMLILCYLIVAASFFVHVDPKSDDD >CAK8536138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910940927:910943388:-1 gene:gene-LATHSAT_LOCUS5534 transcript:rna-LATHSAT_LOCUS5534 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDPWPVFFKREWKKNWPFVVGFAITGTIITKFSLGLTEEDAKNSKFVQAHKR >CAK8575276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:68201981:68202469:-1 gene:gene-LATHSAT_LOCUS27550 transcript:rna-LATHSAT_LOCUS27550 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDLPVIGNKFNWFNSNGKCRSRLDRFLVDDTAISMLSLINQLVGDRDISDHRPQSWSSYHVSGSYYNIPIKKLSALKSDIRIWNRNVFGWLDLKLEEKVSNLNLLELDIDLISISNNEELNKDRLRN >CAK8568536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614735220:614735750:1 gene:gene-LATHSAT_LOCUS21483 transcript:rna-LATHSAT_LOCUS21483 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLASQNEILLKEKVPTKELYINLKSSDTWVAEYRKWMDKVGHGMPYHFGHSTISLPKEPAVVEHAPAGLVAGLSASSPAKGGIGTMHAPNLTNRYFRHVIHCKECSTAIKAFQTWKNVLSAVVVALAALAILISGRQWKVLLLVSASLCSVGVYACSTAITMNTTNFIRIHRRL >CAK8544662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698261954:698263740:-1 gene:gene-LATHSAT_LOCUS13320 transcript:rna-LATHSAT_LOCUS13320 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTTHTQNNNNMLPVFDPVLIRQTLNKVDRCMNRLQELQFTVSGGLKLSPRSTRNYLATSLRCKQESIRIKNSAQDTSPVGKFSRSANLGGEWRKMSLPAMLVGETVVEILQASQFAREIVSSVDPKLDPKTPLSRPSHHQKPAENTPLRAKRRKEKENKQQSDSPPFQPHRARSRINFGVSPPKKVREYDEQKENIKHLANKVSPRNRPWARKTVLFPNPLFSSTSSHQQESCKTKSPVMSTSPHKFRIKSPYKYPIEPPPRVTTKTPSKFRIKSPHVFVDESRKRVTKTQHKFRTGSQHKKVLIKSPSKAKTTTPHKFRIESPHKYQIKTPPSSKRATRLNYPKRYGAASISSRTVSPSRLAAPTKSKKSVQKRDESVCLSKSSPKSKKSDGLSKNSPKRSAASKLRRSFSPSRLVTKLVLPWKSKKNDGLAGGLKQRPPTTMRLPGSRF >CAK8538376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479895543:479895998:-1 gene:gene-LATHSAT_LOCUS7587 transcript:rna-LATHSAT_LOCUS7587 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSWVSKHKLATVGGLWASGIGATLVTYSRTKSPLKPSLRLIHARMHAQALTLAVLSGAAVYRYYENRVVETKQEAENVHAPSLVQMGDWEILCPY >CAK8571375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:393734141:393737596:1 gene:gene-LATHSAT_LOCUS24042 transcript:rna-LATHSAT_LOCUS24042 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESKNDNRDQPTPTTRPVGGTEYGWCKAVPGGTGSTVLSLLLSKPPQIPHLQNALHKLQISHPILRSKIHLDTTTNTFHFVTPPAPRVQIEPFDLQSTSQILQTQTNDHDLIDPFHALLEHEMNRDTWRDPEDGDADVMYASTYAISDKRFALFLRLHTSACDRAAAVALLKELLRLVAGRGGGEAGEVKDDKVNLAIEDLIPEGKKNKPFWARGFDVLGYSLNAFRFSNLSFVNADLPRSSRIVRLQLNADETKSLLDGCKSRGIKLCAALAAAGMIATWKSKRLSDYESEKYAVVTLIDCRPLLAPVLSSSHCGFYHSAILNTHDVCGETLWELAKRSYTSFENALYNNKHFTDMSDLNFLMCKAIENPGLTPSSSLRTALVSVFDDLVIDDSTEMHQELGLEDYLGCASAHGVGPSIAIFDAIRNGKLDCTCIYPSPLHSREQIQGLVDHMKRILVDGCK >CAK8576340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:463424231:463424470:1 gene:gene-LATHSAT_LOCUS28535 transcript:rna-LATHSAT_LOCUS28535 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGKATFTGIEGRKPPKAKGGSCELILRLLVFVLTLAAAIVIGIDKQTKIVPIKIVDSLPPFNVPVSAKWNYLSAFV >CAK8563861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635945782:635953622:1 gene:gene-LATHSAT_LOCUS17226 transcript:rna-LATHSAT_LOCUS17226 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPRGRNQGHVVTFDRDADSFVEEDKELQSKWAAIEKLPTFKRIKTSFVDEITQEESGSRWQRSSSKRVVDVTKLGAVDKRLFIDKLIKHIENDNLNLLQKLRERMERVNVKLPSVEVRYKNLNVEAECEVVQGKPLPTLWNSFSSLFSGLVKTISCSSQETKLGILKDVSGVIKPARLTLLLGPPSCGKTTLLMALAGKLDQSLEVSGEICYNGHRLDEFVPQKTSAYISQYDLHIPEMTVRETIDFSARCQGVGSRADIMTEITRKEKEQGIFPDPDIDTYMKAISVEGQSENLQTEYVLKILGLDICADTLVGDALDRGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHINDATAVLSLLQPAPETFELFDDLILMAEGKIVYHGPCSQALQFFKDCGFWCPERKGVADFLQEVTSKKDQRQYWYRTDIPYSYVSVDEFSQIFKTSYWGRMLDDELSQPYDKSQSHESSLSYSKYSLGKWDLFKACMKREILLMKRNSFIYIFKTVQLTITAIITMTVFLRTQLDVDLLGSNYLLGSLYYTLVRLMTNGVAELIMTITRLPVVYKQKAFYLYPAWAYCLPAAILKIPFSVLDSLVWTSITYYVIGYSPEITRFLRQFLLLIALHMSSTSMCRSLAAVFKTDVAATTVGSLVLVLMFLFGGFILPRPSLPKWLRWGFWLSPMSYGEIGITLNEFLAPRWQKIQEGNITVGREVLKSRGLDFDSNFFWISIGALLGFTVVFDILFIVALTYLKEPKQSRALVSKKRLPQLKGGERNNEVELKNKSVAVDISHTSKEAQSTGKMVLPFLPLSIAFKDVQYFVDTPPEMKKHGSNEKNLQLLCDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGIIEGDIRIGGYPKVQKTFARVSGYCEQNDIHSPYITVEESVRYSAWLRLPSEIDSATKGKFVEEVLETIELDDIKDNLVGIAGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVGTGRTTVCTIHQPSIDIFETFDELILMKSGGKIIYNGMLGHHSNRLIEYFQSIPGVPKIKDNYNPATWMLEATSASVEDELKIDFANIYKESHLHRDTLELVRQISEPEPGSKDLHFSTRFPQNNLGQFMACLWKQHLSYWRSPEYNLIRFVFMIVAAIIFGAVFWQKGKEINTQQDLFNVFGSMYIAVIFLGINYCSTILPYVATERSVLYREKFAGMYSSMAYSFAQVAIEIPYILVQAILYVAITYPMIGFHWSVQKLFWYFYTTFCTFLYFVYLGMLVMSLSLNLDLASVLSTAVYTIFNLFSGFLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDMDKEILIFGDKKSVGSFLKDYYGFRHDRLSVVAVVLIAYPIIYASLFAYCIGKINYQKR >CAK8573653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627013278:627014078:1 gene:gene-LATHSAT_LOCUS26070 transcript:rna-LATHSAT_LOCUS26070-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMTSISSRSGVNQFTNIPSVYIPSLRRNVSLKVRSMAEGEPKEQSKVPVDPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFSGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVPWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTEFVKGTSLV >CAK8573652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627013251:627014078:1 gene:gene-LATHSAT_LOCUS26070 transcript:rna-LATHSAT_LOCUS26070 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTSISSRSGVNQFTNIPSVYIPSLRRNVSLKVRSMAEGEPKEQSKVPVDPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFSGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVPWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTEFVKGTSLV >CAK8567230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496350116:496351732:1 gene:gene-LATHSAT_LOCUS20300 transcript:rna-LATHSAT_LOCUS20300 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWYKLMRLQAPLSRLRKQFSNLQQTIVQARNDLLQTQESLIMDRMNTEFIEKVKTCTDELTHLQELQDQMLRQRTKINWLREGDTNSSFFYAYLKSRTTTTNISQLYKDDGTCIHNQEDIEKEVCEFYGKLMGTREPRINMIDIVMREGPQLSMEQRAGLISPVSVTEITNALKGIGDLKSPGIDGYGGKFFKASWEIVDKDVIEAVTEFFEQNVIYKAFNETIATLIPKQPDAKTLKDYRPIVGCSTIYKIISKILTTRLGKVLGNIISKAQAAFVPGQKIHSHILLAMELLKGYNRNTGTPRCMVQLDLQKAYDMVDWGALENILSEVGLPKKFVDWIMTTVTTVSYRFNINGKYTDKINARRGIRQGDPLSPFLFVIIMEYLSRLLFRMQKNPDFNHHVKCERLQITHLTFADDLLLFSRGDHMSMDILQLTLNKFLDSTGLKINPSKSRVYFGNVSENVKCAILQLTSYKEGSFPFRYLGIQVTSKRLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITTY >CAK8565137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44701213:44701596:-1 gene:gene-LATHSAT_LOCUS18373 transcript:rna-LATHSAT_LOCUS18373 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYKEYDPFIMAHNVRQVYYVPYPSIKPSKRGWYVVIKSNPMGYIKSYGVMEDDVAYQDDEISLMNGVIEIEEITSLGDTVVVGQQVDATILLSTNHVEEEEEEPEDSKDNNIVSDEHSDDYNDE >CAK8576317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:457390611:457391021:-1 gene:gene-LATHSAT_LOCUS28515 transcript:rna-LATHSAT_LOCUS28515 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVFKQYGLVLEVAIPPKGDKCGKRFGFVRFKNVEDIRLLAIKLDIIMIRERKIYGNILKLHRGSLGNEEGVKNELNQKNNKWAIDFTIQAGAKMVYHGKTKPSYTQVLGVGQANMQEVKRSIVRNTRDWLGEKP >CAK8573967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650706208:650708388:1 gene:gene-LATHSAT_LOCUS26360 transcript:rna-LATHSAT_LOCUS26360 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLLDSHGEEQERKKKEISKDIYLKEMKRICYLSAPMVAIGLSQYMLQVVSIIIVGHLGELYLSSAALSISFTTVTGFSFLAGMSSGLETICGQAYGAKQYQRIGMQTYTAIFSLILASLPLSFIWINIENILVFTGQDPLIAREAGRFTIWLLPALFAYAILQPLVRYFQIQSLLLPMLLSSCATLVFHIPLCWALVFKTGLNNVGGAIAMSISIWLNVIFLGLYMRYSSSCAKTRAPISMELFQGIWEFLRFAIPSAVMLCLEWWSFELMVLFSGLLPNPQLETSVLSVCLNTIATLYTIPFGISAAASTRVSNELGAGNPFEARVAVLGAMSLALAEASIVSGTLFACRHVYGYVFSNDKEVIDYVTVMAPLVSISVILDSIQGVLTGIARGCGWQHLGVYVNLGAFYLCGIPVAAALAFWVQVGGKGLWIGIQVGAFVQCVLLSVITSCIKWEQLALKARQRLFDVQFSAENRLV >CAK8567221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495892512:495893189:1 gene:gene-LATHSAT_LOCUS20291 transcript:rna-LATHSAT_LOCUS20291 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLSSNLGLNYQAIISKTSLKPTHFSSLQKLKPLIAMTGDAREKLDHTSRSNHQPQPKKRLVPSIPIWDNRFPTARTVQEMMQTMERMMEDPFAMSTIEWPSSPLPSEGVGGYRRRGRAPWEIKEGEGEYKLRFDMPGMNNGDVKVWVEEKMLVVKAEKASKKKSEEDEEWSSKNYGRYSSRIALPENVKFENIKAEVKNGVLYITIPKVIISYSKVMDITLQ >CAK8563680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624844213:624844539:1 gene:gene-LATHSAT_LOCUS17065 transcript:rna-LATHSAT_LOCUS17065 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGGEGGENSGRRKVLVHTPTNEVITSYSMLERKLSSLGWERYYDDPDHLLQFHKRSTVYLISLPKDFNKLKPMHMYDIVVKNKNYFHVRDM >CAK8531545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:123388419:123389069:1 gene:gene-LATHSAT_LOCUS1329 transcript:rna-LATHSAT_LOCUS1329 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVHVVIHHKGYLEGNDESSYGGSVTDVKCDVDKRSYFEVLGIVKELGYEDAGTIIYKDPTFSLFTLTANKGSLEIVDLCKVHKDVHLYVKHSVSQPDYYDGPTKDEPENIVKEVINVDETEDVIGKLVEEVVNGKGDSVSDLNKAEVGGTNVDVNGAELMREGEERDDNVDVNGVDLMREGEEGDNNVEVNEAEVMSEGEVGDNNVEVDGLRI >CAK8543225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590284420:590286103:-1 gene:gene-LATHSAT_LOCUS11996 transcript:rna-LATHSAT_LOCUS11996 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAEEGGIRPLPVSTSPGTHRRSICSNCQRPNPVCLCHALPTNPIPTTTRVFILHHPQEAKHKLSTTPILTKSLLNATAITGRRLRRSTSPLFDKFPPTVYLFPSTSSSPAVNISDLNLSELKRSGENGGLVLIAFDATWKHAKEMVKASEEFLSKFAIRVCLGMEDEKMSGGSIYDSELILRKEPFGGCVSTMEAVARALRVLEPNGVEIEARLIGILKEMVNLQAGFLKPVKPRPKLLKKKQLKEVEKSENFENEKL >CAK8541673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:346118679:346120773:-1 gene:gene-LATHSAT_LOCUS10576 transcript:rna-LATHSAT_LOCUS10576 gene_biotype:protein_coding transcript_biotype:protein_coding MEYENRFRQAQRQKYDCLLFDLDDTLYPLSCGIAKACGQSIKDYMVEKLGIDRDIIDDMSNHLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRNLLLSLPYRKLIFTNADKVHAVKALSKLGLEDCFEGIICFETLNPIHKNTVSDDDEEDDTECVGSNRTNFTTSTSASNLQIFDIIGHFAQSNPTQALPKTPIICKPSEFAIELALKIANLDPQRTLFFEDSARNIQAGKRVGLDTVLVGKSQRIKGADYALESIHNLREAVPELWESELKSEVAYPSNLAVETSVTA >CAK8544113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663828555:663838189:1 gene:gene-LATHSAT_LOCUS12816 transcript:rna-LATHSAT_LOCUS12816-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLRHCNFPSLSSSNSWFSKDRRNADTNVLNLHHQLLNKSRSSKERCFLHHALFWNSENVLVGYREYSLTLCRPKRNVKNRLFASFDDGVTVDEDSQDSSSSNATDLEKIRVKLNRPLVNDEDFCDGLLQSLYDAARVFELEIKEQSSPSRSPWFTINWFGVDRIAWEKTLSYQAAVYSLLQAASELSSQSDGRDKNVNVFVQRSLLRLSALLESLIKEKLSAKQPKAYDWFWSKQVPAVVASFINKIEGSGKDICGGLSSASDVSLLLLALTSIAVIIKVGPAKLSCSQFFSTSTEITGSLMDLLVDLIPISQAYSSARDAGLCREFLVHFGPRAAACGGKIEQGSLEVVFWVNIAQRQLQKAINKERIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQSFLLSNGFYALDEQVEDFIRHLMVGSVVYYPELSSISSYQLYVEVVCEELDWLPFYPGNVNPAKQLHMHKSKHEGLPNAEAVPQALDTCSHWMQSFIKYSTWLESPSNAKAARYLSIGHKKLLECMEVRMLKDKTLEICADKTVERSAVHSSAIVSDSFDEVLQNVEEVVLRLENLLQELYASSATSRKEHLKAASSVLEKIRKLKKEAEFIEASFREKADSLQKEVDVGQSHNPVGAKPEYFKAKSRKSANADGSKKYIGKSQGFRNDFVQKLGLMDDGARHSDDAGVVQMVQIQKEGDRIRKYLSKLKETGTDIWQGTQLLAIDAAAAVGLVRRTMNGDELTEKEKKALKRTLTDMASAIPIGFLMLLPVTAVGHAVMLAAIKKYVPALIPSTYAPERLDILRQLEKMKQMTPSDMSSDEEVKEIN >CAK8544112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663828555:663838189:1 gene:gene-LATHSAT_LOCUS12816 transcript:rna-LATHSAT_LOCUS12816 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLRHCNFPSLSSSNSWFSKDRRNADTNVLNLHHQLLNKSRSSKERCFLHHALFWNSENVLVGYREYSLTLCRPKRNVKNRLFASFDDGVTVDEDSQDSSSSNATDLEKIRVKLNRPLVNDEDFCDGLLQSLYDAARVFELEIKEQSSPSRSPWFTINWFGVDRIAWEKTLSYQAAVYSLLQAASELSSQSDGRDKNVNVFVQRSLLRLSALLESLIKEKLSAKQPKAYDWFWSKQVPAVVASFINKIEGSGKDICGGLSSASDVSLLLLALTSIAVIIKVGPAKLSCSQFFSTSTEITGSLMDLLVDLIPISQAYSSARDAGLCREFLVHFGPRAAACGGKIEQGSLEVVFWVNIAQRQLQKAINKERIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQSFLLSNGFYALDEQVEDFIRHLMVGSVVYYPELSSISSYQLYVEVVCEELDWLPFYPGNVNPAKQLHMHKSKHEGLPNAEAVPQALDTCSHWMQSFIKYSTWLESPSNAKAARYLSIGHKKLLECMEVRMLKDKTLEICADKTVERSAVHSSAIVSDSFDEVLQNVEEVVLRLENLLQELYASSATSRKEHLKAASSVLEKIRKLKKEAEFIEASFREKADSLQKEVDVGQSHNPVGAKPEYFKAKSRKSANADGSKKYIGKSQGFRNDFVQDNIIEMSTDEGILDPEASDVHRFEHLRNELIELEGQVQESAYESVKDEKLGLMDDGARHSDDAGVVQMVQIQKEGDRIRKYLSKLKETGTDIWQGTQLLAIDAAAAVGLVRRTMNGDELTEKEKKALKRTLTDMASAIPIGFLMLLPVTAVGHAVMLAAIKKYVPALIPSTYAPERLDILRQLEKMKQMTPSDMSSDEEVKEIN >CAK8562220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457414370:457415717:-1 gene:gene-LATHSAT_LOCUS15736 transcript:rna-LATHSAT_LOCUS15736 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQVIHMKGGDGEEGYANNSLLQRKVISLTKSLRDEAITNAYRNTKSESLGIADLGCSYGANTFLVIAEAIKAIEKFCQEQKQKSPEYKVYLNDLPGNDFNSVFTSFDAFKKNLISEVKNQMGPLYFFGAPGSFFDKLFPNKSLHFVHSSYSLQFLSKVPDGVDNNKGNVYLAKTSPPNVFKAYLEQFKSDFSSFLKYRAEELVEGGHLVVTLIGRIGEDPVYKDCCSIWETMAMGLNDMVKQGIIKEENVNTFNIPLYYPCQAEVKKEIDTQGSFSINYLETSEVNLSELDNWDGSDFTSKKPESLKDGGYNMANCFRAVAEPMLMGHFGESVTKEAFNRFTKNAADHMPKDKTKITNITMSLTRKP >CAK8578422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628348002:628349154:1 gene:gene-LATHSAT_LOCUS30435 transcript:rna-LATHSAT_LOCUS30435 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYTTFDSNRAGLATLYQEGSMLTFEGQKIQGSPNIVAKLTSLPFQQCHHTITTVDCQPSTVNAGMLVFVSGNLKLGGEQHALNFSQMFHLIPTPQGSYYVHNDIFRLNYA >CAK8571289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364778968:364779483:-1 gene:gene-LATHSAT_LOCUS23965 transcript:rna-LATHSAT_LOCUS23965 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTDSSGFFGSNDISGFGYGIGISIGILLLITTITLTSYFCTRSQVPNAPRRRNNNNNTSEFLEPQHSIVDLGLDEETIMSYPKMLYSEVKLRKNDSTSTCCSICLGDYKGSDMLKVLPDCEHLFHLKCIEPWLRLHPTCPLCRTSPIPTPLSTPLAEVVPLASRRDSS >CAK8577163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:541789550:541789873:-1 gene:gene-LATHSAT_LOCUS29292 transcript:rna-LATHSAT_LOCUS29292 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEKHATHTRVIMADPPDCCSKPKNKLTSEIILVH >CAK8539687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521608632:521610983:-1 gene:gene-LATHSAT_LOCUS8764 transcript:rna-LATHSAT_LOCUS8764 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALQQSYLNRRTNSFRGSTPLDSGDGAVKSPVTIFWLVLHGVCCLISLVLGFRFSRLVFFFLFSTSSSNIYTAPFSSGPGITVPVDVQTNHIVNRTAVVAASRVVVGRHGIRIRPWPHPDPVEVMKAHGIIERVQREQRALFGVKNPRTVIAVTPTHVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGVTNETASLIAKSGLRTIHVGFHQGMPNSWEERHKLESLMRLHALRIVRKEKLDGIVMFADDSNMHNIELFDEIQNVKWIGAVSVGILLHSVDAAEISSVVQKEGEEDSTPMPIQGPACNGTDKLVGWHTFNSLRYTGKSAVYIDDRAPVLPRKLEWSGFVLNSRLLWKDVDDKPEWIKDLDALDGKGEEMENPLSLLKSASVVEPLGNCGRHVLLWWLRVEARTDSKFPARWIIDPPLDITVPSKRTPWPDAPPELPSNEKEKVFAATEEQPSKHTTKIKPPRTKRSRNKRKHDTKVIGVQVSTHSEQTEI >CAK8576017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385113246:385113602:1 gene:gene-LATHSAT_LOCUS28236 transcript:rna-LATHSAT_LOCUS28236 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVERIPRTVGNNNTAGNKIIIASSPYVINTVKGNTLAEISTDMVRKAAEPSPENEGNLIEQVEAVMEKWIEVIRSGKDRGKQLVNPNSVNKIVCDNGFETLEISKDLIESQNTGQ >CAK8575790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:326057502:326060611:-1 gene:gene-LATHSAT_LOCUS28025 transcript:rna-LATHSAT_LOCUS28025 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFSSNEKGISFWTPLDNGMKSSTASDDMFTNISELMNFDSYAGWCNGSSSIADQTLTNDLSSFAYSSHDDGLNLVEHIDGSFFMTEIGGSYNVMDSEKVLLQQMETQLEFLDNENETNNNFGSQQEQNDSFDMCNYMISKSPGWSLDERMMNALSFFKESAGGGILAQVWVPIKYGDEFVLTTSDQPYLLDQKLAGYREVSRSFTFSAEMKTGSCCPGLPGRVYNSHVPEWTSNIGYYHKSEYLRLDHAISHEVRGSIALPISDMHSEVSCSAVLELVTTKEKSNFDKELEFVSHALQRVNLRTITPPRLLPQCVSNNKRAALTEIMDILRAVCHAHRLPLALTWIPCYYTEGKGEESEKIRIKEGHTKSSDEKCVLCIEESACYINDKTVGGFVHACSEHHLEEGQGISGKALQSNHPFFYTDVKAYDITEYPLVHHARKYNLNAAVATRLRSTYTNDDDYVLEFFLPINMIGSSEQQLLLDNLSDTMRRICKSLRTVSEAELRGLECSQHRFRNENVSGFFPMSKGSSQIAFTSEDHDLFQMSLNEINLKNNGNQTTHSQAFSGSRKLAEKKRSAVEKNVSLSVLQQYFSGSLKDAAKRIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGVLKFDPQTGGFVAGGSIIQQIGTHDKALVFPEKNSVENSAVKLEDDGILISNLCEEELKKDNVSSVGCVPDSSSWLCPKQNSIGSVLEIEEDRCDLNNSSLHDINSNSSFTLIELGLDEGKGVELNNSTSSSMTDSSNASGPMVHGSSSGSQSIENQKHSKVNSICVDSESKFAVKASFRGDTIRFKFDPCGGCFQLYEEVATRFKLQNGSFQLKYLDDEEEWVMLVNDSDLKECVEVLSDIGTQCMKLLVRDIHGSNNS >CAK8572736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559276718:559281439:1 gene:gene-LATHSAT_LOCUS25265 transcript:rna-LATHSAT_LOCUS25265 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQIWRSIAFAAFAFALLSQSVSADDVVVLSEENFEKEVGQDKGALVEFYAPWCGHCKKLAPEYEKLGNTFKKSKSVLIAKVDCDEHKSVCTKYGVSGYPTIQWFPKGSLEPKKFEGPRTAESLAEFINTEAGTNVKIATAPSSVVVLTPESFNEVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVAAAFKSEDDVVIANLDADKYRDLAEKYDVSGFPTLKFFPKGNKAGEDYGGGRDLDDFVAFINEKSGTSRDSKGQLTSEAGIVENLDVLVKEFVAANDEEKKAVFAKIEEEVGKLQGSSSRYGKIYLKTAKNYLEKGSDYAKKEIQRLERILEKSISPAKADEFTLKKNILSTYA >CAK8541442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:170984672:170989903:-1 gene:gene-LATHSAT_LOCUS10362 transcript:rna-LATHSAT_LOCUS10362 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSCWFFTTLLCFFSDTAFSGDPFVYFDWTVSYTTLSPLGVKQQVIGINKQFPGPILNVTTNWNVVVNVKNDLDEPLLLTWNGVQHRKDTWQDGVSGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSLNFQRASGGYGGIIINNRAVIPVPFGLPDGDVTIFLSDWYTKSHKDLRKDVENGVDLGIPDGVLINGLGPYRYDDTLVPNGISYQIINVEPGKTYRIRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQNASTDYYIAASPRFVNSSWAKATGVSILHYSNSQGPASGPLPSLSDQDNPSFSINQARSIRWNVSAGAARPNPQGSFKYGDITVTDVYVILNRPPELINGKWRTTLNGISYLPPSTPMTLAQQFKILGVYKLDFPNRFMNRPSKVDVSLINGTYRGFMEIIFQNNDTTVQTYHLDGYAFFVVGMDFGVWTENSRNTYNKWDGVARCTTQVFPGAWTAILVSLDNAGIWNLRAENLNSWYLGQEVYLQVVNPENDSNENILPDNAIYCGLLSSLQREQSHKFQFSIGSSPLSSDSRMILFMLLFLALIESLYGMCSLEY >CAK8571735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466093070:466093585:-1 gene:gene-LATHSAT_LOCUS24369 transcript:rna-LATHSAT_LOCUS24369 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTPSTTTSSKRKERDDPFERPKPIPASTSKLPKSDKPISRLGSEPITSPTTTTTANKGLEPMPSNQLLAGYLAHEYLTKGTLLGQPWVPPKGKSKEEDDGGDEGEPTATATTEEEPYRMPEIKMERERYVEVTGLLKSGGTHLHGVVNPTQLARFLHL >CAK8537337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:278798267:278798679:1 gene:gene-LATHSAT_LOCUS6634 transcript:rna-LATHSAT_LOCUS6634 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHQNNSFKNQICYNLVTQVLKLAEKKCCWKVFWFEGELRFFGCCCCEFAGFVYLRADEQLGSMENKLRVKVVPEFFCELLGLFYARNKKISDALLTSTPASS >CAK8531102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80969468:80970228:1 gene:gene-LATHSAT_LOCUS914 transcript:rna-LATHSAT_LOCUS914 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQSSQKKSQPSQASKYLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVLDGNHGFRVIASLHGYDEDGWPMVRRDLGLEIIHNERSSLYANLFNDRLAEVGESFMIEAFGPQPPQKWLTLPDMGYLIVNCYNVVLVCLGFECWTFFPMTTSFSPNISFYSIGFVNTNHWVQVNMKEGFPLPPVKVDWENFRSPVATSWMLGFAGRLQHWQQLTSILPIHYEL >CAK8532642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:338833897:338835429:-1 gene:gene-LATHSAT_LOCUS2325 transcript:rna-LATHSAT_LOCUS2325 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHPKSIPSKTMPTISDTDSAARTDASNPNEALEVGPLRMLTPEGLMVKKPRTSHARRPKELVTGQAPHSSTSIPDEDTNEEEARKIHTTIANLVTRVLIEPHDVPRISIPPNTDGLAPHLNQEADVTHDVETLETTSQKDNDKIQASENNDDHMQSDKNDANEVQKDNADVVNLDDYSDNDLIATINPGIAKRLMSRKGKKAAVQNTPKKKDVTKSTQVGPTKAWSKVVLKKRKAQTSSESDSESDVACDVTDIQPKKRPTTSKLDASVPDVPIDNVSFHYPSSVNKWKYVYQNRLALERELAQNAFENKEIMNLIHDAGLMKTVTQISHCYELLVKEFIINLSEECADRKSNELVKVYVRGKCVTFSSITINNYLGRSDGAQPDIVVSDNKVCQVITANQVKSWPSKGKLVARKLSLKFAMLHKIGADNWVPTNHKSIVTTILGKFIYDIGTKTKFDYGTYIFDQTMKHAGSYNIKSRIAFPSLISKKMIPEAREKALCPSITSYCC >CAK8530568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31708140:31709780:1 gene:gene-LATHSAT_LOCUS425 transcript:rna-LATHSAT_LOCUS425 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWGEGKRSYEYQVEEEEDDGKKKRGVVSEHHVKRVSKAGGSVTPSCQVDNCNADLAGGKQYHKRHKVCENHSKAHSVLISQMQQRFCQQCSRFHELSEFDDLKRSCRRRLAGHNERRRKSASEFNGEGIN >CAK8533031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567963190:567964106:1 gene:gene-LATHSAT_LOCUS2683 transcript:rna-LATHSAT_LOCUS2683 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQSGSGTRRSKGANAAKRAIAGATTQDVSVVAPCGACKFLRRKCSGGCIFAPYFGTDQGAARFAAVHKVFGASNVSKLLFNIPTEHRQEAAATISYEAQARLSDPVYGCVSTILALQQQVAAMQAEVSMMQSQLMNSRYAYASTLQTTHQQQQQILQQSNFNVSVQPAYSNNSSASTNNLVNMNNFNHGFDLTMETAPSSHSLEPFQNSRLSHYEDDD >CAK8531141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83850184:83850615:1 gene:gene-LATHSAT_LOCUS952 transcript:rna-LATHSAT_LOCUS952 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVVSVYFVLCFASSLLIVMALVQSTHNMFGSDEYHVRVVNGFTDNSSVPLVIWCSSEEMDLGGRALQEHDEFSWIMRPNFWSSNYMKCTMKWDGTRKSFDAFKASRDTQRCGLLRLCSWRVTQDGFYFSNDEVNWRKDFIW >CAK8569996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19654745:19658306:-1 gene:gene-LATHSAT_LOCUS22788 transcript:rna-LATHSAT_LOCUS22788 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRYKAGLFLIGTVVIIWVSSAEVTQNIYTDYKQPFAVTYLGASLMVVYLPIAFIKDWFYNLLKHRSSKSRKNAESGGDEFPIKISSPLKGNGVQKNFEMELPNVVRKDSDIDLSSLAEIAPLVAIYNETGVAKEEKELTAKEIATYGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLMGQDTLNVSKVVAVLVSMAGVAMTTLGKTWAADDSQLTASNGRRSLVGDLFGLLSAMSYGLFTVLLKKFSGEEGERVDVQKLFGYIGLFTLVALWWLIWPLSALGIEPKFAIPHTAKMDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMLADMVIHGRHYSALYILGSVQVFAGFVIANLSDWMTKRLGL >CAK8576541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489530911:489536038:-1 gene:gene-LATHSAT_LOCUS28720 transcript:rna-LATHSAT_LOCUS28720 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKAKGKHRLDKWYHLAKETGYRSRASFKLLQINGKFDFLNSARAVLDLCAAPGGWMQVAVKHVPQGNLVIGVDLAPIAPMNGATAIQEDITRPECKSRIKKIMNQNGCSVFDVILHDGSPNVGGAWAQEATSQNALVIDSVKLATQFLAPKGTFVTKVFRSQDYSAVVYCLKQLFEKVEVDKPLASRSESAEIYLVGLKYKAPAKIDPRLLDYKHLFQASAQPQAKVVDVLRDNKQKRHRDGYEDGITTLRKVSSAASFIWSDAPLEILGSVTSISFTDPADLQIKDNKLTTEEVKSLCEDLRVLGKQDFKHLLKWRIHIRKALSPSKKTEPASIAVVENENEVDEDDRLLNEMEELTNALDRKKKREKKILAKRRAKDKARKATGMQIDAVEDYVDHELFSLASIKGKKDLVAVDTTDYEGGEGEEDDSENEENKGGSEHSSSELDSDEERKRYDEQMEDLLEQAYERFVIKKEGTAKQRKRIKNSYDVDSQLLEAGENDDIVQSNYDSEDDVQEANPLMVPLNDGAALTQEEITNTWFNQDIFAEAVEEQDFERDDSENEMDIDGPKEKMPVAIKIKGNKSVSDKIKENKSADSAMMNHTQPQASKEMDFEIVPQPDTDSDDSSSDESDWDVETKAESLALASKMIRKKKREQILDDAYNKHMFHDEGLPKWFQDDERKHCQPEKPITKEEVAAMKAQFKAIDARPAKKVAEAKARKKRVAMRKLEKVRKKANVISDQPDISERSKSKQIDRLYKNAVPKRPQKEYVVAKKGVQVKTGKGKVLVDRRMKKDMRKSGMGKAGKRGSKAKGGKAPKGGNGPKGGKAPKGNTPKGKGFSKGSAKKGRK >CAK8536298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:928020715:928021393:1 gene:gene-LATHSAT_LOCUS5682 transcript:rna-LATHSAT_LOCUS5682 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGGHVVDAAVAAVLCVGVFFQASSGIGGGYFMVVKSSSSSNAQAFDMRETAPIAASQNMYQGNLKAKVLGALSMGVPGELAGLHAAWLKYGRLPWKTLFQPAIELAKNGFVVSPTLSNYIATSENKIMSDPGLRNIYAPNGILLKGGEICRNVELGQTLEIVAEEGIQTFYNGTIGEKLVKDVREVGGILTMEDL >CAK8572004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501202444:501204393:1 gene:gene-LATHSAT_LOCUS24613 transcript:rna-LATHSAT_LOCUS24613 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSLWIQKEDLCSDREELDSSKSRLNKLIKRSNSHSSIPVNAIQSQISKVFFNGLFFRHGNGLDNRIPKHMVSVDEKYLRRCLELVHNSALKATQWNNLPMSLRGSNMGSISECLNSDKSFRGNFVFDCPVATEIGGVVMNTDTGEEWGLGSVMESKSMINILNSTLLRKFGDSERNENLSRMNFSDAKGLICYDFIDSSSSLSVSSSYNLDNETTPSAQKYGSIPFHKRLASTSSATSSCSDWMSSTSTLSQGMIQCSWKQGIPRFIFSTDDQKEVYVANLRKVVDSTDRKALDYVYQFHLNKGDRKGRAIADEDLPLVGKMNVSTSFTLCPNNNCRVMETEFTLFQNIEVYDNESEYSHPVKNKGLKKKVSKVFRTNPSSKHRTLSKFNGSGGVAESCLWDPYTHGGINLLETNVPPNFEMASIVVRDHLPCNKPQTIGGWGLKFLDKSSANKTPSPSESCNQNNDDCSSSMSILVPAGLHGGPRTRNGGPSSLIDRWRSGGHCDCGGWDEGCPLTVLQKKSSKVEVLSPVDTKGECVSVDLVTQGSNDNSPALRMVNVHNGLYYIHFHTPLSALQAFSISVAIIHMQSPTLRPKSVQELS >CAK8533427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616452978:616453310:-1 gene:gene-LATHSAT_LOCUS3051 transcript:rna-LATHSAT_LOCUS3051 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLCHLQYPIAYLSRLQRILPVAQKELRFKVSRKAHQPYGVHQRHVPLGDQGLLVLVGKRTAGARIASSPDSDLEAFIHNLTHGSFAPLAFQSSAMTNYANQRFLSY >CAK8560198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10319613:10323330:1 gene:gene-LATHSAT_LOCUS13894 transcript:rna-LATHSAT_LOCUS13894 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQVVALSKDDSKSYKPHHEFKQDVKEALVDLNLTESNELWLLKLPFSNDLLADIDGQELLVKLDKDGTLASFEGASGKAYDFVSFASMEPDETVFVPSATELKIAGKISRRVSVVHYHDPKELEKISTTDAKKALLNSIAATPGNFSRTSASKSSSRLKSSLSEFSERSNTKRRTGENKSNARPREVASGFNSVASAISSDHSHGGKSNASAMSSDHSHGGKSNASAMSSDHSHGGKSKRSKHSE >CAK8565254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:82929213:82931761:-1 gene:gene-LATHSAT_LOCUS18482 transcript:rna-LATHSAT_LOCUS18482 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDSLLTQIKDGFAEGKDLVVSVMSAMGEEQINALKDIGPKN >CAK8531365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105231621:105232967:1 gene:gene-LATHSAT_LOCUS1162 transcript:rna-LATHSAT_LOCUS1162 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIDSCWRTNSNWASNRQLLADCAVGFGKDAIGGKYGDIYEVTDPSDDPINPKQGTLRYGAIQTEPLWITFANDMVIKLKNELIVNSYKTIDGRGVNVEIGNNGPCITIQGVSHVIVHGISIHDCKPGLAGLVRSSNEHVGYREGCDGDGISVFASSNIWIDHCFLARCADGLTDVTHASNLVTISNNYFTQHDKVMLLGHNDDYSADRVMKVTVAFNHFGSALIERMPRVRFGYAHVVNNRYDEWLMYAIGGSADPIIFSQGNYFIASKNSDAKQVTKRETDGKWSNWKWRSYGDEFLNGAYFIPSGYGSCAPLYSSSQNFVAAKASMVPLLTLNAGPLDCTVNKPC >CAK8571390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:396130368:396130748:-1 gene:gene-LATHSAT_LOCUS24057 transcript:rna-LATHSAT_LOCUS24057 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFCMKENNTNTMTQQSSTKPHDQMDLEFKKPHQLKDNSLKEHTNLDVTKGTLVVEEEEESGREKLKRHRVEMAGRVWIPDIWGQEEFLKDWIDCTTFDPPLISSAKIVTARTALVQEATATARC >CAK8570469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48817024:48820239:-1 gene:gene-LATHSAT_LOCUS23215 transcript:rna-LATHSAT_LOCUS23215 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEKGLSTCGISETTLSHDEIVGGETLDGGQVQSCNGQGGEDLKSVEVGGGNEARLDDSRVVESEEGRSENAAGELGSLVSERAASGETVVDGDSCTVVNTASGDFTVKDAVGAADHKVTSTSCDIGLGCSFRSDSQKDWNLIDHGTREDGNDLTLETLDEQKNIHLKSGEKIVDQRGLACDKVEIEGKLSSNGEQPIGNDEVNDNSNNVQEVVCGTKAEIDETLLNSDEKHSSILKKCNTKEKIVAENDVGSVSDAEQSDACKEMQVDVDDLQGTETSKTMNHTVDIKGTPVSLGSEKNLDANAIVEKDTQITDQGSHMTLRGRKEKIDIELNTRQNVEERESVSDQVGSNGKNVKHALVKPGSSEIVHQAQYSLPIEKQEGEFSLSDMVWGKVRSHPWWPGQIFDPSDASERAMKYYKKDCYFVAYFGDRTFAWNEASQLKHFRAHFSTIEKQRSSSESFQNAIGCALDEVSRRVEYGLACSCTPKDTYEMIKSQAVENTGIRREISFKHVIDESLNANSFSPTKLIEYVKTLSELPTGGFDRLELVTAKAQLLAFNRFKGFSCLAEIQHCGVANKDNSFVDDEQDLCEVNEHTTPAFNKDDQAGPGNFKNPSSTRQKRKYNFKNAMHPTKKERKMSDLMNGTPDSSDDDSWTPDSVVSPEPSKKRRSVVPNADDSEMQDGTKAISVGKGSNTTKSSFNIGDCIRRAASQLTASSSILKCSSDQSPKTDGDIDGFPENETVEDDQITSEYSSLNDLLSSLQWVAQEPLAEYTFLNGIVSFFSDFRNSVTVAADWKEILRMDKIGGFKRKRPPIAGTGSPETFEFEDMSDTYWTDRVVDNGNEEKLVQQPSQKNQKKDEQPVTAKSPKPAAAKSPKPAQVRRPYNKKKVTDINHAETTTEKPPGYIDENAPAELVMNFAEFNSVPSETNLNKMFKRFGPLKESETEVDRVTSRARVVFKKCVDAEVAFSSAKKFNIFGSVLVNYQLNYAPSALFKASSVDATQDQEMLLDLSSFDVNMV >CAK8574537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1788356:1790285:-1 gene:gene-LATHSAT_LOCUS26876 transcript:rna-LATHSAT_LOCUS26876 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAITTVSIEILFLLFFTSTFFNSSHASPTQEQDSYSEEQHKEKPPSYLKMVSNASEFPLEDYYDYIIVGGGTAGCPLAATLSQSHRVLILERGGISYGRPSLMNQEGFLNTLLSANANNVNNDDSPAQSFVSEDGVLNARGRVLGGSSAINAGFYSRADREFFTKSGLLWDLKLANESYEWVEREVVFRPELKTWQSAVRDGLLEAGVGPYNGFTLDHARGTKIGGSTFDTSGKRHSSADLLRYARHSNLQVAVYASVERLLLASSSSSSFSSPNSPTTSSLSAIGVLYRDENGKYHHAILKDRGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAHHLPYVGHFLYDNPRNGITFLPSVPLEHSLIEVVGITDSGAYIEAASNVVPFSTPQQMLFIRPPASPLYLTVATLISKISGPVSAGFLRLASTDVRFNPIVRFNYFTNAVDVERCVNGTRKLGDVLRSRAMNDFKFRNWLGVRDFRFIGPALPNDQTNYVEMANFCRRTVSTIWHYHGGCVVGRVVDSHLKVIGIDSLRIVDGSVFSVSPGTNPQATLMMLGRYFGLKIRREREKYSDQ >CAK8567538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523372316:523375957:1 gene:gene-LATHSAT_LOCUS20584 transcript:rna-LATHSAT_LOCUS20584 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVSSSAARTPLGSNTKFSTHHSVLKRPITVAFKGDKQNDIALVVTKEKILTQDEATKTHKIRHVKTKKIPKKAKGVLAEETFPSSLDVDYNEAAAVLENIYKLSPTSDACDADYIDIEIKRVSRRSKKVGDARKEELENGRVVRNQKTKAKRMNLDERIALKMDNDSEDVTPTRKKRNGRKRIGKLEQLLREYAVLADLVSLDWKKMKIPPVLPSTDHTFLFKLMQPMKALLQVKEDLQKELAREPTEEEIGNATNISPAKVKKAIKVGLAARNKLIKHNLRLVLFVINKYFSDLANSQGFQDLCQAGVKGLITAVDRFEPNRKFRLSTYGLFWIRHAIIRSMTLSSFTRVPFGLESVRADIRRAKMQLTFELQKPPTEEEIIERARISPERYRDVMRASKPFLSLHARHLTTQEEFINGIVDDTGIDGDNRRQPALLRLALDDVLDSLKPKENLVIRQRFGLDGKGDRTLGEIASNLNISREMVRKHEVKALMKLKHSARLDYLRRYVV >CAK8577779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588197786:588197980:1 gene:gene-LATHSAT_LOCUS29853 transcript:rna-LATHSAT_LOCUS29853 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMGLVVITRRDLMYKSNLSNKSNNISKRRMIPKRGQVKMGIVVGLFHNVSSIFSSRCVQLS >CAK8578623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641989011:641991262:1 gene:gene-LATHSAT_LOCUS30619 transcript:rna-LATHSAT_LOCUS30619 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTNKEIYSVWAIPPEDVCDRLARLMTTLGSEFRGPHFEPHMTVVGAIELTPDDALNKLRSACDGVKAFQVTVDRVATGTFFYQCVYLLLHPTPQIVETNAHCCSHFGYANSTPYMPHVSLLYGDLTDEEKQKAQERANILDDTLSGLSFQINRFALYKTDTKDKTLKSWEKIAECTLTPN >CAK8569507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3604581:3606406:1 gene:gene-LATHSAT_LOCUS22352 transcript:rna-LATHSAT_LOCUS22352 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHSLFFLYLCISLFPSFSSSTILFQGFNWASVEKEGGWWNFLKTKVPDIADAGVEYVWLPPPSNSRDDGPQGYLPKRLYDLDTSKYGNKEELKSLVAAFRDRGVKCISDIVINHRTAERLDNNGLSIFEGGTPDTRLDWDVSYICSNDVQFKGRGNPDTGEDWPGAPDIDHTNPKVQQELSDWMNWLKTEVGFVGWRLDMVVGYAPRFAKTYVEKTSPDFAVGELYRDVELGSDGKPLANQDAHRKTLVNWVNDAGGVVTTFDFTTKMILGAAVEGELWRMKDANGKPPGMIGIMPSNAVTFVDNHDTGSQKKWPFPSDKVMLGYVYILTHPGHPTIFYDHYIEWGLMEPIKKLTAIRKRNGITATSSVNILAAEGDLYMAKIDDKIIVKIGPKLDLGNLLPSNAVVATNGQDYAVWEIK >CAK8575604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202379300:202383978:-1 gene:gene-LATHSAT_LOCUS27853 transcript:rna-LATHSAT_LOCUS27853-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGSSINQSDLRRSANYRPNIWKDNFLQSLTSKYDIFIIFAQKEEYAMQLNKWVIELKCSFVEELEVTQKIELVDWIQKLGLAGHFENEIDEFLQNIFVSALDFNKFSVQDNIYVSTLCFRLLRQHGYDIFPADILSNLFDVTDNSLSSDVKDIIELLEATHLSFDGENVLDDAKMFAISWLKDAASNKQLIPKYDIERVVHALELPSHWRVPWFDVKWHVKQYQTKKHMDPILLELSKLNFNITQANLQKEVKDLSRWWEKLGLKKAMVFARNRLVESFMCATGVASEAKYRSLRKWLTKVITFVLMIDDVYDIQASFEQLMPFTMAFQRWDAKELDELPEYMKICFNALQNVTHEIAYEVGGEKNFNMVLQCLKKTWIEFSKALFVEAKWYKMGYIPSLQEYLSNASITSSGPLILIHSYFATMHELTDEIVEFSHTYQDLVYNVSLIIRLCNDLATAEAERERGDAVSSIACYMNEMDISEEKARKHIQDIINRAWKKINGLCSTQNVLMEPFFNQARNAARMAHTLYLNGDGFGIQDRDIKKHILSLVVKPF >CAK8575603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202379300:202383978:-1 gene:gene-LATHSAT_LOCUS27853 transcript:rna-LATHSAT_LOCUS27853 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGSSINQSDLRRSANYRPNIWKDNFLQSLTSKYDKEEYAMQLNKWVIELKCSFVEELEVTQKIELVDWIQKLGLAGHFENEIDEFLQNIFVSALDFNKFSVQDNIYVSTLCFRLLRQHGYDIFPADILSNLFDVTDNSLSSDVKDIIELLEATHLSFDGENVLDDAKMFAISWLKDAASNKQLIPKYDIERVVHALELPSHWRVPWFDVKWHVKQYQTKKHMDPILLELSKLNFNITQANLQKEVKDLSRWWEKLGLKKAMVFARNRLVESFMCATGVASEAKYRSLRKWLTKVITFVLMIDDVYDIQASFEQLMPFTMAFQRWDAKELDELPEYMKICFNALQNVTHEIAYEVGGEKNFNMVLQCLKKTWIEFSKALFVEAKWYKMGYIPSLQEYLSNASITSSGPLILIHSYFATMHELTDEIVEFSHTYQDLVYNVSLIIRLCNDLATAEAERERGDAVSSIACYMNEMDISEEKARKHIQDIINRAWKKINGLCSTQNVLMEPFFNQARNAARMAHTLYLNGDGFGIQDRDIKKHILSLVVKPF >CAK8534526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738965747:738966391:-1 gene:gene-LATHSAT_LOCUS4068 transcript:rna-LATHSAT_LOCUS4068 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFEISLGDITGVGTQGTVVPMLVAVIAVVPIENIDVHFHDTYGQSLLNILVSLQMGISILYSSVAGLGGCPYAKGAIGNVATKDVVYMLNGIGVKTNINIEKLMLARDFINNHLQRPSGSKTSTALNRSTADACLQDI >CAK8572371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532638835:532640721:1 gene:gene-LATHSAT_LOCUS24943 transcript:rna-LATHSAT_LOCUS24943 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTIAKDVTELIGKTPLVYLNHVVDGCVAQIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLITPQESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPAAMSLERRTILRAFGAELVLTDPAKGMNGAVRKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWKSSGGKVDALVSGIGTGGTVTGAGRYLKEQNPDIKLYGVEPLESPVLSGGKPGPHKIQGIGAGFIPGVLDIDLLDAVIQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIRIAKKPENAGKLIVVVFPSFGERYLSSVLFESVKREAQNMIFEH >CAK8543730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635173862:635174173:-1 gene:gene-LATHSAT_LOCUS12470 transcript:rna-LATHSAT_LOCUS12470 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8563105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573830237:573831353:-1 gene:gene-LATHSAT_LOCUS16548 transcript:rna-LATHSAT_LOCUS16548 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPLINDCTFSSANPSLSEIWPHFPSQNKRNHSLSENDSATKHIKLALPENDQNAAFKSKPNAISPKKQQQNDKRSSEPPPPPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRLNMQPSIECFPLKDVGAQPIDLSGIVFGSQARRGYAQGSQPGWLHMQLAGGLDKTS >CAK8544227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673221207:673222599:-1 gene:gene-LATHSAT_LOCUS12926 transcript:rna-LATHSAT_LOCUS12926 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFTNHTFSTLLNSSFINLRRPFCSASLLSSSAPVSVKPQVPIFLRPPIYSTKLSDLKKWHNWAKTVASSIGSSFVQSDNGPDSEILCRELKWFIEDVVEDKHSLFSEMGDGNERVKTRADIEELYSLWKQRIEERKPFQYVVGCEHWKDLVLSVQEGVLIPRPETELIVDLVSDVVSKDGDLRRGVWADLGTGSGALAIGIGRILGDGGKVIASDLSPVAVAVAAYNVKRYCLQDKIELREGSWLEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGKHEPRVALDGGIDGTDALLHLCDGADLMLKPGGFFAFETNGEKQCRELVDYMKSNRSASLCNLEIIADFAGIQRFVIGFHQ >CAK8531422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108887806:108888339:-1 gene:gene-LATHSAT_LOCUS1215 transcript:rna-LATHSAT_LOCUS1215 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSVCKDPKLVEANDFFFSGLHITGNTTNLVGSKVTPVFASQLPGLNTLGISMARIDIAPWGVNPPHLHPRATEILTVLEGTLEVGFITSNPENRHFRKVLRKGDVFVFPIGLIHYQRNIGYDNVVAIAALSSQNPGVITISNAVFGATPEISSEVLAKAFQLDRTVINYLQSKF >CAK8564430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673947790:673948416:-1 gene:gene-LATHSAT_LOCUS17736 transcript:rna-LATHSAT_LOCUS17736 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKGTVHPSSPPSVSEQFSFLPATILTLIVALSPEDKEVLAYLISCSSSSSSFYKNPNRKTKETVGGKHLPLFHCNCFHCYMSYWIRWNSSPNHQLIHTIINDFEDFLSQTENKRKEKKNRKASNNNHINNKSSESNRSKLESVTESTTSGSGSDSDIVVVSKEIDFVDSVEVEDENEEKVGSVRKLMSFIREKVWFRLCVWRR >CAK8530767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48324517:48328624:1 gene:gene-LATHSAT_LOCUS609 transcript:rna-LATHSAT_LOCUS609 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAIRNRVLKDANGDISDHLRNHIHLTNCIHLKNHMHKNSPILTDRSIMRDLVVLQRSRSLRDPSASPPSWHSPSVVDLLFKRAENDAVNQGGRRSVGADSRKEGRRLSGIGNSPPLVSRGTSRVAPGEASRGNDAVPAATSERSSRSGIGDGRRVGREESGRKSNRPDNLEVISQEQLLHEAGKSLAEDIVSRHSQSLERKSRQRGKNVREVQVKTLSEQLNDVPLDSDDLASSNIHFRARFPRQEKIVEAQQASMRNHGNGMNRTKRRKFRSARRARVATTSRDIGAENELSVASNSLAEGSAHQKYHSEEVNDYANDNVTRAPKNGCGMPWNWSRIHHRGKSFLDIAGRSLSCGLSDSRLKKGTSLASNGRNISVMPVAADGSTSCTNSEAEALPLLVDASGSHGSTENACWGRGYSGELCIYGDNLLKQDIDSDLASEARSGSQHNKLRRNHHSRHQSLTQKYIPRSFRDMVGQNLVAQALSNAVMRRKVGLLYVFYGPHGTGKTSCARIFARALNCSSLEHPKPCGFCNCCVAHDMGKSRNIREVGPVSNFDFENIMDLLDNMTVSQLPSQYKVFIFDDCDTLSADCWNAISKVIDRAPRRVVFILVSTSLDVLPHIIISRCQKFFFPKLKDSDIVNTLQWIATKEGLDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMEAGVEPLALMSQLATVITDILAGTYDFAKERRRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYGLPTSSDNSFHHSPFALNNGNVKEATRNTGKPVEVPNRTRRLSIDARMENSNAGNSTDRRHSVSGFATQHTCSHSTDKTRINERQNLDKNRKEIEEIWLEVLERINYPGLKEFLYKAGKLIFISFGAAPTVQLMFNSQLSKSTAEKFTGHILQAFEAVLGSSVTIEIRCESNKDADLPLVLPATNDGSSQIRDLIEIGTEKRRGEIVEEEEEASHMEHKNIRQVSTSQKTPIVMSHSQSRSLVRSKVSLAHVIQQAESQRSGWSKRKAVSIAEKLEQENLRLEPRSRSLLGWKASRATRRKLSRLKIRTRKTRALLNLISCGQCLSTKSPR >CAK8570331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37259394:37259600:-1 gene:gene-LATHSAT_LOCUS23087 transcript:rna-LATHSAT_LOCUS23087 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVACLPSHPLDKLVTRKKRRGREASLPPVVEVLGATLIQKVTDGSRLSPVSATVIICHSIHKPFFQ >CAK8538925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499666487:499669529:1 gene:gene-LATHSAT_LOCUS8071 transcript:rna-LATHSAT_LOCUS8071 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAARSAGAVGAGTAILLSLAVAYFFCDRRCNSSKNNKKKNKSKNGILAAIGNTPLIRINSLSDATGCEILGKCEFLNPGGSVKDRVAVQIIEEALESGQLRKGGIVTEGSVGSTAISFATVAPAYGCKCHVVIPDDAAIEKSQIIEALGANVERVRPVSITHKDHFVNIARRRASEANEFAFKHRKSQPNGTDSQQINGYESDGHGHNSLFPNDCQGGYFADQFENLANFRAHYQGTGPEIWEQTNGKLDAFVAGAGTGGTVAGVSKFLQEKNPNIKCYLIDPPGSGLFNKVTRGVMYTKEEAEGRRRKNPFDTITEGIGINRLTRNFAEAKLDGAFRATDMEAVEMARFLVKNDGLFLGSSSALNCIGAVRAAQSLGPGHTIVTILCDSGMRHLSKFCNDEYLSQLGLTPKATGLEFLGIK >CAK8561427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203984086:203984718:1 gene:gene-LATHSAT_LOCUS15021 transcript:rna-LATHSAT_LOCUS15021 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVASQDLWIWLAYFGFAGYINDINVLNMSDVFTDLLNGKALVVQYSVNRITYHMGYYLADGIYPEWATFVKTIPMPQGEKRKLFAQRQESARKDVERAFGVLQTRFAIVRGPARAWHVDTMKHIMLACIILHNMIVKDERDTYAGNFDYHHVDNNFFTTEVSTGPIPNLTTMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8561428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203984494:203984718:1 gene:gene-LATHSAT_LOCUS15021 transcript:rna-LATHSAT_LOCUS15021-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKDERDTYAGNFDYHHVDNNFFTTEVSTGPIPNLTTMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8566498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429182159:429182374:1 gene:gene-LATHSAT_LOCUS19624 transcript:rna-LATHSAT_LOCUS19624 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGYKISSDRLKVLKTKTHMGPETNIVEPLVSQEDEKGTLTQDHAEVGLDPKLGTCVRAMKSWSDISRA >CAK8564052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648168943:648170100:1 gene:gene-LATHSAT_LOCUS17395 transcript:rna-LATHSAT_LOCUS17395 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPYHLMSLDLNQDQNHNHLSSSSSSFSSPSSSYPNPPDQVREEPSYYFEAKHDHEEVEKIIPSSGSWNSSTPENHGSGRSKHKLTIRWKKEETSTDETHDDREDGTSMKWMSSKMRIMKKMMVSDPQTGDIKPIKFEDQQQGTDNSSSNNSNNNYSTHLSYNNSPTIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMALAAASENGTTIADHETSSAKRKKLQKKKENKSKIEFERSPHMKKKRKVEASKPSQISRNKFITFEDLRLSLSQNLSVQQVFPQDEKEAAILLMALSYGLVHG >CAK8577402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561078280:561083188:1 gene:gene-LATHSAT_LOCUS29515 transcript:rna-LATHSAT_LOCUS29515 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSQDSSSQSLWEGYKEFWCDRFSFMNNYSNFVKRDKPLTSWSDSDVEEFIASDPVHGPVLRTAREAVQYGLTGSALGALFTAGFAWKYSRSLHGAGLSLLAGGVFGWTFGHEIANHSLQLYRVDTLASEAKFLEWWKSKTEGY >CAK8537934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448488655:448488942:1 gene:gene-LATHSAT_LOCUS7182 transcript:rna-LATHSAT_LOCUS7182 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSDQLPSAKAEKRAKEKAEEEESQEFGCTFEDLPSPIITDILLQLPLKTIATSKCVCKTWNTLISSRYFANQLLLERPSYSYTPSSHYYKHI >CAK8536187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915205073:915206261:1 gene:gene-LATHSAT_LOCUS5580 transcript:rna-LATHSAT_LOCUS5580 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKNGTGGNWISLPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICTLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMSFLPQSHTTLLPYQNPTSYYNQISQPNTSFTTNLEQISLPFYHTQESLLSGVSTSTSSVTSMQYHHPMRESLVMFGSEGSCCSSSEGSFGKQEEIMGFQNLMQSSNMINLSHGSDVNQWETETEKVNLCFSQNQKQIPSSLGFDLEYIKQLISCDNGYLSVDENKTEEKSMYYYY >CAK8538568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487400087:487401034:1 gene:gene-LATHSAT_LOCUS7752 transcript:rna-LATHSAT_LOCUS7752 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRPNILVTGTPGTGKTTTATALAEATQLRHINIGELVKEKNLHDGWDDELDSYILNEDLVCDELEDVMENGGNIVDYHGCDFFPERWFDYVVVLQTDNTILYDRLTRRGYKESKLSNNVESEIFQVLLEEAKESYAEDKVIALKSNTIEDIDSNVATLTDWVRNWSI >CAK8541574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:257611141:257613638:-1 gene:gene-LATHSAT_LOCUS10484 transcript:rna-LATHSAT_LOCUS10484 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHKYIVNANFNGSVVVSDEVGLIFENTDVSRFSVNKRSSFQHFKDRLQMKVKAGSVSQIMYKNIVHFGDNRFKFVPLKVRDDEDVETMFSNHECFGFQHIELYVTFAQCEETQISQVINPSIIPHEAVEEEDGEEENEAQVDDLFSTLFEEGNIVNEVNKDEQYIPVENVFTPPAHMTTLPLNVETTSFDWPQTPHIPMEGDIEVANQFKNKADCVAAIKQYHMKHCVDYKVTDSDKKRYIICYKNDSCKFRLLASYRKRSDLWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDKDPSIKVSVCISKIVSKYNFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKFVSGTVLEIETLPMYTANGTIVEGKHIFHRLFWEFQPCIRGFSYCKPILQIDETWLYDKYKGTLLIAVAQDGNNNIFPVAFALVEGETAEGWGFFLRNLRRHVASQPGLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMRKIKDRNLRKKIINMRYDLNQPTFHYYRSKIGMANANALRWIDNIPVEKWTRAFDGVRRWGHMTTKLVESMNVVFKGTRHLPITTLVRATYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVKILDYANNVFNVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVLHDAYALLSDVYRVTNLFGVYSNSFPVMPYDKYWPVYEGDRIFHNPRMRRNKKGRPVSTCITTEMDNFDKLERKCSMCRQTTHNRTRCPNVGTSNR >CAK8534947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:793351814:793354073:-1 gene:gene-LATHSAT_LOCUS4440 transcript:rna-LATHSAT_LOCUS4440 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCGSPSVSFPSSSFTSHRNATAKSSFVGSQLPLPTLSLSSSSYSSSSLRGTNFSPSFVVRSQQDTSVVEQDPRFICVEPEPRFQGPDIWNETWYPKASDHVNTAKTWYIVDAEDKILGRLASTIANHIRGKNLVTYTPSVDMGAFVIVINAEKVAVSGKKRTQKLYRRHSGRPGGMTVETFDQLQNRIPERIIEHAVRGMLPKGRLGRTLFTRLKVYSGPNHPHEAQQPVDLPLRDKRIQLQR >CAK8568830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644908634:644909131:-1 gene:gene-LATHSAT_LOCUS21749 transcript:rna-LATHSAT_LOCUS21749 gene_biotype:protein_coding transcript_biotype:protein_coding MILIGWKHMLSNTIARPRALFTMWMASHHRLVTKGRLKRLGLTTDDSCKFCDKEETVDHLLFECPPFKTCWQQYLVCLGYQHFPCDWREALKWLITHCKGKGWRKCILRSSVAETIHEVWRYRNNAVFGNTVNILEIRDLVISTLANRGWVNTRMRHHIAQLLLE >CAK8561557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:290700521:290702803:1 gene:gene-LATHSAT_LOCUS15137 transcript:rna-LATHSAT_LOCUS15137 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGPGLYSDIGKRARDLLFKDYQNDHKFTITTKTFTGLEVTSSGVRKGEIFLADVSTKLKNNNITTDVKVDTNSNLLTTITVDEAAPGLKTIFSFTLPDQKSGKVELQYQHDYAGINTSIGLTASPVVNISGVLGNNLVSVGSDVSFDTATGDFIKYNAGLNVTHADLIASLTLNDRGDTLNASYYHVVNPLTNTAVGAEFSHSFSSNENILTIGTQHALDPITLLKARVNNYGRASALIQHDWSPKARFSLVGEVDTAAIDKSAKVGLAVALKP >CAK8578166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610660514:610661382:-1 gene:gene-LATHSAT_LOCUS30204 transcript:rna-LATHSAT_LOCUS30204 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKKTRGKQKLVMKKIEDIDNLFATFTKRKTGIYNKATELSTLCGAKVDILMISPSGNPFCYGEPSSKSLARTSLKEETSSFDDIVKRQMLEDLNMKNDKLVDEIYDAEAKRETLTASNSSGWWGVKEKYGYDHEKVERIKNLTHKMINEVISKGGEIDSHANYLLDKWNGFSSNSNSLLRSNNNGYEIEAIYGSNHYDPHGQGKYVAFGGASRSGSGS >CAK8542876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555114852:555115193:-1 gene:gene-LATHSAT_LOCUS11677 transcript:rna-LATHSAT_LOCUS11677 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMNKIGDALHIGGDKKEGEQHKGEGHHVEQHKGEGHGHGHGHGAEYKGEEHGFGHGEHKPGQYQGGEHKEGITDKIKDKIHGGGEKKKKERKKREDGHEHGHDSSSSDSD >CAK8562516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:505500013:505503871:-1 gene:gene-LATHSAT_LOCUS16007 transcript:rna-LATHSAT_LOCUS16007 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKCKNSDEEALGKWRKLCGVVKNPKRRFRFTANISKRYEAAAMRRTNQEKLRVAVLVSKAAFQFIQGVQPSDYVLPEDVKAAGFQICAEELGSIVEGHDVKKLKFHGGVDGIAEKLSTSTTQGLGGASESRNRRQELFGINKFAETEMRSFWIYVYEALQDMTLMILGVCAFVSLIVGVLTEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYNLLPGDIVHLNIGDQVPADGLFVSGFSVLIDESSLTGESEPIMVTSQNPFLLSGTKVQDGSCTMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVITFTVLVKGHLGRKIREGRFWRWSGDDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVRTCICMNSKEVNNHDSSLSSELPDSAAKLLLQSIFNNTGGEVVYNKKGKREILGTPTESAILEFGLSQGGDSKAERKACKIVKVEPFNSEKKRMGVVVELPDGSLRAHCKGASEIILAACDKVLGSNGEVVGLDGESSNYLNNIINQFANEALRTLCLAYMELENGFAADDPIPSSGYTCIGIVGIKDPVRPGVKESVAQCRSAGIVVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKTQEELFELIPKIQVMARSSPLDKHTLVRQLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYINIQKFVQFQLTVNVVALLVNFSSACMTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKREPVGRKGDFISTVMWRNILGQALYQFVVIWFLQSVGKWVFFLRGPNADIVLNTLIFNTFVFCQVFNEINSREMEEIDVFKGIWDNHVFVTVISVTVVFQIIIVEYLGTFANTTPLSLVQWIFCLGVGYMGLPIAIHLKQIQV >CAK8568868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648778580:648780201:1 gene:gene-LATHSAT_LOCUS21778 transcript:rna-LATHSAT_LOCUS21778 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKATQVNIKPISQIAPSKPKVTDSSSLNKKLSSSTKHTPDSKMKSVTTVTKYEVKSKPTTMSSSSSKTTTTTKTTKRKTTTTKVRERKVYNLPGQKHDPPEEKEPLRIFYESLSKQIPTSEMAEFWLMEHGLLSLERAKKAFDKKQRKQKELRTGTPAKSSSKPPTKTGTSQKPQQRPNNTGTSEKRPNNTGTLQKPQQKSNNGDTKAKRKIESDSDDDDDDFILSHKRIRM >CAK8541494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:204511526:204514076:-1 gene:gene-LATHSAT_LOCUS10411 transcript:rna-LATHSAT_LOCUS10411 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQRSHVPKFGNWEAENDVPYTVYFDKARKTRPGTKMINPNDPEENSDLDLQNSSSADVIPPKPRVHSENISEKGSARSAHNELQKNKEDGDVKQSVNSPARQENSNNKSTSDSIHRPGVGSADNRRRPSRQSTAGSEYSVERSPLHRQAKTPGRDSPSWEGKNSYESSHGTPGRSRLRSVNRGDETPDKSAAVPKFGEWDENDPASADGYTHIFDKVRKEKQDTAGNAPGTPNGRSYVIRNQPANDKAQGCCFFWGRK >CAK8570548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:58556869:58567492:1 gene:gene-LATHSAT_LOCUS23288 transcript:rna-LATHSAT_LOCUS23288 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNNSASSRKQEHLEAGKRRLEEFRKKKAAERVKKAASSGSVPNSDAGQNQKAQKQPSEVENVRINESDGVTTSDGIGGAVVETGTSNQKNVSLFNQNQGSLAGATSLVRNDLNTSSTSLVEERSDIDEVKRYNSSTFNTSDDVSQNSKANKTNDIYGIHTGGVGGFSHGTTNHQSIYLHSQESQELDSSTSQSILHGVNESQSNKSNSSVKDFAVTDVSSPYFPSKIIHENSVDSLQKIKQTNSSTFDSSYSHGSASGGFSDSISSSMFRETIRSDSDLPNLHGATIPKYDSTGYEARNSSNHTPIHSVSTESSSRKLRPSFLDSLNVNRPSTGSPFHQPEQDASKYSHLESSSNGTSESTYFRKPPEETKTGGLFSNLINAPVNNNQDTMMISAKENGLERKHDYYSSSQNEDFSTLEQHIEDLTQEKFSLQRALEASQVLAESLATENSSLTDNYNHQRSVVNQLKSEMENLQQEIKAQLVELEAIRSEYTNVQLECNAADERAKLLASEVIGLEEKALRLRSNELKLEKRLEIEQAEISSYRKKISSLEKDRHDLQSTIDALQEEKKMLLSKVRKASGFGKSESKSSKRDGSTSTDDLVSEDPASSSSNTEFNDNAAIRDAGTSSLSAVPETTHSSFGVSSVNIPHDQMRMIENINALISELALEKEELMKSLAFESSESSRMKEINKELSRKLEVQTQRLELLTAQNMVNESIENVSTKQTDSRATYENTPYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLL >CAK8569116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672415652:672418520:-1 gene:gene-LATHSAT_LOCUS22000 transcript:rna-LATHSAT_LOCUS22000 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPILLIVIFYSLFIFLGESRSSSSTQSNNDDQVYIVYMGAAGSANGTLRKDHAHLLNTLLRKNKKALIHNYKHGFSGFAARMSKNEANLIAQEPGVVSVFPDHIMKLHTTRSWDFLRSLSHIEIDNNLSESSSSSDIIIGMLDTGIWPEAASFSDEGMGPIPSGWKGVCMTSTDFNSSNCNRKIIGARYYPNIHDDAGATNTVRDANGHGTHTASTAAGKNVSGASYYGLAKGTAIGGSPESRLAIYRVCYIGNECYDSAILAAFDDAIHDGVHVLSLSLGSGLYPRPALTNNSIAIGAFHAVEHGIMVVCSAGNDGPRKTTVDNDVPWIFTVGATTIDRDFLSNVVLGNNKVIKGRDLNFSPLSKSAIYPLITGEAAKTSSADIAEARQCHFNSLDKKKAKGKIVLCDGITDHLSTDVKIDVVEEVGGLGLVHITDGEGAESSYYYDFPATAVTPKDAIPILEYVNSTSNLVATILPSISVIDYKPAPMVATFSSRGPSTLSKNILKPDIAAPGVNILAAWMGNDTTQAPKGKKPPIFNLISGTSMSCPHVSGLAGSIKSRNPTWSASAIRSAIMTSATQFNNMKTPITTELGPVSTPYGYGAGEITMNGSFHPGLVYETDTIDYLNYLCYLGYDTATIKLIAKTIPDGFSCPKDSTPDHISNLNYPSIAISNFIGKEFVNVTRTVTNVGEEYETLYSAAIDAPSGVKVQLIPEKLQFTKQSKKQSYQVIFSTTLTSLKDDLFGSITWDDGKHKVRSPFVLAV >CAK8571304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:368294797:368333123:1 gene:gene-LATHSAT_LOCUS23978 transcript:rna-LATHSAT_LOCUS23978 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRDYKTEYETHALPRTRADAHPLTSPLPPLTQVNDAVVGGNADFYDPLRGGIDNDAKSTPPDPDNLSETSGDQPIKEWTSFRRLLMQRFPVSKMVSLSSMPDVLMRSGKSHDKSSTSLHLAELDDPEKFADEGVKVITWQEYVSRLHELKDEITRSWLVDNRVTSLKLSIKVAKLMVDTSVFEFYPTLFVLVTDIMDMLGDLVWKRIKQKAEFTEDGALLCNLAENFEASDICADAKETCYNWFSKIGAVQDLLPRIYLELAILPCRRFLLDQPTDSLKRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHEIGYLVTCVNDLRVILTQTLATNESNLGDFQNNKKLQISLMEPAIEYIMKCIFIGLSKKRVNEVLSELGLMQNQQNFGTVSCVSLVLHHLLKELPVEVVSSNVVHILHLIEFNNDDSFHQHLNYKLLGFRLYEGKCPVDIVNAVLDKVMQVIATYKSLDEYLKVIDAYADLIFQNHMDNHLDIILRGISERASIGGVTIDEMSSLQSLLVKFLSHFEYLEDVFCLNHFPEILDILHGKSQDVVFLHILNMATRSGRIRDPTSIQLLYEIARTLHDNMEFMNVKNDDSQVARSVSRFVHMVDYGAEMEHHLAFLVDCRASFGRFNELKETLVHSSNSLAIQSLQCAKKDPSFFKSCVTFSEVTIPSIFGQRQFDLFIETAEVAFLGGLVSHVDGLIDSGISCLHILDRVDGFRTPADVEGLVSSIRKLCGFLIMVPGNISLPVTYFPNNLFSLISSQSWFDPKMKTHIFSSILLLLTTLSQKTLPYHANFTQIPGNDVLYYGDSSYKQELDSLSKVVLENLVCAVQQEPSQASRGSMALEACNCIASSFMLSNELSSICHTLIGTAKSCLSGQDRCLQSTIRVVNKQTPTLAGAMVSTSV >CAK8544054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660368162:660370410:-1 gene:gene-LATHSAT_LOCUS12763 transcript:rna-LATHSAT_LOCUS12763 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGGVLCGGGARSNAVPLFLNHRISSLHISCSSISDHVSFVKDVAATQPPQHLSQLLSILKTRGDTIISPGAKQGLIPLAIPLSQNSSGAVTALLRWPTAPPKLEMPVVEVRKHGVWLLAKTVDQYIHRILVEEDAKDSQESNEELLNASADAGEKLYRKGDFAESGISNLDGYLLKEVGIFPDVLERKVKRHFEEGDQVSALITGEFYAKKEHFPGFARPFVFNAEIMLRVGRKVEAKDAARGALKSPWWTLGCMYQDVANIAQWDDEQIEYIKEKVTEEGRQEDLKKGKAPAQVVLDEAAFLLDLASIEGNWDDYLEQIAKNYEEAGLNDIAKFILYKS >CAK8564322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668207925:668210323:-1 gene:gene-LATHSAT_LOCUS17637 transcript:rna-LATHSAT_LOCUS17637 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKYHQYQVVGRGLPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIYEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVESMYNEMASRHRVRHPCIQIIKTATIPANLCKRESTKQFHNSKIKFPLVFKKIRPPTRKLKTTYKATKPNMFM >CAK8561741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:371197107:371198168:-1 gene:gene-LATHSAT_LOCUS15302 transcript:rna-LATHSAT_LOCUS15302 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKSLVCICCHKAFGGGGIHREKQHLAGVVGNVEICKLVPTEIRFRMNQYLNERSKERKTPDVAESESFSTEGGELKMQMHPRIGASKKNDAHIGTYFLPRTTLGAQPTLKSVMQSKEVVEKCDLAIVKWFIDAFIPFNAANSPYFQPAVDALCCMCAGYKVPTMHALRGNLLNKWVDDVKIQLEQYRSIWKDTSCTLMADGWTDRCRRTLINFLVYCPKGTVFIKSVDASGASKTADTLFKLFKEVVLYVGPENVVQIVTDNAANYVAAGKLLEKEFPKLYWSPCVAHCINLMLQDMGKLEEVSGTVSHA >CAK8532451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:265121589:265124076:1 gene:gene-LATHSAT_LOCUS2155 transcript:rna-LATHSAT_LOCUS2155 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAASTAAASLGMSEMLGTQIKFSGATRSVPSSSTGSSFKTVALFSKKKAAPVKQKVVAPANEELAKWYGPDRRIFLPDGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQGYELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGGTLNYFGKNIPINLILAVVAEVVLLGGAEYYRITNGLDLEDKLHPGGPFDPLGLANDPDQAAILKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENFAKHLSDPFGNNLLTVISGNVERAPTL >CAK8575291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71545448:71545930:1 gene:gene-LATHSAT_LOCUS27565 transcript:rna-LATHSAT_LOCUS27565 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHHLSFFKAPKKVIKEIIAIQRRFLWAGTCDKGGMAWDRWSLVCKPKDVGGLGIKHVGAFNLALLTKWLWKITYEPNAIWSKIFEAKYGNVKSKTLAKQVQGVTRLESLWWNDIMIIGDSIKPEGFVNQLPWKLGDGGTFFFWFSPWLGSLNLEELVS >CAK8576442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:478421522:478421929:-1 gene:gene-LATHSAT_LOCUS28629 transcript:rna-LATHSAT_LOCUS28629 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYNHFVIVKFKDGVEVEQLIQDLEKMISGIDHVKSFEWGKDIEGHDMLRQGFTHAFLMTFNEKEALSAFQVHPNHVEFSKVFSPALEKIVVMDFPSITVKAPA >CAK8538179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467233846:467235482:-1 gene:gene-LATHSAT_LOCUS7408 transcript:rna-LATHSAT_LOCUS7408 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVSEFEGTLLKNLDIFSYFMLVAFEASGLIRFVLLLVLWPVIRILDIVGMEEISLKLMIFIAVGGIHKSEIESVSRAVLPKFYMDDLDMEAWRVFSFYDKRVVVTKMPRVLVERFVKEHLRADEVIGSELVFNRFGLATGFVQSDSITTVSERVAKVFNNEVPTLGMARLSTTTSRNHSFSKLCKEQKQPPFMKNQKYNDDKLLRPHPVIFHDGRLVKRPTASTSLLIILWIPIGVLLAILRLTLGATLPFWAIPHMSKLFGGKVIVKGKPPLPPSTGSSGVLFVCTHRTLMDPVVLSSVLKRQVPAVTYSISRFSELLSPIPTVRLTRMRNVDAERIKYELSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPVFFFMNPRPVYEVTFLNQLPVEATCSAGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGVVSCTSMADRVRKVVSTFKPCLA >CAK8579203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683348532:683349125:1 gene:gene-LATHSAT_LOCUS31168 transcript:rna-LATHSAT_LOCUS31168 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLLASRFGSSTACHPRDGGSFDDLFASTGSKPRGADTPFDLNSMFTGSDGDVASKHSSPLLVYDKSVYDDEVFDGVPGLKSTGKINLDIVFASPKMESGAFYDLLDGFGKESKGSGRNGSEKEDKDGSDFDDLLPGFGRSRPSSSDRHAPDIGLSSEPTVCVSNTSSTATEDPFKVFESTSHLVFYPNPLYF >CAK8578821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656961376:656962680:1 gene:gene-LATHSAT_LOCUS30805 transcript:rna-LATHSAT_LOCUS30805 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITEGTNPSEQEEEEIDYDELKKRMWKDKMLLQKLKEKGKKQEQNQQAKVEASRRKKMSRAQDAILKYMMKIMTICKGQGFVYGIVPENGKPVTGSSESLREWWKEQVKFSQNAPEAVSKYLLLPPLFENPQVSISSYMHLLYELQDTTLGSLLSALMQHCVPPQRRFPLERGLAPPWWPNGKEQWWGQQGVLAQRHGPPPYKKPHDLKKGWKVSVLAAIIKHLSPDVDKVRRLVTQSKTLQDKMTAKDSATWCKVMNQEQALLSQLTKKCLKISEEGESSSSVVTHVLNEKRKSEFGFDFDLDVDFDKMYSCQYAECPQSELCMGFSDKSSRVNHESLCSYRTEQGHVPFHDYLSDDWLNMDIAGSSSQNPDPSNHRTVEDYSEFWLNGIQDLELHMGVDRERDNVDLNQNPAQDTTLSHEGTSIWDLTYH >CAK8560782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42245387:42247490:1 gene:gene-LATHSAT_LOCUS14431 transcript:rna-LATHSAT_LOCUS14431 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYDESFFNTQLSKRTSIFGLHLWVIIGILVGSFIVITLFLISLCLTSRRRKNHHHHQSTTKLNTLTPIVSKEIQEIKRTPPKPEIQAIDHVKLEIHRHVSTSGESRGTSSSVCETTSSRGSMGPEVSHLGWGRWFTLRELEAATNGLCEENVIGEGGYGIVYKGVLPDGTRIAVKNLLNNKGQAEREFKVEVEIIGRVRHKNLVRLLGYCVEGAHRMLVYEYVDNGNLEEWLHGDVGPVSPMTWDIRMNILLGTAKGLAYLHEGLEPKVVHRDVKSSNILIDRQWNAKVSDFGLAKLLDSDHSFVTTRVMGTFGYVAPEYACTGLLNERSDVYSFGILIMEIISGRNPVDYSRPKGEVNLIEWLKDMVGSRRSEEVVDPKLSEKPSLKALKRALLIALRCVDPDSSKRPKMGHVIHMLEADDVLFREDRRNAGESSHSHRNYQRNHNGSSVDKNRIGGEITDQSEDDSSTSHHEPTRWRR >CAK8569008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662625139:662625513:1 gene:gene-LATHSAT_LOCUS21906 transcript:rna-LATHSAT_LOCUS21906 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDEKGARLQQPIEYEWKPLYCQRCHWVGHNCDKPSKLTKEWKVKIKEQPQQGKVQTNVDTDGTIEAGDTSNAKSDEIWTMITNNSKGKGQGVANEDGTIQCHNGFGLLGILNDPGSGQNTNK >CAK8541161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:78830179:78833355:1 gene:gene-LATHSAT_LOCUS10101 transcript:rna-LATHSAT_LOCUS10101 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGGVESIPEVQNSVSGIDHNPPSTSGIPRSSRLPLRASRDQGTSSNHHNQGRMNDPYQEASNVDHEGSTKMEKQYYKPNGKSDDIETFESCLAKNISTIETKLCISDGSMNHDSDGILESDNDILGSSKQVVDQKNSEITFCSSPQNSLYSATVYSEAKESFTNTGINECVSGDKSVESGEVSNSCESRKTSICRGSTGSDVSDESSISSLSSSLYKPHKANDVRWEAIQAIRVRDGGLEMRHFRLLKKLGCGDIGSVYLAELSSTRTCFAMKVMNKTELSSRKKLPRAQTEREILQSLDHPFLPSLYTHFETESFSCLVMEFCPGGDLHALRQRQPGKYFSEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSNTSLETKSSGYCIQPACIEPTCVIQPDCIKPSCFTPRFLSGKSKKKEKKLKPKNDVHNQVTPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLRFPESPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNINWALIRCATPPEVPRQAMKEALIAEKKAPGVKPSGNYLDIDFF >CAK8534609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:747972680:747976331:1 gene:gene-LATHSAT_LOCUS4142 transcript:rna-LATHSAT_LOCUS4142 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPEEVYLKMKENLDGCRSNKKQKQVDAQAYMNFHSNDDEDDEEQVGCRSKGRQLMVERNVSVNLTPLRSLGYIDPGWEHGVAQDERKKKVKCSYCEKVVSGGINRFKQHLARIPGEVAPCKSAPEEVYLKIKDNMKWHRTGKRHRQPEGKELLPFYPKSDNDDDEYEQPEDTLHHMNKEALIDIDRRYSKDTAKTFKGMPPNTGPEPVLRRSKLDSFYQKPPMIQTPTTYKHLKVKTGSTKKLRKEVISSICKFFCHAGIPLQAADSIYFHKMLEMAGQYGQGLVCPPSQIISSRFLQEEINSIKNYLIEYKASWAITGCSIMADSWRDTQGRTIINFLVSCPHGVYYVSSVDATNVVEDAPYLFKLLDKVVEEIGEENVVQVITENTPNYKAAGKMLEERRRNLFWTPCATYCINQVLEDFMKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTQGKDLLKPAGTQCASSFATLLSLLDHRVSLRRMFLSNKWMSSRFSSSSEGKEVQRIVLNVTFWKKMQYVRKSVEPILQVIQKVSSGESLSMPYLYNDLYRARLAIKFGHSDDARKYEPFWKVIDRHCNSLFCHPLYLAAYFLNPSYRYRQDFVAHSEVVRGLNECIVRLDLDSLRQISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDSNMYDQIYSKRKNRLSQKKLNDIMYVHYNLRLRECQVRKRSRESKSTSVDSVLQEHLFSDWIVDTTAQSYDGDKNIPFGVELDDEYENDSIDYEDGAARHLKGSLELMTMADGAVGSSDADHANIDGATDDESDLNYFDDDLSE >CAK8541409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:156459906:156460127:-1 gene:gene-LATHSAT_LOCUS10330 transcript:rna-LATHSAT_LOCUS10330 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHNIRELVNSDISLKVKVIQAHIAEKYSYRISYRKAWIAKIKVVESLYGNWETSYNDLPQ >CAK8562051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:431107728:431111705:-1 gene:gene-LATHSAT_LOCUS15584 transcript:rna-LATHSAT_LOCUS15584 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTAIKIDPYLNTDAGTMSPIEHGEVYVLDDGGEVDLDLGNYERFMDVKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQDWIERVAHVPVDGKEGPADVCVIELGGTIGDIESMPFIQALGHFSYRVGASNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRSQGLTPQILACRSTMALDENAKTKLSQFCLLPGENIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLTGIAQEPNLEEWTCRAESSDLLHEPVRIALVGKYTCLSDSYLSVLKALVHASVSCQKKLVVDWISATNLENATAKENPDVYKASWKLLKGADGILVPGGFGDRGVQGKIIAAKYARENRIPYLGICLGMQVAVIEFARSVLGLKDANSTEFDPNTKSPCVIFMPEGSKTHMGGTMRLGSRRTYFQTKLCKSAKLYGGKSFIDERHRHRYEVNPDLVTSLENSGLSFTGKDETGQRMEIVEIPNHPYFVGVQFHPEFKSRPGKPSPVFLGFIAAACGQLEAVLQHSARGVSSDNISSGKTYQNGSATKPQAFRPEYVYGNGNGFHY >CAK8541666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:341150474:341151061:-1 gene:gene-LATHSAT_LOCUS10569 transcript:rna-LATHSAT_LOCUS10569 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNILPQPESNISLIGDWTTIYKGRKMQQLPASSSKKEDIPSSSSNKSTSYKEVAVNNPPQEQLDYFENPVTEKIMYIDEEDIKINSNDGWSIKTRYLELRGYPGLHGKFRPHLEILLIVTELVTFTHHYQNNNPESFINFSKCHINKIMLPREWGLNPNGEKAIRIAEGKYIYFNY >CAK8533066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574088517:574104729:-1 gene:gene-LATHSAT_LOCUS2718 transcript:rna-LATHSAT_LOCUS2718 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTILSLSSPFSVCNKLNPLLFYTRRRTTVSLSSRYPRSRFNRFATSVRLLTVAAASENNGVFTSPEIAKTFDFAAEERIYNWWESQGYFKPNFDRGSDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVEMGRDEFTKKVWQWKEKYGGTITNQIKRLGASCDWSREHFTLDEQLSHAVVEAFVRLHEKGLIYQGSYMVNWSPTLQTAVSDLEVEYSEESGYLYHIRYRVAGGSRNDWLIVATTRPETLFGDVALAVNPEDVRYSKYIGQMAIVPQTFGRHVPIIPDKYVDKEFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYSGLDRFEARKKLWAELEETGLAVKREPHTLRVPRSQRGGEIIEPLVSKQWFVSMEPLAEKALQAVEKGELKIIPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDKEEDYIVARNAEEALGKAHKKYGKDVEIYQDPDVLDTWFSSALWPFSTLGWPDLSAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGKAPFSYIYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTVALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFVLQNLPKENDIRAWENILSYKFDTEDSVLNLPLPERWVISKLHLLIESVSASYEKFFFGEVGRETYDFFWADFADWYIEASKGRLYNSGNDGNSVAFMAQAVLLYTFENILKVLHPFMPFVTEELWQALPNRKHALIVTPWPETQLPRCTSSIKKFENLQTLVRAIRNTRAEYSVEPAKRISASVVASNEVIEYIAEEKEVLALLSRLDLQNLHFMNSSPGNADQSVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLVKMQKEYDGMLAKLNSPKFVEKAPEEVVRAVREKATEAEEKITLTKNRLEFLNSNVLVSK >CAK8533475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:621892976:621893362:-1 gene:gene-LATHSAT_LOCUS3098 transcript:rna-LATHSAT_LOCUS3098 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLVDAQLTITILDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRSVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8577256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549637332:549638825:1 gene:gene-LATHSAT_LOCUS29377 transcript:rna-LATHSAT_LOCUS29377 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHMMFGQLPTIEVYVRLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVPSTQPIRAQPVSLYNPPTHMQNIDIEDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCVDYWVYQSDNERYVIQCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKVVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPVTSSDGSQNSGKRIFHRLFWAFCPCIRGFAYCKPIMQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFSEDPTITRDTTTEFMSNI >CAK8541262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99115308:99116015:-1 gene:gene-LATHSAT_LOCUS10194 transcript:rna-LATHSAT_LOCUS10194 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLTIMVIFLSIFSCFARKEPSLAHHNSQNIQNQTETQTQTRTKTMEYIASSCQGTRYPGLCIRSLASFAKYSTIDGPDHLAHIALSVSLIKALQTRSYLLKIVKEIEAINNGSQPGYAYLTMQDCVKQIGDSVDQLSQAIKELRRVNKGTIIDDKMLWHISNVETWVSTALTDASYCVQSFPGHRMSKRTATIKVKAQNVAEVTSNGLALFHRYAAKYRAAAAARAAKKLP >CAK8543427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607396122:607396556:-1 gene:gene-LATHSAT_LOCUS12184 transcript:rna-LATHSAT_LOCUS12184 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSSSNSKKVHFKTTKVSSIEQNMMRFRTEQGHRIKGSTTLRDDEYIGKHKIETPLDSDETFNNFIRRAKYKIRTVTMSKSNVDWEQSNNTVPAAPDHEVNIDINSDTENYQRKQFDEFIKIAKKKMRSASSFRKNSFLKKP >CAK8543454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608728613:608735685:-1 gene:gene-LATHSAT_LOCUS12210 transcript:rna-LATHSAT_LOCUS12210 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRFSLYHLHPHNFRPKPTALLSGRRLVQTRVSLFTRNSHSINRSISVNFSDRLRSDYIRSETPLLSEGVPETRGDDVEAIVGSTGGDKSLIALELKPRAFKNRFLNFVRFGSVINGAAEAFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPKDYMSFVSGSSVDELGDFSSELKLSLFQLGISPQIIASIIMQVFCHVVPSLVKLRKEGLDGNEKIKSYIWWLSLGFAIIEALIVSCYSLPYSIYAASYRFKHVMLTTFFLVCGAMTITWICDTISESGFGQGSSLIVCVGILIGYMETLHKMLTQLSASAVGWWPYVLAVLGLFTIVTMWAVVVTEGCRKVKLQYYGFKLASAAREQSPITEVEPYIPFNINPAGMQPVLTTSYLLAFPSIVASLLRSPFWERVKEMLNPDSSVGAEPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNVKPGKATIEYLSKVQASTRFWGGLLLSVLATTSSVLDHYLRRTNAGFAIGFTSILIIVGSIIELRRSYQAYNVMPGLSSALKRYGV >CAK8578712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648843803:648844231:1 gene:gene-LATHSAT_LOCUS30700 transcript:rna-LATHSAT_LOCUS30700 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRGWMYDRTYPGRRGLKPYFKEGVVAFLTYAFAQDCCQSEGGVRCPCLKCGCRNIISDPNEVKCHLEKDVFRPNYWVWYSNGEILPEMNRETSSSQTHIGVEIARETSSSQSHLHDQEQFNLIDDMVGDALGVNVT >CAK8563986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644055115:644057438:-1 gene:gene-LATHSAT_LOCUS17338 transcript:rna-LATHSAT_LOCUS17338 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNENTQEQEQPSSSSLRKRLLEQEDDTEAYRTSKCIKTYDYLATFDEPKREVMVLSDSDDEEVQDDNENERESDDRSNAARTLILFPTKQQEEHHKNIDDDRSNAAQTLTSSPRNQQEEHHKYIDDDRSNAAQTLTSSPRNEQEEHHRYIARRYAARIHNALEDKNNHVVRDAADKMDDDDDSPTPFSEAIKAIQERSERNQKRGIVEEPPVWIPKRNEKDSVRRRLCVPSLQELSLNNLAQHSDAISSLDCVNDEFRQRLSNLLCDSRKMNCHFLELLLKGVPTQIRLTDCSWLTEEQFTNYFQTHVTSELEVLQLDKCGRILTEYTLPAILANSPNSLSKLTILSLTGACRLTDEGFRLLVSSATELRSINLSQCSLLTFASLEILAASLGSILKELHIDDCILIDVAQILPALKQFTQLKVLSLAGVPTVSDKFIMSYFAACSHDIKDLVLKDCANLTDASIRVIAKHCPGLRVLDIMNLGKLTDLSIGYLANSCCRLRTLKLCRNPFSDEAISVFLELAGKSLEELSLNSIKKVGLLTAISLAKNGQNLHTLDLSWCRNLSDNELGLIVDSCLSLRSLKLFGCSQLTDMFFKGHSNSGTRIIGLKLSPLFQQFESVSL >CAK8561531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:275888667:275888885:-1 gene:gene-LATHSAT_LOCUS15113 transcript:rna-LATHSAT_LOCUS15113 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTNNIFHGPATFHRQSQPSKFLLSKPLLFPRLPRVVNFGKLKFNSLFSVKNSFRRFDVKSSVNSSSEVL >CAK8544266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674856832:674860014:1 gene:gene-LATHSAT_LOCUS12959 transcript:rna-LATHSAT_LOCUS12959 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMTNRFPEEVLEYVFSFVQCDKDRNSISLVCKSWYEIERWCRRQIFVGNCYAVSPVTVTKRFPELRSISLKGKPHFADFNLVPEGWGGYVSPWIAAMACGLPLLEEVRLKRMVITDESLELIAKSFKNFKVLVLISCEGFTTDGLAAIASNCRNLKELNLQESELEDLSGHWLSQFPDSYTSLVSLNISCLNNEVSLPALERLLGRCPNLQTLRLNHAAPLDKLPNLLSRCPQLAELGTGIYSAEMRPEVFSNLVTAFSGCKQLKSLSGFWQVLPSYLPALNPVCSRLTSLNLSYAVIQSSDLIKLVGQCSNLLRLWVLDYIEDAGLDVVAASCKDLQELRVFPSDPFGLEPNIALTEQGLVSVSKGCPKLQSILYFCRQMSNAALNTIAQNRPNLTRFRLCILEPRTPDYLTLQPLDSGFGAIVEHCKDLRRLSLSGLLTDRVFEYIGTHAKKLEMLSVAFAGESDLGLHYLLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCPVSYGACKLLGQKMPRLNVEVIDERGPPDSRPDSCPVEKLYIYRSTAGPRLDMPGFVWTMEDDSSLLLEQPSSV >CAK8565486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:234458927:234459980:1 gene:gene-LATHSAT_LOCUS18700 transcript:rna-LATHSAT_LOCUS18700 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPLPYAAAQGIIEIPYIAVQTLVFGLITYFMVNFEKTAGKFFLYLLFMFLTFTNFTFYGMMAVGFTASQQLAAVISSAFYSLWNLLSGFLIPKANIPGWWIWFYYICPVQWTLRGIITSQLGDVETTIVGPGFKGTVKEYISATLGYDQKMNRISSVGLSVIVLIAFNILFFGSFATSVKVFNFQKR >CAK8536587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:316340:329677:-1 gene:gene-LATHSAT_LOCUS5942 transcript:rna-LATHSAT_LOCUS5942 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEELSSSSSSDTDSDFDTDSGDAEIEVEQLKSQNVEALVKGNLIVKRQSLLPRVLSVGTNGAAVCRKPFKPPSDAAYTNNQDLSRKLSARKRFVPWGSTTPIPIPNPTPSPLLELNFNVPVPKEELKPSPLPPGIDPLILWQPPHQDPPPGSNFTPISVDTLLVRFLRPHQREGVQFMFDCVAGLCDTPDINGCILADDMGLGKTLQSITLLYTLICQGFDGKPMVRKAIIVTPTSLVSNWEAEIKKWVGERIRLVALCESTREDVISGINSFTSPRSNLQVLIVSYETFRMHSSKFSDSGSCDLLICDEAHRLKNDQTITNRALAALPCKRRVLLSGTPLQNDLEEFFAMVNFTNPGVLGPIAHFRRYFEAPIICGREPAATAEEKKLGVERTAELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQSDLYKHFIQSKNVKRVINEEVKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTSGFENCIRFFPPNMSSGRSGSWTGGHGGWVELSGKMQVLARLLAQLRQRTNDRIVLVSNYTQTLDLFAQLCREQRYPHLRLDGTTSISKRQKLVNCLNDPSKDEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQREQNDSVAEQGNFLSTEDLRNLFTFDENVKSDIHENMRCSRCQTYDGPQDTDVLSTMINSEHNDDETSDIGGFAEIAGCLGNLKRSEKQVGNPLEEDLSSWGHHFFPTSVPDGILQASAGDEVTFVFTNQVDGKLVPVDSISPKVQKKELHRPRRNVERKSTPFSLHNKLVPIRSASHSSSIAWKKEATNCERITKKVGIDVALNTEHSLVNEISRQKRSCPADINDEHSLLNEVSQKKTCHVISDDDFE >CAK8561977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420509986:420512241:1 gene:gene-LATHSAT_LOCUS15517 transcript:rna-LATHSAT_LOCUS15517 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSPIICLLLHSLVFVSLFYSNNSLETRNSLVNQTFQSEDELFKQLNKPAVKTIQSPDGDIIDCVLTHQQPAFDHPLLKGQKPMDPPEMPKANNQINNFSESIQVWSLSGESCPDGTIPIRRITEHDLLRARSDTRFGRKFPISSNDHRYAIQYVQNGEFYGAKATMNVWNPTGESRSLFSLAQMWIISGTYGKDLNTIETGWQVHPNLYGDRRTRFFIFWTADAYQHTGCYNLKCPGFVQTNKDIAFGAAISPISTYNGNQFEISLSVWKDVKTGNWWLRYGDKTLGYWPSSLFTNLKNAASMVHWGGEIFNEQYQQASSTQMGSGHFPEEGYKKASYFNQINVLDSKRIWVKPRNPQNYVDSPNCYNVKGGVDSSWGTYFFYGGPGRNKNCL >CAK8540224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545917566:545919032:-1 gene:gene-LATHSAT_LOCUS9250 transcript:rna-LATHSAT_LOCUS9250 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNKSKKYNSPNSQFNLCITLFFISLFTIPTFFLLNNTTANSKCTNLAQTFSGDLLSAEFAWNSLLFSQRNNPSPIVLKIAVFSRKWPIGTVPGGMERHAHTLHTTLARRGHQVHVFTSPSEEDESTTTTSISSKSAPSSPYIHFHEGDPGKWRYNKAWELFLEENQRDQPFDVVHSESVALPHWLAKELPNLVVSWHGIALESLQSSIFQDLARLPNEPRSQDFEKGLQGVVPKVLNEIRFFNKYSHHVAISDSCGEMLRDVYQIPSRRVHVILNGVDEEDFREDAELGNEFRTKIGIPSNASLVFGVVGRLVKDKGHPLLHEAFSRLITKHTNVYLIVAGSGPWENRYKDIGNQVFTLGSMNPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMIGKPLLASRFPSIKGSIVVDDEFGYMFSPNVDSLLEALEEVVKDGKERLARRGNACREYANSMFTARKMALAYERLFLCIKRDTFCTYP >CAK8536704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8517236:8517511:1 gene:gene-LATHSAT_LOCUS6038 transcript:rna-LATHSAT_LOCUS6038 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNLNDLNKLFWDLIEEEFMDNTDGELLKSMLEKERQSESSSRLKRRIVIDRSLEEGHNLLFNDYFLENPVYTEVQFQKRFRMHKHVFL >CAK8567611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528813438:528813668:1 gene:gene-LATHSAT_LOCUS20648 transcript:rna-LATHSAT_LOCUS20648 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTVIFHHEGNILHDKFTFYRGDDTVVEGQNSDTWSFFEAASLIKDWGYDGFRLWTKFPGIDEGLLHVIDDVLA >CAK8535072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811151597:811153773:1 gene:gene-LATHSAT_LOCUS4553 transcript:rna-LATHSAT_LOCUS4553 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGATSDRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRGSSSGCVEDIDGEDLVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNTSSSASLPSKPSSTTLPIRPPPPLFNWGQRPLTSPSSMLSSPNFQQHAARLGFPQTKDETHMFLMPHASSSHDQTPRIEDMMGGEHHENDIKWPNGLSFFNALTGRADDAKLLFNPENLGGKQSDHDHNHNHNHNQNQNHHHPLNQNPNSDASNPNEFLSLDSHHDSGGKMDKFKRSFTLPTRVASSSSSTSMDHHHQQQQGVEYRNSEGGMYSDVMETFLE >CAK8531737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144512668:144513270:1 gene:gene-LATHSAT_LOCUS1505 transcript:rna-LATHSAT_LOCUS1505 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYGVCGSSIARMFLTKASLLGTIDLINTLEEVKGLGFDPSTSTFGIALIAKKCMNKARWDEKVDVVKKWGWSDEAVVQAFRKHPSLMLASVDKINLVMSFWVDRMGWDSLALTKLPQIFGFCLQKRVIPRALVLQYLMMKGLRRRNASLVTPFRWSEKQFLSKYVVCFKDESDYLLKLYEENTNLANTKENIDMPFTK >CAK8531738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144512707:144513270:1 gene:gene-LATHSAT_LOCUS1505 transcript:rna-LATHSAT_LOCUS1505-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTKASLLGTIDLINTLEEVKGLGFDPSTSTFGIALIAKKCMNKARWDEKVDVVKKWGWSDEAVVQAFRKHPSLMLASVDKINLVMSFWVDRMGWDSLALTKLPQIFGFCLQKRVIPRALVLQYLMMKGLRRRNASLVTPFRWSEKQFLSKYVVCFKDESDYLLKLYEENTNLANTKENIDMPFTK >CAK8534332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717874808:717875495:-1 gene:gene-LATHSAT_LOCUS3884 transcript:rna-LATHSAT_LOCUS3884 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFSLFLSYRPDEAELFAKSAQNAYKQFRDKAALSRSMTVDKMEEVAQGRVWTGKDAASHGLVDAIGGLSRAIAIAKLKANIPQEDQVTVVEIPGSSSLLLGISLGGVSSLTGVETTLKELLERLTFSNGVQARMDGIIFRSLEGYSNSNPILSIIKDYLSSL >CAK8579143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679392377:679392760:-1 gene:gene-LATHSAT_LOCUS31111 transcript:rna-LATHSAT_LOCUS31111 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYKEYDPFIMSHIVKQVYYVPYPSIQSRKRGWCVVIKTKPLGHIETDDLVEDVAYQDDEISQINDVVEVEEITNLCDTLAEGHQIDAYVLLVDNNVDEEHEELRTLLDQMMKIIWMKSMKSLNR >CAK8566431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422560876:422565306:-1 gene:gene-LATHSAT_LOCUS19562 transcript:rna-LATHSAT_LOCUS19562 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAAIRSFHHPIGIISHVRSSIDRAAVVSCHKVRRNSSNGLFQHLTNGEKCVYSHSRGKKTLVACTKTVEPINTTKSDASSDSTLQNSLEKKPLQTATFPNGFEALVLEVCDETEIAELKLKVGEFEMHLKRNIGAAKAPLSNISSTIPPPIPSKPMDETAPATPQPLPPTSSPEKNNPFATVSPQKSSKLTALEASGTSTYELISAPMVGLFQRGRVIKGRKLPPNCKEGDVIREGQVIGYMNQFGTSHPIKSNVAGEVLKLLVDDGDSLGYGDHILAVLPSFHDIK >CAK8569578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5937451:5938585:1 gene:gene-LATHSAT_LOCUS22416 transcript:rna-LATHSAT_LOCUS22416 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSSLLLIFIFIFTSQLTIAKSFQYFCDNNKGNYTNNSIYHTNLKTLLSTLTSNTQINYGYYNFSNGQNTNKVYAVGLCRGDIKLNDCQNCLKKSSDLLTQNCENQKEAIGWYDDDKCMLRYSNRSIFGLMEIGPAYFGWNLKNATNEDEFNEKVKKLLDGLRNKASSGDSDLKYAVGSDKIGPNNNETLYGLVQCTPDLSKTSCDDCLVQSIKEIPNCCNNKIGGRIVRPSCYLRYETNSLFYQTTISDSPSPSSSPSLSPSSLPFSSPSLSPSFLPSPSPSSSPSLSPSSLPSPSPPSLVPPLSAPPPFAQNNTSPQPQDKGNTSRNVVPLMLFLLCSMISSF >CAK8562575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511037857:511040360:-1 gene:gene-LATHSAT_LOCUS16062 transcript:rna-LATHSAT_LOCUS16062 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMLSDTTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDVCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEATEGEDGDEGDEY >CAK8541160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:77962887:77963150:-1 gene:gene-LATHSAT_LOCUS10100 transcript:rna-LATHSAT_LOCUS10100 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKYQKSKVYFKRYQVRFKRRREGKTDYHARIRLINQDKNKYNTPKYQFVVRFTNKDIVAQIVSASIAGDIVHAAAYSRAASLRS >CAK8573341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604532691:604533358:-1 gene:gene-LATHSAT_LOCUS25801 transcript:rna-LATHSAT_LOCUS25801 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGMSVSLAGPDGRVLGGGLAGLLIASGPVQVVAGSFLPNHHLEDKSKKQRMEHNIPTITHNHPPPHVNHHHKSNEVSFGGVKPIMTPAAFQEEKIVSFNNNNNNNNVQDSRNDDRDPLPEKDSNHSQSNS >CAK8578277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616574588:616578013:1 gene:gene-LATHSAT_LOCUS30302 transcript:rna-LATHSAT_LOCUS30302 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPLHLLPHLTLTLLLTVMLMVFSPIITTAESPTPVSSIKTDAKSLLTFKNMIQKDPNGVLSGWNPNTNPCTWFGITCTLGRVTGLEVTGNNDVSETISLDPLVSLDMLSVLKLSLNSFSVNSSSLLLLPYSLTQLDLSFGKVTGPVPENLFSNCPNLVVVNLSYNNLTGPIPESFIQNSDKLQSLDLSSNNLTGSISGLKIEFCKSLLQIDLSGNHLSDSIPVSLSNCTSLKSLNLANNFISGGIPKSLGELKRLQTLDLSHNQITGWIPSELGNVCGSLLELKLSFNNITGSIPSGFNSCTWLQLVDISNNNMTGELPESVFRSLVSLQELRLGNNAISMKFPSSLSSCKKLRIVDFSSNKIYGTIPRDLCPGAGSLEELRMPDNLITGEIPAELSKCSQLKTIDFSLNYLNGSIPDELGELENLEQLIAWFNGLEGKIPPKLGQCKHLKDLILNNNHLSGEIPIELFNCSNLEWISLTSNELTGEIPKEFGLLTRLAVLQLGNNSFTGEIPSELANCNSLVWLDLNSNKLTGEIPPRLGRQQGAKSLFGILSGNTLVFVRNVGNSCKGVGGLLEFYGIRSERLSQIPTLRSCDFTRLYSGPVLSLFTKYQTLEYLDLSYNQLRGKIPDEFGDMIALQVLELSHNQLSGEIPSSLGQLKNLGVFDASHNRLQGHIPDSFSNLSFLVQIDLSYNELTGQIPSRGQLSTLPATQYANNPGLCGVPLPDCKSDSSQSTSNPSDDVSKGSNRRSVASWANNIVMGVLISVASVCILIVWAIAIRVRRKEADEVKMLKRLQACHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAESLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHGRIKTRDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMESRVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLSGKRPTDKEDFGDTNLVGWAKIKVREGKQMEVIDSDLLLETQGGTNEAEVKEVKEMLRYLEVTLRCVDDLPSKRPSMLQVVAMLRELMPGSNEGSSNSA >CAK8540620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12565146:12568891:-1 gene:gene-LATHSAT_LOCUS9606 transcript:rna-LATHSAT_LOCUS9606 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLRKASKALNQSNCISLLFNFNFNLTPLTSRITHQRFSLTRALFCTQSRQNSTKEKPVIDLSQYPPELVRNFSIIAHVDHGKSTLADRLLELTGTIKKGLGQPQYLDKLQVERERGITVKAQTATMFYKNVINGVDCSDGKESPNYLLNLIDTPGHVDFSYEVSRSLAACQGVLLVVDAAQGVQAQTVANFYLAFESNLSIIPVINKIDQPTADPERVKSQLKSMFDLDPSDALLTSAKTGMGLEHVLPAVIERIPPPPGKSDSSLRMLLLDSYFDEYRGVICHVAVVDGALRKGDKISSAATGKSYEALDIGIMHPELTPTGILFTGQVGYVISGMRSTKEARIGDTIYHSRSTVDIEPLPGFKAAKHMVFSGLFPADGSDFELLNHAIEKLTCNDASVSVAKETSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAHIISTVPTVPYIYEYADGSKLEVQNPAALPSNPKQRVVACWEPTVIATIVIPSEYVGAVITLVSERRGEQLEYSFIDSQRVFMKYRLPLREIVIDFYNELKSITSGYASFDYEDSDYQASDLVKLDILLNGQPVDAMATIVHNSKAYRVGRELVEKLKKVIDRQMFEISVQAAIGSKIIARETITAMRKNVLAKCYGGDITRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVS >CAK8536687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7392331:7393398:1 gene:gene-LATHSAT_LOCUS6023 transcript:rna-LATHSAT_LOCUS6023 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTCFELPPGFRFHPTDEELIVYYLCNQATSQPCPASIIPEVDIYKFDPWQLPDKSEFGENEWYFFSPRERKYPNGVRPNRATVSGYWKATGTDKSIFSGSKHIGVKKALVFYKGRPPKGIKTDWIMHEYRLIGSRKQTNRQIGSMRLDDWVLCRIYKKKNNTKSLETNHDYSSNQNNMTQRKDDNEQELVKFPRTCSLTNLLEMDYMGPISQILSDGSYNSTFEYQINTANDGIMVEMDTTNNPYGADSGKYRGNQVCD >CAK8561415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203940247:203940978:1 gene:gene-LATHSAT_LOCUS15012 transcript:rna-LATHSAT_LOCUS15012 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSIDCMHWEWKNCPVAWKGQFSRGDHGKPTIMLEVVASQDLWVWQAYFRTAGSNNDINVLNTSDVFNDVLNGKAPAVQYSLNRTTYHMGYYLADGIYPEWATFVKTIPMPQGENRKLFAQRQESAQKDVEREFGLLQARFAIVHGPARAWHVNTMKHIMLACIILHNMIVKDERDTYAGNFDYDHVDNNFSTTEVSIGPILNLTTMFERITHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8561417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203940754:203940978:1 gene:gene-LATHSAT_LOCUS15012 transcript:rna-LATHSAT_LOCUS15012-3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKDERDTYAGNFDYDHVDNNFSTTEVSIGPILNLTTMFERITHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8561416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203940346:203940978:1 gene:gene-LATHSAT_LOCUS15012 transcript:rna-LATHSAT_LOCUS15012-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVASQDLWVWQAYFRTAGSNNDINVLNTSDVFNDVLNGKAPAVQYSLNRTTYHMGYYLADGIYPEWATFVKTIPMPQGENRKLFAQRQESAQKDVEREFGLLQARFAIVHGPARAWHVNTMKHIMLACIILHNMIVKDERDTYAGNFDYDHVDNNFSTTEVSIGPILNLTTMFERITHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8536848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28165793:28166035:-1 gene:gene-LATHSAT_LOCUS6175 transcript:rna-LATHSAT_LOCUS6175 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKDRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQE >CAK8536581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:201604:217742:-1 gene:gene-LATHSAT_LOCUS5937 transcript:rna-LATHSAT_LOCUS5937 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVTPTASESEIKKAYYMKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPAQRQAYDAYGKSGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASMDIFTEGEQFDTKKLQDKMRVVQKEREERLAEILKNRLNQYVQGNKEDFVNHAEAELARLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKNQLSSEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDSNVKKEELRGRAKGLKTLGKIFQRVKTANGNESESLPNNEVHKLNGSETSNGVSSPSTSPKSSGPDFSTQAVFASQSPYVEAPHFAGMQFDYNFPRPTAPPGAHRPAPNSKN >CAK8562770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534714198:534718888:1 gene:gene-LATHSAT_LOCUS16239 transcript:rna-LATHSAT_LOCUS16239 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPQTQGQTIQDAWDSKGHPADRSKTGGWTSSAMILGSEACERLTTMGIAVNLVTYLTGTMHLGNASSANTVTNFMGTSFMLCLLGGFVADTFIGRYLTIAIFATVEAIGVTILTISTIIPSLRPPKCTQNMRNSCEPANNLQLTVLYTALYVTALGIGGLKSSVSGFGSDQFDDSNEGEKKQMVKFFNWFFFFISIGSLTAVTVLVYIQDHVGRDWGYGLCACAIVVALLVFLSGTKRYRFKKLVGSPLTQIAVVFVAAWRKRRLELPYDSSLLYNLDDVEDHDLRKKKQMLPHSKQFRFLDKAAIKEPKTDGNDINMVRKWNLSTLTDVEEVKLVLRMLPIWATTIMFWTVYAQMTTFSVSQATTLNRHIGKSFQIPPASLTAFFIGSILLTIPIYDRVIVPITRKIFNNPHGLTPLQRIGVGLVFSICAMVAAALTESKRMRIARLHNLTHDPHSEIPMSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTLVHKVTGHHKPWLADNLNQGKLYDFYWLLALLSGLNLVIYLLCANWYVYKDKRLAEEGIELEEADTAYHA >CAK8530244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9158528:9159889:1 gene:gene-LATHSAT_LOCUS126 transcript:rna-LATHSAT_LOCUS126 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNKMIKKSNSISDNIKLETLNTFLIKPSEPTPPNLKIFKLSLLDQLSPNIHGNSTFFFPNNSSHSPHSFSQNSKLLQTSLSQTLSLFYPFAGRLQDPAGTTIHCNDDGVLFIESQTSTTLSELLTSPDFNTLESFLPVPTTENKNMLLLLRFTSFSCGSTALTISLTHKIADFNTLMTFLNTWTTVCAATKPVPLPDLTTASALFPLREIPEMSDSFKPSSKKFTCRRFIFDACKIEELKRKIKSEIEFHPSRVEILLALIWKCALSASRSKTPSFNRSILYQAVNLRPRIDPAVPETAVGNFVWPFAVTVEEECHVALHEMVKRMRNGMKEFIEKKAKTFKEEGGFKLVMESFKDRIEALNGNEKEGGMVIYKCSSWCKFPVLEFDFGWGKPVWNCNVNNLVSNVIALMDTKDGDGVEAFVTLDEDDMELFEQDEELLQYAVLNPRVII >CAK8532720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498607448:498607819:1 gene:gene-LATHSAT_LOCUS2398 transcript:rna-LATHSAT_LOCUS2398 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVYDHLCSKGFVTGYTEWIYHGEDESLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDA >CAK8570103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23836189:23837391:1 gene:gene-LATHSAT_LOCUS22886 transcript:rna-LATHSAT_LOCUS22886 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRINLCELKVLIIKKIGVEKSKRYFYYLNRFLSHQLSKNEFDKLCFRALGRENLPLHNIFVKSILKNACQAKTPPPVQLSDPSKSGARVTNLSAGREDGPGQSVANANFQNHNVSVWSNGVLPVSPRKLRTGMRDRKLKDRLSPLGPNGKVDSVTHHCMENGAITPCDYQQPTQHLQTVAELPKNAMGDAIQGLAETPRTRAKGLTEISTVEDGEEVEQLNRLSFTRCPLIAPLGIPYCSASVGGAHKALPVNSTGDFVGYCDSGRLSDPDTLRRRMEQIAMVQGLGGVSIECASMLNSVLDVYLKRLIKSSVDLVGARSANQQIQGKVINGMLPNNHLHVHSTGRTAEPQPEHKPQFSVSLNDFKVAMELNPQQLGEDWPLQLEKISMQSFEE >CAK8543949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650901656:650903167:1 gene:gene-LATHSAT_LOCUS12669 transcript:rna-LATHSAT_LOCUS12669 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDQKLHFVLFPMMAQGHMIPMMDIAKILAQHHNIVVTVLTTPQNASRFSSIFQRFLQCGLQIHLIQLRFPSKESGLPEGCENLDMLTSLGAASDFFNSSKFLQQEAEKIFEELIPSPSCIISDARLPYTINIARKFNIPRISFVGTGCFYLLSMHNLHVSNMMQTMANNPYEYFDLPGFSEKYEINISQVGLGLKGEAWEQFSSDILEAEMGSYGVIVNSFEELESGFVKDYKKVKNDKVWCIGPVSLSNTDCLDKFQRGQNNINVSVDEWIHLKWLDSQKPRSVIYACLGSLCNLTLPQMIELGLALEATKRPFIWVIRKVNHLEALEKWIEESGFEGRIDGRGIVIKGWAPQLLILSHPSVGGFLTHCGWNSTIEAICAGVPMVTWPLFADQFLNEILIVKILKVGLSIGVKSPMKWGEEEETSVLVKKEDIERGIERLMDMTNESDERRKRIREFGEIAKKAVEKGGSSHTNVVLFIQDVMKKSRDMVSSFAKVIIK >CAK8572510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542314257:542314604:1 gene:gene-LATHSAT_LOCUS25069 transcript:rna-LATHSAT_LOCUS25069 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRRHRPRSFSGASGLHNQHDKRVGILPKKEVQTARSRDKTSPRYYYVPSPPHDWHTKAARKAPSQSSWWNDRDLSRKRRVAKYKLYAVPDKLKASLQKGFHHLKMTCMKILA >CAK8560094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7197280:7197884:1 gene:gene-LATHSAT_LOCUS13806 transcript:rna-LATHSAT_LOCUS13806 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVMVNEEVKRNGDICYIYRGSQVIVIEPECNEKSIEDQGIAIAMEEYKADIARIGLIGIISVGLCMFEMVISTPTNAGEPDLPEWLNILLLVSLVSMAQICILRFTLYHPVNKSIFAILCILILPTAISVMEISSASKTAAIIVFISWGILFAIILIVNRQQIYNLCACLNNS >CAK8574952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17632174:17634803:1 gene:gene-LATHSAT_LOCUS27249 transcript:rna-LATHSAT_LOCUS27249 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNVVEKNEVNNTNEGKKECENGSNDVSFHDLYEENGVERHCNSSGLESSWPLSLESSVREVEEKKDLGCENFDKQVLVLPGLEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATIFGQLWRLEPLSSEKKAMWQREMEWLLCVSDHIVEFKPSWQTFPDGSKFEVMTCRPRSDLYINLPALRKLDNMLLDILDGFVDTEFRYVDQGVMASDEDGLSSFHQSVQRQEEKWWLPVPQIPPSGLHENSRKQLQNKRDCANQISKAAMAINNITLDEMQVPDTYLEALPKTARTSLGDVIYRYITSDNFSPESLLASLEVTSEHEAIKIANRVEASIHIWRKKNNLKPANRAARSTSRSSWEMFKDLIVEGDKSEMLIERGESLLLSLKQHFPFLPQTSLDVSKIQCNKDVGKSILESYSRVLESLASNIVARIDDVLYVDDLTKHSDELSSLSKVGVIPRTSISVPYKVVTNFLSIGRKEDYGCPIDTKLVPKLNTFDEVAASEIGKEPSDCIEDFKLDVMDQAWIE >CAK8578159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610311555:610312061:1 gene:gene-LATHSAT_LOCUS30197 transcript:rna-LATHSAT_LOCUS30197 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSLQRLEFSVMTDLVSSQPSRVLKQLLVIPMATTAGLGGSIVDSLLGATLQFSGFCSIRQKVVGKPGPTVKKISGLSILDNNAVNFVSILLTTVLTSIACLYIF >CAK8536782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20135738:20136295:-1 gene:gene-LATHSAT_LOCUS6115 transcript:rna-LATHSAT_LOCUS6115 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDNNVHAKAFRMERDVLRDCAFQDLRLKLILSRLGDGRVYNAPTILGVAALIVGDVDTVELRDIIIHERDGGLQRMDEFYPTYLGYQYPLIFTYGEDGYRDNILHKYQHETTVTKQNRKTIKNWLCYRLQERVAEPKTLLHLRRLFQQSLVDDYTMMETELLNWLQENQSKLRVGGKYKNIQQ >CAK8579000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666563376:666568027:-1 gene:gene-LATHSAT_LOCUS30977 transcript:rna-LATHSAT_LOCUS30977 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHNLPRFPPSSAGSAPFRVSHHVSRWKRSYSGRELETRRFRNNHRDRSMKKRLRASINCSSDVPNGSSLPSIEQLSNARVIYSVASSMGHNQESHPESHFRVPAIVKALEEKKLTSKFRGSEVIELQHFKPASTDDIASVHARAYVYGLEKVMDQALEKGLILIEGSGPTYATSTTFQESIVAAGAGLALVDSVVAASKITKDPPTGFALIRPPGHHAVPKGPMGFCIFGNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFYDDPDIYFLSFHQDGSYPGTGKFDEVGSGDGEGTTLNLPLPGGSGDTAIRTVFDEVVVPCAQRFKPDIILVSAGYDGHVLDPLASLQLTTGTYYMLASSIKQLAKDLCGGRCVFFLEGGYNLKSLSYSVADTFRALLGDKSLAAEFDNPNILYEEPTKRVKQAIQRIKHLHSL >CAK8540203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544875706:544878206:1 gene:gene-LATHSAT_LOCUS9231 transcript:rna-LATHSAT_LOCUS9231 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRFGRPKNADESSSNLFVANCGPSVGISDDDIASVFCKFGELNGVYAADDSGTRVIVSYSEVSSAQSALMALHGKPCAELGGRSLYIRYSVLQPNPQDQVKDLVSVSMTASDLNIPGLYLVHDFISAKEEEELLQAVDSRPWNCLAKRRVQHYGYEFCYDIRNVNTKRCLGELPSFLSPILERISSCPTFKNVDPDSIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLAGPCIMEFRRYEDGDWRPRVASSTVTKVESPEDGSNCIKKAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVNGRVIRRASRRVSFTIRKVRAGLCKCEFSQYCDSQR >CAK8567875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553442954:553444355:1 gene:gene-LATHSAT_LOCUS20892 transcript:rna-LATHSAT_LOCUS20892-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRIYRREDRISALPNSLLEHIVSFLPTRGAIATSVLSKRWKPIWRSQVVIYLDDRPFPDIFSFQQFFNSFITMRDNNLPILSFHVKSLRHRLHCNRDFVYAAITKGIETLIIDLLQPTTLPSIFLSTKTLSVLKLKMIDLNDDFQSVDLPSLKVLHLEYVMFNAIGNLHKILSGCPILQELECKDLRTQMPTMMHPLGIAISNLVRASVTRRTFIGLEWLHNVEHLHMYVARMPPTIRGVFHNLTHLELIFGFVDHLYGSYKWTWLKNLLQNTPNLQTLIIHDLYMNSRLLDTITIQTAKFLDTNTKLQVLKELSSCPRISPTSKLLFI >CAK8567876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553442954:553444355:1 gene:gene-LATHSAT_LOCUS20892 transcript:rna-LATHSAT_LOCUS20892 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRIYRREDRISALPNSLLEHIVSFLPTRGAIATSVLSKRWKPIWRSQVVIYLDDRPFPDIFSFQQFFNSFITMRDNNLPILSFHVKSLRHRLHCNRDFVYAAITKGIETLIIDLLQPTTLPSIFLSTKTLSVLKLKMIDLNDDFQSVDLPSLKVLHLEYVMFNAIGNLHKILSGCPILQELECKDLRTQMPTMMHPLGIAISNLVRASVTRRTFIGLEWLHNVEHLHMYVARMPPTIRGVFHNLTHLELIFGFVDHLYGSYKWTWLKNLLQNTPNLQTLIIHDLYMVYGDAQYLSSEEEWNNPEIVPECLLSHLTTCLLRNYRLINSELRFAKYIMQNSRLLDTITIQTAKFLDTNTKLQVLKELSSCPRISPTSKLLFI >CAK8536456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942942227:942943072:-1 gene:gene-LATHSAT_LOCUS5823 transcript:rna-LATHSAT_LOCUS5823 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISSTPKDDQNTLKNQENAMQEAKCRVPQPPQPQSKSPPLPVPVEEESVKEVLSETETPISKPQKVSTLTQETKTQMHNMEITEEPIMNKAFEKPSEVSLLSETCSVGESFSTTTTTTTVPESREDEVTSKRRIREGTRNRNRNQHRNHSDVSRKHSYTVERNRIGGRERCRPKSPARVPEFPPEKKILVSAGSVRRREFPEKVRRDPGESVRRRSRSPSCHRTVGSSHNRSELRQTDRAGRKLLSPGKCETEDTHDGVLMEESIMNPHVSLECFIFL >CAK8540386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:556833159:556835401:1 gene:gene-LATHSAT_LOCUS9392 transcript:rna-LATHSAT_LOCUS9392 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRNPTVAYSPSSPRRSHRLILLHENNNPTTPKPKSRKKSSIESRAPTVRARKPPRPEDNAPSIRQSARVSNRKAQTNEEKIDVQLSEFCSGDSMRVKRVKMKASSGDEGRGEVSAKRKRKHGVDEREQVDGGVKGKRKLGGDEIAEGWTKEQELALQTAYLTAKPSPNFWKNVSRLVPGKSKQDCFDRVHHDFQTPPQCPPRSRAKTINSSPLHQFSISASKLLKPTEKKPAKSNILKPKSIVTQKSIENLLQRHLKVDQVHKGDIFSALEPNIDFSTNDFQLSQALCTPKQQKENQGFLQNFTDLSSSFSNHKKSLSRFSGSSGVQDIASPPVLKQVKNKAQHEKFVNQLRFRELKSRAASKRTKNSIVGEGNNIHKKDVVKAAKVALMSEARDAINKFQQSQVNIMDSTCSSDEDNDDDIGVECDSQ >CAK8536176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914510500:914512766:1 gene:gene-LATHSAT_LOCUS5569 transcript:rna-LATHSAT_LOCUS5569 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSHQRMIHNGSRSITTWLILITIVIYILYSFNLVFFNNDQQDCIPTTTTLDDTTQEHVQITSYNMSSTSDTNMEHKVLPIVNKDQMDDETEREREPEQEQEQDEEEEEDSRGDEEQEGNKSPIVVRLTPQQMAKREETKLKHIVFGIAASSTLWNTRKEYIKTWWRPKETRGVVWLDRRVSTRANEGLPEIRISGDTSRFRYTNRQGQRSALRISRIVTETLKLGMKDVRWFVMGDDDTVFVVENVVRILSKYDHRYFYYVGSSSESHVQNIHFSYAMAYGGGGFAISYPLALELSKVQDRCIQRYPALYGSDDRIQACMAELGVPLTKEAGFHQYDVYGDLLGLLGAHPVAPLVSLHHLDVVQPIFPRMSRVQSIRHLMESVNQDSASIMQQSICYDKTRFWSISVSWGYMVQVIRGILSPREIEMPSRTFLNWYKRADYTAYAFNTRPVAKHPCQKPFIYYVTKTSYDSSAKQTVGVYNRDKVKNPFCRWRMVSPEKITSIVVTKRRDPQRWKKSPRRDCCRVIPSRKPSVLYLSVGVCREGEFTEL >CAK8578687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647191023:647192879:-1 gene:gene-LATHSAT_LOCUS30677 transcript:rna-LATHSAT_LOCUS30677 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLVLLSLFLITLIDSSNQTTTTCPVSMKFVQTVPWNSSQCLNFKPSQTKAETQQSLCCHTLLSLFGIALAENLNKNSLFHFSNTSTSESCLQDYQSSLTSISLPNNLVSSCFDPSQFVSTPNTCVHIQSEQDWLNKVDSTNLALLDNVCKPDLTDHDHCEACRYQGDLVHQMLTRMDGNSSHSQDCFYFTILYIAGIVNRFGPQSNGVLACILILLVDLKGDDKKEHHYALVIGLVSASFVFLSFLLGLLYFWYTWLMKRKKNDNLLSHNGGSMEPSFSLRVRPKSGLIWFDFKDLLKVTDNFSSENFVGRGGFGSVYKGVLPDGTVVAVKRIEESDYQGDVEFYREVGIVSSLKHRNLVPLRGCCVVGEDENSEYIGKYLVYDYMPNGNLKDHLFPDTDSENAKIFLTWAQRKSIILDVANALVYLHFGVKPPVYHRDIKATNILLDAGMRARVADFGLARQDYSQNRSQLNTKVVGTRGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALQLSSSGEPNFLITDWVWSLIKSGNMMKALDGSILLDGNSNRSITERFLTVGILCCHVMVALRPTILDALKMLEGDIEVPSISDMSMSPGNHMFARRDST >CAK8543582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623308919:623310547:1 gene:gene-LATHSAT_LOCUS12330 transcript:rna-LATHSAT_LOCUS12330 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQIQVLKALDAAKTQWYHFTAIIIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVEGAEKPGTLPPNVSAAVNGVAFVGTLLGQLFFGWLGDKLGRKKVYGVTLLLMVVCSVGSGLSFGHTPKSVITTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGLFAIIVSAAFKANYDSPSYEVDPLRSTVPQADYIWRIIVMVGAIPAGLTFYSRTKMPETARYTALVAKNTAQAAADMSKVLQVDIEADPVKEEDASKVKPFGLFSKEFLRRHGIHLFATASTWFLLDIAFYSQNLFQKDIFTSVGWIPPAKTMNAIEEVYKIARAQMLIALCSTVPGYWFTVALIDRIGRYTIQLMGFFFMTVFMFILAIPYEHWTHKENRLGFVVMYSLTFFFANFGPNATTFVVSAEIFPARFRSTCHGISSAAGKLGAIVGAFGFLYLAQNKDKNKTDAGYPAGIGVKNALIVLGFVNMLGFLCTLLIPEAKGKSLEEMSGENEEEEVESQDVEKSHSNNNSTVPHNLV >CAK8578145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609657456:609661349:1 gene:gene-LATHSAT_LOCUS30184 transcript:rna-LATHSAT_LOCUS30184 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMGRRGAGNQPNPEQQSAQEDAKREAEERRQMMLSQILSAEARERLARIALVKPEKAKGVEDVILRAAQMGQIAEKVSEERLITLLEQINSQTAKQTKVTIQRRRSVLEDDD >CAK8578146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609657777:609661349:1 gene:gene-LATHSAT_LOCUS30184 transcript:rna-LATHSAT_LOCUS30184-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMGRRGAGNQPNPEQQSAQEDAKREAEERRQMMLSQILSAEARERLARIALVKPEKAKGVEDVILRAAQMGQIAEKVSEERLITLLEQINSQTAKQTKVTIQRRRSVLEDDD >CAK8564117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652587929:652588315:-1 gene:gene-LATHSAT_LOCUS17453 transcript:rna-LATHSAT_LOCUS17453 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNKIVQTIFTRKYSSYLVPALMKIKENPSSATKDNRTYVKYEVDMAMVLSAQGFAWSNSLKLKLQKDRVNDDVATKSIEDNEEKMKNLIPGGEEICDEQVVNEVESYIRCLEMQVNVLQYLLEEMC >CAK8564118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652587929:652588246:-1 gene:gene-LATHSAT_LOCUS17453 transcript:rna-LATHSAT_LOCUS17453-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKENPSSATKDNRTYVKYEVDMAMVLSAQGFAWSNSLKLKLQKDRVNDDVATKSIEDNEEKMKNLIPGGEEICDEQVVNEVESYIRCLEMQVNVLQYLLEEMC >CAK8541293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:106302107:106302548:-1 gene:gene-LATHSAT_LOCUS10223 transcript:rna-LATHSAT_LOCUS10223 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIANRYNVVLLCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPTATSWMIGFAGPLQHWQQLTPILPTHYEL >CAK8578872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659946585:659947142:-1 gene:gene-LATHSAT_LOCUS30854 transcript:rna-LATHSAT_LOCUS30854 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLQFFVFCIILIILQFQQVTPNEGGQNVAEDYPEKPVLNKFLMDTVSLLRKSQESTWEKIKIVIHDLQMQFSPPNLDFRGVGKGGVKEAVEKSFDKSKGRNC >CAK8532850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:536064226:536069273:1 gene:gene-LATHSAT_LOCUS2514 transcript:rna-LATHSAT_LOCUS2514 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKIIGKPPPVIIDLERMPERGSHHRRSHSDTSFRFAAANFDDLLLFDPSDFDISNLPLPSPVSDAVPMTVDSDESNGKSAAARHLRSLSVDSEFFDGLGFSGGGEEKVEERKVNRHRHSNSMDGSSATSFDGDYSMGMVDGVKKSMPPEKLAELALIDPKRAKRILANRQSAARSKERKTRYTSELERKVQTLQTEATNLSAQLTILQRDTTDLSAQNKELKMKLEAFEQQAKLREDLNEALKKELQRLRAQKNHLIAVTGNPSYNGMFSQFSTQLTMQDMSNPQPQQARSGMPPSRSDQPFNGLGRPNFMDFNQQK >CAK8562550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508828800:508829760:-1 gene:gene-LATHSAT_LOCUS16039 transcript:rna-LATHSAT_LOCUS16039 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDSKLKAYIQQHGAAGNWIALPKKIGLKRCGKSCRLRWLNYLRTNLRHGRFSEEEDNIICSLYVNIGSRWSVIAAQLPGRTDNDIKNHWNTRLKKKLLTKQRNEQQSQTHQVFSQNQKIKRENVSSIQDYNLKDVEFYDNNQLQPVNTYINSQYPCNIYFPQDQLYYLSTMNNITSEGLTYVNQQEKDNGSTRISGNTNLNSIESTGWGDMRSLINSPLVSDYEACQQDVSFDDESMFYGIIQTQ >CAK8578452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630120802:630124286:1 gene:gene-LATHSAT_LOCUS30464 transcript:rna-LATHSAT_LOCUS30464 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKIQLLVTSALLLLQKFLIISQIFAEETNSVYIVYMGDKIYQSPETAKKYHHKMLSSLLGSKEDAKTSLLYSYKHGFSGFAARMTKSQAEDIAKFPEVVSVIPNDIHKLHTTRSWDFIGVHHHFSAKTVFAESNSGEGTIIGVIDTGIWPESASFNDEAMGKIPSRWKGVCQVGENFNSTNCNKKIIGARWFLKGINDHTKNLILGKNGTSEYLSARDALGHGTHTASTAAGYFVENANYKGLASGLARGGAPLAHLAIYKVCWDVPVGHCSYADILKAFDMAIHDGVDVLTVSLGIDIPMFSYVDHRDPIAIGSFHATSRGITVVCSGGNTGPISQTVTNTAPWLITVAATTIDRIFPTAITLGNNLTLWGESIDNGKHIPGFVGLTYSERIARDPSYDLAKDCQSGSLNKTKAAGKIVLCFSISDQQDIVSAALTVKEAGGVGLIYAQRHEDGLGECGILPCIKVDYEVGTQLLTYIRRVRFPAARLSLPKTVIGKWISPRVASFSSRGPSTMSPTVLKPDIAAPGVDILAAFPPKKTKKSSGFTILSGTSMSCPHVAGIAALIKSKHPTWSPAAIRSALVTTASQIGTDGSLISEEGSTSKAADPFDIGGGHVDPNKAMNAGLIYNITTEDYIQFLCSMGHNTASIRKVTKTTTSCNKQKNKTLINLNLPSISIPNLKRGTTVMRAVTNVGNINVVYKAVVRAPYGIKVKVEPQILRFNSDIKVLTFNVSFISTQKLHGGYRFGSLTWTDGEHLVRIPIAVRTIQFES >CAK8531990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:187289187:187292304:1 gene:gene-LATHSAT_LOCUS1736 transcript:rna-LATHSAT_LOCUS1736 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHMGKEEQEQQHEVGAVAGGEEDEAVSTITMERVAAAKKFIENHYRSQMKHIQERKERRSELQKELESSHVPEEEQINLLKDLESKETEFMRLKRHKICVEDFDLLTIIGRGAFGEVRLCREKKSGIIYAMKKLKKSEMLSRGQVEHVRAERNVLAEVVNDFIVKLYYSFQDPEFLYLIMEYLPGGDIMTLLMREETLTESVARFYIAQTVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSNISAISESEILDDDNLNDTMDVDGNCPNNRNGRRWKSPLEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPEAKDLICKLLCGVPHRLGTRGAAEIKAHPWFRDIIWNRLYGTEAAFKPRVFGELDTQNFMKFDEVELPKPTRTGSGPIRKTLLTTQDLSFVGYTYKNFAAVKGKRHSNEKGSLSPRSSIDSTHSDSAINYST >CAK8574230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671117061:671126155:1 gene:gene-LATHSAT_LOCUS26590 transcript:rna-LATHSAT_LOCUS26590 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDAQTSLRHAFGNVLVFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWVLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGSGAGLTAALFLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPFVILGTLLASLVPVVGFNAVMTSEHFASFLVFILIHVVALVYYIKGILSPKMFKVAVTLVVSGGLAVCFAMIAVLIAMVASSPTMGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKFQLPSLSVDSDVDAGGASSESVVPDDTLKTDKTEDTHKERTSKKSRKKEKEPVEKPPSKSKIKKRLSVLPLETSIIAIVLLVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSMATPTMLNSLMYKLSYYRFVETDGKAFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRLYKLKPPKNRIRGKIKKTKSKSTPKTVSKRKGLKRNPF >CAK8566490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428632757:428642903:-1 gene:gene-LATHSAT_LOCUS19617 transcript:rna-LATHSAT_LOCUS19617 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDILVEEEIVSVIFKKEASGVGIDPSSEADFIEVDSKVELPFWLAHELQLRQAVSVNVPPCFNQKTRLEIQADCACVDIRSRCPYFYEFGCKIAPIVGDRTIGVLLLSAFKNRYKEILTKAHTAAIAAGSKFWTILTNEEINLYETAQSAMASFKKWRMGGPRFQIASILGRKRKPAE >CAK8567041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481744331:481745094:-1 gene:gene-LATHSAT_LOCUS20129 transcript:rna-LATHSAT_LOCUS20129 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSLGVIQPPPESCDEREKPLNLKPESEDESETESEEETDDDESISEKAKEELEFYLNWESKPIEYLQEKFDDDPFFCVFSCKNYCYKNKAMIKKEEDSKKAVTEYLDKSPNLSPFNAIPIPCLANVCDNNFPRPISLREYNRPHFIQLCKLALDYYNHHNQGLNYMFEDIVKVTGRRIPFATRYITFIAKLNDWIQQPAITFQAEVWDKMTSLGPPIVKSCSIKDY >CAK8561970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419339961:419349493:1 gene:gene-LATHSAT_LOCUS15511 transcript:rna-LATHSAT_LOCUS15511 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHLRSYGEELLKSNPNSIVKIKCADSDGGPVFESIYICLEACKAVFAMICRPLIGLDACFLKGHFGGQLIGVVGKDGNNKIYPIAYAVVEDETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETSQHVEHRLCVKHLYGNWRKKYPGIFMKEALWRAARATIIPAWERAMNHMKELNVNAWKDMMDVPAACWTRSHFKIDTQCDLQVNNMCEVFNRAILEYRDKPIISLLEGIKHYITVRISAQKDKLSRYTGVTSPNIQKVLEKTKRAAEGWIATWHANDDFVIFGVSNGVETYDVNLLQQKRGCRKWNLSGIPCCHAIACIWYNKKEPEDYVSSFYRKSTVLDTYSHIIMPTNGPQLWHVNVANPISPPVMRKSIGRPKKNHNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRACKGKPAVERAIPKGGNKKPKKKGDKSGKEAGQPIIDEGQTVINGGS >CAK8574589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3090415:3091659:1 gene:gene-LATHSAT_LOCUS26919 transcript:rna-LATHSAT_LOCUS26919 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGTKKPKVSASVVAPPRKINVQKFTESRAPELHSLQCIVENRLSNDYKSQRNKRRRTTSFNDQIARKGHRRKRQKLGIVDKVDAESVLNKESLMQLPRRVLRRYELKSNPESGLCTFGDGTKRLRTHVWHAKRFSMTKLWGYHLPLGLHGRGKGSRALLRKLKQGVLVHDASYYSAVQLEGPENSLVSVLRMVLVPTPIEARHPRNHSDFVLSSITYGTAMLHQVGAPVSQAIAPVTYMWRPTDVDLCEKSERLDCSSSSRHLWVWIHATAFEEGYDNLKLACQEEVYFLL >CAK8576652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:501501642:501502535:-1 gene:gene-LATHSAT_LOCUS28825 transcript:rna-LATHSAT_LOCUS28825 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATHWCYECSRPIVLEGRDIVCPYCDDGFIQELDEMRGVAPQNTLPSRSGEFHQMPDLFDAIHAFVGNRGSENRFGLMDAVDNFMRHRMAGMHPNFDVRGRSGSSVPVPEQSWGVYSSGPFLVFHSQFPGLNLPNGSSRGGPRRGDFGDYFMGSGLEELIEQLTMNDRHGPPPAARSSIDAMPTIRITQAHLRSDSHCPVCKENFELGSEAREMPCDHIYHSDCIIPWLVQHNSCPVCRVKLPPQGQVSSRGGSNRGWGGRNGGNNGGNGSSSNRENDRQNNGRRNPFSFLWPF >CAK8544066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661037169:661042429:-1 gene:gene-LATHSAT_LOCUS12774 transcript:rna-LATHSAT_LOCUS12774 gene_biotype:protein_coding transcript_biotype:protein_coding METLELKEVQKLEGHTDRVWSLDWNPATGHAGIPLVFASCSGDKTVRIWEQNLSNNLFSCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSVSWNASGTLLATCSRDKSVWIWEVQPGNEFECVSVLQGHTQDVKMVRWHPTEDILFSCSYDNTIKVWADEGDSDDWQCVQTLGEPNNGHTSTVWALSFNTSGDKFVTCSDDLTLKVWETDNVGMQPGGGFAPWRHRCTLTGYHERTIFSVHWSRGGIFASGAADDAIRLFLDNNESQVDGPLYKLLLKKEKAHDMDINYVQWSPGEKPLLASASDDGTVKVWDLVS >CAK8576203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425333421:425333777:1 gene:gene-LATHSAT_LOCUS28409 transcript:rna-LATHSAT_LOCUS28409 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKAADVSSISVFHPPHIYSRRSNNVLNGLQASRHRSQPSQQSFSRDYLLSKGLCLISLKARSMKLSQQMIRELVLKNMRTLQGGFLVCLDTVFQRTIVNHTTQDLHPISWSNGTL >CAK8544450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685742172:685743213:-1 gene:gene-LATHSAT_LOCUS13126 transcript:rna-LATHSAT_LOCUS13126 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTDKQEALVNSSWESFKQNLPQYSVLYYTILLEKAPAAKGMFSFLKDSAEVQHNATLQAHAENFFRLVRDSAVQLRTKGEVVLEDVTLGAIHAQKGIVGPHFVVVKEALLKTIKEVVGDKWSDELSTAWEVAYDELATTIKKAMSSN >CAK8537447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:361273991:361275518:-1 gene:gene-LATHSAT_LOCUS6739 transcript:rna-LATHSAT_LOCUS6739 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNTRKNKPHVVCIPYPAQGHINPMLKLAKLLHFKDHFHVTFVNTEYNHKRLLKSRGPNSLNGLSSFRFETIPDGLPETDVDVTQDIPSLCDSTKRTCLPHFKKLLAKLNNAIDTPPVTCIVSDGVMSFTLDAAQELNIPEVLFWTTSACGFMAYTQYSQLVERGLTPLKDSSYMTNGYLETTIDWIPGIKEIRLKDIPSFIRTTEPNDLMLDFLSGECERAEKASAIIINTFDDLEHNVLEAFSSLNFPPVYSIGPLHLLLKEVTNKELNSFGSNLWKEEPECLEWLNNKEPNSVVYVNFGSITVMTNEQMIEFAWGLANSKIPFLWVIRPDLVTGENSVLPQEFLEETKNRGMLSSWCPQEEVLDHSAIGGFLTHSGWNSTLESVCGGVPMTCWPFFAEQQTNCRFCCHEWGIGLEIEDAKRDKIESLVKEMMEGEKGKEMKEKALEWKKLAQNAASGPNGSSFMNLEKMFRDVLL >CAK8560180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9745817:9746494:1 gene:gene-LATHSAT_LOCUS13877 transcript:rna-LATHSAT_LOCUS13877 gene_biotype:protein_coding transcript_biotype:protein_coding MENRQRITLFDQMTSSSNTTSNTRSSLATLIQNDAVFAIQKTRNQTLLDIIRESEPNNVVENSNNTKDRKSWKAFKELLRLKRRNSDESTLQQEQQPQQNDVVREDLNNSDPVELPPGGESSDEEINVNSSETVQVSMSLMDLLEESEIDLDRIDDVDDVDEKREEEGENVSVERNCCVCMVRHKGAAFIPCGHTFCRMCSRELWVSRGNCPLCNNLILEVLDIF >CAK8535155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818562248:818563886:-1 gene:gene-LATHSAT_LOCUS4631 transcript:rna-LATHSAT_LOCUS4631 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGGRSARPAPRAAPARPAPVNHAPPPANVQSGGGSMLSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVASEAAAAAPAPTTNSFGGDACNIHSKAFQDCLNNYGSEISKCQFYLDMLSECRKNSGSSMSM >CAK8563149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577990918:577995398:1 gene:gene-LATHSAT_LOCUS16586 transcript:rna-LATHSAT_LOCUS16586 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSNIPRGREKLVNQKEGVVASKVSESGLALEPTTNTIPRHEEELELESSREAENVLPGNQEIDTVEPVHQDAPLDPEILRREEAVTKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCCMYGIVKLQGLVRGQRVRQSDVGFEIHEKCNLLKLQDDKPVKPVPISDKILKLSANNFIRKLIASSTTIMALRLQYVRGDPNSVLSWLERWSASHFWKPIPQPKKIRDTKFQKKQGNIATGDAHTSKSKRTHRKLSTANFDQAPAQANPEFEKPKRNVRKFPSQPSDPVLENPQIELEKVKRNLRKVHNPVVETAVLSEVESETQKPHLEKETVALSVGVSEQAVISSNERTKKEAKIIISSEPDIGITAGDLVSKEEVFDTPSSYQVNVESKPLTDITSKDKNISDDEIKIESIDLVETSKDENSHLTNGSLSHKEDQTGSENQKPIRKASIVAKQERAENGSHNSPTVPSYMAATESAKAKLRAQGSPKIGQDGSEKNNNARRHSLPSLTNSKISSHSPRTQRPVHSGGKGTHKSEKAVPSVVGNGKVVQAEWKR >CAK8530721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44499259:44501411:-1 gene:gene-LATHSAT_LOCUS565 transcript:rna-LATHSAT_LOCUS565 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYGHPTLNENDCMIFYIMTPIKTDEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDNDILEEIRMQDIFGNSDDEDNEDEDIVVPSTQPIRAQPVSLYNPPAHMQNICAEYDDTTSVFGNAIQSHIGDGIDIRMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRSCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVKGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKQGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRP >CAK8561782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:384511565:384516416:1 gene:gene-LATHSAT_LOCUS15341 transcript:rna-LATHSAT_LOCUS15341 gene_biotype:protein_coding transcript_biotype:protein_coding MSISDTNAKFASKMEKEEKVSLELSEEILQSMEVGMSFKDYNGRISSMDFHRASSYLVTASDDESIRLYDVAAGTCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKDCFISGSLDRTVLLWDQRAEKCQGLLHVQGRPAISYDDQGLVFAVAFGGYIRMFDARKYEKGPFEIFSVGGDTSDANVVKFSNDGRLILLTTADGHVHVLDSFRGTLLSTHNVTPVSCNSTLEASFSPEGMFVISSSGDGSIYAWNVRSGKEVASWRSATSDIGPPVVKWAPGSLMFATGSSELSFWVPDLSKIGAYVVKK >CAK8562695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:527784957:527785739:-1 gene:gene-LATHSAT_LOCUS16170 transcript:rna-LATHSAT_LOCUS16170 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNNNFDSDFALLDSIRRHLLGESESIFGAPTTSSVNTNARVFSRSSSFSSLYPCLSDNWGDLPLKEDDSEDMVLYGVLRDAVNVGWVPSLEVGSPESISSGFPMELVKPEPDIMPVENIPTVVPTAVQVVPKGPKAAPVKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRITSKRSSPERSSSSLSSESNSPAKKKKVMAAQVAQLTRGGQLLVS >CAK8531714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142752000:142758832:1 gene:gene-LATHSAT_LOCUS1485 transcript:rna-LATHSAT_LOCUS1485 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESFIYSFVARGTMVLGEYTEFTGNFPAIAAQCLQKLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKESVSKQISIAFLERVKADFKKRYGGGKADTAIAKSLNKEFGPIMKEHMKYIIDHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTVLSDKTETLRSQAQDFRKQGTQVRRKMWYQNMKIKLVVLGILLFLVLVIWLSICGGFDCSN >CAK8573358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605484661:605487353:1 gene:gene-LATHSAT_LOCUS25817 transcript:rna-LATHSAT_LOCUS25817 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQAKPSGESDEGASDYRGLNRFKMENGYVPSSDFVAHRRSTGQSQKHVVDQTKNPDHRVYEKQPRKHKVVVDSSVAGKGKRNGELKDSKKQLNKCFEDEMVDGWPKWLVDNVPSHGLAGLVPKSAESYKMIDKVGQGTYSNVYKALDRDTGDIVALKKVRFNTSEPESIKFMAREIAILQRLDHPNIVKLKGLATSRMQYSIYLVFDFMPTDLSRIISRPDERLTEPQVKCYMHQLLSGLQHCHDRGILHRDIKGSNLLIDKTGMLQIADFGLANYYSTNQDQPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFRGIPIMPGRTEVEQLHRIFRLCGTPSQEYWKKLKLSTTFIPTKSYRPSLVESFKDLPPSSLGLLCTLLALDPAFRGSASKALKNQFFFTSPLACDLSALPAIYKGDDEHIQAKEQIKYMNSKIRRSRTYMERRKNLASNRPIEQTVSSKEVLRSTIEAETYVPSEEPGSATSSTSSSVNRAGIGDHSPLFLSPFMASDQKMSHKIHSHANIGGKNTKNLPPLSKSKPNATKKDDSRYRSDQIFRSTSTREFRKLKTDQHLLFD >CAK8568265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587948207:587950338:1 gene:gene-LATHSAT_LOCUS21239 transcript:rna-LATHSAT_LOCUS21239 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGIKKILVTGASGYLGGKLCHALHRQGYSVKVLVRRTSDLSALPPTSEIVYGDITDFSSLLSALPDCSVVFHLAAIVEPWLPDPSKFTSVNVEGLKNVLKALKQTKTVEKLIYTSSFFAIGPTDGAIADENQVHHEKFFCTEYEKSKVTTDKIALQAASEGVPITILYPGVIYGPGKVTAGNAVANMLVERFNGRLPGYVGSGNDKFSFSHVDDVVEGHIAAMKKGKIGKRYLLTGENASFNQVFDLAALITNTRKPMFRIPLWVIEAYGWFSVLLSRITGKLPIISPPTVYCLRHQWEYSCEKAIMELDYKPRSLREGLAEVLLWLKNLGLIRY >CAK8566276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399276678:399277013:1 gene:gene-LATHSAT_LOCUS19419 transcript:rna-LATHSAT_LOCUS19419 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGGFSRSVESGAGKRRVFAIGNYVNQRLLRPLQPRNEAIFLLYDHKLKLEDPPEIVLSLTYLDFSGSTNPRRDKSASDQASSQDRSNPPFKPTYKTSFH >CAK8536066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904322785:904328837:-1 gene:gene-LATHSAT_LOCUS5467 transcript:rna-LATHSAT_LOCUS5467 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELVQQQQQERLNQAVQQQLNLEQVKTRAISLFKAISRILEDFDAYARTNTTPKWQDILGQYSMVNLELFNIVDDIKKVSKAFIVYPKNVNADNATILPVMLSTKLLPEMETEDASKRDQLLQGMQNLPIATQIDKLKARIDMIAAACEGAEKVLADTRKAYCFGTRQGPSVAPTLDKGQAAKIQEQENLLRAAVNVGEGLRLPGDQRHITSSLPMHLADVFTVNETAQPFPDGSSNNVYMKNTPLSSNNMGGQNSMLQTSATQLLGRSAASPSAATSATSFDNATASPIPYANSPRSSTNMMNTPSPQQQTSQLQQQQPTAQQQQQQRQKLMQQLPQQQQQQLLAQQQQQFRQSAMQGMGQMQGQHQMQFSPQLGHQQFQSRQQLSSAHMQHGLGQNQLNQGNQMTRLSQFSGHANSALFSAAQTTPNTQMIPNISAGISSQSHLPRMQFGLSGNNPQRSHPSQMLSDQMFNIGGGNPGGMMSLQQQQQQQQQHNSQGAFGGMASNAQNLQSGMMTLQNAQQNHPNFSQQRQQNQQ >CAK8563426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:602163780:602163992:-1 gene:gene-LATHSAT_LOCUS16840 transcript:rna-LATHSAT_LOCUS16840 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVGVVNILLVCGFLGLGLLVHRHPKHWVDYMEWALGARYCAIAADFNGWSATENYAREHYFGHDDYG >CAK8535964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894387023:894389940:-1 gene:gene-LATHSAT_LOCUS5377 transcript:rna-LATHSAT_LOCUS5377 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQPSGSNPPPKPWERAGSSSGPGAPFKPPSGGSTSDVVEASGTAKPGEIVTSTDRNAAVNRNALARPVPTRPWEQNYGNTSYGGGALGGYGSSMNYNSGYGSGGMYGSSYGGGLGGVGGMYGGGGMYGNSMYRGGGYGGGLYGSSGMYGGGGMYNSGLGGQMGGYGMGVGPYGDQDPNNPYNEPPSPPGFWISMLRVMQGVVNFFGRISILIDQNTQAFHLFMTAMLQLFDRSGMLYGELARFVLRLLGVKTKPKKVNPSGPNGHPFHGQQHPSGNMNYIEGAKTAPSGSWDNVWGNDTSE >CAK8571767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471196992:471215080:1 gene:gene-LATHSAT_LOCUS24400 transcript:rna-LATHSAT_LOCUS24400 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSPGKIHLLEIENFKSYKGLQKIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRTGHLRGAQLKDLIYAFDDRDKEQKGRRAFVRMVYQLANESEIRFTRTITSAGASEYRIDDSVVTWDVYNGRLKSLGILVKARNFLVFQGDVESIASKNPKELTGLIEQISGSDELKRDYEEFEEEKASAEEKSALVYQKKKTMVMERKQKKEQKEEAEKHIRLQDELKSMKKEHFLWQLFNLENDFVNTTKELEDEKKIREGVIEELANFEHEVSKKKKEQAKHLKEVTTREKKITEKGNKLDKNQPELLKLKEEMSRIRKKIEKGKKELGKKIEQQGKHDKEIAKLRNDIEDLTAKVADVQEKGRNVGGQLKLDGNDLEEYYRIKEEAGMKTAKLKEEKELLDRQQHADTEAQKNLEENLKQLKSRESELDSQEKKMRERLKKILDSSAKNKDAVEKLNTELRVMKEKHNESKRKYDYLKIRIGEVEKDLRELKADRHENERDAKLSQAVATLKRLFQGVHGRMTDLCRPTQKKYNLAVTVAMGKLMDAVVVEDEKTGKECIKYLKEQRLPPQTFIPLQSIRVKPIMERLRTLGGTAQLVYDVIQFDPPLEKAILFAVGNTLVCEDLEKAKLLSWSGERFKVVTVDGILLTKSGTMTGGTSGGMEARSKKWDDKKFEAYVKKKEQYEAELKELGTIRDMHLKESDTEGKKSGLEKKIQYAEIEKRSIEDKLSNFSLEKGTIKEEIKRISPELEKLGIAVEKRNRELLTLEKRINEITDRIYRDFSKSVGVANIREYEENRLKDAQNVAEERLKLSSQLSKLKYQLEYEQNRDMSSRIEELKSSISDLENDLNRVQNKEAEAKLASEKATEEINQLKDEAKEWKSKSEDCEKEIQEWRKKISVGTANTSKMNRQINLKEAQIEQILSQKKEISEKCELEQISLPTISDPMDTDISTPAPVYDFDELNRTLKDRKPSGRDKIEVDFKQKIDALISEIERTAPNLKALDQYEALLEKERAVTEEFEAVRKEEKEKADRFNVVKQKRYDMFMDAFNHIAGNIDKIYKQLTKSNTHPLGGTAYLNLENDDDPFLHGMKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCEGARVNQDADGGCGFQSIVISLKDSFYDKAEALVGVYRDSGRGCSSTLTFDLSKYQES >CAK8565542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:264869366:264871051:1 gene:gene-LATHSAT_LOCUS18745 transcript:rna-LATHSAT_LOCUS18745 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTIIEAREELILSPSSNENKTLRTAYFLKPSIQHSLFPPPKFSISSETTTKLPLEIRYNGWRPPTEEWKKWVKKLQPKFEYLWIQTGIYQAIKASTYEIKRDDELVLELVNRWCSETNTFVFPWGESTLTLEDTKVCFGYSLLGCSVSNPLMNSEQVKAEEELMEARRIFNSSKAKKVNQRAWMMYFMETESKVEHEAFLVYWLSRFVFPADAYEIILKSVVPIAIHLANGNRIALAPAVLASIYRDLSLLNSVITKNATTSMKSLRVTIWAPFQLVQIWALERFLYLKPRPYTIGHGLPKVARWGGVKVMKNKKLKKDLDCAGFGNGFLWQPYENSPCVQVYNEKDMWKCDNPCLNEELLSFAPCLRACELVGMGCKEKYFPHRVAMQFGMDQDIPGKVAFCKKDPWVIYSQPAALLDIDLLVQLCSSKPAGVTSRYYDWWKQLKSNEESDNKRVKFEKQEMEDSDEEDDLLVYEISSSDDEVVENGKALSSNEFGDFTSSNVGDEGEVNIQCCDRNGEKEESVNPFTLDMELDLEKRIEKLERVVYELKEARFGNKA >CAK8537117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:101095537:101095851:-1 gene:gene-LATHSAT_LOCUS6431 transcript:rna-LATHSAT_LOCUS6431 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRLLAAKSNWNVPNPCLEYFAKMMLHATPMKDNLPTSYYKTNGLVSKLGLEVRQIDCCINGCMLFYENEFRINDEALEKYKFCKSPRYQVRSKAINHKQKRA >CAK8531492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115322866:115329525:-1 gene:gene-LATHSAT_LOCUS1280 transcript:rna-LATHSAT_LOCUS1280 gene_biotype:protein_coding transcript_biotype:protein_coding MATTETASATLGPRYAPDDPTLPKPWLGLIDGGTGTLYYWNPETNVTQYDKPGAPPVPAASTPGLAPIPSGSGQQQMMQVQPPSQQQQGNHFAQQQQSPHVAQATQQQPSQAAQPVQQQPTQQPGLHQARPQMMQPQGQQMMQFQGQQFQQIHHQMPPQVIRPQQFGQGNPQDHGTHIVQPQAPQFTPQNMHYMGYQQNMNTPRQPNSQQVQQNILPPGQSTPQQNQHQHNIHNQPFENQQDFKTAIPKVEEAEFKNGSQVGFSPSQYQQRSALPGQNNPNVPAEVSSGQVSNAGVNSGQPQQFRGFPGSMQQPAPTMQSQQGGSDLFYQHAPNFQNQMSPGMMHGHPSNAHPAAQKMGHDDNVHGRAGNDYYYNSNKEMPPMGRQQSDMAQMPIPRNQQDMRIGNSPFQNSVPSGNGSGITGNAMGNMFTSPLGVPSALSSNSFTRPPYGGSSDVTDLSPAEIYCRQHEVTATGDNIPPPFMTFDSTGFPPEILQEVCSAGFSNPTPIQAQTWPIALQGRDIVAIAKTGSGKTLGYLMPAFILLRQRRNNSLNGPTVLVLAPTRELATQIQEEVFKFARSSRVSCTCLYGGAPKALQLKELDRGADIVVATPGRLNDILDMKKIDFRQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGNVDELAANKSITQYVEVVPQMEKQRRLEQILRSQERGSKIIIFCSTKKLCDQLARSIGRSFGAAAIHGDKSQGERDWVLGQFRNGKSPILVATDVAARGLDIKDIRVVINYDFPNGVEDYVHRIGRTGRAGATGMAYTFFSEQDWKHAGDLIKVLEGANQHVLPELRQIASRGAPGFGKDRGGMARFDSGGGGGGRWETGGRGGMRDGGGFAPRGGMRDGGGFGGRGGTRDGGSFGSRGGMRDGAGGQGERGGDFFPGRGNRGRGFGPPRGGHVGWGRGDRGGPSDRYNMDGRGQGRGRGRFDNRRDVQRSRDRSYSRSPERVRTWDINRSSSRSRSRSRSWSRGRSRSRSWSRGRSRSYSRSPRRSHSRERSYSRSRSRSPKKNNRRVKSKFSDKIDIVAPEAGVSDPKMFPISANTQESSILGTEHLEQLPVVGSTGPDNAEAVVDVSHQSSSKT >CAK8538004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457071364:457071792:1 gene:gene-LATHSAT_LOCUS7247 transcript:rna-LATHSAT_LOCUS7247 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPYRRIISSDVEKEEIEDHKSEIEVELVNEEKVERPNMELPEEKVESLNVEIPEEEFEGESMPTWKKQVTFRAIFVSLVLSILFTFITMKLTLTAALIPPLNASLQGLMIVKTWTAFLTKAGIVNQPTRQLDSTTRGKN >CAK8568065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:568368647:568373253:-1 gene:gene-LATHSAT_LOCUS21061 transcript:rna-LATHSAT_LOCUS21061-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDNGTTSREEQEEALVALIEHRTNEVANLQKRVSYYKTQLDEAEKKLKDSETKLARLRGQTNSVKSATRNVTNLDNDDGVVAVKKEPRSNSPVDRNERSYKSNNNSQSKTELVIPTVTPKISRSSKGSGSESTPPQVHTPVTGGKSDKSRKEQQSVEVKEKGTKRKLDVKEHKELIPLIRKSVSLKLIHCETSNHISSKHKRKLRSIALCPVNDQLFATSALDGMVNFWQVQARGSGASLLNSSDCASQKQRRWPEDIAWHPEGNRLFSVYTADSGDSQVSVTNLNRVQGERRVNFLEDKPHLKGIINGIVFMPWEDTCFVTGGSDHAVVLWREQDDEDKWKPRPLHRNLHSSAVMGVAGLQQKQIVLSAGADKRIIGFDVGVGRADFTHQIDSKCMSVVPNPCDFNLFMVQTGTHEKQLRLFDIRLRRTELHAFGWKQESSESQSALINQAWSPDGFYITSGSADPVIHIFDIRYHLNRPSQSIRAHQKRVFGAMWLQSIPLLISISSDLNIGLHKIY >CAK8568064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:568368647:568373271:-1 gene:gene-LATHSAT_LOCUS21061 transcript:rna-LATHSAT_LOCUS21061 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSEQMDREDNGTTSREEQEEALVALIEHRTNEVANLQKRVSYYKTQLDEAEKKLKDSETKLARLRGQTNSVKSATRNVTNLDNDDGVVAVKKEPRSNSPVDRNERSYKSNNNSQSKTELVIPTVTPKISRSSKGSGSESTPPQVHTPVTGGKSDKSRKEQQSVEVKEKGTKRKLDVKEHKELIPLIRKSVSLKLIHCETSNHISSKHKRKLRSIALCPVNDQLFATSALDGMVNFWQVQARGSGASLLNSSDCASQKQRRWPEDIAWHPEGNRLFSVYTADSGDSQVSVTNLNRVQGERRVNFLEDKPHLKGIINGIVFMPWEDTCFVTGGSDHAVVLWREQDDEDKWKPRPLHRNLHSSAVMGVAGLQQKQIVLSAGADKRIIGFDVGVGRADFTHQIDSKCMSVVPNPCDFNLFMVQTGTHEKQLRLFDIRLRRTELHAFGWKQESSESQSALINQAWSPDGFYITSGSADPVIHIFDIRYHLNRPSQSIRAHQKRVFGAMWLQSIPLLISISSDLNIGLHKIY >CAK8541670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:342785082:342785510:1 gene:gene-LATHSAT_LOCUS10573 transcript:rna-LATHSAT_LOCUS10573 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRILSSLVRSSLRPSPSKSSITASTSRLSSQSRPSPSPSPYFLNRVTEYATVAAAASPAPPPVRKVSGGDGKIIDEYIGKGAIRHICAIIGAVVDVRFEDGVPPILTALEVLKGSQRIMLEVAQHLGQGVVRTIAMEAT >CAK8560790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42759818:42762144:1 gene:gene-LATHSAT_LOCUS14438 transcript:rna-LATHSAT_LOCUS14438 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGGGFAISYPLAVALEKMQDRCIQRYPGLYGSDDRIQACMAELGVPLTKEKGFHQFDVYGNLFGLLAAHPIAPLVSLHHLDVVEPIFPNASRIQALKRLTEPMNLDPAGLIQQSICYDKSRNWTISVSWGYAVQIFRGIFSAREMEMPARTFLNWYKRADYTAYPFNTRPVIRNVCQKPFIYYLSNAIQDEDTNETASRYVRVQTNLNCK >CAK8570455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47739848:47741385:-1 gene:gene-LATHSAT_LOCUS23202 transcript:rna-LATHSAT_LOCUS23202 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHWLYFTWLFLMIGLRIELSNAQIHKRFGNRLYPYKTLVVDPKRRGCFSTIQSAIDSVPSNNRHWTSINIKAGYYREKLTIPYDKPYIIIQGDGISKTLVEWDDHANTMQSATFFTMADNIVVKHITFRNSYNVPNNRNPWLPAVAAMVSGDMTYFYRVGFLGFQDTLWDDDGRHYFHNCFIQGAVDFIFGAGQSLYEGCTISVIAAALGQGIPGVITAQGRTNPNDANGFVFKHCKIHGDGTAYLGRPWRAYARVFFYNNNMSNIVNPLGWDPWNFVGHEDRIQFSEYLNYGNGAITNYRVKWTKNLDINTINRMASLSFIDNDGWLQNQQF >CAK8569874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14066369:14066793:1 gene:gene-LATHSAT_LOCUS22679 transcript:rna-LATHSAT_LOCUS22679 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSSRNSQSHSFSQPLGKTSFLNEYRQEKIQKISNDGKSELEIYLNEKCLDDNVDILQYGKLNSVRFPQLPIMACKILSITITTVTYKSSFSIGGHILHKYRNCLLP >CAK8541858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418214495:418216582:1 gene:gene-LATHSAT_LOCUS10749 transcript:rna-LATHSAT_LOCUS10749 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPKSLSPRRLLKLLDSQKNLTSALQLFHAATRLPNYTHSADVFLHILRRISPDPILLHSHVPHIVHTIQKTQNFICPEDIPLSLLKAYAKTSMPDQALKIFQNMGFVFGCRPGIRSFNTLLNAFAESHQWDRAEKFFAYFETAGVSPNVQTYNVLMKVLCKKREFQKARKLVMWMWSVGLKPDRFTYGTLIGVFVKSRDLNTALEVFDEMSERGVEPDVTCYNIIIDGFFKMGDFLKGKEMWERLLRVETVFPNVVSYNIMISGLCNCRRFKESLEIWERMKMNDWKHDVFTYSALIHGLSEAGDLDGAIRVYKEMVVRGVKADVVTCNAMLNGLCKAGKVDESFVLWEEMGKCGSQNVVSYNVFLKGLFDNGKVDEAMNLWEVLGEVDCCVESATYGVLVHGLCKNGYVNKALQVLEEAENRGGDVDTFAYSSMISGLCKEGRLDEAAGVLNLTDKRGCRLNPHVYNALIDGFMKHYKVGSAIQVFREMSTKGCSPNVVSYNILINGFCRAERFPEAYHCVEEMLEKGWKPDIITYSTLIDGLCQGKMNENDIALRLCYQFLAKGFKPDITMHNIVIHRLCSSGKVKYALQLYWIMRKRNCVNLVTHNTIMEGFYKVGDCEKASKIWAHISEDGLKPDIISYNITLNGLCVCGRVTEAVRYLHDALAHGVMPTVITWNILVRAVIFFGEST >CAK8530904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:61220369:61220806:-1 gene:gene-LATHSAT_LOCUS733 transcript:rna-LATHSAT_LOCUS733 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSILNGIVSELETTIVKIDDEDKALRLIWSLPSSYGHIKPVLIYGKENLSFKEVASKIISEERSLKGEENTSSNSVLVAKGRSYVKKNNETSVRWWKYGKVGHIKYKCPNGVVSEKGFELNASNASLVVREDDLL >CAK8571578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442685732:442688487:-1 gene:gene-LATHSAT_LOCUS24223 transcript:rna-LATHSAT_LOCUS24223 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGSSHNRRRHGGGGSGRRVHPPVTPPLQEAAANQFAHPAAATPYQNYPNYYPPPATVTMPLPAPYDHHHRTPMDPIYGRYPPPPPPLPPAPYVEHQKAVTIRNDINIKKETLRIEPDEENPGQFLVTFTFDATVSGSITVLFFAKEGDGCILTSTKENVLAPVIINFQQGLGQKFRQPAGTGINFSIFEESELLKVGDVNVYPVAVKADASSGAEDGSNETPKSGSKTNTNNSQITQAVFEKEKGEFRVKVVKQILWVNGMRYELQEIYGIGTSVESDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSVCAKVLRFQTNRCPICRQPVERLLEIRVGPEPEPKPEPESEE >CAK8578794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655074917:655081288:-1 gene:gene-LATHSAT_LOCUS30778 transcript:rna-LATHSAT_LOCUS30778 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNNRYLQPNQFDIEQILSEAQYRWLRPAEICQILANYNHFLITSEPANMPPSGSLFLFDRKVMRYFRKDGHNWRKKKDGKTVREAHERLKAGSVEVLHCYYAHGEQNQNFQRRTYWMLEDELSHIVLVHYREVKGTKTTLICDKDNEESCPYVQQTYNAMPNTEMETSLPSSTNSAQPSEYEEAESALNSHAKSDFYSFLEMQHPVVQKIKSQQLTDFNYPLPLKDDQERLPVSNNVGLTCDPSKLLGFSSWQDILENNAGSHNVPFQPFFPETQFNDMGTNSSSQGYEVMGQHLSIGITKNHENGSLLQDKGNWQDSGFNSLSRTNWPKDNVCSGSTCEVSYSDNEWEVNKVDFRQSLEQFLLHPHQHHKVMQNSPHNILLRAESMLKSDLEVDRSVNGIQDICFTSRKSLLHVSAAEEGLKKLDSFNRWMSKELGDVEESSKQSTSSAYWDTIESENGIDSITIPSQVHLDNYVLDPSICYDQLFTIIDYSPSWTIEDSEIKVVISGRFLRSQHEAEECKWSCMFGEVEVPAEIIGNGVLCCHTPPHKAGRIPFYVTCSNRLACSEVREFDFRVNCTQEANTAGENRSSNSLDTFNKRFGELLSRDQEFPQSLDSISVNEKFQLRSKIRSLFGREDDEWEKILKYTLEKDFSPELVQEKLLQNLQKDKLYSWLLLKATEDGKGPNVLDEGGQGVLHFAAALGYDWALEPTIVAGVNVNFRDVNGWTALHWAAFCGRERTVASLISLGAAPGALTDPCPQHPSGRTPADLASANGHKGIAGYLAESFLSAQLKSIDLKKEVGESFGAKIVQRVHEQNTSQLNNERISHELSMKDSLAAVCNATQAAARIHQVFRVQSFQRKQQKEHGVDKFGTSDERVLSLIAANAKSHKFGQRDEPVHVAATRIQNKFRSWKGRKDFLIIRQRIVKIQAHVRGHQVRKTNGKIIWSVGIVEKIILRWRRKGSGLRGFKSEAISEGAMVQGVSSSTEDDYDFLKEGRKQTEKRLEKALARVKSMAQYPDARDQYHRLLNVVTDIQENQVKQDWSSNNSEVTRQRQFDNLVDLEALLDEDTFMFTDT >CAK8578199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612107335:612107739:1 gene:gene-LATHSAT_LOCUS30232 transcript:rna-LATHSAT_LOCUS30232 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEFESLFNHAMRGQWREVLELYEKNPEVLEAKITKAEHTVLHIVVYVSQTFFVTTLLDNIGQNMCRNILRMQNSKGNTPLHVAAELGNVDICKNIAKRDPTLILYQNSEGETPLFLAAVHGRKDAFLCLHD >CAK8568698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633068400:633069954:-1 gene:gene-LATHSAT_LOCUS21630 transcript:rna-LATHSAT_LOCUS21630 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDFYNILKVERNATDDDLKKAYRKLAMKWHPDKNPTNKKEAEANFKQISEAYAVLSDPQRRMLYDQLGEQGLKDKPPPQGNESSSGFNRTAEDIFTEFFGSSPLNFGSSGPGRSKRFSSDGGGSAPFGGFNGDFNFRTHSERSYMPRKPSPVETKLTCSLEELYSGSTRKMKISRTVMDPNAYGREIKETEVLSIEVKPGWKKGTKITFPDKGNQQLNQLPADLVFVIDEKAHEVFKRDGNDLIVNQRITLAEAIGGTLINIKTLDKRNVRFQVKDIVSPGYELIVPNEGMPITKEPGHRGNLKIIFEVKFPKKLTPGQRAALKRVLGG >CAK8543381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603835102:603835362:-1 gene:gene-LATHSAT_LOCUS12140 transcript:rna-LATHSAT_LOCUS12140 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVQIGSSVVQSNDQEDKTPHFCTQVSIENANHVEEVASAPVVNTSKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRT >CAK8575250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:59665974:59671178:-1 gene:gene-LATHSAT_LOCUS27529 transcript:rna-LATHSAT_LOCUS27529 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEEEERYEGNGAGGGGGEGGGEGGGGEDLDTTHPYPSSASSPQPLDHPVSKSRHESRDYDRESSRSREKEREKDRKRDKERHRDRDRERDRGDGEKERDPHNRDYRHRDRKDRERGRDRDRDNGDSHRSRDRDRDHDRRGRGDYDREERHKRRSRSISPSKDRSEHGTRSRSRSKSKRVSGFDLAPPASAMLAGASTVTGQITAPNPTIPGVLQNMFPMATSQMQQFSALPMMPIQAMTQQATRHARRVYVGGLPPTANEQSVAIFFSQVMAKIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESILMHAQQQIALQKLIFQPALVATKVVCLTNAVSPDELKEDEDFEEIIEDMRQECSKFGTLVNVVIPRPQPDGDLSGGVGKVFLEYVDIEGATKARTGLNGRKFGGNEVIAVFYQENKFAQGDYEG >CAK8566507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429841168:429844624:1 gene:gene-LATHSAT_LOCUS19632 transcript:rna-LATHSAT_LOCUS19632 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLINLEGRIYTCKFCHTHLASYEDILSKTFHCRHGKAYLFNKVVNVSPGETEERHMMTGLHTVADIFCVGCGSIVGWKYETAHEKDQKYKEGKAVLERYKVSGPDGSNYRINNGAHVGGSDADDI >CAK8539566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516449701:516452506:-1 gene:gene-LATHSAT_LOCUS8649 transcript:rna-LATHSAT_LOCUS8649 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKRIIGLLGLSKDDDNDHNSKDDNHDQPSGQPLPTNFRVRDAGIPRRGFSVPVQVVQDRPQLGPILTPSVSGDGGVQGLGWYAKQLRIDEDGDIANKFLDEVSLETPAFAADHHKATARFKLKHDTRPVKVKKQVLSSDGKFQQYVEHQGRLQWV >CAK8535201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824305391:824307514:1 gene:gene-LATHSAT_LOCUS4674 transcript:rna-LATHSAT_LOCUS4674 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSHEHSGFDYIEVYLLLCQTEHEVGETTDIDEIDVVDEEEEDPEAMVDQMVNLFGTGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQFSGDDTSSDYFYNPSQQIEGVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINWNDSKRYVVVCRNASCKFRLAASYRKRSDCLEIGSMDPPHSCTTNINQQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNFTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMREIKDRNLRKKVVNAGYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNFVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSVVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8578829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657206206:657206673:1 gene:gene-LATHSAT_LOCUS30812 transcript:rna-LATHSAT_LOCUS30812 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNVRGLNKMVKTKEVSSRLRSLNPMICILLETRVKHDKADKIRCKLKLKGRFLDNYTEHENGRIWIWWNNAKIKIRKVTSSGQLIHYGVYDMNEVFQFWLTAVYGADKLDQRKRLWSDIKHIHQNQQGMWFLIGDFNNVVQTIDRRGGSMV >CAK8542411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510501043:510501982:1 gene:gene-LATHSAT_LOCUS11252 transcript:rna-LATHSAT_LOCUS11252 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSARKHHLQGTPVYYYCPPTFEERGSSTTNDGTAASLSAGFLVGLNFEAAIPDTFRSPPVPLPYDIVFGGSASTDSESGRETVSMSSFETSITRDDDIEESDCKAQTKPTPLSPKKKELSKSNGRQVSATEEEDVCPICLEEYDDENPKNLTKCEHHFHLSCILEWMERSDSCPNCDQEMIF >CAK8544721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702089680:702092737:-1 gene:gene-LATHSAT_LOCUS13376 transcript:rna-LATHSAT_LOCUS13376 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFLRMLNTSDYSSVISMNIFVALLCACIVLGHLLEDNRWINESITALLIGLCTGEVILLISRGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKRFFVNFMTIMMFGAIGTLISCIIISFGALQIFKRLGFHELDLGDAIAIGAIFGATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLARFDHGIALQFFGNFFYLFIASTMLGVLGGLLSAYIIKKLYFGRHSTDREVALMMLMAYLTYILAELWYLSGILTVFFCGIVMSHYTWHNVTECSRITTKHSFATMSFVAETFLFLYVGMDALDMEKWRFVSDRPGTSVVVSSVLLALVLIGRAAFVFPLSFLSNLTKKSENEKISFRQQVIIWWAGLMRGAVSMALAYNQFTMSGHTQLQFNAIMITSTITVVLVSTMVFGMMTKPLIRFLLPLGPVPKRKNSKENLDGISPKSVTVPFLGDNQGSDVDVDIDGNDFHRLSSIRDLLTTPTYTAHRLWRKFDDAIMRPVFGGRGFVPVSQPQ >CAK8579590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711021104:711024774:1 gene:gene-LATHSAT_LOCUS31524 transcript:rna-LATHSAT_LOCUS31524 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSFFASIFFTIVFFSTTLPISHSQKNDTFSTCNQSYFTCGTNTNVSFPFWGNNRPSFCGKNEFKLTCMHDENTSIQIGSQKFNVLDINQNASTMRIVRTDLVNDICSSNFTNTSLIRTPFSFLSTVRNLTIFYDCPIESSSVMKKINSFTCEKNSSSEHVFYVVNNETQLQNQFLGFQNCRVSFQVEVSEDVVWDSESGVHTLERGFDVKYDEGVGWSSQCEECRESGGTCGTNQNDSSKFSCYCPSGSGNHDAAKCSSHKSSKKTKVLKLVIGFIATGMLLPLIAVIICRNKAKIWKIILTQFSKITRNNQHIEAFLESQGPLNLKRYNYSDVKKMTESFKVKLGEGGYGSVYKGNINGVSVAVKVLNESKGCGEDFINEVASICKTSHVNVVTLLGFCLDGTKKALIYEFMPNGSLEKYIHNSNKESEKTEKTKTSPNPSLSWENLHQIAIGIARGLEYLHKGCNTRILHFDIKPHNILLDETYRPKISDFGLAKLSTRDESIISMSNARGTVGYVAPEVFNKSFGGVSHKSDVYSYGMMLLEMIGGRKNVNIVEATSQSSELYFPHLVIYKKLEDGNDLELDDEVMSNEENEIAKKLTMVGLWCIQTIPTHRPTISRVIDMLEGAMDSLEMPPKPVMFSPPRSIPEFSTSSKSLESFSN >CAK8568606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:623382780:623384625:-1 gene:gene-LATHSAT_LOCUS21548 transcript:rna-LATHSAT_LOCUS21548 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEENGLKGDPRLQHISQSIRVVPHFPKNGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMHISVVAGIEARGFVFGSSVALGIGAKFVPLRKPRKLPGEVIYEKYSLEYGTDCLEMHVGAVETGERAIVIDDLVATGGTLSAGIRLLERAGAEVVECACVIGVPEVKGRCKLLGKPLYVLVEPRQVDQCF >CAK8560995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69770676:69771941:1 gene:gene-LATHSAT_LOCUS14624 transcript:rna-LATHSAT_LOCUS14624 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRELTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKSFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSTDSKLSMCVRLLAAKSNWNVPEQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSLRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLILGPSSPKSGIDVYLQPLIDDLKRLWIGE >CAK8533642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644892804:644896292:-1 gene:gene-LATHSAT_LOCUS3254 transcript:rna-LATHSAT_LOCUS3254 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGTCETKRSELIAFHRNLVATAGIHRRKDDEGLRKNKHFSSLDEDDEEKRTTLVCVTSGVSYLGLALVNHLLVLGYSVRITIDNPDEIEKLREMERYEESNLEIIMAKLSDVDSLVKAFEGCCGVFHTSAFTDPAGLSGYTKSMAEIEVNAAENVMEACARTPSIKRCVFTSSLAACIWQENVNSKLTHVINHDSWSNESLCINKKLWYALGKMRAEKAAWRIAKEKGLKLTTICPALITGPEFCPRNPTSTIAYLKGSQEMYSNGLLATIDVKKVAEAHECVFKEMNGNAYGRYICFDNVINVQSEGEKLAKEIRMPKEKICGDASNSSLQRFELSNKKLWRLMSRPLRCFSEY >CAK8535473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851372278:851372690:-1 gene:gene-LATHSAT_LOCUS4934 transcript:rna-LATHSAT_LOCUS4934 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLNLECLRNLEATVTTYFVVSSECKCDSNAPLITVWTYVNSSHRFYGCGMYKIQGYKKCNHFIWLDEEINHIAKEVISTLMHNLTNVKQKVKDVNFRDEEMKMEMEILKK >CAK8562303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469166716:469167264:1 gene:gene-LATHSAT_LOCUS15810 transcript:rna-LATHSAT_LOCUS15810 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQVVGASLRKQAKDNLKQFQEQNLPNVLSSFAGKLANDEKPSERRRLAELILKNTLDSKEQHKKIEFVQRWLAMDPTFKAPVKAFLLRTLSSPSPDARSTASQVIAKIAGIELPHKQWPELIGFLLSDAHQLPAPTRPATLEALGYICEEVSPDVVEQDHVIRYSLQLFKE >CAK8543897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648180947:648184322:-1 gene:gene-LATHSAT_LOCUS12620 transcript:rna-LATHSAT_LOCUS12620 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALAPEEIAKVLNLETMDKSRHWMIVGCSAFTGEGLLEGFDWLVQDIASRIYVLD >CAK8534662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753803800:753818381:1 gene:gene-LATHSAT_LOCUS4189 transcript:rna-LATHSAT_LOCUS4189 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGNMYTALRSSKLLYRSSSSHLLSAKFLMQTPFTRKMSVDARSIASQLNSSGLLRTQGLIGGKWRDAYDGKTTKVYNPATGESIADVACMGGRETNDAISSAFDAFKSWSKITAAERSKYLRKWYDLLMQHQEKLAQLITLEQGKPLKESMGEISYGAAFIEFASEEAKRIYGDIIPAPITDRRLFVLKQPVGVVGAIAPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSIQAGIPAGVVNVVMGNAPDIGDALLASQQVRKITFTGSTAVGKKLMAGSAETVKKVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRILVQEGIYDKFANALLDAVQSMKVGDGFNEGVVQGPLINEAAVKKVDSMIHDATSKGAKVILGGKRHSLGSTFYEPTIITDVNNEMRISREEAFGPVAPLLRFKTEEDAIKIANDTNAGLGSYVFTNSIQRSWRVAEALEYGLVGINEGVISTEVAPFGGVKQSGLGREGSKYGMDEYLEIKYVCLGNMNKD >CAK8534663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753803818:753818381:1 gene:gene-LATHSAT_LOCUS4189 transcript:rna-LATHSAT_LOCUS4189-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTALRSSKLLYRSSSSHLLSAKFLMQTPFTRKMSVDARSIASQLNSSGLLRTQGLIGGKWRDAYDGKTTKVYNPATGESIADVACMGGRETNDAISSAFDAFKSWSKITAAERSKYLRKWYDLLMQHQEKLAQLITLEQGKPLKESMGEISYGAAFIEFASEEAKRIYGDIIPAPITDRRLFVLKQPVGVVGAIAPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSIQAGIPAGVVNVVMGNAPDIGDALLASQQVRKITFTGSTAVGKKLMAGSAETVKKVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRILVQEGIYDKFANALLDAVQSMKVGDGFNEGVVQGPLINEAAVKKVDSMIHDATSKGAKVILGGKRHSLGSTFYEPTIITDVNNEMRISREEAFGPVAPLLRFKTEEDAIKIANDTNAGLGSYVFTNSIQRSWRVAEALEYGLVGINEGVISTEVAPFGGVKQSGLGREGSKYGMDEYLEIKYVCLGNMNKD >CAK8538659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490860451:490863165:-1 gene:gene-LATHSAT_LOCUS7835 transcript:rna-LATHSAT_LOCUS7835 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKSLGRVKSVLMLLMVLGFFFATYNLVSMIVGHKVGSDLGSIVDGKVEFMNTKSKFHVAVTATDAAYSQWQCRIMYYWYKKAKVMPGSAMGKFTRILHSGKGDQLMNEIPTFVVDPLPDGLDRGYIVLNRPWAFVQWLEKAVIDEEYILMAEPDHIFVNPLPNLASENEPAGYPFFYIKPAENEKIMRKFYPKEKGPVTDVDPIGNSPVIIHKYLLEEIAPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGIKHTLRKDFMLQPPWDLEVGKTFIIHYTYGCDYDLKGKLTYGKIGEWRFDKRSYLMGPPPKNISLPPPGVPESVVRLVKMVNEATANIPNWDSLNRS >CAK8566031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369319708:369320426:1 gene:gene-LATHSAT_LOCUS19199 transcript:rna-LATHSAT_LOCUS19199 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVLVQLAYAAVNVLYKLAINDGMTVKVATAYRLAFGSAFTVPLALISERNKRPKLSWRVLFMAFLCSLFGGSLFQNLFYEALALTSATFASAIYNLIPAITFIMAISCG >CAK8574814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9903331:9903900:-1 gene:gene-LATHSAT_LOCUS27118 transcript:rna-LATHSAT_LOCUS27118-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQYFITLFFFFLISCHTITSTSSLENEEAKKVLDLIKKEKLSHLKFYWHSIASGNNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELSSKLVGKSQGFYVYASKEEFSLFMGMNFALIEGKYNGSSFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHFLDLKTAYAIDEYNVYVFHY >CAK8574813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9903331:9903930:-1 gene:gene-LATHSAT_LOCUS27118 transcript:rna-LATHSAT_LOCUS27118 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLTKHTAMSTQYFITLFFFFLISCHTITSTSSLENEEAKKVLDLIKKEKLSHLKFYWHSIASGNNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELSSKLVGKSQGFYVYASKEEFSLFMGMNFALIEGKYNGSSFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHFLDLKTAYAIDEYNVYVFHY >CAK8532173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214966321:214966569:1 gene:gene-LATHSAT_LOCUS1904 transcript:rna-LATHSAT_LOCUS1904 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLGDMLVKVVVFFLVQALVYLILSNSSNIFSKDIKRSNSFKPARSLSIRRMLALLSDFPPEAEPSPSSTKSPQSQATQS >CAK8530131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2277980:2280886:1 gene:gene-LATHSAT_LOCUS23 transcript:rna-LATHSAT_LOCUS23 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAQIHGLGTTSFFSLKKPSSISGNSKTLFFGQRLNSNHSSFSRAAFPKLSSKTFKKGFTLRVVSEKVVGIDLGTTNSAVAAMEGGKPTIITNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVIRDDNGNVKLDCPAIGKSFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGDFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVENSLRDAKLSIKDIDEVILVGGSTRIPAVQELVKKLIGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPGDEVERMVSEAERFSKEDKEKREAIDTKNQADSVVYQTEKQLKELGEKVPAPVKEKVEAKLGELKEAITGGSTQTIKDALAALNQEVMQLGQSLYNQPGAAGQAGPTPPGSESGPSESSGKGPEGDVIDADFTDSK >CAK8577182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543282462:543292102:1 gene:gene-LATHSAT_LOCUS29311 transcript:rna-LATHSAT_LOCUS29311 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDAGWDFYLRTLSTSARDSNTANDPASDPSLLQSVKKLHELCKAENSEDLVARVYPQINKIFQRAVASLSQSQTSNGLLLLEILQFYLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEAMLEFLIINKKRILTSFPNLMPQFFPLLLKLMAWNGERLEKQILKAFPGLMSPGSFIPLFPSLLDLPILVVALEKVEKNSGPLIGSSIASIQKNTAPKMLLALMDEAYTGSTIEDGGGDFESEDSSAIDVADPLFLEILKDENDGIAERPWSSSVMTTILQTAVNSAYSDRLKAVLRLTPRLLDVYFSIALRDVNDSLICALLPLLMSRFATIFPDKIFSYEVHKRLLEFLLSTFQRYPNFIALLKKPIMDRLGEAYDSPDKTELALQLCWAIGEHGGGGGSHKDEARELFESLELLLYENLSSSRLGMAQELSLSSDKDTYRRSSQSRLMCFVVTAIAKLATYHRELLPRARVSLGKVARSRISDMRVWSRACDFLGLMKDPAICSSILGPSRSSQGTTQKIGSINWSEGATKMTAHIPFYILGEQEGPPFHDFSISDILSRR >CAK8578478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631643396:631644727:1 gene:gene-LATHSAT_LOCUS30485 transcript:rna-LATHSAT_LOCUS30485 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVSDGSLAKMRTREKKFKPIACYVNSVHHLCDPVVYPWQWSWKDVSTKVQNMRHQYLLVKQKVKKPESSGGDCDGSEFDWMEGVTHWSNFLRYKEVFGDVALVVGGHVHGGNGELMGLADGDRDGERGNGFLGGGGGGVDEGMDMVEFGQMGHSGDGDGDFAAAMDGVDNEVIGLGFGYDAEEGEVNFNGNGRTREDAENGYVYEEGEVTGSNLKKKRKVVKGMEKKVWRILANQLGHLREMEVRFERREVDREHERQRRENLRGEWEKRFEEREKEREKERENLRRQRMSEWEAIEKENEEKERKRREEELIHDMEREERMNCRRLEWKKRIDDMLNQHRAEMGQVQTRILHEQQNLSSQLLGIFSQWTTQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHEDTRVEGDNQEDQFIVDG >CAK8577416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562604716:562605123:-1 gene:gene-LATHSAT_LOCUS29529 transcript:rna-LATHSAT_LOCUS29529 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKNIFIKKNDAKSIVVKCMEGCNFYMRLSKRVGNQFWQVVSLIDEHSCCRTPKNRQAKTGWLAKKFTNVLRHSPNMKPVGLIAESFDRWEVKLSYVQAYRAKRRSMDMIQGAGIDQYSHLRSYVEELLIQPQ >CAK8563636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621487325:621487639:1 gene:gene-LATHSAT_LOCUS17028 transcript:rna-LATHSAT_LOCUS17028 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERVTKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYELDEISRGREIEQALSRHGCSPSVPTVFIGGELVGGANQVMSLHLNRTLIPMLRKAGALWV >CAK8563637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621487331:621487639:1 gene:gene-LATHSAT_LOCUS17028 transcript:rna-LATHSAT_LOCUS17028-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYELDEISRGREIEQALSRHGCSPSVPTVFIGGELVGGANQVMSLHLNRTLIPMLRKAGALWV >CAK8578725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649887705:649887923:1 gene:gene-LATHSAT_LOCUS30711 transcript:rna-LATHSAT_LOCUS30711-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVASIGRDSLFIPTPEGYARAAIRKIGYEPRCTPYWAHSIQWAFARFIPDQLLDYWRMSIGLRRRNRKD >CAK8578724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649876818:649877441:1 gene:gene-LATHSAT_LOCUS30711 transcript:rna-LATHSAT_LOCUS30711-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLEALFIFFPFLLGLTLTLNHLTKLITWIFKTCLRSEKNLLKTYGSWALVTGATDGIGKALSHQLAQRGLNLILVSRNSKKLETVRNEIQTKHSHVQIKTVTIDFSGEISAGLVEIEALARVLDLGVVINNVGITYPKAMYFHEVEEETWMKMVRVNIESTTRITKAVLGGMIERKKGTIVNIGSGAAVVVPSHPLFTIYAATKA >CAK8578723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649876818:649887923:1 gene:gene-LATHSAT_LOCUS30711 transcript:rna-LATHSAT_LOCUS30711 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLEALFIFFPFLLGLTLTLNHLTKLITWIFKTCLRSEKNLLKTYGSWALVTGATDGIGKALSHQLAQRGLNLILVSRNSKKLETVRNEIQTKHSHVQIKTVTIDFSGEISAGLVEIEALARVLDLGVVINNVGITYPKAMYFHEVEEETWMKMVRVNIESTTRITKAVLGGMIERKKGTIVNIGSGAAVVVPSHPLFTIYAATKAYVDQFSRSLHMEYKQYGIHVQCQVPLYVATKMVSRVASIGRDSLFIPTPEGYARAAIRKIGYEPRCTPYWAHSIQWAFARFIPDQLLDYWRMSIGLRRRNRKD >CAK8535302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836326305:836326731:1 gene:gene-LATHSAT_LOCUS4772 transcript:rna-LATHSAT_LOCUS4772 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGKDGRIPDCGLGWGESFRMAEKENTGASASARQPVGYSGEPYDTSLLVKYEHHIARHIWFGEERGTKKELKVAGHRLKLIKMVPLYLPGFRICEEMASRDIFISHDVW >CAK8575069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26346953:26348628:1 gene:gene-LATHSAT_LOCUS27360 transcript:rna-LATHSAT_LOCUS27360 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMMSIIARRGFKQTLDKATRLIDASPSLLQEKANYKGETARAVMGGAIATSTLLGVPLGHNGSYHEGPTFAPPLVREAIWNDSTNSTTEEGKNLVDPRVFADVGDIPIQDLRNLGVNEDKLMNFISDSVKIVMDHAPLRPLIVGGDHSISYPIVRAVSEKLGGPVDILHFDAHPDLYEDFDNNYYSHASPFARIMEGKYANRLIQVGIRSINDAGRQQVEKYGVEIHEMRHFAKDRDYLENLFSFS >CAK8571252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354807313:354811156:-1 gene:gene-LATHSAT_LOCUS23930 transcript:rna-LATHSAT_LOCUS23930 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTSSSYSHSSIIPTLNSTSHYSLKPPTSLKSTTSKHLRSIGIYHHHHHTSSTTYVSKSKKQHRPISVRAGSDVGAAGSDRPFVDKVLDLKDAFWRFLRPHTIRGTALGSAALVSRALIENSNMIKWSLLLKAFSGLFALICGNGYIVGINQIYDISIDKVNKPYLPIAAGDLSVQSAWFLVIFFAAAGLLIVGLNFGPFIFSLYSFGLFLGTIYSVPPLRMKQFPVAAFLIIATVRGFLLNFGVYYATRAALGLAFEWSSSVVFITTFVTFFALVIAITKDLPDVEGDRRYQISTFATKLGVRNISFLGAGILLMNYVVSVLAAIYMPQAFRQWLLIPAHTIFASSLIYQVRILEKANYTKEAISEFYRFIWNLFYAEYALFPFI >CAK8563214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583305396:583306642:-1 gene:gene-LATHSAT_LOCUS16647 transcript:rna-LATHSAT_LOCUS16647 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHQFESKADAGASKTYPQQAGTIRKSGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDSLLTQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPKN >CAK8570011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20600342:20603442:-1 gene:gene-LATHSAT_LOCUS22802 transcript:rna-LATHSAT_LOCUS22802 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVMATSSAAPFDYEILDKDPDIPRTAVVQSNRTNPWIEPETLKLQHRIGRGPFGDVWLATLHQSTEDYDEHHEVAAKMLHPVREDHVKIVLEKFNDLYFKCQGVSSVSWIHGVSMINGRVCIIMKLYEGSIGDKMARLREGWISLCDVLRYGINLAQDILELHSKGILVLNLKPCNVLLNDNDQAILGDVGIPNLLLGSSFVSSDIAQRLGTPNYMAPEQWKPEVGGPISIETDSWGFGCTIVEMLTGNQPWYGCPVGGIYGSVVEKHEKPLIPSGLPSPIENILSGCFEYDLRNRPLMVDILHVFKSSLSKLGNDGGWRYQGNMKVIAKSGSTDYTEWFLSKDHLQVGDMVRSRKSPNSCKAQNMDVPEGTVVGLERTADHGFVLVRVHGIHDPIRIHASTLERVTDGLAAGDWVRVKDKNQKHSPVGILHTINRDDSRAAVGFIGLQTLWKGNPSDLEMSESFCVGQFVRLKENILSPRFEWRRKRGGTSATGRISWILPNGCLVIKFPGLLTFGNESNTFLADPSEVEVVDFKACPGIIEKYQHVEDHHWAVRPVLVALGILTALKLGILAGNKVRRCKKFNAIIESKNHHLEGQNTNSPTRNIISHGNTAWVPSVSNLLFKDGA >CAK8573923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:647724702:647733678:1 gene:gene-LATHSAT_LOCUS26317 transcript:rna-LATHSAT_LOCUS26317 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFDEYEYLEKTVDNSELKNKNLISNGGEKNLKSDDRVRSRSSKHKDSEKDNDDDDVDNRFKHLKSGDGSRGYDRQKERGSSRRRSGSRDGDKENDQRRSSQGNGDRKRDRERESESKYRRERDRDQEVERERRSRGSEGEREREHSRRSKSISERNRGDVDKRTRETSRDRGMKRDTKDYRDRGMGRVSKEPDREKLENRRHKEKKEDAAEPETDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDSMSVPMAIALSGQPILRQPVMVKPSEAEKNLVQSTASVANGPSGNLGPYSGGARRLYVGNLHSSITEADLHRVFEAFGQVELVQLPLDEIGHCKGFGFVQFARLEDAKNAQSLNSQLEIGGRTIKVSAVTDQSGMQEFGGNTGDIDDDEGGGLSLNASSRALLMQRLDHSGTASSMVGFLGSSVVNNTGLNLHATGNIPPVAGLPGGGLQIPMLTSPSIDTIGVPSECLLLKNMFDPENEKEPDFDLDVKEDVEAECSKFGNLKHIYVDKKSAGYVYLRFEDTQSSTGAQRALHGRWFAGKMITASFMVPQSYEDRFPDSK >CAK8538817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496956449:496957582:-1 gene:gene-LATHSAT_LOCUS7973 transcript:rna-LATHSAT_LOCUS7973 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVAVCYVVGFLGLLSAATAFAAEATRIRGYQIQFISPNQCMYPRSPALPLGLTAALSLMISQILVNLSTGCICCRRNLRIPDASWTVALACFVLSWQ >CAK8567585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527010155:527010820:-1 gene:gene-LATHSAT_LOCUS20626 transcript:rna-LATHSAT_LOCUS20626 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKKDATESIGNTPLVHLNNITEGCVARITDKLEYLQSCCSVKDRISLSMIEDAENKGLITPGKTVLVEPTSGNTGIGLASIVALRGYKLLVTIPSYASLERKIILRAFGADVYLTDPAKGVDGVFEKADELLAKTPNSFILNQFENPANPKSKLGSLLLRPAVIMVRHSATFSFNQNTISPYHNVLREIMEEINKLERDMPDLRSKNGSHFGCNS >CAK8532213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221380444:221381763:1 gene:gene-LATHSAT_LOCUS1944 transcript:rna-LATHSAT_LOCUS1944 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRNVLILISTFSTNFSTISHHHTSHYRKQISLANLFQSFGFPSSNLHHFLSHTHFLFNSDLSHLRKSLSTLFSFKIPQKTLISLVHDCPSVLEPQFLHHWELAFPQLKSKDFNPSPLMIANLLRCSRKFQLNPLELSQKVEIFKGLGFSDDVTARVLEEFPSAVVMTENKIVGVIDFLVEFGVPRDEIDRVVRLYPRVLGFGIEDKLKPLIHELRGLGFSRREIKTEVLRDPGILGMEIGEFSRCLKLLQSLKCRQVIKESIFGDGLVRACFEVKLRVDCLCDHGLIRRDALKVLWKEPRLMTYELEDIEKKIEFLVQRMKYSVDCLHEVPEYLGVNFEKQIVPRYNVIEYLKGKGAIGFEIGLKDIIKPTRVRFYNLYVKPYPECEKIYGRFSGKVEVKRKHPDGLWKLFKPQKFTQTSKDVKNMKAFMDSSLV >CAK8538677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492637113:492639006:-1 gene:gene-LATHSAT_LOCUS7851 transcript:rna-LATHSAT_LOCUS7851 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEAKLGMIVDKVGQFFSGTDHLPSCDSDIIAGCEREVAEAEKQPSSDKSMQESLLRLSWALVHSKRPEDVQRGIAMLQSSLPSTVDPLQQRENLYLLAVGYYRSGDYSKSRDLVEKCLEIAPDWRQALTLDTAIQERITKDGVIGLGIAATVAATAVGLIAGGIAAAASRKR >CAK8536095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906485516:906487742:-1 gene:gene-LATHSAT_LOCUS5495 transcript:rna-LATHSAT_LOCUS5495 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTEEVKKIVGTLNEAKVPGEDVVEVVVSPPYVFLTFVKSLLRSDFHVAAQNSWVRKGGAYTGEISAEMLVNLDIPWVILGHSERRQLLNETNEFVGDKVAYALSLGRKVIACIGETLEQREAGTTLAVVSEQTKAIAEKVSNWDNVVLAYEPVWAIGTGKVATPAQAQEVHAALRKWVHDTVGAEVATSLRIIYGGSVNGGNSKELASQPDIDGFLVGGASLKPEFVDIINSATVKKN >CAK8539003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501395320:501401343:1 gene:gene-LATHSAT_LOCUS8143 transcript:rna-LATHSAT_LOCUS8143 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSNRSSSVSALLRYGGALRRDAALPLSTSYSHLVGENDSKSRWYSILGSEKSGSVDQLNLKRDLFLGKRYESTVAESSASSSPPAEKFEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPDLMKDAIDFDIRIQADKDNGIITITDTGIGMTKQELVDCLGTIAQSGTAKFLKALKDSKGAGGDNNLIGQFGVGFYSAFLVADRVVVSTKSPRSDKQYVWEGEVNASSYTISEETDQEKLIPRGTRLTLHLKRDDKGFAHPERIEKLVKNYSQFVSFPIYTWQEKGFTKEIEVDEDPTEAKTDNQDEKTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVTKEDYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILYVPPYAPSGKDDVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSDNKEDYEKFWDNFGKYLKLGCIEDRENHKRIAPLLRFFSSQSEEEFISLDEYVENMKPDQKDIYYIAADSVNSAKNTPFLEKLAEKDLEVLFLVDPIDEVAIQNIKSYKEKNFVDISKEDLDLGDKNEEKEKEIKQEYSGTIDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKSQTMGDATSLEFMRSRRVFEINPEHPIIKNLDAACKTNPDDQEALRAIDLLYDAALVSSGFTPDNPAQLGGKIYEMMGMALSGKWSSPPSQFESTQTQPHVLETVEAEVVEPTEAGSQK >CAK8544971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715363673:715364073:1 gene:gene-LATHSAT_LOCUS13608 transcript:rna-LATHSAT_LOCUS13608 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGRDGNNQIFPIAYAIVKAETRPSWEWFIYLLLEDLCEIKHRAYAFISDEQKGLVPAVQSVSEHVEQRLYVKHLYGNWKKKHPGLELKEAMWSAARAKPFQYERGQCND >CAK8577332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555865699:555867336:-1 gene:gene-LATHSAT_LOCUS29447 transcript:rna-LATHSAT_LOCUS29447 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPILALMVCLMATMVRSEDPYVYYTWNVTYGTISPLGTPQQGILINGLFPGPEINGTSNNNIVVNVFNNLDEPLLFTWSGIQQRKNSWVDGTLGTQCPIAPGTNYTYKFQVKDQIGSYFYYPTTGLQRAAGGIGGIRVFSRLLIPVPYADPADEYWVLIGDWYGKSHTTLRKFLDSGRSIGRPDGIQIMGKSNSAEPLYTMEPGKTYKYRICNVGLKDSLNFRIQGHSMKLVEMEGSHLVQNNYDSLDVHVGQCYTVLVTADKEPKHYHLVASSRFTKKVLTATAVIRYSNGVGPASPILPPAPVGWAWSLNQLRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSCSRVNGKLRYGINGVSHVDSETPLKLAEYYGVADKVFKYNIISDNPPAVINDLTLAPNVLNATFRTFIEIILENPTKTVQSYNLDGYSFFLVAVEPGRWTPEKRSSYNLLDAVSRHTVQVFPKSWAAIMLTFDNAGMWNLRSEQAENNYLGQQLYVSVLSPEFSNRDEYNLPDTQLVCGIVKDLPRPALKYN >CAK8568374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599772872:599773537:-1 gene:gene-LATHSAT_LOCUS21335 transcript:rna-LATHSAT_LOCUS21335 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHLVCGSFQHQEDLELPCSSPKSKSKRKDNPYASRGLDKFSTLLSELDQRRKKVYSQMNPRDISFVRFTYSNDNDFVPIVVKLKNNNQKKHKSEEQVKVRHVTSFSEPMDQKHVEETKQLHRLESSHLRVDVDDKFEMLKRPSFYVPVVMMLVLLMLTVFGRSFATVCTCIVWYIVPILKDSSKEKLVKKKDYVAEGLVSPRSGESDKRSGKHSHQKSW >CAK8536804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22392367:22392858:1 gene:gene-LATHSAT_LOCUS6136 transcript:rna-LATHSAT_LOCUS6136 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAKTSLALTYSPHFPSSSSHPPPQIFNFKLKPSPLSLTLRRRRIPPTVASAVEEKNQPSSKPEPEPESEPEPDSNESDLASELKKAMQERKEKEGDNFWNGVVNEIGEIEWPEFGKVLGTTGVVLSVIFGSSVVLLTVNAVLAELSDKVFAGKGIQDFFT >CAK8565088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35062026:35064197:1 gene:gene-LATHSAT_LOCUS18327 transcript:rna-LATHSAT_LOCUS18327 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPDKSKSRSTSSSSAEEGSNNIKDMKNLTVHTDDSFSNLLEFASNNDFEEFKVAIASNVSLINEVGFWYVRQKGSKQIVLEHRTPLMVAASYGSIDVLKLVLSCPEADVNLSCGNDKSTALHCAASGGSVNAVDAVKLLLSAGANVNSMDANGNRPIDVIVVPPKPGGVKTILEELLTDSDSDGSVDDCSLPLSVNSSSPRSAAPLSSTENGSPSSPVAPKFTDAAVNSAAEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHLPEELRPLYVSTGSAVPSPRSAASAPNVMDMAAAMSLFPGSPSSMSPMSPSPFAQPISPSANGISHSNNPWPQPNVPALHLPGSNIQTSRLRSSLCARDMPLEDFNNMMQDFDGQQHILNDMSCFSQPRPGAISVSRSGRSKTLTPSNLEDLFSAEVSSSPRYSDPAAASVFSPTHKSAVFNQFQQLQSSLSPINTNVMSPKNVEHPLFHQASYGVSSPGRMSPRSMEPLSPMNSRLSAFAQREKQQQHMRSLSSRELGANNPVSAVGSPVNSWSKWGSPTGKADWSVNGNDFGRSQRSTPFEHGNNGEEPDLSWVQSLVKESPPEIKEKLAVSGSIPSAELNSNPQVESIDHSVLGAWLEQMQLDQLVV >CAK8538819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496971446:496972327:-1 gene:gene-LATHSAT_LOCUS7975 transcript:rna-LATHSAT_LOCUS7975 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSYLPNVKSISFNHRRSDLQLKPTPVRMTITQQTLSPRLYWDSLQADIEAHLKCSITIKQPLEVFEPMHHLVFSAPKTTVPALCLAACELVGGQRHQAISAASALLLMEAATYTHEHLPLTDRPRPGRNHVYGPNVELLTGDGIVPFGFELLARSDDGENSERILRVMVEISRAVGSTGVIDAQYMKTMDTRSDGEKICHVEEIMRVVEKYEGWLHSCGAVCGGVLGGGSEDEIEKLRKIGFYVGMIQGMAQRGFKEGKQVNEARNLALQELKFFKDKEVQAIKSLLNI >CAK8570344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37960652:37962108:1 gene:gene-LATHSAT_LOCUS23098 transcript:rna-LATHSAT_LOCUS23098 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLDDVDKIKTYSWGSATLAHLYRSLCHNSIANTGNWTGCGVLLQSWGWSRMTNLAPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSGKVPIINFTTVEIHNSDRVKLQYGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTRPQCQPPTQPHFQPPTQPHFQPPTQPYFQPSLTQSQPYEHTPNQFTSFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQTFTPISPYDQAGYRPDIASSSQPPQNNYEGMDNPFNLDDFTDMDSSWAEVMQMLDDDTMDPTPPQRPPRNARNRGCGTGGHLNRPSRRN >CAK8542632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533954450:533957490:1 gene:gene-LATHSAT_LOCUS11456 transcript:rna-LATHSAT_LOCUS11456 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCCGLRSGSVIMETELSSSTSRGRGFGTIFGSTAKPRSLRFQTSDEDIEDLISNNAPGKTSGTVFPYVGVACFGSFLFGYHLGVVNGALEYLAKDLGIAQNTVLQGWIVSMLLAGATIGSFTGGALADKFGRTRTFQLDAIPLAIGGFLCATAQSVQTMIIGRSLAGIGIGVASSIVPLYISEISPTETRGALGSVNQIFICIGILAALVAGLPLEGNPIWWRTMFGIAVVPSILLALGMTICPESPRWLYQQGKISEAEKAIKTLYGKEIVASVMQDLAASQGSSEPEAGWFELFSSRYRKVVSIGATLFLLQQLAGINAVVYYSTSVFRSAGITSDVAASALVGASNVFGTLIASSLMDRKGRKSLLITSFSGMAASMLLLSASFSWKGLAPYSGTLAVLGTVLYVLSFSLGAGPVPSLLLPEIFASRIRAKAISLALGTHWISNFVIGLYFLSVVNKIGISTVYFGFSTVCLLAVLYIAANVVETKGRSLEEIERALTLTT >CAK8561815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:388618504:388623774:-1 gene:gene-LATHSAT_LOCUS15370 transcript:rna-LATHSAT_LOCUS15370 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKAKSDNESHVLDGDSAEAKGSGSVSGSGSESHVSMKKSVHWSPELVTESTFTSSPQGTHSNSFFNSASPSFSQPPPSFNVMETVVTVRNVLGRWSKKVGEATRKAEALAGNTWQHLKTSPSMTEAAMGRIAQGTKVLAEGGYEKIFLSTFDTVPEERLQNSFACYLSTSAGPVMGVLYISTAKIAYSSDNPISYKSEDKTEWSYYKVVIPLHELKAVIPSCNTANPAEKYIQVISVENHEFWFMGFLNYENAVGFLQDALETGKVIQSEA >CAK8562403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484764397:484766596:-1 gene:gene-LATHSAT_LOCUS15901 transcript:rna-LATHSAT_LOCUS15901 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQRPSPAAVFLTKIYDMLDDSQTDDIICWSSNGNSFVVGQQIEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIASDKWEFANENFKRGQRELLPAIKRQKSQSYVAIRPVGVHRSSASNPSPENMSSTSTGSELMERNIQISHLTSENENLKKENEELKSQLALAKKKCDELVALVHDNVNVRDDEINCIIQQGIGGSSHDAARSDDDAAGVGKCKGQEGVKLFGVWVKGGGEGRDKVSIENCDGKRQKRGHEETAGSENKDFNN >CAK8537716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422576386:422577321:-1 gene:gene-LATHSAT_LOCUS6979 transcript:rna-LATHSAT_LOCUS6979 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAFRSQSVSTQGSYSLAEKTTDDHVPVKVAQSTVTCVYQANVAGFWRNISILWCKNLMNHSLHITIDGVGGETKFSCKIDVKSWPFWSKKGYKTFEVEGNQVEVYWDLRNAKFTGGPEPSSDYYVALVSDEEVVLLLGDYKKKAYKRTKSRPALVDAILLVKKENIFGKKSFATKAKFDEKRKENEIVVDSLTNGGPNVDPEMWITIDGVILIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSGSGSGPGLFIFKPGPVEAESEKEGSAVEACENNDDASVGSYSSLNVASFEFCLVLYAYKIE >CAK8544764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704940806:704947726:-1 gene:gene-LATHSAT_LOCUS13419 transcript:rna-LATHSAT_LOCUS13419 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTFQVLHNDSNFDLEYDTDDGFEVFQFQLYSLTSVPPDHQKIYGAEPNSQISTDSDLASISDKLRLVSIDDDSQQPESSSNQFLKSDEELARLLQAEEEALMLQQYVAGEDTQQFESRVRPYVTQVLMYEDENRQEAARKSVPVEELDEKALVSLAKEGNFNPSKIERDHAFLLQLLFWFKLSFRWVNSPACHGCGNETVGQGMTAPIPSETRYGASRVEIYRCTICSKMTRFPRYNDPKKLVETREGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSEFLGRWMHLDPCEAIYDKPLLYEKGWNKKLNYVIAIAKDGTYDVTKRYTRKWHEVLSRRTMLTEPSQSSILADITKECRRGFSSQLVSIIEARNMEENQQLERSLHSENDESLSLPGRRSGNEQWRKSRSEIGSDNLSSSDCPVRLCVDEHVTKIYNAFRPVLHQFIEEELTKAEAVEVLGITKGILLDLRSSPFKSRRASIDSLLNDPKFQKLLPSFHSLLDALSLEKKVSTDGRVEICLAGNPVVTSLALPVVLDALDDMVHNLKKCENYGKDMFLLPLLRLNRLHSGSVVASAEELPLGIVTSAFDGTQISKWEEPNGAKGSWFVYRTLDNKRFELIAYELMSANDAPERDPMDWILEGSNDEGVSWQVLDKQTSQFFENRFQRKTYMINSSSFPSNLFRFRFLAVKDIHSTSRLQIGSIDLYAKTS >CAK8567476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516693890:516696077:-1 gene:gene-LATHSAT_LOCUS20523 transcript:rna-LATHSAT_LOCUS20523 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCHNAFSFSPTKLFPIMLKPSFRCSSITTNSTSSYNSIVFEINRYASIIPRTYILSHCDLTANLTLAVSNVIRLEQLRGWYQKDDVVAEWKKVKNEMCLHVHCFVSGPNSFLDLAAEFRYHIFSKEMPLVLKAIQCGDSVLFHEHPELLDSIVRVYFHSSSKIYNRMECWGPLRDAMEGKRGDQLQGLINRDRPPEEWRSPMSTFQALFAFLLSNVLLVTWLICLYPDSFLS >CAK8567475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516693890:516696077:-1 gene:gene-LATHSAT_LOCUS20523 transcript:rna-LATHSAT_LOCUS20523-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCHNAFSFSPTKLFPIMLKPSFRCSSITTNSTSSYNSIVFETVRLLGPPTKFEASKLKVVLLEDQINRYASIIPRTYILSHCDLTANLTLAVSNVIRLEQLRGWYQKDDVVAEWKKVKNEMCLHVHCFVSGPNSFLDLAAEFRYHIFSKEMPLVLKAIQCGDSVLFHEHPELLDSIVRVYFHSSSKIYNRMECWGPLRDAMEGKRGDQLQGLINRDRPPEEWRSPMSTFQALFAFLLSNVLLVTWLICLYPDSFLS >CAK8560175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9648460:9649944:1 gene:gene-LATHSAT_LOCUS13873 transcript:rna-LATHSAT_LOCUS13873 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIFIFLVVLAPHVVLSRGIRRTEEITLFDQNYKVTWGANHVINQGKEVQLNMDNSSGSGFASKINYGSGFFHLKIKVPGRNSAGVVTAYYLISEGNNHDELDFEFLGNSEGKSYSLQTNVWTNGEGGREQRIQLWFDPTSNFYEYKILWNQHQIVFYVDNIPIRIYKNNSNIGVGYPTKAMQIQASLWNGENWATDGGQTKINWTYAPFKANFQGFDVSGCQSQTLIDSNCVSDHFWWNNKTFWQLDSTSQRQYEDVKVKYVTYDYCKDRQRYPTSPIECLH >CAK8534888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787125041:787126816:-1 gene:gene-LATHSAT_LOCUS4390 transcript:rna-LATHSAT_LOCUS4390 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTDSRKQARLAILELANMISVPMSLNAVVRLNVADAIWEGGSNAPLTAAEILARVIPSGGGDPENLQRMLRMLASYGVFEEHIGGGERKYSVTDVGKTLVTDEQGLSYGSYVLQHHQDALMRAWPLVHEAVVDPSKEPFERANGEGAYDYYQKKPEMNELMLKAMSGVSVPFMKALLGSYHGFQNVEKLVDVGGSGGDCLRMILQKYPNIKQGLNFDLPQVVAKAPQIPGVTHVGGDMFKYIPQADAIFMKWVLTTWTDEECKHIMSNCYKALPAGGKLIACEPVLPEDSDDSHRTRALLEGDIFVMTIYRAKGKHRTEEQFKQLAISAGFNLFKAFHVDHFYAVLEFQK >CAK8532801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:519305007:519305770:-1 gene:gene-LATHSAT_LOCUS2471 transcript:rna-LATHSAT_LOCUS2471-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRASSPSCAPNVESLLCSSTANPEDSGNNQLWGMRNDDDDDDDMCVIDDKEEFSVCDVKHKSFVPDNFESFNLMSDPSEDRGCKFDATPKLENREVSLDTDESYKSRTQFLLITMFNAPVLQVFGSPNDAEKLEFLFYN >CAK8532802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:519305007:519305770:-1 gene:gene-LATHSAT_LOCUS2471 transcript:rna-LATHSAT_LOCUS2471 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRASSPSCAPNVESLLCSSTANPEDSGNNQLWGMRNDDDDDDDMCVIDDKEEFSVCDVKHKSFVPDNFESFNLMSDPSEDRGCKFDATPKLENREVFGSPNDAEKLEFLFYN >CAK8533239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594976889:595004524:1 gene:gene-LATHSAT_LOCUS2884 transcript:rna-LATHSAT_LOCUS2884 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSHIIAWGSGEDGQLGIGTNEDKEWVCAVKALPSQRLRSVVAGSRNSLAISHDGKLFTWGWNQRGTLGHPAETKTENIPSQVKALSHVHIVQAAIGGWHCLAVDDHGRAYAWGGNEYGQCGEEPERKDDNGRPLRRDIVIPQPCAPKLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLENIRLIAAGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLAGLNLVGIAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDSDKSSKMLPQKVQLLAGQDIVQVSCGGTHSVALSRDGRIFSFGRGDHGRLGYGRKVTTGQPVEVPIDIPPPQNLSDGEAEGTWIAKIVACGGRHTLAIVEWKEDESKD >CAK8537367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:311258279:311258704:1 gene:gene-LATHSAT_LOCUS6662 transcript:rna-LATHSAT_LOCUS6662 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGAVRTEVWHVPDMSKNLISLTTLDLGGCKFVFGDGVLKVVKGALIMTKAHQTGRFYVLQGSTITSTTVVSSSMSDSYETILWHMSLGHISEKGLAMLSKRGLLSSQSTSKLEFYDFNKLCKDEGIVRHLTVKRYSETE >CAK8543907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648916042:648916503:-1 gene:gene-LATHSAT_LOCUS12630 transcript:rna-LATHSAT_LOCUS12630 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQTFFNYMQNSQNPNPQNSQIPLVPTNSAIFLPSPNNPNMYPIPQMNSNSIEFSTQIPPFSTQVPPFSTQVGTEKEERVVVKKRSREQFTREEDILLIQSWLNVSKDSIVGVDQKAESFWLRIVASYNQYRGQLREKLRGQLKCR >CAK8564017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646225321:646225578:-1 gene:gene-LATHSAT_LOCUS17365 transcript:rna-LATHSAT_LOCUS17365 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDAQAVINCINGSIFIADLDAVFVECRLLVKDFNSVILMFISRLCNLDAHHMIGIGKSLGFRTWTSHIPTLSIPPCSVFSSS >CAK8532795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:517224611:517233388:1 gene:gene-LATHSAT_LOCUS2465 transcript:rna-LATHSAT_LOCUS2465 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRVYEAWKGSNKFLFGGRLIFGPDAKSLLISLVLVIVPVIIFCIFVARHLRHGFSSYNAGYAILVVAVIFNIYVLILLFLTSARDPGIIPRNLHPPEEDFRYDSSSVSIDIGGRQTPSLQFPRTKEVMVNGLPVRVKYCDTCMLYRPPRCSHCSICNNCVQRFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSFSAFYIKVLMDHNHGTVWKAMKESPASVILMAYCFIALWFVGGLTGFHLYLIGTNQTTYENFRYRADSRMNVYNRGCINNFREVFCTKVQPSKNNFRAFVQEEVQRPPPHVATQEPESDTRGDHRSKVEDDLDIGEDLLKISQRRNIEGFDEEIRSRGSNGPYHNTSEGDSVLGSDRRAPTVRSDERHSSRRRSESWEIAPDVLANSNVTETRSYVSSRQ >CAK8567315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503056895:503057473:-1 gene:gene-LATHSAT_LOCUS20379 transcript:rna-LATHSAT_LOCUS20379 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHIVAYANFNCEIKIVQARNVEFIKSTKNLFARLYVPTGNNKRIQLNSKNVWDKSFNLDCSCPEEFLENLNQQSLVLELRQRKMWGSQLIGKGEIPWKVILQSPNMELKKWLKMDLKSGSDSKEVILTTPEMEVEIKVKVSSVAEMEKQNKRRYHNWNECGCKNEHDHNTWCNAEDCDMFALGAALEAF >CAK8571372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:392905342:392912124:-1 gene:gene-LATHSAT_LOCUS24039 transcript:rna-LATHSAT_LOCUS24039 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKGVNVQVLLRCRPFSEEELRANAPQVVTCNDYNREVSVSQNIAGKHFDRVFTFDKVFGPSAKQKDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGDSKKTKSGPNGELPAEAGVIPRAVKQIFDTLEGQNAEYSVKVTFLELYNEEITDFLAPEEISKVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATREGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVISALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKLMKTTLIKDLYGEIERLKGEVYAAREKNGIYIPKDRYIQQENEKKAMSDQIKQMNGALDSHKKQLEELQRKYDDQVLQCSHLSLKLVTTEKNLKQTSILLDNTEEELKKCQYILKEKDFIISEQRKAENALTHQAFVLRADLEKAVQDNASLFSKIGREEKLNIDNKATLNNFQVELTQQVGSLCNTVATSLSRQNEHLECVENLCHSFLGIHDKAVVDLKQKVTTLRALYTSHLEAMQNVVCLHKSSSDETFQKLSSLISSNGHSVEEFLASEATKANSILDDLQTSLSSQQGELTLFASELRHRLSVNAEQIKDISECTHEFEDKLLREVKKLENFASAADEIQTKSIAEFRKAYEEQSRSDAEKLIADMTSLVSNHICRQIDLVDTKLGDLRKNGIAGKSFLDEHVSSMGDILSVSKRKWQGICTQAEKDAKGTAEFSTAKHGYIEELIQRSINTAQSASRNTKKTHEVINEIGVKHISAAMSLIRDATDSNTQHDIEINSVRVTAVEDVEKNNDETFQRLDDMSVQERDSISDVLNVVKTHANTLETFREDHSGLATSIEEKSHEICQQQYRDYEPRGTTPTRCELEVPSKGTIESLQSLPMETLIEEFRENNSYESLDIKELKPSLIPRSPLSQVNTAKERTGH >CAK8544205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671837521:671840005:1 gene:gene-LATHSAT_LOCUS12905 transcript:rna-LATHSAT_LOCUS12905 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQILNFCNELSSFCNHLQSSTNALKQSIDRRPIPLDSASSTFVQSLNHRVSTATSGLELLESMSSTVSFEELLGHCNEMYKINQTEMLQLQDHLKTYGYVPASDIEEEDEASDDKLDSLSSFYGSLSVADSGFKNYDDDALFDESMSLKQLGLSDACLASLALEDNVPSPELEKVPNLEADSENLKAPEAPSPCLNILKSQFECLPTYMKSLASWEELLVAVDKINSNLSKKTSGCNFFGQDDIPSFDLGPKARSYLLLLVRMNHMVVELIDGLLSYRIL >CAK8565752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:321864061:321864639:1 gene:gene-LATHSAT_LOCUS18939 transcript:rna-LATHSAT_LOCUS18939 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMKDDIVPQLTMQEKSSLPLKNVAKIMRKGLPPHIKISDGAKELAEQSASKFISMVTKKATERCIKESRKILGAEDLLWAMMSLGYHNYAKGLSFYLQRYRYSNGIMPRQLVCEIPKHTLPSPPVALEPNSWMNGKSSIEIDEEVNIDEFWDELSDLGDGSLGSCSADSDPSTLFNIGAMFDESIDKMKQ >CAK8566175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387567684:387568241:1 gene:gene-LATHSAT_LOCUS19333 transcript:rna-LATHSAT_LOCUS19333 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPVAAAVIFLFALSAATVSARPCRTFIISSYSFRNPSSNTFATITEIRSISPLFTNDKPYGIFLDRPIQHQNLETQSRASHPRGPLGFSTDADFSSLRDRTKDILSVALALLFGVGCGALTAATMYLVWSVFTARHELRAAAYGEFSDDEIESPKKVGYVKIPAAEVADAPAPPAKDSV >CAK8570273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33373903:33375066:1 gene:gene-LATHSAT_LOCUS23036 transcript:rna-LATHSAT_LOCUS23036 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQHSILCKTTVHESVTKKYIKPKRNINAKNNTNNRYSIQTELPKVIRVMVTDPDATDTDSSSDELSQSIIPRRRIKQYVNKIEIETVASTVSVSRNKKRSAREMKSSRRPANVAALPGDERKFRGVRMRPWGKWAAEIRDPENRVRLWLGTFSTAEEAAKVYDAAAIKFRGKYAVTNFSTSPPVVENVEKAEGVNRTKKMKVDEIKTEISVSGEDSGDEFVNLSSPTSVLRFRPVEINESNKQAEPVFEGEPVEPVEPVIEDVPVEPTEPVGECEPVEPTEPVGECETSFFDETNEIFRQETEDVFNFPTTCDYYYSNMFDEAPMQFVHETTPVLLNQCRFSDHVEIDKTHSPSPPSLSSSAALCEGDDFLDDILLDLEPLIAL >CAK8567379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509770235:509770456:-1 gene:gene-LATHSAT_LOCUS20439 transcript:rna-LATHSAT_LOCUS20439 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGDSNQREDVEVDHDDGDESLWVPVIGMCFTCLEEVKTYYQEYALKKGFGWRIRSSKKGDDGELH >CAK8573230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594825563:594826420:1 gene:gene-LATHSAT_LOCUS25702 transcript:rna-LATHSAT_LOCUS25702 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCKVLLRGTPLLSLTLSKSNSNIQHKLLQFRRLSSKTNCSSLEPPNVSHLAKTAHISLTPTEVEEFGPKIQQVIGWFGQLQGVDLESIEPSIRADTENNLRDNTPETFDQRDAIIASLPSYEEPYIKVPKVLSVD >CAK8544944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713874168:713877519:-1 gene:gene-LATHSAT_LOCUS13584 transcript:rna-LATHSAT_LOCUS13584 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSLISGPASEAISQIIDTVSEFVYSVDNVLVKKDSFKELADYLQRIEPILKQLRKGKVSDSETFNHAIEILNREIKDAKKLVQECSKKSKVYLLVNCRTVVKRLKHNSGEISRALGLLPLATSGLSAGIVEEIEKLCDNMQEAEFKAAVSEEEILEKIEAGIQEKNFDRSYANNLLHLIADAVGITKERSELKKELEEFKNEIENEKDRAEAIQMDQIIALLERSDAASSTREKELKYFAKRNSLGTQPLEPLNSFYCQITGDVMVDPVEISSGQTFERSAIEKWFAEGHKKCPLTFITLDTLVLRPNKTLKQSIEEWKDRNTMITIASLKEKIQSGDEVGVLSCLQTLHDLCEQKDQHREWVVLENYIPVLIQILAEKNRDKRNRVLRILCMLVKDNEDAKERIANVDDAIESIVHSLGRRLAEGKLAVELLLELSKYDFLREYIGKVQGCILLLVTMSSSEDNQAARDATELLEKLSYSDQNVIQMAKANYFKHLLQRLSTGTDDVKMVMVKMLAEMESTDHNKEVLFDNGILAPLLHLVSHNDVQMKLVALKALQNLSSLKKNGLEMIRQGAARLLFGIIFQHSLPSSILCEHVAPIIMHLAESTISQDTQTPVSLLESDEEVFNLFSLVSYIQPDVRQYIIQTFYALCQSPSASYIRNKLKECPSVLVLVKLFENESLTLRASAVKLFSCLVESADEATILEHANQKCIDTLLQILKSPSDEEEIVSAMGIIRYLPRIQQITQWLLDAGALPIICNYIQQGKDKDLLKSKLVENSVGALCRFTVSTNLEWQKSATEIGIITVLVQLLESGSAPTKQLAALSLTQFSKSSNELSIPVPKRRGFWCFSAQAEAVCLVHGGVCTVESSFCLLEADAVEPLAKTLRESDPGVCETSLDALLTLIEGEKLHNGSKVLADKHVIPLIIRFLGSPSPGLQEKSLNALERIFRLHEFKQKYGASAQMPLVDLTQRGNGSVKSLAARILAHLNVLHDQSSYF >CAK8567118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489051367:489058231:1 gene:gene-LATHSAT_LOCUS20200 transcript:rna-LATHSAT_LOCUS20200 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPITPQSLFSTSFPNTSRFPHPTFQPHHSLFSPHSSNFYRKSAGLLWGRDSRTFKIHSSLTDFTVDVAIEEKKLPKGETWSVHKFGGTCVGSSVRINNVADVIINDDSERKLVVVSAMSKVTDMMYDLIHKAQSQDKSYISALDAVEEKHSLTAHELFDGHDLTTFLANLHEDIRNLKAMLHAIDIAGHATESFTDLVAGHGELWSAQILSYAIKKKGIDCKWMDTREVLIVNPTSADQVDPDYLESEQRLNKWYSRNPSKVIIATGFIASTRQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSDAVILKTLSYREASEMSYFGANVLHPRTISPVIRYGIPVIIRNIFNTSAPGTKVCHPSIMENEDKKKLINYVKGFTTIDNLALVNVEGTGMAGVPGTASTIFRAVKEVGANVIMISQASSEHSVCFAVPEKEVKAVSDALQSMFQNALYAGRISQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINILAIAQGCSEYNVSVVLKREDSIKALRAVHSRFYNTKTTIAMGIIGPGLIGSTLLDQLRDQAATLKEESNIDLRVMGIMGSKTMLLDDSGINLEKWSEIREEKGEVTDLEKFVQHVRGNHFIPNTVIVDCTADSSVASHYNDWLCKGIHVITPNKKANSGPLNEYLRLRVLQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILQIEGIFSGTLSYIFNNFKDGRVFSEVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVENLVPEQLRASASTQEFMQNLPKFDKEISKKQEDANTAGEVLRYVGVVDVANQKGSVELRRYKKDHPFAQLSGSDNIIAFTTRRYRDQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >CAK8543888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646979793:646985283:-1 gene:gene-LATHSAT_LOCUS12612 transcript:rna-LATHSAT_LOCUS12612 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESEEIKTIEQWKWSEMQGLELVSDDINSSDPYRGNLPTVTEEGVTVTVNGSEEMEEAKKDGDDGGKEKKGQSVDSVGFGELFRFADGLDYVLMTIGTVGAIVHGCSLPIFLRFFADLVNSFGSNANNLDKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALKQDIEFFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPMIAVIGGIHTTTLAKLSSKSQEALSQAGNIVEQTVVQIRVVLAFVGESRALQGYSSSLKVAQKLGYKTGLAKGMGLGATYFVVFCCYALLLWYGGFLIRHHETNGGLAIATMFAVMIGGIGLGQSAPSMAAFTKARVAAAKIFRIIDHKPGIDRNSESGLELETVTGLVELKNVDFSYPSRPEVKILNDFSLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVMLDGQDIKTLKLKWLRQQIGLVSQEPALFATTIRENILLGRPDANQVEIEEAARVANAHSFIVKLPEGYETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVIQQGSVFEIGTHDELFSKGENGVYAKLIKMQEIAHETAMNNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDASHPNYKHEKLAFKDQAGSFWRLVKMNSPEWLYALIGSIGSIVCGSLSAFFAYVLSAVLSIYYNPDHKYMIREINKYCYLLIGLSSTALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISIIVQNTALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSEAKIVRIFASNLETPLQRCFWKGQISGSGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPEFIKGGRAMRSVFDLLDRRTEIEPDDQDATPVPDRLRGEVELKHVDFSYPTRPDMPVFRDLNLRVRAGKTLALVGPSGCGKSSVIALIQRFYDPTSGRVMIDGKDIRKYNLKSLRRHISVVPQEPCLFATTIYENIAYGHDSATETEIIEAATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAVARAFLRKAELMLLDEATSALDAESERSVQEALDRASTGKTTIIVAHKLSTIRNANVIAVIDDGKVAEQGSHSQLLKNHQDGIYARMIQLQRFTPNQVIGMASGSSSST >CAK8562442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:492704840:492706096:-1 gene:gene-LATHSAT_LOCUS15937 transcript:rna-LATHSAT_LOCUS15937 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEMTITLDDVSCLFHLPIRGVFWIPQDISEALAVEWVVDYLGVSQRVAQQQVRDCRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRKGALKVDDLRPILDELTPADVIWRPFENHRVWRQFDELCLYMGCLRWGDTIAPYFPDRCMRQFGYRQYVPHPPLDSRMAGDIDVDWISYHQSVQDVICPTAPATTPYETDDGYLEWYYRVLHPRLVPPSVDATTEMSVHVYEAEPSDPIVARMSSLIHRYLQQAGAEEDDPQFADLFEALHLGRSQ >CAK8574964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18415519:18419480:1 gene:gene-LATHSAT_LOCUS27261 transcript:rna-LATHSAT_LOCUS27261 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCRIHCHLNLPFASNAARIPSAFHSHSPPNSYLFTPNKVYFSSVLRRTCGVVRSHMTMEEKPLSQNNRMLVYVPAHPLIKHWVSVLRNEQTPCPIFRNAMAELGRLLMYEASRDWLPTVSGEIQSPLGVASVEFIDPREPVAVIPILRAGLALAEHASSILPATKIYHLGMSRNEETLQPTVYLNKLPEKFAEGSKVFLVDPMLATGGTIVAALNLLKDRGVSNKQIKVISACSSPPALEKLSEQFPGLQVYTGIIDPVLNDKGFIIPGLGDAGDRSYGT >CAK8579531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706233481:706239961:1 gene:gene-LATHSAT_LOCUS31471 transcript:rna-LATHSAT_LOCUS31471 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTVATKAQILRYVTAFVIGVIHQSELRRHLIETLRRETPVNQVNLKQLNLAADALESAISYSSSSIRSSSLSLAEKLLLPLTDYPLSSFILSLILILRNRSDESAISLLQIFHSNPSFARSELAPSLYERLFYIHLFPVFRWFDEQRTLILPSTCVTTSDYSDELVAMPYAKLLSNVSGEQASKLRELEREYEEVLDENCRVLALYFKEVLMNKDEDVEVTPPLLILKSAAEDGNGGGGENKMEEMQMPVLENGRYNPMWSERDMSIEYLSSNSSSRSSQAPLYPQRVSPRVLNPPKSSKYWTTPVYLNSAPETQFSLDENSLCSSSDSEAENEEKDKNIALLEPQQSQTQEQMLAILKESMGSPDNPMADYENTLLSGSGKQTPPKDFVCPITSNIFDDPVTLETGQTYERKAIEEWFNRENITCPITRQKLQNTKLPKTNYVLKRLVASWKECNPSSVLPTCESPSKDNEEEVKTTMPSASPNSVITQATVDGVISELRSAINNLYMSEILQESEMAVLQIEKLWRGGNLGVDIHSMLSKPPIINGFMEILFNSVEPQVLQAAVFLLAEMGSKDNVVIQTLTRVDTDVECIVALFKKGLTEAVVLLYVLNPSTVTLTEMAIVESLIAVFIKKEEDLVKTCLNPRTAAVLLLAQVIGSSDEIIASSIVKTMFSEKAIEAVVGSFGAEWAEERIAAVEILLRCMQEDGTCRNTIADKAELSPILESFISATDAERFKIVEFFSELIKLNRRTFNERILHIIKEEGPFSTMHTLLIYLQTALQDQRPVMASLLLQLDLLVEPRMMSIYREEAIDTLISCLGNSDFPTTQLAAADTIMSLQGRFNSFGEPLIKEVLLKRAGIDKSPRSAVQEDHISNLFSEIETIPEEEKAADDWERKIASVLVSHEFGILFEALADGMKSRIPELRSACLISATWLVNMLTILPDTGIQGAARVCLLKQFVNKLNSAKDIEHRILSMLALNSFLQFSDGVRDLTASYAKDILKGLRELKRFSPLASEMLNVLVDENQSKTDIWRHKELIQVDCRDNGEVLSVIWSNDKIISGHTDGRIKVWTLKDNLLLLLQEIQEHTKAVTNLTISESGDRLYSGSLDRTAKIWSIGKEAIHCEQVQDMKDQIHNLVVTSSTTCFIPQGAGVKVQSLNGESKLLNSNKYVRCLAHAHGRLYCGCHDSSVQEIHLATGTISNIQSGSKKLLGKANPIHALKIHGELIYAAGSSVDGTAIKIWNNSNYSMVGSLQTGSEVRAMAVSSELIYLGCKGGVVEIWDKKKHIRVETLQLGTNCKVNCMSLDSNEEILVIGTSDGQIQVINVLLEF >CAK8562215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457203906:457204157:-1 gene:gene-LATHSAT_LOCUS15732 transcript:rna-LATHSAT_LOCUS15732 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMVDSLSSAYEDFVAAATTFLEAKETSGDLKDAATETALRNFKQKWELFKVECDQAEKFVFSVKKKRRAECYLHSRTKVNI >CAK8563219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583715966:583719099:1 gene:gene-LATHSAT_LOCUS16652 transcript:rna-LATHSAT_LOCUS16652 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGANVQCNDNFPRYYSTRELIFDSEGSTWTSSNVNNELRTDFCHMGPFPLSSPSTLLGYNQELVKQTILKHEAIFKDQIRELHRVYQKQRELMDEIKRSELQKQNVRLEASWSSSALSSKNAENKFCTPNLPWSASQSSALFAESIRLPPVFTQEKNRHIFPAHASTVTEESLKDYKLPESKCKKIGKKLLDLQLPADEYIDSDEGEENVPFKLDLNVPCRLEVEPAAMSNDAEGPAHHMNNCLYDLSLRTKFGSQNLRVDVINKRHDLEGSSHNQLPENEKKCEWKSSGLVGGLFDSFAKGINTEKQPVSVDSLSKNMEQFDDLSCFHSSHQINRGPWTKRKFCSSESSAQTQCPTSNGLIKAMGLPCLEESKTSTHIESVNLNPYDTGVIRGLRSREIGESNLGTEKALAFHSIGKPCMSSGPHSLHDFATEFFQNQSKNQRIEEVEKGCISVVKSPCIDVPNSGELIPSGEHLTKNEKEQEFLAGIIDLNSSMIEDENMPIDVDFHAPTSPENKECSPPRGESDENQLVTPFQFTKHEDHHVQEEQTRIAAEALVSISGFVAQKDIQMTTCSSSELFMNNPLNWFAAIVSTTVDHLENDYETDFNDKVNGLKEFLSDEMDYFEFMTLNLTEKEDLDCCCKSIDQTEQIGGSISPTQPRKSVRTNRGRWRKDFQSEILPSIASLSRYEVTEDLLTIGSLVSAGTHFETCSQRNAHIHVPSRGRRRSCTSTSNTKDTDLLNLKQITSITKLGIEKRGLISWGKTCKKRRGKRFRITKPWFI >CAK8561808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386774487:386775344:-1 gene:gene-LATHSAT_LOCUS15363 transcript:rna-LATHSAT_LOCUS15363 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVLNPFKFLQFKTSFFRIIPKPLISFQPQSTSLTKKSIMIKARANARKEIAKIRNRKMQKKFNGTATHPRLSVFCSDKQLYAMLVDDQNNKCLFYGSTLQKSFRENPPCSTAEAVQRVGEALVKACVDLNINEISSYDRNGFGRGERLNAFEIAISSYGFLSR >CAK8542816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:550659172:550659848:-1 gene:gene-LATHSAT_LOCUS11620 transcript:rna-LATHSAT_LOCUS11620 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIPHHNKLEPISTNSDSLHKTYPRDMLLDIPFGFDFQSDLNKSYVIRLNKFISEQGTREDILRRCSSFLVSTIVFLGLFYNIWSSLFPLQKQHIHRLSIWPSLLGLFGFLVFVCAVAVMILVRAVFTPMQKAMLIILLVWMHIQSANSILEVCLILLAGLFMAWYAFVKKESPNDESDVKSSNMLMSCQLKQ >CAK8541804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:402529693:402530139:1 gene:gene-LATHSAT_LOCUS10699 transcript:rna-LATHSAT_LOCUS10699 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLIGIGTETPPGFRPPLASTTVGFGTTKKQRSSLLPSPSPSIPGTQTIFIKTFGCSHNQSDSEYMAGQLSAFGYFLSDNPDEADLWLINTCTVKSPSQYAMDTIITKGKSSNKPLVFAGCVPQGSRDAKELEGVATAKIGAD >CAK8541832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:411363776:411364498:-1 gene:gene-LATHSAT_LOCUS10726 transcript:rna-LATHSAT_LOCUS10726 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLVDHNGRHVSMRCISDPTPLPTPLAITVVDHYLPHDTHNTYAITLDSTVTIQTLLTSCPSLVESWILETQTLSLPSPTTIGLDIEWRPNSQRGQSNPAATLQLCVNNRCLIFQIIHSPHIPTSLLTFMANPNNRFVGVGIEADVEKLIEDYNISVANYVDLRNLAAEVLEDRAMLMFGIKKLAERVLGKIVEKPQRITRSRWDNPWLNVDQVKYAAIDAYISFEIGRRLYSNQVIE >CAK8573798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637998768:638008979:1 gene:gene-LATHSAT_LOCUS26203 transcript:rna-LATHSAT_LOCUS26203 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENSHSDVLSEENGAKEVPSHVEGIAELSLSPQKEEDDGKEVTKKKKKKSKSKKKKGPIEQTDPPSIPLLDLYPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMKSIIKPGMLMIDLCETLENTVRKLISEDGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHVDGHIVDCAFTVAFNPMFDPLLEASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKMYQVKSIRNLNGHSIGSYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHMPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISKGDDY >CAK8569554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4957333:4958103:1 gene:gene-LATHSAT_LOCUS22395 transcript:rna-LATHSAT_LOCUS22395-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRDTHWCYNCMKSVRLGRRHAVCSSCDGGFVQDLDDMVHGSPPDFHGVDSDEELGQRFSRQGGIEALLNGTPGVGVTRDNSGDYFIGPGVTELFEQLSANDQRGPPPASRSSIAAIPTVKIALKHLQSDPSCSVCQDDFELGSEAKQMPCKHMFHSDCIVPWLVQHNTCPVCRQELPPQGSSGNRSSSRSSGRRRNPFSFLWPFGSSNSRSNNRATE >CAK8569555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4957333:4958103:1 gene:gene-LATHSAT_LOCUS22395 transcript:rna-LATHSAT_LOCUS22395 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRDTHWCYNCMKSVRLGRRHAVCSSCDGGFVQDLDDMVHGSPPDFHGVDSDEELGQRYGLMAENIRARSDSIPENGPRFTTPLLIYGGQIPSRFSRQGGIEALLNGTPGVGVTRDNSGDYFIGPGVTELFEQLSANDQRGPPPASRSSIAAIPTVKIALKHLQSDPSCSVCQDDFELGSEAKQMPCKHMFHSDCIVPWLVQHNTCPVCRQELPPQGSSGNRSSSRSSGRRRNPFSFLWPFGSSNSRSNNRATE >CAK8539494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514143977:514147977:-1 gene:gene-LATHSAT_LOCUS8581 transcript:rna-LATHSAT_LOCUS8581 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEFRRVSENNIDAEAVAGYVSHTANSRHRRSNSASDRNLKFSRDGGVLYPIGKDEDEFIASPLRVSRIQTPLHESLKCLNKNASLNHRASLEQDVEQLQLCLQQEKSMRILLERAMGRASSTLSPGHRNFASQTKDLIAEIELLEEEVTSREQHVLSMYRSIFEQCVSQPPSEQNSGVASPAHTKHESRKHPSIISSAFCSSKNFPLRPLQALISNNDLKNRIFRSSHAPLSSGKGKVSFGRTFPDSTAKVHEKFSSIEKAPALRPLKDHLHQCPSKLSEEMVKCMATIYCWLRSAKSGNAENSRSPILSRSSTNAIQPRHGVVEDRECSCKSAVEISWIATRKRHSSHASYAMDNYRMLVEQLERVNISQMECDGKIAFWINVHNALVMHAYLAYGIPQNSLRRLALFHKAAYNVGGHTISANTIEQAIFCFRTPRLGRWLESIVSAALRKKSGEERQLINSKLSITDSQPLVIFALCTGTMSDPMLKVYSASNLREELNSAKREFLQANVVVKKSSKVFLPKLVERFSKEASISIDDILGWIIENVEKKLHDSIQKCLDRKSNKKSSQIIEWRPHSSRFRYMFSKDLIDKPWWV >CAK8573227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594799259:594800794:1 gene:gene-LATHSAT_LOCUS25699 transcript:rna-LATHSAT_LOCUS25699 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVEVVTVKPIEATPSTFQDYGQVIEPTPESQRFGPHDAQLDLTHGIPRFYIMHLENYPLKFSDITHHANVTQCLGSIGGNVWYLGVAKPSVVDSNEIKDDSGKTVVKSRSGHFYVPPAAEDIQVFKVSGPKFLKLNRGTWHVGPLFTSPAMDFYILELTNTNGVDSTTHNFKEHNGIAFSIVE >CAK8572251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524428170:524437578:-1 gene:gene-LATHSAT_LOCUS24836 transcript:rna-LATHSAT_LOCUS24836 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCYTYSYTHTYIPFKLNRFPPRTAPVRAAVNSSEKRTRKKKQPKDADTSLENSLRFSFMEELMNQARNRNSTGVSQVMYDMIAAGLSPGPRSFHGLVVSYTLNGDEQAAMDSLRRELGAGLRPIHETFVALVRLFGSKGQATRGLEILGAMEKLDYDIRQAWIILIEELVQNKHLEDANKVFLKGAKGGLRATDELYDLLIEEDCKAGDHSNALEISYEMEASGRMATTFHFNCLLSVQATCGIPEIAFTTFENMEYGEDYMKPDTETYNWVFQAYTRADSYDRVQDVTELLGMMIEDHKRIQPNVKTHALLVECFIKYGVVQEAIRHFRALKNFEGGMKVLHNEGNYGDPLSLYLRALCREGRIIDLLEALEAMAKDNQQIPPRAMISSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRSGKTPLDPDADGFKYSNPIETSFKQRCLEERRAYHIKLMKKLQYEGILALGDDVSEFNYVRVLAWLKKIVKGPEHTILKPKAASKMLVLELKEELEGQGLPTDGTRNVLYQRVQKARRINQSRGRPLWVPPIEEEEEKVDEELDALISRIKLEEGNTEYWKRRFLGEGLPGVHGNAMIEGTSESTDVQGYIDVVEDDAKEAKDDEADDDDDDDDDDDDEEEEVEPAEEEEEEVESVENKDSERIEEKEDEARTPLQMIGIQLLKDSDQPSASSEKSTTNMVEDEADEDWFPLDIFEAFKEMRNRRVFDVSDMYSLADAWGWTWERELKNKPPHRWSQEWEVELAIQVMQKVIQLGGMPTIGDCAVILRAAIKAPLPSAFLTILQTTHGLGYKFGRPLYDEIISLCLDLGELDAAIAVAADLETTGISVSDETLDRVIYTKQEIDNASNDGMDEAGL >CAK8578131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608965490:608967106:1 gene:gene-LATHSAT_LOCUS30170 transcript:rna-LATHSAT_LOCUS30170 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKLLQFFSVIILLVLHVSNSHAAPSNESTYVTFVQCLKSRTIPQDQVSNIVFAQTNASYTSIFRAFARNGRFKFNTPSNVTKPLLIITPMHENQVQATILCSKTIGLHLKIRSGGHDFEGISYISNSPFIMLDMFNFQNVTVDIQNEIAVIQAGASLGQLYYRIWEKSKVHGFPGGVCPTVGVGGLLSGAGYGVMLRKFGLSIDHVIDAKIVDVNGRILDKKTMGEDLFWAIRGGGGASFGVILSYTVKLVSVPEIVTVFTVDKSLEKNVLDIILQWQQVAPQTDDRLFMRLLMEPVNGQKTNNVSVKALFLGGADEVVTLLGKEFPLLGLKKENCSEVSWIEAVYYWANYDDGASLEALLDRSHYTVHFSKRKSDYVKSPISKDGWKLIMKKMIENERVELDFNPYGGKMSEVGSNATAFPHRAGNLYKIQYTVKWEKPEAGLEENFLSQIRKMYSYMTPFVSKNPRSAYLNYRDLDIGTNNHGKDEYNEGVVYGKKYFGENFERLVKVKTEVDQENFFCNEQSIPTLPNKAYA >CAK8536024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899398154:899400324:-1 gene:gene-LATHSAT_LOCUS5427 transcript:rna-LATHSAT_LOCUS5427 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSQQLKQQPFQQNNNGSTHLNRQEIQTAIAKAVELRALHAALTQGNSPSPSPTSHNARFPSPSPVLHSVSHFSAQDYPVFTPSYEDEAHQNPTKSRTISESWDENVIEEGNIIESIIVQDYKEKKTSSIKVQPFGFSTNLNQESSHMCPVDDDTKSVTGSCANHINVLQTSPNEYFKSRRRNSLDDYKPLSSCNRCKPALITSEFDNTKNNRSSNIVVPLTDSHASFQTQVKSKGMISWLFPKFKKKDKNKNKNEGFGLSPNRTESEEVSQILNKDTGIMSIEMLKRELIEAHKSRDSAIIEVSEMRSSFGELKQKLEYLESYCEELKKALKQAMQARESPVRNEKLGSPFDGNGENSMMPVSEDVMVEGFLQIVSESRLSVKQFCKTLISQIDENDQTLIENLNLLLQPYKLSLNSKYSKAVLYHFEAFINQSLYQDFENSVFQRNGSSKFLDPRQDRQAQFSSFVKLRNLSWNEVMKKGTKYYSEEFSKFCDQKMSCIITSLNWLRPWPEPLLQAFFVAAKCIWLLHLLAFSFTPTLGILRVEENRVFDDCYMEDLVIIDRQRSQGPNKVKIMVMPGFYVQDKVLRCKVICRHKSKH >CAK8534260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711159914:711160312:1 gene:gene-LATHSAT_LOCUS3820 transcript:rna-LATHSAT_LOCUS3820 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLFPETNLSPTLLKPHDHQSIASKPHKSFAEALGNVCNIPFSQLLIPCVKEDIISIAIPEDEYQLGLDACKHNLHGRMIWSKGITPLTVQQIRTKLSNLLSSMDKWGITFLGKGFYEFTFSSLKDVRRV >CAK8576414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473141896:473142255:-1 gene:gene-LATHSAT_LOCUS28602 transcript:rna-LATHSAT_LOCUS28602 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGEDHPQIFPIHLNCLLKKKLAFRVKYTTFYKQCSIAMLNRDEHMYNMINEYMNPNEVTHTLYVQILMEMLS >CAK8570267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:32027092:32027399:1 gene:gene-LATHSAT_LOCUS23030 transcript:rna-LATHSAT_LOCUS23030 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVADAIDFTADGFDVEEGDPNIEIIIPPWN >CAK8567501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518942874:518944745:-1 gene:gene-LATHSAT_LOCUS20548 transcript:rna-LATHSAT_LOCUS20548 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGLFSFWISFTKFRFFLSSVLGFSNRTMKGKCNLWILVSFNVLLTTGSLISFMLVLSWIYSYAFSTHSSVIKSYEINANTSSSHLHVQSYVEALNFNVSRDACDVFDGSWVRDNSFSYPLYDAAECPFAERGFNCFANGRKDRDYTKWRWKPNNCDIPRFDARRMLEQLRGKRVVFVGDSLSRTQWESLICLLMTGVEDKSSVYEIKGNKITRQIRFLGVRFSSFDVRIDFYRSVFLVKPGRGPRFAPKRVKTTLKLDKIDDISHEWIDSDVLIFNSGHWWTKTKLFNMGWYFQVGSSLKLRMPINSAFKTALHTWASWVENSINTNRTRVFFRTFESTHWSGHNRKACEVTKKPWKRTNGKDQNPISDMIKSVVKNMNVPATVLHVTPMDAYRSDGHVGIWSENPSVPDCSHWCLPGVPDMWNEILFSYLLQKDGAS >CAK8579084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673215726:673216519:1 gene:gene-LATHSAT_LOCUS31056 transcript:rna-LATHSAT_LOCUS31056 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTEERICLCLCLFLFLHLSTSTTENPIPAPWPEQFHSVLFINRSGNLQKTDLWYDWPNGRNFNIIQYQQGVLKYDLEWNNGTSFIYTLHPFNRTCKKLHFDVGILRPNWLQGANYLGQEYADNFLCNVWEKVDFIWYYEDVLTRRPIKWIFFSGMISHVMTFEVGAVLEDEHWQAPVYCFSKSEPKPRIHNIMSSSLLDLEPADGRGRGDDAFRRTLMSEMR >CAK8536645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4017861:4021251:-1 gene:gene-LATHSAT_LOCUS5987 transcript:rna-LATHSAT_LOCUS5987 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSSNSSTNLVDQLVYPGDVVLDLSSMTNQTIKLGGGLRQDGDVISVMKAGRFRFSKPNKYWVESSQKRYVPRPEDLVLGIIVDSRSDNFLVDIKGPELAFLPVLAFEGGTRRNIPKFEVGALLYLRVVKANPGVNPELSCTDASGKAGEFGALKEGYMFECSTGLSRMLLSSPTCPVLDTLGKKLSFEIAVGLNGRVWVNASSPSTTIIVANALVNSEILSGVQQKIMAEKLLQRAQ >CAK8544184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671065325:671069562:-1 gene:gene-LATHSAT_LOCUS12885 transcript:rna-LATHSAT_LOCUS12885 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNYASGLWRTKSEQLESVAEDLNSTPGSSESIRVADGGSGSLTRKSRRASPGGRNTHIRKARSAQTSLKVELDEVNSGAALSRASSLGLSFSFTGFSVPLDEISSSKPFSDDEDIPEDIEAGIHKPKFHTEPTLPIYLKFTDVTYKVVLKGMTKSVDKDILKGITGCVNPGEVLALMGPSGSGKTSLLNLIGARLHQPTVGGSITYNDRPYSKSLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTREQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLHDIAEAGKTIVTTIHQPSSRLFHKFDKLILLGKGNLLYFGKASEAMDYFKFIGCSPLITMNPAEFLLDLANGNMSDISVPSELEDKVHIENAEAETSNGKPSAAVVQEYLVEAYETKVAATEKKKILVPIPLDEEMKSKVYSRNRQWGASWLEQYSILFTRGFKERRHDYFSWLRITQVLSTAVILGLLWWQSDASNPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERATDMYRLSAYFVARTTSDLPLDLVLPVLFLLVVYFMAGLRLSAGPFFLSILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKNVPIFISWIRYLSFNYHTYKLLLKVQYEHITPSINGIRIDSGMNEVIALIAMVFGYRLLAYFSLRWMKVQP >CAK8565290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:102075893:102077581:-1 gene:gene-LATHSAT_LOCUS18514 transcript:rna-LATHSAT_LOCUS18514 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLEKHFAFYGSYHSNPINIIIHVFLVWPILFTTLILLYFTPPIFSPSQTLHNIIPHVLIFNIGFIFTVFYALFYVALDIKAGSFVAVLTFLCWVSSSFVANSIGFELAWKIVLAAQLFCWTGQFIGHGVFEKRAPALLDNLAQAFLMAPFFVVLEILQTTIGYEPYPGFETKVKARIDANIKEWKDKQQKKLS >CAK8533309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601731139:601733695:1 gene:gene-LATHSAT_LOCUS2949 transcript:rna-LATHSAT_LOCUS2949 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGNQREHKCIVEFDGASSGNPGKSGAGAVLRSGNEVHRFSKGLGTQTNNSAEYEGLRLGLEEASKKGYDHVEVRGDSKLVCEQFAGKWKVNNPNLRELRNEALDLKSNFKSVEVQHVPRASNREADAQASRGKNLPAGQVEGDYYYD >CAK8543139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582198202:582199323:-1 gene:gene-LATHSAT_LOCUS11921 transcript:rna-LATHSAT_LOCUS11921 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKSKSQIIPTVDFSDQNMKPGTDTWLSASNVVRTSLENYGCFVANYNKLGKHLCDSVVLAMEELFSLPLETKVQMTSNTDTPFHGYLGQVPWLPLYESLAIIDPLTMLGCQKFAQIMWSQGNHGFCEVMNEYSKLLGELDRISKRMVFESYGVDMKVCDSLIESNNYLIRCMKYRTPHVDENDLGLQPHSDLTIISVVHQLNNLNGLEIKMKNGDWVGVDASPSSFVVMAGDAFKVWSNGRISSCEHRVIMSAKKTRYSTGLFSFGSKMMEIPKEFVNEEHPLCYKPTFDHYDYLRFYDKEKINETSTRIEAYFGNDLKHTTI >CAK8541890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:422607249:422608171:1 gene:gene-LATHSAT_LOCUS10779 transcript:rna-LATHSAT_LOCUS10779 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPFLRSSIAQDFDTNNNNNMTNLRKKILTTMNFRFWKYLLINWCMWTGSGAKASLNSSTSFETTSDSSTYP >CAK8570964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:198764144:198765025:1 gene:gene-LATHSAT_LOCUS23668 transcript:rna-LATHSAT_LOCUS23668 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALGVLKDLSRTSLAHLYRSLCNNAIANSGNWTDCGVLLQAWGWSRMTNLAPIQQNNFAFPYATRWSSLGMNYDNCPHFSITQYRNLIHHLGQDDFIWRPYLGLEAIHEVNRHDSTVWSAKVSIINFTTVEMHYNDRVKLQFGMLQDIPCPPKCIPDKYHTSKVSDQWEYSPWTKYAKHESREWKHRSHFILFDTVFPHERKHTIQYMNWYREVSIGFISHPRYLVDPRQ >CAK8575161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:42509368:42511503:1 gene:gene-LATHSAT_LOCUS27447 transcript:rna-LATHSAT_LOCUS27447 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENHGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLLAKSLSVWDSHNNSYDIYYAYNDDSWTCNMNCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDVDIFDGSDISDSLEIDLSDPSDTDYRYDPFADTSDISDSLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETLETSDPDYINDPDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSYPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEDDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8539030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502041455:502041652:-1 gene:gene-LATHSAT_LOCUS8168 transcript:rna-LATHSAT_LOCUS8168 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVVISLPLIFFCFVLGFGCYFFGRARGRREVFTNPQVYGMPIPPPGATLPESHSKPNFASNV >CAK8542168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:484863270:484865414:-1 gene:gene-LATHSAT_LOCUS11032 transcript:rna-LATHSAT_LOCUS11032 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIGLVFVVILGVVALDCDARGLSSPYQLGIFAANSASSELVRIPDVCALCEEYTTKALDYINENKTQSEVIDILHNTCHQLHTFERKCVSLVDYYLPLFFLEMTSVQPGDFCNKVNLCQNIANISLQFQENSCEFCEDTVSKLLDKIKDPDTELEIIETLLKVCSSLDKYASKCKRVVLEYGPLVFENAEKFLEKTDICTALHACKDSNVVGRGFLSDLLGIYYGSNIFMRMVHLLKIALF >CAK8560823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47642625:47645766:-1 gene:gene-LATHSAT_LOCUS14468 transcript:rna-LATHSAT_LOCUS14468 gene_biotype:protein_coding transcript_biotype:protein_coding MSVICGLPILECVYCLGCARWVWKKCLYTAGYESENWGLATAEEFEPIPRFCRVILAVYEDDIRNPQWAPEGGYGINPDWIILRKDYGDNQGCVTPYMIYVDHDRGEIILAVSGLNLGKESDYAVLLDNKLGQTEFHGGYVHNGLLKAAEWVFDAEWEVLRKLIAEYPTYMLTFVGHSLGAGVVALLTMLALHDRDKLGLQRNKIKCYAIAPARCMSLNLAVRYADVINSIVLQDDFLPRTTTALESVYRSLLCWPCLLCLLCLKDTCTLEEKKLRDPRRLYAPGRLYHIVERKPFRFGRFPPVVRTAVPVDGRFDHIVLSCNATSDHAILWIERESRQALDLMLEKDRHMDIPAEQKMVRQKSLAREHSQEYRAALQRAVALDIPQAYSPSSYGTFHEIDVEEDSGRSSGKSSSLSDKKQSEGWNEFVGRMFDVDDTGHMVFKKTTP >CAK8532013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191306004:191309500:-1 gene:gene-LATHSAT_LOCUS1758 transcript:rna-LATHSAT_LOCUS1758 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNLTANAIPAIISGDVNAKPLLQILEISSVVSNKNSQQQRYRVLLSDAVSSHHAMLAAQLNNLVTTGRVKSGSIVQLLDYICTLFQNRKIIMVLNMESIIPDCEIIGSPKPFVDSELPVQKALRDNTVGSSINNNNINNTYNNNGNILAAQNTGSTNVQNFRPTIQPSYKPPPVYKGRGAVMKNEAPARTIPIAALNPYQGRWAIRARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAIVDRFYDTIEVGKVYLISKGNLKPAQKNFNHLKNEWEIMLDLNSTVELCPDEDGSIPKQQFSFRPISDIENVESNAILDVIGVVTSVNPSVPILRKNGMETLRRILNLKDNSGRSVELTLWGEFCNREGQKLQEMVDSGVFPILAVKAGKVNEFSGKSIGSISTTQLFINPDFPEAQSLRAWFDQVGKDSASLSISKDITHGGPKNEIRKTVSQIKDEGLGRSDKPDWITIRATISFIKTDTFCYTACPLMIGDRQCNKKVTRSGDTRWQCDRCNQEFEECDYRYLLQAQIQDHTGLTWVTAFQEGGEEIMGYPAKELYTLKYEQEDDERFGDIVKSRLFNHYVFRLKIKEELYGEEQKVKSTVVKADKVNYSAESRYMLDLISKFGRK >CAK8574250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672259248:672260234:1 gene:gene-LATHSAT_LOCUS26610 transcript:rna-LATHSAT_LOCUS26610 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPSHPPLPASEATPYWCYHCDKHVSVETVANVPDVICGDCKNGFVESISTPSRARSPSSSSDDPYFGSQFLQVLRLIAESSREDDASPPPPPSRSPEDDFLRIELGGWNHNDDEDDDAFHTDGEDDEGSVEEVEDRPGNDDPNMDDEDMRRRRRDLLRHRIRDLATRTRSMQNRILDWAEILMGLEDNSIEFRLQGLESERYVGNPEDYVDAADYEALLQTLAESDGSGRRGAPPASKSAVEGLMTVKIASESEVVACAVCKDMLGVGDMAKRLPCGHEYHGDCIIPWLSSRNSCPVCRFELPTDDKEYEEERASGSGRGGASAV >CAK8544367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680063702:680064598:1 gene:gene-LATHSAT_LOCUS13053 transcript:rna-LATHSAT_LOCUS13053 gene_biotype:protein_coding transcript_biotype:protein_coding MSPENEHQSQTKLSEENEHQSQTKVSHEEELQLQTKMSQQESSDGNINCSSSDISYEEDSSLLSDCLLTAVKVLMNLTNDNPIGCQLIAANGGLEAMPMLIAGHFPSFSSSQSFAQIKDNSLRTEKDHLCDMLLNDHELDFLVAILGLLVNLVEKDSQNRSRLAAASVLLPSSKGLDQEVRRDVIQLLCSIFLANQGESEVAGGEDKKFELNDEAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIRESIADNLPDHNLASLVPVLDRFVEFHLSLDMISPEAHKTVSEVIESCRIR >CAK8537533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:389448016:389450771:-1 gene:gene-LATHSAT_LOCUS6822 transcript:rna-LATHSAT_LOCUS6822 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVVKEIGSGNFAVAKLVRDVFTKELFAVKFIERGQNIDEHVQREIMNHRSLKHSNIVRFKEVLLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTISKILSVQYKVPDFVRVSLECIHLLSQIFVADPEKRITIPEIKQHPWFLMNLPVELMEGGSWQTNDVNNPSQSVDEVLSIIQEARKPINIPKLGGLLSGDSMELDDYDADIEDIDTFGDFVCPPL >CAK8571662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457356850:457362377:-1 gene:gene-LATHSAT_LOCUS24303 transcript:rna-LATHSAT_LOCUS24303 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCIPQHISLHFHFSTTSPNSKIFASRDLRIRAVTSTENQASRYIPAAPIFLPEGPWKQIPGGVTAAEGFKAAGIYGGLRAAGEKPDLALVTCDVDAISAGSFTTNVVAAAPVLYCKRTLDVSNTARAVLTNAGQANAATGEAGYRDTIECVESLAKLLGLKPEEILVESTGVIGERIKKGALLNSLPLLVNSLTSSDEGADSAAVAMTTTDLVSKSVAIESLVGRTKVRVGGMAKGSGMIHPNMATMLGVVTTDAGVTSDVWRKMVRIAVNRSFNQITVDGDTSTNDTVIALASGLSGLSHISSLESDEAIQLQACLDAVMQGLAKSIAWDGEGATCLIEVIVTGANSEAEAAKVARSVASSSLVKAAIYGRDPNWGRIAAAAGYSGVSFNQNVLRVELGDILLMDGGEPKSFDRGAASSYMRKAGETHGTVRIQISVGNGPGHGQAWGCDLSYDYVKINAEYTS >CAK8572173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517144378:517145304:-1 gene:gene-LATHSAT_LOCUS24766 transcript:rna-LATHSAT_LOCUS24766 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPTILKNFLLRQAPAAVTPLPKITRFDQELTAELDTLGGAIGLTQLLDAAIATQKIALDSLVNISYRDDSDCGDVDKYLEDNVEILDVCNYFVEIIENIYNYLDKLKVVVHLVDNNCSPLKPNNVATARAMELLNSSSCKIVVEKRSSKALKNLLRQRLCHDETEMSEVMCGSKALALVCLRFLELGLSLDSKSEKLPIMKLSQPSSSSWLRLLQELTKEAEASVDEKKLQKKSSCMTELQQTVYAARELKEQMKKEKEMKCCVEKLKQKCKELEDVVDVIDERVKDLYKCLIDVRMSLLGILSQH >CAK8543643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628618295:628620202:-1 gene:gene-LATHSAT_LOCUS12389 transcript:rna-LATHSAT_LOCUS12389 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGHSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8572942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572313035:572314863:1 gene:gene-LATHSAT_LOCUS25444 transcript:rna-LATHSAT_LOCUS25444 gene_biotype:protein_coding transcript_biotype:protein_coding MNITRFRHTPHHFLPLYISRKFAMDAGKEIRYKETFDSRAKQSYAQGIIPHILHLYGSKATARDFEIYAPDASFEDPLMRAHGVKQIKSAFYSLPKVFSESKIVEYSVEENMVSPGKGEILIDNKQHYKFLGKDIDLVSLIKLSVDEGKVVRHEDWWDKKPISNRDTVKLPLLGRVAEMTRRGSMLATHVLMRFGKDPNV >CAK8569506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3598351:3598755:1 gene:gene-LATHSAT_LOCUS22351 transcript:rna-LATHSAT_LOCUS22351 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNFSFSSTNQSESGIRRRGNRCWCELESPLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWVDEAMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSLMFVFLIVVTLVATHVLE >CAK8571972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499144905:499145282:-1 gene:gene-LATHSAT_LOCUS24583 transcript:rna-LATHSAT_LOCUS24583 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNNKNIVMEDAENDGEEEKELTILNLENMKVEEHAEENYACPKFIFPKHEEIRIHRPWWKGVIAKLLDRRIIYKAMEIRLNQMWVKKGVINIIDLSNNYYSVIFSHEDDHNMAPGKWAMVYL >CAK8575934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365917899:365926844:1 gene:gene-LATHSAT_LOCUS28159 transcript:rna-LATHSAT_LOCUS28159 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVATVKDKLTYFRIKELKDVLTQLGLSKQGKKQDLVDRILSILSDEQASKMWAKKNAVGKEQVAKLVDDTYRKMQISGATDLASKGQVVSDSSNVKVKPEVDDSLQIQSAATTTTTTTTKIRCLCGSTLETEDLIKCDDARCQVWQHISCVIIPEKPMEGIPPVPDKFYCELCRLSRADPFWVSVTHPLLPVKLTTTSIPTDGSNPVQCVERTFQFTRADKDLVSKQEFDVEAWCMLLNDKVPFRMQWPQYTDLAVNGLPVRTTNRPGSQLLGANGRDDGPIITAHTKDGINKISLTVCDARIFCLGVRIVRRRNLQQILNLIPKESDGEHFEDALARVCRCVGGGNAADNADSDSDLEVVSDTFGINLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITSLMINCGEDVTEVEVKPDGSWRVKTKSESERLDLGNLGRWHSPNGSLCASTDEDIKRVETLKQVKQEGFSDGPAGLKLGIRKNRNGIWEVSKPEGTNTSSGHKLKEVFGNPEHVVIPMSSSGTISVRDGDDPSVNQGGGGNIDYSPTNGIEMDSVSLNNVDLASGYTAHNTSAQMGGAEVIILSDSEEDDILVPPPAIATNNNIIDTAGGYSMPPPGIVDPYAEDQNLGGNSCLGLFPNEDDFGMSSLWSLPSATQTGPGFQLFGSDADVSDALVHLQHGPINGTSSLNNYALAPETALGSSSLLQDSSAGRSDADLNGGLVDNPLAFAGDDPSLQIFLPTRPGESSVQNELRDQGNVSNGVCTEDWISLSLGGGAAGSNGDASTQNGSNSRHQVPARDSGTNTLADTASLLLGINDVRSDKVSRQRSGSPFTFPRQKRSVRPRLYLSIDDSDSE >CAK8541721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:366933752:366934597:-1 gene:gene-LATHSAT_LOCUS10617 transcript:rna-LATHSAT_LOCUS10617 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISPITVSIITLFLLFHPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRTDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECSDNNE >CAK8570998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:251272474:251273532:-1 gene:gene-LATHSAT_LOCUS23701 transcript:rna-LATHSAT_LOCUS23701 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFRDEQKKPLLRAKIPLSILGTPFQSGIIAGESKELTLNLSTFFQSGPSLKLAYRPNDSQNPFSLIVKTGTGSFGSPLSSSMLMSCEFNLLNRSKTGGPQPLFMLHFKPRFGDFSFKKSQSSILDVKNYAFQNGGVLGGDDASIEFVESVESPVIGAFSAGKVPSAGAIAGLFSGTEVAARTTLPIRGCAAVNFRWGVRVPADVKGESAFQKVPFLVMDKIGVEHLPVENGDLKKQKNVAGVGITPGSGYRAETCFAVKRQMDVLQAESGLLRNAVEDLWREFASARNGGGSEFERNGGKGKGFDGRKNEKKVTSDFNGYPGKSTEADASEELKMKKALRGANTVGV >CAK8533687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649840408:649840845:1 gene:gene-LATHSAT_LOCUS3291 transcript:rna-LATHSAT_LOCUS3291 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSVLNGIVSELETIRVKTDDEDRVLRFIWPLPSSYEHIKHVLIYGKETLSFEEVASNIISEERRLKDKENTSSNSVLVASGRSYVKKNNEMGVRFWKCGKLGHIKYKCPDGAALEKDSESNANNVSLAVREDDLL >CAK8576294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:446329334:446331405:-1 gene:gene-LATHSAT_LOCUS28494 transcript:rna-LATHSAT_LOCUS28494 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSVLSSIFFLLFLLVVSNNEKPRVPALFIFGDSILDVGNNNYLPTLVKANFVPYGRDFENHFPTGRFSNGKLISDFASEILGFTAYQPAYLSLYSKGKNILNGANFASAGSGYLDSTAKLYHSLSLSQQLEHYKEYQKELMKIAGRSDALSIIHGALYIVGFGSGDILLNYYINPLLRLVYTPDQFTDILVQNYADFIQNLYAQGARKIGVISVGAIGCLPAAITVFKSAYSNKCVVELNNIALSCNQKLNSTSMNLRKMLPDLNLALLDSYQPVYNLVTKPLEYGFSETRKSCFGTSFLDKTFTSSYNNKKTFVRLCPNASKYVFWDGLHHTETANKFITSELISDSISLIT >CAK8540748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18722344:18725181:-1 gene:gene-LATHSAT_LOCUS9722 transcript:rna-LATHSAT_LOCUS9722 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGLKSMKDEKNDLQKQIGCITGFFQLFDRHRIITGQRNSGYIPNSSSSGVKIAKEKQKFSTESSITSVYSSSCSSSMSSIDFNRIIKIESSSTKQIQIPKKTHSSQRSLDFYDVVKDSMRREGKGLYVKTLTKEEKKGQAYALKNQHVDSPRPMLSKKSFDEAVKVSKEPLHNLSKSRKPQWDSPRLSYDAVKSAMVHKELPRFSLDSKQGSDRRINEGNKARNVSNGSQKGYERNSASQLQELETPKRSSSVVAKLMGLEALPDSIQTCRTSSCLTDQIELNARTSTNDEYKKHQCPASPSNRRDDGSLKNVTQPSRFALESTTPWRQHDANQSSLLQDSSKGSDSDTKASKSSLSVYGEIEKRLAELEFKKSGKDLRALKQILEAMQRFTDSSSNTRSINTSLSESSKVQSPRIPQKDSESVTVESSNSTRDCKSPIVIMKPTKVTRKSNNIPSTELSVHGRLVDKQKAKGRNGKNTINCSNITVTGSPRLPKKFGFERCSRPTSASSGSTINQTHHNRPLVKLSTSSCSTHRHDFSISQDRDEHFNMTRSQRKFKHHVNVISSDFDSNRSLDTLEVLRIDQSVNINQNDAFEELRNESAKADKTVTAEQPSPVSILDAAFYKEDPPSPVKKKSNISKKLGEALSIEVDIEQNSVDQILRQIDWNDEKLVNFNNIENPDHKYISEILIASGLVTDRNSNELLHSQGTLISPKLFLALEQIKTNTTRFNIEDNAKNISIGNSPEKLRRKLIFDVVNDILAERKVKGKKLYEELCREIDDLQPRNMSIGFVHEDENSISLLSRDLKDRNTVWTNRCSEKPNIVLDIERLIFRDLITEVCER >CAK8576404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470797899:470798930:-1 gene:gene-LATHSAT_LOCUS28593 transcript:rna-LATHSAT_LOCUS28593 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTDILQAKRGLRQGDPLSPMLFVLIMEYMNRLLEKMQRDPNFNYHAKCEKFKITNLTFADDVMLFCRGDDISLQMIIRTFMDFSNSTGLIMNPNKCRIYFGGLDTVKRRSLTELSGFQEGSLPFRYLGIPLSGRKLNINHFMPLVDRIVARIHHWSSKLLSYAGRVQFVKSIASAMVQYWLQCLPMPKTVIKKIDSICRSFIWTGKDTVSRKCPVAWKHTCCPVAQGGLNLLNLQVWNNVLLLKCLWNLCNKTDSLWVKWVHIHYLKAKQIMNYETKTHNSWIICSILKQRDTMDLIRNEWEQLLNSQKFKASVFYKVLINDGTRVP >CAK8538110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464099504:464101221:-1 gene:gene-LATHSAT_LOCUS7345 transcript:rna-LATHSAT_LOCUS7345 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIIFFFMCLVASITGHRGLEIEKELKVINKTPIKTIHTKFGYIIDCVDINKQPAFDHPLLMNHKLQKKPSFLNSFKKNNGKNSSTTTTLGFHIERCPVGTIPIRRTTSDDLFRGKLYFDNILSVETPGKHVAEVTLTPSTYYGVGGTSSVYNVKVEKGQSSAAVIWVKSGSSDSTNYIGIGWHVAPELYNDDGTHLYVVWTTDNFKTGCYNLQCPGFVQTNRDAHLGGRFDKTSTQNGEMVEIEISIYQDPNTKNWWIYNSQKLLGYFPSSIFSNLNSASEVGWTGQTRAPVNAPSPPMGSGSYPDRNILHASYFTHISYQDDSRTNREPNKESTRTFSDAPNCYRAEYYGDEGSEFGYTLQFGGPGGNCGN >CAK8566979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477506327:477509922:1 gene:gene-LATHSAT_LOCUS20073 transcript:rna-LATHSAT_LOCUS20073 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKINMQVFVFSILIFLIIPTLIVAECTCDEEDEDRNKSKALRYKIAALVSIMVASAIGVCIPLLGKVIPALSPEKDIFFVIKAFAAGVILSTGFIHVLPDAFENLTSPCLKEHPWGDFPFTGFVAMCTAMGTLMIDTYATAYFQNQNSRKTPTQVKNHESTPDVEHEGHVHVHTHASHGHAHGHISSDPSSELLRHRVVSQVLELGIIVHSVIIGISLGASESPKTIRPLVGALTFHQFFEGMGLGSCITQANFKSLSITIMGLFFALTTPVGIGIGIGISSVYDENSPTALIVEGVFNAASAGILIYMALVDLLAADFMNPRMQKSGKLRLSCNVSLLLGAGAMSLIAKWA >CAK8573558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620177723:620178129:-1 gene:gene-LATHSAT_LOCUS25993 transcript:rna-LATHSAT_LOCUS25993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVSMRCQVAVMLLLAVALGTHVKIGEAQSSSCPVQLTNLNVCAPFVVPGASNTDPSADCCNALQATNRDCLCSTLRIASQLTSQCNLPSFACVLN >CAK8564801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10649443:10650787:-1 gene:gene-LATHSAT_LOCUS18066 transcript:rna-LATHSAT_LOCUS18066 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFTVVIVGGGPSGLAISALLTQNAISHVILEKEDCNVSLWRKNTYDRLNLHLASEFCSLPLMSHPFSGPTYLTKDQFLQYIDKYVDHFGIKPRYYRVVESAKYDGVRNKWIIEAKNTFQGTLEVYGAKFLVIASGENSEGFIPNVPGLEKFEGEVVHSKYYKSGSKYKSKDVLVVGCGNSGMEIAYDLHNWGANTSIVIRNPLHVVTRDMIRIGMWLVQYFPVYIVDTIITLQAKLKYGDLSKYGIHRPKDGPLYIKNVTGKSAVIDVGTIEKIKQGSIKVLPSGIKKIEMKNVIFENNMEKKFDAIVFATGYKSVANGWLKDYRYALNEKGFPKNPFPKHWKGDYGLYCAGLARKGLFGVKKDAEAIAEDIKQTLKLEN >CAK8566441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423617322:423617957:1 gene:gene-LATHSAT_LOCUS19572 transcript:rna-LATHSAT_LOCUS19572 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQLERFNPKTDATASAAIANGVNCPRQPQTPPPPTAARRTVHTLSLHHAHMVGPNQCCSVVTQNIDAPVSAVWPVVRRFDNPQGYKNFVKSCHVITGDGINVGAVREVRVVSGLPAESSTERLEILDDERHVISFSVVGGEHRLRNYRSVTTLHSVDGNRTLVIESYVVDVPQGNTKEETCVFVDTIVRCNLQSLGQIAENMIRNNV >CAK8563375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598031573:598034150:-1 gene:gene-LATHSAT_LOCUS16795 transcript:rna-LATHSAT_LOCUS16795 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWDNIPTFKMSPTQFSSSSRSDIIEVRPQFPMSNQRFEPHLASDRSHSFKRPRMSDNNPSNALMGPPPNRPTGNIFFKTRICTKFGFGSCRNGEACTFAHGAEEIRQPPPNWQELVGSRTEERLQLGGNWNDDQKIIHKMKLCKKYYNGEECPYGDKCNFLHQNPAKFRDDSWGTRESTAISIGATGFPNSFGDGSNSLVEGNRAATKPAKGTCWKTKLCLKWLNTGSCPFGDGCHFAHGDAELLVPGGGIETEAAVAITNSTKAVIPTLPTTALSSSAHDALPASVPSVTEEEKKAKKELLWKKLNKINHIYGDWIDDLPLDRPDSPREP >CAK8535813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883348838:883350462:1 gene:gene-LATHSAT_LOCUS5235 transcript:rna-LATHSAT_LOCUS5235 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMVPQARPPACVHPTEGCKSATKGQMAMLLSAFGLMSIGNGGLSCSMAFGADQVNRKDNPNTYRVLETFFSWYYAFAIIGVIIALTGIVYIQDHLGWKIGFGIPATLMLLSTLLFFLASPLYVKITKRTSLCTSFAQVTVATYKNRKFPLPPKNSTGLYHHNKNSDLVVPTDNLRFMKKACVIKDHEQDIASDGSAINPWRLCTVDQVEELKALVRVIPLWSTGIMMSLDIGGSFGLLQAKSLDRHITSHFEVPAGSFSVIMVGAIFICIVLYDRVVIPLASKIRGKPVRISPKTRMGIGLFFSFLYFVTAATFESIRRKEAIKEGYLNDPDGVLKMSAMCLAPQLCLSGIASAFNGIGQNEFFYTEFPTTMSSVSASLSGLAAAVGNLVSSFVFNTIENFTSRGGKQGWITDNINKGRFEKYYWVIAGLNALNFVYYLVCSWIYGPTVDKVSKETEENGTKEEDSTELKNVNPLFDEKVISDETRSMEKELTELKNSEENGFKEEELTKD >CAK8535814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883348922:883350462:1 gene:gene-LATHSAT_LOCUS5235 transcript:rna-LATHSAT_LOCUS5235-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSAFGLMSIGNGGLSCSMAFGADQVNRKDNPNTYRVLETFFSWYYAFAIIGVIIALTGIVYIQDHLGWKIGFGIPATLMLLSTLLFFLASPLYVKITKRTSLCTSFAQVTVATYKNRKFPLPPKNSTGLYHHNKNSDLVVPTDNLRFMKKACVIKDHEQDIASDGSAINPWRLCTVDQVEELKALVRVIPLWSTGIMMSLDIGGSFGLLQAKSLDRHITSHFEVPAGSFSVIMVGAIFICIVLYDRVVIPLASKIRGKPVRISPKTRMGIGLFFSFLYFVTAATFESIRRKEAIKEGYLNDPDGVLKMSAMCLAPQLCLSGIASAFNGIGQNEFFYTEFPTTMSSVSASLSGLAAAVGNLVSSFVFNTIENFTSRGGKQGWITDNINKGRFEKYYWVIAGLNALNFVYYLVCSWIYGPTVDKVSKETEENGTKEEDSTELKNVNPLFDEKVISDETRSMEKELTELKNSEENGFKEEELTKD >CAK8533936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672723799:672733746:-1 gene:gene-LATHSAT_LOCUS3522 transcript:rna-LATHSAT_LOCUS3522 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPATLPASVEKLVNQIRVEQSLQSPLEPTPKLRLSAIGEELALDLLKQISNSKIRNLNAFIMHMLKQPPYSLSPSIPPTPSRPSPSRLSPSSPSPSRLLAFSPSSSSSSGVLTALGELEFRKSFLLLSYAGKSIEDVVTADYVRSLKDLPMKNFENEIWEAVGKHSVHPTSDRQLYNDWDSGRTPIYQCYVSADGKLRFKGPILQHTQTHLQKSLGDDNVLLVKFADPQTAKKSKTSIQEAANYYGKFGKEGIHVGLRLYRFFVFKDGGKEEKNKDSTTSSVKCYFIRTESYCSTDERASYLLSNRTMLESRSLFMHAHMLPSIDKYMARFSLILSKTFKLNIDLATVSVQKIPDVQCQDGNGNPVSHNEKPCILTDGTGFISEDLAVCCPNNVAAGTNLNNTYIKDISNLVELEDRSKAMGETALSTHQPPLLIQCRLFHMGCAMKGTLLVNKKLPPRTIQVRPSMIKVETDPRLSNTQSLNSVEVVTTSHKPNRAYLSKNLIALLSYGGVPNEFFMDVLKSNLEDSDHIYTNKRAALRVSVNHGEMDEYNAAGMILCGIPLDEPFLQHYLSRLVKAEKNKLKGGKLYLEDCFYVMGTVDPTANHCLKENQVCIIHENGQITGDVLVYRNPGLHFGDIHIMQATHVEGLESYVGHGKYAIFFPCVGPRSVADEIAGGDFDGDMYWVSKNPQLLQYFKRSDPWKESSPSNFVTLSSSVKKPNELSAVELEEELFKLFLETRFQPSSTIGIAADSWMALMDRLLILRNDGTNEREQRQVTENILKLIDIYYDALDAPEKGGAKIQVPNDLTAERYPHYMERDRSFTSTSILGSIYDEVCRWQTTDMSGNEIRKLPCFDVEIPMHCMKKWEARYKEYRKEMSSACSDATSKDEEAAQVIKIYKQIFDDDANIEDSSKNISDIYNEALALYHVAYDYAIQVKDVAKCGFVWKVAGSVLVRFYAEKQYQKTLICNPSVLREIFGS >CAK8537911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447148747:447150214:1 gene:gene-LATHSAT_LOCUS7160 transcript:rna-LATHSAT_LOCUS7160 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIINNYGKPMSLSKLISSLPIHPSKKPCIYRLMRIMTHSGFFSQQNVTENELEIEYTLTDASRLLLKNNPKSVAPFVQAMLSPIMTNPWQQMSTWLKNEESTAFETIHGEYFWEYAAHDPILNRLFNESMACDAPLVSDLLIEKGKGVFDGLESLVDVGGGTGNLGKALAKSFPQLEYTVFDLPHVVDGLQGTDNLSYVGGDMFQEIPQAHAILLKWILHDWNDKECVSILKKCKESLEKKGKEGKVIIIDMVVDNQHTNEKFETQLFFDMLMMVMQTGKERTEKEWVKLILSAGFSDYKITPILGLRSMIEIYP >CAK8571000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:251876438:251894058:1 gene:gene-LATHSAT_LOCUS23702 transcript:rna-LATHSAT_LOCUS23702-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGQRSKVDHDYKVKRPKALEAPKDPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVSLKASKGMLDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHTPAEKISAEHHTGIQCKDIDGDIINEPQEANVEYQSNTPDHDEEYDVQSDDVSDDDEQTLEEDEALITKEERQEELAALHNEMDLPIEELLKRYAGDKGELARHESSPDGSEDGEKVVRTDGDDENGDHISISKIGTSNFGMVPGRRCDDNNGDVATPTNNLSQNEDHQFGSLKEVSSEAANESVPFDFSDEEEDGDFLFGTEDKDDETTLSEEEKLDRVDAVDPKDEIALLQKESNMSVEELLARYKKDLSDDGDQEDESDYDSASSDDHQNLPVHVDDDAEQKVPAVSVGEDMKSGEQLAAVQTQAEEQGEGPCENSEERESEDIIADAAAAARSAQPTGNTFSTTKVRTKSPFLLKYTLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKVNKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKIEHVIYCRLSKRQRNLYEDFIASSETQATLANANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMCGIDVQLSSSICSMLLPSSFSTVDLESLGLLFTHLDYSMTSWESDEVQSIETPATLIMERTDIADLEVIKPGLKCQKKQQGTNIFEEIRKAIWEERISQAKERAAAIAWWNSLRCKKRPIYSTTLRDLVTIRHPVYDIYQKANPVSYLFPSKLADIVLSPVERFQRTIDVVESFMFAIPAARASPPVCWCSKRETTVFLNPSYKQRCSDILSPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQKLAILLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKAKQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKDMPKEKNQNRGEGSVTNADVEAALKHVEDEADYMALKKVELEEAVDNQEFTEEASGRLEEDEYANEDDEPQELGESVSNLNKEDALMLNGGDPKEDKPPSVVAKEDVDMLADVNQMAEAAAAAGQALSAFESELRPIDRYAIRFLELWDPIIDKAALESEVRIEDTEWELDRIERYKEEMEAEIDEDEEPLVYESWDADFATTAYRQQVEALAQHQLMEELEYEAKLKEEAEEEKNRTQTPNDSKLKPKKKPKKAKFKSLKKGSLTSSLRTVKDELRAVPMAIDDDATTSLDFVTPSSSRHKKRKKSKLTTDGEEEKRFKKSKKYKRDPLEIYDSDLESNSIDMQDEHAESDPCKSLVVLEHKTVGRNKMGGKISITQMPVKRVFMIKSEKLKKGNIWYKDCIPSADFWLPQEDAILCAVVHEYGPNWSFVSEMLYGMTAGGAYRGRYRHPVHCCERFRELFQKYVLFSLDNANHEKINSTCSGKALKVTEDNIQMLLDVASEQANRELLLQKHFFALLSSARKVASHVGHRQNLHTTSNGLYFDQTFFTSIGQHSQYPLNKPSERTTFANSTQSKKLLAAALEDMSRPENDKTFLSNPGEGMPVSADQLDITLEFPKEESDSSSSFPSVIKLSIKGDEAPPSLNKHTRDDHLKSCFSAAENRFREVTRACEEDRSGWASSTFPTNDARSRPGSRVQSSGKQKSSISDVTKPSRGKTRRASVESSEMHRRQAEPLFPSIPLLPELALDLPSSSMNEFEFNTDRNLPFDLNEESSLERENVGVIPHDYIAELISGLDDCTTFPEYTDVR >CAK8570999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:251876438:251894058:1 gene:gene-LATHSAT_LOCUS23702 transcript:rna-LATHSAT_LOCUS23702 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGQRSKVDHDYKVKRPKALEAPKDPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVSLKASKGMLDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHTPAEKISAEHHTGIQCKDIDGDIINEPQEANVEYQSNTPDHDEEYDVQSDDVSDDDEQTLEEDEALITKEERQEELAALHNEMDLPIEELLKRYAGDKGELARHESSPDGSEDGEKVVRTDGDDGQEESVLENGDHISISKIGTSNFGMVPGRRCDDNNGDVATPTNNLSQNEDHQFGSLKEVSSEAANESVPFDFSDEEEDGDFLFGTEDKDDETTLSEEEKLDRVDAVDPKDEIALLQKESNMSVEELLARYKKDLSDDGDQEDESDYDSASSDDHQNLPVHVDDDAEQKVPAVSVGEDMKSGEQLAAVQTQAEEQGEGPCENSEERESEDIIADAAAAARSAQPTGNTFSTTKVRTKSPFLLKYTLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKVNKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKIEHVIYCRLSKRQRNLYEDFIASSETQATLANANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMCGIDVQLSSSICSMLLPSSFSTVDLESLGLLFTHLDYSMTSWESDEVQSIETPATLIMERTDIADLEVIKPGLKCQKKQQGTNIFEEIRKAIWEERISQAKERAAAIAWWNSLRCKKRPIYSTTLRDLVTIRHPVYDIYQKANPVSYLFPSKLADIVLSPVERFQRTIDVVESFMFAIPAARASPPVCWCSKRETTVFLNPSYKQRCSDILSPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQKLAILLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKAKQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKDMPKEKNQNRGEGSVTNADVEAALKHVEDEADYMALKKVELEEAVDNQEFTEEASGRLEEDEYANEDDEPQELGESVSNLNKEDALMLNGGDPKEDKPPSVVAKEDVDMLADVNQMAEAAAAAGQALSAFESELRPIDRYAIRFLELWDPIIDKAALESEVRIEDTEWELDRIERYKEEMEAEIDEDEEPLVYESWDADFATTAYRQQVEALAQHQLMEELEYEAKLKEEAEEEKNRTQTPNDSKLKPKKKPKKAKFKSLKKGSLTSSLRTVKDELRAVPMAIDDDATTSLDFVTPSSSRHKKRKKSKLTTDGEEEKRFKKSKKYKRDPLEIYDSDLESNSIDMQDEHAESDPCKSLVVLEHKTVGRNKMGGKISITQMPVKRVFMIKSEKLKKGNIWYKDCIPSADFWLPQEDAILCAVVHEYGPNWSFVSEMLYGMTAGGAYRGRYRHPVHCCERFRELFQKYVLFSLDNANHEKINSTCSGKALKVTEDNIQMLLDVASEQANRELLLQKHFFALLSSARKVASHVGHRQNLHTTSNGLYFDQTFFTSIGQHSQYPLNKPSERTTFANSTQSKKLLAAALEDMSRPENDKTFLSNPGEGMPVSADQLDITLEFPKEESDSSSSFPSVIKLSIKGDEAPPSLNKHTRDDHLKSCFSAAENRFREVTRACEEDRSGWASSTFPTNDARSRPGSRVQSSGKQKSSISDVTKPSRGKTRRASVESSEMHRRQAEPLFPSIPLLPELALDLPSSSMNEFEFNTDRNLPFDLNEESSLERENVGVIPHDYIAELISGLDDCTTFPEYTDVR >CAK8567561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525362380:525363825:-1 gene:gene-LATHSAT_LOCUS20603 transcript:rna-LATHSAT_LOCUS20603 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPNPILILICFHFLFNPHQTQTLAQSTTETCLDSVCHTNQPLIRFPFYIETKQANNTCGYPGFKLLCNNKNEKNQTLLNLPYMEELNIQKINYATQELFVNDPNNCLPKRLLSLNLSASPFHAVYYQQFTFFNCSFDLNYLTSRYKPIACLSDSSKYNIFATPSRTVLLHLSSVCDMVDTVNVPVQSPFYDHVLSSELNDDLRLSWNSPSCGRCESQGGRCGFQDNSTHEIACYNVPPRQGISYGTSYAIAICFGVPTLLCFISLLSWICSKFRFGIHGWTLASETVEDFESLLDPQHDTTILGLDKPTIESYPKIVIGDDGIHLPRPNDKTCSICLSEYMPKETVKTMPECEHCFHAQCIDEWLPLNASCPICRTSPPWLPSQNLAQS >CAK8574082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:660504487:660504933:-1 gene:gene-LATHSAT_LOCUS26459 transcript:rna-LATHSAT_LOCUS26459 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGASVNSLNNLKNPPNVEDVVSTMEKKKGKKEEHDEQKVSMVMEEKKEIKDMCEETTTIREGVISSNVMSWNNREEYMPSWLGGSSIVDEQMSWGSTWFPGWDMDFMMGEAFNSLYSDVVWGDDIWNLKNEIPIPLHGKKFELE >CAK8569634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7044454:7045782:1 gene:gene-LATHSAT_LOCUS22462 transcript:rna-LATHSAT_LOCUS22462-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETSSYKIILGSSSIARRKILSEMGYQFTLMTADIDEKSIRKETPEELVMALAEAKANAIISKLETTGNQARVHEPTLLIAADTVCCVSFSWHLCSLVKCY >CAK8569633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7044454:7046433:1 gene:gene-LATHSAT_LOCUS22462 transcript:rna-LATHSAT_LOCUS22462-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETSSYKIILGSSSIARRKILSEMGYQFTLMTADIDEKSIRKETPEELVMALAEAKAEAILQRLPVGDYLKDAEPTLLITSDQVYITFF >CAK8569632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7044454:7046433:1 gene:gene-LATHSAT_LOCUS22462 transcript:rna-LATHSAT_LOCUS22462 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETSSYKIILGSSSIARRKILSEMGYQFTLMTADIDEKSIRKETPEELVMALAEAKANAIISKLETTGNQARVHEPTLLIAADTAEAILQRLPVGDYLKDAEPTLLITSDQVYITFF >CAK8568709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633874391:633884966:-1 gene:gene-LATHSAT_LOCUS21641 transcript:rna-LATHSAT_LOCUS21641 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDRGGDKSEARFCGVETEFSDDMPEVITFNLSTGKFDFVVAPLTDPSYRPSLVPNNSFGSAAPPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETVRIDSETTLKQELAWASHLSLQACLLPTPKGSTCANYARCVNQILQDLSNMQLWLRIPLVLHADDVTSTDSNSATLVDSWETWNSFRLLCEHHSQLSVALDILSTLPSANSLGRWFGESVRAAILNTDSFLTNGRGYPCLSKRHQMLITRFFNHNIQIIISGNSGHAKASVGVDSRSGADSQRHPLRPYLEYVGHLYQKLDPLPEQERFELGYRDYLQSPLQPLMDNLEARTYETFEKDAMKYIQYQRAVSKAMLDMIPDNEASVKTLVLMVVGAGRGPLVRASLQASEETGRKLKVYAVEKNPNAVVTLHALVRLEGWEDTVTIVSCDMRYWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPGGISIPSSYTSFIQPVTASKLYNDVKAHKDISHFETAYVVKIHNAARLAPCQSVFTFTHPKPADDRESNQRYKKLHFTIPNDTGSTMVHGFAGYFDATLYKDVHLGIEPLTATPNMFSWFSIFFPLRTPICVKPGSKLEVDFWRCCGPKKVWYEWCVTSPSPSPIHNSNGRSYWVGL >CAK8544638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696732159:696733493:-1 gene:gene-LATHSAT_LOCUS13296 transcript:rna-LATHSAT_LOCUS13296 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTETRPELVVLHDGPPPEEQQLQSLMCKCCVLKVSIHCQGCMRKVKKVLQSIDGVYQTTIDLKQQKVVVMGTVDTDTLIKILAQTGKRAELWPDTEPIKKKKKKKKKKKKPKPENNQNTDHKQSDGDSSEEGNQTDGNENEAVKVVVQDTAPRNSANEGCGGGGGGGGGSGGGGWPSGRGSVQFQELKPEVRHVMNFPGGNGNQLPVAEKRVTVAVENGAGNDGGYMRNRTFYRGQKGNSEGLNVTFEQPLSGDWNQNQMQGNGPYCNGPGPGSGPGHVSFMGPPNESSPRNQYPSHYHGHAPASPTAVCGGSSFHTGYTPSMRYGASYCTTSSQPYSYTYAHQSNDSDPESYAYTTPSRRSHSFELFSDENPNACSVM >CAK8534146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698911666:698912238:-1 gene:gene-LATHSAT_LOCUS3713 transcript:rna-LATHSAT_LOCUS3713 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSNIPENAGNGRINFDSSMLASEDLLDLCLFRYLLTNKPIRFNAMKDMLSQLWQPGYSYFKDGGEQFLVQYFHFWDMERAYQGGRWLIKNYMLVLRELKFGEEPLTVRGHGKSVSRRALMNEAEIWIQIHQLSFGFICENVAILIRNHMGKFISYNEQNNYGTWIKYMRIRVAINVQELLKGAGPLIE >CAK8544204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671799884:671800474:-1 gene:gene-LATHSAT_LOCUS12904 transcript:rna-LATHSAT_LOCUS12904 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTTCALKVDTNSKQWSEIISKILEKIKDLSYNFNAKKGVIYISGTMDSPKIMKMINKHENTVKLCWMECVKPYPPMHMPMPMPMYSAGYIPYQTGFYPLPHATPMPYYQNQYNHCDPMYGHQQHGYHPQLPYYY >CAK8570140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25515962:25518081:-1 gene:gene-LATHSAT_LOCUS22918 transcript:rna-LATHSAT_LOCUS22918 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHFFHIITFFMVVILATNAETLPPQLYWKFILPNTPMPKAITNLLTNSPMPKIITNLQLPGVDDASKKGYDDGGAADVKSEESPNPLFYHRYATKENDVKSEESPNPLFYHRYAAEENDEKSEESPNPLFYHRYAAKDTDVKSEESPNPFFYHRYAAKEADVKSEESPNPLFYHRYAAKDTDVKSKESPNPLFYHRYAAKEADVKSEESPLHPFFYHRYAAKENDVKSEESPNPLFYHRYAARETGVKPEESRNPLFYHRYVAKETDDNSKESPNPLFYHRYAAKETGVKSEESPNPLFYHRYAAKETDVNSEESPNPLFYHRYAAKETDVNSEESPNPLFYHRYAAKDNGAKSEESPNPLFYHRYAAKETDAKSEESPNPLFYRSYAGSETQLHAKPNATIFFFEKDLFHGKKLFVKFVRTTSNNEEIFLPREIANSIPFSSNKMEYILNKLNIEKGSKGARIVKNTISDCEMEGVKGEEKLCVTSLESMIDFITSKLGKNVEAFSTEINKESVFQHYTIAEGVKKLGDKNKVAVCHKVSYPYVVFYCHETNTTKAYSVPLEGADGSRVKAIAVCHTDTSEWNPNHLAFQVLKVKPGTVPVCHLLPQDHVIWISK >CAK8576765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511963781:511964734:-1 gene:gene-LATHSAT_LOCUS28933 transcript:rna-LATHSAT_LOCUS28933 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVSRQIEKRKAIQAEEKTLSDLKSLGENYPGSDYYPSDRKNWMSNLNHHKLKINMIVWPGTHDSATNKIGIPGITRPFAQCQTLSIYNQLVRGTRVFDIRVQENQRVCHGIIVTYSIDIVIRDVKKFLSETESEIIILEVRTEFGHNDPPEFNKYLEENLGQYLIHQDDAVFDKTIAEVLPKRVICVWKPRKSIQPKMGSSLWSEGYLRDNWINTDLPLTKFESNMKYLSEQERVVSRKYFYRVENTVTPVPDNPIVCVKPVTERIHGYARLFISQCFSKGCCDRLQIFSTDFIDLDFVDACIGLTHARVEGKA >CAK8561043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:81229487:81231937:-1 gene:gene-LATHSAT_LOCUS14669 transcript:rna-LATHSAT_LOCUS14669 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACCVAARDKTIQSGPTSEIWHRNVRCSPTWSLRWDHRGRVAGEDTTINWFSDGVSSNDRLENKNESHISEDGSPSQNNQRNRWLKSPISEGAARHTKSFSDQSIPRNVSMDGRMEQVKELEDLSAVSCPFPTKASPTLSSTSLSISPLPSQSRPPPSSSTPLRRPSHSTGQQLSRQVSDSRIMGFKSPSNFDVSEERPVFPSWSNEYGMDSGGGSSDYCSRPGFTELTGNSPIERWSYDSESFGFNCERLARFSNRFSTSPVDLQTCGVCSNLLTEKSSWSSQKIIVNNDLCVVSVLICGHVYHAECLESMTPEINKYDPACPVCTFGEKQARKLFEKTLKAEMDSKARNKKSRNQIVDNDIDGGSAVFDQFKDKKRQSKSPRMDSSSSKRSSSGKPFLSRHFSFGSKGSKSMLDNHPTRKKGFFWAKSSRE >CAK8538553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487052845:487054143:-1 gene:gene-LATHSAT_LOCUS7739 transcript:rna-LATHSAT_LOCUS7739 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQFWAYHRENAQNHGRTRRGDERNIQSERTNQIPIFNQSQNSRFIIPRNNQSEHENQIPIIHQSHNNCFPTPHNNQISTVHLSQNSHFTTPHNNQSDCDNQIPIIHQPQNSRFTTLPNNQSECDTQIPIIHQSQNILLTTRRNNQSERDNQIPIIHQSQNNLFTTPQSILQRSQYRTASLNNFPYVTVVDQQPYMNVVNTQPHIITPYHANSIQQQPHMSVVNQQPYAGPRKINHYRANSIQNSSPNGVAFQGFTRDFSNPPRPNFHNPKNYRFLPYGEGSSRMNNSFACPRLSVNLNRLNVTKDDVIPITTPSTRHIIKMPPIIYPSTNPPRLSITSPPSCGITQKKDSDPTHDKEPKSYEFDIRDTEAWKRKGKSFVSPDDCYEPVANQEKAVLIFKDEKNVIPSCSIIKTNETNEKDEENLDLSLHL >CAK8536891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:34314538:34317042:-1 gene:gene-LATHSAT_LOCUS6217 transcript:rna-LATHSAT_LOCUS6217 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSRGEEIETLRKVSRKCYLEKREEKKLQQLRDDIFDEQYLFEGVKLSEYEHRDFEHKKYIYEVIANKVGLDAAGKDEYRLPEPYDDLHQIGINQEKRFSVAMQRYTDPNSEKQVPWEESQIKKATFSFGSKNKSTNDDDNYQFEFEDQIGFIKASLMDGDDFEQKIALEKSRAKSSVLEALQQERKNLPIYSFRDELLRSVRDHQIIVIVGETGSGKTTQIPQYLHEAGYTKDGRMIACTQPRRVAAMSVAARVSEEMGVKLGHEVGYSIRFEDCTSKKTVLKYMTDGMLLREFLVQPQLETYSVIMVDEAHERTLSTDLLFGLLKDLALARPDLKLLISSATLDADKFSTFFDYAPKFNIPGRRYPVEIYFTQAPEANYLDAAVVTTLQIHATQPSGDILVFLTGQEEIETVEEILKYRMRAFEAKIGELIICPIYANLPTELQAKIFEPTPKGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPKTGMESLLITPISKAAANQRAGRSGRTSLGKCYRLYTASAFENELDDNTPPEIQRTSLANVLLTMYSLGIDCEKLMHFEFMDPPPVDSIIKAMELLYNLGASNKHGKLTKVGRRMAEFPLDPMLSKMIVASEKFKCSDEIITIAAMLSTGNSIFYRPKDKKVHADNARMNFHTGDVGDHIANLKIYNSWKEASYSKQWCYENYIQIRSMKRARDIRDQLAGLLEKVEIELTSNSNDLDAIKKSIASGFFPHTARLQKHGSYRLVKGQQTVHIHPSSGLVEILPKLVLYHELALTTKEYMRQITEIKPDWLLEIAPHFYNPMDLQDLSSKKMPRGCGRVCL >CAK8530366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16924631:16927719:1 gene:gene-LATHSAT_LOCUS234 transcript:rna-LATHSAT_LOCUS234 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALLRSFRRRDVASSTYSAFRSLTNGSTKPAYVSHSWSSLSRPFSSRPAGNDVIGIDLGTTNSCVSVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGIKRLIGRRFDDAQTQKEMKMVPFKIVKAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAESYLGKTVSKAVVTVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKRTESIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNNLIERTRAPCQSCLKDADISVKDIDEVLLVGGMTRVPKVQEVVSAIFGKPPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKMLGEFELVGIPPSPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSDDEIDKMVKEAELHAQKDQERKALIDIKNSADTSIYSIEKSLGEYREKIPSEVAKEIEDAISDLRSAMSGENIDEIKSKLDAANKAVSKIGEHMSGGSSGGPSAGGSQSGDQAPEAEYEEVKK >CAK8534014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681755449:681757346:1 gene:gene-LATHSAT_LOCUS3590 transcript:rna-LATHSAT_LOCUS3590 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVLAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPRYLQLYICDTDHELQNRMRENPILNQAIVYKLQKIHHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSASQVAAIVIGGGDEDTIEHGRDINVINCDGNLTKVQERIGYYDPLQYPILFSFGIHGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSGRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNAYNVGQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPDIFLTMTCNPSWIEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKGVLGRVRSYMYVTEFQKRGLPHVHMLLILDTDDKLREPEEYDSVVKAEIPQHESEPELYEAVLKHMIHGPCGVLNQKSPCMKNGHCKKRYLKEFCEETRQGNDSYPEYRRRFSDPIFLNRNNSIDNRWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYKYVYKLFVATVLSIVQQIVYQ >CAK8569295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692497539:692498387:-1 gene:gene-LATHSAT_LOCUS22165 transcript:rna-LATHSAT_LOCUS22165-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPASFLAPFQFEISYECLAALKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPTKIREEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPTKVLTDRVQRNILSDKPRVTKFPINFHPENTEIEEQPVPSDQQPETVEDPQAIGECDPLNEGDKKEDS >CAK8569294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692497539:692498534:-1 gene:gene-LATHSAT_LOCUS22165 transcript:rna-LATHSAT_LOCUS22165 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPVTCLSLKIKDDSRQHFSFPSPASLFLNTRLSSLLSAFPTFKDRRTMSAVNITNVTVLDNPASFLAPFQFEISYECLAALKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPTKIREEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPTKVLTDRVQRNILSDKPRVTKFPINFHPENTEIEEQPVPSDQQPETVEDPQAIGECDPLNEGDKKEDS >CAK8577587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576413961:576415119:-1 gene:gene-LATHSAT_LOCUS29679 transcript:rna-LATHSAT_LOCUS29679 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEHYFPSPSLCSTRWWSKETVAVVTGGNKGIGFALVKRLAELGLTVVLAARNKQNGEDAVDAIPAPHRVHFLLLDVSDPDSIKAFASSFKAKFGATLDILVNNAGVSFNELHQNSVNDAESVLKTNFYGPKLLIQELLPLFRRSSSSITRILNVSSRLGSLDKVRNDEMKRILEREELKEEEIEEMVKKFLRGVRNGTWKSEGWPTYWTDYAVSKLALNAYSKLLAKRYDPELMSVNCFCPGFTQTSMTKGKGTHTAHQAASLAATLALLPPHRLPTGKFFLLRNNNNFCVVNSKL >CAK8576866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521373242:521375335:1 gene:gene-LATHSAT_LOCUS29029 transcript:rna-LATHSAT_LOCUS29029 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQKTSIHAISTWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSLGISVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTILDLATFVTTVWVIYMIRFKLKASYMEEKDNFAIYYVVLPCAMLALVIHPSTSHHILNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >CAK8572277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526083973:526088428:1 gene:gene-LATHSAT_LOCUS24860 transcript:rna-LATHSAT_LOCUS24860 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRHIVSKLMRSTQTPDAKIVREGQVMLRARNLRTAANFIPSKAFRARKLYFCNEENGLLFVPKGQAQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAVDDTQRMMQMGGGFGFDPSKGLSVEKDNLDITQHDWALPNFEHRAESVLKKVIS >CAK8536055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903492907:903495708:1 gene:gene-LATHSAT_LOCUS5457 transcript:rna-LATHSAT_LOCUS5457 gene_biotype:protein_coding transcript_biotype:protein_coding MATALCSKVHALRNSSILRFPLNFIRTLSSSTPSPSTDAASATAKKSKRRKKKNFFEVAQFLPSWGIGYHMAKTHWKEVSYEITKLNLYKDGKHGKAWGIAYKNGLPLVDTPKKISGVHKRCWRYLPNVVKASETSPTFTSSTDSDLKVEAQAS >CAK8536200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916515839:916516573:1 gene:gene-LATHSAT_LOCUS5591 transcript:rna-LATHSAT_LOCUS5591 gene_biotype:protein_coding transcript_biotype:protein_coding MALISNLVFLSSIFLFNLIDIPFLITNAQSSCNGPCKTFNDCSGQLICINGKCNDDPDVGTHICTKPSPSPPSGGSGGGNCQSSGTLQCKTKSYPQYRCSPPVSSSTQLTILKPFVTGGDGGGPSKCDNQYHDNSERVVALSTGWYNGGSRCGKMIRITARNGKSTTAKVVDECDSVNGCDPEHAGQPPCHNNIVDGSVAVWNALGLNTDVGVEQVTWSMT >CAK8560080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6904570:6906723:-1 gene:gene-LATHSAT_LOCUS13793 transcript:rna-LATHSAT_LOCUS13793 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIRDMGREIVRESSAKEPGKRSRLWFREDVHDILTKQTGVETVEGLVLQVQRTNRVVLSTDSFKEMKKLRLLQLDHAILIGDYRYLSKELRWIHWQGFTFNYIPDDFYQGNLVVINVKYSSMKQVWNETKLLDKLKILNVSHSKYLKNTPDFSKLPNLEKLIMKNCPNLSEVHKSIGDLSNLLLLNLKDCTNLGNLPKKVYQLKSLKTLTLSGCSKIDKLEEDMGQMESLTTLNARDTAMTEVPHSILRLKSIGFISLCGYEGLSRDVFPRLIWSWMTPTTNSLPCISPFGGRSLSLVSLDVSSNNMGYQLPMLRNLSKHRSVWIQCRSVIQLTQELRNFLDGLYDVSFTKLETTSHASLILDISLKSLLIEMGNCHMVINTLGKSISQGFANNDSSNFCLPDGNYPSWLAYTCEGPSVLFQVPEDIDCHMKGIVLCVVYSSTSENMAAECLTNVLIINYTKCTIQIYKGDTVMSFNDEDWKGLTSNLGPGDNVEIFVAFRHGLIVKETVVYLIYNHSVTVETDSSINLEMKLSPQVNLQPSSVVKMEPLLDVKTEPSQNPNAKIFSRLAKRIGKCLCIEQNRGLNNF >CAK8544833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707796880:707798986:-1 gene:gene-LATHSAT_LOCUS13481 transcript:rna-LATHSAT_LOCUS13481 gene_biotype:protein_coding transcript_biotype:protein_coding MENISTPALLLLLLALLFTFHLQTIIRVECSNLNYTKYRQVSSLRLERIQRHLDKINKPPVLTIESEDGDLIDCVHKRNQPALDHPLLKNHKIQKKPSMMPKEMNMNINVESINERSIKNGGAWQMWHQNGTRCPKGTVPVRRSTVHDVLRAKSLYDYGKKQRRSPRLFRHTEPPEVVSGNGHEHAIAYTKAGEEVYGAKATINVWDPMIEVVNEFSISQIWILSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFVQTTSKIAIGASISPLSSYNAKQYDITILIWKDPTIGNWWMRFSDNTLVGYWPAELFTHLADRATMVEWGGEIVNSRANGQHTSTQMGSGHFADDGFGKASYFRNLEVVDTDNSLTSASNILTLAENKNCYNIKSFYNNKWGTHFYYGGPGNNPQCK >CAK8572996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575420772:575424422:-1 gene:gene-LATHSAT_LOCUS25492 transcript:rna-LATHSAT_LOCUS25492 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSGSSQGPVTCGSWIRRPENLNLVVLGRSKRGDSCPSLLQIFSFDPKTVSMSTSPLANFVLEAEEGDPVAIAVHPNGDDFMCSLSNGSCKLFELYGHEANMKLLAKELTPLQGIGSQTCITFSVDGSKFAAGGLDGYLRIMEWPSMRIILDEPKAHKSVRDMDFSLDSEFLASTSTDGSARIWKVEDGVPVTTLSRNSDEKIELCRFSKDGTKPFLFCAVQKGDKSLTSVWDMSSWNKIGHKRLLRKSASVMSVSHDGKYLSLASKDGDICVVEVKKMQIHHYSKRLHLGTAIATLEFCPSERVVLTTSVEWGALVTKLNVPKDWKEWQIYLVLLGLFLVSAVAFYIFFENSDSFWGFPVGKYQQPRPRFKPMIRDPQSFDDQNSWGPLDM >CAK8571382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395371023:395374542:-1 gene:gene-LATHSAT_LOCUS24049 transcript:rna-LATHSAT_LOCUS24049 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFYLSILSIAALALCISADYSSVNFSYIGPNGPEKWGSLSPAYAACSNGRVQSPVDLVYTDIVLNNQLESLDRNYIPTNATLVNNQFNVGVHFEGKVGDIHINEKNYSLKQLHWHAPAEHRAHGRIHDAELHLVHLTEDNNNIAVVASLYNLGDPDPLISKIEDKLNELENQSHAGNTNARIALGKFDVEEINKKIHRYYRYIGSLTTPPCTEGVIWNIIGKVRTLSKKQLELLKAPLNVDFVHNARPLQQLNGRKIEMYHYHPNQKQ >CAK8560708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37403901:37405663:1 gene:gene-LATHSAT_LOCUS14363 transcript:rna-LATHSAT_LOCUS14363 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIKCEEIGVIGELRQGEELTKQLYGQIFSSSSSSTSSLLSSNSSSHEANGVLIDKILFSFEKAITMVKDNVGNLKRKNVNMIDSHCSNGSPKSEVQDSEFKHKHVSKKRKIMPMWTEQVKVYLGTASEGSMEDGYSWRKYGQKDILGAKFPRGYYRCTHRNAQGCLATKQVQKSEEDPMIYEITYKGRHTCIQSSHLNKPHSSKTKLKFGQNNSQPNQKIQPQEEIIQPTTQEKISTFDNKEDIFSLFNFSSPSIGSENEDNKIFLETLIENSFMENEDNIDIFSESNDFTLSLLDLDLDNIGLGPSESDISDIISNHNLAIDSTINLDIFDDFNFDMDFSSNIQELCY >CAK8538911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499402306:499405110:-1 gene:gene-LATHSAT_LOCUS8059 transcript:rna-LATHSAT_LOCUS8059 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTRVQVLKFRFFFKCGKIDPLSKRFSSSSSNGSHFSTSETYDKVNPFMGDSYKDNAIKVEGMRKTMYDVCGVLDAGPWGPAVEDALNSFDEMSQPEVIVGVMRRVKDMNVAFHYFRWVERKTEQPHCPEVYNALLMVMARTRNVDYLEQILEEMSVAGFGLSNHICIELVASFVKSQKLREAFGVIEIMRKFKFRPAFSAYTTLIGALSEANKPDPMLTLFHQMQEIGYEANVHLFTTLLRVFAREGRIDAALSLLDEMKSNSFTADLVFYNICIDCFGKVGKVDMAWKFFHEMKAQGLAPDDVTYTSLIGVLCKAGRLDEAVELFEELDLNRSVPCVYAYNTMIMGYGSAGKFNEAYSLLERQKRKGCIPSVIAYNCILTCLGRKGKVEEALRIHQEMRQDAAPNLTTYNILIDMLCKAGELEAALKVQDTMKEAGLFPNIMTVNIMIDRLSKAQKLDEACSIFLGLDHKVCAPNSRTFCSLIDGLGRCGRVDDAYSLYEKMLDSGQIPNVVVYSSLIKNFFKCGRKEDGHKIYKEMVQRGCSPDLMLLNSYMDCVFKAGEVEKGRALFEEIKAQGLVPDVRSYSILIHGLVKAGFSRETYKLFYEMKEQGLHLDVLAYNTVIDGFCKSGKVDKAYQLLEEMKTKGLQPTVVTYGSVVDGLAKIDRLDEAYMLFEEAKSIGVDLNVVIYSSLIDGFGKVGRIDEAYLILEELMQKGLTPNTYTWNCLLDALVKAEEIDEAQVCFQNMKTLKCPPNEMTYSIMINGLCMIGKFNKAFVFWQEMQKKGLKPNTITYTTMIVGLAKAGNVMEARGLFDRFKASGGIPDSACYNAMMEGLSSANKAMDAYTVFEETRMKGCRVNSKTCVVLLDALHKADCLEQAAIVGAVLREMAKSQHATRLP >CAK8575769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:318263386:318263916:1 gene:gene-LATHSAT_LOCUS28005 transcript:rna-LATHSAT_LOCUS28005 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINMLNRLYDISAVEVGQHFYWKIGDFQVHAQVLITSWVVIAILLISTILVVRNPQIIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGTLLPWKIIKLPHGELAAATNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPSFE >CAK8565161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52722334:52723823:-1 gene:gene-LATHSAT_LOCUS18396 transcript:rna-LATHSAT_LOCUS18396 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVLTATISTFDSVFEKFRSEAPQNRANLVLFLADNDPSTSLSWCPDCVRAEPVIFKKLEASPNEIALLKAYVGDRPTWRNPHHPWRVDPRFKLTGVPTLIRWENDAVTGRLEDHEAHRENKIEALVADK >CAK8567485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517841541:517841899:-1 gene:gene-LATHSAT_LOCUS20532 transcript:rna-LATHSAT_LOCUS20532 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMIESSDDLDDSSDEDDDEETPVKKKTDGKKVGYTSTPHPKKAGKTPNTDAKSPKSGGHLSCSSCSKTFNSETGLTLHTKAKHGAQSC >CAK8577074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535386791:535387459:1 gene:gene-LATHSAT_LOCUS29215 transcript:rna-LATHSAT_LOCUS29215 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLKPTRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTQNCNKGMADEAIKSSSHNVIQFDRERFCFMVAESINQRDGRPLGTFSVDLRRGWCDCGRFQAFQLPCSHVIAACASIRQDHNMRIPDVFKVLSVFKVYSESFLGLPHQQNWTTYEGFTLCHDETMRRNKKGHPNSTRITTKMSDSEKEKRRCGICREIGHMRRKCPNVADPSNRPV >CAK8575575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:177024427:177025429:1 gene:gene-LATHSAT_LOCUS27828 transcript:rna-LATHSAT_LOCUS27828 gene_biotype:protein_coding transcript_biotype:protein_coding MISDILLTCHRSFIEDSQAGIKIYLQDNSYYGQNNRIVTVTGTLDEQMRAVDSDVSKLAEDSHYLQSMNAPFSY >CAK8542338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502479112:502479678:-1 gene:gene-LATHSAT_LOCUS11184 transcript:rna-LATHSAT_LOCUS11184 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFCPNFSFLLLFTLLLTSCISSQAQKCRPNGRIRGKKAPSGQCNKENDSDCCVRGKMYTTYECSPSVSTHTKAYLTLNSFEKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWEALGVPKNQWGGLDITWSDA >CAK8536424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940618057:940620072:-1 gene:gene-LATHSAT_LOCUS5793 transcript:rna-LATHSAT_LOCUS5793 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPSFLGNCNSEGHNFGSDDIFCPYDEFTNEDSSSVTHIDSSKDFHVSRMMKTSTFPATVMNTPESSFSQDVIAKIVEKSMKTCTDNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSELTSDHEEADLKLKSLDKHLREVHRSVQILRDKQELIETQKELAKLQLARKESPSSSHSQFNEEKSSPSNIDPKRTDSAHVTSSEPHNQQLALALSHQQPMAYSSQAPSSNVTQTTQQPHYYMLPPPSPNQPAAAQLPQNQYFPSDAQYRYHPSTSSQVTQSPTAQQFSQYQQPQHPQQQQLWPQQLPQQVQPLQPPSMHSQMRPPSANAYPPYVTSQTSSPSPTATDNTLPNSMPMQKPYSGIPPQIKGHYPAQPGNPYGSSEVHAASPPASAYMTSGREGGQASYPTQPSQFAQGGYPPQSAPLQNPAPHNPTVRNPSQPQLIRSHPYNELIDNLVNMGVRGDLVVSIIQRMEETGQPVNFNSVLDKLNAHSSLGPQRGWSG >CAK8563363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597184923:597186502:-1 gene:gene-LATHSAT_LOCUS16784 transcript:rna-LATHSAT_LOCUS16784 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGTKVEVLSKAEVPSGSWLCAEIIRGKDHHYTVKYEGFQGDTGEAIVGRVSRKSIRPCPPALELVANWTPGEIVEVYQNFSWKMATVLKVLGKKCISVRLVGSSLEFQVSKFDIRVRQSWQDDKWFVVGKGSATCDNGKRFSAQLLKIDTKTKLSASDYYQPEKEELNNLETRPVSFKTLKRGRHSQVEAYAEPLPKLRAIENEGRCYRARVRNPSTPLNHVHNISFPRDVPAEECIHAVNNRRTGIVDMDIERRKQNAAVGCSFGQNFELNCADSVICSVGSCSITSGNSYKLQFPVSAGPFEDVDSPYSDAESTCKRGYLEKTYSPPTRRELATKIHRLELHAYRCTIEALYASGPLSWEQEALMTNLRLSLNISNDEHLLELRNLISSENIIPFR >CAK8541912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431633299:431636679:-1 gene:gene-LATHSAT_LOCUS10800 transcript:rna-LATHSAT_LOCUS10800 gene_biotype:protein_coding transcript_biotype:protein_coding MSISISPKEETALISWHKIPHIKCDVVSPNSHSPLRQNATLTFSSVASTADTKFASVSVSDAKLHNHFAPTPSQLLKHPLAVLAFVPRDAALFSAGAFAGAAAKTFTAPLDRIKLLMQTHGVRVGQDSAKKAIGFVQAIAVIGKEEGIRGYWKGNLPQVIRVIPYSAVQLFAYETYKKLFRGQNGELSVVARLSAGAFAGMTATFITYPLDVLRLRLAVEPGYRTMSQVAFCMLRDEGFASFYKGLGPSLIAIAPYIAVNFCVFDLLKKSLPEKYQKRTETSILTAVLSASLATLTCYPLDTVRRQMQLRDTPYLTILDAFSGILARDGIAGLYRGFVPNALKTLPNSSIKLTSYDIVKRIIAASEEEFQKITEENRNKQNNNVNNQ >CAK8576844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519199859:519200845:1 gene:gene-LATHSAT_LOCUS29007 transcript:rna-LATHSAT_LOCUS29007 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDKHASIVSAYKNPTNGWHNPPSVHVYCIRHIAQNFIREIKDRNLLKKVVNVGNSLNQPSFMYYREEIRLPNTETLSWVDSIPVEKWTKAFDGGCRWGHMTTNLVESLNDIFKGTRNLHITALVRATYYRLGSLFAARGKKWSVVLESGQLFSETCMKYMKDETVKAVSHRVSAFDHHYYNFIIDETKDHNEGQSMGHYKVEIHKIWCECGKFQTFCMPCSHVIAACSNVRQDLFLQLSKVYKVMNLLGIYNNSFLVVASEDYWPTYHGDTIYHNENTRRNKKGRPKSKRIRTEMDINEKIERLCGICCLPGHTRKHCPNVGTSSR >CAK8579064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671336300:671339371:1 gene:gene-LATHSAT_LOCUS31037 transcript:rna-LATHSAT_LOCUS31037 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDNNGSPDQTTTPQAEDVVVVSDVNVPHNEKTTTVLLESVPVVESVTLETHLSKPNTDSPGDTETFDFKKEETTKPSQPDRSENENKPLQELKNLVQQALNNHEFSSSAIIEDKPPTNIVAEADKEAPAQQKVEEEAAPAKEEEKEQEDGDKKEEEAKETMVEKEQQEGDKKEEEVKETVVASVEDDGARTVEAIEESVVAVSSSVPVPVSQEPVEEKVEPKNEATLSLPPEEVSIYGIPLLADERSDVILLKFLCARDFKVKEAFTMIKNTIRWRKEFGIEELLDEKLGDELEKAVYMHGFDKENHPVCYNIYGEFQNKELYKKTFSDEEKRQNFLRWRIRFLEKSIRNLDFKNGDACTIVHVNDLKDSPGPGKWELRQATKQALQLFQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSTETLLSYIAPEQLPVKYGGLSNDGEFGNSDAVTEITIKPTSKHTVEFAVTEKCLVSWEVRVIGWEVKYGAEFVPSNEESYTVIVQKDRKVSSSEEAVLCNSFKVGEPGKVVLTIDNTSSRKKKLLYRFKTKSD >CAK8540152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542395721:542396164:-1 gene:gene-LATHSAT_LOCUS9185 transcript:rna-LATHSAT_LOCUS9185 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRIPLSENTLSIKPKKKKNPTQKASLLQVQGNIVIGEGKALLPLKSRHKGSKRPIVTEVSPSFQKLEGSNSDSLPDSSAGGSEYRQLRRKYLQLEDDGFALSKELKEAEDQVKTLEEEKIALLDQLVVMEGLVNPTEFTRSDCS >CAK8542043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458572575:458574029:-1 gene:gene-LATHSAT_LOCUS10917 transcript:rna-LATHSAT_LOCUS10917 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQKLNVIFLPYLTPGHMNPMVDTGRLFAKHGVNVTIITTQANALLFKKAIDSDLFSGYSIKTCVIQFPSAQVGLPDGVENVKDGTSLEMLGKISHGISMLQDQIEILFQDVQPDCIVSDMFYPWTVESAVKLGIPRIYYYSSSYFSSCCAHFIRKYKPHENLVSDSQSFSIPGIPHDIEITSLQLEEWFKTRNAFSDFLNVIYESESKSYGTLYNSFHELESDYEQLYKRTLGIKAWSAGPVSTWINKDEGNIPVESELLNWLNSKPNDSVLYVSFGSLTRLCYAQIVEIAYGLENSGHNFIWVVRKKDGDEDKDGFLQDFEQRMKENQKGYLIWNWAPQLVILGHPATGGIVTHCGWNSILESLSVGLPMITWPMFAEQFYNEKLLVDVLKIGVSVGSKVNKFWSTSEDEMVRREEIAKAVAVLMGSEKESVETRRRARKLGDAAKKSIEEGGSSYNNMMQLLDELKSLKISRGIEKTN >CAK8561640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:334452791:334453375:1 gene:gene-LATHSAT_LOCUS15213 transcript:rna-LATHSAT_LOCUS15213 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIAATKLIVQHVSHESEALAELLVLIRTRLADAVTSLTVPTWSPLVLAAVPDAAQVAAYRFGVSVRLLRNICLWKDIFAMPVLEKLALDELLYAKVLPHCRSISENVQDAITRTERIIASLSGVWAGPSVTADRNRKLQPLVAYVLSLGRILERRNMPENDLARRLKKILVDLDEYDHARNMARTFHLKEAL >CAK8531697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140697104:140697496:1 gene:gene-LATHSAT_LOCUS1470 transcript:rna-LATHSAT_LOCUS1470 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHFENLILKDALIEEIDYVRVVTLNRPKQLNAISPNLVFLLAKCLENERKMRKQS >CAK8566382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:417410558:417411548:1 gene:gene-LATHSAT_LOCUS19515 transcript:rna-LATHSAT_LOCUS19515 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNTDSFGVTRPPSESLPERGKLLKLESELQPNLEPESELQPNLEPESELQPNLEPESELQPNLGSKPQPELELQPNLEPESDLQCKPKLEYLLSAKSMKKLKMSDFSIMYDDDPKPFQFMCPRFVYKNKARIKLDEEIKVAMDDYRERSRNLSPFDAICRPKVLMSENCGGGPRPIPITERIRLKITPLCKLALDKYNADKDTHFVFADIVKTTWRPGAMYYITFLAQDSSNNNNNTSLTTFQTQVSNPRPAPVVYSCAIKT >CAK8538863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498352878:498355171:-1 gene:gene-LATHSAT_LOCUS8016 transcript:rna-LATHSAT_LOCUS8016 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVLFMSCFSLGRAIDCGGNKVANTIIVDQGGKGAFKSVQAALDSVKNPNDRWVLIKINPGVYKEKVLIHPRKPCIILKGSGNNSTIITYNDAANDIGTSNSATFHSSPPNVILIGITFQNTHGNDGPAVAASIYGDKTAIFECSFTGYQDTLLSSKRRQYFKNCYVEGEADFIFGEGQSYFENCMINATQAKSKPIGFVTAQRRDLPNSPNGYVFKGGRIDGIGQVNLGRPWGPYSRVIFWETYFSSVVTPQGWDRWNLTLSEAQNTIFAEVNCTGPGANTEKRVGWEKKANSLNLNEYSLSSFVNKDGWLDNLPSV >CAK8575019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22215767:22217624:-1 gene:gene-LATHSAT_LOCUS27312 transcript:rna-LATHSAT_LOCUS27312 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDRFGQQVQRPKYDCLLFDLDDTLYPLRSGLAKSCLQNIKDYMVEKLGIDSSKIDDLSNLLYKNYGTTMSGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPILRNLLLSLPYRKLIFTNADKVHAVKALSRLGLEDCFEGIICFETLNPIHKSIISDDEDDIEFVGSTSTSNNSATNIQIFDIIDHFAKPNPNVLVLPKTPIVCKPSESAIEFALKIANLNPQRTLFFEDSVRNIQAGKRVGLDTVLVGTSQRVKGADYALESIHNLREAVPELWENDIKPEVAYPGKLTSVTA >CAK8567345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507025134:507025634:1 gene:gene-LATHSAT_LOCUS20409 transcript:rna-LATHSAT_LOCUS20409 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDISKFFNKLCNRIAILLIFVLVEFIILIWKLTSSDTQPITTRRYLKFIEEKNPTIRYTKKSNKKPLLLNVDCSVCLCDFEEGEKVRRLKCKHAFHKDCLDKWLQDYLATCPLCREEVLPEHVVSKHREHRNHRQGNVEGNHENLPYVLFLLRGGNTSQWRRYV >CAK8568993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661898909:661905103:1 gene:gene-LATHSAT_LOCUS21892 transcript:rna-LATHSAT_LOCUS21892 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKRLSSFSLSYFSPQLVAPLLSSRICFAFGICGKPCRSFVNIASPGASKLHSQYSMDENHDVGMHDSKPIGRDTEKQPRVWSSSLEHGSRTDKGKQIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYQGTIKKLVYDLGYPSELLNWSFNWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGNIREGVDYARMYKDVRAAVDLCHRDGTLKQMVAKDPGRYINEDTMIVPMLEMLRESGRATFLVTNSLWDYTNTVMNFLCGSRMVDGSNNFEWLQYFDVVITGSAKPGFFHEENRANLFEVVPETGMLLNTDNGSPMPQVGNISARIFTETKNHACQAFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVIPELEKEVKLLWESRDTRKELQFLRSQRDRIEDEVHHLKWSLKFKNPDAVAKQKLSSALDKLELERERMRLIHQEAQRKLHLGFHEPWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLALHSPDKYYRPSEDFMQHEFGILGSEPRET >CAK8573696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631076148:631076687:-1 gene:gene-LATHSAT_LOCUS26107 transcript:rna-LATHSAT_LOCUS26107 gene_biotype:protein_coding transcript_biotype:protein_coding MICKSECCYQECCEAEEKSQQTNANNVDLVGQHSIMVAENPITYRIKTTISNNNTHSDDENISSTDELECAKETQPFVQQGIVVEIQSSKEDAIVEIQNRNEVFLKNSWANMNEVFLKKSKRADTMNMFRPIALSNFKYKIISKILADRLSSLMSSLISIEQREFINGRNIRGYTCFAS >CAK8531624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131979204:131982518:1 gene:gene-LATHSAT_LOCUS1401 transcript:rna-LATHSAT_LOCUS1401-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRREGLQAQFPTPLQSSSIVEKKLESLPAMIAGVLSDDNNQQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSNGALIPLLSQLNEQAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVFSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVQLLLHPSPSVLIPALRTVGNIVTGDDLQTQAIINHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLIAPLVNLLQNAEFDIKKEAAWALSNGTSGGTHDQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKSFGNTGDINAYAQAIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDEDETLPPGDGSQAGFNFGANDLPVPSGGFNFS >CAK8531623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131979204:131995929:1 gene:gene-LATHSAT_LOCUS1401 transcript:rna-LATHSAT_LOCUS1401 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRREGLQAQFPTPLQSSSIVEKKLESLPAMIAGVLSDDNNQQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSNGALIPLLSQLNEQAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVFSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVQLLLHPSPSVLIPVLRTVGNIVTGDDLQTQAIINHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLIAPLVNLLQNAEFDIKKEKEAAWALSNGTSGGTHDQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKSFGNTGDINTYAQAIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDEDETLPPGDGSQAGFNFGANDLPVPSGGFNFS >CAK8566489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428602693:428603064:-1 gene:gene-LATHSAT_LOCUS19616 transcript:rna-LATHSAT_LOCUS19616 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLLLCFTLHSGFEAEALSYDYSASVECLEHPEKPLYNGGIIQNPKLNDGLQDWTTFGEAKIEHRESLGNQFVVAHSRKQPYDGASQKIYLRKGLPYTLSAWIRPTYLVETEIIGIIRTTH >CAK8566723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455809449:455809892:1 gene:gene-LATHSAT_LOCUS19835 transcript:rna-LATHSAT_LOCUS19835 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDRLASLIPQEVKDKALKEKKAPVIDVTQHGYFKLLGKGVLPQNQPFVVKTKLISKIAEKKIKEAGGAVILTA >CAK8561877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:402437063:402437733:1 gene:gene-LATHSAT_LOCUS15428 transcript:rna-LATHSAT_LOCUS15428 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPIFIKDLVKGNQVWKMHVRVVDLWVVKEKRGQQHLELVIQDGKGDQIHVVTRNRDFKEWVEQLKEHDTYAVYNGEPVVNDGSFKVCSNSLKLVFNGGTTISNIAMPKIPPHQVKFKAIGDFLNGLFQIDMLYDVIEILQDVVQTQMGGSGKKSCANITLHDEPGNVIEVAL >CAK8576004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:383895836:383896899:1 gene:gene-LATHSAT_LOCUS28223 transcript:rna-LATHSAT_LOCUS28223 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHSVFIYQEEREHEQNQKLINTMLELEAMKNMNTELHTQLKIAYQERDEARNQLQKLTNKFCPIDFPQENPFMFHSSNSESSTLSYSSSLSPSSVDSFFETASSSMFSNTGMGYLNHHTNPNFSYLMAPIEEQVRDVRGDHDCGSEYIDSIAKERDLPQKGKLLQAVVDAGPLLQTILFEGTLPTWRNPPHLQDNVTFSSSLLNFADNHLPHSSNNSLKYVFDFDYNNSFGQINSTRRGISI >CAK8539610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518251081:518251428:-1 gene:gene-LATHSAT_LOCUS8691 transcript:rna-LATHSAT_LOCUS8691 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPLTGPGFGGRVGTSQGSLLTQYLLKKRGLIKETWMDEDPREAILKYVDVAAKEPKFIAPAYAETQPEPLFAKSDSEDEEK >CAK8532530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:281853627:281853928:-1 gene:gene-LATHSAT_LOCUS2227 transcript:rna-LATHSAT_LOCUS2227 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFFLQSVAVRREIKILRLFMHHHIIRLYEVVETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQ >CAK8565140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:45020893:45021381:1 gene:gene-LATHSAT_LOCUS18376 transcript:rna-LATHSAT_LOCUS18376 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTENEETEFKVPETISLCINNCGVIGNPSTNNMCQNCFTASTATTSSTGGIGITGVMPQSSRSVRSPKRSLPEESSSVVTDRSSSDQPMVSEAKRVVSRCSGCRRKVGLTGFRCRCGDLFCSEHRYSDRHDCSYDYKSAGREAIARENPVIRAAKIVKV >CAK8534710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757113589:757114098:1 gene:gene-LATHSAT_LOCUS4230 transcript:rna-LATHSAT_LOCUS4230 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGVSRPKKQAKMNRKQAERTIVTKAVVESIQEEGESSKEDEKEEPSSEELEVLGKKEEVQPKPWVDVIQGNCSLNRGMTVGFVAPKIVNGDLEIKKIEQEDVTEELEFWENAIILFALGESLPMNAAKKFMEKTWNFVVFPDLYYNE >CAK8566716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455093955:455096761:-1 gene:gene-LATHSAT_LOCUS19828 transcript:rna-LATHSAT_LOCUS19828 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPRLYSFDHFNFDPKWFIDPKHLYVGPRIGEGAHAKVYEGKYKNQIVAIKIVHKGDSPEEIAKREDRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLSGGTLRKYLLNMRPKCLDTHVAIGFALDIARAMECLHSHGIIHRDLKPDNLLLTEDHVTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVFWELLHNKVPFEGMSNLQAAYAAAFKNVRPSADHLPEELAIILTSCWQEDANARPNFTQIIQMLLNYLYTVSPPEPAIPSRIFTSENTVLPPESPGTSSLMAKRDDTGDTPRIKDEIKPNGFLCCFSQCY >CAK8542330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502032292:502034756:1 gene:gene-LATHSAT_LOCUS11176 transcript:rna-LATHSAT_LOCUS11176-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLNCFNGNQTKTCPKDNSLAHAFHQDQQHSSPLTCPEYFRWIHEDLKPWKNIGITREMMERGLNISQLRIVIKKGKAYVEVYGDSYQTRDLYTVWGIVQLLRLYPGRVPDLELLFETGDNTVLDKQQFRSVTPPPIFSYCGQKDSLDIVFPDWSYWGWAETAIKPWEKVLKDIKESSKKIKWKDRIPYAFWKGNPYLSYGRRTLSTCNVTDEQDWNARVYPVHWNNETRQGFKNTKLEDQCTHRYKIYVEGISWSVSEKYILACDSMTMFIKPRYYDFFSRSLVPYKHFWPISDQSICQDIKYAVDWGNTHPEKAKTIGIEGTRFVEENVKMKFVYDYMLHLLTEYAKLLRFEPFIHPDAVEVCSENLACPTGGLWRKFMVDSMVKSPSHIPPCKMFSPYDKQ >CAK8542329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502031936:502034756:1 gene:gene-LATHSAT_LOCUS11176 transcript:rna-LATHSAT_LOCUS11176 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSWAVFSTIFFFIYFLLLIYRNPYINHHHDPFKITSTSRSNTIRFINRRQTMFKLNCFNGNQTKTCPKDNSLAHAFHQDQQHSSPLTCPEYFRWIHEDLKPWKNIGITREMMERGLNISQLRIVIKKGKAYVEVYGDSYQTRDLYTVWGIVQLLRLYPGRVPDLELLFETGDNTVLDKQQFRSVTPPPIFSYCGQKDSLDIVFPDWSYWGWAETAIKPWEKVLKDIKESSKKIKWKDRIPYAFWKGNPYLSYGRRTLSTCNVTDEQDWNARVYPVHWNNETRQGFKNTKLEDQCTHRYKIYVEGISWSVSEKYILACDSMTMFIKPRYYDFFSRSLVPYKHFWPISDQSICQDIKYAVDWGNTHPEKAKTIGIEGTRFVEENVKMKFVYDYMLHLLTEYAKLLRFEPFIHPDAVEVCSENLACPTGGLWRKFMVDSMVKSPSHIPPCKMFSPYDKQ >CAK8573567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621047587:621049750:-1 gene:gene-LATHSAT_LOCUS26001 transcript:rna-LATHSAT_LOCUS26001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVNKSTFPIFTTNPFLLSKRYSLRPFKVSIKPPPPDFNYKLEISKESRAVIEESYPELLDLADNGSLVLVQKKRFGPVPSWRTEFVEPEYIWLIGTTHVSKESAMEVERVVKAVKPDNVVVELCRSRAGIMYADDDQLDKQLRSTMFSLSGTGFFGAIGRSINLGGQTALALRLLLAAFSSKISSDIDRPFGDEFRAARKVSEEIGAQIVLGDRPIEITLQRAWKALNWTQKLSLLRIVISGITSSSGISTNKLEKASSDDGTLQLYEQLSFSYPSLLPPLIHERDTYLAWSLKRSKAVNNCKRVVGVIGKGHMNGVIYSLLADTGDLRFRDLVGKNSSGGSGGWIDGLVKSLVRDTVIGIVLWALYEYINDGT >CAK8575151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:40875639:40876530:-1 gene:gene-LATHSAT_LOCUS27437 transcript:rna-LATHSAT_LOCUS27437 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTISPRKLHYDLYSYSYKQDSNTPLVINVLASLIERTMARTHRIVKNCSKVLSKEITTKIFDCREVPDLTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQNNVGFRINSRNVHRLLITTIMVASKYVEDMNFRNSYFAKVGGLTTNELNELELEFLFMMNFKLHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKEKGYTQIASVML >CAK8574569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2493625:2496917:1 gene:gene-LATHSAT_LOCUS26900 transcript:rna-LATHSAT_LOCUS26900 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESDISESEIEEYSEKPYEQLKAGKYKVRNFNGTLRCPYCSGKKKQEFKYKDLLQHATGVGKGSANRSAMQKANHLALAKYLQTDLVNEAEQVPPPAVTQTAIQPVQQAENYVWPWTGIIVNIKSELHDSGYWLKEFVKYKPLDVHVFMMDGVAQAVIDFNNDWHGFMNACEFEKSLETKHHGKRDWNPMDLQASSDIYGWLAREDDFYGGGSIGEYLRNKGRLRTISDIVQEASENRSSIVENLANEIDITNENLNKMQYKYNEKTMSLSRMLEEKDKLHNAFVEESRSMQRKAREEVRRILEEQEKLSNELDEKMRKLDSWSRDLNKREVITDQERQKLEEDKKKKDIRNVSLMAASKEQKIADENVFRLVEEQKREKEEALNKILLLEKQLDAKQKLEMEIEELKGKLQVMKHLGDQDDAAIKKKMEEMKAELKDKMDDLDDMEAMNNTLVTKERRSNDELQEARKELIEGLNELLTGVKTNIGTKRMGDLDQKVFVNACKKRFSEEEAGIKGVELCSMWQENVKNTAWHPFKVVKVNDEHKTVVDEEDEKIRNLKQEWGDEVYSAVETALKEINEYNASGGYAVWELWNFKENRKATLKEVITYIVEQMKNLKRRRK >CAK8578045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603558814:603565796:-1 gene:gene-LATHSAT_LOCUS30089 transcript:rna-LATHSAT_LOCUS30089 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVEVKRADGAPEEHCSAKPTKQGEGLRQYYTQHIHELQLLLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRRDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >CAK8534452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727851058:727851740:-1 gene:gene-LATHSAT_LOCUS3997 transcript:rna-LATHSAT_LOCUS3997 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEMKVVEGLDLERYMGRWYEIASFPSRDQPKDGANTRATYKLNTDGTVDVLNETWSGGKRGFIQGSAFKANPNNDEAKFKVKFYLPPFLPIIPVTGNYWVLAIAHDYHYALIGEPTKKSLWILCRETRLDDEIYNELVEKAKEEGYDVTKLRKTPHTDPPPEEEGPQDNKGIWWFKSIFGK >CAK8567063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483985888:483987537:-1 gene:gene-LATHSAT_LOCUS20150 transcript:rna-LATHSAT_LOCUS20150 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAVVALMLCLLGVTVYGEDPYLFFTWNVTYGTIAPLGVPQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGIQQRKNSWQDGTPGASCPILPGTNYTYKFQVKDQIGSYFYYPSTGLQRAIGGFGGLRIFSRLLIPVPYADPEDEYWVLIGDWFGKSHKSLKMMLDSGRSIGKPTGVIMNGKNAKGDGSDEPLFTMKPGKTYKYRICNTGLKDALNFRFQGHSMKLVETEGSHIVQNNYDSLDVHVGQCYTVLVTADKEPKDYYMVASTRFTKYSLAAKGIVRYTNGVGPASPVLPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNSVGHVDGKLRYAINGVSHVDTETPLKLAEYYGVADKVFKYNTISDAPPANLNTITIAPNVINATYRTFIEVIFENHGKTIQSYNLGGYSFFAVAIEPGTWTPEKRKNYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWNLRSEQAENRYLGQQLYISVLSPEFSNRDEYNLPETQLVCGIVKDMPRPAPKYN >CAK8577904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596654828:596655154:-1 gene:gene-LATHSAT_LOCUS29967 transcript:rna-LATHSAT_LOCUS29967 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSSSRRNGNAHENRSSFFFTGFPDSHGAKELYETFKEYRDIDEVVIPSRHDKNGRRYGFTRFFNVADERRMVVRLDNIFIKNVNLFVNIPRFQRDKHDDKKHENV >CAK8530993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68355769:68358947:-1 gene:gene-LATHSAT_LOCUS815 transcript:rna-LATHSAT_LOCUS815 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVASGSSQMSSSVSVQEKGSRNKRKFRADPPLGDPNKVIPSLQHDGLSYEFSAEKVEMTPCFGQVTASDLCSGSHDSSDGLKLDLGLSSPAISSEVRHSQHKDELEAVESHGVDWSDHTEAQLEELLLSNLQTIFKSAIKKIVACGYTEDVATKAMLRPGICYGCKDTVSNIVDNTLNFLRNGQEFDPSREHYFEDLMQLQKYILAELVCVLREVRPFFSVGDAMWCLLICDMNVSYACAMDGDPLSSLYSDGVGDGCSSVQTESQSKVETKGPGLSLPSPCNTIPLGSQTEKSFVAENSQVPGGLLEKQVANSGFHPVDKSSSAFATSQFPLLQDKGGIVRKVHSSSTKRDYIFRQKSIHVEKGYRTYGSKGSSRGGKLSGLSGLILDKKLKSVSESTTINLKSASINISKAVGVDVTQDNLNTSRSSNDGQSTHTSFSLDPSDGISRAADPSSSEHEANAKPAVSGPPDALSATDTDLSLSLSSKSSSSKTPICCSHKGSCVGIPYDKSVGQWLPQDRKDELILKMVPRVRELQNELQEWTEWANQKVMQAARRLSKEKAELKALRQEKEEVERLKKEKQCLEENTMKKLFEMENALGKAGGQVDRANTAVRKLEMENAALRKEMELAKLRAAESATNFQDVSKREKKTQMKFQSWENQKSLIQEELMTEKNKLAQILAESKQVEAQAEQFEAKRRQAAKKTEELLSLASSLKKEREQIEESGRAKEEMIKLEAEAELQRYKDDIQKLEKEVAQIRQKSDSSKIAALKRGIDGSYAGSWKDMKKGSTLDEPQNASISELVQKLNSFSMIGGGVKRERECVMCLSEEMSVVFLPCAHQVVCTKCNELHEKQGMQDCPSCRSPIQERISVRYMRT >CAK8533265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598173283:598186145:1 gene:gene-LATHSAT_LOCUS2908 transcript:rna-LATHSAT_LOCUS2908 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVVLISAKGLEDNDFLTSVDPYVILTYRAQEHKSNVQEGAGSKPQWNETFLFTVSDSTSELNLKIMEKDTYTDDNIGEAIIPLDAVFEECSVPESVYKIVKGEEYCGEIRVALTFKPERIQERGYNEEKESGGWKESAREF >CAK8533266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598173283:598174178:1 gene:gene-LATHSAT_LOCUS2908 transcript:rna-LATHSAT_LOCUS2908-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVVLISAKGLEDNDFLSSIDPYVILTYRAQEHKSNVQEGAGSNPQWNETFLFTVSDSTSELNLKIMEKDNYNNDDNIGEAIIPLDAVFDEGSVSESVYKLVKEEEYCGEIKVALTFTPERNEEQGYNEEESGGWKESASEY >CAK8540826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23980934:23982744:1 gene:gene-LATHSAT_LOCUS9793 transcript:rna-LATHSAT_LOCUS9793 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMLNFPFQFHKPVTCLHKHGYYSFRTHSNNYLSNNIVFLSQQPNNHVPSIGSLGFHVSNVHSVDIGLPQQKHDYVDDNDDDEYDVVVDDFDERGKELYSYFVSNKKLCPLDASFVMEKDLQCRGSTELGGVNENEVMFLEEMDVNVLSNRILELSRTNKIRGAMEYFRSMELFGLCPNIHACNSLLSGLLRNGSLDDCFEVFDFTKVKRIATGHSYSLILMACAKARGCDSAVEFFRKLERDCDVGRDFDAVVYNTMISICKEAGNWSEIERLWRSMKENECARTLVTYRLLVSSFVHCNQSELALYAYREMVQNRFEPNNNILNSIVCVCAKEGKWDDALSFFQKMLTGDFKPNLVACNALINSLGRAEELKLAFHVYNTMKSLGLKPDAYTYNALMSSLNKANKHGEALRLYKQIERNQMLEFNKHLYNTALMSCSKLKLWDRAVEILWQMEASGLSDLTVSYNLVIRTCELALKPRIAWQVYKHMVHQKCSPNIFTYLSIIRCCARGDLYEELEEILNKTVPNATLYNAAIQGMCLRGKVNLANEAYTRMLEHGLEPDVKTRVLMHPKIRK >CAK8543353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602664800:602669907:1 gene:gene-LATHSAT_LOCUS12115 transcript:rna-LATHSAT_LOCUS12115 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERVQCSYESLDETFEIGKEMYRGQQYSQIYFARLRLMRTLLYSLVSQWKPNSSVCTVLGLEEGKECVVVGTLFKNMKLKPCILDEYSKERSVVPLVMPHNFVDKDDYLVLEDESGRVKLGGNIIVPSVYVTGIVVAIHGKETGAGDFLVQEVLEAGLPPQIEFPIKSREERYVLLVSGLSIGSSKSNPLQFQLLVDHITGHLGDEKEQSIASQIVHVVIAGNSVEIPRGLLNGQNLASKDLSKMAEPVKELDILLNQIAAGLPLDIMPGPCDPANFSLPQQALHRCLFPGSSVYNTFRSCTNPHCFELDGIRFLGTSGQNVDDLDKYSEAKDKLDFMERTLRWRHLAPTAPNTLGCYPYTDRDPFFIQNCPHVYFVGNQDKYDTRVIKGSEGQSVRLICVPKFSENGIAVMLNLRNLECHTLSFGTQFSP >CAK8573794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637568364:637569074:-1 gene:gene-LATHSAT_LOCUS26199 transcript:rna-LATHSAT_LOCUS26199 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRKGRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCDADVALVVFSPSEKVFSFGHPHVDTVIDRYLSRVSPQNIDTMQFIEAHRGAILRDLSKQLTEINNTLDIEKKCGDELNYLRKVTEAQFWWTCSIDRMNMTQLELFKKALEELKKLVAHHARLVIQGAPTQTIPFFVGNGFNSNMLLHHQGNLQQAQNFQPQKFQNSIMQPHSVGFNNMCQSYGYEPSGFF >CAK8571585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444402065:444403976:-1 gene:gene-LATHSAT_LOCUS24230 transcript:rna-LATHSAT_LOCUS24230 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRGQGGIQQLLAAEQEAQRIVNAAKNEKSARLKQAKEEAEKEIAEYRAKLESEFQKKVSDTSGDSGANVKRLDQETEEKIHHLKEEAARISEDVVAMLLKCVTTVKK >CAK8564689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7078842:7079692:1 gene:gene-LATHSAT_LOCUS17967 transcript:rna-LATHSAT_LOCUS17967 gene_biotype:protein_coding transcript_biotype:protein_coding MQESKFLIHGNGKEKPPTGFMFEKEQMKGLYFNQSPAKDVALAMVSMRQSPIGPIMEKLCLSPDKYGTARRFYIQTLDDRALSPDVQEKLVGENPPEGVFKIKGSDHCPFFSKPQSLHKILVEIAQIQ >CAK8563923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639358309:639360966:1 gene:gene-LATHSAT_LOCUS17281 transcript:rna-LATHSAT_LOCUS17281 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRLEKTAQQQQQDQETEEIQHGPLPVEQLQTSGIAAIDVKKLKDAGLCTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKLVPMGFTSASELHAQRESIIQITTGSTELDKILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERYGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVDTRFALMIIDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVLTNQVVSQVDGSAMFAGPQTKPIGGNIMAHATTTRLALRKGRGEERICKVISSPCLAEAEARFQIVGEGVSDVKD >CAK8537210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:139582148:139583209:-1 gene:gene-LATHSAT_LOCUS6516 transcript:rna-LATHSAT_LOCUS6516 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAIGFEGFEKRLEISFSDPGLFSDPQGRGLRSLTKSQLDEILSPAECTIVSSLSNDNVDSYVLSESSLFVYAYKIIIKTCGTTKLLLAIPPILKLAESISLNVRSVRYTRGSFIFPGAQSFPHRHFSEEVAVLDGFFGKLGSGSMAYIMGGSDEARNWHVYCASADSASPADSVYTLEMCMTGLDREKASVFFKEQTGSASEMTINSGIRKILPNSQICDFDFEPCGYSMNSVEGPAVSTIHITPEDGFSYASFETAGYDLKAINLNGMVMRVLACFKPTEFSVAVHVDNASKSFEQGCLLDVKGYFCGEKSHQGLGMGGSVVYQKFLKTSDCGSPRSTLKCWKDEDEEE >CAK8537788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:433212328:433218976:-1 gene:gene-LATHSAT_LOCUS7042 transcript:rna-LATHSAT_LOCUS7042 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLTSTVNKPTSPFANTASLHRMSSSLSAQENHKSSPDWIKQLNDPLEVVDPEIENIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALETFGLDPALWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSAALFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQAMTPEFKSYQKQVLSNSSTFAQSLLERGYDLVSGGTENHLVLVNLRDKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEGDFKKVAEYFDAAVKIALQIKENSKGTKLKDFVEAMQSDAQIQSQLANLRREVEDYAKQFPTIGFEKETMKYNK >CAK8563140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577090831:577092198:-1 gene:gene-LATHSAT_LOCUS16577 transcript:rna-LATHSAT_LOCUS16577 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPGVYSSIGDKAKDVLYKDYAQPSPIHFHYKFMDWNAGFACKVVEIVPGFRTVFKCTIPDSGKVELQYLNRFTGISGCIGLLGSEEGQYEPVLNFSGLLGTSILSLGANVAFHIPTRSISKLNAGFGFNSAFLEASLTLHDSFDTLKATFYHQVNPLTQTAIATQVKHSLSLKETGVSIGVQHAFFPETLLKARFDSSGKAGTLIQQGFWHKFFVTMAGEIDFGAEDKTPKFGVSMALRP >CAK8569373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697076770:697077048:1 gene:gene-LATHSAT_LOCUS22230 transcript:rna-LATHSAT_LOCUS22230 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRSSFSTSQSSSKQVEVPKGYLAVYVGEKARRFLVPVSFLNEPLFQELLSQAEEEFGYCHPMGGLTIPCKEEVFLHTASRLNGL >CAK8535981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895275993:895277510:-1 gene:gene-LATHSAT_LOCUS5393 transcript:rna-LATHSAT_LOCUS5393 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFCVTGGTGFIAAYLVKALLEKGHTVRTTVRNPDDLEKVDYLTKLTGDKERLKILKADLLVEGSFDEAVTGVDGVFHTASPVIVPYDNNIQATLIDPCIKGTQNVLNSCIKANVKRVVLTSSCSSIRYRDDAQQVSPLIESHWSDPEYCKRHNLWYAYAKTLGEREAWRIAKESGIDLVVVNPSFVVGPLLAPQPASTLLMILGIVKGLKGDYPNTTVGFVHIDDVISAHLLAMEEPKASGRLICSSTVSHWSQIIQMLRAKYPSYPYETMCGSQEGDNNAHSMDTTKITQLGFSKFKSLEQMFDDCIKSFQDKGFL >CAK8561617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:322289713:322291691:1 gene:gene-LATHSAT_LOCUS15192 transcript:rna-LATHSAT_LOCUS15192 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPSNFMLQTSHQDDHHQPPPSLNSIITSCAPQDYHGGGVSFLGKRSMSFSGIELGEEANVEEELSDDGSQLGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKRQYDSVKADNDALQAQNQKLQSEILALKNREPTESINLNKETEGSSSNRSENSSEIKLDISTRTTQTAIDSPLSTQQQTSINLFPSSSRPTLVPHQLFQTSSTRQDINQCQKIDHMVKEESLSNMFSAIDDQSGLWPWLEQQHFN >CAK8531565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125394298:125396079:-1 gene:gene-LATHSAT_LOCUS1348 transcript:rna-LATHSAT_LOCUS1348 gene_biotype:protein_coding transcript_biotype:protein_coding MENLYKFGEFSFNAVEDKLSSSNDIFWETKKLHEIKSVQFPVAEDLGDFNGTESLCSNFGFFQDDPLHEDGFLLSTDQQKFQDYETFDNLQFDMVNFDEQLCPTKVLPLCDTKNDEQYYQTPLAPVEILKNYGKGFKKLLLPDEGKVLHPVNDIGLVMNNENERKLSTTDIMKVAGTRFIQSSSSESSSSGLILNHPFGFSFSGLSDQEKEDVSLAESLLACAEKVGYQQFERARFFLPQIESLSSKTGNPVKRVVHYFAEALRQRIDRETGRVPVKNMQKAESLFNPEEETKDLNPTLLAFIEDLPFCKIAMFTCVQAIVENVKDTKKIHVIDFEIRKGLQWTILMQALQSRNECPLELLKITAIASGNTDTSKQVAEATGKRLKDFAQSLTVPFSFEIVVVSDLLHLREDHFKIDSEETIAVYSQYALRNKIHQSDQLETIMRVIRTINPVVMVVTEIEANHNSKSFVTRFIEALFYFSAFFDCLEDCMKDDEINRTILESMYFSYGIRNTVAEEGVERKSRNVKIDVWRAFFTRFGMVETKLSMMCLYQAELIAKRFACGNSCTFDMNGECLVIGWKGTPINSVSVWKFI >CAK8531955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184256169:184258102:1 gene:gene-LATHSAT_LOCUS1707 transcript:rna-LATHSAT_LOCUS1707-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNKGEIELGFLNMSHTDIQTALRSLFPEDFSRQIQQIDQNNNNTNPPSSSSSSMRSLSTAGSPEYSSLIFNTNPAGTSPSSHHFPDHILGGVNIPPMRPVSNTLPFHLQQLPQITPTQLFPIDHNDAIMRAIQNVLSTPPSQQSYAAHPGASAFGRYRNDKSPIIVGSNFRRQSLMKRSFAFFRSLNLMRLRERNQAMRPSSNQLHHMISERRRREKLNDNFQALRALLPQGTKKDKASILITAKETLRSLMEEIEKLSKRNQELMSEKLTASNKETMKFSSNERINVRVLHVSESSSSDDEPMVVDLQVNVIGQVSQVDMLIRLLEFLNQVHHVNLISMDATNSNSNIPQLHQITFRLRITQVSEWDEEAFQEAVRRVVADLIQYQVDQNL >CAK8531954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184255394:184258102:1 gene:gene-LATHSAT_LOCUS1707 transcript:rna-LATHSAT_LOCUS1707 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFSLPVAVRTEVIHSLMQSLGGFSYICLWTYDTISPNRLSFLDGIYNVISSHQPSSSLGSLAQQLFDQYTILSFDINDDRIPGLAFRNQRRYLELQQVELLALSSTEIQKQFYKEARIKTSVFMGCNKGEIELGFLNMSHTDIQTALRSLFPEDFSRQIQQIDQNNNNTNPPSSSSSSMRSLSTAGSPEYSSLIFNTNPAGTSPSSHHFPDHILGGVNIPPMRPVSNTLPFHLQQLPQITPTQLFPIDHNDAIMRAIQNVLSTPPSQQSYAAHPGASAFGRYRNDKSPIIVGSNFRRQSLMKRSFAFFRSLNLMRLRERNQAMRPSSNQLHHMISERRRREKLNDNFQALRALLPQGTKKDKASILITAKETLRSLMEEIEKLSKRNQELMSEKLTASNKETMKFSSNERINVRVLHVSESSSSDDEPMVVDLQVNVIGQVSQVDMLIRLLEFLNQVHHVNLISMDATNSNSNIPQLHQITFRLRITQVSEWDEEAFQEAVRRVVADLIQYQVDQNL >CAK8568527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614178741:614179725:1 gene:gene-LATHSAT_LOCUS21475 transcript:rna-LATHSAT_LOCUS21475 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLCDSCQSATATLYCRPDSAFLCASCDSKVHAANKLASRHYRVTLCEVCEQAPAHVTCKADAAALCISCDRDIHSANPLAARHERLPITPLSESITSHSEKALHNNNNYDAVKDEAEAASWLLTDPKADLNSSPYIFSDSEAIPFMDLDYGVIEHKNVDGVVPDHGNFDLFAYAFKNNNVQSRTELETPTPSQSQISHSVVSSSMEVGVVPDGDAVSEVSNDGYGKVAVAADREAKVMRYREKRKNRRFAKTIRYASRKAYAETRPRIKGRFAKRTDAVDSLGGYGVVPTC >CAK8565724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313394438:313394890:-1 gene:gene-LATHSAT_LOCUS18912 transcript:rna-LATHSAT_LOCUS18912 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDHFGDMYDVALKPRLLNTLISDYLPTVDHPFSNPSELSKVVSLIKTHSLLSEDVTDSMESKQGKAWKSSVTSWVDRVLLLLSSHSPDKRWAGISLLGVTCEECSSDRFQESYTVWFQKLLTSLQSPEDSHLVKVAACASILICLQG >CAK8576095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405257520:405260729:1 gene:gene-LATHSAT_LOCUS28310 transcript:rna-LATHSAT_LOCUS28310 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSFEYEKGVMEFLELAESNAKKNLAPPKSDAEKSLHLLFLCPCVRCANHEPKLNKKEIMDHLICHGICQSYTQWIWHGEVVAKSNVSQRDNVSAEMDDRLEDMMRDIGQDSFKKAHAYDTLCSDKDKPLYPGCTNFTRLSAVLKLFNLKANNGWTDKSFSELLELLTQMLPEGNVMPNRYYEAKKILCPMGLEYEKIHECPNDCILYRKEYVNYNHCPKCKASRYKKNVGDSSDDEAVKKVPPTKVVWYLPIISRFKRLFANANDAKNLRWHAEERKCDGKICHVADSLQWKKIDVLFPNFGKESRNLRLGLSTDGMNPFGNLSTNHTCWPVLLMIYNLSPRLCMKRKYIMLSMMISGPKQPGNDIDVYLSPLIDDLKVLWEEGVDVFDAYSGEQFNMRAMLFCTINDFPAYGNLSGYKVKGHRACPICEKDTCYHQLVKGKKTVYLGHRKFLDRYHPYRRLRKAFNGEQEHGVAPKPLTGEEVYQRQQGIKVVFGKYQKQSTVKNIWKKRSVFFNLPYWSSLDVRHCIDMMHVEKNVCDSLIGTLLNIQGKTKDGYNARLDLSLMGIREELTPQHIGNKIYLPPACYTLSKKEKISFCECLQSIKVPHGYSSNVNRLVSGKDLKLIGLKSHDCHVLMQQLLPVAIRGILPNNVRKTITRLCLFFNAICCKAIDPLKLEILENEAAVILCQLEMYFPPSFFDIMVHLIVHLVREIRLCGPIYLRWMYPIERYMKILKGYTKNPHRPEASTVERYIAEEAIEFCSNYLSEVDVVGVPKSRHDGRCEGVGTQGLKVKSLSIDVVLRAHLYILNNTDEVQPYLSAHKSIIKKKYPKMNERWLLKEHNKSFSEWFKEKISNEDSASNTIKWLSYEPKCNIITWSGYDINKTCFCTKSKDDRSTTQNSGVMIVAESMHFSSAKDKNPVMASTPYFGVIEEIWEVDYVVFKVPVFKCKWIDINSGVRIDEFGVTSVDLSKLAYADEPFIMASQAKQVFYVTDPSNKRWSVVIQGKVHDSDENQDANLDISETPPFSMNVPTFVEENEEDDVHAIRIDHEEGIWKD >CAK8576016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385112193:385113221:1 gene:gene-LATHSAT_LOCUS28235 transcript:rna-LATHSAT_LOCUS28235 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGRGRPPKNTVPPPTTSPLRSPPKQQSELRSHQVSSSRITEEEILKIETLDERPGKPNQEEMEIATQSNDALLDAVPKKPETGKSMHEGAFEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKPEVVIEEDDIISEVKFWESSLILYAMGVDLSMNAVKNFMTKNCNFVQLPDMYYNGEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDELLRTLPIWVKLPQLPIILWGDTSLNKIGSVLGNPIMTDECTANRLRVSYARILVEMDITKELPQTITIGDNEGEKIQQAIEYEWRPLFCSKCQKVGHSCDKPKVTQQWKPKPAP >CAK8579441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699366071:699377087:1 gene:gene-LATHSAT_LOCUS31388 transcript:rna-LATHSAT_LOCUS31388 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSITIILCDLLLCYPSLSFSLLANNSVPEPDIVLTENNLTAVGNASLAGSNEDSLANMIDRALEREFPENEQNEGTDDAGSFNNSVAGQQAVLETVARVRTKKNESKEEKSFQFHDVFNLDSENRVEETPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVMTGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRIVRAVAILGGLLQIVLFMCLCGIIASLCGGKPSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVIIGTLILQDCAVGLLFALLPVLGGTSGVLQGVISMSKSLLTLIAFLVVLSILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLMVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNLFAALFLASIGMLIHVHFLWNHVDILLAAVILVIIVKTIVAASVVKGFGYNNKTSILVGMSLAQIGEFAFVLLSRASNVHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVLHLGALLRWFPPDSPAEVVFKGDSFRADSTKRITLMVQGSHDS >CAK8544903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711181483:711184209:-1 gene:gene-LATHSAT_LOCUS13547 transcript:rna-LATHSAT_LOCUS13547 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLEILPPSISDHCLLSLNAEKINRGVHKKFRFTTSVVQIPEYHDTVKQSWNKEITGRPMARLWFKLLRLQAPLCRLSKQISNLHQTIAQARNELLQNQESLIMDRMNTETIEKVKTCTDNLIQLQEFQDQMLRQRTKINWLREGDTNSSFFYAYLKSRTTTTHISQLYREDGICIHKQEDIEREVCEFYGKLMGTRESRINMIDIEVMREGPQLSMEQRADLISPISVAEITNALKGIGDLKSPGIDGYGGNFFKASWDIIDKDVIEAVTEFFEQNMIYKAFNETTVTLIPKQSDAKTLKDYRPIAGCTTIYKIISKILTTRLGKVLGNIISKAQAAFVPGQKIHSHILLAMELLKGYNRNTGTPRCMVQLDLQKAYDMVDWGALENILSEVGLPKKFVDWIMTTVTTVSYRFNINGQYTDRINARRGIRQGDPLSPLLFVIIMEYLSRLLLKMQRNPEFSHHAKCERLQITHLTFADDLLLFSRGDHASVEILYSTSNKFLDSTGLKINPSKSRVYFGNVPASVKCGILHLTSYKEGSFPFRYLGIQLTSKRLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITTYWMQCIWFPKTVINKINAICRSFLWSGGNNISRKSPVAWENVCKPHVQGGLNVMNLEVWNSMFVIKLLWNIYAKSDDLWVRWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMEETIDHLFFCCVELKQIWSGILQCLGIQHTPKRWQEEMQWALSNYGGKGWQSDLVRLALTETLHEIWLYRNEACFNHITDNRNCLDRIIYNIMYRGWTNPKLRPRIARFILP >CAK8538297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475786120:475787857:1 gene:gene-LATHSAT_LOCUS7511 transcript:rna-LATHSAT_LOCUS7511 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIDPLAFVPSLKNLTSLKILKLKNLAMYSEIPAQIDRLRRLEVLNLSNNKLQGEIPKELSNCTNIKVLVFLVNRFTGRVPTWFGSMKQLTILILETNNLVGTIPSSLKNVSSLQLLTLTEMLSIAKNKLIGDLPNQLFRYLDGLIYIYLYNNSFTGPIPSEFGSLKHLSQLYLNSNNLSGEIPKDLASCLSLTGLWLGENFLYGSIPLFLASFKSLEVLDISNNNFSSTIPFELENLAFLNTLDMSFNNLYVEVPKKGVFSNVAKVSLIGNKNLCGGISQLKLLPCLKIPSKKHKRSLKKKLIIIGVSGGILISFIAFIIVHFLTINSKKLPSSPTLQNNGVTYGELHEASNGFSSANLIGTGNFGSVYKGSLLNFETPIAVKGLNLETRRATKSFVVECNALGKMKHRNLVKILTCCSSVDYKGEDFKATIFEFMPNESLEKFLHDTEGFENHSLSLTQKVDIAFDVAHALNYLHHDEDQVVVHCDVKPNNVLLDEDIVAHLGDFGLARLIHGATEHSSKDQVSSSTIKGTIGYLPPEY >CAK8562487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500419957:500426746:1 gene:gene-LATHSAT_LOCUS15979 transcript:rna-LATHSAT_LOCUS15979 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRFSRNLRGAFNGCRCYLSIREHNHRFLLSHSRTDSTQQVVRSLFFSKGIPALYSSRYQIHHQSSSLIEDELDPFSLVADELSHIGNKLREMVVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMSTALNLPIPQVPPPMELGGATRNDLRSRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVTLASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDQRCSMDYYMEKTYYKTASLISNSCKAIAILAGQTTEVAVLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLRRVVEEGFENPENVNIALDYLGKSRGIQKTKELAVKHATLAAEAIDSLPESDDEDVRKSRKALVELTQIVITRTK >CAK8530448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22097352:22100333:-1 gene:gene-LATHSAT_LOCUS312 transcript:rna-LATHSAT_LOCUS312 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKIKGAAQSVHRINSGRYVEVSKNGPIALVGPNTHHCRFYMHYKFHSAARSSFWWLATRETFNKCCTFRNFSVSSASNEVTHHSQIAWKRLYRKYCSSGDSTFSPTINMIAQAVSLSLTRSYLLVPGIFAFACGELALSQRRWGDAERYPSHDALYMRARDGYNYMFTFTFMIVEGVVLLVRALYIAVLFFPSIVMGPFADYFGPKFRKLWLSVVLQTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFSYTKETIEKAFNRKISEIFENFEEVPVASGSIAQVHRATLKYRYPGKQAKPLVVAVKVRHPGVGESIRRDFAIINTAAKISMFIPALKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEKGESVSHYVDDFQGHEHFKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRGKSSKRLFKSKPHVIFLDVGMTAELSGSDRVNLLEFFKSVARRDGRTAAECALSLSKKQNCPNPKAFIEEVEESFTFWGTPEGDIVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKDLSYTIDGLMAP >CAK8574842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11208030:11220033:1 gene:gene-LATHSAT_LOCUS27142 transcript:rna-LATHSAT_LOCUS27142 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAMDLNASPVPEEEDEDVYEEKIHVQEYNAPDDHIESGAAIARREREERKRRLKRERPDDKPVNRSQSPRYDQQFHTKNPKSYDTSRLPPGWLDCPASGQEICCMIPSKVPLGESFNDCIFPGKRYSFKQVVHQQRVLGRKLGLVIDLTNTSRYYPVTDLKKEGIKHVKIQCRGRGSVPDNLSVNQFVYEVIQFLSRQKQSKKYILVHCTHGHNRTGYMIIHYLMRAMSMSVTQAIKIFSEARPPGIYKPDYIDALYAFYHEKKPEMVVCPPTPEWKRSSELDLNGEAVPDDDDDGVPGPDLQENHETGTVMTNDDVLGDEIPSDQQDAFRQFCYQTLRLGVGARGHTQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRSFNCRRVQMRFPCRSLNDGLGEKTHHFTLLDGEMVIDTLPDSNKQERRYLIYDLMAINHVSIIERPFCERWKMLEKEVIEPRNTERQHIYQSKNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIKRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYANLNSVDFLFEVEGDRELLFVNERGKKKLMDGNKVAFPDGSDPSLYSGKIIECTWDFDNLEWIFLRIRTDKSTPNEFNTYRKVMRSIKDNITEEDLLNEINEIIRLPMYADRIKTDSKANQHPHGNAAKRR >CAK8534380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721773655:721774179:1 gene:gene-LATHSAT_LOCUS3930 transcript:rna-LATHSAT_LOCUS3930 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGLFLCPDTSGNVVHYMYLVLLDDIDKIRTFSWGSATLARVLLQAWGWLRMTNLAPIQQNNFEFLYATRWSSLGMNYDNCPHFSITQYINLIDHLGILFGGHILV >CAK8564671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6929869:6931965:1 gene:gene-LATHSAT_LOCUS17952 transcript:rna-LATHSAT_LOCUS17952-2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGPQLSMEQRADLISPISVVEITNALKGIGDLKSPGIDGYGGKFFKASWDIVDKDVIEAVTEFFEQNMIYKAFNETTVTLIPKQPDAKTLKDYRPIAGCTTIYKIISKILTTILGKVLGNIISKAQAAFVLGQKIHSHILLAMELLKGYNRNTGTPRCMVQLDLQKAYDMVDWGALENILSEVGLPKKFVDWIMTTVTTVSYRFNINGQYTDRINARRGIRQGDPLSPLLFVIIMEYLSRLLLKMQRNPEFNHHAKCERLQITHLTFADDLLLFSRGDHVSVEILYSTLNKFLDSTSLKINPSKSRVYFGNVSASVKCGILHLTSYKEGYFPFRYLGIQLTSKGLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITTYWMQCIWFPKTVINKINAICRSFLWSGGNNISRKSPVAWENVCKPHVQGGLNVMNLEVWNSTFVIKLLWNIYAKSDDLWVRWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMGKFHGRKVYQSLLPITPNVPWAKLIIHNRARPRAIITLWTICHGKLATKSRLFRFGMINNNKCAFCNEEETIDHLFFCCVELKQIWSGTLQWLAIQHTPKRWQEEMQWALSNYGGKGWQSDLVRLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPRIARFILS >CAK8564670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6929851:6931965:1 gene:gene-LATHSAT_LOCUS17952 transcript:rna-LATHSAT_LOCUS17952 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIEVMREGPQLSMEQRADLISPISVVEITNALKGIGDLKSPGIDGYGGKFFKASWDIVDKDVIEAVTEFFEQNMIYKAFNETTVTLIPKQPDAKTLKDYRPIAGCTTIYKIISKILTTILGKVLGNIISKAQAAFVLGQKIHSHILLAMELLKGYNRNTGTPRCMVQLDLQKAYDMVDWGALENILSEVGLPKKFVDWIMTTVTTVSYRFNINGQYTDRINARRGIRQGDPLSPLLFVIIMEYLSRLLLKMQRNPEFNHHAKCERLQITHLTFADDLLLFSRGDHVSVEILYSTLNKFLDSTSLKINPSKSRVYFGNVSASVKCGILHLTSYKEGYFPFRYLGIQLTSKGLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITTYWMQCIWFPKTVINKINAICRSFLWSGGNNISRKSPVAWENVCKPHVQGGLNVMNLEVWNSTFVIKLLWNIYAKSDDLWVRWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMGKFHGRKVYQSLLPITPNVPWAKLIIHNRARPRAIITLWTICHGKLATKSRLFRFGMINNNKCAFCNEEETIDHLFFCCVELKQIWSGTLQWLAIQHTPKRWQEEMQWALSNYGGKGWQSDLVRLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPRIARFILS >CAK8566590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439649346:439650401:1 gene:gene-LATHSAT_LOCUS19711 transcript:rna-LATHSAT_LOCUS19711 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVSSSIGTRWSLKGTTALVTGGTRGIGHAVVEELAEFGATVYTCSRNQKELNKCINEWKDKGFSVYGSTCDASSSSQRDELIRQVASTFNGKLNILVNNAGTNVRKPTIEFTAEDYSKVMTTNLDSAFHLCQLAYPLLKESGNGSIVFMSSVASLTSVGSASVYAVSKAAINQLTKNLACEWAKDNIRSNCVAPWYTKTSLVKNFVTNKEFVNEILSRTPIKRIAETHEVSSLVTFFCLPAASYITGQTVSVDGGFTVNGFQPSIRIT >CAK8564829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11434223:11436128:1 gene:gene-LATHSAT_LOCUS18091 transcript:rna-LATHSAT_LOCUS18091 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKFLSSLLRTCTSHSTTSQCHAQALLQSLLPNVILETDLLLSYTKLGLMNHARKLFDEMPQRNMHSWNIMIASYTQTSIYSDALTVFEAFKRCGSLPDRYTLPPLFKISIGIAGPWFGWMCHCLVIKLGYGEVVVVTNSVLEFYVKCGTMSQALSVFNNHNAPRDSVTWNLMISGFGKAGLYSDAVHCFREMLKHQNGIELDYMTLPSILSACGKEGDLLKVKEVHGFTVRNFGFDAHAPIGNALIDNYGKCGSLKDSENVFKTVSCANLVTWTTMISCYGMHGKGEESVFLFEKMINEGFRPNAVTLTAILASCSHSGLLDQGKKIFDSMISDYEFEPTAEHYACMVDLFSRCGYLEEALRLLERMKSSSLTGSMWGALLAGCAMHKNVEIGVIAAHRLFQLEPNNTSNYVALCGIYQSRGMAHDVSTVKAKMKGLGLVKIPGCSWINIAGKEHKFYQGDLSHPLSHMIFQILYEINNTQLSTNDLGVGYLLHDDDIFVMAL >CAK8564830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11434373:11436128:1 gene:gene-LATHSAT_LOCUS18091 transcript:rna-LATHSAT_LOCUS18091-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHARKLFDEMPQRNMHSWNIMIASYTQTSIYSDALTVFEAFKRCGSLPDRYTLPPLFKISIGIAGPWFGWMCHCLVIKLGYGEVVVVTNSVLEFYVKCGTMSQALSVFNNHNAPRDSVTWNLMISGFGKAGLYSDAVHCFREMLKHQNGIELDYMTLPSILSACGKEGDLLKVKEVHGFTVRNFGFDAHAPIGNALIDNYGKCGSLKDSENVFKTVSCANLVTWTTMISCYGMHGKGEESVFLFEKMINEGFRPNAVTLTAILASCSHSGLLDQGKKIFDSMISDYEFEPTAEHYACMVDLFSRCGYLEEALRLLERMKSSSLTGSMWGALLAGCAMHKNVEIGVIAAHRLFQLEPNNTSNYVALCGIYQSRGMAHDVSTVKAKMKGLGLVKIPGCSWINIAGKEHKFYQGDLSHPLSHMIFQILYEINNTQLSTNDLGVGYLLHDDDIFVMAL >CAK8575252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:60938410:60938655:1 gene:gene-LATHSAT_LOCUS27531 transcript:rna-LATHSAT_LOCUS27531 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVEKSTMSDQIRKELCEYKRDNPASTQKDLQKLLEGKFQLKVSQGTISNTLKRSGDYLSTEIEKERANIKRHKIF >CAK8571323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:376305307:376305614:-1 gene:gene-LATHSAT_LOCUS23995 transcript:rna-LATHSAT_LOCUS23995 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLPLDNEDPDNNDTNRKMDKEVESSGGAHEMESQLISQPQTTKAERGSYHHAFHHWEKKEYARIKYIFILLIN >CAK8579017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667595257:667602340:1 gene:gene-LATHSAT_LOCUS30993 transcript:rna-LATHSAT_LOCUS30993 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCSSSASTPPFMFKSNVVVKPSFSSISISFRNPKSYPTVRIHCGATKSRNPQKSSSSTPKKKTKKKNPALDASENCNKDFEVLRERSVDSGFQNVSTGLDSSQNYYDDDLMLPKPPTGFSVDDDGNVSITSTNRLVTIIDPANNLPLECLIRRVFKSSQREECMLVCPVDTPVYILKSTVHGWSAISEEETESILPAAAFALAKIHMHLVYSGHFYTARGGFTYTEQDIIDFETDEGDEDIEGWLSDVVEVTYFELEGTNYLIHTQSKPPQFVVVKGENGLFQMADADILEDYAVSDAIDEESEFNALVVEEAAFIEAMLNDSENDSENEI >CAK8578171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610900526:610903864:-1 gene:gene-LATHSAT_LOCUS30209 transcript:rna-LATHSAT_LOCUS30209 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKASSLSASASTSASSGKLVLRIKSNEGTKRSVRQIIEKTKAKQRKNKQKIDAKKPKKPPTAFFYFMEDFRKEFQEQNPDVKSMRDVGKACGDKWKTMTYEEKVQYYDIATEKRAEFDRATTEYNKKMESRDYEETDEDSEYDE >CAK8579132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678545816:678546387:1 gene:gene-LATHSAT_LOCUS31100 transcript:rna-LATHSAT_LOCUS31100 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVS >CAK8531698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140698032:140703990:-1 gene:gene-LATHSAT_LOCUS1471 transcript:rna-LATHSAT_LOCUS1471 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFSSWLASPSCTLLPIDSSSSTPNLMLQWFTFLFLFPCPQRLLLSALDFFFLLFLLAFAAHKLYSRFNSSSNSTSSTTEPLLKESDSNYRITFWFKLPFLVTILLAITYTVLGVLAFTQTNNATSWKQIEALFRLFQAIVNIVIVILMIHEKKFKSSNHPLSLRIYWVANFVIATLFAVSAIVRIVTASEEKLEVSLRIDDIFSLVNLPLSVFFFVISIKGSSGIHAIRISDEGAIRPLISRDRTLSPYACSSFLSKTIWFWINPLLSKGYKTPLNLEDVPSLPLEFRAEKMSELFQNNWPKPDENSKHPVGLTLFRCFWKHLAFTAFLATIRLCLIYVGPLLIQSFIDFTSRKDSTAGEGIFLIFFLFTAKSVEVLSVHHYNFHSQKLGMLIRSSIITSVYKKGLRLTSSSRQTHGTGQIVNHMAVDAQQLSDMMMQFHPIWLMPLQVTAALALIYSYIGVSALAAFLGTALVFLFTTYRFKSSNGFQFQITTSRDLRLKATNELLNNMRVIKFQAWEEYFGNKIQEFREAEHGWIGKFLYNFAVNFGVLSAATLIVTVLTFGTATFIGTPLNPGTVFPIISIIKILQEPLRTFPQALMTFSQAIISLGRLDEFMMSKEMDENAVQKEENCDDDVAMEIKDGKFSWDDNDENDALRVEELVIKKGNHAAVVGTVGSGKSSLLASLLGEMFKISGKVRVCGTTAYVAQTSWIQNATIKENILFGLPMNMDKYREALRVCCLEKDLEIMEYGDETEIGERGINLSGGQKQRIQLARAVYQDTDIYLLDDVFSAVDAQTGSFIFKECIMGSLKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGMYEELLKAGLDFGALVAAHESSMEIVETSDNSNEDSSQSPKLARIASKEKESGVEKQSSQDQSKFDDKTAAKLIEHEGRETGHVSLKVYKQYFTEAFGWWGIALVVAMSAGWVLSFLAGDYWLVIATSDGSGISSFTFIFVYAAIAVVACIVLIGRAFMYTYLGLKTSQSFFIEMLQSILHAPMSFFDTTPSGRILSRVSTDILWVDITIPMFTNFVMIAYLSLFSILIVTCQNSWETVFLVIPLVWLYNWHRKYYLATSRELTRLDSITKAPVIHHFSETLSGVMTIRSLRKQNEFCDENIERVNASLRMDFYNNGANEWLGFRLDYMGVVFLCIATFFMIFLPSSIIKPEYVGMSLSYGLSLSGLLSFAITMSCNVENKMVSVERIKQFTNLPSEAPWKIADKSLPQNWPSHGTIELNNLQVRYRPNTPLVLKGVSLTIQGGEKVGIVGRTGSGKSTLIQVLFRLIEPSAGNIIIDGINISDVGLHDLRSRFGIIPQDPVLFQGTVRTNIDPLGLYSEDEIWKSLERCRLKEVVAAKPEKLEALVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDAVIQKIIREDFADRTIISIAHRIPTVMDCDKVLVIDAGLAKEYEKPSRLLERASLFAALVKEYSNRST >CAK8565042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31090855:31091150:-1 gene:gene-LATHSAT_LOCUS18283 transcript:rna-LATHSAT_LOCUS18283 gene_biotype:protein_coding transcript_biotype:protein_coding MQRREKSPGLKILWIWTFGTAAILIANVMRTGLRDFQSAMKAEEQEQQQRNDSATVIDSRFPEERVIED >CAK8540375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555629027:555630266:-1 gene:gene-LATHSAT_LOCUS9383 transcript:rna-LATHSAT_LOCUS9383 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQKLLSHDSSSIPLIARLDHLEFIMKYLERKQRCGNNAVVNGDADNNKQQSSESSIKEDYFKGTLMDRVASLENRLFQLCVEMDSSGSSNPLSASTQASGESSSSQESKGEISYSFPTFNNVPNHNGDKQIMPHNHNNTTEFKEESEIVEEPQTKSTCSSNKKQVVVTKNNKGKKSEKKSKSEKKTISSIGWPHLKLLGC >CAK8561380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:179495780:179495941:-1 gene:gene-LATHSAT_LOCUS14980 transcript:rna-LATHSAT_LOCUS14980 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDTPRTWILYEPMDRDKSLLLAMTSSFITSSFPYPSPLFSVTHQMKLSSYL >CAK8540744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18323590:18325014:-1 gene:gene-LATHSAT_LOCUS9718 transcript:rna-LATHSAT_LOCUS9718 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNIKIHQHIIVVPPSSSTQTTIITPLTFFDIFWLKFHPVERVFFYTLPNSQSHPSFFFQEIVPNLKSSLSLTLKHFLPLAGKIVWPSDSSKPFIRFNPNEDDGVSFLIAESELDFNLVIENSPQEASLSRSLIPLLESTDSFASIISIQITLFPKSGFSIGISTHHAVLDGKSTTMFIKAWAYLCNKTIETLEESQTLLPRLEPLFNRDIIKDQNQLAVYFTNIWIQLMTQNFPNEKVNNLRIFPFEPKLKDYVRATFKLTREDLDKIKQRVLSKWEILYTNVSKPKNLSSFVIACAYLHVTIAKAMNGVEKEKEKEKFSFVFSVDCRARLEPPIPNNYFGNCVWVQFPDTQPLDFIKEDGVLLVAKCIHEKIMMIDEKGVLEGAKDCINKFISLEREGFEVMGVAGSNRFGVYEIDFGWGRPEKVEIVSIDRGLTIGLAESKDGNGGIEVGVVLKKDVMDIFSNLFLEEL >CAK8544051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660027015:660029289:-1 gene:gene-LATHSAT_LOCUS12760 transcript:rna-LATHSAT_LOCUS12760 gene_biotype:protein_coding transcript_biotype:protein_coding MNWITMRTYLDSNATLKVLGKLLLGQLVSSAVALMSITASLIAKFGVDTPLTQSLFTYGSLALVYGSILLYRHQKPLVSWYWYLLLGFADAQGCYLVIKAYQYSSITSVTLLSCWTVPWVILLTWVVLGTRYSLWQLFGGTLCVLGLSLVLLSDTWDDGDGGGSKIILGDVLVIVGTVFYAISNVGEEFCVKKKDRVEVVAMLGVYGFLVTAVEVSVLELKTLESIKWSTDIVLAFASYGVSSFMFYSLAPFVLKLSGSTMFNLSLLTANMWAVVFRVFFYHQKVDWLYFLSFAVLVIGLTIYSTTEKKSVPAPATIIVEDENLNTEYQILNGENESESIPT >CAK8538390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480856390:480856575:-1 gene:gene-LATHSAT_LOCUS7599 transcript:rna-LATHSAT_LOCUS7599 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRCLSSGESFSLPLIEERESLHRAGGSPWGVGLLLVFLLFMIGYQSSFHERWFPLASK >CAK8562147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:447147502:447148776:-1 gene:gene-LATHSAT_LOCUS15671 transcript:rna-LATHSAT_LOCUS15671 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKTLTYLAQKSTLDSTFIRDKDQRPKVAYNQFSNEIPVISVAGIDDVDGLRTQICEKIVEACENWGIFQVVDHGVDSNLISEMTRFAKMFFDLSPEEKLQFDMSGGKRGGFNVSSHLQGEPVKDWREIMIYFSYPINQRDYSRWPNKPEGWKAVTEQYSEKLMSLSCKLLEVLSEAMGLEKEALTKACVDMDQKLVVNYYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVKPIEGAFVVNIGDHGHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPDATVYPLKIREGEKSMLEEPITFSEMYRRKMSKDLEIARMKKLGKEEKELMELQKAKHEAKPLNEILA >CAK8567891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555129279:555131966:1 gene:gene-LATHSAT_LOCUS20906 transcript:rna-LATHSAT_LOCUS20906 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQHFGASDSPLPTKPRVINAEKKNVCLMCGDKGDLKRLVYCIQCKAFAQHSYCIENIHREDDGTIVWRCVDCAPSNPKRKPESTRKSKRINERILMKKSGTVRKQSLIRSKEGKSVGCLAKNDAEKILPILKNEDALYNQTELPKAKDPLSMSSVRSKERESVGCIAKNDAEKILPILDNEDVLCNQTESPKAKDPLSMSSVRSKEGESVGRLAKNDTEKILPLLKNEDVLSNHPESPDAKDPSNISCDKQAMMSEIYAEPEAINIMPQLLHYPEFDKYSCAQPLSDPIWGGQFRLNNATHFHLVAYLSSEACSKVKSAVTELPELLDVESLSRHVIWPQRFVTYPPNNHYIGLYFFPQYERDEMIFDRVLNNAIERDNALKAVINNNLELFIFSSHLLPPDDRRICKKYYLWGVFKSKPRKQ >CAK8541099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:65952755:65954158:-1 gene:gene-LATHSAT_LOCUS10050 transcript:rna-LATHSAT_LOCUS10050 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKLQSSLKHRTLSTVTFPPHILTLLADNSITIEHLKQVHAQMIVSSRINDHFAASRLFSSFALSPFGNLTHASRIFSSIHKPNSFMWNTLIRAQQQPHNSISLYISMRRLGVLPGKHTFPFLLKACSSLSNPLLPCKQVHTHVLKFGLDLDSHVANGLVRGYSVSGVLIDARHMFDEILMKSLSLWTTMICGYAQNFCHNEALDLFEGMLAVGFEPNGATLASVLSACARSGCLELGERIHEFMRVRGVEVGVILGTALVYMYAKNGSILRARKLFDEMLERNVVTWNAMLCGLASHGHVEDALSLFESMKKDGIVVPNDVTFIGVLSACCHAGLIGVGREIFYSMKDVHGIEPKIEHYGCMVDLLGRGGKLLEAEEMIKRMPWKPDVVILGSLLAASKNNGNTEVAERVVKEILTLEPHNHGAHVALSNMYAEAGQWQEVLRLRKMMKEEKLKKAPGWSLLAT >CAK8566697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453594834:453596677:-1 gene:gene-LATHSAT_LOCUS19809 transcript:rna-LATHSAT_LOCUS19809 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSTTPLFKSLTTAALFHSKTKPTFFSLPSKPFKLHLSLNSSPSLTLSRKTNRATPFFAVQDDETLTTYEEGVVETGGLLDWEPNTAENETGEDSEQGDFLEPSEDAKVFVGNLPYDVDSEKLAMLFESAGTVEISEVVYNRETDQSRGFGFVTMSTVEEAEAAVEKFNRYDYNGRSLTVNKAAPRGSRPVREDRPPRTYEPVSRVYVGNLPWQIDESRLEQVFSEHGKVVSVRIVNDRETGRSRGFGFVTMSDEKEMNDAIAALDGQSLEGRTIKVSVAEDRPRRGSF >CAK8534850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:777740981:777742532:-1 gene:gene-LATHSAT_LOCUS4357 transcript:rna-LATHSAT_LOCUS4357 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEVNTEIPTGESAVEEIDIISTLHESILGHILSFIPIIDAVHTSVLSKRWIEVWTSITSLKFDDSLLHYEKKLQKQQFVNFVEKVLFQFTNSSIQSFSLCLTSYQYDASLITAWISFILESRIQKLHIQYADKVFLSSSLLFTCDSLIELVLQMKCTLSLPISSSLPNLQKFSISGIKLVSDSSDSSKDITLSFPILRVFEARGCEWSTKQNISLQVPLLERFSIAIWNRQSNESCNFSIKVYSRCLTDFCYEGDLEQDIILCDSPSIRNASIVIVMDEDKEDRMEKLGFQASNLFRQIRNVERLKLLFYKVFRHAKDIFTNMPVFGRLRYLELNEVNGIALLQLLNNSPILNTLVLLNGVADLDKDVFTSAAVPHCFLSSLNVIRFKGFNANEHDLCLVKFMLANAAMLQKMTISPAFWLRYADIDLENVKEQILSAPMCSSFCKIEFSDIRS >CAK8531961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184673505:184676722:-1 gene:gene-LATHSAT_LOCUS1710 transcript:rna-LATHSAT_LOCUS1710-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKQVFNVADLSSALNDDSRAELINALKGLNEPLDVLGSLSLSPIVRQRVEVLREIQSAHDELEAKFFEERAALEAKYQNLYQPLYAKRYEIVNGVADVTVVPIKTAGDIAEVEEKGVPSFWLIALQNNDVVTDEITERDEEALTYLKDIKWSKLQDRKGFKLEFFFDPNPYFSNTILTKTYHMVDEDEPILERAIGTVINWLPGKRLTEKTLTKKSKKGSKNAKPITKTESCESFFNFFDPPEVPEDDVVLDEEVAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAGDGDDDTDDDDDDYDMGEDDDDEEEEDDEESEDETETEKKSSITKKGGIVQSGDGQQGERPAECKQQ >CAK8531960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184673505:184676722:-1 gene:gene-LATHSAT_LOCUS1710 transcript:rna-LATHSAT_LOCUS1710 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKQVFNVADLSSALNDDSRAELINALKPLDVLGSLSLSPIVRQRVEVLREIQSAHDELEAKFFEERAALEAKYQNLYQPLYAKRYEIVNGVADVTVVPIKTAGDIAEVEEKGVPSFWLIALQNNDVVTDEITERDEEALTYLKDIKWSKLQDRKGFKLEFFFDPNPYFSNTILTKTYHMVDEDEPILERAIGTVINWLPGKRLTEKTLTKKSKKGSKNAKPITKTESCESFFNFFDPPEVPEDDVVLDEEVAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAGDGDDDTDDDDDDYDMGEDDDDEEEEDDEESEDETETEKKSSITKKGGIVQSGDGQQGERPAECKQQ >CAK8578763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:652024750:652025409:1 gene:gene-LATHSAT_LOCUS30749 transcript:rna-LATHSAT_LOCUS30749 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVTTVDCHKQVRSWRLLRSLMQLLIPTCNRSTIVEDQDSISNKIHQYSSSLTTSTTITGTIFGYRKGKISFCIQSNANSTTPILLLELAVPTSTLAREMRGGTLRIALESSDDNGRSSTLLSTPFWTMYCNGKKVGYAVKRRPSNTDFEVLSLMSAVDVGTGVLKCREEDDEVMYLRGSFKRVGGGSSECESFHFIDHERDHNIHQELSIFFFRSR >CAK8567900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555558041:555562587:1 gene:gene-LATHSAT_LOCUS20914 transcript:rna-LATHSAT_LOCUS20914 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMGTSPRSVEPRHRLPASIEDLYKRRVSKTKTKDIEKPFHLSIQDRSSRWKFSFLKLILLITISATFVMFIYSPDVYNTSHLSGSGSRWIWGGSDPRYVSNVDTDWDDIVKITEKLTGKNEFQGIGLVNFNKTEISHWKHNFQDATHVVLHLEHAANNVTWESLYPEWIDEEEETEVPVCPSLPSLVPPGMRLNVIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPIYVLFITDCFPIPNLFTCKELVGREGNVWLYRPNLSILREKVQLPVGSCELALPMRGRELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRLSGSTRDLVILVDKTISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTMLFGAEPPVLYVLHYLGLKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLQSKQKAQLEWDRRQAEIANYTDGHWRIKVKDRRLKKCIDNLCNWKSMLRHWGESNWTDDESFTPTPPTVTTASLSAL >CAK8579631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713698885:713699448:-1 gene:gene-LATHSAT_LOCUS31562 transcript:rna-LATHSAT_LOCUS31562 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFNRNPSSSSTPSRSTSLSVHSRARLAGELEDVFKKFDVNGDGKISASELGSIMGSLGQPSTDEELNNMIREVDGDGDGCISLQEFIELNTKGVDSDEILENLKDAFAVFDMDGNGSITAEELNTVMRSLGEECSLAECRRMIGGVDSDGNGTIDFEEFRMMMMMGSRHDTTDRVKPEPMPTDE >CAK8567517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520073861:520074841:-1 gene:gene-LATHSAT_LOCUS20563 transcript:rna-LATHSAT_LOCUS20563 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQRHNNITSNELDQMLHMLSSSSSSNPMPFSTTLMDQSNSKWKPHVEIAPNCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKHRRGKVVRNLSTDHLSTDGSGSVDGDQQNQNNVSRDIDMAVVFAKFLNQNTSNHHHGDHEELETESEVYNNIGSSSSNNMNNLSTTDSVETENDAVVQPQNSFDHDAVVVNGDPFDHELSLSEFDGFLGVDEDVVQDVLWPGSSDAMMVSTWQQPPPSMMQMEMDYSMPLPLPLNEGDNHDQLLPVTVNSNSAGVNLISESWNTSWDSFDLSNMEVFSTSSRS >CAK8579412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696945087:696945560:1 gene:gene-LATHSAT_LOCUS31360 transcript:rna-LATHSAT_LOCUS31360 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTEPSSSRSVFLGVDVGTGSARAGLFDEEGKLLGSSSSPIQIWKDGAFVEQSSTDIWLAVCAAVKAACSKAEVAPTEVKALGFAATCSLVAVDSDSSPVSVSPSGDSRRNVIVWMDHIASLSLSSRSTVLFSFARLHLRLPSRILQPQPENADF >CAK8561926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413160506:413161633:1 gene:gene-LATHSAT_LOCUS15472 transcript:rna-LATHSAT_LOCUS15472 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPKMNLGDVAKLNTADKEMLDAEFIKEYVFETICECDSNKCPRVDGYNFKLLKNYWKIVGFDVTNIVLEFFDTASMSRQVVKEIIAIQRQFLWFGSLGKRGIAWVSWNYLCKDNKCDGLGIKHVGQFNVSLLSKWLWKFLQEGNELWRNILEFRYGNLAKRFLSDDVNGNDVFDSLWMCDLISVCDVDNGSCFKNMLSVILGNVNLCLLFPSLFEFSTTKLWSVNEMRELENLLISVQPNIDIVDRWLWQLENNKHYPVKSYYDHINDAGNYSMLDPPVLTALEILWKTCIPLKIKIFVWRIFLNRLSTRKNLVDRGIIVIRHEKVCVLCFNDLEDISRPFSFVLN >CAK8561927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413160521:413161633:1 gene:gene-LATHSAT_LOCUS15472 transcript:rna-LATHSAT_LOCUS15472-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDVAKLNTADKEMLDAEFIKEYVFETICECDSNKCPRVDGYNFKLLKNYWKIVGFDVTNIVLEFFDTASMSRQVVKEIIAIQRQFLWFGSLGKRGIAWVSWNYLCKDNKCDGLGIKHVGQFNVSLLSKWLWKFLQEGNELWRNILEFRYGNLAKRFLSDDVNGNDVFDSLWMCDLISVCDVDNGSCFKNMLSVILGNVNLCLLFPSLFEFSTTKLWSVNEMRELENLLISVQPNIDIVDRWLWQLENNKHYPVKSYYDHINDAGNYSMLDPPVLTALEILWKTCIPLKIKIFVWRIFLNRLSTRKNLVDRGIIVIRHEKVCVLCFNDLEDISRPFSFVLN >CAK8538354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478763289:478764506:-1 gene:gene-LATHSAT_LOCUS7566 transcript:rna-LATHSAT_LOCUS7566 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVYDQSFNVNLAPLEPSRKRKTRSRGKGSKSVAEILAKWREYNEHLYEGKEDGKPKRKAPAKGSKKGCMKGKGGPQNSENRHRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAQEAALAYDYAARAMYGPSARLNFPHISDYSSISESLKDSSSLAADSCPCSSVATSTSETVSSHSEVCALDDVKEIPKLPVYMNNTLDVFRKDYEASSPTSRMKQEPKDDHVHIIDPGIGEIKDAKSEGIQIQTQKQAHDVVQVEEGVCNDQMDLSWIDNFDFNGDCLKNFTMDEFFHVDELLGHIDNNPITDEHGMMQNLDFGQMGFPEETNPPQVGTETTSSFFYELENPDAKLLGSLPHMENITSGVDFGLDFLKAEEPGNYNDALGDTLFPVLDFDVNHDASRPM >CAK8566558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:435789446:435791655:-1 gene:gene-LATHSAT_LOCUS19680 transcript:rna-LATHSAT_LOCUS19680 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKEKRNKQSSREEEKSEQEKFVYDGSVDYKGKVPLRASTGVWIASLFVLTIEFSERVSFFGIAANLISYLTKVMHEDLKTAAKNVNYWTGTTTLMPLIGGFLADAYTGRFPMVLFSSLVYLMGLSLLTMSQYIPNLKPCNTKTCLQPRKLHEVVFFLSLYCISLGTGGHKPCLESFGADQFNEDHDEERKKKMSFFNWWNFALCFALLLGATVIVYVQDFVSWGAACLILAILMALCIIAFYVGKPFYRYRKPQGNTLKPILQVLVAAIRKRKLSCPSNPDLLYEVPKSDNFQGRLLPNTSKLRFLDKAAIIEDTQIDQKKNPWRLTTVTRVEETKLILNIIPIWLTSLTTGICVAQGSTLFVKQAASMNLKVNDSFTIPPASVSAAAAIGTIIFVPIYDRIIVPSMRKITGNERGISILRRISIGLTFSVMVMIVAALVEAKRLRMHEQEKTMRLSVFWLVPQYFILGFGDAFSLVGLQEYFYDQVPDSMRSLGMALYLSVIGVGSFLSSFLITIVDYVTEKNGKSWFGKDINSSRLDKYYWMLAIINALNLCAYIFIANRYTYKSVERIGNEINDCESDGVEMMT >CAK8569928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16120378:16120746:1 gene:gene-LATHSAT_LOCUS22727 transcript:rna-LATHSAT_LOCUS22727 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPPTMLNTHPNTSSLWQTPIPYLFGGLAAIMGLIALALLALACSYCSNSQDDELNNKESDSQTKEPVKSYEEKILVIMAGNEKPTFLATPTLLSIDDKENDNLVLVQENEGSCSSQRRQ >CAK8579539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706419710:706425333:1 gene:gene-LATHSAT_LOCUS31478 transcript:rna-LATHSAT_LOCUS31478 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEKSPSTVTGNRDRELLIPVANSGVDTDASKPSSSASSVHHTGRETFSIVVRSWASKKFMTGCVILFPIAITFYFTWWFIHFVDGFFSPIYAQLGINIFGLGFITSITFIFLIGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNSQAFKEVAIIRHPRVGEYALGFITSSVVLQTYSGDEELCCVYVPTNHLYIGDIFLVNTKDVIRPNISVREGIEIIVSGGMSMPQILSTLDLHVPVEISRLGRR >CAK8534608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:747925743:747925955:1 gene:gene-LATHSAT_LOCUS4141 transcript:rna-LATHSAT_LOCUS4141 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSATSYVSDSLLEDVPHLSDYIPDLPTYPGPLQDNPSYAVVKQYFVNSDDTVAQQIVVHKNSPRGTVF >CAK8544364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679845408:679847240:-1 gene:gene-LATHSAT_LOCUS13050 transcript:rna-LATHSAT_LOCUS13050 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLCNPLSIFLIVLYASVAFSDTMISPNPITSTSPGTACKSTPDPTYCRSVLPPQNGNVYDYARFSVKKSLSQSRKFSNLINIYLQRRSSLSTTAIRALQDCQSLSDLNFDFLSSSFQTVNKTMKFLPNLQADNIQTLLSAILTNQQTCLDGLKGISSTNGLTVPISNDTKLYSVSLAFFTKGWVPKTKPKTAFHNNLHPSFRNGRLPLKMSSRTRAIYESVSRRKLLQSDKVGENVVVRDIVTVSQDGSGNFTTINDAIAAAPNKSLSTDGYFLIYVTAGVYEEYVSIDKKKTYLMMIGDGINKTIITGNHSVVDGWTTFGSPTLAVVGQGFVGVNMTIRNTAGAIKHQAVAVRNGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAKVVFQNCNLYPRLPMTGQFNAITAQGRTDPNQDTGTSIHNCTIKASDDLASSNATVSTYLGRPWKEYSRTVYMQTFMDNVVDNSGWRAWDGEFALSTLYYAEFNNTGPGSNTDGRVTWQGYHVINATDAANFTVSNFLLGDNWLPQTGVSYANNLINN >CAK8563846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634671654:634676424:-1 gene:gene-LATHSAT_LOCUS17214 transcript:rna-LATHSAT_LOCUS17214 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDCKHQGLMKMKKWYGGLLIMALGVMLFLLYSLKGIQPQKQSTKQSAYSFFNNNSPPNDSIKRSSNVEAASFNADLKKMPKPTKRPHLVHVTGLDDLYDMKNLSVGEMNVVLAWTHLRSLLSRSDALPETAQGVKEASVAWKELLSTVENDKAYKISKIDSPENQNCPFSVTTLGQTVADSGITLNLPCGLIIDSSITLIAIPNEQNSSFQIDLAGQELEEEPYPPIILHYNVSLPGENMTEEPYIVQNTWTNDFGWGKAERCPARSSANIHKVDELVLCNVQAVRNNDEENVNVGQPTSGIPSNISSESTHRTGNFPFSEGNPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWLVNTIKVAGSLSLFSVLAKGLPVTEGNDIVVDVENLKAPSILRKRHVLLIGVFSTGNNFERRMALRRSWMQYVAVRSGEVVVRFFIGLHKNNRVNLELWREAQAYGDIQLMPFVDYYSLISLKTIAICIVGTKIIPSKYIMKTDDDAFIRIDEMLSSLKGKPSEGLLYGLISSKSSPDRDKDSKWYISNAEWPHDTYPPWAHGPGYVISRDIAKFVVFGHQERKLQFFKLEDVAMGIWIERFRNSGKEVHYENDERFYNAGCESNYILAHYQNPRMVLCLWEKLQKEHKPECCE >CAK8563847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634671654:634674857:-1 gene:gene-LATHSAT_LOCUS17214 transcript:rna-LATHSAT_LOCUS17214-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYGGLLIMALGVMLFLLYSLKGIQPQKQSTKQSAYSFFNNNSPPNDSIKRSSNVEAASFNADLKKMPKPTKRPHLVHVTGLDDLYDMKNLSVGEMNVVLAWTHLRSLLSRSDALPETAQGVKEASVAWKELLSTVENDKAYKISKIDSPENQNCPFSVTTLGQTVADSGITLNLPCGLIIDSSITLIAIPNEQNSSFQIDLAGQELEEEPYPPIILHYNVSLPGENMTEEPYIVQNTWTNDFGWGKAERCPARSSANIHKVDELVLCNVQAVRNNDEENVNVGQPTSGIPSNISSESTHRTGNFPFSEGNPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWLVNTIKVAGSLSLFSVLAKGLPVTEGNDIVVDVENLKAPSILRKRHVLLIGVFSTGNNFERRMALRRSWMQYVAVRSGEVVVRFFIGLHKNNRVNLELWREAQAYGDIQLMPFVDYYSLISLKTIAICIVGTKIIPSKYIMKTDDDAFIRIDEMLSSLKGKPSEGLLYGLISSKSSPDRDKDSKWYISNAEWPHDTYPPWAHGPGYVISRDIAKFVVFGHQERKLQFFKLEDVAMGIWIERFRNSGKEVHYENDERFYNAGCESNYILAHYQNPRMVLCLWEKLQKEHKPECCE >CAK8566792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463399245:463402359:1 gene:gene-LATHSAT_LOCUS19899 transcript:rna-LATHSAT_LOCUS19899 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSRSHIDLVDLVSGDNINFRQTPPPFIPVAGVMSTDGDDSNALSLVPHRKMIIVATFLPLDAKKDDISGRWCFRRDDDSIFLQLKDGLSSDIDVVYVGSLKVNVDESEQEAISLHLLEEFNCLPTFIPSELHKKFYDGFCKNYLWHLFHYMVPKYECGCNGFNWSLWQAYVSANRMFADKVMEVLNPTEDYVWVHDYHLMVLPTFLRRRFSRVRLGFFLYSPFPSSKIFETIPVRTEILKALLNVDMIGFNTFDYAHHFLSICILLLGLEYESKSGHFEIEYFGRTIFIKILPTGIHMGHIQTALNHPSTSIKVREICKQLKGKKVIISVDDLDIFKGVDLKFAAFEQLLKLCPELLGQLVFVQILNPPRSDCRYVEAAKKISHMLAKRINRRFGFLGYTPVIIIDRYVPFHEKAAYYALAECCFVNAVQDDMNLVPLKYIACRHGSSKIDQALDIASDYPRTSSIVVSELIGCPPSLSGAIRTNPWDINAVAEALKFAITMSNGEKQCRHEKNYQYVSTHDVAYWAQQFEQDLFFSCKDHYTKLCWGFGFGLEFRVLALSASFKKLSIDYVVSAYKRTKCRAIFLAYDGTIVPECSGLESPGPYVISLLNNLCKDPSNTVFIVSGQGRPSLRKLINQCENLGIAAEHGCYIRWGGESYWKMNQVGTNYAWKVITERVMRSYTDVTEGSYMEIRYSALEWNYHDAETDFGSQQGREMLEFLGSELANEPVVVKKGKHIVEVKLQGISKGLVVDEVLSILTMSGKSPDFVLCIGDDRSDEDMFESILNKSFASTSSSSPEIFTCTIEQKPSKARYYLDDTAEVMILLQGLAAAARESTTISSTGTSS >CAK8560259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12220127:12222068:1 gene:gene-LATHSAT_LOCUS13948 transcript:rna-LATHSAT_LOCUS13948 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWLKNLLGMKKEKEKEKEHIDVNSGSLTPNNSKKEKKRWSFGKQGKSLDSNITTSDSDSAWFRSYMADTENQQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGTLFSGSREKWGAVKIQTFFRGYLARKALRALKGLVKIQALVKGYLVRKRAAATLHSMQALIRAQNSVRSQRARRSMSKENRFLSENLARKSLERYDETRSEFHSKRLPTSSYETPLNGFDESPKIVEIDTYKTKSKSRRFTSTMSEYGEELPPCHATISSPLPTRISVPDHRNHQPQQDFDWYFNNLEECRYPTTHNTPRFNHSSSTLPPNTPSKSVCGGESTFYRPYYYSNFPNYMANTQSFKAKLRSHSAPKQRPEVKKRLSLNEMMAARNSLSSVRMQKLPSSNLQTQQEEESWNF >CAK8562689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:526532394:526534860:-1 gene:gene-LATHSAT_LOCUS16164 transcript:rna-LATHSAT_LOCUS16164 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNFRNRSRNLNYRETSDAEDDFYMIIENIRQTSDYGNNRRRGRRPNRPNRDDNIREDNTLRWENRGSRNSHSRRPRTVQTFQINERRSVPETSISLEPNLMVENYVPESSNTLEQNLTMENDPSSINERMEANSPLTNQTTDAQEVTHNVMMEANPSSMNERGNVSETIQRITTETSSQHEDRILSKKTILAWLTACKAIDEGSQVRYKGGVKTITGRISNGGIICSCCDQEFSVWDFEKHNRSTQQQPYKNIFLIKNNRPMEQSIIGAWMSAEEQKRRSAFTYVPNENEQIHDSCLVCGGDGAEGETIVCDKCPSTYHKSCANMQNVRFFQDWLCHYCRCKFCEVGEDNEHLITCCHCLKKFHWSCIEESEKVKLMKTPLLQCSCNCKEIYETLEGFIGVENKILERENFSWCVVRQMDAINEDRYVSINSKVAVACMLMNEAFGRIKDTRTNNNLVQSVMYHRGSNLRRLNFSRFYTFVMEKDDTVIAAAPIRIYGKDIAEMPFFATDEAFRGKGFCRLFIGLIEQFLLSLKIKEWIISSSQESLEMWKEKFNFDVIIDRGLKRKVSSCNMLILPHVIRLHKKIYDVDMNMAQEPEE >CAK8574547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1920631:1921287:1 gene:gene-LATHSAT_LOCUS26882 transcript:rna-LATHSAT_LOCUS26882 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAATMGMGMTVTSHFSSSSRLLAFQPNLLPNKRPTKSFSISNNRKTTVTACTVTAAPPAPQSPINEDTINLSGSTRTVTTLFGIAALCIKAFVKILPPPELCLSIGTSSSSLFFAALVRKSSQGSSLNTPLTVVASGLKKWLDIYSGVLLVRVLLSWFPNIPWEKQPLSAIRDLCDPYLSLFRNVIPPVFDTLDISPLLAFAVLGTLASILTVPV >CAK8539080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503244559:503247395:-1 gene:gene-LATHSAT_LOCUS8212 transcript:rna-LATHSAT_LOCUS8212 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKKFKDAMQRKALASAAAAAALEEANATECIIRNLRLEKLNWSAAEGKAKVIGTLIGTNGAVLLTFYKGAEINIGSSNINLLHAHHNQIGDAKPQHVDFSNKLLGVLCAIGSSCSFSLWFIIQAKMHKEYPSHHSSTALMSTMGAIQATVFALCVDRDWIQWKLGYNIRLLTAASSGIVTFGIATIVVAWCIKMRGPLFAAVFYPLQLIIVAVSAYLLLDEKLYLGSILGAVLIVCGLYVVLWSKNEEMKEKAQLMSRFTLLFQAPPTVVVHPRAPPSHPQTTTDHFSNSLLFYILMFH >CAK8571287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364640280:364640744:1 gene:gene-LATHSAT_LOCUS23963 transcript:rna-LATHSAT_LOCUS23963 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLSQPAPNSPKSTIKFLCSYGGKILLRYPDGKLRYLGGHTRVVSVHRSVQFSELLPELEKLCGSFVTHFCCQLSAEDLDALVSITSDEDLVNLIEEYDCTASPQFPLKIKAFISPPRSTNKVSKPPIPTTPVLHFSVQIHRNHNHGTFFQHS >CAK8564092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651216261:651219722:1 gene:gene-LATHSAT_LOCUS17431 transcript:rna-LATHSAT_LOCUS17431 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFQTFRKAYGALKDSTKVGLAKVNSEYKELDIAIVKATSHVEYPPKERHIRKIFYATSAHQPRADVAYCIHTLSKRLSKTRNWIVAIKTLIVIHRILREGDPSFKEDLVNFSYRAQFLQISSFKDDSTPLAWDCSAWVRTYAQFLEERLECFRILKYDIEFERLTKSSPASTKAHSKTRTLSSDELLEQLPALQQLLYKLVCCQPEGAAFSNYLIQYALALILKESFKIYCALNDGIIKLVDVFFDMTRYDAVKALHIYKRASQQAEHLADFYEYCKGLDLARNFQFPVLRQPPHSFVATMEEYIKEAPTSHRDVKRLEYQENDQSPKKEPEQKETEEPEAVEEQVEEEQVEEVKEEEHVDEEQTEEAELPPLISTDADDDLLGLNEINPKAQEIENNNAFALAILPPGGGTNSNNLALTNFSGTTGWELALVTTPSNHTSQAPNRTMAGGFDKLLLDSLYDDENARRQLQLQNAGYGYGGTTTPNPFDNYSHRDPFAVSNNVAPPSNVQMASMAQQQYMMFQQQQQHSMMMVPYQQQNPHTQYHQQMPVMSSSNPFGDPLPVPSYSYSPMHHHQGNYNIM >CAK8575956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374459447:374470537:1 gene:gene-LATHSAT_LOCUS28180 transcript:rna-LATHSAT_LOCUS28180 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8575957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374470226:374470537:1 gene:gene-LATHSAT_LOCUS28180 transcript:rna-LATHSAT_LOCUS28180-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8540914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31342250:31343413:-1 gene:gene-LATHSAT_LOCUS9871 transcript:rna-LATHSAT_LOCUS9871 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNHFNTQNSPNFPFNQNPNNFPNPNNYQNPNYYQNPNQFSNQHPQNIPNFGFPPNFNQTSSVPNFQTYYGSLPRNPSQTPPFNGYVTMANANFPSGGVPEFSEFSTQLTIGGMIVSNEVGPNSEDSTPKSRKTQQPTWNTEQNLVLISGWIKFGTSSVVGRNQKGETYWGKIAEYCNEHCSFDPPRDGPACRNRFNYMNKVLGKWIGAYDGAKRMQGSGWSENDVLAKAQELYACGKNVRFTLMEEWHALRDQPRYGSQVGGNIGSGSSGSKRSRESDACGSNTVESSARPIGREAAKKKGKKKSKEYASEVVDKEWAEYKEFKTKELERLDNIALMQQQANNIALEKTKTKKMKMYLKLTSEEHLDDRKNQLLKKLEAELFDN >CAK8577190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543748711:543749448:-1 gene:gene-LATHSAT_LOCUS29319 transcript:rna-LATHSAT_LOCUS29319 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGRIVSNAAVCLRENLTFRSVQVRNINIGGGLGGEIPDSKRLQYALQHIHGIGRAKAHHIVCELGVENKYVKDLSKRELYSLRELLAKYLIGNDLKKLVERDVGRLVGIQCYRGIRHADGLPCRGQRTHTNSRTRRTMRTYGGSR >CAK8572621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551583030:551585183:-1 gene:gene-LATHSAT_LOCUS25164 transcript:rna-LATHSAT_LOCUS25164 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQTFVLTFFLVSFIVQHGNCQRANVESSFVQREGTHFVLNGKPHYVNGFNAYWLMIMAADPSTRSKVTSAFQQASKHGLNLGRSFAFNEGDYRPLQISPGSYDENVFKGLDFVISEATKFGVKLILGFVNNWKALGGKSKYVQWARENGENVINEDDFFTHPVVKQYYKNHIKTVLTRKNTINGLLYKDDPTIFSWELINEPRINETGKSIQNWVSEMASYVKSIDSNHLLEIGLEGLYGESKQQLNPYSLLIGTDFISNNQIPEIDFSTIHVYHDYWLENSNQSAAIDKWIDVHIEDSNTILRKPIIVAEFGMSSKSPGYSIDARDDYYKEIYNIISTSATSGGSCAGAMFWQLLTQGMDSYGDGFEVILENSPSTGEIVKQQSTKMSNIKL >CAK8539250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507581682:507583743:1 gene:gene-LATHSAT_LOCUS8362 transcript:rna-LATHSAT_LOCUS8362 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSPAIKSRSRCPLQEQFLQRKSSRENLDRFIPNRSAMDFDYAHYMLTEGAKGKENPVACSPSREAYRKQLAESLNMNRTRILAFKNKPPTPVDLIPHEITSNHQHDKIAKPKRVIPQTSERTLDAPDLVDDYYLNLLDWGSANVLAIALGNTVYLWDASNGSTSELMTVEDEDGPVTSVSWAPDGRHIAVGLNNSEVQLWDTASDKQLRTLRGGHRQRVGSLAWNNHILTTGGMDGRILNNDVRVRSPIVDTYRGHEQEVCGLKWSASGQQLASGGNDNLLYIWDRGTASSASPTQWLHRLEDHTSAVKALAWCPFQGNLLATGGGSGDRSIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLYMTQSPDGCTVATAAADETLRFWNAFGTPEVVAKAAPKAREPFSHLNRIR >CAK8577549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:573177748:573180124:-1 gene:gene-LATHSAT_LOCUS29647 transcript:rna-LATHSAT_LOCUS29647 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSELSLDLTPTFVPKTIASFLYNLSTIQTSSHKLSKLHDFLSRLEQELNKIHAFKRELPLSMLLLNDAILILKEELEKCRRQNCVPVLEEFIPLKKEIDRSEENHDIDRDKNNECRDKKNWMSSVQLWNTTTTTTVTTTDDNNNNNNNASESDHKVRNNQNILETKKREEEEKSVTVAEDRFQSCSSNRNGGSAFMPFSTYSSVPVTTVTLAAPKDEKDESGVNRLSFMMPGVKGLREGFDSRGSRSSSSRAVSVSSSPPTVQPSLRSVPVQPQQIARKQRRCWSPELHRRFVNALQKLGGSQATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPAASGNESVVVLGGLWMSQETYNGSSKGSSSASGSPQSPLHLATGSRGGTSRTEGDSMEDDDEDAKSESYSWKSHIQRHGQVGV >CAK8532968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:557039466:557041386:1 gene:gene-LATHSAT_LOCUS2622 transcript:rna-LATHSAT_LOCUS2622 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHQHEEARNMHSLRMQMQERGNGSIDSGLVLSTDAKPRLKWTPDLHQRFIEAVNQLGGADKATPKTVLKLMGIPGLTLYHLKSHLQKYRISKSTNGQTNTFNNKIATPTTEVESRMLDSESSGIHMKDLSIGLQTNKNSDIKEALNMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLHSVLEKAKETLGKQNLGTMGLDDAKVQLSELASRVSTESLDSKFSEIKELNMIWPQQKQEVEAIEYSMGSFLTNSEDSERDQVMHNNGMNLRAYNGTFCDEVKGSSGVSENTSRLLSMNIGRAHEEELFLRRTMSKEDLKGEEWKRRKVSETSGMQLKLNSEKISQDYRLANFEVKLDLNSQDNNDASSHCQKFDLNGFSWNC >CAK8532967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:557039466:557041386:1 gene:gene-LATHSAT_LOCUS2622 transcript:rna-LATHSAT_LOCUS2622-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHQHEEARNMHSLRMQMQERGNGSIDSGLVLSTDAKPRLKWTPDLHQRFIEAVNQLGGADKATPKTVLKLMGIPGLTLYHLKSHLQKYRISKSTNGQTNTFNNKIEVESRMLDSESSGIHMKDLSIGLQTNKNSDIKEALNMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLHSVLEKAKETLGKQNLGTMGLDDAKVQLSELASRVSTESLDSKFSEIKELNMIWPQQKQEVEAIEYSMGSFLTNSEDSERDQVMHNNGMNLRAYNGTFCDEVKGSSGVSENTSRLLSMNIGRAHEEELFLRRTMSKEDLKGEEWKRRKVSETSGMQLKLNSEKISQDYRLANFEVKLDLNSQDNNDASSHCQKFDLNGFSWNC >CAK8531660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135924156:135924506:-1 gene:gene-LATHSAT_LOCUS1435 transcript:rna-LATHSAT_LOCUS1435 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFPSKSLLVQMTRNLLKIQQQMIYWIMNLWILELIKNNISASVAADKLDITLNRDFKEDQHIVPSQDDTVQNPLVLSSGEASADREMNPGALGELELSPRIAVGQPGDDSYPG >CAK8574312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674608006:674610543:1 gene:gene-LATHSAT_LOCUS26667 transcript:rna-LATHSAT_LOCUS26667 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRGVKKRKKNDNKDVIAIETPFYPQLHQPQPFDWWYHFSNRITGPLAKSKDIEKFESVFKISRKTFNYICSLVEKDMLARSSGCVDLNGKRLSLNDQVAVAIRRLSSGESLSTIGDSFRMNQSTVSQITWRFVEAMEERGLCHLSWPSTEMEMEVIKSKFENIRGLSNCCGAVDSTHIMMTLPTVDPESSVWLDREKNCSMVLQAIVDPNLRFRDIVTGWPGSVSDDHVLRSSSFYKLTEEGKRLNEGKKILSDGTMLREYIVGDTGFPLLPWLLTPYQGEGLSDVQVEFNKRVAATQMVAKRALARLKEMWKIIGGVMWKPDKHKLPRMILVCCILHNIVIHLEDEVQDNMPLCRHHDSGYQPQTCNFADDTAYTMREKLSSYLSSGKLST >CAK8542740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541899384:541900904:1 gene:gene-LATHSAT_LOCUS11551 transcript:rna-LATHSAT_LOCUS11551 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFRLRVEKAFGSLPIPSSASLWSLSEDEIINNKPKPEPETKPYPTSSGLEPDLDNDLDENENANAAAPSKPSDYDDEQWQVKSGIGLDCTLDFEEEEDHYDKQALGQDDTGDRLYMNAINEDGIGISSRAFGGFSRDPRANHVAAKIKLEQDDGGANGIDVLRVSAKSTTSDVGGGGGDSVSVNPKSILKSKDNTSESRPNKRVRFDSECDNRDGNGDDEKEGTRDVRMKSSSMEEDAALNQPSKLQEFASAVPDYIRNPSRYTHYTFDSSTDIDDKANKEAYMSFLAQIKGSNASTQADEALDDLPSVTFIPKKKSGDVTMGENETVSKLKLDVDKDCMNKKAFPVSIAAAGDTENSDVCAMEEDGQEVIEDTKRSSQRSNRKYRKKTDEELEEPVV >CAK8533747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656553925:656554523:1 gene:gene-LATHSAT_LOCUS3347 transcript:rna-LATHSAT_LOCUS3347 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTEAIAIGRTFASMKDYQLDGNREMVALGTMNVVGSMTSCYVATGSFSRSTVNYMVGCQTVVSNIVMSVVVFLMLQFLTLPFKYTPNVILAAIIIFAVISLVDYQAAILIWKIDKFDFIACVEHSLELFLFQLR >CAK8579641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714177872:714179386:-1 gene:gene-LATHSAT_LOCUS31571 transcript:rna-LATHSAT_LOCUS31571 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRKFLTIFSSFNASQLISHQLQSNALPNPIHNPFPQISNNFRVLLFHLYGTPDSKFPEYEMPTVTWGVIQGRKEKLVSRVIIFDYLKGLGIIPDELQDLELPSTVDVMRERVEFLQKLGLTIDDINQYPLILGCSVRKNVIPVLGYLEKIGISRSKLGEFVKSYPQVLHASVIVELAPVIKFLRGLDVEKDDIGFVLQKYPELLGFKLEGTMSTSVAYLISIGVNPRDIGPMVTQYPYLLGMRVGTMIKPLVDYLVSLGLPKKILARMLEKRAYILGYDLEETVKPNVDCLISFGVRKECLPSIIAQYPQIIGLPLKAKLSSQQYFFSLKVKVDPEGFARVVEKMPQVVSLHQNVIMKPVEFLLGRAIPSQDVASMVVKCPQLVAQRVELMKNSYFFFKSEMGRPIKELVEFPEYFTYSLESRIKPRYQRLKSKGINCSLNWMLNCSDQRFEERLQGNYIETESIGPSFLIGGKLELPGNDIVSDEEESDDEMLYRRTVSL >CAK8539591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517350333:517351703:1 gene:gene-LATHSAT_LOCUS8673 transcript:rna-LATHSAT_LOCUS8673 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLLTSILRHHLTKKTNLLRRQPLSTATATALAEPQIHEETSGITMKGVKISGRPLYLDVQATSPVDPRVLDAMLPFNISRYGNPHSRTHFYGWESDNAVEHARSQVAALISASPKEIVFTSGATESNNISIKGVMHFYKEKKRHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVESDGLVDLEKLKAAIRPDTGLVSVMAVNNEIGVVQPMEEIGKICKEFNVPFHTDAAQALGKIPVDVDKWNVSLMSLSGHKVYGPKGVGALYLRRRPRIRVEPQMNGGGQERGIRSGTLPTPLVVGMGAACEVAMKEMEYDEKRISALQQRLLNGIRDKLDGVVVNGSMESRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEDEIDRAVQLTVTQVEKLREMSPLYEMVKEGINIKDIQWSQH >CAK8536079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905436957:905440474:-1 gene:gene-LATHSAT_LOCUS5480 transcript:rna-LATHSAT_LOCUS5480 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHQRIDYGFVGYQVPTKPRAARSTRKRDTFQTRAEHNQMCAIDLLATVASGTLLQEKQNPITSSDESLKKDQRGFVKEEVQDGNKPFKAELPDEASCERRLQQGFVKEGCVDINKPLKAELSDDGSSDRKCISTLSLQEHDLNSGLKDSPHSEIEGRSCIASILTSSSLSERLVTDTLLDVKSHSEMKSITSKVELGSSGFPDCSDCNLDVDVSIVKDELQKSEMPIGTESETCCFKDPIDDKPPALVVSGGNAKLSGRDDSMTRSSLLKGCDNVTVVCRDDDENKSECAHPSSKTKAFRPKTCIGDQRIRKRLASKYRKVARESKHDTLSNNVLDRNFNTVYNGRKNSYSHQISQMNIPFKKRKIFDCSSTSNSNGNIRSGRTYYSTKNDINQGVSCSSSRMRKDPGKSSLEAYHHRSTIQSRDSHVKLRIKSFRVPELFIEIPETATVASLKKAVMEAVTTLLRGGLRVGMILHGKKLKDDSKTLLQTGISHDNELDALGFTLEPSASQSLPLTCAKDSLNVPSGDMPLSLIGHPSSPAVVFPIQRVQGFSDTDHQVTTLANIVESDNDSAPSPINALGGKKLSDSKELVTIPEMGSEGLNILPVNQSHQKPKRTEISQRRRIRRPFSVAEVEALVEAVERLGTGRWRDVKLRAFDEAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQLKHHPETCLLL >CAK8540775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20521570:20524735:-1 gene:gene-LATHSAT_LOCUS9745 transcript:rna-LATHSAT_LOCUS9745 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVRRVSQVLGLTNNTKIRNFSFKSLDPPLPSLCHGIHVFHCPDAVGIVAKLSDCIASRGGNILSADVFVPQNKHVFYSRSDFVFDPLKWPRMQMEEDFLKLSQAFNATRSCVRVPALDPKYKIAVLASKQDHCLVDLLHGWQDGRLPVDITCVISNHHRDSNTHVIRFLERHGIPYHCLNMTKENKRESEILELVQNTDFLVLARYMQILSGNFLRSYGNDVINIHHGLLPSFKGGHPSKQAFEAGVKLIGATTHFVTEELDAGPIIEQMVERVSHRDNLQSFVQKSENLEKQCLSKAIRSYCELRVLPYEGKKTVVF >CAK8560988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:68714285:68715612:-1 gene:gene-LATHSAT_LOCUS14619 transcript:rna-LATHSAT_LOCUS14619 gene_biotype:protein_coding transcript_biotype:protein_coding MSINSSCSGSGGGGCSGGGSGGNAGVCGPCGACKFLRRKCIPGCIFAPYFDSEQGASHFAAVHKVFGASNVSKLLQNVPVHKRHDAVITICYEAQARLRDPVYGCVAHIFTLQQQVMSLQAELSYLQAHLATYEVPQPPTPSPPQALPMVSSSSIPPTYDLSSLFDPMVQTSTWAMHQRPNEPRQYLGSGTSTPSSHNGGDLQVLARELLHRYGSAPSSHSLSK >CAK8572436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536833875:536834555:1 gene:gene-LATHSAT_LOCUS25006 transcript:rna-LATHSAT_LOCUS25006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKPYISRTQSLPSISIRTFQFPISKTTFDSHLRNPKSFPVLQSHPTPKPQQIVCAKRRGWSQSSNRKILQLASTLAFNLKILPEPLNSIVGEIARSNSNEHRILNRLAGGLRGKSRKKSNGKKFLFPVFVLLCVAGLWSFRVSELDLFLKSLFFCFVGISSISLFKTKGIKKWFLGFSFGVVLTMSFRLGKEDIKFWVQKLRTCSPVAQIATRNGNRKWRLSK >CAK8578685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647174876:647176581:1 gene:gene-LATHSAT_LOCUS30675 transcript:rna-LATHSAT_LOCUS30675 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEQVKDIGYGNYAAVKLIRNKETKAIFAVKYISRGHKVDERVAREIINHRTLGHPNIIQFKEVFLTPRNIAIVMEYAPSGDLFDYVCRHGKLNEHEARFFFQQLVSGVSHCHDMEICHRDLKLENTLLNGKLIKICDFGYSKSYLLHSRPKSMIGTPSYIAPEIFSRKEYDGKLADVWSCGVILYIMLVGEFPFGDQKDLQNLKKIMNQIMLVQYKIPDTVHMSQDCRNLLSRIFVANPMKRISMREIKSHPWFLENLPKEATKEDEDVNYIKENPITCLQSIEEIMNIVDEAKAIPSTSSLDQLEDLVKAFKM >CAK8538264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473835203:473839359:-1 gene:gene-LATHSAT_LOCUS7480 transcript:rna-LATHSAT_LOCUS7480-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDTCPGVGKMSARLTDVVLDCVLPYVHDSKDRDAISQVCKRWYELDSSTRKHITIALCYTTTPDRLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGFVTPWVREISKYFDCLKSLHFRRMIVTDSDLQILARSRHQSLHALKLEKCSGFSTDGLYYICHSCKNLRVLFMEESSVDEKDGEWLRELALNNTFLETLNFYLTDISSIRIQDLELVAKNCPNLVSVKITDCEILSLVNFFRYASSLEEFCGGFYNEDPEKYAAVSLPAKLNRLGLTYIGKNEMPIAFPYAAQLKKLDLIYAMLDTEDHCTLIEKCPNLEILESRNVIGDRGLEVLARCCKKLKRLRIERGDDDGPGMEDEDGIVSQRGLIALSHGCPELEYMAVYVSDITNASLEHIGTHLKNLCDFRLVLLDRQEKITDLPLDNGVRALLRGCEKLKRFALYLRPGGLTDVGLGYIGQYSPNVRWILLGYVGETDAGLLEFSKGCPSLQKLEMRGCSFFSEYALAVAATRLTSLRYLWVQGYGASTSGLDLLAMARPYWNIELIPSRLVTNNHHPAHILAYYSLAGPRSDFPDTVIPLVPATTAASYFVNR >CAK8538262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473834575:473839359:-1 gene:gene-LATHSAT_LOCUS7480 transcript:rna-LATHSAT_LOCUS7480 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDTCPGVGKMSARLTDVVLDCVLPYVHDSKDRDAISQVCKRWYELDSSTRKHITIALCYTTTPDRLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGFVTPWVREISKYFDCLKSLHFRRMIVTDSDLQILARSRHQSLHALKLEKCSGFSTDGLYYICHSCKNLRVLFMEESSVDEKDGEWLRELALNNTFLETLNFYLTDISSIRIQDLELVAKNCPNLVSVKITDCEILSLVNFFRYASSLEEFCGGFYNEDPEKYAAVSLPAKLNRLGLTYIGKNEMPIAFPYAAQLKKLDLIYAMLDTEDHCTLIEKCPNLEILESRNVIGDRGLEVLARCCKKLKRLRIERGDDDGPGMEDEDGIVSQRGLIALSHGCPELEYMAVYVSDITNASLEHIGTHLKNLCDFRLVLLDRQEKITDLPLDNGVRALLRGCEKLKRFALYLRPGGLTDVGLGYIGQYSPNVRWILLGYVGETDAGLLEFSKGCPSLQKLEMRGCSFFSEYALAVAATRLTSLRYLWVQGYGASTSGLDLLAMARPYWNIELIPSRLVTNNHHPAHILAYYSLAGPRSDFPDTVIPLVPATTAASYFVNSTLKSVLLLL >CAK8538263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473835011:473839359:-1 gene:gene-LATHSAT_LOCUS7480 transcript:rna-LATHSAT_LOCUS7480-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDTCPGVGKMSARLTDVVLDCVLPYVHDSKDRDAISQVCKRWYELDSSTRKHITIALCYTTTPDRLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGFVTPWVREISKYFDCLKSLHFRRMIVTDSDLQILARSRHQSLHALKLEKCSGFSTDGLYYICHSCKNLRVLFMEESSVDEKDGEWLRELALNNTFLETLNFYLTDISSIRIQDLELVAKNCPNLVSVKITDCEILSLVNFFRYASSLEEFCGGFYNEDPEKYAAVSLPAKLNRLGLTYIGKNEMPIAFPYAAQLKKLDLIYAMLDTEDHCTLIEKCPNLEILESRNVIGDRGLEVLARCCKKLKRLRIERGDDDGPGMEDEDGIVSQRGLIALSHGCPELEYMAVYVSDITNASLEHIGTHLKNLCDFRLVLLDRQEKITDLPLDNGVRALLRGCEKLKRFALYLRPGGLTDVGLGYIGQYSPNVRWILLGYVGETDAGLLEFSKGCPSLQKLEMRGCSFFSEYALAVAATRLTSLRYLWVQGYGASTSGLDLLAMARPYWNIELIPSRLVTNNHHPAHILAYYSLAGPRSDFPDTVIPLVPATTAASYFVNRYASINS >CAK8542555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525536362:525545331:-1 gene:gene-LATHSAT_LOCUS11384 transcript:rna-LATHSAT_LOCUS11384-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQDITVETNNIMEEKTLSVLSDSAGIEKVTSETTPPVISTESSSHDDKLSRPPVIVQDLNSRKMIGSAKESGGLYYLDIGSASQLPLTISSCFESFSVLNNKDDNIMALQLALVNSHFDESGYRKKLLELPRLEELLKYDDSVKLVESRGNKKDEGNIYPKSSFQRLAADLWRKHKADRENRENSLPLSQNELKNTLLNELKNTLLRGKAFNRKHFLLFAVESVLDISPQQHGILLTSCGGLLSYLARNSTTPFEFQLGKTSDNPVPSLLSFEDAVFAFFPEMAHIAMFMIFYILTWMLVLPLHFGVQIFLVLILISAPVFYFPELIRKATASGVHVACGGSFKPSLIGFTALGAFGITLIFGLVALLVFYLIWRFVYGRRPTRGKDIEVSFDDFVC >CAK8542554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525536362:525545331:-1 gene:gene-LATHSAT_LOCUS11384 transcript:rna-LATHSAT_LOCUS11384 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQDITVETNNIMEEKTLSVLSDSAGIEKVTSETTPPVISTESSSHDDKLSRPPVIVQALQLALVNSHFDESGYRKKLLELPRLEELLKYDDSVKLVESRGNKKDEGNIYPKSSFQRLAADLWRKHKADRENRENSLPLSQNELKNTLLNELKNTLLRGKAFNRKHFLLFAVESVLDISPQQHGILLTSCGGLLSYLARNSTTPFEFQLGKTSDNPVPSLLSFEDAVFAFFPEMAHIAMFMIFYILTWMLVLPLHFGVQIFLVLILISAPVFYFPELIRKATASGVHVACGGSFKPSLIGFTALGAFGITLIFGLVALLVFYLIWRFVYGRRPTRGKDIEVSFDDFVC >CAK8572481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539677068:539677424:1 gene:gene-LATHSAT_LOCUS25043 transcript:rna-LATHSAT_LOCUS25043 gene_biotype:protein_coding transcript_biotype:protein_coding MANHVLEAEIMGGKGHIKLIYIPRMDMSLSQSPWSFKLNRRQFPIIVSYAMRINKSQGQSWDWVGLYIPKDLFSHGQLYVAISRVTSKKDIKILIHDENDKLVSSIGNIVCKEVFSNI >CAK8568849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647144927:647148189:1 gene:gene-LATHSAT_LOCUS21764 transcript:rna-LATHSAT_LOCUS21764 gene_biotype:protein_coding transcript_biotype:protein_coding METMLFEPPPLSLHLPSLSSPTANFTTRTFIPFPLRNNHTSFKSLAHTSSSSHTDPIEDNQQSQSPKSVYSPTPPNRKLRTPHSGYHFDGTARKFFEGWYFKVSIPEKRQSFCFMYSVENPAFRKPLTTFELAQYGPRFTGVGAQILGADDKYICQFTPESHNFWGSRNELMLGNTFAAKPNSKPPNKEVHPKEFNDRVLEGFQVTPLWHQGSICDDGRSDYVETVKSASWEYSTRPVYGWGDVGSTQKSTAGWLAAFPVFEPHWQICMGGGLSTGWIEWDGERIEFENAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEVALTAAGGLRQIPGLTETFENAALIGVHFGGKFYEFVPWNGVVTWEVATWGYWFMSADNGNHVVELEATADDPGTTLRAPTSEAGLSQACKDTCFGNLKLKLWERRYDGSKGKIILDVKSDMAALEVGGGPWFNTWKGKTSTPPVIQRAIGLPIDVDSIYNLFPLFKPPGL >CAK8539436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512786879:512787136:-1 gene:gene-LATHSAT_LOCUS8532 transcript:rna-LATHSAT_LOCUS8532 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLISNRLTHHQPRRLCHRLHLLFISDYRNYPRCSHLHLRATLLFKAMRFYRDSDQ >CAK8579426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697795033:697795846:-1 gene:gene-LATHSAT_LOCUS31374 transcript:rna-LATHSAT_LOCUS31374 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKFLKRKATGTVDQISHDFSDFSLSSPATKIRRLDAGLTPIVEEEENEPLSLPNHERAIVLFKPSLHSSPSFSLTLNSDLISEIKNNQVSWSKQCDDYYDNLIEQNDNDDRRLAIVPWVPQSSSGSSSHVFDDDDNNNNRNTIELMEADEMGEEQDDEDEGAMMDVEEEQDKKNASSFNYPTIVEGFQQHCLLPQIIPQNTSTPITWTR >CAK8570210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29097929:29101649:-1 gene:gene-LATHSAT_LOCUS22983 transcript:rna-LATHSAT_LOCUS22983 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQHIVYGVSPKLSPAPSIPIGKQLFYLKPPSLLPSSHPSIQSQNFVVSSGAYYPLNIAKRGGGYTSRRRVWCSNSRDIADPNKGHEVRTQVTGRRKKLAVFVSGGGSNFKSIHEASKRGSLHGDVIILVTNKSECGGAEYARNNGIPVILFPKAKDESNGLCPNELVDTLRRLEVDFILLAGYLKLIPVELIRAYERSIFNIHPSLLPAFGGKGHYGMKVHKAVIASGARFSGPTIHYVDEHYDTGRILAQRVVPVLANDTAEELAARVLREEHQLYVEVVEALCEDRIVWRKDGVPLIRSKENPNETY >CAK8543927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649958732:649959274:-1 gene:gene-LATHSAT_LOCUS12649 transcript:rna-LATHSAT_LOCUS12649 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKVRREIIRIQRQFLWFGLKEGKGVNWISWKIVCKPKSKGGLGVKDISIFNKALLRKWIWRILNDKEAIWSDMIQLRYGNLIRSMWLDENKHHPSKQSLWCRDLNAIGLNMKSFNIDKTGIRHIAKFKLGNGYSTPFWKGISFGSNPLEEIFPLLYCHTANTKAMVSGMGDWLQRSWH >CAK8535393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845913878:845915170:-1 gene:gene-LATHSAT_LOCUS4858 transcript:rna-LATHSAT_LOCUS4858 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCVTASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFRNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8562571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510989014:510989415:1 gene:gene-LATHSAT_LOCUS16059 transcript:rna-LATHSAT_LOCUS16059 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAYEMNYEKTPHCASGYRTFFDHFEKDDFLWRPYLELEDEDPTESDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPAPPEMYNLLPVEPPLTSTGSKLIKDE >CAK8574456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682375918:682376847:-1 gene:gene-LATHSAT_LOCUS26800 transcript:rna-LATHSAT_LOCUS26800 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFKTLEIVEKIPKSGVFYLNLNRPAQRNALTHDFFSEFPKALYALDHNPDVNVIVLSGAGKHFCSGIDLSLLKSTAGELSGESLRRQILVMQDSITALERCRKPVIASIHGGCIGGGIDIITACDIRVCTEDAFFSVKEVDLALAADLGSLQRLPSIVGFGNAMELALTARRFSGLEAKELGLVSRVFGSQHELDEGVRELGQGIASKSPVAVVGTKTVLLKSRDLTVDQGLDFVATLNSARLLSSDLIEAVAAVKEKRRPVYSKL >CAK8569085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669943174:669944690:-1 gene:gene-LATHSAT_LOCUS21976 transcript:rna-LATHSAT_LOCUS21976 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKTSSPSTRNGALNSQVKSNSRNRLISGQHHCCKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIITIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLKSTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWRGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8569086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669943174:669943602:-1 gene:gene-LATHSAT_LOCUS21976 transcript:rna-LATHSAT_LOCUS21976-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWRGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8576614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497753847:497754243:-1 gene:gene-LATHSAT_LOCUS28788 transcript:rna-LATHSAT_LOCUS28788 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADILSDRIGIMVKGKLRCIGTSIRLKSRFGTGFITNISFYGNNNENSPVNGDAVSTRRHHEAVKQFFKNRLDVVPKEENNNFLTYVIPHERETLLTTFIDFF >CAK8562761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533818362:533818829:1 gene:gene-LATHSAT_LOCUS16230 transcript:rna-LATHSAT_LOCUS16230 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRFIPKMLALRAVQRHTAASRSTRPFRREQHGVTGGWPKVIFNKPFRTLPHTPSLSASRGHEPVLLPPEGVEPPEGPEGPEGYEPPEGLEPPGLEPPEWFGLGVCFGLGLGFGYWPEPQVPQDETSVKKRMLIVRKIAREEQVLEAILVKLL >CAK8572183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517930201:517933900:-1 gene:gene-LATHSAT_LOCUS24776 transcript:rna-LATHSAT_LOCUS24776 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIRRILSYRALASCTRNALSSSETRSLPHSHSPILPPPSFLGVGITENQGSISFARLMSSRASSEQGKGTEKTKKEITNVENPFDDSPTYNIPEKPVTFVEGASYSVIILAGLGVAAAAGYAVFKELIFQPKEFKIYNKALKRIQDDGQVRVRIGSPITGYGQESRNRAARQRIPNRVWTDEEGVEHVEVNFFIRAPHGHGKVFAEMFKGVDGEWKFTYLIVEIRAPSPAQLILESYIPSYNTNK >CAK8564417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672740895:672745436:-1 gene:gene-LATHSAT_LOCUS17723 transcript:rna-LATHSAT_LOCUS17723 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPRRVRSNKQTGDKLKLSGCSKVFGSLKRKAEEIQECGGNETRCSKYFGISYKRRTQEFHRNHSDTSSEGVKDVMKCLKETSNLSSKKRVKPKKRVLSYKKQELEDEDDLLMDDVEQDDEMFFLLNTKSRSSRTGRSNNMTGVQQNTRKCHQCLKKERMSFVPCTKCSKMYCMRCINQWYPDMSIEEVAGSCPFCLKICNCNVCLRSKGTIKPSKMDISDSEKTQYLHYMINLLHPYLKEICLEQSQEVDIEAKIQGKSSSDVVVPPSLCWDNERVYCDYCATSIIDLHRSCPSCSYELCLRCCQEVRDGSITPRAEMKFPYVNRGYDYMHGGDPLPMSFDSETSDGHLEVSTKWNAKADGSISCAPKEMGGCGSSVLELRCSLPHGWMSGLEGRAHIMLKNWETEQTTLQREREGVESNSMENESFSESRDILKQGMPLFQKHWANGEPIIVCDVLKQGTGLSWEPMVMWRALCDNVGSVMSSKMSDVKAIDCMANCEVEINTRRFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIQSLPFQQYTDPRAGILNLAVKLPAHVLKPDMGPKTYIAYGIREELGRGDSVTKLHCDMSDAVNILTHTAEVQLTDEQHSAIPKLKEAHKAQDERESRAPERAARCLNSRLCDNREHENRPVQISGDMFRNDVSEGVTFAATTENETMVTGSALWDIFRREDTEKLGAYLRKHSKEFRHTYCSPIEEVVHPIHDQCFYLTLEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAADFVSPENVHECLRLTEEFRQLPKNHKAREDKLEIKKMIVYAVDQASGT >CAK8564416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672740895:672745436:-1 gene:gene-LATHSAT_LOCUS17723 transcript:rna-LATHSAT_LOCUS17723-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPRRVRSNKQTGDKLKLSGCSKVFGSLKRKAEEIQECGGNETRCSKYFGISYKRRTQEFHRNHSDTSSEGVKDVMKCLKETSNLSSKKRVKPKKRVLSYKKQELEDEDDLLMDDVEQDDEMFFLLNTKSRSSRTGRSNNMTQGVQQNTRKCHQCLKKERMSFVPCTKCSKMYCMRCINQWYPDMSIEEVAGSCPFCLKICNCNVCLRSKGTIKPSKMDISDSEKTQYLHYMINLLHPYLKEICLEQSQEVDIEAKIQGKSSSDVVVPPSLCWDNERVYCDYCATSIIDLHRSCPSCSYELCLRCCQEVRDGSITPRAEMKFPYVNRGYDYMHGGDPLPMSFDSETSDGHLEVSTKWNAKADGSISCAPKEMGGCGSSVLELRCSLPHGWMSGLEGRAHIMLKNWETEQTTLQREREGVESNSMENESFSESRDILKQGMPLFQKHWANGEPIIVCDVLKQGTGLSWEPMVMWRALCDNVGSVMSSKMSDVKAIDCMANCEVEINTRRFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIQSLPFQQYTDPRAGILNLAVKLPAHVLKPDMGPKTYIAYGIREELGRGDSVTKLHCDMSDAVNILTHTAEVQLTDEQHSAIPKLKEAHKAQDERESRAPERAARCLNSRLCDNREHENRPVQISGDMFRNDVSEGVTFAATTENETMVTGSALWDIFRREDTEKLGAYLRKHSKEFRHTYCSPIEEVVHPIHDQCFYLTLEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAADFVSPENVHECLRLTEEFRQLPKNHKAREDKLEIKKMIVYAVDQASGT >CAK8537999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:456340288:456341279:-1 gene:gene-LATHSAT_LOCUS7242 transcript:rna-LATHSAT_LOCUS7242 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHLTALSLFCLAFVGITATSPGEDYWQSIWPNTPLPKTFSDLLIPNGKTNSLPIKTEELNQYSTLFFEHDLHPGKTFHLGNTHPVGNVIRPFTQSKQGITDSIWLANKDEQSLEDFCYSPTAIAENKHCVSTLKAMIDQVISHFGTTKIKAISSNFAQDQNQYVVEEVRKVGENAVMCHRLNFKNVVFNCHQVKKTTAYVVSLVGQDGTKTNALTVCHHDTRGMNAELLYEALEVTPGSVPVCHFIGNKAAAWVPNHTVDNRC >CAK8560325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14440335:14449569:-1 gene:gene-LATHSAT_LOCUS14009 transcript:rna-LATHSAT_LOCUS14009 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTVKFSLLFVLWFWNCNFLPLSQGKDDYPYIKKASSFSSPSISDTSLNKAYDYIVVGGGGAGCPLAATLSQNFSVLLLERGGVPFTNPNVTFLENFHITLADLSSTSASQYFVSTDGVFNARGRVLGGGTSINAGFYTRASSRFISKVGWDAKLVNESYPWVEKQIVHRPKFSPFQRAVRDSLIDTGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAVGVIFNDENGKQHEAMLGNGMRSEVILSSGAIGSPQMLLLSGIGPKAELENLNISVVLDNRFVGKGMIDNPMNALYVPSKRPVHQSLIQTVGITKKGIYIEASSGFSQSNSSIHCHHGIVSAEIGQLSTIPPKQRSIEAIQAYAKNKRDIPVEAFKGGFVLSKVSSAWSVGELKLINTNVNDNPSITFNYFSHPHDLKRCVDGIRMALKVIQSEHFTNYTLCKKKTAEKLLNLSVKANVNFIPKNANDTTSLEQFCKDTIITIWHYHGGCHVGKVVSPDYKVLDVDRLRVVDGSTFTESPGTNPQATVMMMGRYMGVKILRDRLGKLAGI >CAK8574961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18368413:18371471:1 gene:gene-LATHSAT_LOCUS27258 transcript:rna-LATHSAT_LOCUS27258 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIGREASKLWKRICSETTVEINLLAENWKYLLAGLVCQYIHGLAARGVHYFHKPGPILQDVGFFLLPELGQDKAYISETLFTTIFISFALWTFHPFILKSRKIYTVLIWCRVLAFLFASQILRIITFYSTQLPGPNYHCREGSKLATLPRPDNILEVLVINFPHGVLYGCGDLIFSSHMIFTLTFVRTYQKYGTRRSIKQLAWLLAVIQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELPDRSIAASATLLPLSTKDKDGRNKEENYKLMNGNSGDPADRRQRSQINGKITDDGNTHHTDSSMNGA >CAK8576197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:423787448:423788363:1 gene:gene-LATHSAT_LOCUS28403 transcript:rna-LATHSAT_LOCUS28403 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYNAARMILCGIPLDEPFLQHYLSRLVKAEKNKLKGGKLYLEDCFYVMGTVDPTENHCLKENQVCIIHENGQITGDVLVYRNPGLHFGDIHIMQATHVEGLESYVGHGKYAIFFPCVGPRSVADEIAGGDFDGDMYWVSKNPQVVRHISFYCGIYILRSRYIYLEKQKSSRSSYKF >CAK8567349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507301333:507306166:1 gene:gene-LATHSAT_LOCUS20413 transcript:rna-LATHSAT_LOCUS20413 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYVVGLIVPLVILLFRNSTNRKRRGSPVYVGGDPGYTLRNHRFASVLSSAWENVTTLAEMFEHSCMAHHDKLLLGTRELVSREMEISSDGRTFEKLHYGEYKWVTYGAVFKSVSNFSSGLWHIGHVRVERVAIYAETREEWFIALQACFRRNVTVVTMYASLGEEALCHSLNETEVTTVICGRKELKSLVHISGQLDFVKRVICLDDDVPSDASSAQHGWKLISFSDVERLGREDPVEADLPVSADVAVIMYTSGSTGLPKGVMMTHGNIVATVSAVMTIIPNIGKNDVYLAYLPMAHILELVAENLLPAVGGSIGYGTPLTLTDTSNKVKKGTKGDATALMPTLMASVPAILDRVRDGVFKKVNAKGGLSKKLFDLAYARRLRAINGSWFGAWGLEKVLWNFFVFKKVQAILGGHIRFILCGGAPLSADTQRFINICLGAPISQGYGLTETCAGGTFSDFDDTSAGRVGPPIPCSYIKLINWPEGGYSTTDLPMPRGEIVIGGANITLGYFKNEEKTRESYKVDERGVRWFYTGDVGRFHEDGVLEIIDRKKDIVKLQHGEYVSLGKVEAALLVSPFVDNIMLYADSFQTYCVALVAVSHSALREWASKQGISYSDISELCQKEEAVKEVHASLLKEAKKARLEKFEIPAKVKLLSDPWTPETGLVTGALKIKRDIIRKTFQEELSKLYSK >CAK8560147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8981053:8981280:-1 gene:gene-LATHSAT_LOCUS13852 transcript:rna-LATHSAT_LOCUS13852 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIINTWLILFLLVVTIMNGSYSVEATARGNKLEKNDSVHKSQKFGKMIDCMILHHNCLVYPYLWPLYYMFCSL >CAK8534655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753157800:753161190:1 gene:gene-LATHSAT_LOCUS4182 transcript:rna-LATHSAT_LOCUS4182 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNDFVFDFQAKSSTDLKRKMKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLNSMVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKGLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFENVFGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEAFEFEDEET >CAK8569075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668670324:668671592:1 gene:gene-LATHSAT_LOCUS21966 transcript:rna-LATHSAT_LOCUS21966 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKTPTICSSALNILMILSVITTNLFALYAFTSSPNLIQTEQQHHQPHRSFSLISEQVSLILREIDNSQKKLTQIEKQLLGYESFDLSKPNIPKELKLFLTSHKLPLGRDSKTGLTEMVSSVGHSCEKSSDLLSGYMNYNVFGNCQDDFSLAQKLILKGCEPLPRRRCFAKSVSSKVKFLHPFPVSLWKPVSNKTVNWNGYSCKSFECLNGRKLNRDCVHCFDLVNGYENQRFVKSRSKNDFLVDDVLQLGKDGIRIGFDIGIGSGTFAAVMAERNVTVITSTLNVDAPFNEFIAARGLFPLYLSLDHRFPFYDNVFDLVRASSNIGGDVGEKQEKFEFLMFDIDRILRAGGLFWIDNLYCGKEEKKVGLTRLIERFGYRKLKWVVGEKVESGKSHVFLSAVLEKPVRV >CAK8535507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855593275:855596054:-1 gene:gene-LATHSAT_LOCUS4962 transcript:rna-LATHSAT_LOCUS4962 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASFVVPGISSSQLSSQNQLKRASKWVFHGGVSVQSLKIMMHVVDHNQNQMGRGSGGGDVSHGLHKDLGSLPRPLSITDIVSASDSLAKVRISYKGIPGSYSEDAALKAYPNCETISCSDFEEAFKAVELWLAHKVVIPIENTSGGSIHRNYDLLLRHRLHIIGEVQLATNLSLLALPGVRKEHLKRVLSHSQAFELSDAFLNNLGVSRENVDDTAGAAQIVAANFLYDTGAIASIRAAEIYGLNVLAESIQDDSEIISRYLVLARDPIIPKANKPFKTSIVFTLDEGPGVLFKVLALFALRDINLSKIESRPQRNRPLRVVDDSNTGNAKYFDYLFYIDFEASMTESRAQTALEHLQEFATFLRVLGCYPIDTTI >CAK8534633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751087259:751090105:1 gene:gene-LATHSAT_LOCUS4164 transcript:rna-LATHSAT_LOCUS4164 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESKKSADEMNKKKLHGDSSTSEHNNSNMVPSKGGGSSPSDARSCVSSIGDASGSFKEGDMDHEYQSMDPNVPYYGYYYPGYGGFYGEPENQGYYVGAEAIDFQYPVMQADNGSYVYLMPGYQTGYPSYFPLNTTGVDGQYHVYPPGSVYQQPIGSPGYYPASLPYAELLPSTYSWDSSLTSQDVSQRNRYNNSASKPSGRSNFSSGSGVVSKSMSSSNVSNSSEVKGSPQLLEVSSTHVKRNQPKQANKVSISGPVLHSDASTKGSFPVTKLSTYNQGKSGYGYQNTLLNVKANTKGWVSTEKLKLRNKVNDSLSEQNQGPRTSVPKDASNLGDNSARMVAVDVSRDRDSKIRTDQYNLPDFPTKYDRALFFVIKSYSEDDVHKSIKYDVWASTPNGNKRLDNAFQDAQNRMEEKGSKCPVFLFFSVNASGQFCGVAEMIGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNDHKPVTNSRDTQEVPFPQGIEILSIFKNYASRTSILDDFDFYESRQKVMQEKKTRQPMQHTSNTQHIDDLTSALGSVEISSVKKKMEDSKLVEKVND >CAK8541567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:253288111:253288484:1 gene:gene-LATHSAT_LOCUS10480 transcript:rna-LATHSAT_LOCUS10480 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFDEMMEDGATYSQAEPQKPGWCERKQAVVQEEIRRMNQLPAKSTYVAHRLKVLNKILQLMSVQRTVSQEKELELLFAGLSL >CAK8576370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467656262:467656738:1 gene:gene-LATHSAT_LOCUS28562 transcript:rna-LATHSAT_LOCUS28562 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQAPRLCANNCGFFGSPAMQDLCSKCYRDLQLKEQRSSSAKLVLNQTLIPQAMVSQEAVVVQPSPSSEVVSSMPALAVETVVETSEQSKPNRCGSCRRRVGLTGFKCRCGLTLCGSHRYPEQHECGFDFKGMGREQIAKANPLVKGEKLNKI >CAK8569185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680813842:680815220:-1 gene:gene-LATHSAT_LOCUS22068 transcript:rna-LATHSAT_LOCUS22068 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGKEVIPLLTPYNMGKFNLSHRIVLAPLTRTRSYNFVAQPHAALYYSQRTTKGAFLIGEASGISDTAQGYPNTPGIWTKEQVEAWKPIVEAVHKKGGIFFCQLWHAGRVSNYGYQPGGQPPISSTDKALQKEGNSSSKYPPPRRLATDEISDVVNDFKMAAENAIEAGFDGVEIHGANGYLLDQFLKDKVNDRDDAYGGSLENRCRFPLEVVKAVADEIGAEKVGVRLSPFADYCGCGDSNPQALGIYMAQSLSQLGILYCHVIEPRMQTMFDKDETDVSLMPMRKAFNGTFIVAGGYDRSDGNKVLENDGADLVAYGRLFLANPDLPRRFELDAELNKADKSTFYTSDPVVGYTDYPFLEI >CAK8543393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604607659:604609800:-1 gene:gene-LATHSAT_LOCUS12151 transcript:rna-LATHSAT_LOCUS12151 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLGSFILTPSPPPCKFHNPRFQTKPQLNFHHPLKPKFNFSPSLKSTESSSNTNIPVSIPGEDESYVAVEEFIDKDWSVLDYTGPNTDRIISSGKIDENSRILVSTGSEEFVDYLVDSSKFKSLLVLHDSLLILALLVEKYDNVMCWQGEVTIVPEKWAPFDAVFLYFVPALPFKLEDILGSLALKCSPGGRVIISHPQGREILKQQRQQYPEVVVSDLPDKAHLQSVAAAYSFDVAEFLDEPDFYLAVLICSRT >CAK8567035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481038321:481042639:1 gene:gene-LATHSAT_LOCUS20124 transcript:rna-LATHSAT_LOCUS20124-3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPSTLINISVTWRGKKFVVDMNLDATVKDLGVELQKLTDIREDTMKLIVPQIAGRTSKLLAPFSTEHAILSLWEASITEAKSILMMGVSTNEVDEVLKNAEANLRIAGFEDEEKRLKQKISHGPRVSLKLPQGPYIFCEFRTLEIPGLKLNPPPSEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPIGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHTIYSEHDANFYALDKQLNQEAASLDWTRSAGHTLSGVRSSEIYEDDFMAEDSNNIPQKLGGNRSDQLMSARESSVTAAYYRMASVISNKLGESEVNQEPDRDHSNANIRENPDHMESSSEEIKNTDTPIIIDEGLNEPDPDDQIKNGMKHEPDPDDSYHEHLHSQTGTVNMDATYPHANDSETSLKSIAPAIEINMTAEPDPDDNVVPTPKLSTLQTDEPDPDDQELQRINGAMTAVCNRLQKALEMLKSEVSPMQSTSILQTLLKIIRNVIEHPEMEKYKRLRKANPVIERNILTNKAALEILSLVGFRDDVIFDNLGKEDTYLVLKRNDPGLLWLAKSTIESSSAC >CAK8567034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481038321:481042639:1 gene:gene-LATHSAT_LOCUS20124 transcript:rna-LATHSAT_LOCUS20124-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPSTLINISVTWRGKKFVVDMNLDATVKDLGVELQKLTDIREDTMKLIVPQIAGRTSKLLAPFSTEHAILSLWEASITEAKSILMMGVSTNEVDEVLKNAEANLRIAGFEDEEKRLKQKISHGPRVSLKLPQGPYIFCEFRTLEIPGLKLNPPPSEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPIGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHTIYSEHDANFYALDKQLNQEAASLDWTRSAGHTLSGVRSSEIYEDDFMAEDSNNIPQKLGGNRSDQLMSARESSVTAAYYRMASVISNKLGESEVNQEPDRDHSNANIRENPDHMESSSEEIKNTDTPIIIDEGLNEPDPDDQIKNGMKHEPDPDDSYHEHLHSQTGTVNMDATYPHANDSETSLKSIAPAIAAHDEFGVEINMTAEPDPDDNVVPTPKLSTLQTDEPDPDDQELQRINGAMTAVCNRLQKALEMLKSEVSPMQSTSILQTLLKIIRNVIEHPEMEKYKRLRKANPVIERNILTNKAALEILSLVGFRDDVIFDNLGKEDTYLVLKRNDPGLLWLAKSTIESSSAC >CAK8567036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481038321:481042639:1 gene:gene-LATHSAT_LOCUS20124 transcript:rna-LATHSAT_LOCUS20124 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPSTLINISVTWRGKKFVVDMNLDATVKDLGVELQKLTDIREDTMKLIVPQIAGRTSKLLAPFSTEHAILSLWEASITEAKSILMMGVSTNEVDEVLKNAEANLRIAGFEDEEKRLKQKISHGPRVSLKLPQGPYIFCEFRTLEIPGLKLNPPPSEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPIGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHTIYSEHDANFYALDKQLNQEAASLDWTRSAGHTLSGVRSSEIYEDDFMAEDSNNIPQKLGGNRSDQLMSARESSVTAAYYRMASVISNKLGESEVNQEPDRDHSNANIRENPDHMESSSEEIKNTDTPIIIDEGLNEPDPDDQIKNGMKHEPDPDDSYHAEHLHSQTGTVNMDATYPHANDSETSLKSIAPAIEINMTAEPDPDDNVVPTPKLSTLQTDEPDPDDQELQRINGAMTAVCNRLQKALEMLKSEVSPMQSTSILQTLLKIIRNVIEHPEMEKYKRLRKANPVIERNILTNKAALEILSLVGFRDDVIFDNLGKEDTYLVLKRNDPGLLWLAKSTIESSSAC >CAK8568833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644954020:644955135:-1 gene:gene-LATHSAT_LOCUS21752 transcript:rna-LATHSAT_LOCUS21752 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRGLNKVGKNREIRSRLQELKPAIIILIEPRVKEAKAKAIREKLMIYDKHIDNYKGHTNGRIWIHWDSSRVDVRFLQSSSQYIHYGVYDNIGGFKYWLTAIYAYNQINKRRILWKEIEHMSANIHGPWCAVGDYNNVTKAQDRIRGNLVTEKEYEDLQHMMGNTWLSEMDSIGDNFTWSNKQAAGPIYSRIDRILGNTDWFLNNMENMLEILPPSIFDHAMLYLEDKHMQRKPPKHFMFSNCIIDLPGYETVIKKNWDAHIRGSPMYVLWHKLRKLKHDLKQLSKPLSDIKNKLIAAREKLKETQGKLNEDRLNNTLIEETKKLTEEVISMNDMEWKILQQRAKIDWIKQGDGNNHYFYAAIKSR >CAK8568834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644954020:644954760:-1 gene:gene-LATHSAT_LOCUS21752 transcript:rna-LATHSAT_LOCUS21752-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANIHGPWCAVGDYNNVTKAQDRIRGNLVTEKEYEDLQHMMGNTWLSEMDSIGDNFTWSNKQAAGPIYSRIDRILGNTDWFLNNMENMLEILPPSIFDHAMLYLEDKHMQRKPPKHFMFSNCIIDLPGYETVIKKNWDAHIRGSPMYVLWHKLRKLKHDLKQLSKPLSDIKNKLIAAREKLKETQGKLNEDRLNNTLIEETKKLTEEVISMNDMEWKILQQRAKIDWIKQGDGNNHYFYAAIKSR >CAK8539002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501388256:501388567:-1 gene:gene-LATHSAT_LOCUS8142 transcript:rna-LATHSAT_LOCUS8142 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKGIKGLGKGGAFRHRHVLRDNIRGITKPAIRRLARRGGVKRISGLIYEESRGVLRLFLEKIIQDAVAYTEHARRKTVTAMDVVYALKRQGKTLYGFGV >CAK8530625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36272866:36274356:1 gene:gene-LATHSAT_LOCUS477 transcript:rna-LATHSAT_LOCUS477 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVESLYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCTRGFSYCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFLPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPREKWSRAFDRGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGHTFTKNCIKGMADEATKSSSHNVIQFDRERFCLMVAESIHQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIATEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8530626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36273439:36274356:1 gene:gene-LATHSAT_LOCUS477 transcript:rna-LATHSAT_LOCUS477-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPREKWSRAFDRGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGHTFTKNCIKGMADEATKSSSHNVIQFDRERFCLMVAESIHQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIATEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8531052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76207601:76208848:1 gene:gene-LATHSAT_LOCUS868 transcript:rna-LATHSAT_LOCUS868 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIIIHFFILSLSFFSLSSVSASQPPQPFILPIKKDPSTSLFYTSVGIGTPRTNFNLAIDLAGENLWYDCDTHYNSSSYTPIQCGSKQCPDIACIGCNGPFKPGCTNNTCPASATNSLAKFIFGGGLGQDFIFISQYKVSGLLSSCIDTDRVSVDSPLNGLPKNTKGIIGLARSNLSLPTQLALKNNLPTKFSLCLPSSNKQGFTNLLVGSYEFSKFVQTTPLIVNPVSTGAVSVEGVPSNEYFIDVKAIKIDGHVLNLKPSLLSIDKKGNGGTKISTITPFTELQTSVYKPFIRDFLKKASDRKLKRVSSVAPFEACFDSTSIKNSVPRIDLVLQKGVQWTIHDTNLIVNVKKNVACLGIVDGGTEPRMSFTKASIVIGGHQLVDNLLVFDQSSSKLSFSSSLLVHNATCSY >CAK8533915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671073016:671081130:1 gene:gene-LATHSAT_LOCUS3502 transcript:rna-LATHSAT_LOCUS3502 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQVSASEYYLHELPSTYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDSIDLSDYERRLSQIKDIFSSIDHPHVWPFQFWQETDKAAYLLRQYFFHNLHDRLSTRPFLSFVEKKWLAFQVLLAVKQSHEKGVCHGDIKCENVLITSSNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYENGGEMQMAHDTPLKPSMDLFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGIRKMIQHMIQLEPESRFSAEIYLKEYAGIVFPTYFSPFLHDFYRCWSPLHSDMRVLLCQSAFQEILKQMMNKQSSDDAGVTSGELLEEMVAKESVSFMKDTQRKREDIGKGLVHEQYELLGDINGLLRDAKNNNKNPSGPQQVIGNAQNSTFPENLKSLQSPGELLQTISNTFRGNDHPFLKSITMEDLNSLMSEYDSQSDTFGTPFLPLPNDTMRCEGMVLITSLLCSCIRNVKLPHLRRAAVLLLKASALYIDDEDRLQRVIPYVIVMLSDSAAIVRCAALETLCDILPIVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSMSLSEAGVLDELSLPQKPLTSPTQASGRMKMINSDVQLLQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCYFFGVRQSNDTLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDMTEAVIVRALECLTILCKNGFFRKRILLQMIERAFALLCYPSEWVRRSVVSFISASSESLGAVDSYVFLAPVIRPFLRRQPVSLASEKALLSCLKPPVSRQVFYEVLESSRSSDMLERQRKIWYSSSQSKIWEMDLLKKGMDELESLKNWAEKQQGSGVQQTTGTSLQQPGLTDCDKAESKLRDMGAFMHTDSNMGGHRDPQCLEKLQFSGFMSPTFSGVSSLTYDKPSEGIPLYSFSMDRRGMGIPPAASDSPLQMNSLGVSSSAMPWVNPLSKSFNLANSVPAPKLFSGSFNISNGSKQFHRVVHEPDPKESETAFVNSPFQDLGLSSNNKGTSISLEEATAQADLSGFQSFARTSIPDSGWRPRGVLVAHLQEHRSAVSNIAVSYDHSFFVSASDDSTVKIWDSKRLEKDISFRSKLTYHLEGSRALCVAMLPGSAQVIAGASDGFIHMFSVDHISRGLGNVVEKYSGIADITKKDTKEGAILGLLNCPTDNNSIMYSTQNCGIHLWDTRSNSNSWTLKAIPEEGYALALASGSCSNWFVSGSSRGVITLWDLRFLVPVNSWKYSPACPIEKICLFLPPSNASLSSTTRPLVYVAAGCNEVSLWNAENASCHQVLRMANYDSDAEMSDMPWALAKPSSKPTSQSDPRRNVNRKYRVDELNEPPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYCICGPSLKGVGNDDFYETKSSFGVQVVQETKRRPLAAKLTPKAILAAAATDSAGCHRDSVVSVASVKLNQRLLLSSGRDGAIKVWK >CAK8575197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50932364:50932873:-1 gene:gene-LATHSAT_LOCUS27481 transcript:rna-LATHSAT_LOCUS27481 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLTCLVALALLCMVVITAPMAVTSTSCIKVSSYLTPCLSYLKGGSGPSKLCCYGAKKLNGTAGTTADRQATCKCLKSAAGFVSGLNDTNAGTLSAKCGLDLPYKFGPDTDCSGITI >CAK8572395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533952443:533953378:1 gene:gene-LATHSAT_LOCUS24966 transcript:rna-LATHSAT_LOCUS24966 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKRLIVKLSYPPGSRKRDSDSCGTDENKRRKIQDSIKPIVTCYWVDSDYRTKTTSLSQTKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNPTQPKDNNAVENKKMIKNRVSKTTTLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRVQDTKECNMLL >CAK8543613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626450454:626451072:1 gene:gene-LATHSAT_LOCUS12361 transcript:rna-LATHSAT_LOCUS12361 gene_biotype:protein_coding transcript_biotype:protein_coding MKATMLVAQSIQLGINDVVVAGGMENMSNVPKYLAEARKGSRLGHNSLVDGMLKDGLWDVYKDVGMGVCVELCADNHSITIEDQDNFAVQSFERGIAAQESGSFAWEISLSVKY >CAK8531638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133654259:133654821:1 gene:gene-LATHSAT_LOCUS1414 transcript:rna-LATHSAT_LOCUS1414 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTQEYATTASVPPTRLFKAMAIDFHNLFPKIVEMIKSIELTEGTGAAGTIKKLTIIEGGETKYVLHRVDEIDEAKFVYNFSIIGGTGLADTLEKVQFKSQLVEGPNGGSIRNVHVDYFTKGDYNLSEEELKASQAKVEGLVKLVDGYLLANPDY >CAK8576684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505659769:505661531:-1 gene:gene-LATHSAT_LOCUS28857 transcript:rna-LATHSAT_LOCUS28857 gene_biotype:protein_coding transcript_biotype:protein_coding MGMILRLRLLQGGRYPELALHLRLKLLEGVVAFHTGLLGKSKQALASAREKFVQLQVPDEALSLVVSMGYNERNAKIALRMNNQDVGGAINFLVEEKEKKMQKREEDLKRRNEIWEQKKFGVTPLKKAVDLERLKELVTIGFEKELAAEALRRNENDTQKALDDLTNPETNSDLQDNIESRKRKRHKQAKDYAIERVVQMGFERSRVIAAFEEDDKLDKVFQRLTAQPAVENMQPQGNSTATSHDNASSSNPLPDDVNYDILDLMNEVEDDRI >CAK8566003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366639607:366640068:-1 gene:gene-LATHSAT_LOCUS19172 transcript:rna-LATHSAT_LOCUS19172 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSQKLKLLKGKLKAWNKDGFGNVHDMLNKAFANLRAVLSQIQLEGQNDDLFAKEKKAQLDLETALSWKNCFGKRNQNSDDIMKETGTLLSSIGFPKLETALKLYLLSVMRRTSSLTLRKFPTFLLVTLRTCSTLTTIALKMVWWRRWFLS >CAK8579732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719601387:719602312:1 gene:gene-LATHSAT_LOCUS31656 transcript:rna-LATHSAT_LOCUS31656 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFGSPPISSLPLTRTTHLIASSSQTPPPQQPQPSGSSSSQPPTNLNEDQTVQISINSQQQRPIKPVVSSTKVDSTDWIATSLTRRFGLGAGLAWVGFLAFGVVSEQIKTRLEVSQQEANTRNVEESEEVILPNGIRYYDLKIGGGDTPRRGDLVVIDIMGKVESTGEVFVNTFEGEKKALALVMGSRPYSKGVCEGIEYVLKSMKAGGKRKVIVPPELGFRENGADLGSGVEIPPLATLEYIVQVDKVSIAPA >CAK8533510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:628174720:628175322:1 gene:gene-LATHSAT_LOCUS3132 transcript:rna-LATHSAT_LOCUS3132 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDQLSCSLGSGKDMAFWHNKWALNYSLKEVFPNLYIHSENSRISVVKMGCWIDSEWDWIFNYPIFPAGSVMEWELEDFRDLILKVSPNYDGEYTFNWDPTDTHLFSVSYCYEVIFQNRIDFKVISVMIEGFTAVWASKIPSNLQVFLWRMFRNCEATKDQWVRRRIDIGGESLDYRLCGKAVESIQHVFITCDFSVKV >CAK8532878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:541443631:541444424:-1 gene:gene-LATHSAT_LOCUS2540 transcript:rna-LATHSAT_LOCUS2540 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDPLIDGDKLILKGLSFYGFHGALKEEKTLGQKFFVDVDAWMDLKPAGKSDDLSDSFSYVEIYRIAKEVIEGPSQNLLESVAQKIAISTLEIHKEISAVRVKVGKPHVPIPGPLDYLGVEILRRRSDLTD >CAK8575037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23105862:23107627:1 gene:gene-LATHSAT_LOCUS27330 transcript:rna-LATHSAT_LOCUS27330 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNHSSHHHQNHHNHHTNHHNLPHKWLIIPTLTIFLFLLLILLPRSKPSSSSPSSENPNFTTNFNLPNLPKFAYLLTGTKGEVSQVKRLLQATYHPRNYYLVHLDLEASDAERLEVAKYVKSERIFGVFGNVMVVGKGDLVTYKGPSMIASTLHSVALFLKKVHDWDWFVNLSASDYPLFSQDDLLHIFSFMPRDMNFIEHTSNMGWKEFQRARPIIVDPGLYHSRVSSVYYAKEKRSLPSSFKLFTGSEWVVLTKPFLEFCVWGWDNLPRTLLMYYTNFLSSNEGYFHTVICNHKDYQNTTVNHDLRYLRWDNPPKQHPLSLKLEHFKDMANSGAPFARRFDKDDPVLDKIDKELLGRSDGYFPRGGWCVGDSLKGRNPCDVYGNPDVVKPSLRSKILEKLMLKLLDSENFRPKQCR >CAK8564334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668570990:668571577:-1 gene:gene-LATHSAT_LOCUS17649 transcript:rna-LATHSAT_LOCUS17649 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKITKEPEVVKGVDLERYTGRWYEIASFPSFFQPKNSENTRATYTLNNDGTVHVLNETWNNGKRNSIEGSAYKANPNSDEAKLKVKFFVPPFLPIIPVLGNYWILYLDQDYQYALIGEPTRKYLWILCRQTHLDDEIYKKLVEKAKEEGYDDVTTKLRKTPQSDPPPE >CAK8564722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7572267:7573808:1 gene:gene-LATHSAT_LOCUS17994 transcript:rna-LATHSAT_LOCUS17994 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQHIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVSQMMYKNAVRFSDNHFKFVPLKIRDDEDVETMFSNHECCGFQYIDLYITFAQVQETQTSQVINPSIIPYEDVEDGDGEEENEAQVDDLYTTLFEEGIEVNIDDQCVPLQNVFIPPAHMTTLPLSVEGTSFDWPQNPRFPAEGDLEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWITRNKAIEQVYGNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVTDACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8540643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15145027:15150270:-1 gene:gene-LATHSAT_LOCUS9629 transcript:rna-LATHSAT_LOCUS9629 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFVSQMVPEWQEAYMNYDSLKSILKEISKYKAQNQSTERRASTSTSLSKGSLRRRLTLYRAYSGLNDNHTESSKKSEDEVILIQSVEGEDSKGFYQTMFLRASDDGAEKDLEFFKKLDFEFNKVNAFYKKMVKKVVDEAEELSKQINYLIALRIKVEKIGLTNLDSNETSSTLFMHHLNDAKNGHSSPPMDAIHEVKKSNDNLFKDDGNHVAQTDSKTSIEGFRPAPLEVLDHVKINVSPSETPVSTIKGLLTNSKSDHTFDSKELKKAEEQLSAAFTELYRKLRLLKQYSFLNLLAFTKIMKKYDKVSSRNASKAYRKMVDSSYVGSSDEVTRLMDRVEHVFIKHFANGNHRKGMSTLRPTTKKEQHRTTFLLGVFTGCAIALVAALIVLIHARNILNSEGRTKYMDNIFPLYSLFGYIVFHMIMYSVNTYLWRHFRINYPFIFGFKEGTELGYREVFLLSSGLAVLSLVAVLSNLDMEMDERTKSFSALTELVPLGLIIFLLAITFCPFNIIYKSSRFFLIRCAFHTICAPLYKVHFRDSFLADQLTTQVQSFRCLEFYVCYYFWGDFLTRSNKCNDSRIYKTFYLIVAIIPYWIRLLQCLRRLLEDGNTTQGLNGLKYISTIVAVVMRSSSEVYHGAVWKVLAVSSSSIATVYNTYWDFVMDWGLLAKNSRNPWLRDKLLVPYKSVYFVAMAVNVILRFAWMQSVLGIKDAPFLHRTALTAIVTCLEIFRRGIWNFFRLENEHLSNVGKFRAVHSIVLPFNYLDDNDDDD >CAK8574880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13408040:13408249:-1 gene:gene-LATHSAT_LOCUS27179 transcript:rna-LATHSAT_LOCUS27179 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLKMKKMKKFLKKWWSAAVGGEHRKKEITVDVGCYNRESGATETRMVQGRYGDRRSGRVGKRCVLE >CAK8531384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106686067:106687011:-1 gene:gene-LATHSAT_LOCUS1180 transcript:rna-LATHSAT_LOCUS1180 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQQRAFKVLFWIMGIGLTCYLAGPPLLWTLHDTFSSDSSSSCPPCRCDCSLQSLLSIPEGFSNNSILDCMRQDPEVSEEAGKSFTDLLSEELKQKEGEAEEKQRRADILLLESKKVASQYQKEADKCNSGMETCEEARERAETTLENQMKETALWELRARQRGWKPSGKKALA >CAK8571501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432248475:432249779:-1 gene:gene-LATHSAT_LOCUS24161 transcript:rna-LATHSAT_LOCUS24161 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGNTTSFVPQHADSSLSFLYNYNYSQTSCQGMEVKQQEWTEAFPEMDRMMKYGNQEKKKRLTSEQMESLESSFQMEIKLDPHRKMKLSKELGLQPRQIAIWFQNRRARWKTKQLEHLYDSLKHQFEVVSKEKQQLQDEVMKLKGMLKEQGCCSGRMQGYYTEVSVEETETVESTSEGKGKIHHEFKIGEGNCCFNLEDYNIHNSTVVPLLPYWPSVPYNYHS >CAK8535652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872499109:872502696:-1 gene:gene-LATHSAT_LOCUS5088 transcript:rna-LATHSAT_LOCUS5088-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATVIDEKVLNECLNEITRALLQSDVQFNLVRDMQTNIKKIVNLQDLAAGHNKRKIIQQAVFNELCKMLDPGKSSFVPKKGKPSVVMFVGLQGSGKTTTCTKYAYHYQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKEENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVVITKMDGHAKGGGSLSAVAATKSPVIFMLT >CAK8535650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872493294:872502696:-1 gene:gene-LATHSAT_LOCUS5088 transcript:rna-LATHSAT_LOCUS5088 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATVIDEKVLNECLNEITRALLQSDVQFNLVRDMQTNIKKIVNLQDLAAGHNKRKIIQQAVFNELCKMLDPGKSSFVPKKGKPSVVMFVGLQGSGKTTTCTKYAYHYQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKEENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVVITKMDGHAKGGGSLSAVAATKSPVIFIGTGEHMYEFEVFEVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLTEGNFTLRLMYEIFQNVLQMGPISQVFSMLPELMPKGREKESQTKVKRYMTIMDSMTNEELDSSNPKLMNESRMMRIARGAGRQFREVLDMMEEYKRLAKVSKMKGLKMPKNGNMSALSRNMNAQNMAKALPPQLLKQFGGMGGLQNFMKQMGSSKDMMGMFGGGGE >CAK8535651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872493294:872494366:-1 gene:gene-LATHSAT_LOCUS5088 transcript:rna-LATHSAT_LOCUS5088-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFEVFEVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLTEGNFTLRLMYEIFQNVLQMGPISQVFSMLPELMPKGREKESQTKVKRYMTIMDSMTNEELDSSNPKLMNESRMMRIARGAGRQFREVLDMMEEYKRLAKVSKMKGLKMPKNGNMSALSRNMNAQNMAKALPPQLLKQFGGMGGLQNFMKQMGSSKDMMGMFGGGGE >CAK8577943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598365639:598366033:1 gene:gene-LATHSAT_LOCUS30001 transcript:rna-LATHSAT_LOCUS30001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSDPTRPLLSKNDRNSTEHDGRKTRIPRRNSVNSLRSAFMSMLPDKVRSNLDSESPFDVDLSKATALSQGVKDYYEKQIATLKSFEEVDAVVDYKYTIK >CAK8561442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:215758497:215758883:1 gene:gene-LATHSAT_LOCUS15034 transcript:rna-LATHSAT_LOCUS15034 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMNLLLRKCKSLSKQLGRSSSYSSLRSKSNRDDLYAGHGIEQDENCETIFVGSSRKRYVISSKYLNHPLLDALINKNKQKDSGDDENVLVVNCEVVLFDHLLWMLENADPKFGSESLEELAELYVF >CAK8578874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660047997:660049243:-1 gene:gene-LATHSAT_LOCUS30856 transcript:rna-LATHSAT_LOCUS30856 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYFVNPHPNPNFGYSTRMNQVPSSSSYEFIVSDYLMLDDICIDQTQHDQESRSQSIESLEKVTFNDSNHELELNNATSNNNNIKYKNGIIKGKKEEVGPKIAFRTRSEIEIMDDGYKWRKYGKKSVKNNHNLRNYYKCSSVGCNVKKRVERDRDDSSYVITTYEGVHNHDIPFTSYCGQISFQHFDA >CAK8533634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:643741564:643742115:1 gene:gene-LATHSAT_LOCUS3246 transcript:rna-LATHSAT_LOCUS3246 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAFATISTVTRSYPTHSSYPTHFPKPITTVHFPSPTAPLSHRTTRLHRITAVSAEVEKLGNEISGLTLEQARNLVDYLQDKLGVTAASFAPAAAAAAPAAVEVAVVEEQTEFDVVIEEVPSTARIAAIKAVRALTTLGLKEAKELIEGLPKKFKEAVSKDEAEEAKKQLEGAGAKVKIV >CAK8561457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:228647754:228648341:-1 gene:gene-LATHSAT_LOCUS15046 transcript:rna-LATHSAT_LOCUS15046 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRKIEAGLIASGVRAAIRNPTDFAMVRMQADGRLPASQRRNYKSVVDAITRMAKQEGITSLWRGSSLTVNRAMLVTASQLASYDQFKEIILEKRVMKDGLGTHVTASFTAGFVAEVVTNPVDVIKTRVMNMRVEPGKEPPYAGALDCALKTVHAKGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLQDF >CAK8561842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:392995458:392996531:1 gene:gene-LATHSAT_LOCUS15396 transcript:rna-LATHSAT_LOCUS15396 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKIRFLVSSASFYIPFLGQVWRWLGFTSVAKKNLISLLASGHSCIIVPGGNRETLFMKHGSENVYLKERRGFVRIAMELGHPLVPVFCYGQTNAYKWWKVPGRLVQNLTRFIKINPIIFWGILGSPIPLKNPLYVVVGRPIHVNKNPNPTTEEVAKIHSEFVEALQNLFEKHKAKAGCTNLELKIV >CAK8560987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:68644516:68665109:-1 gene:gene-LATHSAT_LOCUS14618 transcript:rna-LATHSAT_LOCUS14618 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVDSRLSQLVVPALDKIIKNASWRKHAKLAHECKSVLERLSLNNQQLPPDSPSEAEPETPGPLHDGGSVEYSLAESESILIPLINAAGSGFLKIAEPAVDAIQKLIAHGYLRGEADPGGTAGEAKLLSNMIESVCKCHSFGDEAMELQLLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLVSKNLVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMAPAEKSDVDNSLTQFVQGFITKIMQDIDGVLNPVTPNSKVSMLGGHDGAFETTTVETTNPTDLLDSTDKDMLDAKYWEISMYKTALEGRKGELVDGELVERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEASTDPQLMKGKIMALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVTTTLLPPQEVVLKLEAMKSLVGVLKSMGDWMNKQLRIPDPHSVKKVEPADNGYDARGFVMANGSGVDLGEGSDSHSETPNDASDVSTIEQRRAYKLELQEGISLFNRKPTKGIEFLINANKVGDSPEDIATFLKDASGLDKILIGDFLGEREELSLKVMHAYVDSFNFQGMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYWKCNPKAFSSADTAYVLAYSVIMLNTDAHSPMVKDKMSADDFIRNNRGIDDGKDLPEEYLKSLFDRISRNEIKMKEDDMTSQQRQAVNPNKLLGLDSILNIAVRKHGDESNMETSDDLIRHMQEQFKEKARKTESVYYAATDAVILRFMIEVCWAPMLAAFSVALDQSDDEVVICLCLKGFRYAIHVTSVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNVYAIKEIIIIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDLEKTKQAKSTILPVLKKKGPGRMLYAAGTLMRGSYDSTGIGNSASGAVTSEQVNNLVANLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSQSDPRVFSLTKMVEIAHYNMNRIRLVWSSIWHVLSNFFVTIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNQEISLNAIGFLRFCATKLAEGDLGSSSRNKDKEVSGKISSTSSLEGKDGNQDNGEVADKVDHINFWFPLLSGLSELSFDPRPEVRKSALDVLFETLRKHGHHFSLPLWERIFESVLFPIFDYVRHAIDPSGSSPQVNEVETNRELDQDGWLYETCTVALQLVVDLFVSFYNTVNPLLRKVLMLLVSFIKRLHQSLAGIGIAAFVQLVSNAGELFSDDKWLEVVLSIKEAANATLPELSLLESEGFVARNEEHASAVDDDRDLVESGSPDDLESQRVRRLYAYVTDAKCRAAVQLLLIQAVLEVYNMFRSHLSAKTMLLLFDALHGVSLHAHNINNNTLLRSKLQEFGSIAQMQDPPLLRLENESYQTCLTFLQNLVIDKPLSYEEVEVESHLVQLCQEVLEFYIEVAGCEEKSESSLRRKPHWSIPLGSVKRRELAARAPLVVATLQAICCLDDISFEKNLSHFFPLLTSLVSCEHGSNEVQVALCDMLTLSVGPVLLRSC >CAK8569080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669827798:669830180:-1 gene:gene-LATHSAT_LOCUS21971 transcript:rna-LATHSAT_LOCUS21971 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSKGGCCGWFIALVILALVVGAVVYAVKKKIDKDSDKPAPVPGPPGAIEQKYASALKTAMQFFDIQKSGKLENNKISWRGDSALKDGKQVNIDLSKGMYDAGDHMKFGFPMAFTASVLSWAILEYGDQMDAVGQLEPAQDSLKWITDFLINAHPSENVLYVQVGDPVADHKCWNRPESIIEARPLLQVNTSSPGSDVAAETAAAMASASLVFKKSDPTYSGTLLKHAKQLFTFADDYKEIYSVSIPEVATYYNSTGFGDELLWAATWLYHATGDDSYLTYVTGQTGDEYAEFGSPTWFSWDNKLAGTQVLLSRISFFNSKGVSSSYGSGLQKYRKSAEAVMCGLLPNSPTATTSRTDNGLIWVSEWNSLQQPVASAFLASIYSDYMLTSQTAKIKCDSDSYTPKDLRNFARSQADYVLGKNPLHMSYLVGYGNKFPQFVHHRGASIPADAKVGCKDGFKYLDSSDPNPNVATGALVGGPFLNDTYIDSRNNSMQAEPSTYNSAVIVGLLSSLVTTSSAVLSFT >CAK8532583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:303797708:303799096:1 gene:gene-LATHSAT_LOCUS2273 transcript:rna-LATHSAT_LOCUS2273-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISHLLTVSLLLTISLSQSRKELRNKDERNSVYYSNRIDPSRVLQISWHPRLFLYKGFLSHNECDYLISLARSLKDKSLAYASPAHEDDIVKRIEERLSVWTFLPKENSKPLRIMQYGLGKFTQNMDYFTNKTDLDLELTAPLMATIVLYLSDSTQGGQIIFPESVTKSRSWSNCRNTSNILQPVKGNAVLFFSLNLNTSPDKSSFHARCPVLKGDMWSAVKFFYAKSVNGGEISTTPDVDECTDEDDNCPAWAATGECQGNPVFMVGSPDYYGTCRKSCNAC >CAK8532582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:303797708:303799096:1 gene:gene-LATHSAT_LOCUS2273 transcript:rna-LATHSAT_LOCUS2273 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISHLLTVSLLLTISLSQSSRKELRNKDERNSVYYSNRIDPSRVLQISWHPRLFLYKGFLSHNECDYLISLARSLKDKSLAYASPAHEDDIVKRIEERLSVWTFLPKENSKPLRIMQYGLGKFTQNMDYFTNKTDLDLELTAPLMATIVLYLSDSTQGGQIIFPESVTKSRSWSNCRNTSNILQPVKGNAVLFFSLNLNTSPDKSSFHARCPVLKGDMWSAVKFFYAKSVNGGEISTTPDVDECTDEDDNCPAWAATGECQGNPVFMVGSPDYYGTCRKSCNAC >CAK8541253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:97712175:97714168:1 gene:gene-LATHSAT_LOCUS10185 transcript:rna-LATHSAT_LOCUS10185 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGSDHSSDHKQQENEEQDNIEQSTSKSPSPPLHSLSDSPISDDHRSLPLVAQPPVVTAHRFQVEPAVITKVDPGAEEGFVGVKEVEREREQSTTGDGGGGGNRRLRPDVSSLLRSEKVVNLNKFLLGLRIGGFVFCLVSFSVLVADRKKGWALDSFYLYKEFRYSLSVNVIGFVYSGLQICDLVKYLISRKHIVDHRLRGYFTFSLDQILTYLLMSASSSAATRTYDWESNWGNDKFPFMANASVVLSFIAFVVFASASLVSGSILCRF >CAK8533021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566730813:566739332:1 gene:gene-LATHSAT_LOCUS2673 transcript:rna-LATHSAT_LOCUS2673 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDFQSDGSSVLRLNIGGKKFWTTIDTMTHREPDSMLAAMFSGRHTLSQDPHKGYVFVDRDGTHFRHILNWLRDGVVPTLEEPEYTELLREAEYYQLLGLIDGIQDVLNKRKEDDELRTELTRTDIIKCIQSEKVRFRGVNLSGIDLSKLDLSFVDFSYACLKNVFFSRANLQCAKFRDVDAEGSIFHNANLRECEFTGANLHGALLAGACLQSANLQDACLVDCSFCEADLRSAHLQNADLINANLEGANLEGANLKGAKLNHANLKGANLQRAYMRHVDLRDTDLEGARLDGANLLGAIR >CAK8538715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493681084:493683939:1 gene:gene-LATHSAT_LOCUS7886 transcript:rna-LATHSAT_LOCUS7886 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVKCGLGFLIRFFFRSVQAAMRCFFGFRDNSRHHLPEPLLVSTAPSPSTTTDTVISENRLCSLLWKENGDSARNAADEVSLNDEAKFLQACGAIARTPPEIRETSAKLKVSPACESDSDTSRFHSWLPNTSVEKLQLDVHSFEHTPSSFVFKAQDPQYDSPDYVEGSWTRSPHTAYKTRKNEAWTGTETQKKNKSVRFESGNDLVSYQSPPVDGDVQKNKSLKSQTASNQSPDPTPLKLFDEMQTPGTVYPTSLDDLCDGKRRVRSQFVYTNCNAGENLLLTKLLEVQGFNPEHDSSELGVSVEQEHKLEASLSSWLEPASINMEEGNWEMESGADFEILQSADTPNIGVVPAQLNEDEDSHFVSPKRPEVNGIPNTTKKYKEDQTVKWHATPFEERLDKALSEEKHLSQRKLAFAKPMAFEDIEE >CAK8535046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806975303:806978588:1 gene:gene-LATHSAT_LOCUS4529 transcript:rna-LATHSAT_LOCUS4529 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIKISAFIIFLSLSILSLHVQCRSLKLVSNGVDNVESSEEDSLLVLSNEGEYCKQMYGFLPCSSNIFGHLFLILVYEYLLFHGESYLAAGGEQVFKILGPGVFGASAFDILGALPESLILLVTGLSSDKERAQESASTGVGLLAGSSILLLTVLWGTCVIVGKQDLKSESNSHCSNSSTGRIKQSLIGYGITMDVDTRKMARNMVFSVIPLLIMQIPTLFHFSTSSRNVTLMSSLIIAVSFLISYFIYQIFKPQIEKTRLEYIKHDDLILRIFQRVEKQTLQKILAEDGTPNVTAISGLYNEFSQHGRKHLLASEVKELLLGSNLTNTNIRDEQIEDMVKAFDKNGDQVISREEFVDGLKEYINQTKHALDRKYLPKESMNKMYQAFIKPWIENVRKERELKGRLISEVLSHAQSDTVGKLHNEDGTPDKEAIKRLFEEVNIDGDDHVSRTELEKIVKDIHFGKGVDSEEAVTKLLQDLDANKDNEISENEFVDGFTKWISSNSNKTSTSKSSHHHHETHQTWEEVEKVMEETQSKGVSAWFEAIAYLVLGITMLSLLAEPLIASVQKFSEAAGISSFFISFILVPLATNFREATSAIKEASHKKSSNTSHTMYEIYGAVFMNNILGFVVISILIYMRDITWEFSADVLVVAIVCAVMGLASAFRTTFPLWTSFPAYLLYLISLLLVYVLKDVLNYM >CAK8543919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649223934:649226419:-1 gene:gene-LATHSAT_LOCUS12641 transcript:rna-LATHSAT_LOCUS12641 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGKRMEEGLDLALDIDAKDDNVSKKEETSNIDEHETDTMVKQKTKRIATLDAFRGLTIVIMILVDKAGGVYPSIDHAPWNGCTLADFVMPFFLFIVGVAIALALKRIEKIKYAVKKIMVRTLKLLFWGILLQGGYSHAPDDLSYGVNMKFIRWCGILQRIGLVYCVVALIETFTTKLRPTTLTSYGRIAIFKAYKWQWFGGFIAFLIYMITTFTLYVPDWSFVDHVIGDEPKRYTVICGMRGHIGPACNAVGHVDRQVWGVNHFYSHPVWRHLKECTFSSPGEGPLREDAPSWCRAPFEPEGLLSSISAILSGTIGIHYGHVLIHFKGHSERLKHWVSMGFVLLTIAIILHFTNAIPINKQLYSISYVCFTAGIAGIVFSALYILIDVWRFRTPFLFLEWIGMNSMLVFVMAAEGIFAGFVNGWYYEDPNNSLVHWIKKHVFVSVWKSERVGTLLYVIFAEITFWGVVAGVLHKLKIYWKL >CAK8539354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510021676:510023337:1 gene:gene-LATHSAT_LOCUS8457 transcript:rna-LATHSAT_LOCUS8457 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKSSSSSSAPNLSFNTPQTIDHHPQFNYSSNQLENYFTNQENITTKETLFSSTCPIFMFDTTTTATDTNVIRPEVFFHDNMNLSSETWNLNHHHHNHHQVQALPPPHPATTAYTTIHDTSSNYNLPPLIDNNVDNMVVPIDQVQSCNMDEEGEILTLESLQLQRHELNEWVENQQQQQCSSFLFWESVEEPNSSNIMGTSTTNSLSPFPSSLL >CAK8533399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612583672:612588708:1 gene:gene-LATHSAT_LOCUS3025 transcript:rna-LATHSAT_LOCUS3025-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKDPSPSSSKLGFPSKKQVLYGTMEPKPDPGRVLKIHDVFLSFRGEDTRASFTSHLCTALLNAGITVFIDDNDLQRGDHISLSLHLAIEQSRIAIIVFSTNYADSRWCLDELENIMACSRDKRQVVVPLFYHIDPSEVRHQRGEFGIAFQRLLYKITNELEINQAQKLVVSWRDTLREAASIAGFVILNSRNESEDIKRIVDKVSHLLNKSDLFIAHNPVGIESRVQDVIQLLQPILLSKKVRLLGIWGMGGIGKTTIAKAIYNKIGRNFEGRSFIANIREHGEKIDGLVGLQEQLLFDIFKETTVKIPHVESGMNTLQRKLSKKKVLILLDDVNTLEQLNTFCGSPQWFGPESLIIITTRDMNLLRGRVDQIYKMTIMNESESIELFSWNAFKQVTPTDEFLNISKNVVEYSGGLPLALEVLGSNLFNKKKSDWELVLEKLKRIPNSQVQKKLRISYDGLNDDDEKEMFLDIACFFIGMDRYDVIHILNDCGLSAEIGISVLVERSLVTVDDKNMIRMHDLLRGMGREIIREESPRIPENRSRLWFQEHVIEILSRQTGTKSVTGLALKLPRENDVKCFLTHAFRKLKRLRLLQLVEVKLDGDFEYVSRDLRWLSWDGLSDVPTNFYRENLVSIELENSNVELQWNKTLRMEKLKILNLSHSHHLTQSPDFSNMPNLEKLVLKDCPLLSEIEKLEEDLEQMESSTKVPFSVIGSKSIGYIPLWSYEGFSCDVFPSIIWSWMSPTNNLPSQFQTSTIMSSLAALDVPHSSSQELSSISKYLPSLRSLWVECKSKDQLVVHTKIILDALYATVSKDLESTSEVSNSTTPILIQCCSQMNVSGSKHCSKSLFIQMGMNCQVTNFLKEKILQNMDVNDSGGCFLPGDNYPSWVTFNSEGSSVTFQVPQVKGHNLNTMMCVVYTSTPDDIASDGHLKSMLVKNYTKTTIQLYKRETLVSLKDEEGQRIVLSMEPGNKVEVIFVFENGFVVEKTSLYLIYDEPEQ >CAK8533396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612570096:612588708:1 gene:gene-LATHSAT_LOCUS3025 transcript:rna-LATHSAT_LOCUS3025 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDPSPSSSNLGFPSKKQVLYGTMEPKPDPGRVLKIHDVFLSFRGEDTRASFTSHLCTALLNAGITVFIDDNDLQRGDHISLSLHLAIEQSRIAIIVFSTNYADSRWCLDELENIMACSRDKRQVVVPLFYHIDPSEVRHQRGEFGIAFQRLLYKITNELEINQAQKLVVSWRDTLREAASIAGFVILNSRNESEDIKRIVDKVSHLLNKSDLFIAHNPVGIESRVQDVIQLLQPILLSKKVRLLGIWGMGGIGKTTIAKAIYNKIGRNFEGRSFIANIREHGEKIDGLVGLQEQLLFDIFKETTVKIPHVESGMNTLQRKLSKKKVLILLDDVNTLEQLNTFCGSPQWFGPESLIIITTRDMNLLRGRVDQIYKMTIMNESESIELFSWNAFKQVTPTDEFLNISKNVVEYSGGLPLALEVLGSNLFNKKKSDWELVLEKLKRIPNSQVQKKLRISYDGLNDDDEKEMFLDIACFFIGMDRYDVIHILNDCGLSAEIGISVLVERSLVTVDDKNMIRMHDLLRGMGREIIREESPRIPENRSRLWFQEHVIEILSRQTGTKSVTGLALKLPRENDVKCFLTHAFRKLKRLRLLQLVEVKLDGDFEYVSRDLRWLSWDGLSDVPTNFYRENLVSIELENSNVELQWNKTLRMEKLKILNLSHSHHLTQSPDFSNMPNLEKLVLKDCPLLSEVSPTIGHLNKILLINLEDCISLYSLPRNIYKLKSLKILILSGCLKIEKLEEDLEQMESSTKVPFSVIGSKSIGYIPLWSYEGFSCDVFPSIIWSWMSPTNNLPSQFQTSTIMSSLAALDVPHSSSQELSSISKYLPSLRSLWVECKSKDQLVVHTKIILDALYATVSKDLESTSEVSNSTTPILIQCCSQMNVSGSKHCSKSLFIQMGMNCQVTNFLKEKILQNMDVNDSGGCFLPGDNYPSWVTFNSEGSSVTFQVPQVKGHNLNTMMCVVYTSTPDDIASDGHLKSMLVKNYTKTTIQLYKRETLVSLKDEEGQRIVLSMEPGNKVEVIFVFENGFVVEKTSLYLIYDEPEQ >CAK8538589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487936141:487938249:1 gene:gene-LATHSAT_LOCUS7770 transcript:rna-LATHSAT_LOCUS7770 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFRKPVCHVSSTSLFGSTKTQSKTTQTSNSNMDTSLSIDEKSISNDVKSFSFNDLKEATRNFRQENLIGEGGFGFVYKGWIDENTGAPTKPGNGIVVAIKQLKPESFQGHKEWLAEVNYLGQLHHENLVKLIGYCSEGKNRLLVYEFMQKGSLENHLFRKGVQPISWITRINIATGVARGLAFLHSLDANVIYRDLKAANILLDSDFNANLSDFGLARDGPTGDNTHVSTRIIGTHGYAAPEYVATGHLTLRSDVYSFGVVLLELLTGRRVVDDDRPAYSEETLVDWAMPFLSDSRRILRIMDTKLGGQYSKKGAQVAAALVLKCLHTDPKHRPTMVNVLAALEALQSSNSVPRTPKSRNNHNHHATKHSSHHHSHKSNANKTRKH >CAK8543269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594555531:594557738:1 gene:gene-LATHSAT_LOCUS12038 transcript:rna-LATHSAT_LOCUS12038 gene_biotype:protein_coding transcript_biotype:protein_coding MCCELERLKPAPAPYPSLSPVLTRDSQPGNNAMNHLTVGTEDSFASLLELAANNDVEGFKRLIEFDPTSVDEIGLWYGRRKGSKQMVNERRTPLMVAATYGSIDVMKLIISLSDVDINRPCGLDKSTALHCAASGGAENAVDAVKLLLAAGADPNSVDANGGRPKDVIVYPPKLEFVKISLEELLQTDDASVGCNLRVITNSFNTYSPPLSASPENGSPSPPPDLLLRLKSIDVPISPAASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGINCSRRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSSAMDFAAAMSMLPGSPSSMSVMSPSPFTPPMSPSGNGISHNTGAWPQPNIPALHLPGSNLQSSRLRSSLNARDIHMDDFDMLSDYDQQQQLINELACLSPHHLNSNSLSRSGRMKPLNPSNLDDLFSAESSSPRYADPTLNSTVFSPTHKSAVFNQFQQQQNMLSPVNTNFSPKNVDHHLLQAASYGVQPSGRMSPRNVEPISPMSSRMSMLAQRDKQQQFRSLSFREHGSNSMLAPPGSVNSWSKWESPNGKLDWAHNADEVGKLRRSSSFEPGNNGEEPDLSWVQSLVKESPTEIKEKLTTSISNVAPTGTSGEGLNMNMNAQMDSADHAVLGTWLEQMQLDHLVAQQN >CAK8544502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689088415:689088759:1 gene:gene-LATHSAT_LOCUS13175 transcript:rna-LATHSAT_LOCUS13175 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFSKSKLIGINISIHFLEDAANFLSCRIKGAKFNFLEVPVGLKSKKVSGWELLIKKMKARLSNWKGIILNLGGRITLLKSVWSSLPIFILSFYKAPVTICKEITKMQNNFL >CAK8536072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904864479:904865239:1 gene:gene-LATHSAT_LOCUS5473 transcript:rna-LATHSAT_LOCUS5473 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDQFQESKSKYDCLLFDLDDTLYPFSSGLSNHVTENIQEYMLEKLGIQEDKVPELCVSLYKIYGTTMAGLKAIGYDFDYDDFHSFVHGRLPYNLLKPDHVLRGILLSLPF >CAK8575655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237087730:237088527:1 gene:gene-LATHSAT_LOCUS27898 transcript:rna-LATHSAT_LOCUS27898 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLRALATIVGGVMYMHSFQGGATLLSLGLIFIRYTMFLWWRDVLRESTLEGHHTKVVQLGPRYGSISFIVSEVLFLFAFFRASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAVVTWAHHAILAGKEKGAFYALVATVSLALVFTGFQGMEYYQAPFTILDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVLLFPFVSIYWWGGI >CAK8563921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639293612:639295564:1 gene:gene-LATHSAT_LOCUS17279 transcript:rna-LATHSAT_LOCUS17279 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFDLITERRKNEKRQQLKKRILIGVIATVVLVGMIGCAFFVATTKYGLGEHDTKNGGSPTSNSNKHVAHSEKIVKLVCSTADYKEKCEVPLNKAVEEDPKLQHPKDLLKVYVKIVQEEVNKAFNKTSSFKFDNEKEKGAFEDCKLLIQDARDDLEASINQLSVVEFKKLSLKAPELNSWLSAVISFQQTCVDGFPEGKTKTDLQKLFDDSKEFVSNSLAIVTQVSTFLSTVQTLARGRLLLSDNSPVASLDSEGFPSWIRPQERRVLKAADIRPTPNVTVAKDGKGDFKTISEALAAIPAIYPGRYVIYVKEGVYDETVTITKKMQNVTMYGDGSQKSIITGNKNFRDGVRTFLTASFVVLGDGFLGLAMGFRNTAGPDGHQAVAARVQADRAVFANCRFEGYQDTLYTQTHRQFYRSCIVAGTIDFIFGDAAVIFQNCIMVVRKPLDNQSNMVTAQGRMDKQQATGTVLQKCTIKADDKLVPVTKSIKSYLGRPWKEFSRTIVMETEIGEFIHPEGWTEWNGNFALDTLYYAEFNNTGPGASTSGRIKWPGYKIINREEASKYTVANFLKGTWVQSSGVPSEQGMYYN >CAK8542903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557646445:557647089:1 gene:gene-LATHSAT_LOCUS11701 transcript:rna-LATHSAT_LOCUS11701 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTNHDPNKGKEKLNEESVAKQNNEKRKVIVLEEKSDAESKSLKCLKNDNGEEKSTTSSKEISPFVLFGFIIDPTKGNRKAYSCNFCSQKFVSPQALGGHQNRHKVERKLHKKNETINEAWEIFSNGNVGCEYQYYEFDIMNQHAAGSSSFDAWDLHHFQHRDQNTVNQQITMTEIDFGLVSEGGANSDADNEHKDTQEEEEASKNVDLNLKL >CAK8564903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15124761:15128061:1 gene:gene-LATHSAT_LOCUS18155 transcript:rna-LATHSAT_LOCUS18155 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETQTIVVIQDASRDVGLKAIEKVLKKLAVKAGDQLIIVAILNWFSSPSMFSFFGRKTLGYMVTVDSSYFVSTNKKIIEENFSKRKNDYPMNRYINKLSKYCQINKIEFQLEVHAGPAPQVISEAATKFQPTTLILDRYIHRNMKNFMDRIPCGMYRITSDNSIEKLKDPKSIASKFSERQENVSYSEMIPGSEDDGGVSLQMSKSSSTDLFTSGVLCTDASTSGVASSEYVLQKYHAGEFFPEQEKQERQSLFHICENQERNQPEVNQKGIQIEDAVYNMEEEFANPACSVCNNKRLKIDSKRDFSYLELYAATQGFSAKNFLSEGGFGSVYKGQLNGMIVAVKQHKSASYQGEKEFRSEVNVLRRARHENVVMLLGSCSEGNNRLLVYEYVCNGSLDQHLSEHSRSPLTWEDRIKVAIGAAKGLLYLHKNNIIHRDVRPNNILVTHDNQPMIGDFGLARTHNKDLTHSTEVVGTWGYLAPEYAEYGKVSSRTDVYSFGVVVLQLITGMRTTDKRLGGRSLVGWARPLLRERNYPDLIDERIIDTHDYHQLFWMIRLAEKCLSKDPKKRLSMVAVVNALTDISEGNTCDIGTGDYSPTRSDSSYSESEMDENEDIMQGNFEVESELLSTISESIEGNDSISQMRHMTVRQPPSPPIKSMCSSGSNSFQFSDESNSDYEAHNERDTEMPTYRIGLLNNS >CAK8564904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15124761:15128061:1 gene:gene-LATHSAT_LOCUS18155 transcript:rna-LATHSAT_LOCUS18155-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETQTIVVIQDASRDVGLKAIEKVLKKLAVKAGDQLIIVAILNWFSSPMGYMVTVDSSYFVSTNKKIIEENFSKRKNDYPMNRYINKLSKYCQINKIEFQLEVHAGPAPQVISEAATKFQPTTLILDRYIHRNMKNFMDRIPCGMYRITSDNSIEKLKDPKSIASKFSERQENVSYSEMIPGSEDDGGVSLQMSKSSSTDLFTSGVLCTDASTSGVASSEYVLQKYHAGEFFPEQEKQERQSLFHICENQERNQPEVNQKGIQIEDAVYNMEEEFANPACSVCNNKRLKIDSKRDFSYLELYAATQGFSAKNFLSEGGFGSVYKGQLNGMIVAVKQHKSASYQGEKEFRSEVNVLRRARHENVVMLLGSCSEGNNRLLVYEYVCNGSLDQHLSEHSRSPLTWEDRIKVAIGAAKGLLYLHKNNIIHRDVRPNNILVTHDNQPMIGDFGLARTHNKDLTHSTEVVGTWGYLAPEYAEYGKVSSRTDVYSFGVVVLQLITGMRTTDKRLGGRSLVGWARPLLRERNYPDLIDERIIDTHDYHQLFWMIRLAEKCLSKDPKKRLSMVAVVNALTDISEGNTCDIGTGDYSPTRSDSSYSESEMDENEDIMQGNFEVESELLSTISESIEGNDSISQMRHMTVRQPPSPPIKSMCSSGSNSFQFSDESNSDYEAHNERDTEMPTYRIGLLNNS >CAK8542454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516528768:516531200:-1 gene:gene-LATHSAT_LOCUS11293 transcript:rna-LATHSAT_LOCUS11293 gene_biotype:protein_coding transcript_biotype:protein_coding MGLENNSQQLLSKIATNNKHGENSPYFDGWKSYESNPFHPTKNPQGVIQMGLAENQLCFDLIEEWIKNNPKASICTPEGVNEFRHIANFQDYHGLPEFRNAVANLMSKVRGGRVRFDPDRLLMSGGATGANELIMFCLADPGDAFLVPSPYYPAFVRDLCWRTGLQLIPVQCHSSNNFMITREALEDAYKKAQEENIKVKGLIITNPSNPLGTTIEKDTLKSIVSFINENNIHLVCDEIYSGTVFDTPKFVSVSEVIQEMEDVKKDLIHIIYSLSKDMGLPGFRVGLVYSYNDEVVSCGRKMSSFGLVSSQTQYFLAAMLSDDKFVDKFLAESSRRLRARREFFTKGLEKVNITCLPSNAGLFFWMNLRSLLKEKTFEGEMKLWRLIINEVKLNVSPGSAFECSEPGWYRVCFANMDEETVEIALMRIRAFVNGREKGTKKVEMKRWKSNLRLSFSSRRFEENVMSPHSPIPHSPLVRAT >CAK8576715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508466483:508467738:-1 gene:gene-LATHSAT_LOCUS28888 transcript:rna-LATHSAT_LOCUS28888 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGVGREQFTHLISYGEELLKSNPNSTVKIKCADSDDGPVFETIYVCLEACKTVFAMTCRPLIGMDAYFLKGDFGGQLIGVVGKDGNNKIYPIAYAVVEAETKDPWKWFLNILLEDLQSIQDKKYGFISDQQKGLILAILETNQHVEHRLCVKHLYGNWRKKYLGIFMKEALWRTTKATTILAWERVMNHMKELNVNA >CAK8539371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510307382:510308117:1 gene:gene-LATHSAT_LOCUS8474 transcript:rna-LATHSAT_LOCUS8474 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPILHLILLSLIIHQTTSFSSAAIDPTQGFTHVSLDNSNFVIQKPYNLPVNQRYNFTNGVHQFWIYPTDKPFMSGSNTKPRTEIRISKHEYTSGIWQFEGYGYVPSGTSGVCIMQVFGGRSTATTTQLRIYDGSLTYYNSPHILSQNIYNRWFKVNAIHDVDANNVKIYIDGVLKRDGAGLGAGTHYFKFGVYVQNDHSNRMESRWKDIKVFKK >CAK8543551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619128834:619130375:-1 gene:gene-LATHSAT_LOCUS12301 transcript:rna-LATHSAT_LOCUS12301 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGVLFLYLLLVLLLILPIVLFLTKLNKKEKPFSSSSSNNNNITIPKSYPLIGCYLSYRNNLHRRIEWLSDIVRISPSATFQLGGALGKRQIITGNPATVQHILKNQFSNYQKGTSFTNTLSDFLGTGIFNADGQNWKFQRQVASHEFNTKSIRNFVEHIVDTELTNRLIPILVSATQTNQILDFQDILQRFTFDNICKIAFGFDPEYLTPSTNRTKFALAYEDAVEISSKRFRVLLPIIWKIKKYFNIGSEKRLKEAVTEVREFAKKIVRDKKRELEETSLLQTEDMLSRFLTSGHSDEEFVTDMVISFILAGKDSSSAALTWFFWLLWKNPRVEEEIVKEVNKKSESMVYDEVKEMVYTHAALSESMRLYPPVPLDSKEAMNDDVLPDGRFVKKGTVVTYHVYAMGRMKSLWGEDWAEFRPERWLERDEVNGKWRFVGRDLYSYPVFQAGPRVCMGKEMAFMQMKRIVGGIVGKFKVVPEGNMDEHPGFISFLTSQMEGGFPVTIQKVI >CAK8573893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644814194:644814868:1 gene:gene-LATHSAT_LOCUS26288 transcript:rna-LATHSAT_LOCUS26288 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFFFLALLAIINGIQAVEYTVTNTALSTPGGMRFRDQIGDQYATQTLDSATQFIWKIFQEDNPGDIRNVQRVSLFVDDMDGIAYTNTNSNEIHVGARYLNTIQTIDELTGVLIHETTHVWQWYGNGKAPVFLTEGIADYVRLKANYIPDHWVKAGGGDSWTRGYDVTARFLEYCDGLRNGFVAELNKMMRTDYRDDFFVTLLGKTPDQLFTDYKNHYGNIP >CAK8570951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:188926792:188927142:-1 gene:gene-LATHSAT_LOCUS23657 transcript:rna-LATHSAT_LOCUS23657 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYGLPTQPENYLHRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSNVADLLR >CAK8537635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:412333438:412334328:-1 gene:gene-LATHSAT_LOCUS6903 transcript:rna-LATHSAT_LOCUS6903 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNEFYVDYHHGGYFVDEKYIGGEVSNWKCDGERWSYFEILGVVKEMKYLEVQEICYFEILGVIKKMEYPEVQKIWYDFDGTLKALEDDFGAIEALNWSKTKGKNDIYIVHPIEQTDLVVAIPETQTHEFVRPNLRTQMMMFVRTNLRTIMMMCVRTNLRNKIWIFVGPT >CAK8541815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:406760006:406761504:-1 gene:gene-LATHSAT_LOCUS10709 transcript:rna-LATHSAT_LOCUS10709 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASIPDELISKILLLLSLENIVQLKCVSKSWNNFISGPIFIQMHLKKSSQNPHLILTPTPKYPGKYPMSRVKSFPVSRILENTSITVSGDNFRSSIGSCKIIGSCHGLLCLLFHSRFRSLNDEYRKYWFCLWNPATREISKKFGTFKDCNTQPNTYKFTFGCDISTGTYKVVTLRKVLAERGEENKIDWEGRVRVFNYDDNYWRQIQSCPMIPVILMNIHINQINNGVHLSGTVNLLALPNFVQPAYEYGWKSITNAQQFVIVSLDLSTETYTQVLLPRGFDEVPHFQPILHVLMDCLCFSHDFKGIEFVIWKMKDFGAQESWTQLFRIEYLKIYHDLNFYVGREFGTPLLPLHLSMNGDTLILANCEDDRAIIYNRRDKGVERIRISNKLCWFSTMDYVESLVSTRWISGTSTPNTSSIHESMVGKSVLFLTRDSDSNDEDELSNGDSEDDE >CAK8578349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622687985:622692225:-1 gene:gene-LATHSAT_LOCUS30366 transcript:rna-LATHSAT_LOCUS30366 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKLSFEEVRNSSSPKTTLWWFFLIATCVKVLLFPSYRSTDFEVHRNWLALTHSLPLSSWYFDETSPWTLDYPPFFAYFERFLSFFAHLVDPQIVHLQEGLNYSSNKVVYFQRFTVILSDLSLLYGVYRLTRKLDLRKQKLIYSLVIWSPMLLIVDHMHFQYNGFLIGILLISLSYLEEGRDLLGGFVFAVLLCFKHLFAVAAPVYFIYLLRHYCWGGIVRGFSRLLIMGSLVAAVFASAFGPFFHLGQIQQVIQRLFPFGRGLCHAYWAPNFWVFYIISDKGLAFILRKLGFNIQTPAASFTAGLVGDSSPFSVLPRITPSVTFIMVLLALSPCLFKAWKNPRPQMITRWISYAYTCGFLFGWHVHEKASLHFVIPLAFIAAQTPEDAKHYFLLSIVSCYSLFPLLFEAQEYPIKVLLLLLHFILSWSGFSAQSYGRAETRAPIAQTKKKVDQFGSEGSLSTDVKKGGFAFGLIERSYLAGLVVVEIWGQFLHPLLFGDKLAFVPLMLISVYCALGITYSWIWQLRSIVKSH >CAK8536997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:52265677:52266051:-1 gene:gene-LATHSAT_LOCUS6318 transcript:rna-LATHSAT_LOCUS6318 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTEDKAFGMSAHLLLGVVRIYSKKVDCTVLYKVFAAVSNHTLPEDGMQAPLHTITMPATFDLDALNLSYGTDVNGYEDHHMKSLEDITLADENPTVLENYVTIRFDEDTTFSPANTHTIS >CAK8576364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466921100:466923574:-1 gene:gene-LATHSAT_LOCUS28557 transcript:rna-LATHSAT_LOCUS28557 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENLDGKPITPVTICMIGGGGFIGSHLCEKLMSETSHIAIVVDVSSEKINHLLDKSLPWANRIEFHHMNIKNDSRLETLVKASDLTINLAAICTPADYNTRPLDTIFSNFIDAIPVIKFCTENNKRLIHFSTCEVFGKTIGSFLPEEYRKDPKYYMLKEDVSPCIFGPVHKQRWSYACAKQMTDRLIYAEHAENGLKFTIVRPYNWIGPRMDFIPGVDGPSDGVPRVLACFSNNLLRGEPLKLVDGGCSQRTFLYVKDAIEAVLLMIDNPNRANGHIFNVGNPDNEVSVKQLAELMIKVYAKVAGVPDSSLSTLDVTSEDFYGKGYDDSDKRIPDMTIITKQLGWKPRTSLDELLDSTLQYQHQTYSHAIKKELSKPST >CAK8565325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:125933193:125939117:-1 gene:gene-LATHSAT_LOCUS18547 transcript:rna-LATHSAT_LOCUS18547 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGSTKKTILYDDNGFMDIVFSWSIEDILDEDLFKNEVDKIGLSFESTSRYLGSYKYPLLEETRATLCSSMELIYQAPYGNVLGLKDAKPFDNRNGNETEKTLKDKMYNLKIDGWEKRFVRGREPYKTLPGDVLVLADYKPESVNDLQRYGKMCCFLTIVKTDDENETMDSVSFKVKASKDLYLDELKYKPLFVIFLTNIGSYRKTWICLHMTRGNLKLFKQVLSTSDAEVKGICDCISRSDAIWDDCSYQRVSSELNESQNHAIRDCISGIYCNHNSTVKLIWGPPGTGKTKTLGILLFVLMKMKYRILVCAPTNVAIKEVALRVLQVVRESLGSKNGDLFCSAGDLLLFGNNERLDVDNNEVEDIFLDNRMQQLRKWLSSHTGWRTCLTSMIDLLKYCGSDYKIFIENEILRLQKLHNESHKLKSFLEFLREKFHFRALQLKECISSLCNHVPMCHILEHNYMKLVCLNEKLESFQEMLFREDLASEELEILFSDMEISVDFWNLKIDAAEHVFEIRNECLSALETAKDSLHVLDSIKFTKENPVRDFCFENASIIFCTTATSFRLHTVSMKPMNLLVIDEAAQLKECESIIPLQLPGINHAILVGDECQLPSMVRSKVCIDAGFGRSLFERLSLLGSPKNLLNMQHRMHPDISLFPNSHFYSSKIHDAPNVQRNFTMQYLPGPMYGPYTFINVAGGREEFDDDGRSYKNMAEVAVVMTILKKLHKEWNPKKEQLSIGIVSPYAGQVLKIQEKLEKCNEISHADGFIVNVKSIDGFQGGEQDIIILSTVRTNHRTSLQFVSSPQRTNVALTRARHCLWILGNERALERDENVWKNLIFDSKKRGSFFHADQDSDMAKAISGSLKELDQSLDLLDTNSVIFRNSLWKIHFSDKFRRSFTKVRPQNSKISVINVLERIASGWRPRGRRVEFICEGSSKILKHFKVENRYIICSVEIVKDSRRYIQVLKIWDLVSVEEIARSAKRLDSEFKRYTDEYIVCCKERGFDGKIEFPLSWPGTANIQKLKIVGTNDTKEDDLVVSEDPNITAKNSMIEESTLLMKFCSISSDYTHNGRDVIEVDLPFALTYEQRKIISFPKSTFVLGRSGTGKTTVLSTKMIQNEKLHHTAVESVYGPIDNANSSNENAVHLNIPVLRQLFVTLSPGLCEEIKRNISCFKRSLGESIVQDIDDAPDSFSDLPSNLYPLVLTFGKFLRMLDVTLGNSYIKHQKKEVNFERFDSLYWPHFNYQLVKKLDSYLVFTEIMSHIKGGVKESVIGKLSRNDYCSLSESRSLSSLSMETRDIIYDIFQNYEKMKMKKGEFDVSDIVIDLHHRLKMNGYKGDLMNYVFIDEVQDLTMAQIALFKHICRNVEEGFLFCGDTAQTVGRGIDFRFQDVRSLFYKNFVLESKSGFHDIKKQKVKCISDIFMLSQNFSTHAEVLKLSQSIIELLFHFFPNSVDMLKVESSLVYGEPPIVIQSRNGENPILTIFGESGYSGENFGRFSEDQVILVRDDFTKEEVMQLVGKQARVLTILDCKGLEFKDVLLYNFFASSPMKRQWGIIYEYMKEKGMLDSSSRVNCQSFVDSKHNVLCNELKQLYVGLTRARKRLWICEDDVDEYCKPMFCYWEKKNLVQLKILDTSFVNAMIV >CAK8539112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504061339:504061749:1 gene:gene-LATHSAT_LOCUS8240 transcript:rna-LATHSAT_LOCUS8240 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLKDIVPAAQNNIDTKFIILEKGKTTLEGQNKVCLALVADETAAVHLQLWGDECDAFDSSDIVYLTNGIFSYQRGNLILRAGKRGKLEKIGEFTMSYVETPNMSEIHWVRDSTNSKYMQERVISPHSRIFPPTL >CAK8570290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34456364:34457944:1 gene:gene-LATHSAT_LOCUS23052 transcript:rna-LATHSAT_LOCUS23052 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAHFVFGIFGNAFGLFLFMAPIITFKRIIVKKSTEKFSGVPYIMTLLNCLLSSWYGLPFVSPHNILVAIINGTGAVIEIIYVFIFILYAPKKEKLKISGLFAFVITVFSAVVFISLFALHGNSRKVFCGFAAAIFSIIMYGSPLSIMRLVVKTKSVEFMPFFLSLFVFLCGTSWFIYGVLGRDPFVAVPNGVGSALGTLQLILYLIYRENKGNMGKTTTQEESMEMGTAKPMGGEELKGDTPKDTC >CAK8538675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492539167:492542165:-1 gene:gene-LATHSAT_LOCUS7849 transcript:rna-LATHSAT_LOCUS7849-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQPEENKVSMEKSTKRKLKTPAQLKGLENFYTEHKYPTEELKLVIAEELGLTEKQVSGWFCHRRLKDKRLSKDDGAANGRQDRSSGVIQDRGSGLGQGQDSCGSSKHGDYRYLDPKEVESHGLYNRDLSVADMTYGRRNHFSENVSGMDDTSSESSSYLQERLYPQGQGPYESEPSRYLASGKSLPPLKPKGAMNMGYKPSGYLKVKGEIEHAAITAVKKQLGRNYLEDGPLLGIEFDPLPPGAFECQTQDPVHEPYRFADPALLKSPEISTAKRRPGLSSRYDSYYSKHSSQDTHMEGDDEFGSLRDSDVHDKQDKEAFHGTKHRQAFQSNATRVPARNSPLDLYEDSTGEAAYNNITKNHRKDTKRGVEGMRSDSASNHSDHYEENIPVKHADFLPYDYENTNPKNVQRSVHAEFLPYDYDNVNPKNMQRSEHVKTKPSNSIRNSRGSADTEERELSIRMTKEEMFKAERKAKKQFRDTGVAAMISNETMVAKRLKPNTFLPYSANQFPAAEIEPRKNQRSGAEMPSSFSEDETGDTSSSMN >CAK8538674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492539167:492542165:-1 gene:gene-LATHSAT_LOCUS7849 transcript:rna-LATHSAT_LOCUS7849 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQPEENKVSMEKSTKRKLKTPAQLKGLENFYTEHKYPTEELKLVIAEELGLTEKQVSGWFCHRRLKDKRLSKDDGAANGRQDRSSGVIQDRGSGLGQGQDSCGSSKHGDYRNHFSENVSGMDDTSSESSSYLQERLYPQGQGPYESEPSRYLASGKSLPPLKPKGAMNMGYKPSGYLKVKGEIEHAAITAVKKQLGRNYLEDGPLLGIEFDPLPPGAFECQTQDPVHEPYRFADPALLKSPEISTAKRRPGLSSRYDSYYSKHSSQDTHMEGDDEFGSLRDSDVHDKQDKEAFHGTKHRQAFQSNATRVPARNSPLDLYEDSTGEAAYNNITKNHRKDTKRGVEGMRSDSASNHSDHYEENIPVKHADFLPYDYENTNPKNNMQRSEHVKTKPSNSIRNSRGSADTEERELSIRMTKEEMFKAERKAKKQFRDTGVAAMISNETMVAKRLKPNTFLPYSANQFPAAEIEPRKNQRSGAEMPSSFSEDETGDTSSSMN >CAK8532920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549976904:549977483:-1 gene:gene-LATHSAT_LOCUS2580 transcript:rna-LATHSAT_LOCUS2580 gene_biotype:protein_coding transcript_biotype:protein_coding MERESQKTNHWIVRRACEYDYEVKHTSLNGEKYIVNLYKKECLCRLWMLTGLPCCHVMSCMKDQHLEIGDFVPDCYQKEQYAACYEHVIYPLNGEVLWAKTSVIDLQPPPIKKQPERPKKKRNMEAGEMVRNETHMKMERHGIKCSRCHKDGHNKATCKQPQPQASSSQV >CAK8540711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16832227:16847858:-1 gene:gene-LATHSAT_LOCUS9689 transcript:rna-LATHSAT_LOCUS9689 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVMLPDAAALGVLKRYPFVPRHPFVRGITSSLSRRNRNFSFADKRIFKSVHAAFSHNNDDQMFTDVVDDQEGYSGKNEVLGREDELMTAKKALSEAQDRQEAIEKERDQLLEELARSEAKKQEYIAAIVHDKEVAISELEAAKSFFEKNLEESVEEKFSLQSKLVLAKQDAVDLAVQVEKLAEVAFQQATSHILQDAQLRISSAETTAAEAAHLIEKQIKDATEGTISSIIEKSKYAIERALAVAEEAGEHAKETMETFIDGTSPFTEIASVQVENIKLQGKLNDIESQLTIARNEVARLNIELEHTRQQTKAFEQRAIDAEKALLDLQELSKKTTLQKEEEMKSLMEKVRKDVADKTKAISKAFKTDLKNIKATIDASKEVVLSKDNAYLRRCEALQRSLMASEDALKMWRQRAEMAESLLMKERKLDEQDEGSIYVVNGGRIDLLTDVDSQKWKLLSDGPRRDIPQWMARRIKAVIPKFPPKKTDVAEALASKFKSLELPKADEVWSIAREKPKEGDHLIEHVFERETIERKRKALERALRKKSERAPEQKILEPGTGTGREIVFQGFNWESWRRHWYQEMASKAADLSKCGVTAVWLPPPTESVAPQGYMPSDLYNLNSSYGSMEELKHCIEELHSQDLLVLGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNVDHSQNFVRKDIKEWLNWLRNDIGFDGWRLDFVKGFSGTYVKEYIEASNPAFSIGEYWDSLAYEQGSLCYNQDAHRQRIVNWINATGGTSSAFDITTKGILHSALHNEYWRMIDHQGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLMQGYAYILTHPGTPVIFYDHFYDFGIHDVITELIEARRRAGIHCRSSIKIYHANNEGYVAQVGDALVMKLGHFDWNPSKENRLEGSWQKFVDKGSDYQVWLRQ >CAK8569477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2413706:2416472:-1 gene:gene-LATHSAT_LOCUS22325 transcript:rna-LATHSAT_LOCUS22325-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAMKLIFLFITLTFTVSLALDMSIISYDKTHPDKSTQRTNDEVLAMYEEWLVKHGKNYNALGEKEKRFEIFKDNLGFIDEHNSKNLSFRLGLNRFADLTNEEYRTRFLGTRIDPNRRSRKVNSQTNRYAARVGDKLPESVDWRKEGAVVGVKDQGSCGSCWAFSAIAAVEGVNKLATGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDSEEDYPYSAVDGRCDQNRKNAKVVSIDDYEDVPAYDEGALKKAVANQVIAVAVEGGGREFQLYESGVFTGRCGTALDHGVAAVGYGTDNGKDYWIVRNSWGASWGEAGYIRLERNLASSKSGKCGIAIEPSYPIKNGVNPPKPGPSPPSPVTPPSVCDSYYSCTPGSTCCCIFDYGGSCFEWGCCPLESATCCDDHYSCCPHEYPVCDTNAGLCLKSKNNPLGVKSFKRTPAKPHFALGGKNKMGSV >CAK8569478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2413706:2416472:-1 gene:gene-LATHSAT_LOCUS22325 transcript:rna-LATHSAT_LOCUS22325 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAMKLIFLFITLTFTVSLALDMSIISYDKTHPDKSTQRTNDEVLAMYEEWLVKHGKNYNALGEKEKRFEIFKDNLGFIDEHNSKNLSFRLGLNRFADLTNEEYRTRFLGTRIDPNRRSRKVNSQTNRYAARVGDKLPESVDWRKEGAVVGVKDQGSWSCWAFSAIAAVEGVNKLATGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDSEEDYPYSAVDGRCDQNRKNAKVVSIDDYEDVPAYDEGALKKAVANQVIAVAVEGGGREFQLYESGVFTGRCGTALDHGVAAVGYGTDNGKDYWIVRNSWGASWGEAGYIRLERNLASSKSGKCGIAIEPSYPIKNGVNPPKPGPSPPSPVTPPSVCDSYYSCTPGSTCCCIFDYGGSCFEWGCCPLESATCCDDHYSCCPHEYPVCDTNAGLCLKSKNNPLGVKSFKRTPAKPHFALGGKNKMGSV >CAK8543914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649004430:649009596:-1 gene:gene-LATHSAT_LOCUS12636 transcript:rna-LATHSAT_LOCUS12636-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFDNEEEEEGDGFDNLVMDPLFDNEEEEEGDGFDNLAMDPLFDNEEEEEGEEEEDDEDDEEYVPDVPSSRVFHGRRNPTSDEVILILDDEQQNSVEDDRNKRRRTEGVEASSSSIPIGSLDGSQENDWNRTEVDGLICPICMDAWTDDGDHHVCCLPCGHIYGMSCIKKWLKQRRNSGKCPQCNMECSMKDVRKLYASRVVAVDEESHKRIRSLETQCASLESKDGDWCKKEAGWKKREAALLLDVKNLKEKNIYLEQLVLDMQSRQSGLMDATGNSQWRYESEQNYNPMSHGKGSFCNFLFQKAFQLEGARIFDMDTYNQIVLIAHKPKAIGDVHLLSKLSLISPFEMQDIVLPSSTNGVRDLHISPFDNTQALYASFGKKLSVLSFVSGTPVLNYNLQLPAWSCSWDRNSTHYIYAGLQNGSVLVFDTRQTAGPLKYLDGLTSNPVHSLQSLSQTSSLPSGARSILSASAIGPCQWNTDSEERFVVPEPYDPDAYDQGVCISLAYCPSSDDIVVTYRPKFNTMVDAPNSQFWPTPYVTGQGVQGSHVLLKRTGCNNYQKMGSSNANVSDIRLPKCVVIDTQDQNRLFVSGDEATCNLVLQELPSFRTIQQFKMPAHVRDIRYSPSHGMLGCLTGNSLQLFRTNLK >CAK8543913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649004430:649009635:-1 gene:gene-LATHSAT_LOCUS12636 transcript:rna-LATHSAT_LOCUS12636 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADPGFDNLVMDPIFDNEEEEEGDGFDNLVMDPLFDNEEEEEGDGFDNLAMDPLFDNEEEEEGEEEEDDEDDEEYVPDVPSSRVFHGRRNPTSDEVILILDDEQQNSVEDDRNKRRRTEGVEASSSSIPIGSLDGSQENDWNRTEVDGLICPICMDAWTDDGDHHVCCLPCGHIYGMSCIKKWLKQRRNSGKCPQCNMECSMKDVRKLYASRVVAVDEESHKRIRSLETQCASLESKDGDWCKKEAGWKKREAALLLDVKNLKEKNIYLEQLVLDMQSRQSGLMDATGNSQWRYESEQNYNPMSHGKGSFCNFLFQKAFQLEGARIFDMDTYNQIVLIAHKPKAIGDVHLLSKLSLISPFEMQDIVLPSSTNGVRDLHISPFDNTQALYASFGKKLSVLSFVSGTPVLNYNLQLPAWSCSWDRNSTHYIYAGLQNGSVLVFDTRQTAGPLKYLDGLTSNPVHSLQSLSQTSSLPSGARSILSASAIGPCQWNTDSEERFVVPEPYDPDAYDQGVCISLAYCPSSDDIVVTYRPKFNTMVDAPNSQFWPTPYVTGQGVQGSHVLLKRTGCNNYQKMGSSNANVSDIRLPKCVVIDTQDQNRLFVSGDEATCNLVLQELPSFRTIQQFKMPAHVRDIRYSPSHGMLGCLTGNSLQLFRTNLK >CAK8562954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561184241:561187528:1 gene:gene-LATHSAT_LOCUS16414 transcript:rna-LATHSAT_LOCUS16414 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVFEFPHTHMDRRPRKRARLGWDVPEVPKAQVGLFFGQDVGNISIYAPSGVSSEHTTSSPLVKGVARNGSPPLRDDDKDGHYMFAVGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLGKNDIGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLHMIHTDLKPENILLVSPDYVKVPDYKISSRSPNSYFKKVPKSSAIKVIDFGSTTYERVDQSYIVSTRHYRAPEVILGLGWSHPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPMHMLKKVDRHAEKYVRRGKLDWPVGATSRESIKAVTKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPFERITAKEALRHSFFMRRSH >CAK8577550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:573463460:573465395:1 gene:gene-LATHSAT_LOCUS29648 transcript:rna-LATHSAT_LOCUS29648 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPVIVVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSAAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECQHLISRIFVADPAKRISIPEIRNHEWFMKNLPTDLMDENGTNDQFEEPDQPTQSVEEIMQIIAEATVPAAGTQSHNQYLTGSLDIDDDMEEDLDTDPDLDIDSSGEIVYAM >CAK8568935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:656668788:656671995:-1 gene:gene-LATHSAT_LOCUS21842 transcript:rna-LATHSAT_LOCUS21842 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFFRLILLVFFMINISHAINTNQTQFFSVMKDSLSGNYPFDWGASKVQKPICDFTGITCDDKHDIIKLDFSGWSSLSGNFPSDFCSYLPNLRVLNLGKTKVKFPINNIINCSRIEQLIMNQMHQSGTLPDFSSLKSLRILDLSYNSFTGDFPMSVFNLTNLEILNFNENNGFNLWELPKSFERLKNLKSMVLSTCMLHGQIPPSISNITTLIDLELSGNFLTGQIPKELGLLKNLQQLELYYNYHLVGNIPEELGNLTELIDLDMSVNKLTGTIPSSVCKLPKLQVLQLYNNSLTGQIPDEIETSTTLRMLSLYDNFLSGHIPKKLGQLSGLALVDLSENKLSGPLPEHVCEGGKLLYFLVLDNFLSGFIPESYANCMFLLRFRVSNNRLQGSVPKGLLSLPHVSIIDLSSNNLTGSIPEINGNSRNLSELFLQRNKISGEITPTISRAYSLVKIDFSYNFLYGPIPSEIGNLRKLNLLMLQGNKLNSSIPGSLSSLESLNLLDLSNNLLTGNIPESLSVLLPNSINFSHNLLSGPIPPKLIKGGLVESFAGNPGLCVVIPVYANSSDQKNFPLCSHGYKSKKMNTIWVAGVSVILIFVGAALFLKKRCSKDAAAVEHEDTLSSSFFSYDVKSFHMISFDQREIVESLVDKNIMGHGGSGTVYKIELKSGDVVAVKRLWSRSSKDSSPEDALFVDKALKAEVETLGSIRHKNIVKLYCCFSSLDCSLLVYEYMPNGTLYDSLHKGWIHLDWPTRHRIALGIAQGLAYLHHDLVFPIIHRDIKSTNILLDEDYHPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSPRATTKCDVYSFGVILMELLTGRKPIESEFGENRNIVFWVSNKVEGKEGARPSEVFDPKLSCSFKDDMVKVLRIAIRCSYKAPASRPTMKEVVQLLIEAEPKSSDSCKLSTKEVSTNVNLVKKPFEL >CAK8563883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636842093:636844407:1 gene:gene-LATHSAT_LOCUS17245 transcript:rna-LATHSAT_LOCUS17245 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNPTSTTPRTSLRHQQQQQHSTDTMSLQAVPQSTTTTTTTRFRVRASSKTKESPKTPPEIVNRLSPISSTRAKSVPPEFKNNSKAKRSIVMKSIQEVETSHKGSTSSREVEEPKVVSVAVVAHDVKEKKELLEKLEVSESLIKNLQSEVKALKDELEHVKSLKIELESQNIKLTQNLATAEANLAAVATTSTRKETIGEHKSSKFKDIQKLIADKLERSKVKKEANHDAIFVKASIPIPAPTPSHAIPETTSIGRKSKSPTNQCLMPPPPPPPPPIPSRPLAKLANTQKSPAIGQLFHSLKTQDAKKDSKGSMTHHQKPITNSVHNSIVGEIQNRSAHLLAIRLDIQTKGEFINELIKKVVDATYVDIEDVLKFVDWLDGELSTLADERAVLKHFKWPEKKADAMREAAVEYRELKMLEQEISSYKDDPDIPCGASLKRMASLLDKSERSIQKLVMLRNSAIRSYQVYNIPTAWMLDSGITSKIKQASMTLVKMYMKRLTLELESIRNSDRESSQDSLLLQGVHFAYRAHQFAGGLDKETLCAFEEIRQRVPRNLAGSRELLAGIASS >CAK8563541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:614703032:614705176:-1 gene:gene-LATHSAT_LOCUS16939 transcript:rna-LATHSAT_LOCUS16939 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNLSEYELKRLENIRRNKEMLSALKIHSKASQLFKRPSAVTKLKSEKKSKTETLEAIRFSLRTRGITADPSNALFYNATTSSNHQTLDHLPMKDAYKGTHSDCSFIETLKQQSNSTAKIKNKTECSFELESMSLDPENIANVVPGRITQMRFFPSNDIKMIVAGDRYGNVGFWNVGQSEVFSYRPHQTLVLGIVVQSHCLSKVYTSGKDGFVRMMDAEKEVFDIVYKSSDNASIYALSQPKNDANCLYLAEGSGCLTVWDKRIGKCSSSSGLALHQMRINTIDFNPENPHIAVTSSSDGTACTWDFRCIGGLDKGSNLPALRRFTHERGLQSAYFSPSGCTLAITSTDNTVVIYSGVNLKDAAFVNHERSRRTSIFRTIWGWGDSFLFTGSTKRGVAVVSAAQKATVMTLESPLLSATPEKFDTHPYEVGMLAAGTGGGQVYVWTSC >CAK8578630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642387296:642388804:-1 gene:gene-LATHSAT_LOCUS30623 transcript:rna-LATHSAT_LOCUS30623 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKQEEKIEKIIRGLMKLSPNRRCINCNSLGPQYACTTFWTFICITCSEIHREFTHCMKSVSMSKFTLQEVDALQNGSNVDKVREFIKNVYVDKLYAGTKSYERPPRDAQGPTIHDDEIRRASSYHSYSQSPPYDNQYEDRRYGKQAGALTRKPGSDKFCYEGKMSSIIYSPGRFSDNAYDERFANERSGPRNSDFSASSGHVQFKSDVQSPQFRKDVEFNSPSHKRTGSSSSEDVLTQAKNAALESNAAAKRDADGIRHPQRTTSLQPTDNNFPTLRSYNSGSSIDFFSEAVQSSGSLQDKASGTSLPSGPARAVSLDLSKAPVASASSVVLSQTAAPSQAPLGDLFQLSDMASATSFKGNQPTQTSQVASIDFFVETTATSDAKSADISIPKNEGWATFDTPQFTPATAQVETRAAVPLSAESLQDRFDPFSTVNDNMHWPSFEISSVGVPSVTSDVWHDGVWNAEKQVPVVATDTQSWNAFEDSCTHFPGKKWSPES >CAK8560753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39779911:39781125:-1 gene:gene-LATHSAT_LOCUS14404 transcript:rna-LATHSAT_LOCUS14404 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETAWISHYDDETRKETRDIDLLSEPRDEVNKEETGISLDVMPDELLERILAYLPVASIFRARSVCKRWYEIVTSQRFIWNPSNSPPQKPWYFMFTGSEEPTGRVYDPNLRNWYCIELPFIGNSNWFISSSRGLVCFMDNGSRSQLCVCNPIAKSCRVLPEPPGLRFSDYVTLAMSVDKESHGYTVGIVKSKQVPENFFQWDISIHIYKSEEETWATQLTEVLIGWRGADESVICNGVLYFVVQSTGGVPSENRHALVAYNLSGRSSQTSLRKSFISVPCSLSCARLMNMKEKLVMVGGIGKADRPDIIKGIGIWVLHDRRWEEIVRMPHKYFQGFGEFDEVFGSRGIDDLIYIQSYGSPALLTFDMNVKQWKWSQKCPVPKRFPLQVFSGFCFEPRLDIAP >CAK8568826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644647697:644651322:-1 gene:gene-LATHSAT_LOCUS21746 transcript:rna-LATHSAT_LOCUS21746 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYKYQTRATKVNRTITAQMHPKQLIRTKTTQTRAVELNRTDTAQVHPTQVIRTETAEKSAIQLNIILPETETEFKLGAKEAKLGAKEAIHIETEYQKEFQKMLNNRRPLQVPLWAELAKPVANPCYPPPSQMEIMKCCPKKDIPNFHELLVEENLYLNIEYGDQGKLPVLILSLKDCDSKLRPAVVFNHGSDTSKEYMRPLLEAYASRGYIAIAVDSRYHGERAKSANTYQESLTNAWKTGSSMPFIYDTVWDLIKLADYLTTQRKDIDPSRIGITGISLGGMHSWFAAAADTRYSVVVPIIAVQGFQWAIENDKWHARVDSIRPVFEVASKDLCKNAIDKEVVEKVWDRINPGLTSQFDSPYSIPPIAPRPLLILNGAEDPRCPWDGVEDLMINVTKMYTAFQCPDNFKVYAEPKTVHQITKFQVEESAAWFDKFLKP >CAK8568827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644647697:644651262:-1 gene:gene-LATHSAT_LOCUS21746 transcript:rna-LATHSAT_LOCUS21746-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKQLIRTKTTQTRAVELNRTDTAQVHPTQVIRTETAEKSAIQLNIILPETETEFKLGAKEAKLGAKEAIHIETEYQKEFQKMLNNRRPLQVPLWAELAKPVANPCYPPPSQMEIMKCCPKKDIPNFHELLVEENLYLNIEYGDQGKLPVLILSLKDCDSKLRPAVVFNHGSDTSKEYMRPLLEAYASRGYIAIAVDSRYHGERAKSANTYQESLTNAWKTGSSMPFIYDTVWDLIKLADYLTTQRKDIDPSRIGITGISLGGMHSWFAAAADTRYSVVVPIIAVQGFQWAIENDKWHARVDSIRPVFEVASKDLCKNAIDKEVVEKVWDRINPGLTSQFDSPYSIPPIAPRPLLILNGAEDPRCPWDGVEDLMINVTKMYTAFQCPDNFKVYAEPKTVHQITKFQVEESAAWFDKFLKP >CAK8573937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648658099:648658476:1 gene:gene-LATHSAT_LOCUS26331 transcript:rna-LATHSAT_LOCUS26331 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNDYGTWVEDENQVRQLFINNYKQLFALNDTRMQWVQTEYSFLQLDIEINGKLRKQLIDEEVKHVLFCKNPWKTPSPDGFHASLFQRAWSIVSTSVFNFIQKVWKNPSEISTINQTDICLIPK >CAK8567298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502308887:502309165:-1 gene:gene-LATHSAT_LOCUS20363 transcript:rna-LATHSAT_LOCUS20363 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSILIEYDSNQRKDVEVDHDDGDESLWVPAIGICFSCLEEVKTYYQEYALKKGFGWRIRPSKKGDDGELNYLILSCSREGSNISKISCT >CAK8537945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449659672:449660958:1 gene:gene-LATHSAT_LOCUS7193 transcript:rna-LATHSAT_LOCUS7193 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTNTKPVKPSSNISEMVYKFAKVCKLKSIGVFTSEIPNLQHLHKPIEVHPHSIVEVKTKEDSSGDLVMLNKIFDSVLDLKFAYLELQQAHIPYDPKKIVAADDVVVAEIGKLCKFKSEYKEKQSKKAIINAELYDLFMKEIVAKEAFLGKLKSRKSAKDFKLLRLRQQRDDLEIGNKNLNEKIKQIRLEHRKNLSVLSVDKFQDVFKAASKSIHDFAKPLISLMKASGWDLDMATKSIANNAVYSRKCDKKYAFEAYIARRMFHGIALISYDVSDVMKFDDPYDALMENPESDFARFCREKYLLVVHREMEEAFFGNLDYREFITNGKHPRTEFYQLFARMAKWIWVLLGSAATIDPNATLFSVSKGSIFSNSYMESVGKENEFASPSDEEQLATYKVQFMIMPGFKIGPVIVKSRVYASQDRSS >CAK8565792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:334539491:334542964:1 gene:gene-LATHSAT_LOCUS18974 transcript:rna-LATHSAT_LOCUS18974 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLRNLSLSPKNFSFTFTLFLLLNSFLLIPFCYSYSLNEQGQALLAWKNSLNNTSEALDALSSWNSLATTPCNNWFGVYCNPQGDVIEINLKSLRLQGELPSNFQPLKSLKRLILSSTNISGRIPKEIGDYHELAVVDLSGNSLFGEIPEEVCRLSKLESLFLHTNFFEGDIPSNIGNLTSLVNFTLYDNHLSGEIPKSVGLLNKLQVFRAGGNKNLKGEIPFEIGNCTSLILLGLAETSISGSIPSSIQMLKRIRTIAIYTTLLSGSIPQEIGNCSELQNLYLYQNSLSGSIPTQIGELHNLKSLLLWRNNLVGTIPEELGRCKEIQVIDFSENLLTGSIPKILGELSNLQELQLSVNHLTGIIPPEISNCISLTQLEVDNNALSGEIPPLIGNLRSLNLFFAWQNKLTGKIPDSLSDCQELQSLDLSYNNLIGTIPKMLFNLRNLTKLLLISNDLSGFIPPDIGNCTNLYRLRLNHNRISGNIPNEIGNLNSLNFVDISSNHLVGEIPPTLSRCQNLEFLDFHSNSLTGSIPDSLPKSLQLVDLSDNSLSGELSHTIGSLVELSKLNLGKNQLSGRIPSEILSCTKLQLLDLGSNSFTGEIPKELSLIPSLEISLNLSFNHFSGEIPSQFSSLGKLGVLDLSHNNLSGNLDSLSDLENLVLLNVSFNAFSGKLPNTPFFHKLPLSDLAENKGLYVAGDIATPGDRMESKGHTKPVMKSVMSILLSTSAVVVLLTVYVLIRSHIAEKSLMENESWEVTLYQKFDLSIDDIVLNLTSSNVIGTGSSGVVYKVIIPNGETLAVKKMWSSEESGAFDSEIQTLGSIRHKNIIRLLGWGSNRNLKLLFYDYLPNGSLSSLLHGSGKGKAEWETRYDVVLGVAHALSYLHHDCVPAIMHGDVKAMNVLLGPSYQPYLADFGLARIAAENDDDTNSKPAQRHYLAGSYGYMAPEHASMQPITEKSDVYSYGMVLLEVLTGRHPLDPTLPGGANMVQWVRNHLSSKGDPSEILDTKLRGRADPTMHEMLQTLAVSFLCVSTRAVDRPAMKDVVAMLKEIKPVETSRADTDVLKLGGLTSSHSSPPPPKNVLSHGSSTCSYNFSDG >CAK8541779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393147087:393147886:1 gene:gene-LATHSAT_LOCUS10674 transcript:rna-LATHSAT_LOCUS10674 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLVAKLKRVLQGCGAIAASEEDVKLLGAVGSPFVIRVQIALALKRIEYKFIPENLANKSELLLKYNPVYKKIPVFVHNEKPISESFVILEYIDETWKENSIFPSDPYQRAVARFWSKFIDDKCVAAALKSVFLVDEKERKKASEELLNVLQFLENELKDKYFGGKEIGIVDIAALFIPLLQEVAEFQLFTSEKLPKLHKWSREFHNHPMVKECLPSKEQQLAYYKAVAGILAGLLK >CAK8573461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614103765:614105594:-1 gene:gene-LATHSAT_LOCUS25907 transcript:rna-LATHSAT_LOCUS25907 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQNMNSSRFQNSYVIGVISIVLILGSVVECGTPSSKLNDFDYHAINCRKHSAVLTDFGGVGDGKTSNTKAFKSAIANLSQYSNDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKDAVILASQDESEWPQLPVLPSYGRGRDAPDGRFSSLIFGNNLTDLVITGNNGTIDGQGSYWWSKFKKDQLKLTRPYMIELMYSKQIQISNLTLVNSPSWFVHPIYSSDIIISGLTIIAPVDSPNTDGIDPDSCTNVRIEDNYIVSGDDCVAIKSGWDQYGIKVGKPSQQIVIRRLTCISPDSATVALGSEMSGGIQDVRIEDITAITTESGIRIKTAVGRGGFVKDIFVKGMKLDTIKYVFWMIGSYGSHADKGYDPKALPEIKGINYRDVTAKNVTFAAKLEGISNDPFTEICISNAIIEVNEVKKKKLPWNCTDVSGVTSNVSPKPCELLPEKEKLDCPFPSDKLPIESVQFKTCSFKSSSFY >CAK8543143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582857022:582857678:-1 gene:gene-LATHSAT_LOCUS11925 transcript:rna-LATHSAT_LOCUS11925 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMCIVILFLVQMLLLPQQNHAEIVVSTVEVPAPQPSKNNNTTQFPKQGTTEGSLQPQDCGPRCSDRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKSGGPKCP >CAK8545005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:718213368:718222243:-1 gene:gene-LATHSAT_LOCUS13640 transcript:rna-LATHSAT_LOCUS13640 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIDDDDNDKESLTSLASVPPHRKAHSYSQQLRGSSTHKRQLQVRNHSLDDSRISGNIIDSFYDSDSDDDFFPHSNPNVADEYMEGGGISDDLSQYQPLQEFIGSGGGASVFKSPIRAAVHPGRPPCLELRPHPLRETQVGKFLRNIACTPTQLWAGQECGVRVWEFRNAYEHGCGLGGRVRRGDEDAAPFYESTDTSPTLCLTVDSGNRLVWTGHKDGKIRSWKMDQQFSTPFKEGLSWQAHRGPVLAMVITCYGDLWSGSDGGVIKIWPWESLEKSLSLSPEERHMAALLVERSFIDLRAQVTVNGVCSISSQEVKCLLSDHIRGRVWCASPLSFSLWDARTKDLLKVFNIEGQAENRVDMSSVQQDQPLEDEMKVKSVATSKKDKSQSTSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTKRTEAIVQTNDGMIWTGCTNGLIVQWDSNGTRLQDFSRHPCAVQCFCTFGTRIYVGYVSGIIQILDLEGNILAGWVAHNSPVLKLAVGDGSVYSLATHGGIRGWNIASPGPVDNIIRSELATKELTYTRRHSIRILIGTWNVGQGRASQEALLSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSAMGQWWLDTIGKALEEGKAFERMGSRQLAGLLISLWVRKNLRKHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIFRNMVFSRSSTLLNTAAAGVSTSAHMLRGTNAMGVSSEEAKPELSDADMVVFFGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREAIIKFPPTYKFERHVPGLGGYDSGEKKRIPAWCDRIIYRDTRPASVSECNLNCPVVSSILQYDACMDVTDSDHKPVRCKFNVRISHADRSTRRKEFGEIMTSNEKIRSMLEESRYVPECNVSPDNLVLENMDASFLLITNRSTKDKAVYKIICEGLSIVKSEGEAPDYNPRGAFGFPRWLEVSPSVGIIKPEQTVEISVRHEDMNVTEETVDAIPQNWWSEDTRDKEVILVVRVQGSSTVQSCSQKIHVRHSFSAKPVRVDSKSNSARRNQVS >CAK8542776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545425370:545425987:-1 gene:gene-LATHSAT_LOCUS11584 transcript:rna-LATHSAT_LOCUS11584 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHSSVVTKRLWNVLRIAFFMMRKGFISKRKMIMDMNLMMKKGKVLRKSLSNLMSSSQNRHRNKIGGGFMVHDYEFSCSNSPNPGYFNLSKRKHHFNFPCINAPEVVEDETLPCYQLSSPLEIENVCKSSVAMVPKTPEYTFNFRFDSFEERKSPRFSVRVSNYSALEENEEIGNCQVDDEAEDFIRKFYEQLRTQSRVQLPGF >CAK8576759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511705592:511707526:-1 gene:gene-LATHSAT_LOCUS28928 transcript:rna-LATHSAT_LOCUS28928 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVKEILARPIQMADQVSKFADEAQNFKQECLELKTKTEKLAGLLRQAARNSNDLYERPTRRIIEDVEQVLDKALGLVIKCRVNGLVKRLFTIIPATAFRKTSMQLENSLGDVQWLLRVSASAEERDDEYLGLPPIAANEPILCLIWEQVAILLSGCSIEERSDAAASLVSLARDNDRYGKLILEEGGVPPLLKLLKEGRLDGQENAARAIGLLGRDPESVEHIVNCGVCSVFGKVLKDGHMKVQIVVAWAISELAAHHPKCQDHFAQNNAIRLLVSHLAFETIQEHSKYAITNKQNMSSLHSLVMASNTTDNSKSKGVQEDDYKLVSHPGASQTSNQIHNVVNTMTMKGNSKDPKEETIHNAVVKQNQNSGGNNTVSIAGTSIKGREFEDPVTKAQMKAMAARALWQLCRGNVTICHTITESRALLCFAVLLEKGTDDVQHYSAMALMEITSVAAEHAELRRSAFKPTSPAAKAVVEQFLRIVQKGDADDLLIPCVKAVGNLARTFRATETRFIEPLVMLLDEREPVISMEAAHALIKFAETDNYLHETHCNAIIQAGGAKHLIQLVYFGEQMVQIPSLLLLCFVALHVPKNETLGQEEVLIVLEWCTKQGHLMAVPKIDEILLEAKSRLELYQSRGRGFH >CAK8534037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:684904821:684906048:-1 gene:gene-LATHSAT_LOCUS3612 transcript:rna-LATHSAT_LOCUS3612 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKTKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTGEQATTGQIHASFPAWFKDQLSCIVAPTQEILHLHNLSRGPVQREIEWHTYFVNGYKFHTQTWTEGKKTINSGVFVKGVTDDGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDWYDSSSRGTKIDKKYNIVDIRMDRRYKEYDPFIMSHIIKQVYYVPYPSIQSRKRGWCVVIKTKPLGHIETDDLVEDASYQDHEISQINDVVEVEEITNLCDTLVEGHQIDASVLLVDNNMDKEHEDIGSEDIIGSDDENNMVEEHEEFE >CAK8571019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:267249203:267259028:-1 gene:gene-LATHSAT_LOCUS23718 transcript:rna-LATHSAT_LOCUS23718-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTIDHNLNLDLKAVTNFPSKVKIPKPITSWKRKKVWFKVEEAKLKNKLESDTKSAFGFGVSAALSFCFFFHSPTALAQSLTVAFPVSRAPEVNEVQRTLVEAWSLIRETFVDPTFNHQDWDMKLQQTMVEMFSLNSADAAYTKISGMLSTLGDPFTRIITPKEYQGFRIGSDGNLQGVSLFINVEPTTVHLVVLSCIENSPASRAGIHHGDEIVEINGERLDSIDSEGAAQRLRGKAGTTLTVKVKDSSRSSYIREVNLPREYIKLSPISSAVIPHKSSEGQVIKTGYVKLSTFSQSAAEDMQNAIQEMKNQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMSPINMINGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGNKTFGKGKIQSVTQLHDGSALFVTVAKYVSPALHDIDQVGITPDVQCTTEMLNSPKELSTKEKTSISSLEEDSCIMLAEHELDMESKGAVS >CAK8571020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:267249203:267259028:-1 gene:gene-LATHSAT_LOCUS23718 transcript:rna-LATHSAT_LOCUS23718 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTIDHNLNLDLKAVTNFPSKVKIPKPITSWKRKKVWFKVEEAKLKNKLESDTKSAFGFGVSAALSFCFFFHSPTALAQSLTVAFPVSRAPEVNEVQRTLVEAWSLIRETFVDPTFNHQDWDMKLQQTMVEMFSLNSADAAYTKISGMLSTLGDPFTRIITPKEYQGFRIGSDGNLQGVSLFINVEPTTVHLVKDSSRSSYIREVNLPREYIKLSPISSAVIPHKSSEGQVIKTGYVKLSTFSQSAAEDMQNAIQEMKNQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMSPINMINGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGNKTFGKGKIQSVTQLHDGSALFVTVAKYVSPALHDIDQVGITPDVQCTTEMLNSPKELSTKEKTSISSLEEDSCIMLAEHELDMESKGAVS >CAK8540369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554747679:554751538:-1 gene:gene-LATHSAT_LOCUS9377 transcript:rna-LATHSAT_LOCUS9377-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSESLNSEGMNPMLTDLDGASVQSPAPVNSSEPSTRDMLLAALFDIQPGDGDPDHPASYEIFNGESASVWAEGLVPDCQPLNSAVACDVPLEEWLPEDHLDDSWLHDDKPTKDELYVSDSKVAPSIIGAGLANLGNTCFLNSILQCFTHTVPLVEGLLSCNHSFDGHNGYCVICAFRYQMQQSLQSTGTVISPEILVDNLKHFSSMFRRHQQEDAHEFMQCALDKLDSCFLSLKKNDPSFEGENIVNKVFGGSLVSKLRCCTCGRSSDTNEPLIDLSLEIENVDSLSSALESFTMVENIDEKLKCESCNEEVSMEKQLMLNQTPSIAALHLKRFKTDGFFVEKIDKHIDFPLELDLRPYTILNEKNNVPLKYDLYAVVVHIGFSSDSGHYFCFVRTAPDTWHKLDDSKVTKVSEKTVLSQEAYILFYARQDTPWFSEFAESTIPSLDLGRMNTSPKSVLDIPEGQDKSFPILNENVEMNKAEDSKKISEKKFDYSSRQSREKLKIDDVIDASPNREQLPAGPSNQKALNVKGLEDINSKVLPLDSACLTGTAKAGGSSYADNNKSGHSVMDFIDNNIFNSLTPPNTPPSQTSGKSFQISREHVKTEKQGSSSSKKSSSNKSNKLSDSPGKKAAITYLKKMRGSRRGAFMDLVNASHNKTSPENKRKNTDSSASDKGSARKKSGHASVGGYPLAIGISQ >CAK8540368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554747679:554751562:-1 gene:gene-LATHSAT_LOCUS9377 transcript:rna-LATHSAT_LOCUS9377 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSNSTSMASSLSESLNSEGMNPMLTDLDGASVQSPAPVNSSEPSTRDMLLAALFDIQPGDGDPDHPASYEIFNGESASVWAEGLVPDCQPLNSAVACDVPLEEWLPEDHLDDSWLHDDKPTKDELYVSDSKVAPSIIGAGLANLGNTCFLNSILQCFTHTVPLVEGLLSCNHSFDGHNGYCVICAFRYQMQQSLQSTGTVISPEILVDNLKHFSSMFRRHQQEDAHEFMQCALDKLDSCFLSLKKNDPSFEGENIVNKVFGGSLVSKLRCCTCGRSSDTNEPLIDLSLEIENVDSLSSALESFTMVENIDEKLKCESCNEEVSMEKQLMLNQTPSIAALHLKRFKTDGFFVEKIDKHIDFPLELDLRPYTILNEKNNVPLKYDLYAVVVHIGFSSDSGHYFCFVRTAPDTWHKLDDSKVTKVSEKTVLSQEAYILFYARQDTPWFSEFAESTIPSLDLGRMNTSPKSVLDIPEGQDKSFPILNENVEMNKAEDSKKISEKKFDYSSRQSREKLKIDDVIDASPNREQLPAGPSNQKALNVKGLEDINSKVLPLDSACLTGTAKAGGSSYADNNKSGHSVMDFIDNNIFNSLTPPNTPPSQTSGKSFQISREHVKTEKQGSSSSKKSSSNKSNKLSDSPGKKAAITYLKKMRGSRRGAFMDLVNASHNKTSPENKRKNTDSSASDKGSARKKSGHASVGGYPLAIGISQ >CAK8575368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:96072808:96075093:-1 gene:gene-LATHSAT_LOCUS27638 transcript:rna-LATHSAT_LOCUS27638 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFHGLLLLLGLVLNLAFLSNGGTTSVFVRNVEKAVDMPLDSDVFAVPSGYNAPQQVHITQGDLVGKAVIVSWVTEDEPGSSAVRYWRESSKQKKLAKGKFVTYSFFNYTSGFIHHATIRNLEYDTKYYYEVGLENTTRKFWFTTPPEIGPDVPYTFGLIGDLGQSFDSNKTLSHYELNTRKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFAERSVAYQPWIWTVGNHEIDFAPEIGETKPFKPFSHRYRTPYKASQSTSPFWYSIKRASAHIIVLASYSAYGKYTPQYKWLEQELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVKYKVDVVYAGHVHAYERSERVSNVAYNIVNGICTPIKDESAPVYITIGDGGNLEGLATNMTEPQPAYSAFREASFGHAIFDIKNRTHAHYSWHRNQDGENVEGDSLWFFNRFWNPVDDSSAHVSH >CAK8533420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615937460:615938137:-1 gene:gene-LATHSAT_LOCUS3045 transcript:rna-LATHSAT_LOCUS3045 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILQPQAFNVSVQFLHSTKSYHIQKPVIHITSSPCQIQCFSSCIHQKRAGVAGDCRSKTKVFAKRRRRSNERTETYVLLEPGKDERFVSEEELKETLKEWLTNWPGKALPPDLARYETIDDAVSFLAKSFCELEIDEEVGTIQWFEVRLD >CAK8535071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811042740:811043039:-1 gene:gene-LATHSAT_LOCUS4552 transcript:rna-LATHSAT_LOCUS4552 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGNLKNFFQKKYPNESEEEIMVRTLDHMKNQFFSTFPTKTSKDENSSMKTSSSMGSIDYNNFDCLTGEAQADDPTPEDLWDVMIQSMAQKEKDKAKR >CAK8562115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441953405:441954396:1 gene:gene-LATHSAT_LOCUS15641 transcript:rna-LATHSAT_LOCUS15641 gene_biotype:protein_coding transcript_biotype:protein_coding METLTHFATTLLFMFLFHSIRGLNSTTFTIVNKCSYPVWPGVLSGSGTAQLVSTGFVLQAGETNVIAVPTAWSGRIWGRTLCSTDSAGIFSCATGDCGSSKVECTGSGAVPPATLAEFTLNGAGGMDFYDVSLVDGYNLPMTIEPRGGGGNCTTTGCTVDLNEACPTELKVRVSVEGEESSEKSVACKSACEAFGDPFYCCSGAYATPQTCKPSAYAQYFKNACPRAYSYAYDDGTSTFTCSSADYFITFCSTPSKSKLIKSGGNGKFPFGVDIWAGDGNGHGDESTGKVMITLVIGAVLTTIL >CAK8561993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422896533:422965947:-1 gene:gene-LATHSAT_LOCUS15532 transcript:rna-LATHSAT_LOCUS15532 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPVPALRSSALSNGALFLILPLSHSHSHSSTSSYPSALGIGATRSVLPRVHVAGGVRFDGTLPLNDDVEDYEVVFEHCVTRTLPPALTLEEGLQKFKDALEILKLSPPPSSTGFLRFQVVVPPSPKALSWFCCQPESSAVFPLIFVSKNMDNPTCKSLYVNGSRGVFGIGAAVSFVHSSAGNKSLIKRYISTDSTNIVAYGFMDINLDNDSVSMNREDGCFSLFIPQIELDEMESVSILTMTLAWDNFSLSNFGKALHLLEVSLNQVICHVWSPSSTGKSKCVRAALRNLSLVEDRSISRVYMNTVALGGRESVGDIIELKESPSSSQFCARLSATLTVSNNMLDQATQFSHSLNESANINAVWASLLVEECARLGLTYFCVAPGSRSSPLAVAAASHPLITCISCFDERSLAFHAVGYGRGCHVPAVVITSSGTAVSNLFPAVVESSQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPAKIVLTTLDSAVHRATSSPCGPVHINCPFREPLESSPLRWLSSCLKGLDFWMTNSDTFTKYINMQLSHTCINASGEMIEVLNLIQRANNGLLLFGAIHSEDEMWAALLLAKHLQWPVVADILSGLRLRKLLSSFSEIERNFIFVDNLDHALLSDYVKDWLKIDVVIQIGSRITSKRICQILEARAPFSYIMVDKHPFRHDPSHIVTHRIQTTIFYFVGCLLKAIVPHSRSMWSTSLQLLSKMVEWQIQFQITAESSLTEPYVAHVMSEALSPESALFLGNSMPIRDTDMYGHSCPIHSHSVASLMLNSDIPVALMRVAANRGASGIDGLLSTAIGFAVGCNKKVFCLIGDISFLHDTNGLAILNQRILRKPMTILVVNNHGGAIFSILPLANKVEHSIMHQYFYTSHNISIRELCLAHSIKHLHAKTKTELEEALYVAQHEKMDCLVEIESSIDANANFHSILKKNAFQTAQDTMRFLSVPLNRSSIKDEFCLYKIQKIQCSKYRFALSAPSTSASVGDSCKEFYREGFILSLILEDGSVGFGEVAPLEIHKENLVDAEYQLRFLIHAMKQVDISSFLSLLKGSFSYWIWNELGILPSSIFPSVRCGLEMAILNAIADSKGSNLLDILNPSTNVSSKCEKSSEVLICALLDSNKSATEVANVAAALVKQGFSAIKLKVARGRDPVQDATLIQEVRKKVGCQIIIRVDANRNWTFEEAMKFGSLAKDCNLQYIEEPVLDEDDILKFCEESGLPVALDETIDKIQENPLEKLVKFTHPGIVAVVIKPSVVGGFENAELIAQWAHQHGKMAVVSSAFESSLSLSAYTQFSSYLEIQRLSTFKLLDVEAVPSVAHGLGTYRWLKEDITLDPLLIARNPHSGLVEASVENASRLLRNFQVDQNVIRNIIAEEKVCRYQLNVEHNNLSCSFEVCETGLKTNDNILVFLHGFLGNGEDWITIMKTFSESARCISIDLPGHGKSVLHGLEHAAEEPWLSLEIVADILHKVIHHVAPAKVTLVGYSMGARIALYMALRFSSQIKGAVLISASPGLKDKLARKIRAAKDDSRARSVIAHGLQLFLSSWYAGELWKSLRSHPHFNRIFASRLQHNDIQNLAQMLSGLSIGRHQPLWEDLPNCRVPLLIIHGEKDTKFKKIAQAMMNTLCSDLRSNHEKGNYTHEVVEIPNCGHAAHLENPLAVIAALKQFITRL >CAK8561994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422933170:422965947:-1 gene:gene-LATHSAT_LOCUS15532 transcript:rna-LATHSAT_LOCUS15532-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPVPALRSSALSNGALFLILPLSHSHSHSSTSSYPSALGIGATRSVLPRVHVAGGVRFDGTLPLNDDVEDYEVVFEHCVTRTLPPALTLEEGLQKFKDALEILKLSPPPSSTGFLRFQVVVPPSPKALSWFCCQPESSAVFPLIFVSKNMDNPTCKSLYVNGSRGVFGIGAAVSFVHSSAGNKSLIKRYISTDSTNIVAYGFMDINLDNDSVSMNREDGCFSLFIPQIELDEMESVSILTMTLAWDNFSLSNFGKALHLLEVSLNQVICHVWSPSSTGKSKCVRAALRNLSLVEDRSISRV >CAK8576313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:454868327:454871030:-1 gene:gene-LATHSAT_LOCUS28511 transcript:rna-LATHSAT_LOCUS28511 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRITYPVISDDKDLDDAALWAMIDSASASHSSSKHKPLAIKYHHNRQLSSPITNPSPPPPPSKFPKYSRDSIDSGEVVQDPWPYGPPRKVARICGSSSCETSPLAIVQTAQKTPTAMVYSSQEIGKVNEVWPRCFGRNDEEKDNGMRHSLSGMFPTVSLFKEYQNAAMAILEKSDYTLISGNPYIKKTGWRKISCYFNISYEIRDKNIEFDGDRNVQRAEFVIRAHMQGGRFSDGWGSCDRREKRFQKPNHDVPSTAETRAKNKACQDLLGIGEYRPGATS >CAK8544335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678967947:678971584:-1 gene:gene-LATHSAT_LOCUS13024 transcript:rna-LATHSAT_LOCUS13024 gene_biotype:protein_coding transcript_biotype:protein_coding MELMCHSSSFLLPPENPNTASSSSFSSSLSLTYALVVLNQNLPKFTPLLWDHAHIRVCADGGANRVYDEMPLLFPQLNPSHVRSRYKPDAIKGDMDSIRKEVLDFYANLGTKIIDESQDQDTTDLHKCVAYIRDLVPNTDKSELCILATGALGGRFDHEMGNINVLCRFSNTRIILLSDDCLIHLLPKNHSHKIFIQASVEGPHCGLVPIGMPSGSSTTTGLRWDLNDTEMRFGGLVSTSNIVKGDIVTVESDSDLLWTISIKKV >CAK8543355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602818481:602818964:1 gene:gene-LATHSAT_LOCUS12117 transcript:rna-LATHSAT_LOCUS12117 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWTQNVLCACSSKNNINMVDDININEFGPDPLDAVQANVVKQTLSKFGIGYKALFVGVFDGENGSFASEYLNDNLLRTLLSDVNKNDCNVSEDIMRKTIEEMEKKLLQK >CAK8568144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576662045:576662509:-1 gene:gene-LATHSAT_LOCUS21135 transcript:rna-LATHSAT_LOCUS21135 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLTVKKGSASYDDIKAIDEFKHQTFKEACFAMGFLQDDKKFIEAIKDAYNWGSGVFLRKLFVTMLLSALMNRPDHVWRNSWRYLSDDIIYEQRRLSHNLELSPSDEEIQELTLKEI >CAK8540051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537144111:537144488:-1 gene:gene-LATHSAT_LOCUS9090 transcript:rna-LATHSAT_LOCUS9090 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIYTCAECNTDLNLNSACAYPPDFYFESGNKNSISFSAVDATKFKFEKEDKIRPFFETLDYWGIHRNRTKIKCNSCNHLVGYIYDDGPPVTNSTGQFHMGPSQVIPRAPRYRFKSKAIRINSN >CAK8575362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:94397169:94397961:1 gene:gene-LATHSAT_LOCUS27632 transcript:rna-LATHSAT_LOCUS27632 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLYFLVSILALASVSFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFKHIEPANPVNALGSQVTPVFVDQLFGLNTLGISLARIDYAPKGLNPPHIHPRGTEILTVLEGTLYVGFVTSNQDKNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANALFKSDPLISDEVLTKAFQVDKSIIDYLQGQTWYDNN >CAK8534071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690942241:690944787:-1 gene:gene-LATHSAT_LOCUS3642 transcript:rna-LATHSAT_LOCUS3642 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLTRRMKWRRPISETDPASQISPTIQSISCKSTICTLFSTFSNNETTYSNKNRTNNNNKNSNTVNNTNTKFSAASTFRGLGCTAGTSQQVSVPAVIRSSEDRQKNRKKKNRRNSNNNIKTNKTCHGVVAVVDDVPFQDVWCGPGIGFSSDAVSEDCVVTRKNVSSRGKLDNGERISSHRERSSYFGRHNLNQSSLSFLDDDDDSDVFTTRHGLESYGNGRFYRNSEIMIIQGKRLMGGRVNSRDQFRDWRLDVDNMSYEQLLELGERIGYVKTGLKEDEMKHNIKKTKVLVSNDTSKNQIDKKCTICQEEYELDDELGMLNCEHCYHFQCIKQWLVLKNFCPVCKQEVAVRR >CAK8534072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690942241:690944787:-1 gene:gene-LATHSAT_LOCUS3642 transcript:rna-LATHSAT_LOCUS3642-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLTRRMKWRRPISETDPASQISPTIQSISCKSTICTLFSTFSNNETTYSNKNRTNNNNKNSNTVNNTNTKFSAASTFRGLGCTAGTSQQVSVPAVIRSSEDRQKNRKKKNRRNSNNNIKTNKTCHGVVAVVDDVPFQDVWCGPGIGFSSDAVSEDCVVTRKNVSSRGKLDNGERISSHREQRSSYFGRHNLNQSSLSFLDDDDDSDVFTTRHGLESYGNGRFYRNSEIMIIQGKRLMGGRVNSRDQFRDWRLDVDNMSYEQLLELGERIGYVKTGLKEDEMKHNIKKTKVLVSNDTSKNQIDKKCTICQEEYELDDELGMLNCEHCYHFQCIKQWLVLKNFCPVCKQEVAVRR >CAK8564688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7072781:7073389:-1 gene:gene-LATHSAT_LOCUS17966 transcript:rna-LATHSAT_LOCUS17966 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEAMLCHKRNIDRDTIFNVCEQYKTEDLPEKSLSNACYDYAVDIVNHEKKTVLYLAVENGNKYEVHVILVNCRKTDDMPVGLSPLIAALLMHNHEMLRIIIQHRPTWIHTRDKHEMLPLHYAASLGYLEGVDLLLGLCKCCTIQRDKYGYFPIHLASYGGHVEVVKKF >CAK8561674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:351366645:351367885:1 gene:gene-LATHSAT_LOCUS15242 transcript:rna-LATHSAT_LOCUS15242 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLNSLQLFHNFLILTTFFHFLIDHQSDDDSNSDFEEYHAPFSINGNSQTSSEEYYDIGDPLIECRYCKAMMWYQERMNKSSHLANPKFSLCCGNGKVELPLLKQPPPLLAHLLFDEDIVSRKFQQQIRIYNMMFAFTSPGAKLDNRFNNGGGPPTLRIQGQSCHRIGSFLPPEGQPPKFAQLYIFDTENEVHNRMQGLRNTKNIDPVIVQKLSDMLYEHNPHAKSFQMAKHWLLNSDTQNLKLRLISNRSTDGKVYNQPTISEVADLIVGDLDTAEMRDIIMQTKGGELQRINELHASYLVYQYTLIFPYGEDGYRPNIAHRDLDIFQDNKRNRLTIREWLAFRI >CAK8536088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906195116:906199212:-1 gene:gene-LATHSAT_LOCUS5489 transcript:rna-LATHSAT_LOCUS5489 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHHKMYRKSEHTRESDLKYYQRRYFNELKDDYYKLEISDSTFRCPFCFNKDYYSLSELLRHASRIADDLHGETVKEIAKHSALERYLDFRISEDKSHDRNVDTDKSPRVNVSDSKSLSVSIDKAQSVNANAVKDRSCDVGIAKNKSPIDVVNTAEDEFVWPWMVVLANNVTNYDPKSGKYIGKSHKKIKDDLYAKGFQPLKVTGLWNNKGQTPFVIVEFGKEWDGFNNALKLESSFEVEHCGKRDYLGLRERGDKLFGWMARRSDYNYRDIVGKHLRENGDLKTVSGKEAEDNRKALQLVSGLANTLKQKNKELEQTASKYDEANVFLRKVMDQKEEMLEHFNKEISNMRNTERSYLENVSKDHEKAMLELEARRNELMSREKNLQKRQADNHNERDRLYLEKKNNEMAIAEQQKADNKMMRLAEEHQKEKEKLHKKIHDLERGLDAKQALELEIERLRGSFHVMNHIAETDMEEKKKLDAIKMDLHEKEEELEGVEDLQQALVVLERKTNDELQDARKKLISWIGCPKNTSRVIISVKRMGDLDTKPFVEASKRKFPAEGNGKAAQRKIAEERQMKATEWLSECDNYLRDPNWHPYKVVTDKEGNPKEILDENDEKLKSWRDELGDKVHDAVATALKELNEYNPSGRYPVPELWNFREGRKASLKEGVAHLMRQWKLAKQKKA >CAK8536089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906195116:906198998:-1 gene:gene-LATHSAT_LOCUS5489 transcript:rna-LATHSAT_LOCUS5489-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKSEHTRESDLKYYQRRYFNELKDDYYKLEISDSTFRCPFCFNKDYYSLSELLRHASRIADDLHGETVKEIAKHSALERYLDFRISEDKSHDRNVDTDKSPRVNVSDSKSLSVSIDKAQSVNANAVKDRSCDVGIAKNKSPIDVVNTAEDEFVWPWMVVLANNVTNYDPKSGKYIGKSHKKIKDDLYAKGFQPLKVTGLWNNKGQTPFVIVEFGKEWDGFNNALKLESSFEVEHCGKRDYLGLRERGDKLFGWMARRSDYNYRDIVGKHLRENGDLKTVSGKEAEDNRKALQLVSGLANTLKQKNKELEQTASKYDEANVFLRKVMDQKEEMLEHFNKEISNMRNTERSYLENVSKDHEKAMLELEARRNELMSREKNLQKRQADNHNERDRLYLEKKNNEMAIAEQQKADNKMMRLAEEHQKEKEKLHKKIHDLERGLDAKQALELEIERLRGSFHVMNHIAETDMEEKKKLDAIKMDLHEKEEELEGVEDLQQALVVLERKTNDELQDARKKLISWIGCPKNTSRVIISVKRMGDLDTKPFVEASKRKFPAEGNGKAAQRKIAEERQMKATEWLSECDNYLRDPNWHPYKVVTDKEGNPKEILDENDEKLKSWRDELGDKVHDAVATALKELNEYNPSGRYPVPELWNFREGRKASLKEGVAHLMRQWKLAKQKKA >CAK8567068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484406818:484408765:-1 gene:gene-LATHSAT_LOCUS20155 transcript:rna-LATHSAT_LOCUS20155 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYTPSPPPRYSRRGGGGGGRSPSPRRRYAPRESDLPTSLLVRNLRHDCRPEDLRRPFGQFGPLKDIYLPKDYYTGQPRGFGFIQFVDPADAADAKYHMDGQVLLGRELTVVFAEENRKKPTEMRVRERSGRYSDRRRTPPRYSRSPRYSRSPPRHRTHSRSRDYDSPPPKRREYSRSLSPEDRRHSRERSHHSRERSYTRSPPNNGDARSRSPSPEKGPVLSRSPSPNRDARESARSRSPSQ >CAK8539353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509930709:509931521:1 gene:gene-LATHSAT_LOCUS8456 transcript:rna-LATHSAT_LOCUS8456 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETLKILVSFINEKQIHLISDEIYAATVFCHPSFTSIAELIEQDTNIEYNPNLIHIVYSLSKNLGFPGFRVGIIYSYNDEVVKCARKMSSFGLVSSQTQYLIASMLSDEEFVEKFIVESAKRLAKRYGIFCRGLSQVGIKCLQSNAGLFLWMDLRCLLKKQTFEEEMKLWKVIIHQVKINISPGCSFHCCEPGWFRVCYANMDDRDVEVSLTRIHAFVRRNKAEIVAEKNPCSRRNLRLIFSLRSLDDFLVSPHSSITHSPIVKATTC >CAK8559991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2958161:2959981:1 gene:gene-LATHSAT_LOCUS13715 transcript:rna-LATHSAT_LOCUS13715 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTHIALVSIPVLSHQVSLLEFAKQLIHLYKNSFHVTCIIPTISNSPSIASKPFFDTLPSSIQCIFLPPINFEDLKNEIMLESQVQISVSRSMPYVFETLRSFSTNSNLVALVIDPFAHEAHKFAKELNILSYTYFPCSAMVLSMCLYFSKLDKMITCEYKDHPTPIEIPGCISLDGKDLPDSAQERSSLAYNLFHQRSQQLHQADGIIINSFLELESETFKAMSQDGPYGTSTIPNVYAVGPIVQTKPNIQNQACECLLWLDNQPPNSVIYISFGSGGTHSHDQINELALGLELSKYKFLWVNVRPPNNKASASYLSNDEVDPLNFLPLGFLERTKGQGFVMCGWAPQVEVLKHDAIGAFLTHCGWNSSLESIVHGVPMIAWPLFAEQRSNAELVTNGLRIAMRPKCDSKGIVVKEEVVNVIKGVMESEEIEGRVKELQKFANCAMMEDGSSMKTLSMLALKWKSLGKSM >CAK8562113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441603900:441604301:1 gene:gene-LATHSAT_LOCUS15639 transcript:rna-LATHSAT_LOCUS15639 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSHHIAYSQSCLKIGHECDLKKNPEKSIPQDKQNKETTKDIDDVRTPTPEKSDADWTHITTASKVQRSRKGMTPTHKIALVDFQNSLTPLRIGDFSKGENHLDP >CAK8543133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:581925233:581930803:-1 gene:gene-LATHSAT_LOCUS11915 transcript:rna-LATHSAT_LOCUS11915 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVVAEYAKSNSSMCKACSEAIQSKTLRLGLVSKDMARGWSITKWHHFSCFPISSSNSDPKTITGFSSLKSGDQEALTKLFAGHDKSKEATEATEDTQNEKQDTEESGSKRRKLSTTDVKAGVNIIFSVSDVKSTYKDAALLPKWKAFQTVIFLERDDGLQDSSKIAAFDFDGCLAKTSVKVTGPNAWSLMYPLIPDKLQSLYNNGYKLVIFTNESNIDRWKNQRQKAVDSKIGRLNQFIETVKVPIQVFIACGMDKSGKAGTKEGDPFRKPNPGMWQLMEKHFNSGITIDMDQSFYVGDAAGREKDHSDADIKFAEANGLKFYLPDEYFAA >CAK8577169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542368433:542369562:-1 gene:gene-LATHSAT_LOCUS29298 transcript:rna-LATHSAT_LOCUS29298 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMNNFTPLPGLWRTGSRAYDDAPHTSSNTHEFNEVPVFYRSRHSTRANDERSLGVQDPLRLWEVRRTPTEHHRTPNFPAQPHRRQQTHVHNEEMGRRGFNPTRLGQFLHHSPVRSTSTHQTNTQVPHVVEDSKSNILSKLRKVVYNPAPTRLARKVSLYYRGNASNDLKERVKEKNEDGMKCAICLEDFEAKEEVMLTPCNHMFHEDCIVTWLTSKGQCPVCRFVVFEEVEENSSSFNRNEIGNWEPNGMISGELLSILRAMEEAFHLDRMN >CAK8570464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48455939:48462414:-1 gene:gene-LATHSAT_LOCUS23210 transcript:rna-LATHSAT_LOCUS23210 gene_biotype:protein_coding transcript_biotype:protein_coding MELYASSHSLRNHRSSFLFKPNVTTFHTTLFSTKFAPFKASFFSPNHLTLTTPMNPPTTSLSSAAFVEHNNGSTSTSVPFHPETRVGEVKRVTKETNVSVKINMDGSGVADSSTGIPFLDHMLDQLASHGLFDVHVKATGDVHIDDHHTNEDVALAIGTALLQALGDRKGIYRFGDFSAPLDEALIHVSLDLSGRPHLSYNLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGRNSHHIIEATFKAFARALRQATEYDPRRRGSVPSSKGVLSRS >CAK8534852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:777900788:777902799:-1 gene:gene-LATHSAT_LOCUS4359 transcript:rna-LATHSAT_LOCUS4359 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVSSSTPLCFSPSTRFNLDKRISNSTKTLTYVYSFSLFTPPSLHFYLPKKQSHFFPQPASSSSSSSLSAVNAEYIKEPATNVKFPTSLSFPGCTDSLTLFGTGYREKVFAIIGVKVYAAGLYLNQSIITVLNDWKGKSKDAIQGSSSLFNTVFQSALEKSLQIILVRDVDGKTFWDALSDAISPRIAKPTTADETALTTFRSVFQDRSLKKGTFIFLTWLNPSKLLVSVSSDGIPSTVDATIESANVTHALFDVFLGDSPVSPSLKSSVAESLSKVLE >CAK8561736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:370018563:370019624:-1 gene:gene-LATHSAT_LOCUS15297 transcript:rna-LATHSAT_LOCUS15297 gene_biotype:protein_coding transcript_biotype:protein_coding MARPDYPTTWIPYLNSKDCSQGFCSMYCPQWCYIIYPPPPPPTFQYPDDDSKPYFSPLVIAVMGILATAFLLLTYYTLISKYCGNRESSRRNSTDPAEDFTQNRRENCQVSTFGLDDVQIKSIAVFKYRKGDGFFAVTDCSVCLSEFQDDECVRLLPMCNHVFHLPCIDTWLKSNSSCPLCRANIFTLNPSTLHVPVPVRVPATVIEFPLRNETFSEDERIVVEETRMHHHSRVDSKALSMRAFSDLCNSRRGRERIIEIRDDVCRSVSMDHSFENGFSIGDVLNMNEDEDFCEEGCSMDSSKRLRGESSKSRYKRKVLHCVKSPIAMKRSFSSGAFSLGKIDRGRKHEIFHV >CAK8564194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:656616357:656616860:1 gene:gene-LATHSAT_LOCUS17521 transcript:rna-LATHSAT_LOCUS17521 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHPNTSLHLINQSENENIHHHRHHHHLNLDLVLEPSSSSSSSSPISSTEQRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSREITSAMQSYNELPEHPSNYNNTFGHGNSHLDGNHGQGGHFMRYGGRKEGVSSSWSRGYNSSSEHVQEDINQLDLSLRL >CAK8532006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:190030452:190031513:-1 gene:gene-LATHSAT_LOCUS1751 transcript:rna-LATHSAT_LOCUS1751 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLIKCYLWNHLFDQVLSLYNHHFHMGSSHLTQNCSFFLYPSLIRAASGVADLVVGRKLHGKIVKSGYSVDRVIGTSLLGMYGELCCLSDAKKVFDEMCQRDLVSWSSVVSCYFENGIYREGLEMFRSMVSEGIRPDSVMLLSVAEACAKIGCLTLAKSVHGYVIREGMIGDGSLSNSLIVMYSQCGYLCKAKRLFEFLVDRSTSCWTSMISSYNQNDCFKEAINVFVRMQDSEVEPNEVTMISVLNSCARLGWLEGGKSVHCFVLRNAMDAADLDLGPALIDFYAACWKISSCEKLLSLIGNSNVVSWNTPISFYSREGLNDKAMVLFTHMVAKGLMPDSYSLASSISDN >CAK8540373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555340985:555341953:1 gene:gene-LATHSAT_LOCUS9381 transcript:rna-LATHSAT_LOCUS9381 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRLNDSLGVNKLGKNIRKSPLHQPNFGNNAARQQPQPQVYNISKNDFRDIVQQLTGSPSQDHPPRPPHNPPKPQSMRLQKIRPPPLSPINRPRLPPPMPMPMPAAPPPVPYNNAPRPAHYGQGQPSPSPLTPGDLWANTTESPISAYMRYLQNSMMDPGSRGNQFQPQPHPHPQHQSHGNFQHQPPSTALLPTPPVPPIPSPRFNGPMPPMNGPNHPMPSVPSPHGNGPPLLPSPTSQFFMPSPTGYMNLLSPRSPYPLLSPGFHFPQPLTPNFPFSPMAQPGVLGPGPQPPPSPGLMFPLSPSSFFTMPSPRWRDH >CAK8536689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7497641:7498329:1 gene:gene-LATHSAT_LOCUS6025 transcript:rna-LATHSAT_LOCUS6025 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTHATTLLHAHIKTKHKITSNNNINHNHTNNNHSPSKQNATNRRNLISTFLATSMVVGTAPLALAQNWGTRSFIREHYFEPGLSPEDAVLRIKQTAEGLHNLREMLETLSWRYVMFYIRLKQNYLEQDLKNAMTTLPENRHKEYVKTANELVDYLTEMDRYVRSPKVYESYLYYEKTLKSIDELVAMLA >CAK8571652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:455598077:455600470:-1 gene:gene-LATHSAT_LOCUS24293 transcript:rna-LATHSAT_LOCUS24293 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNYIVHAHSNGETYISEDSGFGFQNTDVTRLSMSRKSNFLHFKERIESKILCGPISQFFYRSPVFFDNNHVKYFQEKIQDDSDVDQIFDSHEHSGFDYIEVYLLLCQAQHQVGETTEVDEVDVVDEEEEETEAMVDQMVNLFGTGDYTALTPLQDIDEETLPLRHMYCPPQHMTNSQLSGDDTSSDVFYNPSQQIEGVLKVGNQYRTKEDCMKAVRKFHMDNFVDFYINRNDAKRYVVVCRNADCKFRLAASYWKRSDYWVIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGANPSVKVSTIISHIVARFNYTPSYRKAWIGRIKAVEHVYGNWERSYNQLPQYLLALQKYVPGTIVILESLPAYTPEGTCVDGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHDTPQPNLCLISNRHASIVSAYNNPANGWHNLPSVHVYCIRHIAQNFMREMKDRNLRKKVVNAGYALNQPSFMYYREEIRLSSAKALRWVDNIPVEKWTRAFDGGARWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSVVLESGQIFSKSSMKYMKDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYSVELHKNWCDCGKLQTFRLPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYWPTYHGDTIYHNETMRRNKKGRPKSMRITTEMDTTEKIERLCGICRLLGHTRTNCPNVETSSR >CAK8577711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584624944:584626552:1 gene:gene-LATHSAT_LOCUS29792 transcript:rna-LATHSAT_LOCUS29792 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLRRGVMTLTFLFQTPPPSLYLSHTSSLSIPRTTTTPSLLSLRHSSIPASKTLTPSFPPSEKPLLFIPPGVEPTQVNDSMILPFSNIVVGPYAGDSRIKDVQFVKSSPRAKDCPKDDRPEFAILGRSNVGKSSLINSLVRKKELALTSKKPGKTQLINHFLVNKSWYLVDLPGYGFAKASESAKTDWSSFTKGYFLNRNTLVSVLLLIDASVPPQRIDFDCANWLGRNNIPITFVFTKCDKMKVAKGKRPDENIKEFQEIIKQNYKQHPPWIMTSGVTGLGRDELLLHVSQLRNYWDQ >CAK8566445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424006685:424011449:1 gene:gene-LATHSAT_LOCUS19576 transcript:rna-LATHSAT_LOCUS19576 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEFPNKALTTTRFSDLKPPLSDPVLQALTHSAFDFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFVIPLVEILRRSSSNPKPHQVLGIIISPTRELASQIYHVAQPFISTLANVKSMLLVGGVEVKTDMQKIEEEGANVLIGTPGRLHDIMNRMDVMDFKSFEILILDEADRLLDMGFQKQINAIITQLPKLRRTGLFSATQTQAVEELAKAGLRNPVRVEVRAETKTANGAAASNQLESSKTPSGLHTEYLKCEADKKPSQLVDFLIKNRSKKIIIYYMTCACVDYWGVVLPRLSVLKGFSLISLHGKMKQNVREKALASFTSLSNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGKQGHAVIFLLPKEESYVEFLRIRRIPLQERTCSDNAPDVIPEIRSAATKDRDVMEKGVRAFVSYIRAYKEHHCSYILRWKELEIGKLATGHGLLQLPLVPEVKRHSLSTEGFEPVKDINFEDIKFRDKSREKQRKKNLQTKKEAKEKEPKPKKPKKTPNVPDVMRKKTAKQRRAQQTVEDEEELTQEYRLLKKLKKGVIDENEYAKLTGTEDLL >CAK8562253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462591973:462592793:1 gene:gene-LATHSAT_LOCUS15765 transcript:rna-LATHSAT_LOCUS15765 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHCCSSSQQGHIMGGGGTCTCGMFHPQTNSNYSMIFSNYQNHDHYDHYDSYSYTSPSSSVDCTLSLATPSTRLSEDQEKRNRRSSLTNFWNNNTSHSNSNSNSSSKHNSQSQNKSNRGNNIGNNSNNDSLLARRCASCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRANAATSAATNGVMDTSSIYSNNNNGSWYGQPQSQMYGNELRFMEDSDVESENGIPDFLSWRLNTSLVHDYTR >CAK8535889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888934795:888939605:-1 gene:gene-LATHSAT_LOCUS5308 transcript:rna-LATHSAT_LOCUS5308 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISNLTNTLPLHIRKFKFPSSSSSSSSSSYRFPLSTLSCSIPEPEPQPNTATSISKTPNSNANSYFPKRGQILELLCESLAFKGKGLCKVTDTGFVVMCDRALPGERFIGRITRKKDNYAEATKLHTLTPPFDTVDAPCVYVPHCGGCKTQNLLYHAQVKAKEEQVRELIIHVGRFSQKDLELHGIMKPIVPCDIQFHYRNKMEFSFGPYKWLPKESMHERNVDGGSENYALGLHVPGFFDKIINVDKCLLQTDPTNKVLAAIQECWRDPQLGFSPYNVHSHVGFLKHLMLRSGRDVMTGLPEVMVNFVTSSYKPELLKVLVDKVSAFPEVVSIMNNVNTSVGNTSVGEEEYTLHGKSSITETLRGLTFQISANSFFQTNTHQAEVLYKLIEECAGIKGDGSEIVLDLFCGTGTIGLTLARSVKHVYGYEVVPQAIADARLNAKLNDIQNATFVQGDLNKIDENFGKNFPKPDIVISDPNRPGMHMKLIKFLLNLKAPRIVYVSCNPATCARDLDYLCHGVAELNIKGCYKLISLQPVDMFPHTPHIECVCLLELC >CAK8569889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14481217:14481588:-1 gene:gene-LATHSAT_LOCUS22692 transcript:rna-LATHSAT_LOCUS22692 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASVSMSLPLTQATHKSLTTPFLKPLPLPFPQAKKTLVNNSTSRSNLVGVQASLKDQTVKGLTAFALTASMVLPDVAHAAGNDFSPSLKNFLLSIAAGFVVLTAILGAVIGVSNFDPVKRT >CAK8575571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:176130506:176132248:1 gene:gene-LATHSAT_LOCUS27824 transcript:rna-LATHSAT_LOCUS27824 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQENGNGEMGLSGIPLGTKSKYKRMSSQVPDDNDDVLHQQQLEDKRNSTRKYVIACAIFASLNNVLLGYDVGVMSGAVIFIKEDLNITEVQVEFLIGILSIVSLLGSLGGGRTSDIIGRKWTMALAAVIFQIGGITMTFAPSYQVLMIGRLLAGIGIGFGVMISPIYIAEISPNLTRGSLTAFPEIFINVGIMLGYVSNYAFSGLSVHISWRVMLAVGILPSVFIGFALFIIPESPRWLVMQNRIEEARSVLLKTNEDEKEVEERLAEIQQAAGFSNSDKYENKPVWRELLFPPPALRWMLITGLGIQCFQQISGIDATVYYSPEIFQATGIEDKKKLLAATVAVGISKTVFILVAIVLIDKVGRKPLLVTSTIGMTVCLFCMGATLTFFEKGPLVIGLGILFVCGNVAFFSIGLGPVCWVLTSEIFPLRVRAQASALGAVANRVCSGIVAMSFLSVSGKISFAGAFFLFSAISSLAIIFVFTIVPETKGKSLEQIEMMFQNENESQVKEMELGDVEQLVQNKTGLTN >CAK8564550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680626566:680627318:-1 gene:gene-LATHSAT_LOCUS17848 transcript:rna-LATHSAT_LOCUS17848 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLPNIINLSQVAFVPGQIIHNHIMLAYELLKGYTRKGGTPRAMIQLNLQKACDMMDWHALETVLREMGIPSRFTSWIMKMVTTATYTFSVNGEPTDVMQAKRRIRQGRLISPMLFVVVMEYLISLLAKMQLDPNFNSHAKCERRGITHLTFADDILLFCRGDVVSVEMLLNTVKNLSTATSLIMNPSKCNIYFGGTDRDARNKMQEITNFKEGQLPVRYFDVPLTYKKLHTNHYLPLIDRIMTRIRY >CAK8564650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4996302:4996562:1 gene:gene-LATHSAT_LOCUS17933 transcript:rna-LATHSAT_LOCUS17933 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQGRSSRIDGLEMLRKIESLLSRFEVARVSHSYRQTNRCTDALVKAEYRLNSYMLNLSSTPRFIEELLVKDKLGDFTSRKVFL >CAK8563686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625030354:625034317:-1 gene:gene-LATHSAT_LOCUS17069 transcript:rna-LATHSAT_LOCUS17069 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPTKLDYYHDMWNLQSTATLVSHFKGDDGRHVLILDRTIFYPQGGGQPADTGFIHIHGFDAKFLVHDVRSKDGIVLHYGVFEGFGEEFETILEKGKEVSLFVDEHRRKLNSRLHSAGHLLDICMSKIGLGHLEPGKAYHFSDGPWVEYKGVIPQNEMQNKQKELELEANSLISMGGKVSADILPYDEAAMLCGGILPDYVSKESTPRIVRIGDNPGCPCGGTHVLDISDITKVKVSQIRSKKGLTKVYYNIES >CAK8541837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413169146:413170450:-1 gene:gene-LATHSAT_LOCUS10730 transcript:rna-LATHSAT_LOCUS10730 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPSNKFLHIAVFPWLAFGHISPFFELSKLIAQKGHTISFISTLRNIKRLPPLPPNLQPFINFVELPLPHIDQLPENAEATMDIPPHIVPYLKKAFDGLQQPLTLFLETSTPDCFIYDFGPYWLPPILSKLGVLSIYFSIFSAFGISCIVEVFVQKSKEEEHIISDVHYEQNESGVSDMDRVKGTLFRADFIVVRSCMEIEGKSLESIENQSKKKVIPVGLLPPSLEFSEEKKDKNWDTILKWLDKQEKQSVVYVAFGTEVILSDEELSEIAKGLELSSFPFLWILKNHDKHDWFVENDSNKNGLIWNNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPLIMLPFHNEQGLVARLMEEKMVGVKVERNDEKFNRDAVAKALRSVMIEEEGKSYRSKAEEMSKIVGNKELHQKYLDEFVDYVELQASKH >CAK8537414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:346205787:346207447:1 gene:gene-LATHSAT_LOCUS6707 transcript:rna-LATHSAT_LOCUS6707 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQEQIPHKRKPKHKSVITLEDYKHREIMAVATWMFWMELGPEALWYKVTLGKEFSFRLMICVNFLTFELVINLEFCSFNLGFESWIDS >CAK8533785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660151171:660154193:1 gene:gene-LATHSAT_LOCUS3383 transcript:rna-LATHSAT_LOCUS3383 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKQIDGNVLAAKSLAQFGVHHMFGVVGIPVTSLATRAVSLGIRFIAFHNEQSAGYAASAYGYLTSSPGVFLTVSGPGCVHGLAGLSNAGINTWPTVMISGSCNQSDCGRGDFQELDQIEAVKPFTKFAVKATHISQIPNCVAQVLDHAVSGRPGGCYLDLPTDVLHQTVSQSEAESLLTEAKALAEKNKENRSTKVDASKINQVVSLLRNAERPLIVFGKGAAYSKAEDQLKKLVETTGIPFLPTPMGKGLLPDDHRLAASAARSLAIGKCDVAVVIGARLNWLLHFGESPKWAEGVKFVLVDVSSEEIELRKPFLGLVGDAKQVLEALNKEIKDDPFCLGNTHPWVDAISKKTKENTSKMEAQLAKDVVPFNFLTPMRIIRNAISEWGGSPAPVVVSEGANTMDVGRAVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLAVVVVVFNNGGVYGGDRRSPEEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTPDELKSALSESFSARKPTVINVVIDPYAGSESGRMQHKN >CAK8579559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707826551:707832325:1 gene:gene-LATHSAT_LOCUS31496 transcript:rna-LATHSAT_LOCUS31496 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEKFLTKVSGVQSLSSSVQSTPEKNGHSDDASRSSELLQEFMKHGPKKELLQTCFDKDKKNTSSKNRMTEGKSSSKVIKKHDTKKVSSLSRQSSKKQHRKGENPIRLIPPPDQDSDFGHLSTWICKNAACRAVLSMDDTFCRRCSCCICHLFDDNKDPSLWLVCTSESTLGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLNIAKDARRVDVLCYRIYLSYRLLNGTSKFKDLHQMVQEAKAKLETEVGPLDGVSAKMVRGIVSRLPIASDVQRLCTLAIEKADSWLATVPDLNPGSKEGSLPAACKFVFEEVTTSSVKIILIEMSSICPEDIKGYKLWYYKSRDEPHTKDPVSVFPKSQRRILISDLQPCTEYTFRIVSYTDMGDLGHSEAKCFTKSIEIVQHKISKSVASNLKKLNPQARGDFSAITGPRFKVQDLGKILRLAWAQERGYFEECCSADMKNCCGQGEMNKPKISETWFPSDSRGLDLNVVSVPDLNEDLTPPFESSRDEGIGCTLLQAVEAVDDAASHDLQKNDLARSHRSGDSQTWAHGPREVSAVDSRVYAAGRKRAASTNEEGHDCDSTLINGSPLRMPVGSRSLDENFEYCVKVIRWLECEGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLMDDPSSLAGQLVDSFSDIVSSKRPRNGFSSKAGASK >CAK8531940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:179891695:179910134:1 gene:gene-LATHSAT_LOCUS1693 transcript:rna-LATHSAT_LOCUS1693 gene_biotype:protein_coding transcript_biotype:protein_coding METPPSQQGVVKKKETRGRKPKPKDDQQQQTSAKTLKEAKKAQLLQQQQQQQQQQQQQQQHQASVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKTRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKTVVLWSIEDHVTSAATDKSGGSIIKPNSKSGEGNDKTVDSPSVGPRGIYSGHDDTVEDVAFCPSSAQEFCSVGDDSCLILWDARVGSSPVVKVEKAHNADLHCVDWNPHDDNLILTGSADNSVRLFDRRNLTSNGVGSPIHKFEAHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYEKVGKKIERAGKIINSPPGLFFQHAGHRDKVVDFHWNAYDPWTIVSVSDDCESTGGGGTLQIWRMSDLLYRPEDEVLAELEKFKSHVVACAAKTDT >CAK8563295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590770333:590772088:-1 gene:gene-LATHSAT_LOCUS16720 transcript:rna-LATHSAT_LOCUS16720 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSESYAVFESLNLNPQLFFNQIFNTVDDFFLEFVDFIFQEASTKLNVVEDAQRSQHLKQGFDRFLHNIQSDFDRKLAVWEDYCRYHCFSLPQGFHMPNTLTDELSGNDIDLVASSDQELDAELESLRKKLAEVGKESEMLNQEIQAFPKHSTLNALHINETVQLFEQNSELFQEMLTTASELRSMIGKVDMIEETQEMYAKSIDSNKMDTSAKGLSNMELEDLQRFVTQLE >CAK8570158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26500794:26504556:-1 gene:gene-LATHSAT_LOCUS22935 transcript:rna-LATHSAT_LOCUS22935 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNMQMEEIEAILEKIWDLHDKLSDAIHSISRSHFLESVKTITNSSRNKNDTVATETTHDGAGFVFVKDFRPQNEEVDDAVCEAKSLNAIRTALENLEDQLEFFHTIQTQQRVERDVAIARLEQSRIVLALRLSEHRGKKYKVIEEALAFVGDVQDASGLISPDVFGQPKCTAENFVADKGKRSNIFINAFVSSFNFVKKSLGLDHMGGIVGNAALLAVSMIAMLHLHQVTNHGHPFREENRNHGNRTMRRTTQLDSTSGAHSSNLDVLLARG >CAK8540415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558994268:558994976:-1 gene:gene-LATHSAT_LOCUS9420 transcript:rna-LATHSAT_LOCUS9420 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNSTSKNENREKRVMLFGVNIAAKCSKVDDTASNSFNTEDENHNEMVLCCFDKQEEESDQDGNEYSDSAQSSNRENRKKVKQWTKNEHKAFLAGLKTAGKGKWKDISRNYVKTKTSTQVASHAQKFFLRQRTPDKTKRQSIFDTMLVWFLLIFQM >CAK8538293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475586985:475587818:-1 gene:gene-LATHSAT_LOCUS7508 transcript:rna-LATHSAT_LOCUS7508 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIFTFFLCFVSQMSVYYLMPSTVAALSLSSETDKLALLALKEKLTNGVPESLPSWNESLHFCEWQGVTCGRRHMRVSSLQLANQTWGGILGPSLGNLTFLKILMLRNLALHGEIPAQIGRLKRLQDLILSNNKLEGEIPIELSNCTNLRVIELYHNQLIGRVPSWFGSMQLTSLNLGFNNLVGTIPPSLGNISSLSGLSLSSNHLAGNIPHALGKLSGLKMLTLDLNNLSGEIPHSLYNLSNIQSFILVDNKLFGSLPSNLNLAFPNLRGFLVI >CAK8530999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68973483:68973650:1 gene:gene-LATHSAT_LOCUS821 transcript:rna-LATHSAT_LOCUS821 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLERALTLLLSVAVSVFHITSAEDPDKFFNWNVTYGDIYPLEVRQRGILING >CAK8536383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936881390:936882505:1 gene:gene-LATHSAT_LOCUS5758 transcript:rna-LATHSAT_LOCUS5758 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFDLLIRLKSEQEHLFLNIQRNEYHNLYGFISSKGLKIMNLGDALPAAGVAKAFESDDDDVVDPHLERIRNEAGENESDEEDEDFVAEKDDEGSPTDDSDASQSDDEKEKPPKKEPKKDMPSSSKASTSKRKSRDADEDGKKRKPKKKKDPNAPKRALSGFMFYSSDTEGSGV >CAK8564726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7650690:7653561:1 gene:gene-LATHSAT_LOCUS17998 transcript:rna-LATHSAT_LOCUS17998 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLVDGNGRMEASGDAGLALCEDQMHRETHEVEPNDGCRLLESYSGREFSISCHDLSIREPYEGMEFISEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKLGPVRKPRASTREGCKAMIHIKFDNKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTMELRMKKRLCVTYREQLTSFMKIVEEHSDKLSTRIHQILDNLKEYESREELLHQT >CAK8537323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:262983357:262983725:1 gene:gene-LATHSAT_LOCUS6620 transcript:rna-LATHSAT_LOCUS6620 gene_biotype:protein_coding transcript_biotype:protein_coding MATTETTSATLGPRYAPDDPIHPKPWLGLIDGGTGTLYYWNPETNVTQYDKPDAPPVPAASTPGLAPIPSGSGQQQMMQVQPPSQQQQGNHFAQQQQSPHVAQATQQQPSQAAQPVQQQPTQ >CAK8542846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553396086:553397024:-1 gene:gene-LATHSAT_LOCUS11650 transcript:rna-LATHSAT_LOCUS11650 gene_biotype:protein_coding transcript_biotype:protein_coding MDINEWVILSDDGFLDDEKEIFLGKRNSFSDSISNFDKDYFCTSPKSTKTIQTESSKVPKLLVHVPIQFEPKIEKVPSEESLVKEHSDEDSVSQVFFPMKENKFVDMKLESPKSCSGRGLFSQLDAVEDMEMMASPRMKNMETDNNVVMYYDETEGENMNGRFNLWKWSLTGVGAICSFGVVAATVCVLLFGSQQRNKKDQTIRLQIYTDDKRIKQVVQHATKLNEAFAAVRGVPLSRAHISYGGYYDQSV >CAK8537720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:423261466:423268358:1 gene:gene-LATHSAT_LOCUS6983 transcript:rna-LATHSAT_LOCUS6983 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIAELGFLNHPLHLFTPFSNPYTLQRKRHICHRVSLHGEYKFTCCMKNNNSFRRLASFATRKDNSTTRVEVPCKIVWTVEADLEDGHLLYITGGPAELGCWKPNMAVLMSPTEHANIWKAESQIAFGLNFKYNYFIKEKSRSSSDIIWKPGPAFSLSVPLTVVEDNEIAVRDLWIRSDFHMSSAHAWSPCTEETYLLKQPSTFFPLEDERRNTSLLENDFRKSETLILEDQLFFDNEDMVIMSNEDSHSIDVLSENYQLVEEPWLLRSLHSIVSEYKTESDESQTNDAVNEQVKLVDTEELLPEESSDAIPKDPVSTIILINSSICTMQKIAVLEDDKLVELLLEPVKTNVQCDSVYVGVITKLVPSMGGAFVDIGNSRTGFMDIKSYKEPFIFPPFNQMTEKQKSDPKGKNDHMSRSTDLTDGISDIQSEDDCLKSAHEDYDEHEPDDDFCVSEVLKDNVNGSVVDDEVESDFEDDVEGTDVHIEREMDDSSLSFGMNGSINSHILQTKDTTRKTGKNKWIQVCEGTKIVVQVIKEGLGTKGPYLTACPKLRSRFWVLVSRSAKVGVSKKIRGGERKSLTIAAKTLKPEGFGLTARTAAAGHSFDELQKDLERLLSTWKNIMEDAKTAALAADEGVEGAVPVILHSAMGQTVSVVQDYFNENVKKMVVDSPRTFDEVTNYLQDMAPDLCDRVELYDKRAPLFDEYNIEGELDNILSKRVPLANGGYLIIEQTEALVSIDVNGGHAVFVNETSQKEAVINVNLVAARQIARELRLRDIGGIIVVDFIDMADEADRRLVYEEVKKAIKRDRSPVKVSELSRNGLMEITRKRVRPSVTFTISEPCTLCHATGRVEALETSFSKIELKICRKLATMDQKGEYQNPKSWPKFLLRVDQSMCEYLTSGKRTKLGYLMSSLKVWVDLKVDRRFTRGSCEIETYTDDKVGKKQHEVSNASAKKPNKFYVPVKNSKAKAR >CAK8563930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639688513:639694645:-1 gene:gene-LATHSAT_LOCUS17288 transcript:rna-LATHSAT_LOCUS17288 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRIDFFIWKCSCEIVNEKRFRAMVGSPAVATPSSKMHRNLAATPGSSKLREENRNLAATPGGSKIREEKIRVTVRMRPLNRKEQAMYDLVAWDCLDEQTIVFKNPNQERPAIPYTFDRVFAPACSTQKVYDEGAKDVALSALSGINATIFAYGQTSSGKTFTMRGITENAIRDIYDYIKNTPDRDFVLRISALEIYNETVIDLLNRESGPLRLLDDPEKGTIVEKLNEEVAKDGQHLRHLIGICEAHRQVGETTLNDKSSRSHQIIRLTVESFLRESPDRVKSYIASLNFVDLAGSERVSQTKTCGTRLKEGSHINRSLLTLASVIRKLSCGIHGHIPYRDSKLTRILQSSLGGNARTAIICTVSPSLSHVEQTRNTLSFATSAKEVVNTARVNMVVSEKTQVRELQKEVARLEGELKNPELSAHACLRSLLAEKELKIQQLEKDMEDLRRQRDLAQSQLGLERKANKVQKGTSDCGPSSQVVRCLSFAEENELASGNHTPERRVTISRQAMLKNLLATPDPSILVDEIQKLEHRQLQLCEDANRALEVLHKDFATHNLGNQETAETMSKVLSEIKDLVAASSTAEEIVKADKTNLMEKITQLKNQGNTISSLERKLENVQKSIDKLVSAFGTEETPDSKTQHRRKKNLPFSLNNSPSMQHIIRAPCSPLSSSRKAMEREIENRVPNILSSGGDTSARWLHKDTPRKDDESCGSVVSQESSPASRQSKSVNVKKIQKMFKNAAEENIRSFRVYITELKELVAKLHYQKQLLVCQVLELEANKSVTKEMDTTDQSPLSWHMQFAQQRNQIIMLWHLCHISLVHRTQFYLLLRGDPSDQVYVEVELRRLTWLEQHLAELGNASPALLGDEPADSVSASIKALKQEREYLAKRVSRLTAEERELLYERWEVPPVGKQRRLQFVNKLWTDPYNMEHIKESAEIVAKLIDFCVSNENSKDMFALNFSSPYNKKTWAGWNFISNLLKL >CAK8563931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639688513:639694347:-1 gene:gene-LATHSAT_LOCUS17288 transcript:rna-LATHSAT_LOCUS17288-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPAVATPSSKMHRNLAATPGSSKLREENRNLAATPGGSKIREEKIRVTVRMRPLNRKEQAMYDLVAWDCLDEQTIVFKNPNQERPAIPYTFDRVFAPACSTQKVYDEGAKDVALSALSGINATIFAYGQTSSGKTFTMRGITENAIRDIYDYIKNTPDRDFVLRISALEIYNETVIDLLNRESGPLRLLDDPEKGTIVEKLNEEVAKDGQHLRHLIGICEAHRQVGETTLNDKSSRSHQIIRLTVESFLRESPDRVKSYIASLNFVDLAGSERVSQTKTCGTRLKEGSHINRSLLTLASVIRKLSCGIHGHIPYRDSKLTRILQSSLGGNARTAIICTVSPSLSHVEQTRNTLSFATSAKEVVNTARVNMVVSEKTQVRELQKEVARLEGELKNPELSAHACLRSLLAEKELKIQQLEKDMEDLRRQRDLAQSQLGLERKANKVQKGTSDCGPSSQVVRCLSFAEENELASGNHTPERRVTISRQAMLKNLLATPDPSILVDEIQKLEHRQLQLCEDANRALEVLHKDFATHNLGNQETAETMSKVLSEIKDLVAASSTAEEIVKADKTNLMEKITQLKNQGNTISSLERKLENVQKSIDKLVSAFGTEETPDSKTQHRRKKNLPFSLNNSPSMQHIIRAPCSPLSSSRKAMEREIENRVPNILSSGGDTSARWLHKDTPRKDDESCGSVVSQESSPASRQSKSVNVKKIQKMFKNAAEENIRSFRVYITELKELVAKLHYQKQLLVCQVLELEANKSVTKEMDTTDQSPLSWHMQFAQQRNQIIMLWHLCHISLVHRTQFYLLLRGDPSDQVYVEVELRRLTWLEQHLAELGNASPALLGDEPADSVSASIKALKQEREYLAKRVSRLTAEERELLYERWEVPPVGKQRRLQFVNKLWTDPYNMEHIKESAEIVAKLIDFCVSNENSKDMFALNFSSPYNKKTWAGWNFISNLLKL >CAK8561068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84941617:84942915:1 gene:gene-LATHSAT_LOCUS14691 transcript:rna-LATHSAT_LOCUS14691 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFTILIFFFLSFVISFAHALNTGFSVELIHRDSLKSPLHQPTQNKYQHVVNSVRRSINRVDHFYKYPRTDTPKSTVIHDNGEYLMAYSIGTPPFSVYGIVDTGSDIVWLQCKPCEDCYNQTTPIFNPSKSSSYKNIPCPSKLCQSVRDTSCNEQNFCEYAIKYGDKSHSRGDLSLETLTLDSNTGNSISFPTSVIGCGHSNTGTFHGAGSGIVGLGIGPVSLTTKLGSTIGGKFSYCLVPHALKSNTTSILNFGDAAVVSGDGVVSTPLVKLNPPTFYYLTLEAFSVGSKRIELGRSFNGGEKGNIIIDSGTTLTLLPDDVYVNLESAVAELVKLERVEDPGRFLSLCYRIKSNDYDFPIITAHFQGADVKLQSMSTFVFIDEDIVCLAFQSSKIGAIFGNLAQQNLLVGYDLQKNTVSFKPTDCTKL >CAK8563945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640873772:640874119:-1 gene:gene-LATHSAT_LOCUS17299 transcript:rna-LATHSAT_LOCUS17299 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRHIKGNRKRKQIGGTVKLGGKIETLMSQSHKALKIMQSDGNASKEVNSSSTIATTMIVINRMVTDGVLKKGSELWCFGACLIENELKRKIFLNMADDESRNLWLTYIHSKEK >CAK8541820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409066851:409067237:1 gene:gene-LATHSAT_LOCUS10714 transcript:rna-LATHSAT_LOCUS10714 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDFNIFVDKTFTLVEARYLSLFIDLEGLSRYSWGAAVLVTLYRYLGDASMFSCKQLGGHPTLLQCWIHEYFPTLGKKRRELDIS >CAK8530734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46062841:46065959:1 gene:gene-LATHSAT_LOCUS578 transcript:rna-LATHSAT_LOCUS578 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKVITWLVFLIFLWSLVSFVVEGTESLLSPKGVNYEVASLMSMKSKMNDGLHVMDGWDINSVDPCTWNMVACSSEGYVISLEMASAGLFGIISSGIGNLSHLRTLFLQNNQLSGPIPVEIGNLPELQTLDLSGNLLTGNIPSSLGSLTHLSYLRLSKNKLSGQIPQPVANLTGLSFLDLSFNNLSGPTPKILAKGYSISGNNFLCTSSSQLCMRGSKPVNDTRSSQTVNSHRHTLISVIIGISCTFVISVMLLVYWLHWYKSRILYSSYVEQDCEFGIGHLKRFSFRELQVATGNFTSKNIVGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPLMPNGSVADRLRESFRGKPCLDWNRRTRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNVPVQKGMILDWARTLFEEKRLEVLVDRDLKGCYDPVELEKAVELSLQCTQSLPSLRPKMSEVLKILEGLIGLSLRPEESQQGGGNIYDERTCSFSHNYSDVHEEPSFIIEAIELSGPR >CAK8535132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816284330:816284902:1 gene:gene-LATHSAT_LOCUS4609 transcript:rna-LATHSAT_LOCUS4609 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSTFLIIITSFILFLFWLTKKIKARSAIHKFPPGPWKLPLIGNLHQLALGGSLPHHTLGKLSHKYGPLMHLQLGEISAVIVSSPDLAKEIMKTHDLSFVNRPQLLCPKILDYESTDIAFALYGDYWRHMRKICTSELLSAKRVQSLCSIREDEVEKFIQSIHHFSVSSQPLDLTKTVKSIAIISINY >CAK8544208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671868073:671868843:-1 gene:gene-LATHSAT_LOCUS12907 transcript:rna-LATHSAT_LOCUS12907 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTEDEVEFNANFDTWSNKCFVTSGNLHDPLCGFVVKDICIIGAEIFICDSKNDKQVKQESSLITSHTSGSQIVQMEVEVLRPKLDKSNGENIGKLMDFNDFGQIEKALVPLLDEVCAQHPSLIECQQKRSQKFREWAFNALGRILYFLKTKKMKDMNDIACNELQIFWEELEHFGFDLSWLEPHVQSALGMKGYFKKLDEVEKLKDNEALLELEMMRLKAKMVALEVNWHAVKELEEEDFEEKDLDVELGFVKP >CAK8560339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14920879:14923514:1 gene:gene-LATHSAT_LOCUS14021 transcript:rna-LATHSAT_LOCUS14021 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLRNGVALLNRLSLSNSKSLLNSNHHQPYLFPSLPKLQNFPQNDAESAKNLISSEGFLYPCGLPSLRFFLPNGDTSSDEPMILFPKRTFQPSIIRRKRNHGFFARKATKGGRKVIARRVAKGRFRITA >CAK8541149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:75119980:75121368:1 gene:gene-LATHSAT_LOCUS10090 transcript:rna-LATHSAT_LOCUS10090 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRLCDELLQEIFLKLPSSSSSSSSISLVSKRWLHLYRSSKPTLSLRFNNPHHHLFSFISLLNQHPSLSSLSLFLLTTSSTTTSLFLSAISSCSSSSRLVSLTFLPTLVPLSSIVSLSNSCTRLMSLSITLSRPVFLNWVLFFPCLKHLSIVLSDDGCCSEVFESKDYDKELSLETVCFEGIRRDDLGIAWLWKRCKSLKNLKLLSCQGIGSSYSSFVHCLQGIQQIELKTCRTVVDGLLLEIAQHCSSLESLSLHDGASRQALLHFFSSCTSNYLRKLDFRLPMDLQNNHLFVMAINFRGLSCLRLQSCCLVTGEGIKALAMAVSNGLEELALINCHVVEREKGLLATLGQHLRQLRKLDLSHNEMLFDKEFISMLVSCIHLVDLKVRGCKRLTNVAILSMLRSCKRLQNVDIMHCLGIQTDAIELLVRNASSLTRLEVEGSKLSDAAKIWASDKFIEIV >CAK8538199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:469344386:469345011:-1 gene:gene-LATHSAT_LOCUS7426 transcript:rna-LATHSAT_LOCUS7426 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEWLRQTSQSEPNHNEKLDVSELLNGKDSCEYFVDPPATLENTSTRAFSSHPMRPFFLVGSSNTHIYLWEFNKDKATASYGVLPAANVPPPYALASISALQFDHFGHHFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGQALYVNFVL >CAK8566848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466953641:466954864:-1 gene:gene-LATHSAT_LOCUS19951 transcript:rna-LATHSAT_LOCUS19951 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAMCSQLGTSHSWTPTQCHLNAPTKTFQKTKLTHSMPPEKKEIFKSLESWVSERVLPLAKHVEECWQPHDFLPNSTLPSDEFIDQVKELRDRTAGLPDDYLAVLVGNMITEEALPTYQSYLNNLDGGVADETGASNNPWAIWSRSWTAEENRHGDLLKTYLYLTGRVDMQMVEKTIQYLIGAGMDIGTENNPYMGFVYTSFQERATFVSDGCLARLARERGDRILSCICGTIAADEKRHEIAYERIVERLLEVDPTETMIAISKILSGHITMPGHLMHDGRDPHLFSHFSAVAQRIGVYTVSDYIDNLEFLIRQWRLEKIEGLTSEGKHAQEVVCGHVSRIRRLRERADERVQKMRPTFSWIFNKKSPYV >CAK8540372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555308113:555308625:-1 gene:gene-LATHSAT_LOCUS9380 transcript:rna-LATHSAT_LOCUS9380 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQSASDTQDNSMMKSDDQREHSTKGCGDLVTRRLKNRERQRRYRARKRLESGTSDSFGVEQTTAMQVELQPNRNRNNFVTRIYCNRDWKKDARQAHLTKLREMHGSIDHSMTPANVPEETYLGAGIKSETVLDREIQSGASSIVYNETPRTVLCRRDWKAEARRKKN >CAK8532528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:281238488:281242903:1 gene:gene-LATHSAT_LOCUS2225 transcript:rna-LATHSAT_LOCUS2225 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKGDTSKKQQPQQHQQTSSSPKPPHEESSPSQQVVVVSGTNPFISSPLYVSTGATSSPFENQFETTTLNTTKRPRYSGQWKLLPSPPSQQQQQKQPQTQINLLNPTTESKSTTPSPSNLQQQQQPQTHTTTTPLAASSSDTTSSQSLDHLSPMPGQEFGNKQELEQQVHQQLRKGKYVSPVWKPNEMLWLARAWKEQYQTGSDSSQQQQQQEMGMSRGKTRADKDKEVAEFLNKHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQIGKSYFRLSPYERKLHRLPASFDEEVFEELAQFMGSRMRSSSHGGRIGSSSFVSCDETRTRSLPPPRPFKDDELPLSARTKQLAMTSGAGGEPFFHRGNILGLDSMMEISTPCSNTKELRRIGKIKMTWEESVSLWGEEGEVHRGRVRLQNSSFLHADEITCFDDAMVICPLESFEDGPLKGFSVDRFVSGQQVKVFGRRKSSSTSSSGFAERVQPINKPIPIRSIVPLDFRDPTEYYMDHLLHVSSPQSLPSLFELKHYLQEPPPPNLRFPLRKEVFDDLPQGKEFFFTTTSEPLDCRSIIYDIVGPIIRNNNISNSNNNPSCCTLPFSSRDSFIGVWDDCINRVVSRFCREDIVITRKPFSSWSNSQSQDTLLLLQDEWPNVSGFVNNFCLWRGEECEEFKENNHQQQNPSSSIIQKLLWSYMDLPYILGYYAIGNKVTFCAISKSQEDGKIIRTDLHQVNLTTPSERFKVLVPCFRIGILLSMLSKQCLNMQKGSFVYSDFERYSFGNGVIIEMTPNTCKRVFSEKRKWCSVKEVYEILDHRIPHSEFLFKVVEDINDMSLVFKPRGIRVKPLNIEQLVEALKYVTKALVALHDLSFMHRDLGWENVMMREGGEWFVSGFDEAAGAPELNKYVKEGATEERGRHAPEMERGLHGVKVDVWGVGYLIMTSGLVSVPKMLRELQNWCMEQNPEQRPTAADCYHHLLQLQSSLMVSGGVAGGGGLM >CAK8540451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561493010:561495544:-1 gene:gene-LATHSAT_LOCUS9454 transcript:rna-LATHSAT_LOCUS9454 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLINHKLSLSSSSSYCFFYLQPSISPNTHTKPSFPIHSHKTPLSLTTTPNFSTTPIFLPYFEQHEQDQNIEEQEEQNEQSYHQDDPIYKFFKTRTKAPSQNPGKRGKLSLQSNRRSSWHLASEAFDEEEEDIPLLVEDNQETESQKKEPSLPQGVVGEILHLARNLPQNLTLEEALGEYEKRVNERECVEVLETLGKEQLVVCCLYFFQWMRSQEPSLVTPRVFTVLFPLLGRAKMGDKLMVLFRNLPSSKEFRNVRVYNAAISGLLSNDRYEDAWKVYESMETNNVRPDHVTCSIMIIVIRKLGHCAKDAWQFFEKMNKRGVRLGEEVLGALIKSFCVEGLLSEALIIQSEMEKKGVSSNAIVYNTLMDGYCKSNRVEEAEGLFVEMKAKGIKPTAVTFNILMHAYSRRMQPKIVEGLLSEMQDFGLKPNVNSYTCLIGAYGRLKNMSEMAYDVFLKMKKVGIKPTSHSYTAVIHAYSANGWYEKAYAAFENMIREGIKPSIETYNTLLDAFRQAGDPETMMKIWKLMMSEKVKGTQVTFNTLVDGFAKQGLFMEARDVISEFGKIGLRPTVMTYNMLMNAYARGGLDSKLPDLLKEMEALKLRPDSVTYSTMLYAFVRVRDYKRAFFYHKQMVKSGQVMDMNSYRRLRDILDVKAADKTKSDRVALYGAINKKVGIKKTKRKKDEFWKHKTRHVKRY >CAK8567579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526795371:526795773:1 gene:gene-LATHSAT_LOCUS20620 transcript:rna-LATHSAT_LOCUS20620 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHTGASALATQTVGYPEGLYDTSLLVKYEHHMAKHIRFGEERGTKKELKVVGQGLKLIKRVLLQLPRKMEAWVSRSGLSSLQRTNLTKIDMNLVSAFAER >CAK8579087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673263523:673265414:-1 gene:gene-LATHSAT_LOCUS31059 transcript:rna-LATHSAT_LOCUS31059 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWKLGFLGFLSAAFLFSIDAVELPRNQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLAHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILSMLRRATLVQTFGQRNHVCLKEGSITVPPYAPPQKMHSHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEQDVPKLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSVFLKPGEKSLNWTAGPVGDLKPW >CAK8573536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618553523:618554734:1 gene:gene-LATHSAT_LOCUS25972 transcript:rna-LATHSAT_LOCUS25972 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVSNTRRWEDLDTDILVKIFQLFDIFELTSGVAHVCSAWRMACCDPLRWKTLDLSMLRSNFIKIPLEPFVYVDERSDKILTRLLKISLSLSRQSIVTLIFHFNLYVSDDMLTYTAERSPQLKRLVLPAWNRIKRTGMCKAIRSWKKLESLTMPSIANPPYFLEEIATHCENFSELKIMGPCDIFFASTLAAFVPKLRVLSLRCTTLYRDVLILILDSLKHLEVLNISHCVLMEGLPPPQYKRIITEIDPIICQKASRLREFITCMEDSCIICQRTRTDEGIVRWYKYEEGFWKEDEVNSLAL >CAK8563515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612066573:612068369:1 gene:gene-LATHSAT_LOCUS16915 transcript:rna-LATHSAT_LOCUS16915 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQEDEQVDQDNDNMEKFLDNRRISWPLHCDLLHAQMDNSENDSSFGISNGQISVVNSFPLESICEDAVITEKNQNLINFVPALRSGEWSDIGGRPYMEDTHICIGDLAKKFGYNDVCDEAVSFYGVFDGHGGKSAAQFVRDHLPRVIVEDADFPLELEKVVTRSFLETDSEFAKTCSAESSLSSGTTALTAIIFGRSLLVANAGDCRAVLSRAGGAIEMSKDHNPLCMKERMRIESLGGFVNDGYLNGQLGVTRALGNWHLEGMKEMSGRGPLSAEPELKLMTLTKDDEFLIIGSDGIWEVFRSQNAVDFARRRIQQHNDVKQCCKEIIGEAIKRGATDNLTVVMVCFHSDPPPPMIIERPSRFRRSISAEGLQNLKCLLEG >CAK8575649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:232561760:232562863:1 gene:gene-LATHSAT_LOCUS27892 transcript:rna-LATHSAT_LOCUS27892 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPGFLGSDEVCRPTSKVSNGHTYREDWGILLRESKMTLRRKSVRVFFLKSQISWVERNRRSPEKILSTKTCFVCKSCCYQLCLMLGVLLLTDCSNSGCGCGSLGVLLLKILNSMDVVTCFGRHLLWYGNQTWSRRCYKGYPTPGNCAQLFQPWIT >CAK8561762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:376118750:376119913:1 gene:gene-LATHSAT_LOCUS15322 transcript:rna-LATHSAT_LOCUS15322 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSVKDAFDRVTKKQKLSSSKAQELLDQIKQEIERALESMQSVSNTDQALDYKTVLNELKASLLKIAPLGQMESTQKELNVALNKYGKQVEKCFNPDISKAYRNIDMDTRTINQIIANHFYRQGLFDVGDHFLSAVGEPESAAIMKSPFLEMYQILQAMQNQNLEPALNWAVTNSDKLAQSGSDIVLKLHSMQFVKILQNGGSRDEALHYARTHLSPFASSHIADVQKLMTCLLWPGKLDKSPYHALLSPSNWDNLAEELKRQFCNLLGQSYNSPLSVTVAAGVQVLPALLKFMNVMAGRKQEWQSNNQLPVPIEMDEEFQFHSIFVCPVSKEQASEDNPPMLMSCGHVLCKQSILKMSKNSTKVFKCPYCPSDIDAAQCKQLCL >CAK8532793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516780020:516780491:1 gene:gene-LATHSAT_LOCUS2463 transcript:rna-LATHSAT_LOCUS2463-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSRNEEEAEEVTTNEKITTFYLYHPCSLLQKFLGTFFKCFGFEIETNQKEHEVKSHSDHTEEDLGSEENKARNEHESSQNECSTSTTQSFQFMSTLIVRRGGPRRSDLSRGSGPGIN >CAK8532792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516779993:516780491:1 gene:gene-LATHSAT_LOCUS2463 transcript:rna-LATHSAT_LOCUS2463 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKEESRKMEGTSRNEEEAEEVTTNEKITTFYLYHPCSLLQKFLGTFFKCFGFEIETNQKEHEVKSHSDHTEEDLGSEENKARNEHESSQNECSTSTTQSFQFMSTLIVRRGGPRRSDLSRGSGPGIN >CAK8534276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712176744:712179018:-1 gene:gene-LATHSAT_LOCUS3833 transcript:rna-LATHSAT_LOCUS3833 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKFHKLKLFFFSLFILLLLPFVKPDLTSERAALLSLRAAVGGRTFLWNTTSATPCNWSGVKCDQNHTHVIELHLPAVSLSGKLPAGVFSDLTNLHTLSLRFNSLSGPLPSDLSACTSLRNLYLQQNLLSGEIPATLLQLTGLVRLNLASNNFSGNIPVGFQNLTRLKTLYLQNNRFTGSLTELNRVELAQFNVSNNKLNGSVPEKLQTFGKDSFLGNNLLCGKPLNPCPNEGSASSGNGGGNRNSSVVDDNQGLVKNKKKSKLSGGAIAGIVIGSVVVLLLVVFALILICRNRNGEKTSSIDEVAATLKHNQHDEGIHNENVENGNGYMSAATLAVPPAAASEGVVVGGGEKKLVFFRNWGKVFGLEDLLRASAEVLGKGTFGTSYKAVLEVGPVVVAVKRLRDVTISEKEFKEKIERVGTMVHENLAPLRAYYYSRDEKLLVHDYLHMGSLSALLHGNKGGGRTPLTWDMRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTKSYDARVSDFGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHALMNDEGVDLPRWVQSVAKEEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAATYPDNRPSMSQVRQHLEELHKSSLKEVTQDQIQHPDLINDIDDISAR >CAK8564931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:16063347:16063646:-1 gene:gene-LATHSAT_LOCUS18181 transcript:rna-LATHSAT_LOCUS18181 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKSNFFNYFYFSNFPDCLLRYGLMLGFVDCVFLLDLRCVSQIAGFALRQIADRVSLMDLQWIRTENEFGEIKFPQLFLFLEFSRLFASLWLDVRVC >CAK8560228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11108688:11113140:1 gene:gene-LATHSAT_LOCUS13920 transcript:rna-LATHSAT_LOCUS13920-2 gene_biotype:protein_coding transcript_biotype:protein_coding METSRRAVESYWRSRLIDSATSDEDKVAPVYKLEEICDLLRSSHVSIVKELSDFVLKRLEHKSPIVKQKALRLIKYAVGKSGAEFRREMQRHSVAIRQLLHYKGQPDPLKGDALNKAVRDTANEAVSAMFSEDNNNNNSNNSNHQHKAATPSHDLNRRIQGFGNTNYEVPSEDKKSFISEVVGIGSASIKQGLHSLTQGHSLMKNETGSGSYKSPNLQRSLTFESEHGDRYEPVAYRSENQSSFGIPKNQSSGSWNQDSRVTKMEISNSESSGNSSEIKTREDRLVETIVTLGGVRLQPSRDAIQAFLTEAAKLDALALGHALELKLQSPIWQVRMKAVCVLESIVRKKDDEHFLYVASYFAENNDVVLKCSESPQASLREKATKVLGLLGGGQPNSSAINSEKVVKTERAELPDLIDTGYSNDNTTNTTGERKEQADDLFSGMTVGEDKQGDHESHKQGIQSDPQLFDIFGSSSKQGNHNGSVSDLIGGLSIDENTSTMKHKGTSSAVQSESLFSGLNNHTPDNTLGGMLGSQPVGFNVNPMFPTGHLPYNMQPGVMLNQQYPSQPLNYGAMGTLLAQQQLLATMANFQHLNNVNMRDDGIAQMVGPNGNTPLPDIFQPNFASQTPSSMINNSKKEDNTKAFDFISDHLASARDSRRVI >CAK8560229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11108688:11113140:1 gene:gene-LATHSAT_LOCUS13920 transcript:rna-LATHSAT_LOCUS13920 gene_biotype:protein_coding transcript_biotype:protein_coding METSRRAVESYWRSRLIDSATSDEDKVAPVYKLEEICDLLRSSHVSIVKELSDFVLKRLEHKSPIVKQKALRLIKYAVGKSGAEFRREMQRHSVAIRQLLHYKGQPDPLKGDALNKAVRDTANEAVSAMFSEDNNNNNSNNSNHQHKAATPSHDLNRRIQGFGNTNYEVPSEDKKSFISEVVGIGSASIKQGLHSLTQGHSLMKNETGSGSYKSPNLQRSLTFESEHGDRYEPVAYRSENQSSFGIPKNQSSGSWNQDSRVTKMEISNSESSGNSSEIKTREDRLVETIVTLGGVRLQPSRDAIQAFLTEAAKLDALALGHALELKLQSPIWQVRMKAVCVLESIVRKKDDEHFLYVASYFAENNDVVLKCSESPQASLREKATKVLGLLGGGQPNSSAINSEKVVKTERAELPDLIDTGYSNDNTTNTTGEQSIGNLTSSAPLVDDLFGDISDSIGASHELKNDDDPFADVSFHTGERKEQADDLFSGMTVGEDKQGDHESHKQGIQSDPQLFDIFGSSSKQGNHNGSVSDLIGGLSIDENTSTMKHKGTSSAVQSESLFSGLNNHTPDNTLGGMLGSQPVGFNVNPMFPTGHLPYNMQPGVMLNQQYPSQPLNYGAMGTLLAQQQLLATMANFQHLNNVNMRDDGIAQMVGPNGNTPLPDIFQPNFASQTPSSMINNSKKEDNTKAFDFISDHLASARDSRRVI >CAK8568231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583884271:583885961:1 gene:gene-LATHSAT_LOCUS21210 transcript:rna-LATHSAT_LOCUS21210 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESITRQTNVSFTIANHLFSKASHQDKNIVLSPLSLQLVLSIIAAGSEGPTQQQLLEFLQSKSTDHLNSLASQLVSVVLSNAAPAGGPLLSFVDGVWIEQTLSLQPSFKQIVSTDFKANLSSVDFQNKAVEVTNEVNLWAEKETNGLIKELLPIGSVNSSTRLIFANALYFKGAWNDKFDASLTKDNDFHLLNGSTVKVPFMTSKKKQFIREFNDFKVLGLPYKQGEDKRQFSMYFFLPNAKDGLSALVEKVASESELLDHKLPSEKVEVGDFRIPRFNISFGLETSDILKELGVVLPFSTGGLTKMVDSHVGQNLCVSNIFHKSFIEVNEEGTEAAAATAATILLRSMRVPTPVDFVADRPFLFVIREDLTGTILFVGQVLNPLVE >CAK8541141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:72376821:72383459:1 gene:gene-LATHSAT_LOCUS10086 transcript:rna-LATHSAT_LOCUS10086-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKSRVPGGYFGNPLDTVGESEGSGASGQIDTEITVSEDSGAPARKSISLNSSRRGAFGASIHVVPLAKLSSIERKGLAQRLRSELEHVRQLQKRIEIQRSNGVTLSSSSDILSCSNGNTVTRHHQVENSRKKSKQLGKSNKPRGWNRGSSGKFETPLKTCLPSTASSLLIKDCDSLLTRLMSHQYGWVFNTPVDAVKLNLPDYFTIIKHPMDLGTIKSKIDKGAYSDPLEFAGDVRLTFSNAMTYNPPGNDVHIMADTLRKYFEMRWKTIEKKLSKSDVLLPLPTKPAPSQDVKTTRAMPSSKKRKIVSLPPQPEVIAPAKEVMSDQEKHNLGLQLESLLGEIPVHIIDFLKEHSSNGRECGEDEIEIDIDVLSDDTLFTLRKLMDDFLHEKQNNKENVEVCEIEVLNDSGPSNSSLQPFKGNDMADEEVDIGGNEPPVSSCPRVKVEKDTTCRENKCLSPGHSDDSDSSSSSDSESDDAKASPANGAKVPEIMGSDAQLEDKIRASDTLERNQSVSGLDQVEDNSLDKPSPSESDCQQDGDSGPSERQVSPDKLYRVAILKNRFVDTILKAREKTLTQGEKGDPEKLRLEREKMEMEQRKEKARLQAEAMAAEDARKQAEAEAAAEARRKVELDREAARQALTQMEKTVEINENSRFLEDLERLRAVPAEQLPSSVDETSPDHSQDGLGSFKFGSSNPLEQLGLYMKVDDEEEEGEPPSVPNPVNDVEEGEIG >CAK8541142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:72376821:72383459:1 gene:gene-LATHSAT_LOCUS10086 transcript:rna-LATHSAT_LOCUS10086 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKSRVPGGYFGNPLDTVGESEGSGASGQIDTEITVSEDSGAPARKSISLNSSRRGAFGASIHVVPLAKLSSIERKGLAQRLRSELEHVRQLQKRIEIQRSNGVTLSSSSDILSCSNGNTVTRHHQVENSRKKSKQLGKSNKPRGWNRGSSGKFETPLKTCLPSTASSLLIKDCDSLLTRLMSHQYGWVFNTPVDAVKLNLPDYFTIIKHPMDLGTIKSKIDKGAYSDPLEFAGDVRLTFSNAMTYNPPGNDVHIMADTLRKYFEMRWKTIEKKLSKSDVLLPLPTKPAPSQDVKTTRAMPSSKKRKIVSLPPQPEVIAPAKEVMSDQEKHNLGLQLESLLGEIPVHIIDFLKEHSSNGRECGEDEIEIDIDVLSDDTLFTLRKLMDDFLHEKQNNKENVEVCEIEVLNDSGPSNSSLQPFKGNDMADEEVDIGGNEPPVSSCPRVKVEKDIGGNEPPVSSDPNLKVENDPPVSSDPNLKVENDPPVSNNLDMKVEKDIGGSEPPVSIHPDVKVEKDTTCRENKCLSPGHSDDSDSSSSSDSESDDAKASPANGAKVPEIMGSDAQLEDKIRASDTLERNQSVSGLDQVEDNSLDKPSPSESDCQQDGDSGPSERQVSPDKLYRVAILKNRFVDTILKAREKTLTQGEKGDPEKLRLEREKMEMEQRKEKARLQAEAMAAEDARKQAEAEAAAEARRKVELDREAARQALTQMEKTVEINENSRFLEDLERLRAVPAEQLPSSVDETSPDHSQDGLGSFKFGSSNPLEQLGLYMKVDDEEEEGEPPSVPNPVNDVEEGEIG >CAK8534134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697785901:697787212:-1 gene:gene-LATHSAT_LOCUS3702 transcript:rna-LATHSAT_LOCUS3702 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSRKKISKDKGAEPTEFEESVGQALFDLENTNHELKSELKDLYINSAVQVDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAAQRPRSRTLTAVHEAMLEDVVLPAEIVGKRIRYRVDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >CAK8570314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35903808:35905664:-1 gene:gene-LATHSAT_LOCUS23074 transcript:rna-LATHSAT_LOCUS23074 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKGSNNNHQQQSLEVSIDAADSKFFDDDGHSKRSGNKWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPSMMLLFSFVTYYASTLLSVCYRTGDQLNGKRNYTYTDAVRAYLGGYKVKMCGLVQYANLFGVSIGYTIAASISMMAIKRSNCFHSSGGKNPCHMNGNLYMISFGVVQILFSQIPDFDQLWWLSTLAAVMSFTYSAIGLGLGVGKVIENNGIKGSLTGITVGTVTQTQKVWRSFQALGNIAFAYSYSMILIEIQDTIKSPPTESKTMKAATLISVIVTTFFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAFQVYCQPIYSAVEKTAAKRFPDNDFINKDIEIPIPGMVSPLKINLFRLVWRSSFVIVVTVISMLLPFFNDIVGLIGAIGFWPLTVYFPVEMYIVQMKIPKWSTKWICLHMLSAACLVISLAAAAGSVAGIVADLGVYKPFHAMY >CAK8565776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:331519230:331522090:1 gene:gene-LATHSAT_LOCUS18960 transcript:rna-LATHSAT_LOCUS18960 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNIIEVGQLIYDATYTSEDDKLRGFTIEGKWDEVIKLYESDPKFSTININESRGTALHVAVNDDNEKVVRSLVDSIISHNNETALESKNKKGDTPLHLAASKGFKDICECIIGENGERKRLIDIDNNDGESPLFLAALSWQKQTFVYLFNFKQSETDCKLDGNYSYSKDLIRSNGDSILHCAIKREFFDLALIIIYKYPNLIDIPDRHGFSPLKLLATRPSAFRSGCNMIWWKRIMYHCIPVGILNVKDAVEYYAIKQYESSTHNKCPKNYDTCSLFLQKCKEYADPLICFVKRVLMKEATSTAAYGSKNVGEKHKVFKNLQHRNISMQSKSKRLPENYATCVWFMKIACIYILGLSGVGIEEITKMKQKHKWSGQLLKRFMENPYQSYLGTGTKPIQYVIGTDFLSAYQPNQGNNNSEESSISKTLESETAILTAARNGIVEIVNELITKIPSSIYDVNLENKNVLLVAVENRRTNVVKALRRQFEEGNKKAIFDNLIQGVDKEENTVLHLAATKSDRDWNISGAALQMMWHIKWFQYTKELVPEHFTVRTNKKDKTAGELFKKSHASLVEDGSAWLKDTSNSCSVVAALLAGVSFATSSTVPGGSKSDTGEPTLEGRPAFDAFAMSSVIGLCFSVTALIMFLSILTSRKEAKDFRIDLPRKLLLGLSSLFLSIVALFVTFCSGHFFLIDQKFKHIVFLIYGVTCLPVSLYAVAQLPLYIDLLKGIVTKVPKTSDKGEGF >CAK8574477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:406098:406490:1 gene:gene-LATHSAT_LOCUS26821 transcript:rna-LATHSAT_LOCUS26821 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGIRPHCLVLQLSQVSIVALIFAVKITVQESRLTSAESKFACSI >CAK8541539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234840234:234841709:-1 gene:gene-LATHSAT_LOCUS10454 transcript:rna-LATHSAT_LOCUS10454 gene_biotype:protein_coding transcript_biotype:protein_coding MTINPPPSDTEVSALENKNLGRITQIISPVLDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVQRLLGNNRARAVAMSATDGLKRGMEVIDTGAALSVPVGGATLGRIFNVLGEPIDDLGPVDTRTTSPIHRSAPAFKQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTILIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESRVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAESEVSTLLGRMPSAVGYQPTLGTETGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTILSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDMISILGLDEVSEEDRLTVARARKMERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEATAKATNLT >CAK8534778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766637606:766638459:-1 gene:gene-LATHSAT_LOCUS4292 transcript:rna-LATHSAT_LOCUS4292 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGILFTLVLSTLFLSGANSATFTVTNNCPFTIWPATLTGGGSSQSFSTGFELSSKASTTLSISSPWSGRFWARSQCSTDASGKFVCATGDCASGQVACNNAGGTPPVSLVEFTLAANNGQDFYDVSLVDGFNLPVSVTPQGGSGDCKASSCPNDVNHVCPPDFSVKGSDGSVIACKSACLALNKPEYCCSGDFSTPDKCPSNSYSKIFKDQCPQAYSYAYDDKTSTFTCSGGANYSITFCP >CAK8572496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541538197:541539467:-1 gene:gene-LATHSAT_LOCUS25057 transcript:rna-LATHSAT_LOCUS25057 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPLTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSTQYYFQPPTQPHFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQTFTPISPYDQAGYRPDIASSSQPPQNNYEGMGNPFNLDDFTDMDSSWAEVMQMLDDDTMDLTPPQRPPRNVCNRGCGTGGHLNRPSRRN >CAK8577938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597973065:597974636:1 gene:gene-LATHSAT_LOCUS29997 transcript:rna-LATHSAT_LOCUS29997 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGLSGKRFGVLLCADDSDYVKKMYGGYFGVFVKMLEEDGEIWDVYKVARGEFPKDDELVLYDGFVITGSCSDAHGNDAWVSQLLNLLKKLNNMNKKILGICFGHQILGRALGGKVTRSPTGWDLGVRKITLSSSLPSPLSSLQLPSRLSIIECHRDEIRELPAKAEVIAKSDKTGIEMFRYGDHIMGIQGHPEYSKDILFNIIDRLIQRNFITENLGVKAKEKAGMWEPDKEAWKRVCITFLKGRYCERNNGIEKISIGGIF >CAK8566520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432548977:432552457:1 gene:gene-LATHSAT_LOCUS19644 transcript:rna-LATHSAT_LOCUS19644 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISSRSIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSTFKGTVDVSNSYAVPFEEDDKDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSGKLTALKGLDARLKEIRSYLDLVIDEKLPLNHEILYHLQDVFNLLPNLNVSDLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHERAEDSKSVPVPSAAA >CAK8539011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501689112:501692239:1 gene:gene-LATHSAT_LOCUS8151 transcript:rna-LATHSAT_LOCUS8151 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDSGKLFIGGISWDTNEERLREYFSTYGEVKEAVIMKDRTTGRARGFGFVVFIDPAVAEIVIQEKHNIDGRMVEAKKAVPRDDQNVLSRTSGSIHGSPGQGRTRKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDQVLLKTFHELNGKMVEVKRAVPKELSPGPTRSPLGGYNYGLSRVNSFLNGFNQGYSPSAVGGYGLQMDGRFSPVASARNAFAPFGSGYGMGMNFEPGLSPGFRGNANFNGNLSYGRGLNPYFIGSSNRFGNPVGYESGNGGNNSFFSSVTRNLWGNGSLNYGTNSANSNAYIGSGSGNVGGNAFGNTGVNWSSSSISGHGGGNNVSPGSGNLGYGGGNNGYGLGTEGYGRSSGSSLAPTSSYSTSNGGGGVDGSFSDFYSNSSVYGDSTWRSANSERDGSGPFGYGLGGAASDVSVKTSPGYVGGYTVNKRQPNRGITT >CAK8566955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:475386692:475388577:-1 gene:gene-LATHSAT_LOCUS20050 transcript:rna-LATHSAT_LOCUS20050 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVSISFLSILLICITVSAQGRKSIYKANEFSANSLVSNDGICKTLVETQGYKCEEHTVTTNDGFILSLQRIPTGRSGKKADKPPVLIQHGLFCDAAIWLFNSPEESLGFILADAGFDVWLVNGRGTKYSTGHTSLTTHDMAYWEWSWDELASYDVPASVEYVFNLTGQKIHYAGHSQGTLVAFVNLSQGKLLNMLRSAALLSPIAHLNQIASPATKLAAQLFLANDVYWLGLREFIPHSNEVAKFVSGICSTLNQPCLNLITFFTGPNCCVNSSRLDFYTDHEPNPTATKNLIHLSQMVRTGQVAKYDYGILNTLHYGQLVPPTYDMTKIPKEFPLFISYGGKDYLSDVQDVKVLLNDLSNHDADKLVVLYKDEYAHADFIMAINAKQVVYDPMIAFYNSN >CAK8567160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491859262:491860596:1 gene:gene-LATHSAT_LOCUS20235 transcript:rna-LATHSAT_LOCUS20235 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKISLLVPSVKELAEKPELQVPEQYLYPNQEPVVVSNAISLPQVPVIDLTKLLSEDATELEMLDHACKEWGFFQLINHGVDHSLVENVKIDVQEFLSLPFEEKKKFWQTSDDMEGFGQLFVVSENQKLEWSDLFFTATLPSYQRNPRIFPNIPQPLRDNLEIYCLELKKVCITIIQHMEKALKVEPNEMLEVFDDISQTIRMNYYPPCPQPENVIGLNPHSDAAALTILLQASDVEGLQIKKDGQWIPIKPLTNAFVINVGDILEILTNGVYRSIEHRATVNSEKERISIASFHKPQMSKVISPTPTLVTPQKPALFKTLTVEDYYKAYFSRRLQGKSCLDLMRIQNENSL >CAK8539761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524353124:524356132:1 gene:gene-LATHSAT_LOCUS8829 transcript:rna-LATHSAT_LOCUS8829 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSMKHFQNKLTELELEAEHLLLARHQLVENDKLRNGNREALTALRKKARTTTSSVPSPFGSIMKGVSRPLVQEVCTTCGNHDSFEQTWTMFSGTDLFVGIPFHAAHTILETDQTQLDFEAKKLQSIVKEKSLIISDTGALADKINPGVLKSLVTLNDKPK >CAK8538506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485310125:485311726:1 gene:gene-LATHSAT_LOCUS7695 transcript:rna-LATHSAT_LOCUS7695 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSQIVSEDVAHPLEQEQEVQQIQGEGLSSLPPSALIEVSKRKPSRKPSTVWKDFKRVNDKAICKYCGKQYAANSGSHGTTNMHKHLKVCLKNPNRVVDKKQKTIAIGKESEDDPNSVILKLVDFNQERTRLTLAKMIIIDELPFKYVENEGFNMFMKEAQPRFKIPSRVTVARDCLCLYFDEKEKLKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMDIKKTLNKWRHNVSDPILKTMTANMQLKYNKYWESNTINYLLFVAIYLDPRYKLDYIEFCFTRMYGEKLSEDMLKKLKSLIAKLFEHYLFLYPVSHDGGSNVSSSNIASHSRIENGEDDEDWDNLFRMNMKKKQCEVQKNELERYLEDGVEDDSPTFNILTWWKGKTNKYHVLSRIARDILAIPVSTVSSESAFSTGGRVLDSFRSSLNPSTVEALICTQNWIKSPKVIDLEKELVELEKVESELAGLVSIDVGITAVELNTTVTTRF >CAK8574685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6655900:6657730:1 gene:gene-LATHSAT_LOCUS27008 transcript:rna-LATHSAT_LOCUS27008 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTDTTTQTSCSTTLFELLTEMKNQSYIEQSNFQPKSPSNFTSECWFDDACILDMDYFVKTLSGIKAKGVRADLIGSIITHYASKWLPDLAEKGLTQFEDTSSSPESVTALWMKKRFFVETLVNVLPPEKDSIPCNFLLRLLRTANMVGVDGSYRQELEKRISWQLDQACLKELVIPSFSHTCGTLLDFELVIRLVKRFVSLDNEGAKSGAALVKVAKLVDSYLAEAAVDANLSLNEFVTLASALPSHARNTDDGLYRAIDTYLKAHPSLSKQERKGLCRLIDCRKLTQEASLHAAQNERFPVRAVIQVLLSEQTKLNRHNNSHNIDWSGSIMSITRSPTNGFGLEAVPTARCLSKREMNAQHIEIKKLREDVHRLQNQCNAMQVQMERMVEKKKGFFKWSKRFGVSGFVKGESVVEGRRGDGGVDGDGQVEFGRQTPAASSDMKTRLVNVKGN >CAK8537542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390906660:390910742:1 gene:gene-LATHSAT_LOCUS6831 transcript:rna-LATHSAT_LOCUS6831 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLNSLILLRKLLTAAICLIAVVALFSVHFHIVPSSKDHKFNDKITTTRDLQSWTRELAPPHLSKAALHTPKLNGSRRDSDYEKLWKPPSNRGFLPCTTPSPNYTTPVESRGYLLVHTNGGLNQMRSGICDMVAVARILNATLVIPELDKRSFWQDTSNFSDIFDEDHFINSLANDVKIIKKLPDELVNETRKVKQFISWSGMDYYENDIAKLWEDYQVIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEQIGKLLVEKMRSMGPYIALHLRYEKDMLAFSGCTHDLSLDEAEELRIIRENTSYWKVKDIDPIEQRSKGFCPLTPKEVGIFLTALGYPSKTPIYIAAGEIYGGESHMAELRARYPLLMSKEKLASFEELEPFFNHASQMAALDYIVSIESDVFIPSYSGNMARAVEGHRRFLGRGRSISPDRKALVRLFDKLDQGTMTEGKKLSYRIIDLHRRRLGFPRKRKGPISGTKGMDRFRSEETFYANPLPDCLCRTESSPQNISHIVK >CAK8577320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555306691:555308328:-1 gene:gene-LATHSAT_LOCUS29436 transcript:rna-LATHSAT_LOCUS29436 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPILALMVCLMATMVRSEDPYVYYTWNVTYGTISPLGTPQQGILINGLFPGPEINGTSNNNIVVNVFNNLDEPLLFTWSGIQQRKNSWVDGTLGTQCPIAPGTNYTYKFQVKDQIGSYFYYPTTGLQRAAGGIGGIRVFSRLLIPVPYADPADEYWVLIGDWYGKSHTTLRKFLDSGRSIGRPDGIQIMGKSNSAEPLYTMEPGKTYKYRICNVGLKDSLNFRIQGHSMKLVEMEGSHLVQNNYDSLDVHVGQCYTVLVTADKEPKHYHLVASSRFTKKVLTATAVIRYSNGVGPASPILPPAPVGWAWSLNQLRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSCSRVNGKLRYGINGVSHVDSETPLKLAEYYGVADKVFKYNIISDNPPAVINDLTLAPNVLNATFRTFIEIILENPTKTVQSYNLDGYSFFLVAVEPGRWTPEKRSSYNLLDAVSRHTVQVFPKSWAAIMLTFDNAGMWNLRSEQAENNYLGQQLYVSVLSPEFSNRDEYNLPDTQLVCGIVKDLPRPALKYN >CAK8561357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:167513667:167514527:-1 gene:gene-LATHSAT_LOCUS14958 transcript:rna-LATHSAT_LOCUS14958 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKQDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFC >CAK8561429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203989100:203989477:1 gene:gene-LATHSAT_LOCUS15022 transcript:rna-LATHSAT_LOCUS15022 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWRLLKDEPIWKGQEMNNSSKRSKISSTGTYSSSSNPENPIDCSEYNSATQTDRPAGQKAARRKGKGKPFPSKTPIVDLTVMERASENKLAIYGKIAEAKLAESIPVLYEILMKDKSAMDDE >CAK8571549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439142678:439145220:-1 gene:gene-LATHSAT_LOCUS24199 transcript:rna-LATHSAT_LOCUS24199 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDERTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSNDEPTQNNLPFIPNEEVGEASDDDIQEAKMQDIFGDSDDEDNEDMDVTPIRAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGIEFDDKDACVFALQHWHITHSVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8538335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477769969:477771435:1 gene:gene-LATHSAT_LOCUS7547 transcript:rna-LATHSAT_LOCUS7547 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVDWPNPAANLSTVEQQIKKILADTGVNVPSLDVDGDSPAKLPLPLAALVSLSITYKFDKGRGRFLILIAPVLTAVASGCPWPCMPVLTSLWTQKVRRWSDYFVLRASGTVFHHNRDAVVQLLKSCFKSTLGFGTTSMSNDGGVGALLGHGLPFQVASGASPVAPGILYLKVYRSIGDITFLNKAIMSVLMLSVRDLVRSELSKGIVRKTKYGMKCGQFSFFRYMACAKHAALLGASLIWISGGQKLVQSLIIDTVTSWFLSANKLEHNGEQPGALVARLSGHALAYFVMLSAAFAWDIDHYSVPPNQRALVIGVHLEFLATILEKNASLCCHRATWRAYVSGFLKLMVGCTPRWVQEVDVKLLKRLSKGLRGLNEDELALRLLEAGGIDVMGAAAEMIIVRRL >CAK8536665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6342754:6343936:-1 gene:gene-LATHSAT_LOCUS6004 transcript:rna-LATHSAT_LOCUS6004-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFELPYGKSARCFTEEINKNSMVVGKYSIVNHNKDQPLPPNHTITVQVSTHGDRPEIFHFAENIQLGQFSFTAYISGQYFICFMDTTDDPKVTLSIDFEMKTGVKTLNRLYILKRSQIDGMAREIQILHETALSIHEEMSYLLQRNTEMLELNWITDHRMFLWIFVSFFVTFSITGFQQWHLKSFFRKKKLI >CAK8536664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6342754:6344026:-1 gene:gene-LATHSAT_LOCUS6004 transcript:rna-LATHSAT_LOCUS6004 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFGLNTQHSYFLFLLLVSLGLFSYSVHSMRFELPYGKSARCFTEEINKNSMVVGKYSIVNHNKDQPLPPNHTITVQVSTHGDRPEIFHFAENIQLGQFSFTAYISGQYFICFMDTTDDPKVTLSIDFEMKTGVKTLNRLYILKRSQIDGMAREIQILHETALSIHEEMSYLLQRNTEMLELNWITDHRMFLWIFVSFFVTFSITGFQQWHLKSFFRKKKLI >CAK8571601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446772932:446773530:1 gene:gene-LATHSAT_LOCUS24245 transcript:rna-LATHSAT_LOCUS24245 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFVVLQVDIVVLTVVSGSFQLVLFCKLTLQVDIHTFCEVVSNHVKTPNTHQGLGERETDRAVNMDHRSS >CAK8539261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507761039:507761341:-1 gene:gene-LATHSAT_LOCUS8373 transcript:rna-LATHSAT_LOCUS8373 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILCLKIHHSGEFVDSEKTDYVGGKCNNLEIDVDRWSYFELVGVVKDPGYTEIDTIYYNDPTFGMNVLKDDKGALDVADLCRVHSKDGIYIEHPLSQP >CAK8560367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15824755:15827941:1 gene:gene-LATHSAT_LOCUS14045 transcript:rna-LATHSAT_LOCUS14045-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLNSMVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKGLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFENVFGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEAFEFEDEET >CAK8560366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15824617:15827941:1 gene:gene-LATHSAT_LOCUS14045 transcript:rna-LATHSAT_LOCUS14045 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLNSMVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKGLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFENVFGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEAFEFEDEET >CAK8575694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:256698146:256714379:1 gene:gene-LATHSAT_LOCUS27935 transcript:rna-LATHSAT_LOCUS27935-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDMMATERVCYVHCNFCNTILAVNVPFSSLLTIVTVRCGHCGNLLSVNMMAASLQPFPPPPQLPQKQHIFHEEPSIKEMGSSSSKCNKIASFETIVEHEQPRIPPIRPIEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGKQQEKFDHAEGTHENSNGFY >CAK8575695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:256698146:256714379:1 gene:gene-LATHSAT_LOCUS27935 transcript:rna-LATHSAT_LOCUS27935 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDMMATERVCYVHCNFCNTILAVNVPFSSLLTIVTVRCGHCGNLLSVNMMAASLQPFPPPPQLPQRQKQHIFHEEPSIKEMGSSSSKCNKIASFETIVEHEQPRIPPIRPIEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGKQQEKFDHAEGTHENSNGFY >CAK8530329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14600156:14600578:1 gene:gene-LATHSAT_LOCUS200 transcript:rna-LATHSAT_LOCUS200 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLPCCHAISCMKHQHLQVDDFVSDYYKKECYEACYTPVVYPVNGESLWTKTDVVDLQPLPIKGMSDRPKKKMNKEADEQMRNETHSKGKILVSNDVGVIMMVTTRPLASCLQLLWQPQANHYQLLPQANHHPVSTSS >CAK8532367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249867613:249867846:-1 gene:gene-LATHSAT_LOCUS2082 transcript:rna-LATHSAT_LOCUS2082 gene_biotype:protein_coding transcript_biotype:protein_coding METGRGRPKKKKVLSPLVHTLEMEKGTDNGSTSKSMESSQHEEQEIVKENKGDPKVSEVEERMNIKTNHEEEPPKKL >CAK8539028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502033601:502035959:1 gene:gene-LATHSAT_LOCUS8166 transcript:rna-LATHSAT_LOCUS8166 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRENILGFVLIVALLKVTEGIRFVIDRDECFSHEVKYEGDTVHVSFVVIKADSPWHYGDEGVDLVVKGPVGDQIQDFRDKTSEKFEFVAHKSGVHKFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDEHFNPLLEQIAKLEEALYNIQFEQHWLEAQTDRQAIVNDGMSRRAVHKAIFESAALIGASALQVYLLRRLFERKLGNSRV >CAK8562035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:429817730:429829100:-1 gene:gene-LATHSAT_LOCUS15571 transcript:rna-LATHSAT_LOCUS15571 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRPGGSSSCRSEAEQTQSRDTVVETASSFSSSEWCPRELAFAPFLPLVEDQQQKEDKRVNAAVKSSKYRALVRRKPLVARLTKEIVETYQICNPQFKYSEDLNPKRFLTSPSTGVLNDGYDNLNSDLILTVNLVLIHVEKSRRYTVKDLLGHGTFGQVAKCWDSDTNSFVAVKIIKNQPAYYQQALVEVTILTTLNKKYDPADKHHIVRIFDYFVYQRHLCICFELLDTNLYELIKMNHFRGLSLGIVQLFSKQILCGLALLKDAGIIHCDLKPENILLCASTVKPAEIKIIDFGSACMENRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLKRMIEIIGGQPPDYVLRDAKNTSKFFKCIGSLQNIEISEGSKNGSVYQALTEEEYEARDLKKPSIGKEYFKHMNLEAIVSNYPYRKNLPQEDIAKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTHPYKPSPETPHIPVVQNIKVDNHKGGGHWFAAGLSPNVAGKNRASFYSSPHFQMAQHPPANSFGSVGSHGSYNDNVGLGSSYGSYGESSNMFAYYSPIGPSGMNMHSQGGMSMLGNSPDTRRRVKYQPGNGLGVSPSGGNFAPLPLGASPSQFTPPSSYSQISVGSPGHFGPTSPARGTSHGSPLGKSAAVSQFNRRKNWGHSGSPQTQETTFSSHWHGQYPDSLSQAEGTSQAPGSSPSYLQSNINPGNWKQRGSVSANQNIPSMIMPGSNMNSQSTELLHDNAETGFSLPDPGDWDPNYSDELLLQEGGSDESSLTTEFGRSMNLGSSEPWSGFGRLDHVSNSSSPTAMQRLNGPGQTFSNVEMGSPPTHDPQAAYIPSISKPFHLMPHVLQNSPSRFGHQSVQRFTHGRPPQGSDWNQIKIQAPSGFSNVGPRSPRNASFTGSMTWGRRMNPPISSIPPISNIPPSSRSRKDYARID >CAK8570299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34849421:34850692:-1 gene:gene-LATHSAT_LOCUS23061 transcript:rna-LATHSAT_LOCUS23061 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMMMREWKDIPVELLMLILSLLDDQTVITASHVCHGWRDSISFGLTHLSLSWCSKNMNNLVLSLAPKFTKLQTLILRQDKPQLEDNAVEAVSKFCPDLQVLDLSKSFKLSDRSLYAIAFGCCDLTKLNISGCSAFSDKALAYLASLCRKLKVLNLCGCVKAVSDTVLQAIGHYCNELQSLNLGWCEQISDVGVMSLAYGCPDLRTLDLCGCVLITDESVIALANRCPHLRSLGLYYCKNITDRAMYSLAQSKVNNKMWETVNGENDEDGLRTLNISQCTSLTPSAVQAVCDSFPALHTCPGRHSLIISGCLNLTSVHCACCVRPHRAINAFPHSAH >CAK8568789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641395194:641395961:1 gene:gene-LATHSAT_LOCUS21714 transcript:rna-LATHSAT_LOCUS21714 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTRVNPTFSKSTGLPRKRFYRARAHSNPLSDSHFPVPLTPAHVDYSLHYPQFFPSSDQVDSSKKIKFADIGCGFGGLLISLSTLFPDTLMIGMELRDKVSEYVKERILSLRVANPGQYQNISVVRTNSMKYIPNHFEKAQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYVLEVGGIIYTITDVEELGEWMKSCLENHPLFEPLTEKELEADPAVKLLSSATEEGQKVARNDGQTFQAVFRRIARPDQIS >CAK8539830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528041098:528041856:1 gene:gene-LATHSAT_LOCUS8890 transcript:rna-LATHSAT_LOCUS8890 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDKSQNLLHSSWIPFVGDLIKCGTYSWGSACLAKLYREMCKAAVKDVRSLSGCALLLTSWAFTRIPLFAPVTTVEPSYPYAQRWAQRGMNYRANPRFHLQGYRNALDHMQENDFIWRPYIRYPLPRLEDSQIWSATTFLICFYTVEMHQTDRVTLQFGLDQQIPPPPPKVSKRTPRHDYEKGTKS >CAK8572731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558747930:558751083:-1 gene:gene-LATHSAT_LOCUS25260 transcript:rna-LATHSAT_LOCUS25260 gene_biotype:protein_coding transcript_biotype:protein_coding METMCLKTGIVPTISIAGSLDTRASPSQVSAVGRSAVEKPSRNSIFSRFSFRYPLESLWPGQRSSGNRTFSRLSLDDAVMAEIGETESVKDEGEDESVNGGEGRRENWVLKILHVKSVWKGERRNERDGTENDENNGGGDEVCDGCAVENDDGEKEEGFEFDRGSFSKMLRRVSLPEARLYAQMSHLGSLAYSIPNIKPGKLLKHYGLRFITSSLEKKELAAKSEKSPQEVESQEKQEEAKESNNGGYRINATAAYNIAASAASYLHAQTKSILPFKSSNTVAGEGSNENLNMMDAEVASLMATTDSVTAVVAAKEEAKQAVADDLNSTRSSPCEWFICDDNQNGTRFFVIQGSESLASWQANLLFEPIKFEGLDVLVHRGIYEAAKGIYQQMLPEVHAHLKSRGSRANFRFTGHSLGGSLALLVNLMLFIREEVPISSLLPVITFGSPSIMCGGDTLLDKLGLPRSHVQAIIMHRDIVPRAFSCNYPDHVAKILKAINANFRNHPCLNNQKLLYTPMGELLILQPDEKFSPSHQLLPQGSGLYLLCCPMSEPNDTEKKLQAAQLMFLNTPHPLEILSDRSAYGSGGSIQRDHDMNSYLKTVRSVIRQELNQIRKSMREKRRKVWWPLVLPRRVDTSIVVGKSVVSININQRQAPFSGIMKTGRESLKRFSRLITSQHMHLFVLLFFPARMLILGAYGLISLR >CAK8562922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557147489:557150278:-1 gene:gene-LATHSAT_LOCUS16383 transcript:rna-LATHSAT_LOCUS16383 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEGEESQQPQLVLADKLFLLKQPDVQDIDKVGFKEDVFTFVKDHDMVPLYETLVADSVLDMDRALLDSMRAKIDDELKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEHLKITETKTVAVGQKMDLVFYTLQLGFFDMDFDLISKSIDKAKSLFEEGGDWERKNRLKVYEGLYCMSTRNFEKAATLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHSHFRYYMREIRVVIYSQFLESYKSVTIEAMAKAFGVSVDFIDVELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >CAK8564661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5618948:5622305:1 gene:gene-LATHSAT_LOCUS17943 transcript:rna-LATHSAT_LOCUS17943 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHSYTLPLLSTLSPFSSKPLSISPPHSIVSTTTHFNNTPLFVSTPPKKLLCKPPQGKHVRDDYLVKKFSAEEIQELVKGERNVPIVIDFYSTWCGPCILMAQELEMLAVEFDENVMIVKVDTDDEYEFARDMQVRGLPTLFFISPDPNKDAIRTEGLIPIQMMRDILVRDM >CAK8578800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655158262:655159812:-1 gene:gene-LATHSAT_LOCUS30784 transcript:rna-LATHSAT_LOCUS30784 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKCFELEDEVMFPSFILVSINACKLPKPYDVNVLNLMNSCAVAVLQEFADVVLAYGFSDEYTFVFKKSTKFYERRASKVLSIISSFFSSVFVRKWREFFPQKELCSPPFHGKVVPCASIDALQAYLLWRQNVCHLKNQYDQCFWRLVERGMNETEAREFIDGAKKRDLNDILFDEFNVNYNTLDPIFRQGSCILKTMVGAVVKYTETGAPVKRQRREIITVHSKKIASTRFWNEHSILLKELGVFVEEINNVKPEYVRSFEFDSKLMPSTWVVVRIDGCHFHKFSEIHEFAKPNDDRALNLMNSCAVAILEEFRQDIVFAYGVSDEYSFILKKSTDLYERRASKIISAIVSFFTSTYVMRWKDFFPQSELNYPPSFDARAVCYPSAEILRDYLSWRQVDCHINNQYNTCFWKLVASGKSKREAQRSLKGAQLQKKIEELDIDYNKLPVMFRQGSSVFWDRVDNVLIHQENGESSENYGKVIVEHIDIIGSDFWLEHPCILYGKVESDN >CAK8562877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551824058:551824804:1 gene:gene-LATHSAT_LOCUS16339 transcript:rna-LATHSAT_LOCUS16339 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQHTTASLHSKHIPKTTNILTRKPIRNFSFSTFTSPKLKLSLTKTRRSTGGGALGAKMVSQAAASYAAAFADVAKSNNTLDATIADVEKIEQLFSDPKVFDYFCSPIVEDSAKRQLIIEFATASGFQPHTLNFLNILIDSQRIDEVLDIFKEFELVYNTITDTELVVVTSVVKLESQHLAQIAKQVQKLTGAKRVRTKTLLDPSLVAGFTIRYGNTGSKLIDMSVKKKLEEIAAQLDVGDLKLAV >CAK8573709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632102301:632102924:-1 gene:gene-LATHSAT_LOCUS26118 transcript:rna-LATHSAT_LOCUS26118 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFSKQLHLCFFKLKYPTILSQPHSQQTTTPSPSPPYTFHFNTTFTHHHHQEEDYFSDDTTELPPPDFASVFASQRFFFSSPGSSNSITESESPPDNTTPYKSLIPMEGSVKRVPKYSVNPYVDFLRSMHEMVQSQQHVFDVTNDWDYLHELLLCYLALNPKHTHKYIVQAFTHLLVDLLSSSSSSSFSSLPPPSPPSQNINNKL >CAK8577595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576852670:576855105:-1 gene:gene-LATHSAT_LOCUS29687 transcript:rna-LATHSAT_LOCUS29687 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFQQSKLSQLHSLIRFTFNSPLYPLNLPNHQTKSLSTDSQDPKTTTESLPNPIDAGSSNRKPISLWPGMHHSPATHALWEARSNIFEKPVNGSIDPSSHDPKSPSQSRTSISYNFSSDLILREQYRNPWNHIRMGKLVEDFDALAGTIALKHCCNEDGSTRPLLLVTAAVDKMVLKKSIKIDADFTIVGAVRWVGRSSMEIQLEMIQSPHGNPNISNSPAIVANFTFVARDSNTGKAVPINQIIPETEKERLLWEEAEQGNKLRKKKKEENKHGESGDSARLSALLAEGRIFSDMPALADRNSILMKDTYLQNSFICQPQQRNIHGRIFGGFLMRRAFELAFSTAYAFAGAAPHFLEVDHVDFFKPVDVGNFLRLKSCVLYTELENPARPLLNAEVIAHVTKPELRTSEVSNRFYFTFGVDPEAIKNGLRIRNVVPGTEEEAQKVLERMDAENS >CAK8577596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576852670:576855105:-1 gene:gene-LATHSAT_LOCUS29687 transcript:rna-LATHSAT_LOCUS29687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFQQSKLSQLHSLIRFTFNSPLYPLNLPNHQTKSLSTDSQDPKTTTESLPNPIDAGSSNRKPISLWPGMHHSPATHALWEARSNIFEKPVNGSIDPSSHDPKSPSQSRTSISYNFSSDLILREQYRNPWNHIRMGKLVEDFDALAGTIALKHCCNEDGSTRPLLLVTAAVDKMVLKKSIKIDADFTIVGAVRWVGRSSMEIQLEMIQSPHAGNPNISNSPAIVANFTFVARDSNTGKAVPINQIIPETEKERLLWEEAEQGNKLRKKKKEENKHGESGDSARLSALLAEGRIFSDMPALADRNSILMKDTYLQNSFICQPQQRNIHGRIFGGFLMRRAFELAFSTAYAFAGAAPHFLEVDHVDFFKPVDVGNFLRLKSCVLYTELENPARPLLNAEVIAHVTKPELRTSEVSNRFYFTFGVDPEAIKNGLRIRNVVPGTEEEAQKVLERMDAENS >CAK8543334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601166302:601168576:1 gene:gene-LATHSAT_LOCUS12098 transcript:rna-LATHSAT_LOCUS12098 gene_biotype:protein_coding transcript_biotype:protein_coding MREVWNIGTCSQQQQEEKSSNTNTIMSRFESPTSAFYATETCMGFAEFDNNQSLNTQVHKINDLEYPLCQSLRENSQFLDSSNQSDPNFELSNTLQALVKSQLNGNQCVRLPENFDKFSSENFPRIKPFPFEQQKLFFDDLASVNRSSSFCNKGNHEYTVARGTYHLSVEQLNFSSQHEKLSPTISSASFSNSLGNSSSNGNVVSSKTRIRWTKDLHEKFVECVNRLGGADKATPKAILKMMDSEGLTIFHVKSHLQKYRTAKFMPESASGKSDKRIYKEELHHVGVKAGFQIKEALQLQLDAQRHLHEQLEIQRTLQLRLEEQGRQLKKMFDQQQKTCNNFFNPLTTINDDDSKSSQKDVEVSISEGAENSLFPCKTSPDT >CAK8565787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:333114410:333116988:1 gene:gene-LATHSAT_LOCUS18969 transcript:rna-LATHSAT_LOCUS18969 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGFKSLLASVLRCCDIDINQPRGLDDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >CAK8570485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49944520:49944867:-1 gene:gene-LATHSAT_LOCUS23230 transcript:rna-LATHSAT_LOCUS23230 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFVALEIINGEIEMKIDESDVEDELDFWSNVMILFALGDSLSMNAVKKFMENSWSFVTMSELCYNEKGFFIVRFKSEQDREDVMSQIPYFVYGKLVFIRIWSPDFEIKDDLL >CAK8560597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27417555:27419834:-1 gene:gene-LATHSAT_LOCUS14258 transcript:rna-LATHSAT_LOCUS14258 gene_biotype:protein_coding transcript_biotype:protein_coding MILHIHLSILFSYMAFLHLISIALAQSENYIVHMNLSAKPKSFTNHHTWYQSTLSSALQNAQFTTTTNNLNSQTSSKLIYTYTHVMNGFSANLSPKEHESLKNLPGYISSIPDLPVKLDTTYSPQFLGLNPNNSAWHDSNFGNDVIVGLIDTGVWPESDSFKDYGMTKIPSKWKGQCENSIHFKKSLCNKKLIGTKFFNKGLLAKHPNITLGLNSTRDTEGHGTHTSSTAAGSRVDEASFFGYAAGTASGIASNSRVAMYKAIWEGGRLSSDVIAAIDAAISDGVDVLSLSFGVDDVPLYEDPVAIATFAAMEKGVFVTTSAGNEGPEHKTIHNGTPWVITVAAGTMDRDFQGTLTLGNGKEIIGLSLYIGKFPSNNVPIVFMGLCDNVKELKKVKNKIVVCEDKNGTSIYDQLNNLLEAKIFGAVLISNDSDASFTQNFASIIVNPINGEIVKAYIKSYNSIASLSFKKTVFGTKPAPRVDSYSSRGPSNSCPFVLKPDITAPGTSILAAWPTNVLVSHLETKEAFSNFNMISGTSMACPHVAGVAALLKGAHPDWSPAAIRSAIMTTSDILDNTKEHIKDIGKDNKVATPFALGAGHVNPNRALDPGLVYDAGVQDYVNLLCALHYSHENITTITRSSSNDCSEPSLDLNYPSFIAFFNSGNSSSKTTHEFRRTVTNVGEGQTIYVASITPIKGFSISVVPNKLVFHEKNEKLSFKMRIEVERMTKLKKVSFGYLSWMDVKHVVRSPIVVTTMKMKF >CAK8530872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58390158:58407639:-1 gene:gene-LATHSAT_LOCUS704 transcript:rna-LATHSAT_LOCUS704 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPAVWYCQPVENGVWTRTVQNAFGAYTPCAVNNLVIGISHLVILGLCIYRIWLIKKDSKVKRFCLRSNIYNYVLGILAAYSVAEPLYRLIMGISVLNLDGQSQLAPFEITSLIIEAFAWCSMLILLGIETKVYIYEFRWFVRFGLIYAAVGDAVMFNFIISVQQLYSRSVLYLYISEVVCQVLFGVLLLVYVPTLDPYPGYTPIGTEVDADAAYEELPEGELICPERRASLLSRILFSWMNPIMKLGYERPLTEKDIWKLDTWERTEELNNKFQKCWAKESQKPKPWLLKALNASLGGRFWWGGIFKIGNDLSQFTGPLILNQLLQSMQNGDPAGMGYIYAFSIFVGVVFGVLCEAQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHEARKQFASGKITNLMTTDAESLQQICQSLHTLWSAPFRITIAMVLLYNELGAASLIGALLLVLMFPLQTLIISRMQKLSKEGLQRTDKRISLMNEILAAMDTVKCYAWESSFQSKVSNVRNDELSWFRKASLLGACNSFILNSIPVFVTVISFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGLPAISIKNGNFSWDAKVERPTLSNINLDIPVGSLVAVVGSTGEGKTSLISAMLGELPPIADSTVVMRGTVAYVPQVSWIFNATVRDNILFGSAFDPIRYERAINVTELQHDLELLSGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVLVFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIVLVHEGMVKEEGTFEELSSQGVFFQKLMENAGKMEEYVEEKVDIEDTDQKSSSKPVVNGEVNDNSKSESKPKGGKSILIKKEERETGVVSLSVLIRYKNALGGAWVVLVLFGCYFSTEALRVSSSTWLSHWTDQSTVEGYNPGFYNLIYAALSFCQVLVTLTNSYWLIISSLYAARRLHEAMLHSILRAPMVFFHTNPLGRVINRFAKDLGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSVSRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRFTLVNISGNRWLAIRLETLGGLMIWFTATFAVMQNGRADNQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNSVERIGTYIDLPSEAPSVIDHNRPPPGWPSSGSIKFEEAVLRYRPELPPVLHGLSFNISPSDKVGIVGRTGAGKSSMLNALFRIVELEKGRILIDDYDIAKFGLADLRKVLGIIPQSPVLFSGTVRFNLDPFTEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLVIAHRLNTIIDCDRILLLDGGKVLEYDTPEELLANEGSAFSKMVQSTGAANAQYLRSLVHGGDKTEGEENKHLDGQKRWLASSRWAAAAQYALAVSLTSSQNDLQRLEVEDDNSILKKTKDALLTLQGVLERKHDKEIEESLNQRQISSDGWWSSLYKMIEGLAMMSRLAKNRLHHSDYGFEDRSIDFDQIDI >CAK8530871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58390158:58407639:-1 gene:gene-LATHSAT_LOCUS704 transcript:rna-LATHSAT_LOCUS704-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPAVWYCQPVENGVWTRTVQNAFGAYTPCAVNNLVIGISHLVILGLCIYRIWLIKKDSKVKRFCLRSNIYNYVLGILAAYSVAEPLYRLIMGISVLNLDGQSQLAPFEITSLIIEAFAWCSMLILLGIETKVYIYEFRWFVRFGLIYAAVGDAVMFNFIISVQQLYSRSVLYLYISEVVCQVLFGVLLLVYVPTLDPYPGYTPIGTEVDADAAYEELPEGELICPERRASLLSRILFSWMNPIMKLGYERPLTEKDIWKLDTWERTEELNNKFQKCWAKESQKPKPWLLKALNASLGGRFWWGGIFKIGNDLSQFTGPLILNQLLQSMQNGDPAGMGYIYAFSIFVGVVFGVLCEAQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHEARKQFASGKITNLMTTDAESLQQICQSLHTLWSAPFRITIAMVLLYNELGAASLIGALLLVLMFPLQTLIISRMQKLSKEGLQRTDKRISLMNEILAAMDTVKCYAWESSFQSKVSNVRNDELSWFRKASLLGACNSFILNSIPVFVTVISFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGLPAISIKNGNFSWDAKVERPTLSNINLDIPVGSLVAVVGSTGEGKTSLISAMLGELPPIADSTVVMRGTVAYVPQVSWIFNATVRDNILFGSAFDPIRYERAINVTELQHDLELLSGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVLVFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIVLVHEGMVKEEGTFEELSSQGVFFQKLMENAGKMEEYVEEKVDIEDTDQKSSSKPVVNGEVNDNSKSESKPKGGKSILIKKEERETGVVSLSVLIRYKNALGGAWVVLVLFGCYFSTEALRVSSSTWLSHWTDQSTVEGYNPGFYNLIYAALSFCQVLVTLTNSYWLIISSLYAARRLHEAMLHSILRAPMVFFHTNPLGRVINRFAKDLGDIDRNVAPFVSMFLGQISQLLSTFILIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSVSRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRFTLVNISGNRWLAIRLETLGGLMIWFTATFAVMQNGRADNQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNSVERIGTYIDLPSEAPSVIDHNRPPPGWPSSGSIKFEEAVLRYRPELPPVLHGLSFNISPSDKVGIVGRTGAGKSSMLNALFRIVELEKGRILIDDYDIAKFGLADLRKVLGIIPQSPVLFSGTVRFNLDPFTEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLVIAHRLNTIIDCDRILLLDGGKVLEYDTPEELLANEGSAFSKMVQSTGAANAQYLRSLVHGGDKTEGEENKHLDGQKRWLASSRWAAAAQYALAVSLTSSQNDLQRLEVEDDNSILKKTKDALLTLQGVLERKHDKEIEESLNQRQISSDGWWSSLYKMIEGLAMMSRLAKNRLHHSDYGFEDRSIDFDQIDI >CAK8531561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125210578:125211199:-1 gene:gene-LATHSAT_LOCUS1344 transcript:rna-LATHSAT_LOCUS1344-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVFCDVEMHSGSSTQILRSFHEDKNVSITITVSEKKSEHAHSVSTKFWFHGSCTKRDISISQSKGSSSGIPQYIVQIMNTCVSGCAPHEIHLHCGWFASARIINPRLFKRLSYDDCLVNGGKPLSSSQIIRFTYSNSFMYPLSFKSATFC >CAK8531560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125210578:125211244:-1 gene:gene-LATHSAT_LOCUS1344 transcript:rna-LATHSAT_LOCUS1344 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMSFHLFFLCFTMPFLVFCDVEMHSGSSTQILRSFHEDKNVSITITVSEKKSEHAHSVSTKFWFHGSCTKRDISISQSKGSSSGIPQYIVQIMNTCVSGCAPHEIHLHCGWFASARIINPRLFKRLSYDDCLVNGGKPLSSSQIIRFTYSNSFMYPLSFKSATFC >CAK8576903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523236796:523241427:-1 gene:gene-LATHSAT_LOCUS29060 transcript:rna-LATHSAT_LOCUS29060 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETKVIAEVPVTKVVEEVDHKDVDIKATNGDLPAKEIAEGKKDEEDNASDGEFIKVEKEENVVDDTSNKTERSSDPPSREFLEAQEKVRELEAEVKALAESLKTSEHENAELKGEVSETQEKLKESGKKTEELELSHKKLQEQIVEAENKYNLQVSTHEEALRSQEVKQKELLEVKEAFDDLNVKLESSQKKTQELENELQVFKDEAQKFEELHKQSVSQAESEGNKVIEFERLLEEAKSSAKGKEDEIASLKEELKGVNDKIAEKEKVEEALKTTAAELSTVQEELTLSKTHVSEVEQRLSSRDSLVDELTKELNLIKTSETQIKEEFSALQNLFVSTKEELKEKSSELESARLKLQEEETSRESIEVSLKSQEAKFLSVQEELTKLNAEKTRLEETFEDLTVNAKHFKELSTDLEEKLKLSEENFSKTDSLLSEALSNNSELEQKVKSLEDLHNEAGSVAATATQRSLELEGHVEATNAAAEEAKSQLRELETRFITVEQKNIELEQQLNSVQLKADEAERDVTEYSEKISHLEAKLKEAEEAKNLLNGLLQEHTDKVSQLESDLNQSIKQKSQLEEELKIVADKCSEHEDRATSYNQRSLELEDLIQSSHSKSESAEKRVSELELLLETEKYRIQELEQQTTTLEKKYSESEENSNKHLENVSYLTSELEVFKAQTSTLESTLQEANEREKELKDSLNTVTDEKKKLEDSLNSLSEKFSEAENLLEIVRDDLNLTQVKLQSTENDLKAAELRESEIREKLNATEENLVVKGRDIEHTTARNLELESLHESLSRDSEQKLQEAIEKFNSKDSEVQSLLEKIKILEENIAGAGEESASLKSEFEACLSKLASLQSENEDLKTIIVEAENKTSQSFSENELLVGTNIQLKSKIDELQESLNSVLSEKEITAQELVSHKNLVTELNDVQSKSSAIHSANEARIVELESQLQEALQKHTEKESETKELNEKLNTLEGQIKLYEEQAREAVATAETHKSELEENLVKLKHLETVVEELQNKSLHHEKETAGVKEENSKLVQEIAVYETKLSDLQSKLTAELAEKDKTINEIVSSKNAAEDLVTKLSEEVQTLKSEISSVIEEKNLLNETNQSLKKQLESMILDLEEKLKEHQKNEDSLRSEVETLKAEIAEKSVLQSRLTEIEAQLVKAESKLHEEVGNVQAAASQREADLSSKFTDYEQKINEINVLNAKVVELEKELQLAQAAIANQKGAESQKMELEEALKKSQEELETKKNEISLLQKQVLDFEQKSQQGSEKISVKGEESVDKKDGVEVKSRDFSISTPTKRKSKKKSEATAAQASSTSAETQTQTGQDSPFTNLKFIFGTALVSIIFGIILGKRY >CAK8576107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408558947:408560628:-1 gene:gene-LATHSAT_LOCUS28321 transcript:rna-LATHSAT_LOCUS28321 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINQLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSQSSKQFILQFPNHIRSYIDDVVNGVSDGNCGFRDIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSSAATSWMLGFAGRLQHWQQLTPILPTHYTL >CAK8569592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6307571:6314701:-1 gene:gene-LATHSAT_LOCUS22429 transcript:rna-LATHSAT_LOCUS22429-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVRFSSCMPTLFRFLFKLRFAIVVFEIVLILAWLEINDAKTQERQFQWGGVEERVEKIASHSCIHDQILEQRKRPGHKVYSVTPQVYEPGQLKSLQHRGRALLGVLSTSSKPQKDEKQPIRIYLNYDAVGHSPDRDCQKVGDIVKLGEPPINAIHGLPSCNPLSNPPIFGDCWYNCTSEDISGEDKKHRLRKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRGYLEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVIHVLGFDPHAFTHFRDERRRRRNKVTEQVMDEKIGRIVTRVVLPRVVMHSRHHYAAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTEFVTSPCNLWKGAYHCNSTRISGCTYNREAEGYCPILTYSGDLPQWAQYFQQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCINNTLEVAVDGMWRVCPQAGGSIQFAGFNGALICPAYHELCNTDTVVDSRKCPNACNFNGDCVDGSCHCFLGFHGHDCSRRSCPSNCTGNGLCLNNGICECKPGYTGIDCSTAVCDEQCSLHGGVCDNGTCEFRCSDYGKYTCQNSSTLLSTLSVCKNVLGNDISGQHCAPREPSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDEAAKRLACWISIQKCDGDNRLRVCHSACQSYNLACGVSLDCRDQTLFSKEEGEGQCTGSGEMKLSWFNRLRSSFSLRNSSSNEIFVRNRQL >CAK8569593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6307571:6314701:-1 gene:gene-LATHSAT_LOCUS22429 transcript:rna-LATHSAT_LOCUS22429 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVRFSSCMPTLFRFLFKLRFAIVVFEIVLILAWLEINDAKTQERQFQWGGVEERVEKIASHSCIHDQILEQRKRPGHKVYSVTPQVYEPGQLKSLQHRGRALLGVLSTSSKPQKDEKQPIRIYLNYDAVGHSPDRDCQKVGDIVKLGEPPINAIHGLPSCNPLSNPPIFGDCWYNCTSEDISGEDKKHRLRKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRGYLEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVIHVLGFDPHAFTHFRDERRRRRNKVTEQVMDEKIGRIVTRVVLPRVVMHSRHHYAAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGCTYNREAEGYCPILTYSGDLPQWAQYFQQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCINNTLEVAVDGMWRVCPQAGGSIQFAGFNGALICPAYHELCNTDTVVDSRKCPNACNFNGDCVDGSCHCFLGFHGHDCSRRSCPSNCTGNGLCLNNGICECKPGYTGIDCSTAVCDEQCSLHGGVCDNGTCEFRCSDYGKYTCQNSSTLLSTLSVCKNVLGNDISGQHCAPREPSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDEAAKRLACWISIQKCDGDNRLRVCHSACQSYNLACGVSLDCRDQTLFSKEEGEGQCTGSGEMKLSWFNRLRSSFSLRNSSSNEIFVRNRQL >CAK8536049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902419912:902424918:1 gene:gene-LATHSAT_LOCUS5451 transcript:rna-LATHSAT_LOCUS5451 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYHQPTTIEEVRTLWIGDLQYWVDENYLTNCFSHTGEVISIKIIRNKITGQPEGYGFVEFVSHAAAERVLQSYNGTQMPGTEQTFRLNWASFGIGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRTHYNSVRGAKVVTDPNTGRSKGYGFVKFADESERNRAMSEMNGVYCSTRPMRISAATPKKTTAYPQNPYAAVAAAVVAPAPAPKAIYPVPAYTAPVNTVPPEYDASNTTVYVGNLDLNVSEEELKQNFLQFGEVISVKVHPGKACGFVQFGARASAEEAIQKMQGKIIGQQVVRVSWGRPLTARQDLPGGWGQQVDQSQWSAYYGYGQQGYEAYAYGAAQDPSMYAYAGYAGYAQYPQQVEGVQDVSAMSVPTMEQREELYDPLAMPDVDKLNAAYLSVHGNAILGRSLWHKTSSLQQA >CAK8538374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479679821:479681221:-1 gene:gene-LATHSAT_LOCUS7585 transcript:rna-LATHSAT_LOCUS7585 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGACAKRVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTLRGMIPHKTKRGEAALARLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKYCHLGQLSSEVGWNYYDTIKELENKRKERSALAYERKKQLNKLRVKAEKIVEEKLGAQLEILAPVKY >CAK8544075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661264802:661265302:-1 gene:gene-LATHSAT_LOCUS12783 transcript:rna-LATHSAT_LOCUS12783 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKLLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNYGF >CAK8539970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532900049:532903228:1 gene:gene-LATHSAT_LOCUS9016 transcript:rna-LATHSAT_LOCUS9016 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLKLPTIDNFKEEPFFMAIIFIVPLIVLLGLVSRILKKSTYPPGPKGLPFIGNMLMMDQLTHRGLANLAKKYGGIFHLRMGFLHMVAISDADAARQVLQVQDNIFSNRPATVAIKYLTYDRADMAFAHYGPFWRQMRKLCVIKLFSRKHAESWQSVRDEVDYAVRIVSENIGKPVNIGELVFNLTKNIIYRAAFGSSSREGQDEFIGILQEFSKLFGAFNISDFVPCLGAIDPQGLNARLVKARKDLDSFIDKIIDEHVEKKREVGDEETDMVDELLAFYSEEAKVNNESDDLQSSIKLTKDNIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDLKKVQHELTEVVGLDRRIEESDFEKLTYLKCVVKETLRLHPPIPLLLHETSEDATVNGYFIPKRARVMVNAWAIGRDKSCWKEPESFKPSRFLKSSMPDFKGSNFEFIPFGSGRRSCPGMQLGLYALDLAVAHLLHCFTWELPDGMKPNEMDMSDVFGLTAPRATRLVAIPTKRLLCPLD >CAK8573948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649407293:649408303:-1 gene:gene-LATHSAT_LOCUS26342 transcript:rna-LATHSAT_LOCUS26342 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLFLVSSLFIFFFSSTGALPSEAIYDAADVLTDSGYVSMSLTLEIIAESILEQSPSATVFAPSDSAFKKSGQPSLDLLRFHLVMLPLPLSSFRRLPAGAKLPTMLPGQSLTVTTSTSDHEISLNNIKITGLPIYDDGVLLVYGIDRFFDPSFQYTGSNQRPNSNPSCSANNRTMNSSDSFDLAIQTLKTSGYSAMASFLGMQLSGDISQNGITVFAPADENVINRLGVLEDYPSFFRRHVVPCRFLWNDLVDFVDGTELPTFLEGFTITITRSGGVLIFNGVPVYFPDVFFNGRVVVHGVSDVFTMPDDSTSVVMDPDSFSHDDQNAFDPGEF >CAK8535349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842406693:842407361:-1 gene:gene-LATHSAT_LOCUS4815 transcript:rna-LATHSAT_LOCUS4815 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGAKELALYGQSALVPFQGSFDPIKKQHPQPKVDLDEETDRVWKLLLLDINHDGVDGTDEDKAKWWEGERNVFRGRAELFIAWMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVTDHLSSSAFMSLAARFPKKIRQHMRRRHEPDSQQTTSVYGGTRREHQM >CAK8544517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689996150:689996599:1 gene:gene-LATHSAT_LOCUS13188 transcript:rna-LATHSAT_LOCUS13188 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEIKSESEVYYGDEICRVKSKELLEEMCLPKGLLPLKDIIEVGHHKETGFVWLKQKNSITHKFEKIGKLVSYATEVSSYVEKGKIKKLNGVKSKELFIWVTLSDIYVNDPPTGKITFQTPAGLSRNFPVSAFEVEENSSGVKEL >CAK8578161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610400196:610400447:1 gene:gene-LATHSAT_LOCUS30199 transcript:rna-LATHSAT_LOCUS30199 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRSIFGGNDGGNNGTVDAFNNSCGRQDFDEADFKTGAQVTNGGYTTHRNNGTKNAFNNSFGGTQKFGKAKFDTGARIGN >CAK8537973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452363247:452363882:-1 gene:gene-LATHSAT_LOCUS7219 transcript:rna-LATHSAT_LOCUS7219 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSTTLLTLIIKLLEEKKSNIANPNQIELNSANPNQIERELVKLQKKCKTTLDRTKQEEKLQLLIIILPDFKGKSYDRIKRKCETDLRIISQCCQPRQTVKMKKQYLENLALKINVKSRGRNTMLNDAFEKIIPLVNTNTPCCFFLHQLCTLVL >CAK8537733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425627673:425628404:-1 gene:gene-LATHSAT_LOCUS6994 transcript:rna-LATHSAT_LOCUS6994 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWICRTATRLGFGMVIGRSDNGSKRRNTFVTLLCERSGKYQTLLQKVKRDDTGSKKCECPFKIRGYMLCIKKWKFSVTCGLHNHELCLKLQVHPSVCRLKPEEKTCISDMTLNLVQPKNILATLKRKEPNNILNIMQVYNIRYRTNKVIRGDRSEMQQLLKLLDDNSYVSRYRTCDDGVTSRDIFWTHLDLIKFFNTFSIMLILDSTYKNNKYKLPLFEMVDVTSIEKTYVVGFSFLECKK >CAK8544258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674222016:674222474:1 gene:gene-LATHSAT_LOCUS12952 transcript:rna-LATHSAT_LOCUS12952 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYRYYRSWMYDRLYSGRGELKPNFEEGDKSFITWAFSQECCRSEGEVRCPYLKCECTPIISDRGEVEGHLKKKGFIENYWVLTYNEEKMPSRVPETSNTHGSSSRSPVEHGENFNLSGEIVGDAFGVNLTYDKLGDFVGEELSNEEAQRF >CAK8573410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:610386662:610393535:-1 gene:gene-LATHSAT_LOCUS25857 transcript:rna-LATHSAT_LOCUS25857 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVNHRNADRDIQQALIALKKGAQLLKYGRKGKPKFCPFRLSKDELSLIWFSSSEERSLKLSSVSKIIPGQRTAVFQRFPRPEKDYLSFSLIYNHGKRSLDLICKDKVEAEVWIAGLGALISSGQGGRSKIDGWSDGGLYLDDSKDLTSNSPSESSVRASQDISSPDVSASVPNTSPKSFQPENNLNFERSHAPSNPSNMQVKGSNSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVISENIVKVGADKNVSFCSPRTDILLPKPLESNVVLDVLQIACGVKHAALVTRQGEMFTWGEESGGRLGHGVGKNVVQPRLVEALASSTVDFVACGEFHTCAVTMAGEIYTWGDGTHNAGLLGHGTNVSHWIPKRIAGPLEGLQVAFVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENISYPREVESLSGLRTVSVACGVWHTAAIVEVIVAQSSASISSGKLFTWGDGDKNRLGHGDKDARLEPTCIPALIDYNFHRIACGHSLTVGLTTAGRVFTMGSTVYGQLGNPQSDGKLPCLVEDKLAGECVEEIACGAYHVTVLTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNSGKLYRVCDSCYVKLNKVAESSNNNRRNVMPRIPGENKDRLEKSELRLTKSAVPSNMDLIKQLDSKAAKQGKKADTFSLVRASQAPSLLQLKDVVLATAIDVKRTVPRPGFTPSGVNSRSVSPFSRRSSPPRSATPIPTTSGLAFSKSITDSLKKTNELLNQEVLKLRSQVETLRQRCELQELELKKSAKKTQEAMALANEESAKSKVAKEVIKSLTAQLKDLAERLPPGVYDADDMKPAYLPNGFVETNGIHHRDSNGDPHHSRAESISGSSLASIGLESSLMNRTDRNSPGSYATNLYQQNRGFMSSNGTDDYRDVNLPNGGGTIQTTNSSVSHTIDGRDSGNFRDDESGSRSRNDALPANNNNNQVDAEWIEQYEPGVYITLVAMRDGTRDLRRVRFSRRRFGEHQAETWWSENRDRVYERYNVRSTDKSTGQTARKAEGGGSPVVQ >CAK8576762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511950508:511951308:-1 gene:gene-LATHSAT_LOCUS28931 transcript:rna-LATHSAT_LOCUS28931 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRGRCLESNGDVMWNLQTITCIVLNKKTTYLIKRGSFPKLRKLGLFISSYLKGDVPKMLLSLQQLKHLNKLEIMFEGKILPYSRWNINNKPAEVLESLKDLSHLSTLKIIQACELVKCVVTFPPNITTLKLIYITCLNDDGINAIGNLTKLRRLFLAGETWLLAYGVFEYQCSIFDLSCGEDGFPQLQEFHMKDLPIRSWKLGNGSMSRLQILHIDQCYKLNSLPSELWSLTTLTKVHVRNPSNGMAAMLHNLEVKNGREIIVE >CAK8541836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413163733:413164609:-1 gene:gene-LATHSAT_LOCUS10729 transcript:rna-LATHSAT_LOCUS10729-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELGREPTLDEVFLRTHTKKKDSSWVDERSKKTYETFQEKLKHASQVGETSNSGPKEVDSATRLNFWAEAAGGKTRGRLYGAGDLSKHYKPGVSSLITQQSRVSTCSGQVSAEIAAQMATIEERANAAEEDARVAREECRKANKRTQDLERQLRELAESVASIKGDKRRRRHSDYDDDSDSDDDSIGSI >CAK8541835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413163733:413164978:-1 gene:gene-LATHSAT_LOCUS10729 transcript:rna-LATHSAT_LOCUS10729 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDFFSSGFEVWTWSSNPLLLNLSIIKMLQKIVAWRPEDEFELKSIFKSKGSKRLSEILMDARKKQERPSWMGEGAWKGLKIKWETPEYKVKAARNKKNRASAKGGSVHTGGSISTNEHIIRMRRELGREPTLDEVFLRTHTKKKDSSWVDERSKKTYETFQEKLKHASQVGETSNSGPKEVDSATRLNFWAEAAGGKTRGRLYGAGDLSKHYKPGVSSLITQQSRVSTCSGQVSAEIAAQMATIEERANAAEEDARVAREECRKANKRTQDLERQLRELAESVASIKGDKRRRRHSDYDDDSDSDDDSIGSI >CAK8530227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8563937:8567112:1 gene:gene-LATHSAT_LOCUS110 transcript:rna-LATHSAT_LOCUS110 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCNCMAPPSPTISSLFSPSQAHRYTNRYGYAYSYSYTFRFHLPTRTQFNHTPRVQPISTTPSPPPPPPEEKKSFAVATGELFLGLASRLIKSSGPASDSDPVAMFENSKERIGAVVEDEIQPDVIWEQRVKDVEAERNRRVVTTPGFSFSAAGLLFPYHLGVAHFLIQNGYIKETTPLAGSSAGAIVCAVIASGASMEEALSATKVLAEDCRSRGTAFRLGAVLRDILQEFLPDDIHIRSNGRIRVAVTQLLWRPRGLLVDQFDSKEDLINAVFTSSFIPGYLAPKLATMFRNRLCIDGGLTLFMPPTSAAQTVRVCAFPAGLIGLEGIGISPDCNPENASSPRQLFNWALEPAEDVMLDRLFELGYLDAAVWAKENPVEIIVQDDTPASAFGNSIAE >CAK8569354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696059174:696059689:1 gene:gene-LATHSAT_LOCUS22215 transcript:rna-LATHSAT_LOCUS22215 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPKVFFDMTVGGQPAGRIVMELYADTTPLTADNFRALCTGEKGVGTSGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFLKTHTGPGVLSMANAGPGTNGSQFFICTSKTEWLDGKHVVFGQVVEGMEVVKEIEKVGSSSGKTSKPVVIADCGQL >CAK8576333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:460776999:460778174:-1 gene:gene-LATHSAT_LOCUS28529 transcript:rna-LATHSAT_LOCUS28529 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDSLSLQSTFTFLFFSFTFLFSIFSLLIYISRIKPWCNCNTCKAYLSMTWSQHFINLCDYYTHLLKTSPTGTIHVHVLDNIITANPENVEYILKTNFHNYPKGKQFSTILGDLLGRGIFNVDGDSWKFQRKMASLELGSVAIRSYAMELVTEEIKTRLIPLIVSKKDEKDDAFIDMQDILRRFSFDNICKFSFGLDPCCLVPSLPVSMLADAFDISSKLSAERGMSASPLIWKMKRFFNIGSEKKLKEAIKVVNDMAKEMIKQKREIEIGVDSRKDLLSRFMGSLNSNEDQYLKDIVVSFLLAGRDTVASTLTGFFMLLSKNPDAEKKIRVELDRIMNPVQEVATFEQTREMHYLNGAIHESMRLLPPVQFDSKFALDDDVLPDGTFV >CAK8537354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:297740914:297741429:-1 gene:gene-LATHSAT_LOCUS6650 transcript:rna-LATHSAT_LOCUS6650 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPRREELPPSKTTDFTFHDEWIETSWGFEPALGQVSFIENVLETTIIIGMYPKTMYSRRTITIQIPVYLIISSFISGVIDRFGLLTSPLARPGLSHVSAMGGESFFHDTPKPLLDLETSLGFSLAFTCDKFTVDKLSYISTTRVPSLELDVGKGEDDDTNNPSERSPNL >CAK8566161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386602127:386603821:-1 gene:gene-LATHSAT_LOCUS19320 transcript:rna-LATHSAT_LOCUS19320 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLSSTPFTVVTATTILRNYSTSNNSPAVTTSLKEYNSNDILSLLYTSISAKKKTDNKKPKKLRKWETQKGKNTFSYPQPKPTPLIIHHQPYPQSKFQALEQVLNDLEDSIEKGIKIDPEIYASLLETCYRFQAIHHGIRLHRLIPPTLLHRNVGISSKLVRLYASFGYMDEAHDLFDQMTEKDMYAFPWNSLISGYAQLGFYDDAIALYFQMVEEGVEPDLFTFPRVLKVCAGIGLVRVGEEVHRHVVRSGFGDDGFVLNALVDMYSKCGDIVKARKIFNKIPFRDSVSWNSMLAAYVHHGVEVEAINIFRQMLLEGEKPDSFSISAILTGVSSLDVGVQIHGWVIRQGVEWSLSIANSLIVVYSSNGRLDKARSIFNQMPERDIVSWNSIISAHRKHPEAIAYFEKMEEAGENPDKITFVSLISACAHLGLVNDGERLFALMCEKYKIKPIMEHYGCMVNLYGRAGLIEKAYSIIVDGMGSEAAGPTLWGALLYACVLHGNVTIGEISANKLFELEPDNEHNFVLLMKIYENTGKLEDMEKIRKMLADRGLDHYTALYI >CAK8568525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613795422:613795799:1 gene:gene-LATHSAT_LOCUS21473 transcript:rna-LATHSAT_LOCUS21473 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLRSGDRNTTFFHCSTTARKKFQQIQLLIDDNDNEVREHNGMCNIAKDYFDNLFLAGQGVYEPVLELIQPTISADDNSKLLSPISKEELFNALSTMHPDKSPGPDGFNPAFYQKFWHLCGDDI >CAK8560865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51418289:51422460:-1 gene:gene-LATHSAT_LOCUS14507 transcript:rna-LATHSAT_LOCUS14507 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATKRYALVTGANKGIGFGICKKLASSGVIVILTARNEKRGLDAVESLKELGLSDFVVFHQLDVTDPSSVSSLAEFIRTRFGKLDILVNNAGVAGGIVNGENVLRKMRGEISDWNMVVCQNYELVKECVETNFFGAERVTEALLPLLQLSTSPRIVNVSSRTGQLKCMPNDWARGVFNDIQTLTNAKLGEVLGMFLKDYKEGALEDKNWPTFLSGYTMAKAALNSYTRLLAMKFPHFRINCLCPDFVKTDINENTGFLSIDEAAEYPVKLALLQDDAPSGLFFLRGEVISFQ >CAK8537453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362187548:362187886:-1 gene:gene-LATHSAT_LOCUS6744 transcript:rna-LATHSAT_LOCUS6744 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVLVRGLRVKGIQLVQKLRYLRQKIRWWNKNVFGWVDLNIAAGVRVLNDIEVNWPEHDDALQGDQILLRKKAISYIWSNLGVKESMIWQKSRSRWIKEGDANSRFFMLL >CAK8579584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:709750116:709760802:-1 gene:gene-LATHSAT_LOCUS31518 transcript:rna-LATHSAT_LOCUS31518 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLDGGGVAVLTNSANKVDSSSALNGGLKCNKLDSPILIFLFFHKAIRNELDVLHRLAMAFATGNRSDIQPLFERYHFLSSIYRHHSNAEDEVIFPALDRRVKNVAKTYSLEHKGESNLFDHLFELLNSSIKNDESFPRELASCTGALQTSVSQHLAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSTSISADESQDLRDFLVKIVPEERLLQKVVFTWMEGRSSVNTIQSSADHSQVQCCSSPLTYQAGRVNCVCESTTTGKRKHSGSMLDVSDATGTHPIDEILLWHNAIKKELSEIAVETRRIQHSGDFTDISAFNDRLQFIADVCIFHSIAEDKVIFPAVDGEFSFFQEHAEEESQFNDFRCLIESILSEGAASNSEVEFYSKLCSHADHIMETIQRHFHNEEVQVLPLARKHFSFRRQCELLYQSLCMMPLKLIERVLPWLVRSLTEEEANIFLRNMQFAAPTADSALVTLFSGWACKAHNEGLCLSSGTSDCCPAQRLSDIEEDIDQPFCVCSSASSCRHCSVILESDGNKRPVKRNTLKLSNGDVPETLEAESIQKQCCSPRSCCVPGLGVNSNNLGLSSVSTTKSLRSLSFSSSAPSLNSSLFIWEAESSSCDIGSAERPIDTIFKFHKAIRKDLEYLDVESGKLSDSDETVIRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEEQLFEDISCVLSEFFVLHEALQLTHMAGDLSDSNFGTSDANDSDGVKKYNELATKLQGMCKSIRVTLDQHIFREECELWPLFGKHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKESPESITQTETSHCSTSHRDSEYQECLDHNDQMFKPGWKDIFRMNQTELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIASQQKSPKATSEEGSSNGVEIEGHSPSFRDPRKLVFGCEHYKRNCKLRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCMNIQPIGPICMTPSCNALSMAKYYCSICKFFDDERNVYHCPFCNLCRVGRGLGIDYFHCMKCNCCLGIKTSSHKCLEKGLEMNCPICCDDLFTSSATVRAQPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDRYQDILCNDCDRKGTSRFHWLYHKCGSCGSYNTRLIKRETH >CAK8562345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475726308:475729109:-1 gene:gene-LATHSAT_LOCUS15848 transcript:rna-LATHSAT_LOCUS15848 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCASLIMDKKGRKKGESVADIKRNPSMLRKLQENKLREALEEASEDGSLSKSHDMEPDTVGNQDESLGRSRSLARLHAQREFLRATSLAAERTFESEEDILSLQEAFSKFLTMYPKYVSSEKIDQLRSDEYSHLPPKVCLDYCGFGLFSFVQTLHYWESCTFSLSEITANLSNHALYGGAEKGTVEYDIKARIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDHDSQSVNWMAQCARSKGAKVHSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGSTGSGMVKITPEFPVYLSDSVDGLDRLAGTEDDEFNAVGDKTFEPRQGSQLPAFSGAYTSAQVRDVFETEMDHDSSERDGTSTIFEETESISVGEVIKSPVFSEDESSDNSFWIDLGQSPMGSDKVGQSNKLKISSPLPPFWFSGKKNQKQHSPKPSSNMYGSPIFDDRDVNLGSHDERHVLSFDAAVLMSQELDRVKKVPEEEQVDEVHHYSVNGNVPDRSHVSEIMEEPGTSEAVQNGSVAMRESWLVNSASLTRHQSLENGSASDVKESAIRRETEGEFRLLGRREGNRYGGGRLFGLEDDEHNSRGRRVSFSLEDNHKEHPRETLETGDISATSLDDEEVSSDGEYGDGQDWGRREPEIVCRNIDHVDMLGLNKTTLRLRFLINWLVTSLLQLKLSVSDGDEKVNLVHIYGPKIKYERGAAVAFNLRDKSRGLINPEIVQKLAEKEGISLGIGILSHIQIYDSSRQRGALNLEDTTLCKPMENGRHDGKGSFVRLEVVTASLGFLTNFEDVYKFWAFVAKFLNPAFIRECGLPIVQEGSET >CAK8571249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354312370:354314198:1 gene:gene-LATHSAT_LOCUS23927 transcript:rna-LATHSAT_LOCUS23927 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYFPVSQSSINAVIVKGRTRLLMSSDICSLQTRGTSCSFSSGFYPGKSETNYVALSICRKPSCSTIMGQTIRGGYLGSCCSKETGNTQVFSSVVSGKRHSDVSLSCQSMSMRKSVPKRKMLSKVKCNVGRITWPRGCASVGFIFGLFVCNLSSEPAHAETDYGNQNRKDDCDGSNVKLAHGKKVHTDYSVIGIPGDGRCMFRSVAHGACLRSGKPPPNESYQKELADDLRAKVADEFVKRKAETEWFIEGDFDSYILQIRKPHVWGGEPELFIASHVLQMPITVYMYDKDAGGLISIAEYGQEYGKENPIRVLYHGFGHYDALDIPRRKGSKSRL >CAK8534053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689463770:689465071:1 gene:gene-LATHSAT_LOCUS3627 transcript:rna-LATHSAT_LOCUS3627 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKPVVGLSWQPQLPFPSLSKATNDPSHAQFLAKGPNNTIWKPNSEFVSGLLVPPNDPRKLNKLLRQQVKDTAAKGWFDMSAQTMTPELQRNLKLLKVIEF >CAK8544387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681550292:681551605:1 gene:gene-LATHSAT_LOCUS13073 transcript:rna-LATHSAT_LOCUS13073 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDAKSAENQQTEQVCSFFRKPVNKKNIRKRTIDNEDNDDDSNNEGSLMHVQKKTTKPDNKLFFSSGSSKSSASTEPNEESEKHGFHFESSKEIQVQHDSKATATLETETDFSKDARAIRERALKQASESLKGKGTGSEDGKLYKGINYYKDHKAGFRREHTIAGEKAGGSHGPLRASSHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWEEAEKSRRMRLAAGEDAEEEGANVNDEDDSDDDSLPFACFICRNPFVDPVSTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAHEIRKKMAEDNK >CAK8544395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681947818:681953321:-1 gene:gene-LATHSAT_LOCUS13081 transcript:rna-LATHSAT_LOCUS13081 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFSLPLSLTLFILFLLHHTCDSRDSFACDPKSSTTKNLPFCNVKLTIQQRVNDLIGRLTLPEKVNLLVNNAAAVPRIGIKGYEWWSEALHGVSNVGPGTRFGGVFPGATSFPQVITTAASFNASLWEAIGRVVSDEARAMYNGGAAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGSYAANYVKGLQGTDGNRLKVAACCKHFTAYDIDNWNGVDRFHFNAQVSKQDIEDTFDVPFRMCVKEGNVASVMCSYNQVNGVPTCADPNLLKKTVRGQWGLDGYIVSDCDSVGVFYNNQHYTSTPEEAAADAIKAGLDLDCGPFLGIHTQDAVKKGLLTEAHVNGALVNTLTVQMRLGMFDGDSTAHAYGNLGPKDVCKPSHQELALEAARQGIVLLKNIGPALPLSSQKHRTVAVIGPNSNVTVTMIGNYAGIACGYTSPLQGIARYAKTIHQQGCVNVACRDDKSFGPALDAARKADATVLVIGLDQSIEAETVDRVGLLLPGHQQDLVSKVAAASKGPTILVLMSGGPVDITFAKKDPRIAAILWAGYPGQAGGAAIADILFGTADPGGKLPVTWYPQEYLKNLAMTNMAMRPTTVGYPGRTYRFYKGPVVYPFGHGLTYTHFVHTLASAPAIVSVPIHGHRHGNNTNISNKAIRVTHARCGKLSIALHVDVKNVGSRDGTHTLLVFSAPPNGGAHWVPQKQLVAFEKVHVHAKSKQRVRVNIHVCKLLSVVDRSGIRRIPMGVHSLHIGDVKHSVSLQAEALGIIKS >CAK8571862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:484876454:484882525:1 gene:gene-LATHSAT_LOCUS24485 transcript:rna-LATHSAT_LOCUS24485 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLFLLVLSLSSSPSFSIHNFHQSFPIVEPDPAHHTKLRLSRQGLEAIERIKNPIASVAVIGPHRSGKSFLLNQLLSLSCYEGFGVGHMREVKTKGIWVWGTPIELDIHGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGNDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALQRVPNTNGDKNIDLVNQIRDSLASMGDNNTAFSLPQPHLLRTKLCDMKDVELDQLYVTRREQLKELVTNIVSPKIVQGKTLNGTEFVSFLKQILDALNKGEIPSSGSLVEVFNKGIIERCLKLYSEKMATLDLPLSEESLHGVHDRSRDEVMKVFDQQHFGHHHAKKSTMQLDEQIQKMYKNFILQNEYQSSKLCEALYTRCEDKMDQLQVLRLPSLAKFNAGFLQCNHSFDHECLGPSKTSYATRMMKMLGKSQSQFIKEYNQRLFNWLVVFSLVMVVIGRFIVKFILIEIGAWILFIFLETYTKMFWSVESLYYNSAWQFVVATWETLVYNPILDLDRWAIPVGVMLSVFIIYWWSYGRKYGSQWLLPLYRNNKNDLNRSRTD >CAK8561951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:417299791:417302266:1 gene:gene-LATHSAT_LOCUS15496 transcript:rna-LATHSAT_LOCUS15496 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTTDSIRSISSDVSSDIVIQVKGTPYHLHKFPLLSKCLHLQRLCSESLDSPTNREIVQLPDFPGGVEAFELCAKFCYRITITISPYNIVAARCAAEYLQMTEDADKGNLIYKLEVFFNSCILYGWKDSIVALQTTKALHLWSEELSITNRCIGAVCSKVLRKVCNDDDVSCSGVESSREQSMRKRWWGEDLAELSVDLYWRIMIALKSDVRMPLNLIGDALIIYAFRWLPKIGSDSDSGSEIASLKKSLVLESIVNLLPGEKGAVSCSFLINLLKVANVLNSSSKTELVKRAGLQLEEATVNDFLLVGDVDLVMTLLEEFMLQLGQSPPTSPVRSRLEFDKRRSRSAEDVGFELQESRRSSSASHSSKLKVAKLVDRYLQEIAKDVNLPLEKFVIIAEAVPEFARFEHDDLYGAIDTYLKAHPELKKTERKRLCRVLDCKKLSMKTCAHAAQNDLLPLRLVVQVLFFEQARASASDGKLTKMPSNIKALLTAYGNDPSTHAAQLSTSTSIKTDGNWNVNSFKAPKSTKNSSTLRTKIAEDDFEESNDVVCDGFGRNSRFKGFCSHPTKPKRMFSKFWSTNGSTTQKN >CAK8562379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480018674:480019078:-1 gene:gene-LATHSAT_LOCUS15880 transcript:rna-LATHSAT_LOCUS15880 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPIGYYGKSVLAFIGDHIWKKTIKIDKSTLTRERGKYAQLRIQVDLTKPLLAMFSIKGKHYKVEYEGFHLLCLTCGRFRRHVKVCGEKKEVTMMEEGLNESIIIGDSNKSDKTLMDNLGPYLVVQKIKRSR >CAK8530796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50534538:50537390:-1 gene:gene-LATHSAT_LOCUS636 transcript:rna-LATHSAT_LOCUS636 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLSLATKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >CAK8560921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57992395:57996926:1 gene:gene-LATHSAT_LOCUS14560 transcript:rna-LATHSAT_LOCUS14560 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRQISNEEKQQGFHGYSSDNSISGFEHSYSEVGDSSKLSAYSASSDDSLHSQSLQIFPVQNKIFLLHGNLDICIHGAKNLPYMDMFHKTVGDMFDKFPGNVSNKVEGTMSRNVTSGPYVSISLSNAVVGRTFRISNKENPVWEQHFYIPVAHYAAEVHFVLKDSGMVGSQLIGIAVIPVEQIYSGEKILGTYPILTSNGKPCKQGAVLSVSTQFIPVEKLTIYHQGVGAGPDYIGVPGTYFPLRKGGTVTLYQDAHVPDGCLPNVMLDHGMHYAHGKCWDDIFDAIRQAKHLVYIAGSSVWHKVRLLRDAGHSHGSDFTLGDLLKSKSQEGVRVLLLVWDNPTSRTILGIDTDSIKSTRDEETRRFFKNSSVQLLLFPRIDGKRYSWARLKDVGSMFTHHQNAVIVDAEAENNRRKFVAFIGGLDLCDGRYDTPHHPLFRSLETLHMDDYHNPTFTGSTRGCPRQPWHDLHSKIDGPAAYDILTNFEECWLRAAKLTGKKRLYDDALLKIERVSNIIHVPDTPSVGDDNPEAWHAQIFRSIDSNSVKGFPKEPKDALEKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIETQYFMGSSYNWSQYKDLGANNLIPMEIALKIAEKIKANERFAVYIIIPMWPEGNPTGAATQRTLFWQHKTMEMMYKTIYNALVEVGLEAAFSPQDYLNFFCLGNREAVGMHENIVGSRIPPPPNTRQENSRFNRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQYTWAKKQSYPRGQVHGYRMSLWGEHTGTIEECFLQPESLDCVRRVKTMSEMNWKQFSSKNVTEMRGHLIKYPVEVDRKGKIRSLPGHEEFPDVGGKIIGSYILPEKFI >CAK8560922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57992680:57996926:1 gene:gene-LATHSAT_LOCUS14560 transcript:rna-LATHSAT_LOCUS14560-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKFPGNVSNKVEGTMSRNVTSGPYVSISLSNAVVGRTFRISNKENPVWEQHFYIPVAHYAAEVHFVLKDSGMVGSQLIGIAVIPVEQIYSGEKILGTYPILTSNGKPCKQGAVLSVSTQFIPVEKLTIYHQGVGAGPDYIGVPGTYFPLRKGGTVTLYQDAHVPDGCLPNVMLDHGMHYAHGKCWDDIFDAIRQAKHLVYIAGSSVWHKVRLLRDAGHSHGSDFTLGDLLKSKSQEGVRVLLLVWDNPTSRTILGIDTDSIKSTRDEETRRFFKNSSVQLLLFPRIDGKRYSWARLKDVGSMFTHHQNAVIVDAEAENNRRKFVAFIGGLDLCDGRYDTPHHPLFRSLETLHMDDYHNPTFTGSTRGCPRQPWHDLHSKIDGPAAYDILTNFEECWLRAAKLTGKKRLYDDALLKIERVSNIIHVPDTPSVGDDNPEAWHAQIFRSIDSNSVKGFPKEPKDALEKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIETQYFMGSSYNWSQYKDLGANNLIPMEIALKIAEKIKANERFAVYIIIPMWPEGNPTGAATQRTLFWQHKTMEMMYKTIYNALVEVGLEAAFSPQDYLNFFCLGNREAVGMHENIVGSRIPPPPNTRQENSRFNRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQYTWAKKQSYPRGQVHGYRMSLWGEHTGTIEECFLQPESLDCVRRVKTMSEMNWKQFSSKNVTEMRGHLIKYPVEVDRKGKIRSLPGHEEFPDVGGKIIGSYILPEKFI >CAK8564979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:20056095:20059103:-1 gene:gene-LATHSAT_LOCUS18226 transcript:rna-LATHSAT_LOCUS18226 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIVAGAFLTPVIQVIVERVASGDYKDIFSKRLVNKLQITLNSINQVLDDAETKQYQNPKVRIWLDHIKHEVYEVDQLLDEIATSAQRKSKVKHFFSSLTNQFESRIKDLLDNLEHLLKQNHVLGLKEGSRARNEYEAGPKSLKRVPTTSLVDESRICGRKDDKEEIINFLLLDNGGSRNQAPIISIVGLGGIGKTTLAQLVYKDHRVQKNFELKAWVYVSESFDVIGLTKAILESFGSAPNTENLDLLQCQLQEKITGKKCLLVLDDIWKVNWESCEKLLIFFNEGSSGSKIIVTTRNKENALAMESELFELDQLGESDSWSLFERYAFPNKKGSEYPDLEPIGKRIVGKCGGLPLAVITMGKLLRAKFSKSKWIEILENDMWGLSEKDTGINPVLRLGYHNLPSNLKPCFAYCSIFPKGYEFDKNKLIKMWMANGLLNSYKSPKSKEELGNELFNVLESISFFQRSLDFDGGFIMHDLVNDLAKSVSREFCLQVEDDRKINHVSKWTRHIWLSFDSEDGDRILKHIYRSKGLHSLLVDPDSGNWTWISNNVQCDIFSKLKYLRMLSFHGCWYSVRELELADEISNLKFLRYLDVSCTRIKRLPDSICKLYNLETLILDKCFNLTEFPLDFCKLERLRHINLEKTPIKKMPKNVRKLNNLQTLTNFVVGEPSGSDIEELDSLNLLQGKLHLSGLNNVSNPAHAVKARLQDKKSLEKIRMTFDLGGEEMDGSIVENNESVLKALQPNNNLKRLTIKNYNGNMFPIWLRGCDLPNLISLKLHNCEGIKIFGNNSNSTNVPFKVLEVLEFDCMSEWEEWLCMEGFPWLKELSIKYCPKLKGALPQHLPSLEKLVISGCKMLDVSIPNCENIIELDLQDCNKILINELSSSLKRFVLNENQYVEFSMDSLINNPNLEDLKLDFKDFVNCPSLDFCCYDSLSSLSITGWKFSSLPFSLHLFTNLDSLTLSDCPKLESFPIGGFPSNLSLLTIDGCPKLVASREE >CAK8560546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24398188:24400086:-1 gene:gene-LATHSAT_LOCUS14209 transcript:rna-LATHSAT_LOCUS14209-2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQTNSLQIPSTTTETNPNNNNTISEISKTNNQFVKSNFSDLITLGGFLRSSKQVCHDSLVFNRMIPVLGRLIDRYKSPQTILSELESIGCINLSNTNKSPNPLLVLLRIFSRAGNHAMVIETCQHMVEFHGFAIFRNTFASNLVMESMFKTSQPERAFYIMENTKFPNFLTFNIALFHLSNLNDITSVWYVLRHMLRLRYRPNHATFSAVLNLFCKMNAFRQVYQILGLMVGLEIDFSVNVWTVLIHRFCKLRRLDVASNLLYKMIRSGCSPNVVTYTALIKAFMESNMVTHALHLFNDMVSAGLDPDLVLYNVLIDCLLKSGLHDDAIEFFHRLSEQKNIRPDLYTLTSLLFTVCRSERFDLLPKIVRACRHIGGDLVFCNAVLNSFIKSGRSSCALEYYEHMIVKGFKPDKYSIAGLLSALCAERRIDEAVNVYRGSAMMYHANDACIHTVLTSGLINAGQYHLAAIVFRSAAVQKCPLDSEAYAVGIRAHLRSGLTLEANTLFDQMKDNGLEPNVQTFNMILFSSFKEKNLQKIQLLLKEMIDSRIELGDRNFFNLCKFRCSWNLLAEMRDLGLLSAKVLHALSCGRHPESVKANYNRCAEVDTECNLVLDSSSSEDMSDVAVSVG >CAK8560545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24398188:24400149:-1 gene:gene-LATHSAT_LOCUS14209 transcript:rna-LATHSAT_LOCUS14209 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILSIALRPQHRFIPMLLKMITTQTNSLQIPSTTTETNPNNNNTISEISKTNNQFVKSNFSDLITLGGFLRSSKQVCHDSLVFNRMIPVLGRLIDRYKSPQTILSELESIGCINLSNTNKSPNPLLVLLRIFSRAGNHAMVIETCQHMVEFHGFAIFRNTFASNLVMESMFKTSQPERAFYIMENTKFPNFLTFNIALFHLSNLNDITSVWYVLRHMLRLRYRPNHATFSAVLNLFCKMNAFRQVYQILGLMVGLEIDFSVNVWTVLIHRFCKLRRLDVASNLLYKMIRSGCSPNVVTYTALIKAFMESNMVTHALHLFNDMVSAGLDPDLVLYNVLIDCLLKSGLHDDAIEFFHRLSEQKNIRPDLYTLTSLLFTVCRSERFDLLPKIVRACRHIGGDLVFCNAVLNSFIKSGRSSCALEYYEHMIVKGFKPDKYSIAGLLSALCAERRIDEAVNVYRGSAMMYHANDACIHTVLTSGLINAGQYHLAAIVFRSAAVQKCPLDSEAYAVGIRAHLRSGLTLEANTLFDQMKDNGLEPNVQTFNMILFSSFKEKNLQKIQLLLKEMIDSRIELGDRNFFNLCKFRCSWNLLAEMRDLGLLSAKVLHALSCGRHPESVKANYNRCAEVDTECNLVLDSSSSEDMSDVAVSVG >CAK8532623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332706417:332706686:-1 gene:gene-LATHSAT_LOCUS2310 transcript:rna-LATHSAT_LOCUS2310 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQTKKHIAYPMVYLLLKLALLLHVATTTVERSFLAMNFVKNQLRNRMGNEFLNDCLVTYIGSDIFDSVENEKILQQMQNIKTRREQL >CAK8570987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:226225849:226226730:1 gene:gene-LATHSAT_LOCUS23690 transcript:rna-LATHSAT_LOCUS23690 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNSRIQSSKRKFDDTVSSSSNGVDLSLLEAIKKSQTTIEAVDSRTLKKLVLSFERRLKENIEARLKYPNQPDRFADSELELHEELHKLKVLAGAPELYPDLVSLNVVPSIVDLLNHDNTDIAIDVVQLLQDLTDEDALDENDESARVLVDAIIDNSALELLVQNLHCLSESDPDENAAIYNTLATIENLIEGKPTVAELVCEKTKLLKWLSGKIKVREFDGNKQYASEILAILLQSSYVNQKKLGKMNGVDVVLQAVAMYKSKDPKSFDEEEMVENLFDCLCCLLMPLGE >CAK8565480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233574879:233575316:-1 gene:gene-LATHSAT_LOCUS18694 transcript:rna-LATHSAT_LOCUS18694 gene_biotype:protein_coding transcript_biotype:protein_coding MADFIRSRYFVGFGGRSGDYRTRIKDLITSKELNEEPYASGSKDDLSVNFDTINPKKPNSALRKAARVRLTSGFVITAYIPGIAHNLQEHSVVLVRGGRVKDLPGVRYHIVRGPLDAGGVRDRQQGRSKYGAKKPK >CAK8538477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484350493:484351965:-1 gene:gene-LATHSAT_LOCUS7668 transcript:rna-LATHSAT_LOCUS7668-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNQEMLRIIIKNKQSWFHSLDEHKRLPLHYAASIGYLKGVDLLLGLCKCCTIKRDKYDYFPIHLASYGGHVEVVKKLLEYCPDPTEMLDTSHKRNILHIAANHGKHEVVCYILQSDIPEHQKLINQKDKEGDTPLHLAPRSCHPTTVYYLVNQNNEKVNLNLVNKNDETALDIVNSLYEVEKLSLRQHLTWTALKSAGAKQSSGKIDQGKDKNLNHDEESQQSEKQSKEKEKASERYKDRLENLTIVSTLIVTASVATCLAVPGEADGAAQNLKHAMFQFFIIFITISLFSSISATILLFWATLGFTELVTFTLKIVMPLLGIALISLSLAFMAGLYTVISNLSWLANVFLVMTVIFIMVVVLLYILLFLPTSSSLKPLRYISHYPFLFMASLTESKTGQGNNPRG >CAK8538476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484350493:484352793:-1 gene:gene-LATHSAT_LOCUS7668 transcript:rna-LATHSAT_LOCUS7668 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIQVLQDEAWLELMISKEKDIELETQPSEVTNVIKTCCEFNDQINLISEAYHLVYGGPKNLLDESSNLIEIETPMKNSVMHIAAWNGNDDIVALLIERAPKLLFNLNENKDSVLHVAARNGRISTIENLLDGYINFQRHEIKRAWFEYHKWDGDSEDYKDYGAKSNMKDLLNFVKLENDQGNIMFHEAMLCHKRNIVGDTIFKVCEKYKIEDLSVKSLSNSCYDYAINIINHEKKTVLFLAVENGNKDVVEVILQKCQKNDDRPKGLSPLIAAIMMHNQEMLRIIIKNKQSWFHSLDEHKRLPLHYAASIGYLKGVDLLLGLCKCCTIKRDKYDYFPIHLASYGGHVEVVKKLLEYCPDPTEMLDTSHKRNILHIAANHGKHEVVCYILQSDIPEHQKLINQKDKEGDTPLHLAPRSCHPTTVYYLVNQNNEKVNLNLVNKNDETALDIVNSLYEVEKLSLRQHLTWTALKSAGAKQSSGKIDQGKDKNLNHDEESQQSEKQSKEKEKASERYKDRLENLTIVSTLIVTASVATCLAVPGEADGAAQNLKHAMFQFFIIFITISLFSSISATILLFWATLGFTELVTFTLKIVMPLLGIALISLSLAFMAGLYTVISNLSWLANVFLVMTVIFIMVVVLLYILLFLPTSSSLKPLRYISHYPFLFMASLTESKTGQGNNPRG >CAK8541693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356826813:356827270:1 gene:gene-LATHSAT_LOCUS10591 transcript:rna-LATHSAT_LOCUS10591 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEEVSKSEGRAVGHVTCTELVADWAFSFIKADQLAAFLLSKSRSEIRPRTTSPVVVRKARTPRTSRAPKTNKGKKASTRTTFLLHADLAKCLTGHSSLLLRPVLVCAQPNKQVKDASRGI >CAK8569405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699289677:699291850:-1 gene:gene-LATHSAT_LOCUS22261 transcript:rna-LATHSAT_LOCUS22261 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGLRLPTATTPATGCRVRLWGVASATRRHAFPLEVYGRRSKRVVIRVGGVKCSVSDRSAVNLGTGTPIRSTNILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNGDLSKPDTIPATLVGVHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTENFLRDSGLNHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRFTRQLTRFFEWTNDVADRLAFSEVLSSDTVFSVPMAETYDLLGVDTKDIITLEKYLQDYFTNILKKLKDLKAQSKQSDIFF >CAK8574709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7129885:7131435:-1 gene:gene-LATHSAT_LOCUS27026 transcript:rna-LATHSAT_LOCUS27026 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSEAVLLQQLFNYANQTSKSMKNSGVTEDTLGYLNAVKVAFENNMKKYDRFSEVLRDFSAKRINTSGVIEKLKSLFKEHNYLILEFNTFMPSEYEIKSTGNKLKDNGHPGQVLHWDVLDIICKRLDFEDLLSFSGVCKNWRTFHKSNFFLSEPLLVRMTRSYDIGAGADSYSFISMPNQKVYDLKMMSSFQSSAYLYVRFSSGYFIFSHKSNSFVLFNPFKRKKMVINAPFTVEYMTPNRYESLLAFEKCSEEYVLVVLCNESSHLYVYRSRDCSWFIYSTFEEEVVVNFVVLNNIIYLVTNNVNIGVLNLNFGNIQFLNLKNTPKKDPSNSFKLVNCDEQLLLLNLRSSRSRREVYKIDLSTMNCVKMKSLGDIALFYVSWKHCQALSNPERFGYESNHVYEANRLFNECDKYDWNDGRPSTIYPHPGLLKDFIIFDWCFRHVKYEVDYSLVE >CAK8574354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676858849:676860198:-1 gene:gene-LATHSAT_LOCUS26708 transcript:rna-LATHSAT_LOCUS26708-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGITTNSAADLSDTFYDESCPKALRTIRKTVQEAVSNESRMGASLLRLHFHDCFVLGCDASVLLDDTANFTGEKKSFPNANSLRGFEVIDNIKSQLEEMCPKTVSCADILTLAARDAVAALGGQRWNVLLGRRDSTTASLDLSNSDLPGPSLDLDALITAFAKKNMTAEEMVTLSGGHTIGKVRCRFFRSRIYNETNIDPNFATTMQALCPFEGGDDDLSPFDSSTPDNFDNAFYTNLVNKKGLVHTDQQLFANATSSTSSQVRTYSRNMGRFKKDFADAMFKMTMLSPLTGNDGQIRTNCRFVN >CAK8574353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676858849:676860246:-1 gene:gene-LATHSAT_LOCUS26708 transcript:rna-LATHSAT_LOCUS26708 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSSFCLLLVTCMIMIGITTNSAADLSDTFYDESCPKALRTIRKTVQEAVSNESRMGASLLRLHFHDCFVLGCDASVLLDDTANFTGEKKSFPNANSLRGFEVIDNIKSQLEEMCPKTVSCADILTLAARDAVAALGGQRWNVLLGRRDSTTASLDLSNSDLPGPSLDLDALITAFAKKNMTAEEMVTLSGGHTIGKVRCRFFRSRIYNETNIDPNFATTMQALCPFEGGDDDLSPFDSSTPDNFDNAFYTNLVNKKGLVHTDQQLFANATSSTSSQVRTYSRNMGRFKKDFADAMFKMTMLSPLTGNDGQIRTNCRFVN >CAK8539537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515274420:515278373:1 gene:gene-LATHSAT_LOCUS8621 transcript:rna-LATHSAT_LOCUS8621 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEKELFGEDSDNQKDGTHSSSPSSSSSSANSSSSSSSSSSSSSHNSKASADSSSATGTGSISGGGGGDDDEENGDFVVDSRNKYDSYHRDDYDDRDLFGSDNEDYCKTLAKSPFPIPVLPPIRNNPSNPGRGGFGRGRWQQGHHNDRGAGILPRPGPYPQRQNFGYGNRFQNGRHDERFVSEMKLSKSEETLSRKAIAFQEPSELACYSRVEGGEVFFDDRSLRLFKRHITEDIGADLNEGYDTYIPKKDLGSMGYGDLLACIRDKNIPLQNIHFVTYRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSDLDRRRCYLGYGFESLATEDPRRADGEGIHHVDANVEFCSVIKTKLGAHRILMGAEMDCCDTTNDGKRFYVELKTSYELNYHNEEKFEREKLLKFWIQSFLAGVQYICIGFRDDAGRLVRTEMLRTKDITQRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYTLQFAPPFHRLELLQAQSCPDVITSHLELL >CAK8536676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6897686:6901398:1 gene:gene-LATHSAT_LOCUS6014 transcript:rna-LATHSAT_LOCUS6014 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFTWDDVKADKHRENYLGHSVKAPVGRWQKGKDLFWYTRDKKSESAEMEAAKEEIKRIKEEEEQSMREALGLAPKRASRPQGNRLDKHEFSELVKRGSTAEDLGEGHAEAARVQGVGFAREPHPWEEPGSSKVSHTTTEVENVSMPNQPPRKTEDDSEDESRRKRRREERKEEKREKREKKHSHEERKQEKRHSRDSDDRKRHKKDKERRRHDSD >CAK8573727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633176498:633178953:1 gene:gene-LATHSAT_LOCUS26135 transcript:rna-LATHSAT_LOCUS26135 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFFFLFFLLMLLCSSIECIHPHPLDPLTPSELNLVRTIIHKSYQTSAKHTNLTFQYVGLHEPDKHVIQSWLSSKTKTLPPPPRQAFVIARFHKQSLEITLDFPTRSIISTKIYKGHGYPMLTFDEQIIASLLPFTYEPFKHSINKRGLNISHVLCSTFTVGWFGEENTKRTLIIKCYYMKGSANLYMRPLEGVSAVVDLDEMKIVGYSDKHVIPVPKGEGTEYRASKMKPPFGPKLKDMAVSQHDGPGFTIQGHSISWANWVFHLGFDIRAGPIISLASIYDLAKQKYREVLYKGFISEVFVTYQDPTEEWYYTSYFDSGESGFGQSMSSLQPFTDCPANAVFMDAYYSSSDGTPVMISNAFCIFEKYAGDIMWRHTEIGIPNEVITEVRSDVSLVVRAVSTVGNYDYVIDWEFKPSGSIKLGVGLTGILEVKAGTYTNTDHIKEDMYGTLLADNTIGVYHDHFITYYLDLDIDGEANSFVKENLETVRVKDQKTPRKSYWTVVKETAKTESDARVNIGLKPSELVVVNPNKKTKLGNTIGYRLVPGSVVHPLLASDDYPQIRGAFTNYNVWVTPYNKSEKWAGGSYVDHSRGDDTLAVWSLRDREIENKDIVLWYTMGFHHVPSQEDFPVMPTLTGGFELRPTHFFESNPVLKTKSPESMHCPNCTSQHYFG >CAK8578710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648637268:648638068:-1 gene:gene-LATHSAT_LOCUS30698 transcript:rna-LATHSAT_LOCUS30698 gene_biotype:protein_coding transcript_biotype:protein_coding MASENEETLESGSNVVPETQPKKDWILLSIRIITFLATASATIVMSLNKQTKNFVVATIGSTPVTVPLVAKFQQTPTFIFFVVANGIVSVHNLVMIAMDILGPKFDNKGLQFALIAVLDTMALALASAGDGAATSMSELGKNGNSHAKWNKICDKFESYCKRGGGALIASFIGLILLLIVTVMSINKLLKLTK >CAK8567804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546501574:546514061:-1 gene:gene-LATHSAT_LOCUS20826 transcript:rna-LATHSAT_LOCUS20826 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLHLPELHFLSPQILHRNRFSLSKYSISRLSTSIAALRTTRIRASKEESALADRVNDVEWSGNGAASRGYVNGAVDRSSVEYGYGNGVASTEVMDVEASKVNEDGRKRRLEEIGKEDAWFKQTEKVKVEVAVAPGGRWSRFKTYSTIQRTLEIWGFVVTFIFKAWLDNQKFSYKGGMTEGKKKLRRKTLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAIAIVEEELGASVGDIFDQFDYEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKNMSYVKVPTIYWDYTTPQILTMEYVPGIKINKIQALDQLGVDRTRLGRYAVEAFLEQILSHGFFHADPHPGNIAVDDVNGGRLIYYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQSMVQMGILVPTGDMTAVRRTAQFFLNSFEERLAAQRREKEEATGELGFKKPLSKEEKILKKKQRLAAIGEDLLSISADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVLKDFSNRWDRQSQAFYNLFRQADRVDKLATVIQRLEQGDLKLRVRTLESERAFQRVATVQKTIGNAVGAGSLINLATILYLNSIRMPAIVAYVFCAFFGFQVLLGIVKVKKLDEMERLITGTA >CAK8532065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197769200:197771392:1 gene:gene-LATHSAT_LOCUS1802 transcript:rna-LATHSAT_LOCUS1802 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSELSEVSGQKPARSPEKTTFSQTCSLLSQYIKEKGSFKDLSLGMTCNNTEPTGSIETSSQLGTTMNLFPTMENNVGPKNLTTMDLLSPQAAMNNSNANKGPKAAQLTMFYNGQVVVFDDFPADKAQELMAFANKGVSQTQNNNSLYTYSQSQPSFPPNSVRTSVDPIAPTAPTVNNIVPSTCNGSIHEHPQVLSRPNVCDLPIMRKASLHRFLEKRKDRVASKSPYQRTNPMESINKAGENMSWLVGAKSTQI >CAK8565902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:348952844:348953128:1 gene:gene-LATHSAT_LOCUS19077 transcript:rna-LATHSAT_LOCUS19077 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGATYPTLVKDFWVRAEVYDEYSAQDEERNVVEKDRSLKGKSRAEMGLKEFKGVEIRSDVMGLDINVTQENIVHLIGAENKGMVIINKKDGG >CAK8566846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466933557:466941743:1 gene:gene-LATHSAT_LOCUS19949 transcript:rna-LATHSAT_LOCUS19949 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPLILMGCGGVGSHLLQHIVSCRSLHSLQGLLLRVVGVGDSKSLTVVDDLLNKGLDDSLLLELCRLKHAGESLSKLADSGQCHVFAHPESQEKILDIASQLGKKSGLAFVDCSASSNTISVLKQVVDMGCCVVMANKKPLTSTMEDFEHLMKYPRRIRHESTVGAGLPVIASLNRIISSGDPVHRIVGSLSGTLGYVMSEVEDGKPLSQVVRAAKSLGYTEPDPRDDLGGMDVARKALILARILGRRINMDSIQVESLYPKEMGPDVMTVDDFLGRGLLLLDKDIQERVEKAASNGNVLRYVCIIEGPRCKVGVQELPKSSALGRLRGSDNVLEVYTRCYSDQPLVIQGAGAGNDTTAAGVLADIVDIQDLFP >CAK8574089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661518538:661518984:-1 gene:gene-LATHSAT_LOCUS26466 transcript:rna-LATHSAT_LOCUS26466 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYLHLAFVYFNDASPPLKFRVSRDILFVDLKSKLNTLVQYPENWRNVKLEYCSLSFNNEGKIQFTMLKLKTNEDLKVMWSTFFYYSTKGSIEVDATIARSTEDILKMLQCPEPPVCNDYLCNAYFDLNLCYFSIILPLFLDVSGLA >CAK8535407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846001879:846002496:1 gene:gene-LATHSAT_LOCUS4872 transcript:rna-LATHSAT_LOCUS4872 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSKLLTLIVSAFVIMQITSAGDPDILTDFIAPIGTQVDGSFFTFTGFRALLPPNTFPSTFKALKASKAEFPALDGQSVAYAALEFPSGSINPPHTHPRSAELLFLATGSLQVGFVDTTNKLFTQTLQTGDMFVFPKGLVHFQFNSDSQKPALAFSAFGSANAGTVSIASTLFNTTIDDNVLALAFKTDVATIQTLKKGFTS >CAK8570375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41287336:41288019:-1 gene:gene-LATHSAT_LOCUS23127 transcript:rna-LATHSAT_LOCUS23127-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNVLGLLKIRIKRGIDIAIRDTNSSDPYVVVNMAGDLQKLRTRVVKNNCNPVWNEELTLSIRNVHTPIHLTVFDKDTFSIDDKVGDAEIDLKPYAEAVQMRLDSLPDGYVLKKVQVNRTNCLAEESSCVWKDGKVVQEMILRLRNVESGELFVEIEWVDIPGCRGLLA >CAK8570374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41287336:41288052:-1 gene:gene-LATHSAT_LOCUS23127 transcript:rna-LATHSAT_LOCUS23127 gene_biotype:protein_coding transcript_biotype:protein_coding MIETTWEDNSWMLDNVLGLLKIRIKRGIDIAIRDTNSSDPYVVVNMAGDLQKLRTRVVKNNCNPVWNEELTLSIRNVHTPIHLTVFDKDTFSIDDKVGDAEIDLKPYAEAVQMRLDSLPDGYVLKKVQVNRTNCLAEESSCVWKDGKVVQEMILRLRNVESGELFVEIEWVDIPGCRGLLA >CAK8535687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874480743:874481837:1 gene:gene-LATHSAT_LOCUS5119 transcript:rna-LATHSAT_LOCUS5119 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNKLQSRFSLVRQSFPAPDREDSGAVDVPESVALNPTVRLMYLASEGDFEAINELLDDGYDVNFRDIDGRTAFHIAACQGRTEVVQLLIQRGAEVDPQNRWCSTPLADALYYKNHDVVKLLEERGAKPPEAPMHVQNAREVPECEIDSSELDFTNSVCITKGTFQSALWGGIQVAVKTLGEEVFTDDDKVKVFHDELTLLQKARHPNVVQFLGAVTQSTPMMIVTEYLPQGDLRVYLKRKGALKSSVVVKFALDIARGMNYLHEHKPDPIVHRDLEPSNILRDDSGHLKVADFGVSKSLKITKTVKEDKPVTCQDTSWRYVAPEVYKNEEYDTKVDVFSFALILQEMIEVCPPFYRKSENKV >CAK8575058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25419276:25421629:-1 gene:gene-LATHSAT_LOCUS27349 transcript:rna-LATHSAT_LOCUS27349 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFAVCKDTKVALLLTRVGNTDILGKTNNLRVNSRSGFLFCKDSRRTWVGRVRCAVAVAGTRERMEMERKERVRKAEALVEKAMKGNDASHDAAHVWRVRDLALSLASEEGLSSDPHSMEIVELAALLHDIGDYKYLRDPSEEETVENFLTEERVEENKKSKILEIIKGMGFKEEVTGKGNTKWCPEFGVVQDADRLDAIGAIGIARCFTFGGSKKRALHDPAILPRSDLSKEQYMNKEEQTTINHFHEKLLKLKDMMKTKAGKRRAEIRHKFMEEFVKEFYDEWNGSI >CAK8539177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505987378:505994252:-1 gene:gene-LATHSAT_LOCUS8297 transcript:rna-LATHSAT_LOCUS8297 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSQSECRRLYSWWWDSHNSPKNSKWLQENLTDIDTKVKSMIKLIEEEADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHAMGELRHAQKTMPEAFPNSAYYILNDDSPCGSLGPEAQSPGPGFPPPVHRSKNNKRSSEESDGEVQTLRETISKMQHDKDALFVQYQKSLEKLSKMESDLNKAQDDAEGLDERASKAEIEVEILKESLNQLKAEKDAGEVQYNQCLESIARLETLLSLAQLEAKGFDNRAATAEIEAKNLKQELTRMEAQKDTVFLPYKLCLEKIPMLEGKITIAEENSRMLNEQIGRAELEIKALRKKLTELNEEKESLAFLYNECLKKISSMENEILNVQENAEQLKKSNQNLQLEAENLVQKIALKDQEVLEKHTEIERLKTLMHGEHSHFIQIESALQTLQKLYSQSQQEQRNLALELKYGLLTLKDLELAKQDFKEEMKEIVEENKTLHELNFSSTRSLKKQQMEISKLKEIKEKLEREFSVNAEESNALQLETRQIKDDIQYLNERYQAMLEQLQSLGLNPNSLAASVKKLQNENSMLKEACQMEQGEKEALRKKSKDMDEILIENAFIEFSLLRQDDELDGLRGTVKEIQQLCQVLREEKSILVDEKMALLSQLQVMTEGMQKLVEKNSLLEESLSDAKIEFEGLRTKSDELEECCKLLNDEKNNLVKERSMLISQLEMVEAKLSNLEKKVTNLEEKYANAEKDKENAGNQVEELRLSVLAQKEKHSNHKHSSEARLANLENLVRVLQEEQRLGKVEFEQELDKAVNAQIEMFILQNCIEELELKNLFLLTECEKLVEMSKFSDKIIMELESENLVQLIEEEFLLHRIRKFKMDIHKVCGALQIDSGVGCDSGIKLEEIPISRILEKIEGLESSLVKSQEENQHLLVENSVLLASLQQHQSEEEKLKSEKKILEQEFEDMKEQNAVLQKDKVELLEENRQLRIKVVNGEEKENSSKCSLVALHAEMTDLRRTNQVYQEEKGKILEEKNSLLKSVLDLKDAMSSAEDGNNVMFHEVLALSNLNLVYESFLTEKAVEQQALCEHLGNLSHLNNDLNQELGVLRKNLELKEAENVFLNESTERMDKEVMEMEKRLNAAESLNAEFSRHIEDLKTEQQDSRLIKENLDKQILELSDNCTNHKNEIEHLNDANESLQSELERLFHEVEKHRVREETLSLELLNKSNEFKLWENEATVFYYDLQMSSICGTLLESKVTELTGVCKRLDDESSAKSLENEHMRERISLLENEIGGLKGKLSSYVPVVSSLKEDFASLEHISLLWTNRNSAVSKGVQKDVVIGTSLQEHSHQSLRENESELIPDGVSDLLTLQTRIKEVEKIMMEELKRRIRQKNLTTEGTGYSALDVGSYPKIDTRKKVTELKEESMLGHNTWRKKPKIRLLMKDIPLDRNVDDRRSKYWKREHRRTDDHMLELCETNDHEPVSAPIEDVIICHLSDNSGRYLNYSSELDIEKELGVDRLELSKTVKEKNEDDKRRRILERLVSDSQKLAILKMALQDLKKKTETKKKSKQGNDFEYETVKRHIEEVEEAVMQQASTNDQMAKNVEEGTLSPLDREIPMELEKYGHVETRRMTEQARRGSEQIGRLQFEVQNIQYILLKLAEENNIKVKNRISGKTGILLREFIQIGRTNSKRRRKLRVCGCSKPSTNED >CAK8579499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704180090:704183660:1 gene:gene-LATHSAT_LOCUS31443 transcript:rna-LATHSAT_LOCUS31443 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFPCDSNGVCMVCKQKPLETETLHCITCITPWHFTCLPLAPTSIVDWECPDCSQPNLAADSLAPSIAGDLVSSIRAIENDPSLTDEEKAKKRQELVGGSSTESETINKGCNDYLDIFDGSLNCSVCMQLLERPVTTPCGHNFCLKCFEKCIRQGKTTCSNCRTPIPAKMVSNPRINSQLAMAIRNVKLARSESGVGGSGGSKVYHTVHNDELPDTAFTTERAKKTGKANACSGKIFVTIPKDHFGPIVAENDPTRNRGVLVGDSWEDRMECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQKFESSNEALRVSCRKGYPVRVVRSHKEKRSSYAPEGGVRYDGVYRIEKCWRKMGIQGHKVCRYLFVRCDNEPAPWTSDLSGDRPRSLPIIKEFKDAIDITERKSDPSWDFDEKKGCWLWKKPPPLSKKTGKRVSSKSKNTLLKDFGCNICHKVLSSPLTTPCAHNFCKACLEDAFSGQSYIRQRSSQSGRSLRTRKNIMKCPTCSTDIADYLQNPQVNREMMGVIESLQRQTEQQMEESSEELSAKSDENLMPDEEMEFSKPCDSGEKVLKEINENDLDPPKKERKVAGGKIVVNLEEHIDDAEVESEAMDFD >CAK8534492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:735132133:735134088:1 gene:gene-LATHSAT_LOCUS4035 transcript:rna-LATHSAT_LOCUS4035 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYRRDSTTPSNASFSGGNGVCLMRNSWKEDQHSSFIDFIFTFLSANSFRLNFVPIAPDFIFNCGSLSVAFIFVTNWDCNNVAPIFNRVQQLKAQFSRFYVVITLPGNEEMDSFIESYFKFGMVIGKPTFIPVKDLEMGFEKMVKIAHSSGVYKQEGIEEKFKAERKKWVQGMNFYLKVVTSIPGIDNHDANALSQAIGSVQAIAKASKEQILENTDLSTDKAETLSRFLRDPKFYLSPKIN >CAK8563862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635954745:635956878:-1 gene:gene-LATHSAT_LOCUS17227 transcript:rna-LATHSAT_LOCUS17227 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASVSLTFSSSIVPSPIPQPKCNKTHTRPKVSTFRITRCAISPPPSKSASNSSPVVKKKHWKQGEFPGFSQSEGSTRRSPIKNLKKKFDRKNNAKAWVNTVTEALSERIDKKQWLQALEVFDMLREQSFYQPKEGTYMKLIVLLGKSGQPHRARQLFTTMVEEGCDPTPELYTALLAAYCRSNMIDEAFSILNEMKSHPLCQPDVFTYSTLIKVCVDAFKFELVELLYEEMSQRGIMANTVTQNIVLNGYGKAGMFDRMEQVLSSMLQSTDCKPDVWTMNTIISVFGNMGQIDMMEKWYEKFRNFGIEPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFAVAGDDKNMEYTFNQMRSEGMRADTKTFCCLVNGFANAGLFHKVISSVHLAAKLEIPVNTAFYNAVLSACAKAEDLMEMERVFTRMKDNQSQPDDTTYSILIEAYRKEGMNDKIYYLEQEKKTMITGDDKIVSQPEDEIFS >CAK8560182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9768549:9771668:1 gene:gene-LATHSAT_LOCUS13879 transcript:rna-LATHSAT_LOCUS13879 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLSSVPPQDAWTLTSHNLLPRWIPVSLSRQSRIPISISRVNQVDAARLDIEMSAMLKEQLVKVFTLMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVESRGKVRTGLEGPGLTVAQKLWYCVATVGGQYIWARLQSFSAFRRWGDTEQRPLARRLWILIQRIEGIYRAASFGNLLIFLCTGRYRNLIERVLQARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVRNLLWPFSKDKSSNSSEDSTACPICQATPIIPFVALPCQHRYCYYCLRTRCAAASSFRCLKCSEPVVAMQRHGGASTE >CAK8578654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643974106:643974585:-1 gene:gene-LATHSAT_LOCUS30646 transcript:rna-LATHSAT_LOCUS30646 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTLAVFIILILTGYSSSRDLRPSDHGLLFQTLSPAGTHSSPEMRSFFNSDNSSPTVSSSSSSDFAMPNAITTGDHSTPPSSWRRDSGEDGVGNALKAASLACGIVGAFLILASSLIYVFKYRKRVRNEALRGNNGEFENDDGNNKMQLVLRDPSSS >CAK8567467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516317085:516318023:-1 gene:gene-LATHSAT_LOCUS20517 transcript:rna-LATHSAT_LOCUS20517-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCYARHGLGEDALQLFVLTLRKNIRPTEYTVSCLLSSVSIFLPVELGNQIHALVPKLGFESDAVVANALVDMYAKFGFIDDALNIFNEIKAKDLVSWNTIMMGLSYNGRVYVTLDLFKELIREGMQPDRITFTAVLLACNYGSLVDEGIRIFSLMEMEFGVKLEEEHYSYVVEILCRAGKIKEAIDIVEKMSCETTPDIWRSIISACARYGDLQATEIVATKIMERSPLTSLPYLVLAQVYQMSGRWESTVRVRKAMESRGSKELIGYSLVGIKNHVYTFGSNQLQHYGGKDIYLLLSLLVWEMETDCNV >CAK8567468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516318182:516318974:-1 gene:gene-LATHSAT_LOCUS20517 transcript:rna-LATHSAT_LOCUS20517-3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFFKQTQCLPSSLSHCSILLDHSLSYRSSNFLKTLHAHFLKLGLNSYTYLGNRCIYLYTEFGHINDALEVFEDISYKSSTSWNICLKGLFKSGQFGKACHMFDRMPVRDVVSWNTMISGCGSCGFSSHALELFVEMQEIGVRPSVFTFSILTSVVSSPCHAKQVHGRMIRSWIDLSNVVIGNSLVTMYGKFGLVDYSFGVIFSMKQLDVISWNSLIWACHRAGRQELALEQFCCMRAAELLHDQFTCSTLMSVCSSLRDLEK >CAK8567466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516317085:516318974:-1 gene:gene-LATHSAT_LOCUS20517 transcript:rna-LATHSAT_LOCUS20517 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFFKQTQCLPSSLSHCSILLDHSLSYRSSNFLKTLHAHFLKLGLNSYTYLGNRCIYLYTEFGHINDALEVFEDISYKSSTSWNICLKGLFKSGQFGKACHMFDRMPVRDVVSWNTMISGCGSCGFSSHALELFVEMQEIGVRPSVFTFSILTSVVSSPCHAKQVHGRMIRSWIDLSNVVIGNSLVTMYGKFGLVDYSFGVIFSMKQLDVISWNSLIWACHRAGRQELALEQFCCMRAAELLHDQFTCSTLMSVCSSLRDLEKGKQVFAFCFKVGFVYNSIVSGAAIDLFSKCNRLEDAVRYFKEQEQWDSALCNSMISCYARHGLGEDALQLFVLTLRKNIRPTEYTVSCLLSSVSIFLPVELGNQIHALVPKLGFESDAVVANALVDMYAKFGFIDDALNIFNEIKAKDLVSWNTIMMGLSYNGRVYVTLDLFKELIREGMQPDRITFTAVLLACNYGSLVDEGIRIFSLMEMEFGVKLEEEHYSYVVEILCRAGKIKEAIDIVEKMSCETTPDIWRSIISACARYGDLQATEIVATKIMERSPLTSLPYLVLAQVYQMSGRWESTVRVRKAMESRGSKELIGYSLVGIKNHVYTFGSNQLQHYGGKDIYLLLSLLVWEMETDCNV >CAK8572670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554503436:554504437:1 gene:gene-LATHSAT_LOCUS25204 transcript:rna-LATHSAT_LOCUS25204 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIHAKLMITTLVLLILSVSTLASSSSLKFGFYKKTCPSAEAIVTRAVNKAVSSNPGIAAGLIRMHFHDCFVRGCDASVLLESTPGNPSERDHPANNPSLRGFEVINEAKAQIEAACPKTVSCADILAFAARDSTRKVSGGMDYSVPSGRRDGRISIMDEVTQNLPPPTFKAEELIKRFSIKGLSADEMVTLSGAHSIGVSHCSSFSNRLYSFNATFSQDPSMDPNFAMMLKSKCPPPQSQTRDPTVVFDGSTPNDLDNMYYMKLKNKRGLLTSDQTLADSDLTKRMVLKNARHNAIWRVKFAKAMVHMGSIQVLTGSQGEIRERCSVVNIH >CAK8540235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546475225:546476367:-1 gene:gene-LATHSAT_LOCUS9261 transcript:rna-LATHSAT_LOCUS9261 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSTTTTIIATAKRQKHYHHHHHHKDHHLLIPGLPDHIAQLCLSSINPSLLFKVCHSWRRLIYSPSFPPFFSLYAILSPPKSHHSHSIQFHNFDPISNTWQILPPPPQNTSLQNILLRHPSFLSRNLSVQSISVSDNLILLAATTHNLSPALSHPLIFNPYKGWSSGPALANPRRWCVLGTSNGAVYVASGIGSHFSIDVAKSMETWDPLYTKNWEKKTEMKDGRFSREAIDAVGWRGKLCMVNVKGDAAKEGVVYDVKEDTWKEMPEGMLLGFRGPVAAMEEEVMYVVDEGKGILSRYNPEDDVWEEIFESQRLKRAEQMVAKRGRICVVSTAGISVIDIVADPPRITVVELPDGFEAVAVHVLPRMPVTDFAVQV >CAK8539891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530447380:530451619:1 gene:gene-LATHSAT_LOCUS8948 transcript:rna-LATHSAT_LOCUS8948 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTVNVIWSLVSWVVKMAGLMLYTVEIEPGTVMRFWVPSNAISKSNKPISKPTKPVVVLLHGFCGDGLATWQFQINPLAKSYAVYVPDLIFFGGSVSDKPDRSLAFQAECVAAGLKKLGVEKCVVVGFSYGGMVAFKMAEMYDELVQAVVVTGSVLAISERMISKAVEDAGFSSCSEMLMPCSVQGVRRLLSVGFYKNIPFPNRLLSDFIKVMFSNRKERNELLEAIVISYKDINIPKLPQQIFLLWGEKDKLFKPEIAQKMKDKLGNKVTFQEIKEAGHLAHLERPSIYNRSLKQFLSSVMLGERK >CAK8561009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72135207:72136652:1 gene:gene-LATHSAT_LOCUS14637 transcript:rna-LATHSAT_LOCUS14637-2 gene_biotype:protein_coding transcript_biotype:protein_coding METMFTDSQHVLVKQEENEPFSSHYNGYSSYVLASNQMIYQTQKNQGLLNDFDASFLASENYDTETNIDSSTRNCSNLIRHKSSPAEFFSNYSLHNGSMNFSSTQSSCSINMPLIVQNDEHEARKNIGKCYMPSFTTDCWDSSTFNPPKTSTINGEIMFSTSNALETQELDFGYQKLGLSHHLSLPSSSAKMTSMDKYFHIQGSVPFKIRAKRGFATHPRSIAERERRIRISARIKKLQDLFPNSNKQSSTADMLDVAVDYIKDLRKQLKMLSDTKAKCSCASN >CAK8561008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72135021:72136652:1 gene:gene-LATHSAT_LOCUS14637 transcript:rna-LATHSAT_LOCUS14637 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHKGNNRTSYYINTSQQEYKKLNFLPQIESSLVDTTIGFMNQETFRNDNQQYYCPSTSSEMETMFTDSQHVLVKQEENEPFSSHYNGYSSYVLASNQMIYQTQKNQGLLNDFDASFLASENYDTETNIDSSTRNCSNLIRHKSSPAEFFSNYSLHNGSMNFSSTQSSCSINMPLIVQNDEHEARKNIGKCYMPSFTTDCWDSSTFNPPKTSTINGEIMFSTSNALETQELDFGYQKLGLSHHLSLPSSSAKMTSMDKYFHIQGSVPFKIRAKRGFATHPRSIAERERRIRISARIKKLQDLFPNSNKQSSTADMLDVAVDYIKDLRKQLKMLSDTKAKCSCASN >CAK8543315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599800329:599800726:-1 gene:gene-LATHSAT_LOCUS12080 transcript:rna-LATHSAT_LOCUS12080 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPILIKDLVKGKQVWEMLIRVADLWIVKEKSGLHHFKMVIQDSQGDQIHVTTWNREFKDWSEQLTEHDTYCLYNGESMPNDDTFKVCPNKLKLVFNGGTTVSKLWL >CAK8532457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266804980:266806164:1 gene:gene-LATHSAT_LOCUS2161 transcript:rna-LATHSAT_LOCUS2161 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMSACKSLTSPSTVPVVTGLFTARGGFNKNSRSQCSFLSGTNKVKFPRQISRPCRQRRTPHSGALRVTCGVEKILIANRGEIVVRVIRTAHELGIPCVVVYSTIDKDALHVKLADEAVCIGEAPSSQSYLLMSNVLAAATSRNCTMLHPGYGFLAENAGFVDMCIAHGLNFIGPKSDSIRVMGDKATARETMKKANVPTVPRSDGLLQSTEEAIRLAHKIGFPVMIKATAGGGGRGMRLANVPEEFVKLLQQAKSEAAAAFGNYGVYLEKYVQNPRHIEFQVLTDKYNNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVKAAESIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSIDLIEEQIRVALGERLR >CAK8576911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523632864:523636318:1 gene:gene-LATHSAT_LOCUS29067 transcript:rna-LATHSAT_LOCUS29067 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRNSSISPLRNHDYDVFVSFRGEDTRNNFTDFLFHALQTQGIFAFRDSTNLPKGESIAPELLHAIQHSQIFVVVFSMNYASSTWCLQELDQICECVQVSGKHVLPVFYDVDPSEVRHQKGSYGEAFSKHEHRLQHDSQMVSRWREALTKVANLSGWDLRHKPQSAAIKEIVQKIINILDCKSSCVSNDLVGIYSPIQELEKLLLVDSVDDVRAIGICGMGGIGKTTLATVLYDRISQQFAACCFIDDVSKIYRLHDGPLGVQKQILDQTVGQEHHQICNHYNATNLIRRRLCRQRTLLILDNVDHIAQLEKIVVRREWLGAGSRIIIISRDIHILKQYGVDAVYKVPLLNQTDSSQLFSRKAFKLDHIMSSYDKLAFEILSYANGLPLAIKVFGSFLFGRDISEWKSALDSLRENPDKDVMDVLRLSFDGLRETEKEIFLDIACFFNRNYEKYVKNVLNCCGFHADIGLRVLIDKSLINIEDEWIVMHDLLEELGKKIVQENSCKEPRKWTRLWLEEQLYDVISNNMEKKVKAIFFDGDNYEDTDVAIFKDFSNLRLLIFRYVNVSGSLNYLSNELRYIEWSVYPFMYLPSSFQPNQLVELILKNSSIKQLWEGKKYLPNLKILDLSDSANLIKMPDFEEFPNLERLNLKGCIKLVQLDPSIGLLRKIVYLNLKNCKSLVSIPNNIFGLSSVIDLKMSGCSGSCLKEFNNSRHLDISETASHSHSLLPTPTTKTMVIPFFPSLYCLLEVDISYCGLSQVPEAIGCLRCLEILDLGGNNFVTLPSLRELSKLVYLNLENCKCLESLPELPFPTTIQHDLLKNKYSRRTGLFIFNCPKISDKERCSRMTILWMTQLIQVNKEYHALSDVGIVIPGSEIPSWFNNQSVGDSIPVSPFMQDKGNNVVGILFCTVFSLDLYPPTVFPLDPYPPTIMTSSEWVQITLHAPFRTFEYLPETHGELFTVKLNHIWLIYFPWEPSYNDPDKGFLVYGSLHVGVKKCGYRWVYEQDLQEFNSTTISASFGKLKMRHNHIHGYTHS >CAK8567626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530592052:530592596:1 gene:gene-LATHSAT_LOCUS20663 transcript:rna-LATHSAT_LOCUS20663 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSKPVGYPGGPYDTSLLVKYEHHVARHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPRDMEGWISRSGLASLQRTNLTKIDTNLVSAFAERWHLETSSFHMSFGEMTYLACFTCPSGEFSGALKISVKRLLLSGMLII >CAK8563364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597458915:597460258:1 gene:gene-LATHSAT_LOCUS16785 transcript:rna-LATHSAT_LOCUS16785 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKVHTIAVLSVISLIVVIIVCRVSLKLSKAFFLICGASIAVILAVFSCILIRYRYNKRRKVLELQLKSEGRELRIEYSFLRKVAGIPTKFRYKELEEATDNFQAIIGRGSSASVFKGILNDGTSVAVKRIQGEERGEREFRSEVSAIASVQHVNLVRLFGYCNSPTPPRYLVYEFIPNGSLDCWIFPVKETRSRRCGCLPWNLRYNVAIDVAKALSYLHHDCRSRILHLDVKPENILLDEDYKALVADFGLAKLVGKDESHVMTTIRGTRGYLAPEWMLERGISEKTDIYSYGMVLLELIGGRRNVSRVEDPRDKSKKKWQFFPKIVNEKLREGKLMEIVDQRLLESGNFDENEVKRLVFIALWCIQEKPRLRPSMVEVVDMLEGRVRVEEPPGTRMILVDLLSVDEDPGDDNNNLARLLTSVSAHVDCNTSTYSLGSTILSGR >CAK8531991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:187311751:187315816:-1 gene:gene-LATHSAT_LOCUS1737 transcript:rna-LATHSAT_LOCUS1737 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGGGLRLSKRFDDKGGGEVDYKTKSGTAWSHNFLNQKPWHPLSYPNQRRKWIAEQTHAHRERRTEEVAREYAQEQEFYRQTALISKKDKEKVELMQAVSFMYVRPPGYNPESAKAAEMNDEKKKEDMVNKEPTQTNPDGPSSSLPSHVEKKKPRPKDVFGRALPTEEEFEVLKNAPRLDTGVAARAKPFGVEIRNVKCLRCGSYGHQSGDRECPLKEAIMPNEESRLKRDDPLNAILAHTDLSEPLKWELKQKPGISPPRGGFKPDDPNQQIVAEDEDIFDEYGGFLNMGDIPDLLLTNLSKKPKKSKKKKHKKLKLVHSDSEASSDDGESRSKKKKVKENKKKRDYKESSSSGSSASEKDHGKNRHKHLDDSDSDRNDRSRRTKRREHSLSPGGYDCSRPGRRKHGKRRHSFSSESGSDGYDGNYKNRDKHSYSSEDSDSERDDRGRKNIQKHQRKHSRKRHSYSDEKDSGPADYHVKHKGSDEHSYKPDDHNHQRQPEDKRRNHKYSSTIHSDSQRHHVSFSHDRYRGGSQKSRIDHSCSSNGSGVEKSRAEPYSSHESDVEKDGRSTRIKEKHGSQKSRANHSYSSNDSDVEKDGRSRRVKEKHRSQKSRAEHSYSSDVEKDGRSRKMKEKHRSQKSRAGHSYSSDDFDVEKDGRSRRIKEKHHGTHEGSEHPEHDMSKQSSKKHSYHRSEKSSDYYEKLHNRRRSSHKH >CAK8536992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51574226:51575598:-1 gene:gene-LATHSAT_LOCUS6313 transcript:rna-LATHSAT_LOCUS6313 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNTFNDIPPFISNRIFHFTLYSLLPISLICLYFNLFSLTHSPTQLLHSTSSIEKNLGYDYEKSCDYSNGDWLSDMREPLYNVTTCDTIKESEKCTANGRPDLGYLYWRWKPTHCNLPRFEPNTFLKLIKNKHIAFVGDSLARNQLESLLCMLSTTSTSYLVYQNGEDKKFRRWHFPSHNVNFSLYWSPFLVHGVERSNEGQYYNTMFLDLVNERWARDIDQMDLIVISIGHWFLLPSIYYESGVILGSLNCPEFNHTQIDFYVPLRKALRTSLNSIIERKVSKGNGIDVIVKTFSPDHFEGDWNKGGGCSKTKPYRKEEKVVEGMEGEIRRIEIEEVESAKAKAKFFGGIRFEVLDVTKLALLRPDGHPGPYMNPFPFANGVQEYVQNDCVHWCLPGPIDTWNEIFLEVMKKWG >CAK8578200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612159112:612159699:-1 gene:gene-LATHSAT_LOCUS30233 transcript:rna-LATHSAT_LOCUS30233 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDNFVGVDLNNVHYTKSSDAEYSCDEDVNDVVSSGHQTSNDEDDSDNDDDGESVGAECSNIQVVVGDKLVSIDSITSDEIRAMEFGTMSEAYDFYYWYGKCTGFAIRKSDVRRRGPEVGEIVMRQYVCIKHGLRDKKHLARIDKKRDHKCLTRNKCAVRLRVHYKAKKDRYAVSVFEEGHNHELTPLGLCAL >CAK8536154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912228283:912229194:1 gene:gene-LATHSAT_LOCUS5550 transcript:rna-LATHSAT_LOCUS5550 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFAMVVTEISQCVCEMVNGAYKNSGNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAKEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8539664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520372213:520383094:1 gene:gene-LATHSAT_LOCUS8742 transcript:rna-LATHSAT_LOCUS8742 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSHRTELAKLCSSKDWSKAIRILDSLVSQSGAVQDICNRAFCYSQLELHKHVIKDCDRAIQLNSLHLQAYILKGHALSALGRKADALVVWEQGFELAQHQSADLKQLIELEELLVKAKQGNNASYETNGPSMAQAKSDSSCNRNLTETCESQAKLCGNTSDKSEILLKSTDKFDAKNELNSECRESNKCDSQVNGSPDVIDNLRYNSESCNDSSDNSESCDKVFTNSGESSDSNDAPEILKKPSFKFTFPSEKSSEARKNKKFSAARVSKTKSISVDFRLSRGIAEVNEGKYAHAISIFDQILKEDSAYPEALIGRGTAFAFKRELHSAIDDFTKAIQFNPSAGEAWKRRGQARAALGEFVEAIEDLTKALEFESNTADILHERGIVNFKFKEFNSAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEEAHLKSLQLDRSFLEAWGHLAQFYQDLSNPTKAFECLTEVLQIDGRFARAYHLRGLLFHAMGEHRKAIKDLTMGLNVDGANIESLYLRAACYHAVGQYKEAVKDYDAALDLELDSMDKFVLQCLAFYQKEIALYTASKFNSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELTLTKQKSSLIHAADSIGKKIQYDCPGFLPNRRQHRMAGFAAIEVAQKVSKIWRILQAEWKSSTKTNSNSKHGKRARRRERFNMPSQNRGGAGCSTSSALETSSSGIIDDKLSSRHMSWKDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFPNYERTLEIAKTVMKERSYVHGKTDQIIHLSKDGKLEEIMHAKSCSDLYNVVGEDFWSASWCNSTAFEGKQLEGTRITLVKMGQHGFDFAIRTPCTPARWEDYDAEMAMAWEALCNAYCGENYGSTDFDVLENVRDAILKMTYYWYNFMPLSRGTAAVGFVVMLGLLLAANMEFTGSIPQGFQADWEAILNLDPNSFVDSVKSWLYPSLKVTTSWKDYHDVASTFATTGSVVTALSTYDE >CAK8565070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33293956:33295171:-1 gene:gene-LATHSAT_LOCUS18310 transcript:rna-LATHSAT_LOCUS18310 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQMVLESNGASIWKMVVAMSKGDDGETNGVHIENGFSKRFLNGFDEHEDSESDEDSDSPEVLKQSILEGPRVAIGFDDGCVRIYTISDSNEFIYLKSLTRVSGRVLSVAWSADAKFIFSGSSDGIIRIWNAKSGLEAHRIQARLGGDSGHELCIWSLLFLRSGTLVSGDNSGSVQFWDWQKGAPCQEPITRYKGDVHALAATPNHNMVFSVGSDGKGM >CAK8536087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906171645:906172718:-1 gene:gene-LATHSAT_LOCUS5488 transcript:rna-LATHSAT_LOCUS5488 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTNSIFHGPATFHRQSQPSKFLLSKPLLFPRLPRVVNFEKLKFNSLFFVKNSFRRFDVKSSVNSSSEVLESIDVGVPHSSLQKHSVKIPVGDRHILVETGHIGRQASGSVTVTDGVTILYTTVCLNDTPSEPSDFFPLSMNYQEHFSAAGRTSGGFFKREGKTKDHEVLICRLIDRPLRPTMPKGFYHETQISSWVLSYDGSHAPDSLAITAAGIALALSEVPMSKAVAGVRVGLIGDKYIVNPTTEEMENSELDLMLAGTDSAILMIEGYSNFLPEEKLLKAVEVGQDAVRAICNEVELLVKKCGKPKMIDAIKLPPPELYKHVEVVSRLKIRVQYVIIATSPSFGCTMAEFC >CAK8537270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:189598352:189598948:-1 gene:gene-LATHSAT_LOCUS6570 transcript:rna-LATHSAT_LOCUS6570 gene_biotype:protein_coding transcript_biotype:protein_coding MYVERKKGIDDEKSLVEKRLKEFEFAMESILQHLEESNNVESGEDFVHVLRFDGNFDWKKIHSLILRELRRLEEGLPIYPIGRKFFSKYIINK >CAK8563689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625078080:625078436:1 gene:gene-LATHSAT_LOCUS17072 transcript:rna-LATHSAT_LOCUS17072 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNSFFLISAILAVHVAFSSSITLDFTDNHLGNFFLPMNTGCRGSIAECSLEDTEFLMDSESNRRILAGTKYISYGALRRNTVPCSRRGASYYNCRPGAQANPYHRGCSAITRCRR >CAK8562116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:442430018:442431253:1 gene:gene-LATHSAT_LOCUS15642 transcript:rna-LATHSAT_LOCUS15642 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISLHQHFSNLFFIFLLILSFKGFKISATTFTFINKCDHTVWPGILGKPDLGTTGFELKRGNTQTFQAPTGWSGRLWARTGCKFDDSGHGACSTGDCGSGEINCNGNGATPPATLAEFTLGTGSADYYDVSLVDGYNLPMIVETSGGSGSCEATGCGEDLNRRCPSELRVDGGDACNSACGAFGKPEYCCSGAFGSPSSCSPSVYSEMFKAACPKSYSYAFDDATSTFTCTAADDYTITFCPSSSPSLKSLMESGPRSSVEQAAVATKSWITNLAIGDATRISQPFSTSISIFFVAITFIISYL >CAK8566313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:405516523:405517101:1 gene:gene-LATHSAT_LOCUS19450 transcript:rna-LATHSAT_LOCUS19450 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGTLEYLSDLLSSTTKKKKKKQTQTVSLKIRMDCDGCVRKVKHVLSGVKGVKKVDVDMKQQKVTVSGYVEPKKVLKAAQSTKKKVELWPYVPYTMVAHPYVSQAYDKKAPPNMVRKVGDTSNTKETTFDDSYVEMFSDENPNACSIM >CAK8571752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:467415647:467421572:1 gene:gene-LATHSAT_LOCUS24386 transcript:rna-LATHSAT_LOCUS24386 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQDRILLTTLGVKSANPEDIERRVLEKASNGTVNVTEAEGNTEENQCSTALPETLDPLTTAKAELHQKLRAIEFEIGAVSSTIQQPKDVDKDGEGGDVDEENLEEGSGEGNGSELQRALAADRLRSLEKTKAQLEKELSRFSKDSDPKSIERKKVIFSLVKEDRRPKKKVKDDRKVSKRPVKRFKTVLFDDDADFDAALDAASTGFVETERDELVRKGIFTPFHKLKGFERRIQQPEASTSHNAAEQENGNNFVLSSVERAARLFSQAARARPTSKLLEPGELPKLDAPTVPFRRLKKPLQLPKPPDSEGDLNTDSKKKRRRPLPGRKWTKRVSSEDRQPEESENANGGLDTSSCESLEGHDVELSEHESSYVTLEGGLKIPENIFEALFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSSMYKPSIIVCPVTLLRQWKREAKKWYPKFHVEIVHDSAHDLASEKKRAESDGTDSENNSSSDNDYEKSVPSRNPRKWQTLINRIMRSESGLLITTYEQLRILGDQLLDFEWGYAVLDEGHKIKNPNAEVTLACKQLQTVHRIIMSGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPIAVGGYSNASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQVSAYRAFLASTEVEEILDGGRNSLYGIDVMRKICNHPDLLEREHAFSDPDYGNPERSGKMKVVAQVLNVWKEQGHRVLLFTQTQQMLDIFEKYLTTSGHIYRRMDGLTPVKHRMALMDEFNASSDIFIFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQARERAWRIGQKRDVTIYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMKDLFVLNVNEETGSTETSNIFGQIAEDVNIIGTHQDSQDNESSHTAELGSEDAAVGNDGKSHGGSSRGKGKEKADKSNGVGEEANILKSLFDANGIHSAMNHDLIMDAHDEEKMRLDEQASQVAQRAAEALRKSRMIRSNESVSVPTWTGRSGAAGAPSSVRRKFGSTVNPQLLNNSKASNESPSSGSNKFNGYAAGASSGKALSSAEILSKIRGNQEKAASVGLEHQFGVSSTSTNQSRDVRTPRAPENPSGFQPEVMIRKICTFFQQKGGSCSSSSIVQYFKDRIPSKDLALFKNMLKEIATLQKGSNGSYWVLRPDYQE >CAK8544482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688075344:688076375:-1 gene:gene-LATHSAT_LOCUS13158 transcript:rna-LATHSAT_LOCUS13158 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIIYVLILLMNLLTSELRVESAIWQQAHATFYGGSDASGTMGGACGYGNLNIDGYGIKTAALSTALFNDGKSCGGCYQIVCDARKVPRWCLRGTSITITATNFCPPNFAQPNDNGGWCNPPRPHFDMSQPAFETIAKYRAGIVPILYRRVGCKRSGNIRFTINGRDYFELVLISNIGGGGEISKVWIKGSKRNKWESMSMNWGANWQSLSYLNGQSLSFRVQLKNGKTRTALNVAPSSWSFGQSFKSNVQF >CAK8536958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45376466:45376850:-1 gene:gene-LATHSAT_LOCUS6281 transcript:rna-LATHSAT_LOCUS6281 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIIFVFDYGLSFAIVTATVSQVFLFHGKEILLMWRKTRVALKEQAGDLHTRIMKKNCAQVPDWWFMTNLVLMIILALVCCEGFDKKLQLP >CAK8544461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686382656:686384463:1 gene:gene-LATHSAT_LOCUS13137 transcript:rna-LATHSAT_LOCUS13137 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIVISVVSLILVVGVAIGAVVIVHKNGQDPQIKAQQRSVQVICQNAEDKNLCQDTLKSVNGVESADPKAYIAAVVKATTDSVIKAFNMSDRLSTEYGSKDSGIKMALDDCKDLLEFAMDSLEMSTNLIRDNNINGVHSQTPDMRNWLSAVISYQQSCMEGFDDQKEGEKKIKDQFHVESLDGLQKITGVALDIVTGLSNILEEFNLKLDLKPASRRLLAEDVDDEGFPTWFSGSDRKLLAKMQGKGWRANIKPNVIVAKDGSGQFKTIKDAIDAYPKGNKGRHIIYVKAGVYDEYITVPKTAVNILMYGDGPQRTIVTGKKCFANGVKTMQTATFANTAPGFIAKAMAFENTAGPDGHQAVALRNQGDMSAFVGCHILGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSPTLIQHSVIIARKPNMNQFNTVTADGTSEKSMATGIVIQDCQIVPEAQLFPVRFQIKSYLGRPWKAYSRTVVMESTIGDFLHPEGWIPWAGEHFENTCYYAEYANTGPGADVSKRIKWKGYHVISKAEANQFTAAQFLKAGPASGTDWIKALRVPHYLGLKA >CAK8531341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:102346207:102347009:-1 gene:gene-LATHSAT_LOCUS1141 transcript:rna-LATHSAT_LOCUS1141 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAKATYYQGWIQKMNELKKVDVKAWTWLIAIPTKCWFARGKPILTMCEWIRKYLMNRHVVAVLSYRKQNHDDFVDECYTREKYAIFYGFSVTLINGQEMWSEVQTNELLPPVYKNGPGRSRKVRIKECGKDGSRRRRPGVAYKCSKCDKFGHNALSCKSLT >CAK8532106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:204556665:204557507:-1 gene:gene-LATHSAT_LOCUS1842 transcript:rna-LATHSAT_LOCUS1842 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVFTRQKQHTSTRPFKEYYTEWFNTLKNNHLPLLRRSISGDSLTLLSTHVELLHQHFQSYYHALDAAATTDPSQILNQDWRNSLEKPLLWISDIHPFVFTNLARSFLDDEEIESDKNMSVSMSSNRPWQISMAWRNPSETLIMRMEQIECGLKSIVPTLNERLARAEGGFIDCVVGDWFSCKDRSDNKGKIILGNDVKAYMEEFVSVFLYANRLRRSVIVDIISAASVYQSALFLEGLSMFLIGFRDHDLVNSIEHSKSFSLDHGKDHKEFCGSRCH >CAK8561758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:375545866:375548123:1 gene:gene-LATHSAT_LOCUS15319 transcript:rna-LATHSAT_LOCUS15319 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMEDKSIFDLEKEILNKFRDFMTRFTKIDELGTAGSKLLSSFQQALEFIRKPPIDTNSKLINSLIKANETERLESYVNFECKKRKDVDQNATNLGSCKHGLLLQIRQVKVVLDELEDIQANVRNVMQSIHGKLSSLSDTDIHFKLNEQAIYDNLDENTAFCYPGRTDPTHLAALMVAVYGMVQQDYLMQERIVSALDLNVSSEELESYCLMWSLRPFINDELVHEAWKCIH >CAK8566216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:392053379:392053711:-1 gene:gene-LATHSAT_LOCUS19369 transcript:rna-LATHSAT_LOCUS19369 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVLAAHVTITNDLGDNLDLTIHCKSKDDNLGAHLLHHGQSYGFEFSNNFWGTTLFFCSFQRKDEFRWFDIYKESRDYGICTSCDWSIKKSGPCWHRFEMNPECSSWNN >CAK8575481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:133389708:133390133:-1 gene:gene-LATHSAT_LOCUS27740 transcript:rna-LATHSAT_LOCUS27740 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVVDDCLNDNTEEDVIRLVREVQQQANNTSKHRKRRTVIDRSREEGHHRLFNDYFSENPVYTEAQFRRRFRMRRHVFLRIVETLGNHDEYFQRRIDVVGRMGLSPLQKCTTALRILAYGSPADSVDDYV >CAK8560219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10806789:10810204:-1 gene:gene-LATHSAT_LOCUS13913 transcript:rna-LATHSAT_LOCUS13913 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLFVFYNYDHQRSEGELVQHIVEDVLAKLDSTVLSITEFPVGLESRVQEVNDFIENKLKKVCVIGIWGMGGSGKTTTAKAIYNQIHHKFVDRCFVENVREVCEKENRGIIHLQQQLLSDILSTKEKIHSIALGTTKIEKRFQAKKALIVLDDVTTFEQLKSLCGNPKLFCPGSVLIVTTRDVHLLNLFKVDYVCTMKEMNENESLELFCWHAFRKPNPIKDFHELSRNVVAYCGGLPVALEVLGSSLYERTKEEWISVLSKLKRIPNDQVQEKLRISYDGLKDDMEKDIFLDICCFFIGKDRGDVTEILNGCGLYAGIGITVLAEKSLVKIGKNNKLGMHDLIRDMGREIVRESSAKEPGKRSRLWFREDVHDVLTKNTGTETVEGLVLKVQRTNRVILTRDSFKGMRKLRLLELDHAVLTGGYMYLSKELRWVSWQGFTFNYLPDDFYQGNLVVIDVKYSSIKQVWKEAKLLDKLKILNVSYSRYLKSTPDFSKLPNLEKLIMKNCPCLSEVHPSIGDLKNILLINLKDCTDLVNLPRKIYQLKTLKTLILSGCSKIDKLEEDIVQMESLTALIAKGTSVKQVPYSLLRLKSIGYISLCGYEGLSLHVFPSLIWSWMSSTVNSLPRTSPLRGISLSLVSLDVKNNNMGYQSSMLASLSKLRSVWVQCQSEIQLSRELRKFVDDLYDVDELKTTSHGSQISNLPFRSLLIGMGSFQSVIDTLGQRISQGLTTNDSSNFFLPGDNYPSWLAYKGEGTSVLFRVPEDSDGSMKGIILCVVYSSPSENMVSECLASVLVINYTKCTIKIYKRDTIMSFNDEDWKGLTSNLEPGNNVEIFVAFGRGLIVKVTAAYLIYDHLVTTEVDPSINIEMEPPQEDQLQPPPNAKMELSSNVKMETSRKPNKYIFTRLAKRIRQCLCLN >CAK8577336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555974675:555978013:-1 gene:gene-LATHSAT_LOCUS29450 transcript:rna-LATHSAT_LOCUS29450 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVAETKPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKLGHEITISTDYSLKGDENTICMSYKKLAHDVKPGSVILCADGTISFTVLSCDKEQGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDIMVWGVPNKIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSARAALILVLTRGGTTAKLVAKYRPGTPILSVVVPELTTDTFDWSCSDESPARHSLIFRGLIPILSAASARASHAETTEDAIEFALQCAKTKGLCVNGDSVVVLHRVGTASIIKILTVK >CAK8530337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15023251:15023598:-1 gene:gene-LATHSAT_LOCUS208 transcript:rna-LATHSAT_LOCUS208 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFNLNLAFIIVAALLLTTTMAQSPASSPIKSRSKSPRKAISPSPTVVTQPPASSPANGGSPPKQSASPPAISPSSISGPPSEAPGPASTGAVLNRVSVAAGSALLIFVAALIM >CAK8575045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23870746:23890025:-1 gene:gene-LATHSAT_LOCUS27337 transcript:rna-LATHSAT_LOCUS27337 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPENRRHSSSDSSTSSDCSVRLEPDQGAGSVSTSKDVEESTGVVSNGVSVNNGSGSSSTSGIPSRGLPKVTTLPVDISHGDKLESSPSNFKLERSKTERQRHLRPEEAAQIFDDKCPVQEKLRLLNRIATVKDDGTVEFEVPIDVETEVLGARSNHVNNVIDDSLGTTDLDYIPPLNVVMLIVGTRGDVQPFVAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSDIPTQRNQMKEIINSLLPACKEPDIDSGVPFKAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETDIPHAYIWSPHLVPKPKGGYFKS >CAK8560579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26593913:26597385:1 gene:gene-LATHSAT_LOCUS14240 transcript:rna-LATHSAT_LOCUS14240 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTASSNIVSRTSHVNVPTVSCESKMGPTRLGSIRKANTHNGLRVLNSLDEILNRTPIKMKGVQSRKKGVQRKNVRPKGIVVCGMNLIFVGTEVAPWSKTGGLGDVLGGLPPALAANGHRVMTVTPRYDQYKDAWDTSVTIEVKVGDRTEKVRFFHCFKRGVDRVFVDHPIFLEKVWGKTGTKLYGPAAGDDYQDNQLRFSIFCQAALEAARVLNLKSNKYFSGPYGEDVIFVANDWHTALISCYMKSMYQSIGIFRNAKVVFCIHNIAYQGRFAFADYSLLNLPDQFKSSFDFLDGHAKPVVGRKINWMKAGIIESHQVLTVSPYYAQELVSGPDKGVELDNILRRVGVTGIVNGMDVQEWNPSTDKYISIKYDASTVLEGKALLKEELQAEVGLPVDRNIPLIAFIGRLEEQKGSDILVEAIPQFIKENVQIVALGTGKKEMEKQLQQLEISYPDKARGVAKFNVPLAHMMIAGADFILIPSRFEPCGLIQLQAMRYGTVPIVSSTGGLVDTVKEGFTGFQMGSFNVECDAVDPVDVDAIAKTVTKALGVYGTSAFAEMIKNGMAQDLSWKGPAKKWEEVLLNLGVPDSEPGIDGEEIAPQAKENVATP >CAK8543872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645761133:645761448:1 gene:gene-LATHSAT_LOCUS12597 transcript:rna-LATHSAT_LOCUS12597 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSEPVGYPGGPYDTSLLVNYEHHVARHIWFGEERGSKKELKVVGHGLKLTQRVPLQLPREMED >CAK8575156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41629405:41639347:1 gene:gene-LATHSAT_LOCUS27442 transcript:rna-LATHSAT_LOCUS27442 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCCMNGLCAASTSIRWRKGWILRSGEFADLCDNCGSAYEKSEFCDMFHAKDSGWRDCTLCGKRLHCGCVASRSQIEILDTSGVSCTTCASNLGLQPIASNEKPNESGTAKEKHVNAQQCISLADQLNVKDMQVGNYSENDGLRCWFKPHNVDMDGPSTEIKPAVLHSVGEFGNTSTSQFHLESNGSSRTAKVENCKADNMQDIYESLAQTNLSMTLATPLVNFNPFHNALVDERDQRKMSPPLLLASRSRHLLPRPPRPTLSPGLEGNAGMVSQIRIARPPAEGRGRNQLLPRYWPRITDQELQQISGDPNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSASLQETFLSNMPNGSQSSETSYSGVYENIPILSGYRGLLQSQKGCSETHLNALSKKWNSVGGDLDWHNVETPESRKRDALSLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPEVKPSVVMIEDHIFEEYEEPPVFGKKSIFVVRSNGINEQWAQCDSCLKWRKLPVDVLIPSMWTCMENFWDQSRCSCAAPNELNPRELDNLLRMNKEFKKQRLAAASQRPALEREGLDALANAAVLGDDTSDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPSCTCNVCLTVKRRFKTLMMRKKKRQSEREAEIAQKNQLSWRTNDESEVDSTTRHLTPVDGSENDTRMPNELASRSQDQVAEASKGQLDLNSQPDREDMQAGPNTLSMMTLLEEANLPLETYLKQNGLSSLISEQQTNSASNVQPQTTTESEGRQNEDCCTASAVHEQVGSPEENSEQDRDQNKSVS >CAK8568149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576922883:576924045:-1 gene:gene-LATHSAT_LOCUS21140 transcript:rna-LATHSAT_LOCUS21140 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDKDNDINDTLVQSLLSNEVSEEEERFGKKLWIETKKLWLIVGPAIFSRIASFTMNVVSQAFAGHLGEVELASITIANTVIVGFNFGLLLGMASALETLCGQAFGAKRYSMLGIYLQRCWIVLFVCCFLLLPFYVFATPFLKFIGQPDDVAESSGTVAIWLIPLHFSFAFQFPLQRFLQCQLKTGVIAWVSLLGLVVNVVTSWLLVYVWDFGLIGAAIALDVSWWVLVFGMFGYTVCGGCPLTWNGFTMEAFYGLWDFFKLSFASGVMLCLENWYYRILLLMTGQLENATVAVDALSVCMTINGWEIMIPLAFFAGTGYVFSF >CAK8578632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642695221:642700558:1 gene:gene-LATHSAT_LOCUS30625 transcript:rna-LATHSAT_LOCUS30625 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNNYIPPLTPRSRLERLLRERELRKTSRYTQSTEDGRDGYKESELSDSFLSENETEEVAETVAADDRPRKQRLLVVANRLPVSAVREGVDSYHLEISVGGLVSALLGVKEFDTRWIGWAGVNVPDEVGQKALIKALAEMRCIPVFLDEDIVNEYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQTQFDAYKKANQMFADVVNKHYQDGDVVWCHDYHLMFLPRCLKEYNDKMKVGWFLHTPFPSSEIHRTLPSRSYLLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALALPEVQNHLKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENAHWRDKVVLLQIAVPTRTDVSEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFKHVTTHTSQEWAATFVSELNDTIVEAQLRKRQVPPLLPNKVAVDCYSKSNNRLIILGFNATLTEPVDTLGGGGQIKEMELKVHPDLKEPLKKLSEDPKTTIIVLSGSGRAVLDKNFGEYNMWLAAENGMFLRLTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSIIWSYKYADIEFGRLQARDLLQHLWTGPISNASLDVVQGGRSVEVRAVGVSKGAAIDRILGEIVHSKGMKEPIDYVLCIGHFLAKDEDVYKFFEPELPSESSPMAKAMLSNSYRPSSLPRASSSKGGAKATYYKKQRSMSNIERRDIERTSSEPWRPTTRDRTSLHEGSSVLDLKGDNYFSCAVARKRSSARYLLKTSHDIVNLLGDLADHS >CAK8544921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712215054:712223085:-1 gene:gene-LATHSAT_LOCUS13563 transcript:rna-LATHSAT_LOCUS13563 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGGRPNAVENRGGVAGEKQVPRLKNQIQNVIQEAENLRFYSRRRKIADLSNGSDSALNGNSMKKKKKPIDQENEGDCEKRLIDVYKVNKPKNGVKDTKQRGLSAKTKEGGSLMCHQCQRNDKTGVVFCSSCNRKRYCYECIKNWYPGKTHKEFENACPFCWGNCNCKACLREVPPRMDREVDARVKLQRLLYLLSKALPVLRHVHKEQSLELETETKIRGKQVQEIDITRSKLNESERLYCDNCSTSIHGFYRSCPNTSCSYDLCLVCCQELREGSQPGGMEAGTSHENFEKTFHNRCSTENQSKTHRRRYDWESELAPTSLPSQSDMLSPFPEWKANSDGNIPCPPKQRGGCSSALLELRRIYKANWVAKLLNNAEDLTRNYAPLDVDITEKCSLCQLNLVEGKINPEVRRAAFRDDNKDNFLYSPNALGISDDEIEHFQWHWMRGEPVVVRNVLDKTSGLSWEPMVMWRALRETGSKVKFKEETQSVKAVDCLDWCGVEINIHQFFQGYLKGRMHKNKWPEMLKLKDWPSSTSFEERLPRHGAEFLAALPYMDYTDPKSGLLNFASKLPNGSLKPDLGPKTYIAYGFSEELGRGDSVTKLHCDVSDAVNVLTHTNKVNIENWQRESINKLKKKYDKEDDLELYTEAEADDPKIESKEGQGRDSLEIDNGAEEAVLGGAVWDIFRREDVPKLIEYVRKHQKEFRHIDNELVDSVIHPIHDQTIFLNARHRKQLKREFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCMKVALDFVSPENVGECLRLTEEFRLLPKYHRAKEDKLEVKKMSLYAVSNAVRQVKELMMVANK >CAK8568658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629341068:629346344:-1 gene:gene-LATHSAT_LOCUS21597 transcript:rna-LATHSAT_LOCUS21597 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAKKKNPLVFMDVSIDGDPIERMGFELFYDVAPKTAENFRALCTGERGIGPNTGKSLHYKGSFFHRAIKGSIVKGGDFVNRNGTGGESIYGSNFPDESPRLKHDAPGLLTMAIVDRDTLGSHFIITLKADHHLDRKHVVFGKLVEGVQVLRRIADVGDDEGHPTVTVKIIYCGEYNEDGKKVNKSKAGKDGSCEGNNHETRRKGKHKRSAKDRRKKRRYSSSESESSSDSDTESSETDSDSDSDTSSSSDTSSSNDDRRKKRKRSKKDKYKREKKRDKRRDKRRKRLDKRSKRRSKRESGSESDSESESSSDSESLDTQQKGLKQKDRSQKKAEVTSSPVVEKDVPTVHHKKEEAGMPEGKPKIIMENGERHTNGTGAVYRSDRSEEMQPDVMDDHLGKYRSRSISPKRPTSRSMSISPGRSRSKSRSITPKRMSKSPCVSRSPPRPSRRSLSRSPVRSIDRSPDRSIGRSPRRSIINRSPVRSRKGRNISRSPVIARPLKSVSKSPVRSRRIKSSPRASSRKTISRSPVRVSRKSISRSPIRLPSRSVSRSPVRVSRKSVSRSPIRSPARSLSRSPVRVSRKSVSRSPVRSRVRSLSRSSGRVPLKRSISRSPVRAPSRSNRRSYSRSPSPVRRRTPRGGNLSRSASPDASPKRIRRGRGFSERYSYARRYRTPSRSPVRSNRYNGRIDRDRYSSYKRYSPRRFRSPPPRGRTPPRYRRRSRTPSVSPSPRHRARRYSRSRSPILTRSPVRSTSPDRSRPSRVERHSSFSRSRSRSLPKSRSSVESPSPQKVSRDRRSKSSSKSPDGKKGLVSYDDGSPDSG >CAK8568197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581153514:581154001:1 gene:gene-LATHSAT_LOCUS21182 transcript:rna-LATHSAT_LOCUS21182 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANNNLNEINIVAIKEGPRLSNEHMRMLEMPVTEAKFTQALNSIGDLKAPGVDGYGAKFFKETWSIVKEDVVKAIMEFFNQNKMYPTINATLVSLIPNGNSGKTIKDFHPISGCTTIYKISVKILVRPLRSRRASW >CAK8534339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719053147:719054717:1 gene:gene-LATHSAT_LOCUS3890 transcript:rna-LATHSAT_LOCUS3890 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSIAIIFSFVYFVADYVAAQAGVLDISKFGGKPDADITQAFTSAWNEACASTTAAKIVIPTGTYKMGLLEVKGPCKAPVEVQVDGTIQAPVNNADLKGAEQWIRFDGIDFLTVSGKGVFDGQGAAAWKDAAIAWKDKKNGQGSNLRAMNLYFFNCKNSLVTGITSKDSKYFHFMVLGCTNITFDGVTIIAPDESPNTDGIHIGRSNGVKIINTNIGTGDDCVSLGDGSEQVTVQNVNCGPGHGISVGSLGKYDNEENVAGFIVKNCTLTGTQNGVRIKTWPDSPGKITVTDMHFEDIIMNNVMNPIIIDQEYCPWNQCSKKNPSLIKLSKVTFKNIKGTSGIAEGAILICSSGVPCDGVELNNIDLTFNGAPTVAKCSNVKPLVTGIAPACGATTASPGSASASAPGSTPASASAPTSPGSTPASTSPATGSA >CAK8568113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574270408:574271350:1 gene:gene-LATHSAT_LOCUS21108 transcript:rna-LATHSAT_LOCUS21108 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIHGHLPLAVSSQTHTNTIPKLPNLTPKFIPNLFSLAIAITLTSPLPSHAIPSLNSSKPPPISLTTPFSQSKSLQLGLENGKIRPCPSTNPGCVSTNPKSSSFDFPWTIPENSVDNAIQRLREAILETQKNVKFQPVEDTPNGQYLQAEVDGKFDRDVLEFLVKGDVVAYRCMAAKVTYVYPFTTAFGDSKGQEARLKQINDQLGWYSPSFDSME >CAK8571995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500689970:500692934:-1 gene:gene-LATHSAT_LOCUS24604 transcript:rna-LATHSAT_LOCUS24604 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQKDEFDGGDGRDLVGLKAFLSLSFHSPTSPVKDHKVLSAPSIVKLPNCYVPQVSIPTVSLESVTEDFGDCSLTSDSSDSPEPEKDGDTDESKVYIRANLIPRVSVTEDFGDGGLTSDLSGPGGLEKDGNNDDESKVNIRGSSIPRPRAVISSPENDLLIGNRNKIGNGKLSAPKNSTVSPNRHSLAQCKVKSHDTTNIDSDARKCGEPKSKDKTDSVGKKKVHKGITKSDNLHRPWRF >CAK8542082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471261684:471261932:-1 gene:gene-LATHSAT_LOCUS10953 transcript:rna-LATHSAT_LOCUS10953 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSAGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFLQWS >CAK8572547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545841486:545842343:-1 gene:gene-LATHSAT_LOCUS25100 transcript:rna-LATHSAT_LOCUS25100 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSATSTIFKPGTLVEISINEVGFLGSWFTGKIVRCLADDKFVVEYEKIMADEEGRKGLQETVERFQLRPIPPEEISQDIQYGDEVEAYHNDGWWEGIFSGSLEDGRKVVCFRNSTQVYPDKEVRRHHEWVNGIWIPPFPQQAESEIKKRVRVKASELVTGDNVDFMFKPGTLVEVCSDEDGLKGVWFSATLVEAKAGWKFIVEYESLLDDDYSKLLREEISLFQIRPRPPKTDDVEQFKLFDEVDAYY >CAK8536684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7081137:7090022:-1 gene:gene-LATHSAT_LOCUS6021 transcript:rna-LATHSAT_LOCUS6021-3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGSGAYGQSYTGQSGYGQNLNANYSGPSVGGHDAAQHSAASRHSGILGNSQEADVGNYRAHNAVAQYGGQYSSVYGSAALSTAPQVPSLATKGAASSALDARGGYSLGVSDSPKFASGDYVPSSSHGYGHKSDQLYGDKSLDYSGLDRRQYGERPSGYVGRDLPSDQTGRYSTDAVGYNHQHQQSEIYDRIDQATLLRQEQLLKSQSLQAASLDGGARQTDYLTARAAASRHPTQDLMSYGGRIDSDPHASSMLNATSYSGQHAPSILGAAPRRNMDDLLYSQNASNPGYGVSLPPGRDYASGKAIHGNAMDLDYPGNLLPHVGSTDRKDDRASYLREFELREDERRRDRLRDRDRDRERDKERERLRERDREREKEREKERMLERREKERERERKRALEVRPERTPVRSSKDPRSTSKDPRGSSLTKEGKSSRRDSPHRALHRHRSPVKEKRREYVCKVYPSCLVNIERDYLSIDKRYPRLFISPEFSKAVVNWPKENLKLSIHTPVSFEHDFVEEESARGTSGKLLTGQPTSSEQGNTVWNAKVILMSGLNRGALEELSSDKIVDDRIPHICNFLRFAILKKDHSFMAVGGPWEPADGGDPSNDDNSLIRTALRYSKDISQLDLQKCQHWNRFLEIHYDRIGKDGFFSHKEITVLYVPDLSDCLPSLDEWRDQWLAHKKAVAERERQISLKKEKPRANKESNGKRKESSASGKSDVKKKEKDNSAVKDVSEKKAGLSNSKTAKDDASDIGGGKGAEKKPGETTPGQTTGSVKPVKKKIIKKIVKKVVNKTNDIAKRQTDKPDEKDVADKVATSDVPVEVVKSSVDPIGILTSGKDIVVEDNPVGKIDKEINSLEDKPLDKLDPAVNTGTDGSTVKTIKKKKIIKWVPKKKVVDEASKSVVNEGNVVAVQAQDDTNNTDKQTADADTIVTEGKKPVKVVPKKKLKATTSEKQEGSGDSNKNEVKSDKDDKKDGKGTGEKSESKTGEKSGSKIDKQKASEKDTPIVKGKLKVGDKPKDEKVTKEKDGKDEPKSKSSKEVKEKKKSDEPPRHPGFILKTKSTKDSKLRSLSLSLDSLLDYSDKDVEESTIELSLFAESFYEMLQFQMGSRILAFLQKLRGKFVTKRAQRKRQREEEDSAKKSPTKRQKGDDPSVKIETNTDTSNPTPADNEKAVAENDNSSNKEDDVKMENASDEEDPEEEDPEEYEEMESGSPQQDSSDDKNAELEADTKNESENVTSSEKAADETSKGEIKVKDEVKESKDDVQLSEEKESKVDKIKKDTPAVKEAVVDKELLKAFRFFDRNRVGYIRVEDMRIIIHNLGMFLSHRDVKELVQSALLESNTGRDDRILYIKLVRMSDI >CAK8536685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7081137:7090022:-1 gene:gene-LATHSAT_LOCUS6021 transcript:rna-LATHSAT_LOCUS6021-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGSGAYGQSYTGQSGYGQNLNANYSGPSVGGHDAAQHSAASRHSGILGNSQEADVGNYRAHNAVAQYGGQYSSVYGSAALSTAPQVPSLATKGAASSALDARGGYSLGVSDSPKFASGDYVPSSSHGYGHKSDQLYGDKSLDYSGLDRRQYGERPSGYVGRDLPSDQTGRYSTDAVGYNHQHQATLLRQEQLLKSQSLQAASLDGGARQTDYLTARAAASRHPTQDLMSYGGRIDSDPHASSMLNATSYSGQHAPSILGAAPRRNMDDLLYSQNASNPGYGVSLPPGRDYASGKAIHGNAMDLDYPGNLLPHVGSTDRKDDRASYLREFELREDERRRDRLRDRDRDRERDKERERLRERDREREKEREKERMLERREKERERERKRALEVRPERTPVRSSKDPRSTSKDPRGSSLTKEGKSSRRDSPHRALHRHRSPVKEKRREYVCKVYPSCLVNIERDYLSIDKRYPRLFISPEFSKAVVNWPKENLKLSIHTPVSFEHDFVEEESARGTSGKLLTGQPTSSEQGNTVWNAKVILMSGLNRGALEELSSDKIVDDRIPHICNFLRFAILKKDHSFMAVGGPWEPADGGDPSNDDNSLIRTALRYSKDISQLDLQKCQHWNRFLEIHYDRIGKDGFFSHKEITVLYVPDLSDCLPSLDEWRDQWLAHKKAVAERERQISLKKEKPRANKESNGKRKESSASGKSDVKKKEKDNSAVKDVSEKKAGLSNSKTAKDDASDIGGGKGAEKKPGETTPGQTTGSVKPVKKKIIKKIVKKVVNKTNDIAKRQTDKPDEKDVADKVATSDVPVEVVKSSVDPIGILTSGKDIVVEDNPVGKIDKEINSLEDKPLDKLDPAVNTGTDGSTVKTIKKKKIIKWVPKKKVVDEASKSVVNEGNVVAVQAQDDTNNTDKQTADADTIVTEGKKPVKVVTKRKLKALTSGVQDDATDSNKRDPKSDKKDEESAVAAPANDDTQSTSKKATDADTKTVSVTKKIVKVVPKKKLKATTSEKQEGSGDSNKNEVKSDKDDKKDGKGTGEKSESKTGEKSGSKIDKQKASEKDTPIVKGKLKVGDKPKDEKVTKEKDGKDEPKSKSSKEVKEKKKSDEPPRHPGFILKTKSTKDSKLRSLSLSLDSLLDYSDKDVEESTIELSLFAESFYEMLQFQMGSRILAFLQKLRGKFVTKRAQRKRQREEEDSAKKSPTKRQKGDDPSVKIETNTDTSNPTPADNEKAVAENDNSSNKEDDVKMENASDEEDPEEEDPEEYEEMESGSPQQDSSDDKNAELEADTKNESENVTSSEKAADETSKGEIKVKDEVKESKDDVQLSEEKESKVDKIKKDTPAVKEAVVDKELLKAFRFFDRNRVGYIRVEDMRIIIHNLGMFLSHRDVKELVQSALLESNTGRDDRILYIKLVRMSDI >CAK8536683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7081137:7090022:-1 gene:gene-LATHSAT_LOCUS6021 transcript:rna-LATHSAT_LOCUS6021 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGSGAYGQSYTGQSGYGQNLNANYSGPSVGGHDAAQHSAASRHSGILGNSQEADVGNYRAHNAVAQYGGQYSSVYGSAALSTAPQVPSLATKGAASSALDARGGYSLGVSDSPKFASGDYVPSSSHGYGHKSDQLYGDKSLDYSGLDRRQYGERPSGYVGRDLPSDQTGRYSTDAVGYNHQHQATLLRQEQLLKSQSLQAASLDGGARQTDYLTARAAASRHPTQDLMSYGGRIDSDPHASSMLNATSYSGQHAPSILGAAPRRNMDDLLYSQNASNPGYGVSLPPGRDYASGKAIHGNAMDLDYPGNLLPHVGSTDRKDDRASYLREFELREDERRRDRLRDRDRDRERDKERERLRERDREREKEREKERMLERREKERERERKRALEVRPERTPVRSSKDPRSTSKDPRGSSLTKEGKSSRRDSPHRALHRHRSPVKEKRREYVCKVYPSCLVNIERDYLSIDKRYPRLFISPEFSKAVVNWPKENLKLSIHTPVSFEHDFVEEESARGTSGKLLTGQPTSSEQGNTVWNAKVILMSGLNRGALEELSSDKIVDDRIPHICNFLRFAILKKDHSFMAVGGPWEPADGGDPSNDDNSLIRTALRYSKDISQLDLQKCQHWNRFLEIHYDRIGKDGFFSHKEITVLYVPDLSDCLPSLDEWRDQWLAHKKAVAERERQISLKKEKPRANKESNGKRKESSASGKSDVKKKEKDNSAVKDVSEKKAGLSNSKTAKDDASDIGGGKGAEKKPGETTPGQTTGSVKPVKKKIIKKIVKKVVNKTNDIAKRQTDKPDEKDVADKVATSDVPVEVVKSSVDPIGILTSGKDIVVEDNPVGKIDKEINSLEDKPLDKLDPAVNTGTDGSTVKTIKKKKIIKWVPKKKVVDEASKSVVNEGNVVAVQAQDDTNNTDKQTADADTIVTEGKKPVKVVPKKKLKATTSEKQEGSGDSNKNEVKSDKDDKKDGKGTGEKSESKTGEKSGSKIDKQKASEKDTPIVKGKLKVGDKPKDEKVTKEKDGKDEPKSKSSKEVKEKKKSDEPPRHPGFILKTKSTKDSKLRSLSLSLDSLLDYSDKDVEESTIELSLFAESFYEMLQFQMGSRILAFLQKLRGKFVTKRAQRKRQREEEDSAKKSPTKRQKGDDPSVKIETNTDTSNPTPADNEKAVAENDNSSNKEDDVKMENASDEEDPEEEDPEEYEEMESGSPQQDSSDDKNAELEADTKNESENVTSSEKAADETSKGEIKVKDEVKESKDDVQLSEEKESKVDKIKKDTPAVKEAVVDKELLKAFRFFDRNRVGYIRVEDMRIIIHNLGMFLSHRDVKELVQSALLESNTGRDDRILYIKLVRMSDI >CAK8578086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605859794:605860486:1 gene:gene-LATHSAT_LOCUS30128 transcript:rna-LATHSAT_LOCUS30128 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVCPLHLEATLSSFSFMASPCNYNSETKDVESKPNHVEETEENNNIDYSQRAQWLRAALLGANDGLVSITSLMLGVGAVNEDIKTMLLAGFAGLIAGACSMGIGEFVSVYTQLDIIVAQIKRENIIRNKIDEDERVLPNPFQAAIASAIAFSFGATVPLLGAALVREYKIRLVVVVVMASLALFVFGGVGAMLGKTSMKWSCFRVVVGGWMAMAITFGFTKLVGYSSL >CAK8578087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605859848:605860486:1 gene:gene-LATHSAT_LOCUS30128 transcript:rna-LATHSAT_LOCUS30128-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCNYNSETKDVESKPNHVEETEENNNIDYSQRAQWLRAALLGANDGLVSITSLMLGVGAVNEDIKTMLLAGFAGLIAGACSMGIGEFVSVYTQLDIIVAQIKRENIIRNKIDEDERVLPNPFQAAIASAIAFSFGATVPLLGAALVREYKIRLVVVVVMASLALFVFGGVGAMLGKTSMKWSCFRVVVGGWMAMAITFGFTKLVGYSSL >CAK8567220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495873446:495875117:1 gene:gene-LATHSAT_LOCUS20290 transcript:rna-LATHSAT_LOCUS20290 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNALLPHPLSSSSTTLRSSSSFNHSLFSIPKQIPRKTHHHHHHHVLVVEAKGKKGLMSRQFRRPPPPPPLPKIEDDGNPKFVVFIRVADVSRWYPLSIVSGGTTAKIMVSVKDNFLGKYIFKDTLDKNLAAVIYRDEEEIKKTAIKQHQNLKSATEFRYGYKLIVNGNVRAALSTKDVLELPTPDKLKTVVDNVKDFFEDVKDTFVQITSSDTTTTKEPEPEPKKDTKAKSKSKSK >CAK8574092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661787976:661788320:-1 gene:gene-LATHSAT_LOCUS26469 transcript:rna-LATHSAT_LOCUS26469 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSSKFILEVLGAPFKKLCIVSVSSLLLTILFVHLSNFFLKPSVSMRLRPKRTGCRVECFGGFEIQKFSNLFLFFRGDLT >CAK8561880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:403674137:403674556:-1 gene:gene-LATHSAT_LOCUS15431 transcript:rna-LATHSAT_LOCUS15431 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYHIRSISLPSRSHPSTIRVTEELNKLKAWEVTSTSTSTSASILIALSLLEDLYISLEHLLNMPSTQQLISHHRGEKFIQEVLDSSMRILDVCASQGTLCCKSRKMFKPFILLLEEKKEIHVFKLVWRNTNSSQKK >CAK8568761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639323224:639326520:-1 gene:gene-LATHSAT_LOCUS21688 transcript:rna-LATHSAT_LOCUS21688-3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKNQSDKKDGVNGLIAVAIDKEKGSQNALKWAIDNLLTRNATVILIHVKVVASSISSSPSIFTTSKSTRAAINANGNDSLTSKEPEAQKNIFLPYRVFCTRKDIQCRDVLLQDSDVAKALIDYASQAGIEHLVLGSSTKTGLLKRFKVSDVSGTVAKGAPDFCTVYVIGKGKIQSMRSASRPAPSISPIHVNETTITQDQPDTNDQQERNSFDATHSQDGIDSFRSPFTRKGYNTKQYMENSKADGDISFLSSGRSSTERMLPPLYNSSETGTRMSFSSDQDLNYSFESMFQGRKSIDSTIPAEFTSLMFENESLSSSSSQAVDDMEAEMRRLKLELKQTMEMYNTACKEALTAQQKAIELQKWKLEEERRLEEARLAEEAALAIAKQEKEKSRAAIEAAEAQKRIAELEAQKRLQAEMKALREAEEKRKVMDALVNVDVRYRKYTIEDIEAATNFFSQSLKIGEGGYGPVFKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLDDCLFRRGNTPPLSWRLRFKIAAEIGTGLLFLHQTKPEPIVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRLTATAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTAKSPMGLAHNAQKAIENGTFTEMLDPAITDWPMEAVMSLANIAVKCAELRRKDRPDLGKVVLPELDKLRELADNSNQNSTPDSPSSMNASHERQISVQLDESCP >CAK8568760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639323224:639326520:-1 gene:gene-LATHSAT_LOCUS21688 transcript:rna-LATHSAT_LOCUS21688-4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKNQSDKKDGVNGLIAVAIDKEKGSQNALKWAIDNLLTRNATVILIHVKVVASSISSSPSIFTTSKSTRAAINANGNDSLTSKEPEAQKNIFLPYRVFCTRKDIQCRDVLLQDSDVAKALIDYASQAGIEHLVLGSSTKTGLLKRFKVSDVSGTVAKGAPDFCTVYVIGKGKIQSMRSASRPAPSISPIHVNETTITQDQPDTNGMSEQSVKYQQERNSFDATHSQDGIDSFRSPFTRKGYNTKQYMENSKADGDISFLSSGRSSTERMLPPLYNSSETGTRMSFSSDQDLNYSFESMFQGRKSIDSTIPAEFTSLMFENESLSSSSSQAVDDMEAEMRRLKLELKQTMEMYNTACKEALTAQQKAIELQKWKLEEERRLEEARLAEEAALAIAKQEKEKSRAAIEAAEAQKRIAELEAQKRLQAEMKALREAEEKRKSLKIGEGGYGPVFKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLDDCLFRRGNTPPLSWRLRFKIAAEIGTGLLFLHQTKPEPIVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRLTATAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTAKSPMGLAHNAQKAIENGTFTEMLDPAITDWPMEAVMSLANIAVKCAELRRKDRPDLGKVVLPELDKLRELADNSNQNSTPDSPSSMNASHERQISVQLDESCP >CAK8568759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639323224:639326520:-1 gene:gene-LATHSAT_LOCUS21688 transcript:rna-LATHSAT_LOCUS21688 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKNQSDKKDGVNGLIAVAIDKEKGSQNALKWAIDNLLTRNATVILIHVKVVASSISSSPSIFTTSKSTRAAINANGNDSLTSKEPEAQKNIFLPYRVFCTRKDIQCRDVLLQDSDVAKALIDYASQAGIEHLVLGSSTKTGLLKFKVSDVSGTVAKGAPDFCTVYVIGKGKIQSMRSASRPAPSISPIHVNETTITQDQPDTNDQQERNSFDATHSQDGIDSFRSPFTRKGYNTKQYMENSKADGDISFLSSGRSSTERMLPPLYNSSETGTRMSFSSDQDLNYSFESMFQGRKSIDSTIPAEFTSLMFENESLSSSSSQAVDDMEAEMRRLKLELKQTMEMYNTACKEALTAQQKAIELQKWKLEEERRLEEARLAEEAALAIAKQEKEKSRAAIEAAEAQKRIAELEAQKRLQAEMKALREAEEKRKSLKIGEGGYGPVFKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLDDCLFRRGNTPPLSWRLRFKIAAEIGTGLLFLHQTKPEPIVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRLTATAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTAKSPMGLAHNAQKAIENGTFTEMLDPAITDWPMEAVMSLANIAVKCAELRRKDRPDLGKVVLPELDKLRELADNSNQNSTPDSPSSMNASHERQISVQLDESCP >CAK8568758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639323224:639326520:-1 gene:gene-LATHSAT_LOCUS21688 transcript:rna-LATHSAT_LOCUS21688-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKNQSDKKDGVNGLIAVAIDKEKGSQNALKWAIDNLLTRNATVILIHVKVVASSISSSPSIFTTSKSTRAAINANGNDSLTSKEPEAQKNIFLPYRVFCTRKDIQCRDVLLQDSDVAKALIDYASQAGIEHLVLGSSTKTGLLKRFKVSDVSGTVAKGAPDFCTVYVIGKGKIQSMRSASRPAPSISPIHVNETTITQDQPDTNDQQERNSFDATHSQDGIDSFRSPFTRKGYNTKQYMENSKADGDISFLSSGRSSTERMLPPLYNSSETGTRMSFSSDQDLNYSFESMFQGRKSIDSTIPAEFTSLMFENESLSSSSSQAVDDMEAEMRRLKLELKQTMEMYNTACKEALTAQQKAIELQKWKLEEERRLEEARLAEEAALAIAKQEKEKSRAAIEAAEAQKRIAELEAQKRLQAEMKALREAEEKRKSLKIGEGGYGPVFKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLDDCLFRRGNTPPLSWRLRFKIAAEIGTGLLFLHQTKPEPIVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRLTATAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTAKSPMGLAHNAQKAIENGTFTEMLDPAITDWPMEAVMSLANIAVKCAELRRKDRPDLGKVVLPELDKLRELADNSNQNSTPDSPSSMNASHERQISVQLDESCP >CAK8569773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10509901:10514197:-1 gene:gene-LATHSAT_LOCUS22585 transcript:rna-LATHSAT_LOCUS22585 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRWPWKKKSSDKTVLDKVAAELDSAGASNQENQDVYKKPNYVQISVESYSHLTGLEDQVKTYEEKVETLEDEITELNEKLSAANTEINTKEGLVKQHAKVAEDAVSGWEKAEAEALALKNHLESVTLSKLTAEDQASQLDGALKECMRQIRNLKEEHELKIQEVTLAKTKQLDKIKGEFEARIRNFEQELLRSAADNAALSRSLQERSNMLVKLSEEKAHAEAEIEHHKSNVESCEREINSLKYELHVISKELEIRNEEKNMSMRSAEAANKQHAEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGETRLRKSPVKPASSHFSPVPDFSLENIQKFQKDNEFLTERLLTMEEETKMLKEALAKRNSELQASRSMCAKTLSKLQILEAQVQPSTHQKGSPKSTTHMNHESIYSQNTGYAPSMISISEDGNDDARSCAESWSTALISELSQFTKERSADELGKSESTEKLELMDDFLEVEKFAGLSNDSIEDASASFTSNNNTDEIMTNEVSEVGTSKDDPSESEKNNDLNPLAIQVSPAAVSPSSGGIDGLSPAELQSRIQSVFESVAKDADVGQILKDIKRVLEEAHDTSIQDSAAVIPLDAPPSDIPWDKKDNSEGAGSVAEKDLISSQEPTQDIQITSDIEAAISQIHDFVLFLDREAMTVHDISSGGDGISQKMEEFSVTYNKVKCHEASLLQFVLDLSHVLAKTSEFRFNILGYKGMETETNSPDCIDKIALPENKLVQDNSSEERYENGDSRILNPCSNPEVPDDGNLTSSYESNATSQKFSMEEFEELKLEKEKAIVDLSKYSENLEMTKSQLQETEQLLAEAKSQLASAQRSNSLGETQLKCMAESYRSLETRAQEFETELNHLKKKIETLENELKDEKKSHEATLAKCKELEEQLQRNESSAADNELKTKKERDLASAAEKLAECQETIYLLGKQLKAMHPQTEPMGSPFGERLSKVEGFAEHEVQSPNLQDLDQVEMDGASFAFMQRQGAESPLHFTNSLYSPSDNDSNFQAISPLPHPTHKPTKSTSSSASSTPTPEKHGRGFSRFFSSKAKVSH >CAK8564913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15428227:15428526:-1 gene:gene-LATHSAT_LOCUS18164 transcript:rna-LATHSAT_LOCUS18164 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLDFIEKLDLEELLVIGSKFTWRKSDGSVCSRLDRILISSGLVNSWNIIGVEIGHRDISDHCLVWLKCDFIDWGPKPFRFIPGWFEHKEFKSFIEK >CAK8542580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527280121:527283469:-1 gene:gene-LATHSAT_LOCUS11408 transcript:rna-LATHSAT_LOCUS11408 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPMLNETFLGLLYPIENYKVYGYLTNTKVKFILVTTDLDVKDADVRNFFRRFHTAYVDAVSNPFHVPGKKITSRTFAERVSTIVKSFGFSSAA >CAK8566516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:431983461:431984629:1 gene:gene-LATHSAT_LOCUS19640 transcript:rna-LATHSAT_LOCUS19640 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEQDVSLGANKFPERQPIGIAAQSHDDGKDYKEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVMGVNKSDSKCKTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQVLGAICGAGVVKGFEGKVFYGKVNGGANFVAPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLGWDDHWIFWVGPFIGAGLAALYHTVVIRAIPFKSS >CAK8560812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46655160:46658160:-1 gene:gene-LATHSAT_LOCUS14458 transcript:rna-LATHSAT_LOCUS14458-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNSSGDNIVMATRRESVKEVKPNEVSDTIYVAVAKDVRDSKLNMVWAIQNSGGKRICILHVHVPSPKIPMMGGKFPASALRKQEVEAYRKNERQDMHKTLDGYLLICQRMGVRAEKLHIEMDSIEKGIIELISKYNIQNLVMGAASDKYHSRRMTDLRSKKAIYVCQQAPSSCHIQFICKGYLIQTRNTHALRDCSLMEQIQNSEVGNSSHLRSRSVSHDQDQLSQHHRVRSISSSVGSGRSMVSCVSSPETSWCPSPLSVETSLIPSDGSESVLDLKMSSLSSIKEEDLHHSSPPSVLQDGGMDSVYDQLVQAMAEAEKARWDAYRETVRRRKAEKDLIDAIRKTNDNNILYQEEMKLRKELEEELHKAKEEIHNMRSQIDKVNENLQLALDHKSSTENQIDEDSRTQSLQLFNEFSLSEIEEATCNFNPSLKIGEGGYGSIFKGILRHTEVAIKILSPNSNQGPSEFQQEINVLSKLRHPNLITLIGVNQESRTLIYEYLPNGSLEDHLICKDKTTPPLSWKTRIRIATELHSALIFLHSNKPHSILHGDLKPSNILLDANLVSKLSDFGISRILSRQDDSSSNNTNSTQFWITSFAKGTFAYMDPEFFATGELTTKSDVYSFGILLLMLVTGKTALGIKNEVLYGLNGGEVKSLLDPLAGDWPIVEGEKLVHLALKCCDMNRKNRPELCSDVWRVLEAMRGL >CAK8560813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46655160:46658160:-1 gene:gene-LATHSAT_LOCUS14458 transcript:rna-LATHSAT_LOCUS14458 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNSSGDNIVMATRRESVKEVKPNEVSDTIYVAVAKDVRDSKLNMVWAIQNSGGKRICILHVHVPSPKIPMMGGKFPASALRKQEVEAYRKNERQDMHKTLDGYLLICQRMGVRAEKLHIEMDSIEKGIIELISKYNIQNLVMGAASDKYHSRRMTDLRSKKAIYVCQQAPSSCHIQFICKGYLIQTRNTHALRDCSLMEQIQNSEVGNSSHLRSRSVSHDQDQLSQHHRVRSISSSVGSGRSMVSCVSSPETSWCPSPLSVETSLIPSDGSESVLDLKMSSLSSIKEEDLHHSSPPSVLDGGMDSVYDQLVQAMAEAEKARWDAYRETVRRRKAEKDLIDAIRKTNDNNILYQEEMKLRKELEEELHKAKEEIHNMRSQIDKVNENLQLALDHKSSTENQIDEDSRTQSLQLFNEFSLSEIEEATCNFNPSLKIGEGGYGSIFKGILRHTEVAIKILSPNSNQGPSEFQQEINVLSKLRHPNLITLIGVNQESRTLIYEYLPNGSLEDHLICKDKTTPPLSWKTRIRIATELHSALIFLHSNKPHSILHGDLKPSNILLDANLVSKLSDFGISRILSRQDDSSSNNTNSTQFWITSFAKGTFAYMDPEFFATGELTTKSDVYSFGILLLMLVTGKTALGIKNEVLYGLNGGEVKSLLDPLAGDWPIVEGEKLVHLALKCCDMNRKNRPELCSDVWRVLEAMRGL >CAK8573855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642650426:642650920:-1 gene:gene-LATHSAT_LOCUS26256 transcript:rna-LATHSAT_LOCUS26256 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYEGCR >CAK8534615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:748791772:748792814:-1 gene:gene-LATHSAT_LOCUS4146 transcript:rna-LATHSAT_LOCUS4146 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKDGTVALASAFSGHKQAIKDRDHKFLRKAVEEAYKGVDCEDGGPFGAVIVFNDEVVASCHNMVLRNNDPTAHAEVTAIREACKKLKQIELSDCEIYASCEPCPMCFGAIHLSRVKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAHLEIKRADGKEAIFAEEVFEKTKEKFRMY >CAK8578755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651355795:651356097:-1 gene:gene-LATHSAT_LOCUS30741 transcript:rna-LATHSAT_LOCUS30741 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNNNYGWSHIHEILEPASYPRHHHHHQNHYVTTYKEPTATANQSYYEKVRRENEADRQDSRFRHRDNSTYESVDQEAEAFIQHEHRRMGLAKLMKTT >CAK8566668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447210305:447210718:1 gene:gene-LATHSAT_LOCUS19783 transcript:rna-LATHSAT_LOCUS19783 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETVMHTGGCHCMSVRWKVIAPSSVVVWDCNCSICYMRGTSNFTVPVDKFELLGDSAEFLTTYTFGTHTAKHTFCKICGITSFYYPRSNPEGVAVTIRCVDNGTLKIDEIKSFDGKNWERSYNETGIASCSKVQK >CAK8575455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:123171576:123195073:1 gene:gene-LATHSAT_LOCUS27716 transcript:rna-LATHSAT_LOCUS27716 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSPFPPPVSQNAVVPRHTTVAMSLSTVSIPFSHLLDKGYDLSLKIEQGFGPNGLGILSVTDVPGYSSLRRNLLQLAPRLANLPKEVKDDLEDANSRYNIGWSHGKEKLESGKLDLLKGSFYANPILDTPTTDKSLIQRYPSYCGSNVWPRSTLPELELAFKALGKLIFDVGLMVAYHCDQYVSKGIKVHKDEGLESILNRSRCHKGRLLYYFPAQPRISGDNSMSSWCGWHTDNGSLTGLTCAIFTRDGEEIPCPDSAAGLYIRTRNDQIVKVVYGVDDIAYQIGETAEILSGGILRATPHCVQAPKGKESSGVERSTFALFMQPDWDEKLSFPEEVHIHKELIPSNASLTYGEYSEMVLGKYYDKK >CAK8538611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489278097:489279296:1 gene:gene-LATHSAT_LOCUS7792 transcript:rna-LATHSAT_LOCUS7792 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSVVVIKDSCREINSKVFHWAPNGLSLMAGDNLTLVVVLHQIITPLGCKISVDSRSAFGANQKIIEAEVQKKKNEYRKNEELAHIFELYKTMKVGFHIEVAMGSSPKAVALKSATKLKATWLILDRKMKNDEDYFLKKLSCGISRIRSLDRIVRIRGPIDAAQQKRSYRSSETFGDSLPPYEFSINSEFFSIDNFSNSSVRYGMYDDQGQRQKKPLEENRHNEQERVIKQKEEFQENNKIEEHESERYLYSGGARNFFLGGAENYTISEHFFKFD >CAK8569136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675042738:675045602:1 gene:gene-LATHSAT_LOCUS22020 transcript:rna-LATHSAT_LOCUS22020 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEMKDGTETVVINNGNFVEEEEELSSCKFSHLLASKDRDFLLSSTGAQVKVSELEGKVVGLLFAANWYPPCRGFTQLLIGIYQQLRTNIPQFEIVYVSSDEDLDAFNGFYQTMPWLAVPFSDLETKKALNRKYDVEGIPCLVMLQPDDSNGEATLRDGVELIYRYGVQAFPFSKERLEELHQAEREKLENQTLANLLGNNHRDYLLSHTSGLLTQVPVTSLVGKTIGLYFSAGWCVPCTKFTPKLISVYQKIKQELAEKDDKEEGFEIVLVSNDRDQESFDSYYNTMPWLALPFGDPEIKNLARHFDIQGIPCLVIIGPNGKTITIHGRNLINLYQENAYPFTATKVEQLEKQLEQEAKDLPNLVHHEGHHHGLNLVSDGNGGGPFICCVCDEQGSNWAYQCLQCGYEVHPKCVTTVHGL >CAK8534056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689712408:689712644:-1 gene:gene-LATHSAT_LOCUS3630 transcript:rna-LATHSAT_LOCUS3630 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGFPFVSQEGLIVHRRWFVFQGSNEVEHELRHVHHGDGGGGASVKRCVCSPSQHPGSFRCRQHHGEYVWRGRRVK >CAK8543795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640265454:640265756:-1 gene:gene-LATHSAT_LOCUS12530 transcript:rna-LATHSAT_LOCUS12530 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWTDANLDRRFYGCGMYKVQGYKKCTHFAWLDEEMNPRTKELISALLKKLNKEKEMISTHKTKDELKIKVKILKKHLDINWILLFVIFFTFVGTTMMK >CAK8577421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563007288:563007835:1 gene:gene-LATHSAT_LOCUS29534 transcript:rna-LATHSAT_LOCUS29534 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPTSSSSLELTISVPGFASSPITFLTTSSSSGKKLDMNQVAIEEEWMRIEEEEESNVNPRKKLRLTKEQSRLLEESFRKNHTLNPKQKECLAMQLKLRQRQVEVWFQNRRAR >CAK8569778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10713544:10714821:-1 gene:gene-LATHSAT_LOCUS22590 transcript:rna-LATHSAT_LOCUS22590 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKRASLCSSAVNILMIFCVITTNLFALYAFTSSSSSKNNTNNNNVIHNKNISLVSEQVSLILREIDLSQKKLAQMEKQLLGYERFDLSRPNIANELKIFLQRHQLPLGKDSRTGITEMVSSVGHSCEKNSDLLSQFMRYKLSGTCPDDWSLAQKLILKGCEPLPRRRCFSKTISKLGFFPLPVSLWKPLGNNTFNWSGLSCKSFECLKGKKLSRDCVNCFDLVSGGNENQRFLKGKSKNDFLMDDVLGLGNGGIRVGFDIGGGSGSFAARMFDRNVTVITNTLNVDAPFSEFVAARGLFPIYLSLDHRFPFYDNVFDLIHASNALDVVGGKAEKLEFLMFDIDRVLRAGGLFWLDNFFCDSEEKKQTLTRLIERFGYKKLKWVVGEKVDSFGSGKPVVVLSAVLQKPVRSV >CAK8537118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:101467167:101473094:-1 gene:gene-LATHSAT_LOCUS6432 transcript:rna-LATHSAT_LOCUS6432 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMELTDLRNKPQTLISEHHDSIMEDVGDSLLDSMLCDASSKLIASGFTRSENEDECVMFVNAGGDALTEAADGVKFLGDIFFDGGNVFRTNEQIVEGGDYPSIYQSARVGSFCYRIENLPPGHYLVDLHFVEIINVNGPKGMRVFNVYIQEEKVLSELDIYAVVGVNKPLQLIDSRATVKDDGVILIRFESLNGSPVVSGICIRRASVPPVTSDFIQCNYCDAQIEISSSQMKVLQTKSTARYENKIKELTMQCELKAKECYEAWTSLTETSRKVEEVQTELDQVTFMSLTTEQTVEKQTENLRNISIRYELDKKKWAEAVTSLDEKIKLMKSDQAQLSLEAHDCVDSIPELNKMVFAVQELVKQCEDLKLKYYEEMTKRKKLFNEVQEAKGNIRVFCRCRPLNKVEMSAGCTTVVDFDAAKDGCLGILTTGSSAKKTFRFDRVYTPKADQVDVFADASSMVISVLDGYNVCIFAYGQTGTGKTFTMEGIEENRGVNYRTLEHLFRVCKERSETFSYDIAVSVLEVYNEQIRDLLATGPASKRLEIKQSAEGYHHVPGVVEAKVDNICDVWNVLQTGSNARAVGSNNVNEHSSRSHCMLCIMVKAKNLMNGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISSLAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDVGETLSSLNFATRVRGVELGPVKKQIDTSELQKTKALLDKARSECRSKDESMKKLEESLQTIESKAKGKDSIHRSLQEKIKELEGQIELKTSMQNQSEKQISQLCEKLKGKEETCCTLQHKVKELEKKIKEQLQTETANFQQKVWDLEKKLKDQFQGSESESSFLKDKIMELERKLKEEQNSASMLKQQMKELEEKYKEREQQWQQTHQVEAVKVVATPEIVRSHANDECPNEFEARILCSSNSVNRPTNQSSALLKGNDSTHPMRNKRQFRSNEVENHYAMPRTSLHDRKITRKSDPPKIVRTGRLATKPPVITNQAPVSHKRASTSRDQAQGVKERDSKKKIWS >CAK8536246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921658738:921660909:1 gene:gene-LATHSAT_LOCUS5634 transcript:rna-LATHSAT_LOCUS5634 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLRIRLLLLIMIQMLVYLNESTGKLSCGVKDKIDSNNEIPTLILSVKESKEKKQGGGRSVAAVVGGAGAALIIIVIVVIIYICLRRYRRFKRQTSDSASSVPSQAFEMGRINSSQYVNAFSPHYMQNTRLLTISELEQATGNFSQSNIIGEGRFGFVYKGLLQDGSFVAIKRRMFALTRDFIPEVKHIAQIHHIHIVKLIGYYEDSYQQILVYEYLPNGNVGNHLYDNEGLPIGKLDLQRRVSIALGASKGLDHLHGLVPPMFHTNFSTINVLLDENFTAKVSDYGFYKLQTKVDQAGSSSNVDYFHDPELRLSETYSEQSDVYSFGVFLLELISGFEVHNRNMSQPNENIIFQAKYSSVMDKFIDITLREEERAAARRMMKLALLCVDVFFRRPSMAHIVQELERIQRDIAPLYSEINEEIGVVTLGSELFK >CAK8565700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:309595621:309596427:1 gene:gene-LATHSAT_LOCUS18890 transcript:rna-LATHSAT_LOCUS18890 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLDLDNCQKLKYLPKLPVSLHSFTAKNCIHLKTNSFQPSILENMLHRLRSSENMLHKGSRSWSNWNIPSYRMSYHMDSCFFLGAQVPNKFVFHITMASIVIPHISRYGLYGFAFCTILSGGWDITTVGEISCTIYQHTEEVDRYCKRYHLGALISDHVLLGCMGYNYDWVKIGSESGGYLYNLSFEFNYKRLRGATITLIKSCGGIPVYDLKHSFVLDGRISGVDSKVILVIREKTINFSSLLLAPKDGSNLATTLYNRIRISYL >CAK8540209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545219043:545222350:-1 gene:gene-LATHSAT_LOCUS9237 transcript:rna-LATHSAT_LOCUS9237 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIFLLLISCYLYLHLFTLTSMFFDPNKTVTMALGNQTDHFALLQFKQLISSDPYGILDSWNSSTHFCKWHGIKCSPKHQRVTTLMLQEHNLHGSISPYIGNLSHIRFLNLANNSFNGNIPQEFGRLSRLRFLLLPNNSLGGEFPMNLTKCSELKIIDLSRNHLIGKIPSQIGSLRKLQIIYIDRNNFSGKIPPSVRNLSSLVIFETGYNNLKGNLPQEMCYLKQLKTLSIYVNKFSGTLPSCLYNMSSLTQISVAENNFTGSLPSNMFHTLFNLQFFGIAMNQISGLIPISISNASTLVILDISVNHFVGQVPNLGRMQSLRWMSLALNNIGDNSTNDLDFLKSLTNSSNLESLSLSSNNFGGSLENSIGNLSTVLSAFYIGSNQIYGQIPIELGNLINLRVLSMENNSLEGTIPTTFGKFQNIQRLRLPLNRLSGDIPASIGNLSQLYHLRLDENMLEGYIPPNIGNCQMLQYISLSQNNLTGAIPPEIFSIPSLTNLLNLSLNSLTGSLPKEVGMLKNINDLDVSKNHLSGDIPETIGECLTLESLQLQGNSFNGTIPSSLASLKGLRYLDLSSNHLYGPIPEVMQNISSLEYLNVSFNMLEGEVPTDGVFGNATQIALIGNNKLCGGISKLHLPPCPIKSKKHTKHHNFRLVTVIVSVVSFLMLSFIITIYFMKKRNKKQPFDSPTIDHLAKVSYQELYQGTDGFSTRNLIGSGSFGSVYKGNLVSEDNVVAVKVLNLQKKGAPKSFIIECNVLKNIRHRNLVKILTCCSGTDYKNQEFKALVFEYMKNGSLEQWLHPEILNAEHPITLDLGQRLNIIIDVASALHYLHQECEQSVIHCDLKPSNVLLDDDMVAHVGDFGIARLVLTIDGKSHSNTSTIGIKGTVGYAPPEYGMGSEASTCGDMFSFGILMLEMLTGKRPTSEVLEDGQNLHEFVAISFPDNLIKILDINLVPRDAEAEIQDRNHDNLLRTLDGCIVSLFRIGLICSMESPNERMSIVEVTRDLSLIKKAFLTGVRHS >CAK8560426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18888222:18893019:1 gene:gene-LATHSAT_LOCUS14096 transcript:rna-LATHSAT_LOCUS14096 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVIGSPPLTMDPQIQNPSSSNPIIPSPSQIHHHQQQQSPSIHMNSSSPSLSQDQQQQHSQLHSINNPNPNPNPNPNVSTFQLQQTLQRSPSMSRLNQIQPQQQQQQQIARQQAGLYGGQMNFGGSAAVSAQQQQLSGGVGVGIGGSASNLSRSALMGQSGHFPMLSGAGAAQFNLLTSPRQKSGLVQSSQFSSANSGGQSLQGMQQAIGMMGSSNLASLRANGALYAQQQQQLRLTPSQIRQQLSLSQQASLNNQQVQGLPRSSSLAFMNSQLSGLSQNGQPAMMHNSLTQNQWLKQMPAMSGPASPLRLQQHQRQQQLASSAQLQQNSMNLSQQQLSQLIQQKSIGQPQLHQQQQQHPSSQQQQQLLQQQQQQQQSQLQASVHQQQQQQSPRMPGPAGQKTLSLTGSQPDATASGTTTPGGSSSQGTEAATNQVLGKRKIQDLVAQVDPQGKLDPSVIDLLLELADDFIDSATTHGCILAKHRKSSTLESRDLLLHLEKDWDLTVPGYSSEEKKCQNRPLSGVSHKRRLDVVRTLMDSSSHPQPTINNSKDISRQGHPNPVVSNHLIKPLSSDQLVSHSTGSQMLQQMTRF >CAK8560872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51676527:51681408:-1 gene:gene-LATHSAT_LOCUS14512 transcript:rna-LATHSAT_LOCUS14512 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENKETKSFKDLGLNESLVEACEKVGWKNPLKIQIEAIPQALQGKDVIGISQTGSGKTGAFVLPILHALLEAPFSLRNNFFACVMSPTRELAFQIAEQFQALGSEIGVKCAVLVGQIDMVRQAIEIAKRPHIIVGTPGRVLDHLKNTRGFSLDKLKYLVLDEADRLLDEDFEKTITEFLEFIPRERRTFLFSATMTKKVQKLQKACLRKPVKIEVSSKYSTVDTLKQQYLLVPAKHKDCYLVYILTEMAESTSMVFAEKCETTWLLALILKNLGLKAVSINGHMSQPKRLEALEKFKSRECNILVCSDVASRGLDIPAVDMVINYTIPKSKVYVHRVGRTARAGRSGVAISLVNQYEVASYMKIEKFIGMKLPEYPAEEAEVLFLKERVSEAKRSADKEMKESGEKKKRRSEGDFSEEEEEDDIEKYLGVKNKKSSKKFRR >CAK8534447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727362667:727365267:1 gene:gene-LATHSAT_LOCUS3993 transcript:rna-LATHSAT_LOCUS3993 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTIVTFTLKHLNSLHTHAFAIPHHPNSNNYLKLVRSCKHLNPLHQLYAHFLVSGLFHQCNLPQSNPSLILCNSLIRLYSRRHNFNKAINLYHTMLEFGLQPDKYTFTFVLKACTGALDFHEGVSVYRDVAAKGLECDVFIGTSLVNMFCKMGQLDSARKVFDKMLKKDAASWNVMISGLSQSLNPSEALEMFWKMQVEGVEPDTVSILNLAPAVSRLEDIGACESIHGYVVRRSICGVVSILNSLIDMYSKCGEIHLARRIFDRMGVMDDVSLATMMAGYVQHGCYFEVLELFDKIKHKNVKMNKVAVINALLAAAEMRDLEKGKEIHNCALQMGIMSDIVVATPVVSMYVKCGELKKAKELFLSLEGRDLVAWSSFLSALVQAGYPGAALSIFQDMQHKGLKIDKVILTILVSTCAEISNLRLGKTLHCYAIKADMESDISTVTTLVSMYTRFELFIHAMRLFNRMQKKDVVVWNTLINGFVKYGDPHLALEMFHRLQSSGIQPDSGTLVGMVSACSTMDDLDLGTCFHGNIIKRGFESNTHVEVALMDMYAKCGSLFSAECLFFLTKHVKDEVSWNVMISGYLHNGYANEAISTFQRMKLENIRPNLVTFAIILPAVSHLTVLKEAMAFHACIIRMGFLSSTLLGNSLLDMYAKCGQLSYSEKCFHEMENKDTISWNAMLSGYAMHGQGECAVALFSLMQETNVHVDSISYISVLSACRHAGLIQEGRNIFASMCEKHHVESNMEHYACMVDLLGRAGLFDEALSLINKIPTEPDAQVWGALLGGCKIHSNVTLGEVALHHLVKLEPRNAVHYVVLSDIYAQCGKWNEARKTRSHMNAQGLKKIPGYSWIGAHKQGSYPSC >CAK8536949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:44434898:44435235:-1 gene:gene-LATHSAT_LOCUS6272 transcript:rna-LATHSAT_LOCUS6272 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTKLSIPSNVLESTYNYVHQSGASSNNYSTNIRLNHMSAAYSSSDENFDYGSGHSLGNSSESDDEALEATLDSHLQEYYDIGDPV >CAK8559917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:975615:978965:1 gene:gene-LATHSAT_LOCUS13651 transcript:rna-LATHSAT_LOCUS13651 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRGASFVAVRRTTPHGETCNSNSAEAVAGSAAWLGRSLSCVCVQRRDSDASSFFDLSLAQEECLQRLQRRIDVPYDSSKIEHQEALRALWNVAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLFFARNFPKSFQDLLWKREGDRSVWEYPFAVAGVNITFMLVQMLDLEAVKPRTLVGATFLKFLEENESAFDLLYCIAFKLMDHQWLSMRASYMDFNTVMKSTRRELEKELLQEEVLHLEDLPSYKLLSR >CAK8559918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:975856:978965:1 gene:gene-LATHSAT_LOCUS13651 transcript:rna-LATHSAT_LOCUS13651-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDILNCIAEAVAGSAAWLGRSLSCVCVQRRDSDASSFFDLSLAQEECLQRLQRRIDVPYDSSKIEHQEALRALWNVAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLFFARNFPKSFQDLLWKREGDRSVWEYPFAVAGVNITFMLVQMLDLEAVKPRTLVGATFLKFLEENESAFDLLYCIAFKLMDHQWLSMRASYMDFNTVMKSTRRELEKELLQEEVLHLEDLPSYKLLSR >CAK8564213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658322584:658324324:-1 gene:gene-LATHSAT_LOCUS17536 transcript:rna-LATHSAT_LOCUS17536 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSRLKNWMAAPKGGRHGNNVEKHVAVLAFPFGTHAPPLLSFVRRVAGESPGVTFSFFSTEKSNKSLFSGTGNQDEFLPNITYYNIHDGLPEGFVQSGSPAEAIHLFIQAMPENFKNAIDVAVTETGKNITCFITDAFFWFGADFAEKMHAKWIPLWTAGAHSLLTHVFTDLIREKLGDYKGADDHKDFLPGFPELEVSDLPEGVIGDISGPFASMLHKMGLALPQATAVPINSFATIHTDIESKLEAKFKLLLNVGPFILTTPQRMTNDEHGCVAWLNKHEDYSVVYISFGSSITPPPHEFAALAESLEECGYPFIWAFRGNPEEKLPSGFTERTKTRGKIVAWAPQIDILKNSSVGVCFTHSGWNSVLDCIVGGVPMISRPFFGDQRLNARMLESVWHIGIGVDNGVLTKESSKKALELVMSSETGKQMRQRVEKLKEAALQAVERDGSSAKNFNTLIELISS >CAK8543548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618926387:618929337:-1 gene:gene-LATHSAT_LOCUS12298 transcript:rna-LATHSAT_LOCUS12298 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIQTDYNAKPSFTQILVLSSIGLIFFIALHYHLKKIRDQKIIPRLRFSRAGHPPKLERFSHYVARQMGFKDRRIGPDLCRLASEYISKCEGFEDDIYAYFENEPDADSLYVKLVEEFERCILSYFGFHWNYCDILISQVLSSEISEPKKKLKNIVMAATRDQRFERVAKNLKVTSVFNTLVEEMKAMGIAVNDDSQCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGASGNAVVIEADAFKESDVIYKALRSTGHHDMIRTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGVGYRVNKDRTVTENYWERVEDEEPEQVGGKKRKPYRIELVGVVCDAYLAVVRGIRRAIMSRRAVRVKSQLKSHKRFADAFMTYCQLVDNARLYCTNALEGPAKLIGWKDRDRTLLVDPDEIDCLKRVAKLNEDANSIYELYKQPNPACEAGSVWKDIVLSPSRLNIQQELKFSIQEIERLK >CAK8574606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3616285:3616914:-1 gene:gene-LATHSAT_LOCUS26935 transcript:rna-LATHSAT_LOCUS26935 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTNLDPSLVYEYGLTFSHNELEQEDIVYFNHEFLQSMGISIAKHRLEILKHARKEKSKRQPPRPVAKIIVAIKKTKKCLEDYIMRKLVTCEESNSALVVVPTSRPSNSAYYGTRSSWKSSSLKRSNKKMKVEKQERLLLTNGSPSPTMMPGFALDSFSSTPMVYHFHEGKMKGEDDDNNGYWSSAVEDIRWDAMFQDLKPN >CAK8561859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398154922:398155533:1 gene:gene-LATHSAT_LOCUS15412 transcript:rna-LATHSAT_LOCUS15412 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKRKPVMDSGGHTNPLVWLAAIICTIFAIAVIVTGIMMFIGYIMIHPRVPTISVTNAHLDLLRNDYAGLLQTQLSIVVTAYNGNAKAHAKFSKMTFSLSFQGQGIAVLVADSFDVPKNSSNVLRYVVQSSSIPLTPDQMEKVDESWKRNEIGFDFKGAGRTRWKVGPFGSVKYSCHLNCYLKFRPLNGSYIPSKCSSKSK >CAK8569442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1154506:1155672:1 gene:gene-LATHSAT_LOCUS22291 transcript:rna-LATHSAT_LOCUS22291 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNNLNIITKNPKPPLTSNMDPQIWSKLPPEILENILSFLPLKTFMSLRSTCKGFWPLIFSPSFISKHSPSSSPFSSFLLLSHPQFRTHFPLYDCNLQTWRNISLSFSDSLHSSPPSFTTTLVSSGGLFCLTDSLSCSLLVCNLLAKSKRKIQYPNFNLHIEHLTFVSTPKGYFIFILSSESSSNNAYLYESNSKVESWRKFNGFDPILSDNPHQQGVYFKGGLYFATPEPFSVVYFDLGNGKWERPVCDLPNQLTFVRLVSMNDDGGDNDCKKLFLIGGVGSNGISRSIKLWEMSEEGTNWVEIQSLPDLMCRKFVSVCYHNYEHVYCFWHEGMICICCYTWPEILYYLVSRRTWHWLPRCSSLALKCSCGFKWFSFVPKLYAQV >CAK8531302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99294735:99295800:-1 gene:gene-LATHSAT_LOCUS1105 transcript:rna-LATHSAT_LOCUS1105 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVAHQAPPTTVQENETTPEIIKTEETIPEQQPATEVPAVGQPESEVPATVVPTEETTEKPTETTAAEEAVDAPETQDPLEVENKEVVTEEAKEENLEAPKETKESVEEVKEEAEAVVEEPKATIENEPAAPPPATAEDVIENKTVESVEAPAAEEVPAENPEA >CAK8531097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80628076:80629235:1 gene:gene-LATHSAT_LOCUS909 transcript:rna-LATHSAT_LOCUS909 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASFKTQHPFERRQAESSRIREKYPDRVPVIVEKAGRSDIADIDKKKYLVPADLSVGQFVYVVRKRIKLSAEKAIFVFIDNTLPPTAALMSALYEEHKDEDGFLYMTYSGENTFGSQ >CAK8533416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615816522:615816974:-1 gene:gene-LATHSAT_LOCUS3041 transcript:rna-LATHSAT_LOCUS3041 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFYNQYAKMNGIAVRKSKILRSKKGEILQQTFVCHGQGFREDKGLTIENCKRECKPETRRGCEAKFRVHIDMVSQCWWITVFNDQHNHELLDEEYHGMLTSHRKMKESDIMQMSDMLKVGIRLSQFYGSFANQSGGYKKIGFRRKDI >CAK8538094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462406989:462408293:-1 gene:gene-LATHSAT_LOCUS7329 transcript:rna-LATHSAT_LOCUS7329 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCAKEGVNSAEDVANKDNSVSVIISNPEVLDCCNCFQHLTIPVFQCDNGHFVCSTCCSKLCECPKCSLCISSKRCKGIENILQFTLMSCPNEKYGCTEKISFGGKRKHEEECIYAPCYCPLPDCDFVALSELFSNHFSHRHGDSLIKFSYGRSFIVSLKSNDKTIVLQEKNDNKIFILNNSTMLLGNSVNISCIGPNSSEAEYGCSILAGSKKCSLKLYYFPKNVHRVTLASHSSELLVIPSCYFGSSKFVKLEICITILLAIVMQYLTGKTTLLKVESSDTIASVKEILMGMEGVPVDQQQLIYGSKHLDDNWTIADYGIQDNSTLHIVLLRR >CAK8538281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474885068:474886454:-1 gene:gene-LATHSAT_LOCUS7496 transcript:rna-LATHSAT_LOCUS7496 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVSLNLECWKLRTLYSLPSDSWKSPRSVSCHTQKHVTERNKINGFSFSLLSRSRSLKGKRVNRACSCSAVFDDFHFHSDESGREILEPSLLGIQPEPPSWPEREEILRLSFERRVKSVGIPLSIRMIKKKLQLEQGFRDESSELNNCSIKKSFGSLLFILHELQNHALQTRESLCGEDLKSVMVKLNREMDDSFVWLFQHVFSETPSLMVDVMVFLSNFSVFSMYNNNIVKDVSEVLHGVVVQDECVKKELTAVEVKLWNFILEEASKMRTELRGEVLDHETMMKFVAPVCVEIEGDQYEEYEKTEAYYKEHLGLSPYNSLLLSNYAQFLFLVLHDTDGAEEYYKKSVLVESPEGETYCRYADFLLWIRKDNWAAELRYLQALESDPGNTFYLSKYASFLWNTDGQEDNSTSFPIEELDNLQI >CAK8567683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535630052:535630489:-1 gene:gene-LATHSAT_LOCUS20712 transcript:rna-LATHSAT_LOCUS20712 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLALSKPISEPCSIISTMTKLVKVAKEDGKILEFTSPIHVKDILTKFPGNYGIGVSKNAAEALPQDHELKGGELYYLIPHNKEELPEAGIKRIKVLITKQQLQQLVSKQISLQGLLSVVKEDGVHIRNVRKPILDSIPEENE >CAK8575090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28098434:28098889:1 gene:gene-LATHSAT_LOCUS27380 transcript:rna-LATHSAT_LOCUS27380 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVPLSIKYKFFNVKLEEWFSLNINHSLKAEAGWSVFWAMACHSICFWQNREDHNEEFVRPFNPTLHMHGRLKEYEDALVLNKKVVRRNKKIMLIKWIPTKLGMVKFYVDGACIKGVATGCGGIVKDDKDYWLGGFAKSVNMCSSYMAEL >CAK8565990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365284137:365285268:-1 gene:gene-LATHSAT_LOCUS19159 transcript:rna-LATHSAT_LOCUS19159 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENKEACVLALQRWHITHCVDYWVCKSDNERYVIKCKKQECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVSHSIRELVNSDTSLKVKVIIAHILEKYGYIISYRKALIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRLCINGFAYCKPIVQVDGTWLYGKYKGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREK >CAK8566767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460846234:460847187:-1 gene:gene-LATHSAT_LOCUS19876 transcript:rna-LATHSAT_LOCUS19876 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNKWVIPSVPSQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMTYVRDGRCKNFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDVMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTPIPKEKHLSFPGTTTLVQTNLLTYNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDEIIQTTEEDLEINFTSKRITRIPRSLSARHSVSEFYTALSQLPRPSTSQIREEIEAVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFHL >CAK8574209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669672130:669673667:-1 gene:gene-LATHSAT_LOCUS26572 transcript:rna-LATHSAT_LOCUS26572 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKTLQDFFVQEKPLFSIPSSPTSGNEEILSTVSYCTFVFTFTDPSESPAQRDSKRLQLSRLIAMLKTSKKPVHEKVVGPLVLMIKANLFRPLPPPTNPSAISEYLDEEDPVSIFSPLWSHLQIVYEILLRLVNSTDTKILRNYIDHSFLLNLLSLFQSEDPRERESLKNVYHKIYSKLVCDRSAMRKSMTDVLLNYVFETEKHSGIADLLEIWGTIVNGFTVPLKEEHKLFLMRVLIPLHKTKGMQVYHRQLAYCISQFVQKEPMLGGVVVRGILRYWPVTNCQKEILLIGELEDLVENLDPDQYRKLALPICTQITKCINSWNSQVAERALYVWNNEQFYKMATTGTVEVLPVIVEGVEKNLKLHWSKSVRQLTESVKVVVEDIDPDLYAKAQMEMKVKESIAHQEDMKRKKRWERIELAASKNQFVNPQRYICVSH >CAK8531664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:136932970:136934970:1 gene:gene-LATHSAT_LOCUS1439 transcript:rna-LATHSAT_LOCUS1439 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDQMKRFSLLGVSCILLVAVVAAVGGEGQQSAGKLCESMQYQETCQKSLAKASSETPDMKELLKAAFNATSVELLKHINNSSLYNELVKDNRTKQAMEVCNVVLDYAVDGITKSTGKIDSFDFNKLNEYAYDLKVWLTGALSHQHTCLDGFENSTTNAGETMAKILNGSLQLTSNAIDMINVASGIVKDLNANTDTGKRNLLSLESEKLVDGFPSWVNGGQRRILADETVKPNAVVAQDGSGQFKTVGEALKTVPANNAQPFVIHVKEGVYNENVNVPLEKTFVTIIGDGPTKTKFTGSLNFVDGTIVYNTATFGVNGANFMAKDIGFENTAGPEKRQAVALRVTADKAIFYNCQMDGFQATVFAESQRQFYRDCSISGTIDSIFGDAFGVFQNCKLVVRKPADEQVCTVTADGRVKSDSASGLIFQTCHFTGEPALASITPKIAYLGRPWKAYAKVVIMDSTIDDIFSPEGYLPWMGTAFTDTCTFYEYNNKGPGADTKGRVKWPGVKTISATEAANFYAAKFFEIANSTESDSWIVKSGVPYSLDPSHSSSDGKSEPGSSNSEAGSHSGGTRIKTFYSGFFIAAVYLLANMWM >CAK8539228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507164012:507166341:1 gene:gene-LATHSAT_LOCUS8341 transcript:rna-LATHSAT_LOCUS8341 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHEPSPSPKILLAKPGLVTGTPSAGKFGRGSTAEEDSTQLRSRLPSVASLNLLSDSWDFHFDRFLPFLTENTDFTVIGVIGSPGVGKSTIMNQLYGFDSSSPGMLPPFIIQSEETRAMARHCSIGIEPRISSERIILLDTQPVFSASVLTDMMRPDGSSIISVLSRESLSAELAHELMGIQLAVFLSSICHILLVVSEGVDDDSLWHLMSTVDLLKHGISDPSLLASSLSQSSNSGHDSDNKVSECEYMAIPVFVHTKLQDQELTPRNSLQLKKALMQYLKPTSFVKENARNKPKEHLSSSMVQGSHSDSDTLSLFTIPFKKKEENPRTQNESYISALWKLRDQILSMKSPSFRRPVSEREWLKNSAKIWEQVKSSPTIFEYYKTLQHSGMYRI >CAK8577729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585490451:585495686:-1 gene:gene-LATHSAT_LOCUS29809 transcript:rna-LATHSAT_LOCUS29809 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGGSSSSHSVAFRVMRLCRPSFNVDPPLRIDPDDLFVGEDHFDVPSAPSAADLIAPDSDPSYRDRFLLHHFSDSMGLSGLLVLPQSFGAIYLGETFCSYISINNSSNIEVREVIIKAEIQTERQRILLLDTSKAPVETIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDGERKYLPQFFKFIVANPLSVRTKVRVIKETTFLEACIENHTKSNLFMDQVDFEPAQHIGATILIGDGHHSEKDSPTKETFKPPVLIRSGGGIYNYLYQLKSSLDDSAQTKVEGSNVLGKLQITWRTNLGEPGRLQTQQILGTPTTKKEIDLQVVEVPSIINLQRPFTLKLNLTNLTERGVGPFEVSVSQNGSPGETAVMINGLQSMVLSQVEALGSTNFSLNLIATKPGIQRITGITVFDKREMKSYEPLPDLEIFVDME >CAK8543403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605800689:605803008:-1 gene:gene-LATHSAT_LOCUS12160 transcript:rna-LATHSAT_LOCUS12160 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVGDNIGLNEEVIKKSCSLSFKAHKSPKEPYLSENFKTSSDSAAYLVISFPGSWVETDWFVTKPVGETKIDLGHFPLLKSVGNDEIALVNQAFLNRFDSLFKFSSIISEVKRGVAEGKQVVFTGHSSGAVLAILATFWALEENFNQIQHKSPMCVTFGSPLVGNHILSHASNRQNWSRRFVHFVMRYDIVPRIFLAPFSCIEKLFSPVLQLLTPDDNNFKCQDSIRDSVSSEFYSTVMRNAATVTRHVACKLMGSTNLLLETMTNFVELSPYRPFGTYIFCNGNGQLIVVNNSNAVLQLMFHIAQLKDLTQLSEVANKSILQHLAYEAELEESLGMQNVVYLNKLDDLPLSSGDVPNTDIAAALDSLGLSVRARLCLRAAGELEKQKERNEEKIKKEVQEKAVASMRYLEEYKATCEINKGKGYYDAFKVQKETKDFQANVKRLVLAGVWDEIIEMLKRYELPDEFEGKKEWIELGTRFRRLVEPLDIANYYRHLKNEDTGPYMNKGRPKRYKYTQRWLEHANRLPKEDITESSFWAEVEELCSWISNNKPFEDVKERVLKLEHDIKKWTDKRDLTNDVFSKDPTFMKLWETLPHEHKSTSWISTLFTVKG >CAK8573816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639867344:639877987:1 gene:gene-LATHSAT_LOCUS26219 transcript:rna-LATHSAT_LOCUS26219 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNQQYPGLQPLRPPMSGSMDPQRNFGQLMPVQFRPGVPNQQPQQFVPMPPQHYHQQYNVGMPPQNQQQQFSQPIQQFPPRVGQQLPIQPQMMQMPIARPNMQTPSDSMMPQPDSQAPNGYTPGLGGPAMPLSSSFMFAPSSYGQAPQTNFNSTGQYQPVPQIQAPTGSLSQSITPGTTPQSNGEQPTATTVIPSATNVQPHLTSVGSSDWIEHASSTGRRFFYNKRTKLSSWEKPFELMSPIEYDQLGGVHVTRRTVKVAGEIRVDASTNWKEYTSPDGRKYYYNKVTKESKWLIPEELKFVREQVEKEKVNATLPEPLLNPCSQPSAVSVTEAMPSTGNSSLPGQDEPTSPVSVAPVVTTSPINQQSEMTSGSHVSPSAAAVTGTKVDKPEAPTNTINPPDVSVGSDRAFVSDINTAATPKNDANNFSAQDTVVSGDGVPGEDKEAGKNDSIGENVNDVASETKAVEPESLVYVNKMEAKDAFKALLESVNVGSDWNWDRAMRLIINDKRYGALKSLGERKQAFNEYLSQRKKQEVEEKRMRHKKAREDFRKMLEESTELTSSSRYSKAIAIFENDERFKAVERERDRKDMFDSFLEDLSNKERAKVLEERKRNTVEYRKYLESCDFIKANTQWRKVQDRLEADERCSRLEKIDRLEIFQDYLRDLEKEEEEQKKIQKEELRKTERKNRDEFRKLMDEHITSGILTAKTHWRDYHSQVKELPAYLAVASNTSGSTPKELFEDLAEELEKQYQEEKSQIKDTVKLAKITLSTTWTFEDFKSALSEHVNSPPVSDNNFKLVFEELLERAREKEEKEAKKRKRLADDFFHLLYSTKDISESSKWEDFRPLVEDNQEFRSIGDVSLCKKMFEEYVTQLKEEAKENERKRKEERAKKDKDREERERRKAKQRREKEGGRERWKEDTHKRDRTDSDSMDLNDIQSSKENKRKQHQSPDYVSHETDKERTKKSHGHSSDRKKSRRHSSGHDSDEGRHKRHRRDHRDSHREGDQLEDGEFGNDMVMDRW >CAK8573815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639867344:639877987:1 gene:gene-LATHSAT_LOCUS26219 transcript:rna-LATHSAT_LOCUS26219-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNQQYPGLQPLRPPMSGSMDPQRNFGQLMPVQFRPGVPNQQPQQFVPMPPQHYHQQYNVGMPPQNQQQQFSQPIQQFPPRVGQQLPIQPQMMQMPIARPNMQTPSDSMMPQPDSQAPNGYTPGLGGPAMPLSSSFMFAPSSYGQAPQTNFNSTGQYQPVPQIQAPTGSLSQSITPGTTPQSNGEQPTATTVIPSATNVQPHLTSVGSSDWIEHASSTGRRFFYNKRTKLSSWEKPFELMSPIERVDASTNWKEYTSPDGRKYYYNKVTKESKWLIPEELKFVREQVEKEKVNATLPEPLLNPCSQPSAVSVTEAMPSTGNSSLPGQDEPTSPVSVAPVVTTSPINQQSEMTSGSHVSPSAAAVTGTKVDKPEAPTNTINPPDVSVGSDRAFVSDINTAATPKNDANNFSAQDTVVSGDGVPGEDKEAGKNDSIGENVNDVASETKAVEPESLVYVNKMEAKDAFKALLESVNVGSDWNWDRAMRLIINDKRYGALKSLGERKQAFNEYLSQRKKQEVEEKRMRHKKAREDFRKMLEESTELTSSSRYSKAIAIFENDERFKAVERERDRKDMFDSFLEDLSNKERAKVLEERKRNTVEYRKYLESCDFIKANTQWRKVQDRLEADERCSRLEKIDRLEIFQDYLRDLEKEEEEQKKIQKEELRKTERKNRDEFRKLMDEHITSGILTAKTHWRDYHSQVKELPAYLAVASNTSGSTPKELFEDLAEELEKQYQEEKSQIKDTVKLAKITLSTTWTFEDFKSALSEHVNSPPVSDNNFKLVFEELLERAREKEEKEAKKRKRLADDFFHLLYSTKDISESSKWEDFRPLVEDNQEFRSIGDVSLCKKMFEEYVTQLKEEAKENERKRKEERAKKDKDREERERRKAKQRREKEGGRERWKEDTHKRDRTDSDSMDLNDIQSSKENKRKQHQSPDYVSHETDKERTKKSHGHSSDRKKSRRHSSGHDSDEGRHKRHRRDHRDSHREGDQLEDGEFGNDMVMDRW >CAK8563523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612404748:612410725:1 gene:gene-LATHSAT_LOCUS16923 transcript:rna-LATHSAT_LOCUS16923 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNSAPTDLRVLNVAPIMVKEPLISSASGSTPPTPDSISDYFYPTSASSAGAASATWCLRPIVSPPSIGLNYGSRVVAGNALNLGNWVGANNSNNNNNNTGWHNVCNDGVPVNVSGFSYGAHGGTRVVDDTGDDLNSGRKVKFLCSFGGKILPRPSDGVLRYVGGQTRIISVKKDVSYNDLVLKMVDTYGQPVVIKYQLPEEDLDALVSVACPDDVENMMEEYGKLVERSSDGSAKLRVFLFPLLEVDLSGAAQSGDLQDTGQKYFDAVNGFIDGYGVCCGFQRKESVTSAASTQNSDLSGIETIDSSVAGQGDSASCVLSPREYVAASPDTSVNLAASESSTPVYSGASSGVSFKTGPTNTSYTQNEVESEKSASVTYPQQPFELHQSGMEIPPPSPYWNHAEYVHLPPQTGFPNPQLLGKTGPVFAQQQFHNNNMPGLAFRQVIPGAQMTMTQPSSRVGIRPNVIQPQPLIQPQHNHLNQYNDENTSGIRIVQLPAEHSYNTYQVPVNQVPSVIVGGNRGWVQVPPQEHVVFSDGSLPQQVIMPEKIQRVEECSMCQKKLPHAHSDPVVPDQHDGGSGPVPDSSPSYKSFPMEDNVKAQATKRVEPMVASPLKEGVVEQGFSTRPSVISKLEVHNGVRNCTDNTGISYNFEPQHEGGRTFIQIPEEFDHSMNSFIQETIGRTDGKHSSRDGLGTMGTTPPSYPDDVVRQHMAPVETWVKQDEHVNRHVNNNIPIVDGMTLHTPECVAQGTSKEYTNELTGVVSKSDTVNNWIRQGYVRPVDGRNDILKIHNADVSNDQCLLPVDKSLDYKSQIATEEEVILDNNFVTPKLIVDADKIKMIGVQPSSSKEILYRQNSRTGDDNDVAQPLVLSATQSNIVNHHKNDSTSSTSSPSFMFCDMQDSPNSVFGNHDPWSIPHGYKKSNLDAQLDGDLYESFKQNLTFENGCCDKVSTEDQQLKVVAEDVAASVLHPCAPSNPNLQAGDVSCHKNIEDGDVQNNAIDAMCRDITQDVKSNSPEKGNFGFPTSGVGRLQIIKNCDLEELTELGSGTFGTVYHGKWRGTDVAIKRITDRCFAGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGDSVATVTEYMANGSLRTALQKNERNLDKRRRLLIAMDVAFGMEYLHGRNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKIDVFSFGIAMWELLTGEEPYSDLHYGAIIGGIVSNTLRPPIPDTCDVEWSVLMERCWSSEPSERPTFTDIADELRSMASKRQNQQLQPSPLSTQVNK >CAK8532837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:529052543:529052866:1 gene:gene-LATHSAT_LOCUS2501 transcript:rna-LATHSAT_LOCUS2501 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASENY >CAK8579482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:702078331:702078913:1 gene:gene-LATHSAT_LOCUS31426 transcript:rna-LATHSAT_LOCUS31426 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIESTGHHLTAAAIIGHDGSVWAQSSSFPQIKPQENTDIMKDFDEPGHLAPTGLHLAGVKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGVYEEPVTPGQCNMVVERLGDYLIDQGL >CAK8570643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:80747265:80748458:1 gene:gene-LATHSAT_LOCUS23379 transcript:rna-LATHSAT_LOCUS23379 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCWEAMNDNLKLQLSNIRASFQKSFYEVEHAHVSPFYNNLCGSVSRDALRRIAEELKRVDYVGTNKEICRCTLRTTYGLPCACELTGYRIDGLLIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDASKKLKLSQSSQSSKQFILQFPNHTRSYIDDVVNVASDGNCGFRVNASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDDFGPQPPHKWLTLPDMGYMIANYYIVLLVYLGIECWTFFPMTSSFSPNVAIYCIGFVNRNDWVQVLSFF >CAK8539876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530006672:530012868:1 gene:gene-LATHSAT_LOCUS8934 transcript:rna-LATHSAT_LOCUS8934 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVARGLRVPQSNRSNNSLGSHFSRFFSSGVNSSYTVVDHTYDAVVVGAGGAGLKAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMRHNTQFFVEYFALDLLMNSDGTCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMAARAGIGLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGDDPDALVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEINRPGEKQKPLEKDAGQKTIAWLDRLRNSNGSLPTSKIRLNMQRVMQSNAAVFRTQETLEEGCQLIDKTWESFHDVKVEDRTLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTTRDDEKWMKHTLGFWENEKVRLDYRPVHLNTLDDEVESFPPKARVY >CAK8578500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633445783:633446421:-1 gene:gene-LATHSAT_LOCUS30505 transcript:rna-LATHSAT_LOCUS30505 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLNPRVPHVLQPKQSNTLSLNDCLTIFIDYTHLSISSASNDPKDLTFKLKTPVFKKFEIPCEILCNNNDINNQFLYETFDVIPSNLIGAAIRNLKDCARQMVLDGKLEEMRMRFRKVSSHIPKKDEYDQNHDNDQQIVGLSSNLKVDVTLDSKDRCSICFEEFCNGSQTELFYTKCSHIFHKLCIAEWIFQCVDHARDYPCPLCRCDIV >CAK8530762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47577672:47578109:-1 gene:gene-LATHSAT_LOCUS604 transcript:rna-LATHSAT_LOCUS604 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRLKFTVPSSPKTLTSLKTPELESRNTTTNLKMPEIESRITAGEDTDMTNTLENETKKTLTETVQTQPGERKLWVDIINDNRNPAKGMAIEYVAPKVINGVIEIEIEQEYIAIVVPQNLPNLFLTWLLFHCISISLV >CAK8575035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22978786:22979988:1 gene:gene-LATHSAT_LOCUS27328 transcript:rna-LATHSAT_LOCUS27328 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIEDRMEDPNEKEDQNNQEEEKQKIASFLSHSKENNNGKRHAVETSDPSFLIQQLGRDISIHCLLQLSRSDYGSIAALNTGFRSLIRSGELYHLRRKMGILEHWVYFSCEVFKWGAFDPNCGRWMQLPKMNCDECFMLSDKESLAIGTDLLVFGKELMAPKIYKYSLLTNSWSVGKMLNTPRCLFASASLGGIAILAGGCDMCGNILSSAELYNSDSEKWEILPNMNKARKLCSGVFMDAKFYVVGGIAADKITQLTCGEEFDMITKEWREIPNMFLIRNNVLETPPPSGSPPLIAVAKNILYAADHLQREVKKYVKRNNSWVTIGGFPQQANSMNGWGLAFRACGDKLIFLGGHGLRGGTMLEINAWVPDENAPQWNRLGTKESRSFIHNCTIMGC >CAK8535618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870033637:870034377:1 gene:gene-LATHSAT_LOCUS5061 transcript:rna-LATHSAT_LOCUS5061 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRLEPCSSHNLNLSFSDKRQTENEEKKHLLLDLSLPSKDHSGDHESSKQELNLINCFDTDASNSMNSSTESNHGNELEPRIFSCNYCQRKFYSSQALGGHQNAHKRERTMAKRGYRSSVSLDFEHRYSSLASLPLHGSYNRSSSSSLPLGIQVHSSMIHKPSYQAPFFGLSRSRVQNQWQKLPVYSQAVIGNLASETDGSSFSQREVQDGFGGYWFGNSATATDTRLKTKQDELQKLDLSLKL >CAK8579100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674661301:674661963:1 gene:gene-LATHSAT_LOCUS31069 transcript:rna-LATHSAT_LOCUS31069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLKATRNLSITALVQSTYYRMGSLFGKRGHKWTKMLATGKVFTDGCNKGMTDEVAKTNTHNVMQFDRERLCFMVQEKINQNDGRLTACSSICQDYAIHIPKVFAVLNVFKVYKESFLELPHEENWSKYEGFTLCHDDSMRRNKKGRPISSRIRTEMEDAEKEKRRCGICREIDHIRRKCTNGVGPSNR >CAK8579099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674661277:674661963:1 gene:gene-LATHSAT_LOCUS31069 transcript:rna-LATHSAT_LOCUS31069 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAMKSVLKATRNLSITALVQSTYYRMGSLFGKRGHKWTKMLATGKVFTDGCNKGMTDEVAKTNTHNVMQFDRERLCFMVQEKINQNDGRLTACSSICQDYAIHIPKVFAVLNVFKVYKESFLELPHEENWSKYEGFTLCHDDSMRRNKKGRPISSRIRTEMEDAEKEKRRCGICREIDHIRRKCTNGVGPSNR >CAK8572895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570120685:570123430:1 gene:gene-LATHSAT_LOCUS25401 transcript:rna-LATHSAT_LOCUS25401 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVASSDKDEIKEGNKSCDDSLVAIVACDEDEEPRTGMTFGSEEDVTQYYTNYAKRMGFGVGKISSKNGDDGRKYFTLACYCARKYVSTSKNPSKQYRTSKTQCRARLNACIALDGTITVSRVVLEHNHELGQTKGRYFRLDENSGPCIKKSLELNDQAAINVSRSLQSAGVVTNGCVNVTFGEKDFRNHIHKVRRIKLGRGDVDAIHSFFSRNQKQNSQFYYAIDMDDKRNLQNLFWADARCRAAYEYFGEVITFDTTYFRNKYDLPLALFVGVNHHGQFILLGCAILSNVDTKTLTWLFTRWLECMHGHAPNGIITDDDKAIKNAIEVAFPKARHRWCLWHIVKKVPEMLGKHSYCESIKTLMHDVVYDSQSKSDFMERWGNMIERYKLHDNGWLKELFDERNRWVPVYVKDTFWAGMSTTQRRERIESFFDGYVSSKTTLKQFVEQYDDVLNDKIEKESMTDFDSFNTTIACVSHFGFEVQFQKAFTNAKFKEFQVEVSSMMYCNTSFERLEDLNSIFSVTENKKVNENIKDMVFKVSFYEKDFKLQCTCCLFEFKGILCRHILCVLKLIGKTDSVPPYYILSRWRKYVKRRYTLIKRGFEELQRVNKACDAFYEVASTSINSEEDLLKMMNWIKELKNVLTCKGPSSRIIEENSSIPNHVTRILDSAVTQCNGCPPSKRKTSDIDQILKKKLARNKTQKNNQENKKGQSQEEDLFTPIVQEVEGHIGHITQAQHNTIGMINDHHKDIGQLLL >CAK8537824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439406441:439407265:1 gene:gene-LATHSAT_LOCUS7078 transcript:rna-LATHSAT_LOCUS7078 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKEIIIREVWAYNLEYEFNLIRQAIHQNHFIISMDTAFPGVIHSLKIDHLRLQPTDYYRYLKANVDDLKLIQVGLTLSDSRRNLPDFGSNNTYIWEFNFSDFDVNHDLCNQDSVDMLRRQGINFERNICHGVDSKRFADLMFSSILVFKESIVWVTFNSAYDFGYLVKILTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMTKFCNSLYGGLEQVATILNVSRAIGKSHEAASDSLLTWHAFLNMMKTYFKDDEARKHAGVLFGLEISAYNE >CAK8540066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537962334:537966581:-1 gene:gene-LATHSAT_LOCUS9104 transcript:rna-LATHSAT_LOCUS9104 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEIKSPPVSCPPSELQYSPSLTRSPLLHSENEDTTHLKNKTPRRMPFTPPRFNLTPLASPMRKALKLTKLNPQDAWLPITESRNGNKYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIISLTIAFIWQLYTLWLLVHLHESRDDGVRYNRYLELCFATFGEKWGKLLALFPILYLSAGTCTTLIIIGGSTARTFYEIVCGESCTSKPMTTVEWYLVFTCAAVVLSQLPNLNSIAGVSLIGAVTAVGYCTSIWVISVAQGTLPGVNYNPVRGGNNVEKTLGVLNAFGIIAFAFRGHNLILEIQATMPSSDKHPSHVPMWKGVKIAYTLIASCLFPVAIAGYWAYGQLIPKNGGMLTALYQFHSHDISRFVLALTSFSVVVNCLCSFQIYSMPIFDDMESKYTKKMNKPCPWWLRTSIRIFSGFVSFFVGVATPFLASLAGLIGGVALPVTLAYPCFMWLKVKNPKKYSVMWCLNWFLGTFGIGLSGLLIGASIYVIIETGVKVSFFNPK >CAK8574937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16301895:16307051:-1 gene:gene-LATHSAT_LOCUS27235 transcript:rna-LATHSAT_LOCUS27235 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTTTHYFRSFIFISLFIKILSFDPIPLFSFVDFEKDLKFKSSVALFGNAKVVNGESKIQLSGSGSSGAETGRVIYKKPIKLFQGKPKQLVSFSTYFTFSISLESRLESGGGLAFVLIPNSVKGGVFNQTSSGFSLGLKNKDFEVIGVEFSVSRDGKNEILIRNGDSSKIYLTNLALRSGEKMHTWIDYEASSMRLEVRLSKNGNSKPFDPLLWDKIEVSNVLKEEEMFVGFSPVKENDAFLYSWSFVVRHFPPHSMHSEPLDPKVFVKNSENPMVVESKEKGKSDCFLRVLAAMIFGTGCGALTAFTVLYLWTIFGNKRGGAVVPEGCVMQGLDGDYRKVKIVVDSKTIGDANK >CAK8574938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16305978:16307051:-1 gene:gene-LATHSAT_LOCUS27235 transcript:rna-LATHSAT_LOCUS27235-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTTTHYFRSFIFISLFIKILSFDPIPLFSFVDFEKDLKFKSSVALFGNAKVVNGESKIQLSGSGSSGAETGRVIYKKPIKLFQGKPKQLVSFSTYFTFSISLESRLESGGGLAFVLIPNSVKGGVFNQTSSGFSLGLKNKDFEVIGVEFSVSRDGKNEILIRNGDSSKIYLTNLALRSGEKMHTWIDYEASSMRLEVRLSKNGNSKPFDPLLWDKIEVSNVLKEEEMFVGFSPVKENDAFLYSWSFVVRHFPPHSMHSEPLDPKVFVKNSENPMVVESKEKGKSDCFLRVLAAMIFGTGCGALTAFTVLYLWTIFGNKRGGAVVPEGCVMQGLDGDYRKVKIVVDSKTIGDANK >CAK8536989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51429422:51429981:-1 gene:gene-LATHSAT_LOCUS6310 transcript:rna-LATHSAT_LOCUS6310 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRFVISMANVDDLRWVDNIPAEKRTGAFDRGRRWGLMTTNLVESLNVVFKDNCLKVMKEETTKSSTHQVRIFDYTNNVFSVKETMDHGERKPMGHYKVNLLNG >CAK8541640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:323117226:323119723:-1 gene:gene-LATHSAT_LOCUS10545 transcript:rna-LATHSAT_LOCUS10545 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTTVASRSEGEILQSSNLKSFSYDQVRVATRNFRLDSVLEEGGFGSVFKGRIDEHSHAPTKPGLGIIVAVKRLNQDGFQGHREWLAEINYLGQLQHHNFVKLIGYCSEDDYRLLVYEFMPNGSMENHLFRRDSYFQPLSWSLRMKIALGAAKGLAFLHSTKPKVIYRDFKTSNILLDSNYEAKLSDFGLARDGPTGDKTHVSTRVMGTRGYTTPEYVSTGHLSVKSDVYSFGVVLLEMISGRRVIDSYLPSIEHNLVHWATPYISNKRRVFRVMDP >CAK8575973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:377708680:377709117:1 gene:gene-LATHSAT_LOCUS28195 transcript:rna-LATHSAT_LOCUS28195 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRMYAGRRRLKPHFEGVKTFITWGFGQECCQREGGVRCLCLKFGCRRIISKPEEVETHLKRKGFKDNYWVWISNGEEMSTNMSETSNLQQSSSIQSHMEYEEQFNLHDDMIGDALGVSVAYDEQQDSDGKSCRMKKLKKIISC >CAK8573616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625030728:625032524:-1 gene:gene-LATHSAT_LOCUS26046 transcript:rna-LATHSAT_LOCUS26046 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLLLLVISLAFRLTLSVSVSESQSVSLLPHAHESFNFSYIRMKNEASCSYLVVISTSCSSPRYTRDQISISFGDAYGNQINAPRLDDPSSGTFESCSSDTFQINGPCAYQICYVYLYRSGSDGWKPDTVKINGNSGGPVTFYYNTFIPRDTWYGFNLCNDAASSYKATDRKWLMLVILGFVLSFWL >CAK8543509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613267014:613268572:-1 gene:gene-LATHSAT_LOCUS12263 transcript:rna-LATHSAT_LOCUS12263 gene_biotype:protein_coding transcript_biotype:protein_coding MMYERAKLHTALTFLQFCHAGNHIFLRIALNTGVSKLVFPVYRNITAFILLAPLAYFNEKKDRPPITSYCLLQFFLLGLVGITMKEGFYLLGLDNTSPTFASAMQNSVPALTFLMVVILRYERLRLNRINGIAKILGVVASVGGASIITLYKGPTIYAPESRLAVHQRRFLFLFEEANGKILGLGGIFLFGHFLSWSGWIVIQAFVLKNYSAQLTVSAFTCLFGIVQFGTIAAFLEKDPKAWQLNSIDEAYSILYSILVISGVAAAIQIWTISKGGPVLASIYLPLQTLLVALITSIAFGEEFFLGGIIGAILIITGLYLVVWGRSQETKSDEPENHPKEKLDSSSLIQPLISVHNS >CAK8564942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17986328:17988393:-1 gene:gene-LATHSAT_LOCUS18192 transcript:rna-LATHSAT_LOCUS18192 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEEYAAFEEKVKRTVYLDNLSPQVTESVIRTALDQFALVKSVKFIPNYLGTNSLPQCALVELESAKKVKEVIAMIKQYPFMMSGMPRPIRARPSLMEMFDDRPIKPKRKIKCCWLEQSDPDFEVAKELKNLTRKHSAEITLMHKVLSKEEEKLATQQAETLKVHYKKYRMIESIMTDRAAHTLARKYNLTVADD >CAK8576910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523614062:523614543:-1 gene:gene-LATHSAT_LOCUS29066 transcript:rna-LATHSAT_LOCUS29066 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTCLTLTTLLVFGTLIYNTSNVSAQCGGSLPALISECSKFVQKSGPTIPPSPGCCAVIRTFDVPCACKMVTKEAEKFVSIQKTIFVARSCGVKLPAGLQCGSVKVPPKAMK >CAK8574339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676016378:676017921:1 gene:gene-LATHSAT_LOCUS26694 transcript:rna-LATHSAT_LOCUS26694 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKAKADLLSQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKETYFPLRKYAIKA >CAK8539161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505767237:505771114:-1 gene:gene-LATHSAT_LOCUS8281 transcript:rna-LATHSAT_LOCUS8281 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKQGTILITNDDGIDAPGLRALVDSLVNTNLFNILVSAPDSEKSAVSHSITWLHPVAAKQVHIHGTLASFAVSGTPADCTSLGVSKALFPTIPDLVVSGINKGSNCGYHIVYSGTVAGAREAFFNDIPSISISYDWVEGKSNPHDFALAAGVCIPIISALLVEIKNQSYPGRCFLNIDVPNNVANHKGYKLTRQGKSIFKMGWREVTSETKGRIMSSDMTNTETDTPKNVGTSSASSASSEHLLFAREIRGSVLDHDDDTDHKSLKEGYITVTPLAGLSRADVDSHTYFEDWLQSVPKHLSSAAL >CAK8573067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580524113:580526484:-1 gene:gene-LATHSAT_LOCUS25557 transcript:rna-LATHSAT_LOCUS25557 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPPKIIQSEQKGVDNGPARRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGILMLLLSWCLTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGAWIVLPQQLIVQVGCDVVYMVVGGKCLKSFVEIACTNCAQIRQSYWIMVFGGIHFFLSQLPNFNSVAGVSLAAALMSLSYSTIAWVACLSRGRIDNVSYSYKQISKTDLLFRVFNALGQISFAFAGHAVTLEIQATIPSTPEKPSKIPMWKGAICAYFINAICYFPVAIIGYWAFGQDVDDNVLMSLKRPSWLIASADLMVFIHVIGSYQVYAMPVFDLIERIMMQRLNFPPGVALRLVARSAYVAFTLIIGITFPFFGDLLGFFGGFGFAPTAYFLPSIMWMIIKKPKRFSTNWFINWGSICIGVCIMVASTVGGLRNIIADSSTYSFYT >CAK8568211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582251705:582253248:1 gene:gene-LATHSAT_LOCUS21192 transcript:rna-LATHSAT_LOCUS21192 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTVPENLTREQYVYLAKLAEQAERYEEMVSFMQKLVLVSTPSSELSVEERNLISVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEDHVVLVKDYRSKVESELSNVCASILELLDKNLIPSGSSSESKVFYYKMKGDYHRYLAEFKIGDERKSAAEDTMLSYKAAQDIAAADLPSTHPIRLGLALNFSVFYYEILNQSDKACAMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >CAK8539016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501782123:501784328:1 gene:gene-LATHSAT_LOCUS8156 transcript:rna-LATHSAT_LOCUS8156 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKKSKKKNYGKGYISTQHEVIQPQPLPHLIQPQLTQPQLTQSKPQPIPHLIQPQIQPIPHLTQYHTQPQPQPIPHSSRPQLTQLRPQPQPIPHPIRPQLTRPPSRSISQSHYSQPQHNQPQPRPQSTQSHPLQTQPQHNQPQPQPNQPQSQNQPQSQNQPQSRPIPSQPNQPQSQHRSRPIPSQFMVVEAPSSSSHASGDTVGTRIPIVPEGDGFDHHKLVVSEIAHIIRTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVTVRRNFEKRSAAKMSQLMQDVRRDLEYRPEWMGEEVWKKLTVHWNSSKFKKVSATNKRNRCSMDGASLHTGGSIPHRLHWKRMKKEKGADPSLTEFYFRTHRKKDQSWVGVHAESAYEEFERKKLMISSENSTVPGEDEVDSQPTVEMPSDLDIWVESFGRKKGRVFGLGTIAKTLVPSSTQPSLSSNSQEVDDLRSQVHALNASLQRQEQEKLEMKQQLQRQEKEMIETNNKLSLLMNHLGFAASSSHPPQANNEIDNQSEDDVDESDEDISEHISSEF >CAK8539017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501782687:501784328:1 gene:gene-LATHSAT_LOCUS8156 transcript:rna-LATHSAT_LOCUS8156-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSSSSHASGDTVGTRIPIVPEGDGFDHHKLVVSEIAHIIRTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVTVRRNFEKRSAAKMSQLMQDVRRDLEYRPEWMGEEVWKKLTVHWNSSKFKKVSATNKRNRCSMDGASLHTGGSIPHRLHWKRMKKEKGADPSLTEFYFRTHRKKDQSWVGVHAESAYEEFERKKLMISSENSTVPGEDEVDSQPTVEMPSDLDIWVESFGRKKGRVFGLGTIAKTLVPSSTQPSLSSNSQEVDDLRSQVHALNASLQRQEQEKLEMKQQLQRQEKEMIETNNKLSLLMNHLGFAASSSHPPQANNEIDNQSEDDVDESDEDISEHISSEF >CAK8575388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106043110:106046824:1 gene:gene-LATHSAT_LOCUS27657 transcript:rna-LATHSAT_LOCUS27657 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFIIAGDNLVAKCPTWSWESGEPSKRKPYLPSEKQFLITRNVPCLRRAASIEEEYEAAGGEVLLDDEDNDGWLATHGKPKEIKSDEDENLPSMESLEISEKSSIKPVSSFKGGEDDEDIPDMAEFTEYDNIIETDPSTYLVAHEPDDDNILRTRTYDISISYDKYYQTPRVWLTGYDESRILLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASIHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSN >CAK8540029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536385120:536387791:1 gene:gene-LATHSAT_LOCUS9068 transcript:rna-LATHSAT_LOCUS9068 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTNLSLSPSLKQPIPLSSLQNPNFLHPHSLFSHPTNHFPKISSLSTSLRSPICASSSQLMDPFSAKTQPGTPTTVNVDLGDRSYPIYIGSGLLNKPELLQRHVHGKKVLIVTNTTVAPLYLDKVVEALTNGNPNVSVESVILPDGEQYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPERELASGFAEVIKYGLIRDAEFFEWQEKNMQALMARDPNALAYAIKRSCENKAEVVSLDEREGGIRATLNLGHTFGHAIETSVGYGKWLHGEAVAAGTVMAVDMSYRLGWIDDSIVKRVNDILKQAKLPIVPPEIVTVDMFKSVMAVDKKVADGLLRLILLKGSLGSCVFTGDYDRKALDDTLHAFCKS >CAK8577650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581179292:581181793:1 gene:gene-LATHSAT_LOCUS29738 transcript:rna-LATHSAT_LOCUS29738 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSRATTLSSLPTTLNKLHRLPLANASLLSGKSIGSLSDGGNLVWGRQLRPELCSPVLKKGPSLLRPCPATAGGNDSAGEEKVAPVGFFSRYPALTTGFFFFTWYFLNVIFNILNKKIYNYFPYPYFVSVIHLAVGVVYCLVSWTVGLPKRAPIDGNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVLGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTDMDSTNIYAYISIIALIVCIPPALIIEGPTLLKTGFNDAIAKVGLVKFVSDLFWVGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKISTQTGIGTGIAIAGVALYSFIKAKIEEEKRQAKAA >CAK8539886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530254000:530261576:-1 gene:gene-LATHSAT_LOCUS8943 transcript:rna-LATHSAT_LOCUS8943 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMEFKVSELLKEVQVDYSSQFSKLVDDTVSSIKSSIDKIPKNYKVTAELAPSFVRDIGADKVEFKFKKPSVFKFGGSYSIQSLARSELNVDLIIRLPKECFHEKDYLNYRYHAKRCLYLCLLKKYLEKSPSIGRVEWSTLQNEARKPLLIVYPAAKLVDVDVFFVRIIPSAMSIFSIPKLNMTRNNIHNSNNGSSDQATPKYNSSILEDMFIEDTELMNKLFLGWKELREALILLKVWARQRSSIYAHDCMNGFLISIILAHLASREQIKKSMKAIEIIRITLNFLATSETWSRGLYFPKEGQGNITKEERMQLKGSFPIVICHPSGAFNLAFRMSRIGFTQLQDEAATTLKCMEKCRGGGFEEVFMTKIDYAVKYDYCMRINFKGNKELYASGICLDDECWRLYEEKIHAILTKGLGDRAKFVRVIWRNAECQWRVDDGLSILDKEPLFIGISVSNLEKAYRMVDIGPNAESKEEGLEFRKFWGEKAELRRFKDSRIAESTVWECQKWERHLILKNIAKHVLSLHLSLPKDNIVVVVDQLDFSLAHGAADPISYSGSLLEAFDVLSKRLRLIENLPLKVSSVQPLDSAFRFTSVFPPEPHLLANENIESLRLNKLVPSCIQPLEIMIQLEGSGNWPMDETAIEKVKSSFLIQIGESLQKKWGMTCTATQDDVDVLMSGYAFRLKLLHERALSLFKEIGNDKKIWVHSADKTLFIRSQHASMINGLQSLYPIYGPVVRLAKRWAASHLFSACLVEEAIELLVAYLFLNPLPFDAPCSRITGFLRFLQLLSDYDWTFSPMVVDINNDLSQSDVKEINDNFLLQRKGHGENGQNVGPVMFLATVYDKASEAWTGLSPSALELKRLAAYAQSSANLLRKLIFQEEIGPNRWECLLRTPLNNYDAIIILHKDKLAYPQRLLFSSEAGHGTQVAKGPASKCFQPFLLPKDLRGRPEELKKKLLVDFDPSRCFIKDLEKEFSTTFKLWHDSLGGDVIGLTWGKSGPSKKRKQGEVVEEGYNPRKVLKAVGEVGKGFVRSIYFIKPPRLAN >CAK8573877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643864727:643866406:-1 gene:gene-LATHSAT_LOCUS26277 transcript:rna-LATHSAT_LOCUS26277 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVHIERNIHQYNGIHQYNGSNYFINSASSMEENNSIMRGVYTSDSNIWNNGYLHHNYNLHNFSQPPWRGWVGLMATDCLDCQHLQAIIEEGDPRYVAMILFEIKDNLHEMMKHQYSNYLIQKIFEARKGVTNIQIDSIIYLIISDTQKLCDVCNNNHGTRVVQIMLENIKCPLTKYAVVYTIKPIIVDLMTNINGGYVIIQCVKVLPPTLKKVIMDELTKYCVDIATHKIGCSIVQTCLKDGGILANDLITTIISNAMLLAENRYGNYVVQFIIKMNFPLVNKRMIAELSGKFVTLSMDKHGSNVVEDLLKCSDQDDVNAIVRELMRNTNFLKVIQDPYGNYVAKRAIKCTKGYLRRKLSSLILSYRNELQNHPHGKIVFDNAKSKKR >CAK8571373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:393270809:393274425:1 gene:gene-LATHSAT_LOCUS24040 transcript:rna-LATHSAT_LOCUS24040 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPKGRTVGRTECSWCKAVCGGTGVAVIALLTSKPPNTHRLQTSLHKLQDSHPILRSTLLHHTSTFSFLTSPIPFLQLTSHDLSSNHDTVSVSPLQQVLELELNNDTPWRDTTRDTNHMFFGSVYAMPNNTWVIALRLHVAACDRTTAVLLLRELLELMEEKEQVVALENDEKVSDQISLAIEDLVPRDKTKKAILSRGFHMLGYSLNSLRLSNLKFNDTKATRLSQVVRLQLSEDETKGVLAGCAWNGIKLCGVLSAAGLMAAHGSKRGSKKYGVVTLTDCRSTFQSRLSDDFGFYHSAILNSHEIKGGETLWELAKKTYGTFANSKKTNKHFSDMADLNFLMCKAIENPGLTSSSSLRTSIMSVFEDTVVDNSCKKQREVGVEDYLGCASVHGVGPSIAIFDTIRDGNLDCVCVYPAPLHSREQMNELVGKMRDILVDASMAFKE >CAK8568468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608861555:608864261:1 gene:gene-LATHSAT_LOCUS21423 transcript:rna-LATHSAT_LOCUS21423 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNKHQGGEVSLMEKKREVVVTISNVAGESHGQDHHDHGLKVFDSMPHCENELPSKSPPPLNYVSPEIRFTPSPNKPPRAPTTNLTTRKSFVRSVYSKPKSRFGEQPYPIDGNLLEENFVSSNSQEQLAASSPYRNSFNKGSYSPSREAGMVNRTASFTSVVTPRTPLTASPDSSDDEDPDETIYKKVEFSKGNRKILTTKVSIELFVFVSIAGCLLVSLAVEGLRRTVIWRLGLWRWCMLAMVTFCGMLFTKWFMHIVVFLIEMNFLLRKKVLYFVHGMKTCVQVFIWISLVLLTWTLLINHGVQRSKLAAKIMNDVTWTLASLFIGAFLWVIKTLLLKILASNFHVKSFFDRIQESIFHQYVLQTLSGPPLMEEGEKIGGCQSFGRFSFTSTTGKDGTKKEVIDMAKLHKMKQDKVSAWTMKILVDAVMNSRLSTISNSLDESFYDVKNEQTDKEITNEMEATAAAYYVFRNVAASPCCKDIDEDELRRFMNKEEVLLVFPLLAHAETGLITRKSLADWVLKVYQERRALAHALSDTKTAVKQLNKLVTAFLVVVTVVVWLLLMEIATTKVLVFLSSQLVLAAFMFGNTCKNIFESIIFVFVMHPFDVGDRCVIDGVELMVEEMNILTTVFLKLNNEKVYYPNSVLAMKPISNYYRSPNMSDSVEFSVDFTTPAEKIGALHEKVKRYLERNPQYWHPCFSLFVKQIKNVNKIKMGLYVTHTMNFQDFGEKCKRTSELVIEVKKIFEELNIRYNLLHQGVHIRHMEPDTS >CAK8538377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479904154:479905752:1 gene:gene-LATHSAT_LOCUS7588 transcript:rna-LATHSAT_LOCUS7588 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWKETIIPSSSTSVIRKDKGKEVDIKRWYKGKEVDIPSSSSSMDKWKEVVIPASSSSIPASSMSVKRKDKGKEVEVPSSSASMDKWKEVIHASSTPIKRKDKGNEVDIPSSSTSVDKRKEVVILASSRSIGKRKKAVIPASSTPVDKRKKVVIPASSTSITRKYKRKEVDIPSSSMSISRVSSTRKKSDGVEGVSPLKAKAFSVPCKKKHRSGSSKQDVVYTDPILQDYLKKQNAYFKMIDEFELLEEEVESLSDS >CAK8562777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:536132920:536135942:1 gene:gene-LATHSAT_LOCUS16246 transcript:rna-LATHSAT_LOCUS16246 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGLMTRFVSDPNGPLYYKGWYHFFYQYNPNGAVWGDIVWGHAVSRDMIHWLHLPLAMVADQWYDNNGVWTGSATILPDGQVIMLYTGSTNESVQVQNLAYPADLNDPLLVDWIKYPSNPVLVPPQGIHPKDFRDPTTAWLTTEGKWRITIGSKINKTGVALVYDTVDFKTYQRKDVLLNAVPGTGMWECVDFFPVSKKFENGLDTSFIGDEVKHVMKVSLDDDRHDYYALGTYDEKKVKFIADDFKNDVGIGLRYDYGIFYASKTFYDQKKNRRVLWGWIGESDSEYADVAKGWASVQSIPRIVKLDKKTGSNLLQWPVAEVESLRLKSDEFKNLKVKPGAVVSLDIGTATQLDIVAEFEIDKEALEKTAQSNVEYECSTSGGASRRGALGPFGLYVLADNSLSEYTPVYFYVVKGSNGKFKTSFCSDQSRSSLANDVHKQIYGSIVPVLEGEKLSLRILVDHSIVESFAQGGRTCITSRVYPTRAIYGAARLFLFNNAIETNVTASLKVWQMNSAFIRPYHPDQKR >CAK8562778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:536132938:536135942:1 gene:gene-LATHSAT_LOCUS16246 transcript:rna-LATHSAT_LOCUS16246-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFVSDPNGPLYYKGWYHFFYQYNPNGAVWGDIVWGHAVSRDMIHWLHLPLAMVADQWYDNNGVWTGSATILPDGQVIMLYTGSTNESVQVQNLAYPADLNDPLLVDWIKYPSNPVLVPPQGIHPKDFRDPTTAWLTTEGKWRITIGSKINKTGVALVYDTVDFKTYQRKDVLLNAVPGTGMWECVDFFPVSKKFENGLDTSFIGDEVKHVMKVSLDDDRHDYYALGTYDEKKVKFIADDFKNDVGIGLRYDYGIFYASKTFYDQKKNRRVLWGWIGESDSEYADVAKGWASVQSIPRIVKLDKKTGSNLLQWPVAEVESLRLKSDEFKNLKVKPGAVVSLDIGTATQLDIVAEFEIDKEALEKTAQSNVEYECSTSGGASRRGALGPFGLYVLADNSLSEYTPVYFYVVKGSNGKFKTSFCSDQSRSSLANDVHKQIYGSIVPVLEGEKLSLRILVDHSIVESFAQGGRTCITSRVYPTRAIYGAARLFLFNNAIETNVTASLKVWQMNSAFIRPYHPDQKR >CAK8571760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470024252:470024515:-1 gene:gene-LATHSAT_LOCUS24393 transcript:rna-LATHSAT_LOCUS24393 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYNRLYLGRRGFKPNIKEGVKGFITLAFSQECCRSEGGVRCPCLKCGLRPIISDPEEVERHLKRRGFIENYWVWTYN >CAK8539563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516416412:516418742:-1 gene:gene-LATHSAT_LOCUS8646 transcript:rna-LATHSAT_LOCUS8646 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIALIPTPCNLVIASSSSSSKFQKTIRTKTKVSLNNAISISSDPFILELAEALEDSVPTPQPLQNLREASSESLLSSSWPSRRDEPFRFTDVSFLRSSQILPAPIPTPTLTPFSTSQFPCHVSIVDGHFITSLSETSEFPDGVYVGSLSNLNSTVAERVLELVCGLDGGDLFWSINGMGAPDLTVVYVPEGCRLQSPVHLGYFSLQGSKEGSNALYLSNPRVLVVVEKGGEVDIIEEFSNDEENENECYWTNAALEVVIGEGGKVRHSYIQTQSPLAAHIKWTSVRQESSSTYELTEVSTGGKLGRHNLHIKQLGPETVTEISTLHLSAGNQTQDLHSSLVFDHPRGYSRQLHKCIVTHSQGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEQSQLLYFQARGIDSETARRVLIFAFGGEVIDKFPYSSIRDKVRSQIKSLLDPSSK >CAK8535604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:866492913:866493614:-1 gene:gene-LATHSAT_LOCUS5047 transcript:rna-LATHSAT_LOCUS5047 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHIRCIRLVVADFVLMVPYQSICARLDADNDGESNVRGKNEEIALSKFVNKTPDDGITALHMASLNGYFGCVKLLLDLDADMSCVTFHHGTSIDLKGRSGGSPLHYVACGGNLKCCQVQRSYTSSDAVTPAFSLNCC >CAK8568344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597488177:597488404:1 gene:gene-LATHSAT_LOCUS21307 transcript:rna-LATHSAT_LOCUS21307 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVFTEFGLVLEVYMPTRRDKRGKRFGFVRFRKVLDVRVLATKLDSIFILGKKIHVNLQRFVRGKDKGMHALEK >CAK8543706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632784179:632786056:1 gene:gene-LATHSAT_LOCUS12447 transcript:rna-LATHSAT_LOCUS12447 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDDWLLEAAGVNRHSPPTRRDGEPLDGGSDSDSDSDDERDYHRGRKSSQVPLKKRGREDDSDDDGHSEHEGDSTDDSDVGDDLYKDEDDRQKLSEMTELQREMILSDRANKKDDKDFLDRIASKREKGKTATVSRRESPPIPSSRVRSSARSADRSAAKDGALNELRAKRLKQQDPDVKHTLKEGSKSAGSGLYSQKGRPDFSSSSRSGSESRSHSDDEDSDGDGGIIDSDDDDKITTEKPSFEDIQEITIQRSKLAKWLMEPFFEELIVGCLVRVGIGRSKTGPIYRLCVVKNVDASDPNRLYKLDNKTTYKYLNVVWGNDTSAARWQMAMISDSPPLEEEFKQWVKEVERNGGRMLTKLDVSEKKEAIQKINSFVYSAATVKQMLEEKKSTSRRPLNVAAEKDRLRTELEIAQSKNDAAGVEKVKTRLQELEDLRKAEEKDAKALRLSEMNRKNRFENFKNASELRPVNKALKAGEAGYDPFSRRWTRSRNYYNSKQVEEAAAGNNSSGDAVGDRGSNVTGVGMVATAEALEAAADAGKLVDTRAPVDQGTESNVLHDFELPISLAILQKFGGAQGVQAGFMAKKQRIEGIVGFRVPENDGRSHQSTLTVSDYKRRRGIF >CAK8537471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365874421:365879516:-1 gene:gene-LATHSAT_LOCUS6761 transcript:rna-LATHSAT_LOCUS6761 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWIPKTQTESKPNLLLIHGLGATALWQWSFFIRKLNHLFNVYVPDLEFFGRSYTTRPERTEAFQAECVMKVMEMKSVKSVSVVGLSYGGFVAYSMGVKYVEFVERVVICGSGVCLEERDLKEGVFPVYDLDEAADVLVPQTPDKLRELFGYAFFKSPSLAWLPSCFLLDFIHVMCRDYVKEKRELIKAIAKGRNPSDLPKMSQPTLIIWGEHDQVFPLELGHRLKRHLGDKAEIKIIKNAGHAFCMEKSKEFFTILKSFLVESQLQNMFHV >CAK8542652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535172297:535183270:-1 gene:gene-LATHSAT_LOCUS11474 transcript:rna-LATHSAT_LOCUS11474 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRSSLCNCVVNFLLEENYVLTAFELLHELLDDGHDDQAIRLKHYFSDPSLFPHDQISRLNSLRVADPQSLLEEKEAAVEKLAISDYELRLALEDISKLKSELQKKTEKLHELSATQFSRDVSVSDGQQIHQKNNTSFTDLGPLKDTERQDLNCAVKEYLLIAGYRLTAMTFYEEVTDQNLDIWQNTPASVPDALRHYYYQYLSSTSEAAEEKFSLLQENKTLLNSNQKLNLEKKTLLKNKDLTEAQIGTLTKSLEATQKDIRDKENQVLVLKQSLEHQRKELNDCRAEITSLKMHLEGSLSGNNWVVKEVNNVQSQSLEKYEEEIKKLQVEIEALKEKNVRAPEPGNYVGPEMENLQADDKVIEIHEDQGSISNPVAAVVGAVRNEDAQSSAVQSLNEKANSDEDTLPTPFNAVNTNRASENIKNESEQIVGQHEDTRLLQISDSGLGTVQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVNLAKNVGEMRTETELLPQCWEQISHMYEERRLLVAQSCGELAEYVRPEIRDSLILSIVQQLIEDSASVVREGAARNLAMLLPLFPNMDKYFKVEELMFQLVCDLAGVVVETALKELVPAVIKWGNNLDHVLRVLLSHVLNSALRCPPLSGVEGSIESHLRVLGERERWNVDVLLKMLMELLPFVHQKAFGTCPFLSTTETAPTVLSIPLLELYAREQVEWVTFEWMHVECFPNLIQLACLLPQKEDNLRSRISKFLSSVSECFGESYVTCIMLPVFLIAVGDDADLTFFPTAIHSRIKGLRPRSAVADRLSTMCVLPLLLAGVLSAPGKRGQLEGYLKKLLLEDSSMQNRSTKHTPEIINAIRFICTREENHGMVFNILWEMVVSSYISMKINAAQLLKVIVPYIDAKAASTHVLPALVTLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVIRALVIAVPHTIDRLRDYLLSKIFQLTAMPNAAKDLTRRRERADAFCEAIRALDATDLPANSVRDFFLPAIQNLLKDLDALDPAHKEALEIIMKERSGGTFETISKVMGAAHLALPTSVSNIFGEGGLLGKKETTESPTEAVVSPRTVTPPAEDTRFRRIMMGNFSEMLRGKAKPQEDGQNQ >CAK8534512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737718176:737719819:1 gene:gene-LATHSAT_LOCUS4055 transcript:rna-LATHSAT_LOCUS4055 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFLVSLMLLSLFLSKAQGIRLEKGSFAAPQNKHDEERKSLKRNNNGDTDEETVFCKDEHCTGKIKNRELFTSSSSALHAISKKVKTKGNDKANGRKVKEFKVNKKQEEDVHEDLMDITDMDYSPARRKTPIHN >CAK8575881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:347200433:347200810:-1 gene:gene-LATHSAT_LOCUS28106 transcript:rna-LATHSAT_LOCUS28106 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTWNVRGINKEARHREIGSCLSKFNVPVVALFERRVKNKNADNIRKKFGSGWNFADNYMHHDNGRMWILWKYDTVHIKMLENDEQYIHTEVCELDQSTQYAATILHAFNQLEKRKLLWKKIQS >CAK8573184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592306303:592308365:1 gene:gene-LATHSAT_LOCUS25660 transcript:rna-LATHSAT_LOCUS25660 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDQFEMQEPSIETDKLSYEIFSILESKFLFGYDEQKLWFPKQIPPTGMDSQQTPTVASVDGVSSVKNQRGKICILAIDGGGMRGILAGKALAYLENALKKKSGDQNARIADYFDVATGSGVGGIFIAMLFATKDQQRPIYSADDTWRLLAEQGKKFYGSSGSRGFLKRLFGSGGSSSVETATAGMEKTVKEAFTAENGRCLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLLEPVQMRSVDGQTKCVAVDGGLAMSNPTGAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLVEVKCDFDRVNRWKAKDWARPMARISSDASADLVDQAVAMAFGHCRSTNYVRIQANGSSMAQCGPSGDTDASPSNVKMLMGIAEGMLKQENVESVLFGGKKIGEQSNFQKLDWLAGELVQEHQRRSCRIAPTVAFKQATPKPT >CAK8540145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541802461:541805006:1 gene:gene-LATHSAT_LOCUS9178 transcript:rna-LATHSAT_LOCUS9178 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHQRSLNAVECSASSGKSSKTKNEKHDSQSQPSLYLTNAVFFSLFFSVAYFLLLRWREKIRTSTPLHVLTISETVALVSLIASIFYLMAFFSISFILHPFPVSRAVQYDEEDETEIDKATGPCLAGVTPKLPPLPSKTTVKKIAPAQMTVQLSSDDEEVVQAVVSGSIPSYSLETKLGDCRRAAAIRNQAVERVTGRSLEGLPMENFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGKVFTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASHLKFYLEDAQNFDSLAHTFNKSSRFARLQNIKATIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQSDFPDMEVIGISGNYCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCLTMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRQLATIVAASVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVTKIAS >CAK8541426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162357848:162359644:1 gene:gene-LATHSAT_LOCUS10346 transcript:rna-LATHSAT_LOCUS10346 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWTFLLFLIILLKSDVACSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARAFIVGEPKGNRLVFVNLDACMGSQLVTIKVLERLKARYGDIYTENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIVQAHENLRPGSIFVNKGEILDAGVNRSPSGYLNNPAEERSKYKYNVDKEMSLLKFVDDEWGPVGSFNWFATHGTSMSRTNSLVSGDNKGAAARFMEDWFEQKGSVRKDSVGFEDDSLPRRISNIIPSLNNNHHELLELAASFQSPPGRPATKTSSVARRVRGVLRQDGKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGKGPGYPDEFESTRIIGERQFKRAVELFNGASEQIKGKVDFRHVYLDFSKLEVNVSIAGASKIVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDQGNPFWKLVRNVLKTPDKEQIDCQYPKPILLDTGEMKLPYDWAPSILPLQILRIGQFVILSVPGEFTTMAGRRLRDAVKTVLSGDKSFGSNVHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLFGPHTLTAYIQEFKK >CAK8544299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676978949:676979683:-1 gene:gene-LATHSAT_LOCUS12991 transcript:rna-LATHSAT_LOCUS12991 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDSDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCSENYAIEEHTISRWSPEQCRERSI >CAK8570672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:85367341:85371944:-1 gene:gene-LATHSAT_LOCUS23408 transcript:rna-LATHSAT_LOCUS23408 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLVIGGKFKLGRKIGSGSFGELYLGVNVQTQEEVAVKLEPVKTKHPQLLYESKLYMLLQGGTGIPHIKWSGVEGDYNVMAIDLLGPSLEDLFNYCSRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYKENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKLHPTEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQVGSSSRTRPSVKPAINPAPSGERLERPSVGQEIRDRLSGAVGAFSRRNGSGHGLHKDLSKHRSLDNMPSPKDVQPESDRARSSTRNGSSSKRPMISSSRPSSSGEPSEGRSSRLVSSSSRLSTTQRVQPGFESKSSFTRAATAGTRGSRDDTLKSFELLSIGTGKRK >CAK8543443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608150837:608154853:-1 gene:gene-LATHSAT_LOCUS12200 transcript:rna-LATHSAT_LOCUS12200 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNPTGNSFVYDADDIPFGTVWWFVYAGISCLLVTFAGIMSGLTLGLMSLGPVDLEILQRSGSSTEKKQAAAIFPVLQKQHQLLVTLLLCNACAMEALPIYLDKIFHPFVAVLLSVTFVLAFGEVIPQAICTRYGLYVGASFVGLVRVLMIICYPIACPIGKVLDVLLGHHDVLFRRAQLKALVSIHSEEAGKGGELTHDEATIISGALDLTLKTAEEAMTPIESTFSLDVASKLDWEAIGKILERGHSRIPVYSGNPKNIIGLLLVKNLLTIRAETESPVSSVSIRKIPRVPANMPLYDILNEFQKGSSHMAAVVKVIREKNNPQVASDFNKSKDEVFIKHSSELTVPLLVGSNEKSDHVVYIDNLSKHQNHGGYDDANSVNQQCLENETQPNCFHHFPYDKDGEVIGIITLEDVFEELLQEEIVDETDVYIDVHRRIRVAAVAAASSVARVPLGQKLAGPKPVENQASR >CAK8535622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870297265:870299688:-1 gene:gene-LATHSAT_LOCUS5065 transcript:rna-LATHSAT_LOCUS5065 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFLFITISLCSIIHNANAQPQPQAQSPGNQDVRIVHHQNLNMRILLALIASSALLAGIFIFLLFFFFRRHKKLTSSASKSRGVLEAAKREKENLSSVSAKLNYSRMADKKSSVAIFDFQLLEGATNSFSRDNIMGESGSRIVYRAHFDEHFKAAVKKADSDADREFENEVSLLSKIRHQNIIKLLGYCIHGESRFLVYEFMESGSLETQIHGPTRGSSLTWYIRLRIAIDVARALEYLHENSNPPVVHRDLKSSNILLDSDFNAKLSDFGLAVASGVQHKNMKMSGTLGYVAPEYISHGKLTDKSDVYAFGVVLLELLTGRKPMENMSSDQYQSLVSWAMPQLTDRSKLPSILDPVIQNTMDLKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLIPLVPLELGGSLRVTEPISSENFQ >CAK8567884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:554047736:554050411:1 gene:gene-LATHSAT_LOCUS20899 transcript:rna-LATHSAT_LOCUS20899 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADTDCSEEGSVILEPPDPDIIEIDPTCRYFRYNEVIGKGAFKTVYKAFDEITGLEVAWSQVRIDEVLQSSGDLERLYSEVHLLRSLKHSNIVRFYNSWVDDKQRNVNMITELFTSGSLRQYRKKHKKVDMKAVKGWARQILMGLVYLHNHAPPIIHRDLKCDNIFINGHQGIVKIGDLGLATLLKQTNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELATSEYPYRECRNSAQIYKKVSSGIKPVSLSKIKDPEIKSFIEKCLVPASQRLSAKELLMDPFLEVNGSIKSRPLPLPDIVLPKFGGFENRCLMSEGPASARIGSIAMDLGDTNEQPLITVFYNSVDDSPPSPCIEIRRFVGGDRFFLKGEENDVNSVSLVLRITNQGGRARNIHFIFYLNSDTAISVSKEMVEQLELAEHDVIFIAELIDLLLTTLVPDWKPCVAIDHLISPNGKRVYLSQQKQDALLAKYKQNSLDASQVVAEYAGPSTSNERLTEKENIDNLIFEDVLSHATNTNDLYSVTSYTSATSDYNDKNFSTVSFMSARSGFTDFNLPTVNGWSQSSHASDVGASSDKKSKFPGMESNNVSFYETEDELRVELEKVERQYQETMKDLTKRRYEAILEIRKRLSHKNTIIE >CAK8578489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632220439:632221973:1 gene:gene-LATHSAT_LOCUS30495 transcript:rna-LATHSAT_LOCUS30495 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTSKPVSLVLSLLTIVIMLTMNVKGQGVSTSGSSTSWCVVRSDASYNVLQTALDYACGAGADCLPLQPDGLCFLPNTIQAHASYAFNSYYQKRARAPGSCDFSGTATIAQTDPSYGSCVYPSSTSGAGGSNTPTTPSSMTNPNNISLPTTPPNFGGLSPEMNAPFNDNSKAPPKQQLTSFLLFFSSLLILSIIS >CAK8541981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:446670977:446672164:1 gene:gene-LATHSAT_LOCUS10858 transcript:rna-LATHSAT_LOCUS10858 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAATFSIGTTQTGRSLSQSNPFGFQVNFRTFSGAMSSFSDNETGAALRATFAPKSVKENQNLNHNFQPQASYKVAVLGAAGGIGQPLALLIKMSPLVSDLHLYDIANVKGVAADISHCNTPSKVVDFTGAAELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVTAVADNCPGAFIHIISNPVNSTVPIAAEILKQKGVYDPKKLFGVSTLDVVRANTFVAQKKNLRLIDVDVPIVGGHAGITILPLLSKTKPSASFTDEEIEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSYVQSDLTDLPFFASRVKIGRKGVEALITTDLQGLSEYEQKALEALKPELKASIEKGVAFAQKQTVAA >CAK8543700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632184060:632185035:1 gene:gene-LATHSAT_LOCUS12441 transcript:rna-LATHSAT_LOCUS12441 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLARSVEEIIYRHPTLNEDDCIIFYIMTPIRNDEDVEEMFWCHMMFGQLPTIELYVRLLDNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEVRMQDIFRDSDDKDNEDIVVASMQLIRAQPISLYNPPAHMQNIGFEQDDTTFVFGSAIPNHIGEEIEISMETSLRKRNSTWVIGKLSGSHTCTTMSMAQDHRKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLP >CAK8577958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599056662:599057238:1 gene:gene-LATHSAT_LOCUS30014 transcript:rna-LATHSAT_LOCUS30014 gene_biotype:protein_coding transcript_biotype:protein_coding MRQILGCYSEKYHIDFDLDTFQPIGQYAAKFKSYLLFIARSQVNLNEKKWEKISKNMKGVIWNDITDKFTCPTDDKFRKHWFVYMGERLKQFKTLSIYFGKAIRMEIQCRLKSIHSSNKKIGICLSRLEEKNIFKRKG >CAK8571436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:414196835:414197572:-1 gene:gene-LATHSAT_LOCUS24102 transcript:rna-LATHSAT_LOCUS24102 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKADTPINENEISITTQGRMRNYITYATTLFQGIR >CAK8537967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:451786462:451789872:-1 gene:gene-LATHSAT_LOCUS7213 transcript:rna-LATHSAT_LOCUS7213 gene_biotype:protein_coding transcript_biotype:protein_coding MADETPIDLKEEVADIAPFDPTKKKKKKKVTVIDPAADESVDKLAQKTENLSVADEAESSFSGLKKKKKKPVEISNLIEESGEAAEDLEDLPEEDEEEAVSLQPRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRTAGS >CAK8537291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:204930758:204930967:-1 gene:gene-LATHSAT_LOCUS6590 transcript:rna-LATHSAT_LOCUS6590 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKRFLEESCKPKCVRPLLEYQACIKRINGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTQLK >CAK8567318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503376800:503377978:-1 gene:gene-LATHSAT_LOCUS20382 transcript:rna-LATHSAT_LOCUS20382 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRPPKVVATPETSTDNLNDDQQGNKDSTSETIMEHEKEKTVGAIAENQEIHETLEAEKGINEPRKLWVDVISGNRNPGNGLSLEFIAPKIVNGVPEVIIEEVDTINEVKFWETSLIMYVLGGELSMNGVKQFMTKQWNFVKLPDMYYNNEGYFILRFHSHKERDNILLKGPYTIQNMPMLLAEWKPNFNLKTDMLRTIPVWVQFPQLPLHLWGKKSLEKLASVLGTPLMTDECTANRYRISYARVLVEIDITQELIKEIIITDDNGEKMHKMVEYEWRPPYCMKCHRIGHRCEEKRQENQVKKWAPKKKKTNEYQLKKPQEEHVLKTPIHQIVLPVNVPTQQPITKAQQQTRIEITNGPILQPTNEQQTDEGESKGWTAVRRRDKDKS >CAK8564878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14877752:14878702:-1 gene:gene-LATHSAT_LOCUS18134 transcript:rna-LATHSAT_LOCUS18134 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGPFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIHKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQNEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8577653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581364657:581365649:1 gene:gene-LATHSAT_LOCUS29740 transcript:rna-LATHSAT_LOCUS29740 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISKLLSFLFLTTLSFSLQIHARDSKFFSKVTHVNNNLNDNNQQPLNKPEQQQQPVFIPETENSYGLYGHESGLHPPTTTTSNNVVPNTYQSYQKTSPQDENNNVNNYFTTSQKDSYTNKYPNEISDTKLTPTSYNNNNNNNNYDKYFYNNNKYASNEVANTKFPEEAYNSMENQNNNNNNDKYYYNNNKYASNELTNAKFPEEGYNSMENQNNKYYYNNNVAAKDKYYFKTNGASNNNYNNGERQGMSDTRVMEGGKYFYDVNSEKYNPTLFNGDSSKGVVNSENWYNKKGYFGSNNNVNTYENNKNSMNGYQNQEEFESDQEEFEP >CAK8563754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629338983:629340319:-1 gene:gene-LATHSAT_LOCUS17131 transcript:rna-LATHSAT_LOCUS17131 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGRWTAEEDKILTDYIQENGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDVKRGNITPQEEEIIVKLHAVLGNRWSVIAGHLPGRTDNEIKNYWNSHLRRKIYCFMKSLNESLPPIDLAAVNLAAASKRRASRGALTTTTQHAPKQDQTTNNKKDEVLQVQLPTTHKTKANAYRAEEELQQQGYNTSNSSFPNMSELVDTLEPYEWLDDEIIKLGYMFESGVLVSPDHMNNENNNNIVTSEDNIILDPNCGVLVENHDGGFWSSSNGAESGEWNNSINNSTSCNSSVNSVYDYQWPDIHLEGSSFQQWDLCEQDQDVNCFWETPNYQVNGFY >CAK8574292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674257527:674278559:1 gene:gene-LATHSAT_LOCUS26651 transcript:rna-LATHSAT_LOCUS26651 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPSRIQLTTISRLRSYFLKKLPEPLRRAVADCLSSSLSSAVEPSRILRDYLAAPATMDLAYSAILEYTMAERVRSPAVVTRCVAILKRYLLRYKPSEETLLQIDRFCLTIIAECDNNLNRPWSISLNQQSCASTTLKNTSPLSISKFASEAIVKSLSYVRSLVAQHIPKRPFEPSTFSRPSSASGQSLPTLSSLLDKSFSSQLSPARIQETVEKDSITSSTSKLSNIENFDEKDKLVFIAHDVLQWRWLEEQQSPSMLAEDDNAVSLQDKRSHNFLEVGAAALLVGGIESKMKGQPRKYFGTDDMPYLNQLLQSSPVTSITNSSSARPHLRAIDAYKHIKTGSRQVWEDFPVSTFRPRARQLFQYRCYSEQQPLRLNPAELCEVIAVVCSEISTPNTNFSTMSTSLTNNSGKPSMDVAVSVLIKLIIDMYVLDSRIATPLTLSLLEEMLSSSKTACRARAFDLILNLGVHAHLLEPMIADDTSTIEEDYSQESLFDIQLMAQGSRKGNISSKLDNFSAMDKLESWILNILYEILLLLVQIEEKEESIWASALSCLLYFVCDRGKIQRKRLKVLDIRVIKALIQTSRKNSWAELVHCKLISILANMFYEVSDEAIEPVPSTPKFLVNQLDMIGGVQFLFREYALVNSKEERKNLYSILFDYVLHQINETRVATRVNEYSDDEIRPLATLLAQTNAADAFYISVKLGVEGIGGLLRRSIASPLSKYPNSERLNTLLEKVTEKFDEMISSFTHLEGEFSGMIQITKTHRSPENMEGVALRNDIGLQAKHSWSTLHSLLHSERLSYRQNGCIWLGDLLIDEISRERDGTIWSNIKSFQENICQAGKHDSSTASDAPLPISLMCGLLKSKHNYIRWGFLFVLERLLMRCKFLLDEYEMQSMSSDLGHRKRDWHLDKAHAVIDIMSSALLFQINEKDCTNKYETDCTNILKMCDILFYQLCLRVPPAMARPYGDDVQHGNNLNCSSLNKCDGDRNIHKGNTLHEDKPNEEEAGKRSSYPNNYHMNYETAAMAALLLQGQAIVPMQLIARVPAALLYWPLIQLDGAATDDIALGIAVGSKGIGNLPGATSDIRATLLLLLVGKCTSDPVAFKEVGGERFFRHLLEDTDSRVAYYSSAFLLKRMMTEKPDKYQHMLQNLVVKAQQSNNEKLLENPYLQLRGILQLANDLGYDL >CAK8574293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674257527:674278559:1 gene:gene-LATHSAT_LOCUS26651 transcript:rna-LATHSAT_LOCUS26651-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPSRIQLTTISRLRSYFLKKLPEPLRRAVADCLSSSLSSAVEPSRILRDYLAAPATMDLAYSAILEYTMAERVRSPAVVTRCVAILKRYLLRYKPSEETLLQIDRFCLTIIAECDNNLNRPWSISLNQQSCASTTLKNTSPLSISKFASEAIVKSLSYVRSLVAQHIPKRPFEPSTFSRPSSASGQSLPTLSSLLDKSFSSQLSPARIQETVEKDSITSSTSKLSNIENFDEKDKLVFIAHDVLQWRWLEEQQSPSMLAEDDNAVSLQDKRSHNFLEVGAAALLVGGIESKMKGQPRKYFGTDDMPYLNQLLQSSPVTSITNSSSARPHLRAIDAYKHIKTGSRQVWEDFPVSTFRPRARQLFQYRCYSEQQPLRLNPAELCEVIAVVCSEISTPNTNFSTMSTSLTNNSGKPSMDVAVSVLIKLIIDMYVLDSRIATPLTLSLLEEMLSSSKTACRARAFDLILNLGVHAHLLEPMIADDTSTIEEDYSQESLFDIQLMAQGSRKGNISSKLDNFSAMDKLESWILNILYEILLLLVQVIKALIQTSRKNSWAELVHCKLISILANMFYEVSDEAIEPVPSTPKFLVNQLDMIGGVQFLFREYALVNSKEERKNLYSILFDYVLHQINETRVATRVNEYSDDEIRPLATLLAQTNAADAFYISVKLGVEGIGGLLRRSIASPLSKYPNSERLNTLLEKVTEKFDEMISSFTHLEGEFSGMIQITKTHRSPENMEGVALRNDIGLQAKHSWSTLHSLLHSERLSYRQNGCIWLGDLLIDEISRERDGTIWSNIKSFQENICQAGKHDSSTASDAPLPISLMCGLLKSKHNYIRWGFLFVLERLLMRCKFLLDEYEMQSMSSDLGHRKRDWHLDKAHAVIDIMSSALLFQINEKDCTNKYETDCTNILKMCDILFYQLCLRVPPAMARPYGDDVQHGNNLNCSSLNKCDGDRNIHKGNTLHEDKPNEEEAGKRSSYPNNYHMNYETAAMAALLLQGQAIVPMQLIARVPAALLYWPLIQLDGAATDDIALGIAVGSKGIGNLPGATSDIRATLLLLLVGKCTSDPVAFKEVGGERFFRHLLEDTDSRVAYYSSAFLLKRMMTEKPDKYQHMLQNLVVKAQQSNNEKLLENPYLQLRGILQLANDLGYDL >CAK8560578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26559859:26560215:-1 gene:gene-LATHSAT_LOCUS14239 transcript:rna-LATHSAT_LOCUS14239 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTTSLHVQVSVCGCNRSMKMYMSNSVENPKRRFWKCQNSGLMSGCKLFIWDDELERNSTSDLRSSSGCNCSKMLKDLGSIVKEIEIGKKAKMKIKLEKEKRKASLLKLLLVVS >CAK8567444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514185899:514186264:-1 gene:gene-LATHSAT_LOCUS20498 transcript:rna-LATHSAT_LOCUS20498 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKSQAEKQPSSAAVATSCRKKKHEEAAFLEDIKDHIDEFIHASMDEHKTCFQKTIKKMFGLSKVVAEANPAKEVESSLALQTVLKE >CAK8533667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648144786:648146597:1 gene:gene-LATHSAT_LOCUS3275 transcript:rna-LATHSAT_LOCUS3275 gene_biotype:protein_coding transcript_biotype:protein_coding MDFILTFQNITTIVFLSLLFILSFFHFRPSKDLNKGKEPPMASGAWPILGHLLVLASSKTPHKTLGTMANKYGPLFTIKLGTNHALVLSNWEMAKECYTINDVTVSSRSKLVAIEHIAYNQASFGFAPYGPYWREMRKIVSMFLSNRRMEQLSHVRVTEVKASIKELFHVWSSTKNDSGYLLVEMKQWFTKLVFNIVFQTMAGKRYFGDTAVVEEKEAQKVVEALRKFMHMLGVCTMADAIPILRWMKLGVKAMKETAKELDIVLDDWLVEHHKMKCLVEKVESDQDFMDMMISVLDGATINGFDADTINKATTLALILGATDTSTVTLTWVICLLLKNPVALKKVKEELNIQIGEERFINESDIKNLVYLQAIVKETLRLYPPGPLSAPREFTEDCILGGYHIKKGTRLITNLWKIHTDPSVWPDPLEFRPERFLTTHKDVDVKGQDFELLPFGSGRRICPGISFGLHMIHLTLANFLHSFEISNGSSEPVDMSEVLGMTNEKATPLEIRVKPYFSTKYYETL >CAK8533668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648144906:648146597:1 gene:gene-LATHSAT_LOCUS3275 transcript:rna-LATHSAT_LOCUS3275-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAWPILGHLLVLASSKTPHKTLGTMANKYGPLFTIKLGTNHALVLSNWEMAKECYTINDVTVSSRSKLVAIEHIAYNQASFGFAPYGPYWREMRKIVSMFLSNRRMEQLSHVRVTEVKASIKELFHVWSSTKNDSGYLLVEMKQWFTKLVFNIVFQTMAGKRYFGDTAVVEEKEAQKVVEALRKFMHMLGVCTMADAIPILRWMKLGVKAMKETAKELDIVLDDWLVEHHKMKCLVEKVESDQDFMDMMISVLDGATINGFDADTINKATTLALILGATDTSTVTLTWVICLLLKNPVALKKVKEELNIQIGEERFINESDIKNLVYLQAIVKETLRLYPPGPLSAPREFTEDCILGGYHIKKGTRLITNLWKIHTDPSVWPDPLEFRPERFLTTHKDVDVKGQDFELLPFGSGRRICPGISFGLHMIHLTLANFLHSFEISNGSSEPVDMSEVLGMTNEKATPLEIRVKPYFSTKYYETL >CAK8572151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515270236:515274328:1 gene:gene-LATHSAT_LOCUS24747 transcript:rna-LATHSAT_LOCUS24747 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPNDPISQAETTCGSLLYELQIIWDEVGEAEPDRDRMLFELEQECLEVYRRKVDQANRSRAQLRQAIADCEAELAAICSAMGERPVHIRQSDQNAGSLKEEHARILPQLEEMGKQKVERRNQFIEVQENIQSLSIEIYGPKDIPFIVDETDLSLRKLEELHRQLNALQSEKSGRLKMVQEHLGTLESLCLVLGFDFKQTVLGIHPSLGDLEGPKSVSNDTIQQMAVAIQQLREIKLQRMQKLQDLATTMLELWNLMDTPIEEQQNFQTVTCNIAASEHEITEPNTLSADFINSVEVEVSRLEELKSSKMKELVLKKRTELEEICRKTHLIPEIDGAVEYAVEAIESGSVDPGCVLEQFERQVAQVKEEALGRKEILEKVEKWLAACDEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARGLVNKIPAMVDVLTSKTMSWEAERGVQFTYDGIRLLSMLEEYNILREEKEQERRRQRDMKKLQGQMIAEQEALYGSKPSPSKPQSVKKGSRMSTGGAASRRVSLGGAMLQTPKPDSKATTNSRATRKTDKVHQVDQLSYLEDGISCLSSARRGLDIAGIPVKKYSFGAASANGTESPLTRQPSVHGMESPLTRQPFSPISSNVSSKANVVNAANEHVTQSEKLQKIIPLNNVPFTSPCKAMTVADNENRTPKTMPIPIPATPSTVSVPMNMALTPAPSSVLMNVATTPVPSSAPFGCDLTSVQEIEYSFEERRLAYYNMLA >CAK8543848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643891076:643893320:1 gene:gene-LATHSAT_LOCUS12574 transcript:rna-LATHSAT_LOCUS12574 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSFKAGTNGCNGTPSDKKKDKESKKKLIDGNKDVDPQLWHAVAGGMVKIPQVNSKIFYFPQGHAEHAYQPVTFPADFIIPSQIPCRVAAIRYRADPDTDEVYAKLSLVPLQISEANFDDDDAADNMSETNNRYRSYTKTLTQSDANNGGAFSCPKYCAESLFPPLDYSGMLPSQDIYPMDVHGETWRFRHAYRGMPKRHLLTTGWSDFVTDKLLVSGDSLVFVRDEHSDLHVGIRRSKKRNDGGFNFSSKRKLGNETGIFLRPSYDRHLTSSFGELRISDKVMGIGKVKAEHVIEAVRLGVNMQPFDVVYYPRVGTPEFFVKTSLIRTARQMRWCCGMRFKMAVETEDSSKTHSFMGTISSVQAADPAWPDSLWRLLQVTWDEPDLLTNTKMVNPWEIELVSDMPSFPFPPFLPSSKKLRSMQHPSFSMDGQLSMPTFSNVPVTSTAGMQGARRDDFSFSKSSFHLKQIPLEVFMSHFQPSFNHDASTSTTVSNSPILQKESSSENVSGSILEKLDHANPKELVLFGQTMVHAKPKVLVLLGQKIQIESRNENAEEKITNYLSDSPLQDSSSERLECDLRNQIKTDTLAGETMEIEDSTSTSVSNSPILQKESSSENVSGSILEKLDHAKPKELVFFGQTMVHAKPKVLVLFGQKIQIESRNENVEEKITNYPPDSPLQDSSSESLECDQRNQIKTDTLAGETMEIEDSGKDQK >CAK8533927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672200682:672200993:-1 gene:gene-LATHSAT_LOCUS3514 transcript:rna-LATHSAT_LOCUS3514 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRKDIKGLGKGGAKRHRMILRDNIWGITKPSIRRLARRGGVKRTSGLIYEETMLFLKVFLTKIIYDTNSYINHARRKVVTVMDVVYTLKRQGRTLYGFAS >CAK8568575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:619772417:619773552:-1 gene:gene-LATHSAT_LOCUS21520 transcript:rna-LATHSAT_LOCUS21520 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRLTLIISSSVKPGDLPIANEVKAGYDSSRLSESSEMPSDGGSNKHADKGPKKKKGKATGNALANQPESGVNNQEHTSTKSKKSQRRGKDTSSQTSDSKQGSRKESLKMKEDNLSSPLEEWIMEKITALIPDFEEQGIDDPETIHRKALFKDNAERMKQLLDNLQKKLDESFLNMRLYEKALELFEDDQSTSVVLHRHILRTVAAPMVDMLLHDLDEHNKLKNGVEVQESPIAAGWLEISCYSLKLIFFV >CAK8568291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590574009:590574803:-1 gene:gene-LATHSAT_LOCUS21263 transcript:rna-LATHSAT_LOCUS21263 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNNNQLKSSSSSSEEQIDMMLMMMMQLPEFSSSNNNNNTNEFPPSDQDFYSSSVNPNNNTRPLSDLIDNPPNPFPWSSSSSLPLQSTNNISFTTNNPATPLFLQQQQQAPPLMFSNSNNNHAVSNPNHYGASEKRTSMAAMREMIFRIAAMQPIYIDPESVKPPKRRNVKISKDPQSIAARHRRERISEKIRILQRMVPGGTKMDTASMLDEAIHYVKFLKTQLKTLQEHANNNRTVAGSGIGFPVSFPKPHQARNVDHYGD >CAK8532963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:556033271:556036176:1 gene:gene-LATHSAT_LOCUS2618 transcript:rna-LATHSAT_LOCUS2618 gene_biotype:protein_coding transcript_biotype:protein_coding MANAILLAIGDAVLTFIWVFVSSTLGLVTSEIIKAFDLEFVSYNGVNYPFIIITTLLIFLIIFTFTAIGNAMGGASFNPTGNASFYAAGLGSDTLFSMALRFPAQALGAVGGAMAIMEVMPPKYRNMIGGPTLKVDLHTGAIAEGVLTFVITFLVLFIIIKGPRSEFVKTLMMSISTVTMIVAGSAYTGPSMNPILAYGWAYLDDWHHTWDQFYVYWICPFIGAILAAWLFRAVFPPPPKVVKQKKA >CAK8541220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90799832:90802162:1 gene:gene-LATHSAT_LOCUS10154 transcript:rna-LATHSAT_LOCUS10154 gene_biotype:protein_coding transcript_biotype:protein_coding MNNITIVGSGNWGSVAAKLIASNTINLNSFNDEVRMWVYEETLPSGEKLSDVINQTNENVKYLPGVKLGKNVVADPDLLNAVKNANLLVFVTPHQFMEGVCKRLDGKIRKDAEGISLVKGMEVKREGPSLISTLISNQLGINCCVLMGANIADEIAKEEFSEGTVGYRRDKEAAERWVQLFNTPYFSVTSVQDIEGVEMCGTLKNIVAVAAGLIDGLKMGNNTKAAIMRIGLKEMLAFSKLLFPSVKDSTLFESCGVADLITTCLGGRNRKVAEAFALNGGKRSFDELEEQMLKGQKLQGVLTAKEVHEVLVIRGCVDMFPLFKAVYEISSGFLPPTAIVEFNNNIPHHRQNKL >CAK8562519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506118497:506121256:-1 gene:gene-LATHSAT_LOCUS16010 transcript:rna-LATHSAT_LOCUS16010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPINGYCSSSGEEDGDAAWRAAIDSVAGTSSYVTSFMNGASATNNSDPKKHSDQNPKTPKLKHYQLKAQKLLDEMLENTIEIVKAPVLHQDEDPTINDCGIRLFKHSKPGIILDHADEPQPPRKRPKIVPGEDIDEKSKKFRRRIQSIVVDGKDLIAAANNAHKKSLARLEAKEAAAKAAAKREEERVEKLKKIRGERWLPSMAKEMNVKH >CAK8531017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70133486:70136154:-1 gene:gene-LATHSAT_LOCUS836 transcript:rna-LATHSAT_LOCUS836-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSTQWQMRLAKTSPCATQITSKMWSSLVMKQNKKVARSAKFTVMAINSENGTINRVEDLLNLDITPFTDSIIAEYIWIGGTGIDVRSKSRTISKPVSHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVVCDAYTPAGEPIPTNKRHRAAEIFSNPKVEAEIPWYGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCAAGADKSFGRDISDAHYKACIYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHKIHIEAYGEGNERRLTGKHETASINAFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAESTLLWEPTLEAEALAAQKIALKV >CAK8531016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70133486:70136328:-1 gene:gene-LATHSAT_LOCUS836 transcript:rna-LATHSAT_LOCUS836 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKMAQILAPSTQWQMRLAKTSPCATQITSKMWSSLVMKQNKKVARSAKFTVMAINSENGTINRVEDLLNLDITPFTDSIIAEYIWIGGTGIDVRSKSRTISKPVSHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVVCDAYTPAGEPIPTNKRHRAAEIFSNPKVEAEIPWYGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCAAGADKSFGRDISDAHYKACIYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHKIHIEAYGEGNERRLTGKHETASINAFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAESTLLWEPTLEAEALAAQKIALKV >CAK8564366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670233761:670234966:1 gene:gene-LATHSAT_LOCUS17678 transcript:rna-LATHSAT_LOCUS17678 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQNQGPGLPSNVPMKRKRGRPRKEENVIHGVHKPENMLNFNQSAGIMNNSDSGMLGKMVTGVIEASFSDGYLVNVKVADSDSFLRGVVFLPGQVTPVTAENDVAPHVQMIKRKDFPIPMSMPNPHTNEVHVSLPTLQSIEPHILTPFPGVHLLPPAEVHSGISVPPNISEMECDKTVEQRDKLHHELDASTQVEEPSAEPRPASETMNLLPTIENTISELRNQHQEFPSVNHLNELVHNQPNNSNIELNLVPASAEPESMPSEQHNNKSVEIFEKQTLPETDLHEYATKTKPVSIDTLSNVDNISNSNGKPSTSIANILELVPNHAVESNQPESMQFEQIGQSDPSDFKLSYEGCNFMEKSDPQNCSSLGDVNKVDFGHPIDESLVDAVPSENQIGAGN >CAK8560602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27904817:27905118:-1 gene:gene-LATHSAT_LOCUS14263 transcript:rna-LATHSAT_LOCUS14263 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTVLGIRPHCLVLQLSQVSIVALIFAVKITVQESRLTSAES >CAK8578671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645965355:645974924:-1 gene:gene-LATHSAT_LOCUS30661 transcript:rna-LATHSAT_LOCUS30661 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRRSNFIHQYSNASGTSISSFHSPLRSFTTKPHTPQSNGTNEISRYNEELFNFSPVLGQFVRGFASFRGDFTRLRLNESIGVRLLSQSSSAAAKKDEQESDDVVGKKRKEASPEECDQAVEGLTTAKAKAAMAKRSQESQKVVQSVLQRVRAVFLGIGPALRAVASMSREDWAKKLVHWKGEFISTLQHYWLGCKLLWADVRISSRLLLKLANGKNLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKVFPNMLPSTFQDKMKEQEALKRRLKARIEYARFLQDTVKEMAKEVQNSRSGELKKTAEDLDDFLNLIRRGSSVSNEEILGFAKLFNDELTLDNINRPRLVNMCKYMGINPFGTDAYLRYMLRKRLQSIKEDDKLIQEEGVDSLSEAELREDCRERGMLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVAGKLKPEEAVQATISSLPDEVVDTIQVTSLPSEDSVSERRRKLEFLEMQEERIKKEEEKEEVDQARVEADSSQEDKALNEMNISTAKEAHQLARNRAVENKEQLCEISRALAVLSSASSVSTEREDFLRLVNKEIELYNSMVEKEGSNGEKDAFKAYKAAHEHSAESDDDGDKVSSSLIERVDAMLQNLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELVSNLSKDKDGKILVEDIVKLGGWKEDGHTAEDERP >CAK8573031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578454547:578455844:-1 gene:gene-LATHSAT_LOCUS25526 transcript:rna-LATHSAT_LOCUS25526 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNVPFITSNPLRITIISTLRQKSSGGRRPKKKTYHRVPELDRVMELRKTPTTILELKSIIQSQPKNNPLFLRDLEKNVGFVRKWDFMALIEKHPTIFRVTGSPPFVHFTDKARRVAHEEARARVLMEPILVNNLRKMLMLCVDCRIPLETVEFVGPEMGLPCDFKGCLIDKYPQFFSVRAFNGRDYVYLEDWDSTLAVTARETRLAQEGVVANMKPSVDKKVKISRDGNYAGPFAFKVNFPAGFRPNVNFLEQFERWQKLEFPSPYLNARRFDTADPKTQKRALGVIHELLSLTMERRMTSAQLDAFHAECFLPSQLLLCLIKHHGIFYLTNKGVRSTVFLKDGYVGSNLIDKCPLLQFNDKFVALCGRRNVDLCDIKSSLQAV >CAK8537302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:217146733:217146891:-1 gene:gene-LATHSAT_LOCUS6601 transcript:rna-LATHSAT_LOCUS6601 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMKNQQPNRMGDEFLNDCLVTYIESDIFDSVENEKNLQHFQNMKTRREQL >CAK8544621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696245284:696250840:-1 gene:gene-LATHSAT_LOCUS13280 transcript:rna-LATHSAT_LOCUS13280 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANDGDDGGDGRKSFDSVSCSICLEIVSDHGDRSSAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYGSSSRLYPEFNMDDWTRDEDAYDISFSEMSMGVHWCPFGNFTQLPSSYEEREFASNAYHDALGTHAMFSEHSAVSSGNHPCPYIAYVGPIHPSTSNSGGTVSEVSNFNHWSGPPTHGDMSASYTIPAVVFHYHSWDHHSSHFSSGSSHLGAADQPSVSQSNQRPARGGSEVPRSGSYMHPFPVGHSSIARAGNSAASSMIPPYPGSSARARDRVQALQAYYQQQQPPNSTTVRAPVASSTRRSNGHSGSALLASLASAPDQSGSYVYVPGGRNFHEETRLPNQLHAWERDHLPSSSLNQVGRESSWRAYHQSAGRSDPGFISSSYRLRSDSDRTPSQNR >CAK8534536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739671071:739671377:-1 gene:gene-LATHSAT_LOCUS4077 transcript:rna-LATHSAT_LOCUS4077 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVNQQAQNSQRTYLRRDPQNKALGYASAFLTETSNHRGVCNSSPSCAVTSVLPQTPFASKNQLWHFEMC >CAK8539982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533772520:533772902:-1 gene:gene-LATHSAT_LOCUS9026 transcript:rna-LATHSAT_LOCUS9026 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFRFVAAVGRTLVVANSLGTLTLQVVFVLRGFIIAKDDIKPWMIWGYYISPIMYGQNAIAINEFLDKRWSEVRINIPIRL >CAK8568178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580080293:580083401:1 gene:gene-LATHSAT_LOCUS21167 transcript:rna-LATHSAT_LOCUS21167 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAALSSSTFILPSPFRSHSFTPRSTAFPHRKLFKTRMEAQTNPTDHAANPKEAKLWGGRFQESVTDTVERFTESVSYDKQLYKHDILGSTAHATMLAKQGLISESDRDSIIEGLKEIEKRIENGEFSWRTDREDVYMNIEAALTDLIGEPAKKLHTSRSRNDQAVTDLRLWCRDAIDEIVASMRQFQVSLLRLALNNQDVIVPGYTHLQRAQPVLIQHLLLAYVEEIERDAGRLIDCRARLNFSPLGACALAGTGLPIDRFMTSDALGFTAPMRNSIDAVSDRDFLLEFLSANAITAVHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSGRVIGGLVTLLTVCKGLPHAYNRDLQEDKEPVFDSVRAILGMLEVSSEFAMNITFNRERIQKSLPAGFLDATTLADYLVKKGVPFRTSHEIAGKSVALCTSKNCQLLDLSLDELRSINPVFDNDVYEFLGVENSVKKFVSYGSTGSACVAEQLDYWTKKLEIK >CAK8569806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11942510:11943034:1 gene:gene-LATHSAT_LOCUS22615 transcript:rna-LATHSAT_LOCUS22615 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIEEHTCHKTANNISTKTSGLLKGLPTLLHIVPIGKPAVLIAEAVEKWGVKLFHDQEYRAKRKAMELVQGAGIEEFSHLRSYGQEILKSNPNIIVVIQCGDSNGNHVFERIYVCLEACKAGFSKTCRPLIGLHACFLKGDYGGQLMSVIGRDGNNQIFPISYDVVETEARES >CAK8571504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432574637:432575290:-1 gene:gene-LATHSAT_LOCUS24164 transcript:rna-LATHSAT_LOCUS24164 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSLNIRGGGNTSKRKRISCCIKSSNTNIFFIQESKLKVADPSVIGGIWSGQDIDWASCNMSGLSGGLIILWKKEVINPLLSFRGDGFLGVKVLWKRNLYYMVNIYSPCSINLKRKLWNDLVVLKGNLSDGLWVLGGDFNVATSSSKSKGINDNYRKVEQREFSEFIKAMDLVDLPYFGNKFSWYSGDGKDMSIIDRFLISEEICNNWGVVGQTI >CAK8535546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:861285483:861286229:1 gene:gene-LATHSAT_LOCUS4996 transcript:rna-LATHSAT_LOCUS4996-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPARDIVIDFGKYKGKMLGSLPSRYLKWVSKNCVRDFKEWAILADQVLVDPIYKDRIEWEFARYVLDGEGSRYRSTERPHIQLHEIGDRFGWDFLDKVGWSKVDFDLLGTSKGGRIPRLRKPSEAAATQPNLPPATTNRRRRMETRDASDPNLPPATANRLRRIERREKLNMRSRTTHEEQQPQHKDDTVSEQQPQQHRDDTVSEQQPHQHRDDTVSAADIKQVAKPFPGRQALFRKAIKYRRQS >CAK8535545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:861285291:861286229:1 gene:gene-LATHSAT_LOCUS4996 transcript:rna-LATHSAT_LOCUS4996 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLLQHHVLFSNTCYQKQQQRHVMFSNTCTTNQNQKQQQRHVMFRNTCCITNQNQKKQQQHAPMTIKPARDIVIDFGKYKGKMLGSLPSRYLKWVSKNCVRDFKEWAILADQVLVDPIYKDRIEWEFARYVLDGEGSRYRSTERPHIQLHEIGDRFGWDFLDKVGWSKVDFDLLGTSKGGRIPRLRKPSEAAATQPNLPPATTNRRRRMETRDASDPNLPPATANRLRRIERREKLNMRSRTTHEEQQPQHKDDTVSEQQPQQHRDDTVSEQQPHQHRDDTVSAADIKQVAKPFPGRQALFRKAIKYRRQS >CAK8563752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629311797:629312431:-1 gene:gene-LATHSAT_LOCUS17129 transcript:rna-LATHSAT_LOCUS17129 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDHTGKGSEAEDSNPNAVSSDNSPKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKKRAILGISKGNNEDGTRKGKKSNGSGGSKVGDNLSMKQRLLNLGKEVFMQRSHWKKLGEEEKAAVLLMSLSYGSVYA >CAK8532566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:297467153:297467845:1 gene:gene-LATHSAT_LOCUS2258 transcript:rna-LATHSAT_LOCUS2258 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSNKPSTSQPSSSKPSTSSNTSLPNLEISHETPIFNEEVRLAVSTPKKRAGRKKFKETRHPVFRGVRRRNLDKWVCEMREPNKKTKIWLGTFPTAEMAARAHDVAALAMRGRNACLNFADSAWRLPVPATTATKDIQKAAAEAAEAFRPDKTLTINDMETAEAASATTEEQHMFCLEEEQEEEVLNIPESLRNMALLSPTHSLGHEFEYQEINEDLEDVEVSLWSFSL >CAK8536337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932091826:932092311:-1 gene:gene-LATHSAT_LOCUS5714 transcript:rna-LATHSAT_LOCUS5714 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEYDWTPSCGILPKVHLGNDDDENVDLDMEEGSSDSEDASVGATFEFENINLNTSQGAVSQSSGQKRKRTSGAEKKGKKKSTPSSAITDAVNVIAETCKSRHEAITNASIGEMMGEIQNVDEVTSDLKFHIMCCQLMMFKPTREMFVSLRGFEDMRLN >CAK8560916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57599332:57599670:-1 gene:gene-LATHSAT_LOCUS14555 transcript:rna-LATHSAT_LOCUS14555 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFNSRKGRTLSSKDIADTASWSCAILLVALILLCIFRESSTLHQNHESNLVLYKRPYCDEIYVVGEGETLHTISDKCDDPFIVENNPHIHDPDDVFPGLVIQITPSPHHN >CAK8577344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556284910:556287016:1 gene:gene-LATHSAT_LOCUS29458 transcript:rna-LATHSAT_LOCUS29458 gene_biotype:protein_coding transcript_biotype:protein_coding MCITVFMWQAHPKYPFLLLLNRDEFHSRPTEPLAWWGGETILGGRDGLCGGTWLASTKDGRVAFLTNVRELQNIPQPNTRGDLPLRFLQSNKSPQEFAEEVVKEAHLYNGFNLVLADICTSTMVYVFNRPKHGYLSVTPGIHVLTNASLDASWPKAERLRHNFKELINEYGEGEFPIKEMVEKLMTNTIKDDEECMLPGIHPPEWERPLSSIFVDAQLPLGPYGTRSSSALFVTSNKEVIFYEKRLDQKQWKENTVTYQIGET >CAK8566951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:475023115:475023477:1 gene:gene-LATHSAT_LOCUS20046 transcript:rna-LATHSAT_LOCUS20046 gene_biotype:protein_coding transcript_biotype:protein_coding MPYETYNGVNVRLRYVLKVTINRGYAGSIVEYQDFVVRNYSPLPQINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMALRLDAENPQDQGPTPTWRQKHWLNSS >CAK8542869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554390637:554391214:-1 gene:gene-LATHSAT_LOCUS11670 transcript:rna-LATHSAT_LOCUS11670 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRVAFIAISMVLLSSIAMATDHIVGDDKGWTVDFNYTQWAQDKVFRVGDNLVFNYDNTKHNIFKVNGTLFQNCTFPPENEAISTGKDVILLKTEGRKWYICGKADHCVARQMKLVITVLGDGAPAPSPPPSSDAHSTVSFVFGIVMAAIVAMATIFA >CAK8535703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875525168:875525727:-1 gene:gene-LATHSAT_LOCUS5135 transcript:rna-LATHSAT_LOCUS5135 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTLILTFIIITTFSNATPTLITQSSMLNHTQQHNETKHGGSCNYMVNIKTSCNSPSFTKDEISLLFGDAHDSQVYVPRLDDPDSGTFEQCTTNELEILGPCINKICKLYLFRNGTDGWIPETVTAYDYDNPPVQFYYNVGIPEDAGYGYNYCDKA >CAK8541309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:111473002:111473688:-1 gene:gene-LATHSAT_LOCUS10238 transcript:rna-LATHSAT_LOCUS10238-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLYKRPKINGAMLAAIFPLAIVHALGNLFTNMSLGKVAVSFSHTIKTMEPFFSVILSAMFLGERPTPWVIGSLVPIVGGVALASVTEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKQEESLDNITLFSIITIMSFFLLAPAAIFMEGVKFTLAYLQSAGLNRVSPVTHSVGNCVKRVVVIVSYVIIFKTPVSHVNALGTAVGLAGVFLYSRVKRIKSKPKAV >CAK8541308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:111473002:111474114:-1 gene:gene-LATHSAT_LOCUS10238 transcript:rna-LATHSAT_LOCUS10238 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPNFGVTLFRFLRHLPQPVAETPQPLLFQSAHPHANPNGVSFSSSFTRKSWSLSSPSSSFKFRPLPLLSTPDLSPPKATSESAGESADSNSLLKTLQLGSLFGLWYLFNIYNKQVLKACHFTITVTVVQFAVGTVLVSVMWALNLYKRPKINGAMLAAIFPLAIVHALGNLFTNMSLGKVAVSFSHTIKTMEPFFSVILSAMFLGERPTPWVIGSLVPIVGGVALASVTEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKQEESLDNITLFSIITIMSFFLLAPAAIFMEGVKFTLAYLQSAGLNRVSPVTHSVGNCVKRVVVIVSYVIIFKTPVSHVNALGTAVGLAGVFLYSRVKRIKSKPKAV >CAK8542932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560742153:560743400:-1 gene:gene-LATHSAT_LOCUS11729 transcript:rna-LATHSAT_LOCUS11729 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLECIGVEIIGVMSPVSICMFLVVLLVYSVSTSSSPDLTIRTAANLVYAENPSDTTAQKLEGALLNAVVFVILIAIVTFLLVLLYYYNCTSFLRHYVRFSAFFVLASMGGSIFLSLIQRFSIPLDSITCFVFLFNFTIVGVLSVFSAAIPIIFRQCYMVCLGIIVAAWFTKLPEWTTWTLLVALAVYDLVAVLAPGGPLKLLVDLASSRNEELPALIYEARPTVAPTGRAATLGFLVAGATESGSGSSSIELQVVPTQNEGNRNTHSAGDSVAIVRVEDLRNDEGERSPLVGNVDREEERSPLVEMNRTEREMATREAEEVTERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRHALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >CAK8575711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:263974627:263975061:-1 gene:gene-LATHSAT_LOCUS27950 transcript:rna-LATHSAT_LOCUS27950 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQILIRPQPSQRRKRRGAGEVAGGAAAECTAVCCCCPCAILNFVVLAVYRVPAGLFRKALNRRRRRMMKNGVSVKKNDVVLLQSQRSSIAGNDYRIDSISLEERLEKDRSADEKSEEVTLEKEMWARFAGTGFWRSDSQRQP >CAK8531109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81549236:81550791:1 gene:gene-LATHSAT_LOCUS921 transcript:rna-LATHSAT_LOCUS921 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPASGVVDNNNSSNPSEMSLKAPMVRPYSRSKMSRLRWTPDLHRCFLHAVRKLEREERGATPKLILQLMNVKGLTISHVKSHLQMYRSMKHEEMSQEAKKNVMAANLLASRMISSSAFVSSYLQTPGQRQNGYEPGSYNGNQGNKSVVICNSEERKKHTYIIFDGIFSTVQANKRDQQGFKEITTRGKEVNEKETDDLSLELTLT >CAK8566105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380131636:380133339:-1 gene:gene-LATHSAT_LOCUS19268 transcript:rna-LATHSAT_LOCUS19268 gene_biotype:protein_coding transcript_biotype:protein_coding MISYVRCFLLLVPQSFWPLYVVMLLCFITIKILRYHLMSMKLGIPKLPPGPKPWPIVGNLPEMLANKSPTRWIHKIMEEMNTDIACIRLGNVHVIPITCHTIALEFLRKHDATFASRPISMATDIISNGFLTTAVTPFGEQWKKMKKIFVNELFSPHKHQWLTNKRNEEADNLMFYVYNKCKNVNDNGLVNVRNIARHYCCNLMRKLVFNTRYFGEGKKNGGPGFEEVEHVDAIFTLLRYVFAFCVSDYMPSLRGLDIDGHERKVKDAMSIVNKYNDPIIKNRIEKWKDVSKTCAADVEDILDILITLKDANNEPLLTSEEIKAQVLELVLGGVDNPSHAAEFAISEMINQPELLQRATEELDNVIGKQRLVQESDIPNLNYVKACAREALRLHPITIFNPPHVSMENIIVGNYMIPKGSHVLLSKQGLGRNPKVWNEPHKFKPERHLKNDGSMVVLAEPDLKLISFSTGRRACPGIVLGTSMTVMLLGRLLHGFNWSGLPNVLTIDLLKYSNGDRYIGGPLVAIAKPRLAAELYHP >CAK8568485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610896726:610898269:1 gene:gene-LATHSAT_LOCUS21438 transcript:rna-LATHSAT_LOCUS21438 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKITWKSIVLILGCYKTTYSLEESKKQGSFQRLSLSDVSISSSSIQAIEDLSVSLAGSKLYMFNLEELREATHSFSWSNLLGEGGFGPVYKGFVDDKLRHGLKAQPVAVKRLNLDGSQGHREWLAEIIFLGQLRHPHLVKLIGYCCEEEQRLLVYEYMARGSLENQLFRRYSATLPWSTRMKIALGAAKGLAFLHEVDKPVIYRDFKTSNILLDSDYTAKLSDLGLAKDGPEGEETHVTTTCIMGTKGYAAPEYIMSGHLSTKSDVYSYGVVLLELLTGKRVVDKNRPNKERHLVEWARPILRDQRKVLNIIDSRLEGQFSIKGALKIAALTYKCLSHNPTPRPNMSDVVKILESLQNFDDVIIGPFVYVAVSESGH >CAK8534162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701405799:701406905:1 gene:gene-LATHSAT_LOCUS3729 transcript:rna-LATHSAT_LOCUS3729 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPQLQQQSPSFLDALLCEEHNFEDDDSDATVTDSEDPSGAKLHSLPFVLLHNDVFWESDELVSLISKEGETRLCCGNLVGDGSLEEIRVEVVNWISKVCAHYGFSTLTTVLAVNYFDRFITSLKFQKDKPWMTQLTVVACLSLAAKMEETHVPLLLDFQVEESRFVFEAKTIQRMELLVLSTLKWRMHPVTPISFFEHIVRRIGLKSRLHWEFMWRCERVLLHVIADSKVMMSYLPSTLAAATMIHVIKEIEPFNASEYINQLLGLLKISEEQVNQCYKLMLKLLVSGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTVASSVSLSVQPMFKRSRAQDQQMRLPSVNRVSIDVLNSPR >CAK8538010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458068695:458070451:1 gene:gene-LATHSAT_LOCUS7253 transcript:rna-LATHSAT_LOCUS7253 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNESFKEKSVSDSKLGISKFKSKPFYGNRMHRWEDGTIYMGDFIDGKITGKGVMLFPSEIKDTDHGDDPLTMDIDIENLINKREYTLFNGTIYEGDWVNGEMTGKGMLILTSKVKYEGEFFKDYCNGHGTLTKPNGDVYIGKFKNDFFHGNGKYTWKDGTIYEGNWVDGNMSGKGMMTWRSGTKYEGEFFENYIHGNGTQTWKNGSMYIGNWKNDKADGIGIMKWIEGDVFDGYWSNGFMHGYGIFIFSQGDVYMGDFKSDLFHGNGIYTWVTGEIYEGDWIDGNITGKGLVIWPLETKYEGEDSSRYIHSHNTLQKQTWGCKMVYSNMNTYEGSLKDGLYEGNGRCTWRNGCVYIGNFKKGKQDGSGIMTRANGDIYDGFWSNGHKNGYGVYRYANGRIYVGTWSNGLKDGKGSLYYPYHSKKPSLVKKLGAILNYKNPKSRFNPSFSKKASINEGQSEPSSMSFKVYEREYKKGVLIKEKIINFSEKPHGNKTKRPDKLNGKQANKSSWMRLVDLSCFPFRTLFVTALRMVNLK >CAK8572322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529389880:529390533:-1 gene:gene-LATHSAT_LOCUS24898 transcript:rna-LATHSAT_LOCUS24898 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTAQTKPKKTAAAKKPLSHPTFAEMITEAITSLKERTGSSQYAITKFIEEKHKDLPPTYRKLVLLHLKKSVASAKLVKVKGSFKLAPAGAKTAPAKTSAATKAPKASAKPSAKAVTKSKAKATVKPKAKAAAKPKAVGKPKAKSVKATPVKKAVAKKVVKKAKSVKSPAKKVKSVKTPVKKAKK >CAK8567980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560684285:560696828:-1 gene:gene-LATHSAT_LOCUS20984 transcript:rna-LATHSAT_LOCUS20984 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNRSNSNKPEWLQQYDLIGKIGEGTYGLVFLARIKSATNRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHTDMSLYLAFDYAEHDLYEIIRHHRDKVNQSINQYTVKSILWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGEEHGVVKVADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDTWAVGCIFAELLTLKPLFQGAEVKATPNPFQLDQLDKIFKVLGHPTLEKWPSLQHLPHWQQDTQHIQGHKYDNANLYSVVHLSPKSPAYDLLSKMLEYDPKKRITAAQALEHEYFKMEPQPGRNALVPFQPGEAFVNYPTRPVDTTTDFEGTTNMQQSQPVPSGVAIAGNMPGGHVSNRPVPRPMNVGMQRMHQLQAYNNLSSQPGMGSGINPAGIPMQRGVPQQAHQQQQLRRKDQMGMPGYPPQQKPRRM >CAK8561883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404454397:404455929:-1 gene:gene-LATHSAT_LOCUS15434 transcript:rna-LATHSAT_LOCUS15434 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSRKLHQLLGPKPHETSFHYTDLLHHCKTTDCIKKTHAQIVIGGHEQDPFIAAKLIDKYSHLGGSNVEQARKVFDNLSQRDVFCWNVVIKGYANMGPFVEALNVYDDMLLCGATPNRYTYPFVLKACSAERAYIKGRIVHGHAVKCGLDFDLFVGNALIAFYAKCQEIEAARKVFDEMPNRDVVSWNSIMSGYITNGYVDDAVMLFYDMLRDDDIGFPDNATLVTVLPAFAEKADIHAGYWVHCYIVKTGMKLDPAVGCGLITLYSNCGYITIARAVFDKIPDRNVIVWNAIIRCYGMHGFAQEALSMFHQLVDSGLHPDDIVFLCLLSACSHAGMHEQGWHLFQTMETYGVVKREAHYACIVDLLGRAGDLEKAVEFIQSMPIKPGKNVYGALLGACRIHKNLELAELAAEKLFVLDPNNAGRYVILAQMYEDEGRWQDAARLRKVIREKEIKKPIGYSSVELESGHNKFGVNDEAHPLTTQIFETLVSLDRIMGKEARTLSDAIL >CAK8536074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905033766:905036370:1 gene:gene-LATHSAT_LOCUS5475 transcript:rna-LATHSAT_LOCUS5475 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSTLTNMKFMQRAAAREEKIEKVEEEVKPDVSLGSTSTISRRCVVIVEGDPHPGAFQGRISFQSFNPTIDKLNEEEVGLNQPVAKTSISKNKNANVSVRENNSSEEGTEHANMSEESHEVNGNVKRKQSEVINEPHYPNKSPKNDQGNKQSSSSNRLGSFKKPSVDKLDWNVLRSSGVKQNR >CAK8530670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39166096:39166741:1 gene:gene-LATHSAT_LOCUS516 transcript:rna-LATHSAT_LOCUS516 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLFLVITVSAPSFTAACPSYSSIFSFGDSLADTGNLFFSSSEPSNHCFFSPYGQTYFHHPSRRCSDGRLIIDFIAESLGIPMVKPYLGIKNGVLEDSAAKEGANFAVIGATALDVSFFEERDVHNVATNYSLTVQMNWFKELLSALCNSTESKFSIVFDHFSKMILHIICFYQISTYMSETLT >CAK8563429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603331347:603334933:1 gene:gene-LATHSAT_LOCUS16843 transcript:rna-LATHSAT_LOCUS16843 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKEGSAAKAAAAVEEPVSLELPAPSGWTKKFFPKKSGTPKKNEIVFTAPTGEEIHNKRQLDKYLKANPGGPNISEFDWGTGETPRRSSRISEKVKASPPLEPKSEPSKKRYKKSASKKEASEEEEETKDVEMQEADETKDDKDLEQEKKVVNEEQGDKGPEGADVKESIQPVENTDIAKDEGKSNTTDGELEASKEKIDDKGAEGSEAVQNKDEEMIEQPQPQEEPKQAVQSKEEEKIEQPQEETNQAVQNEEEKIEQPQPQEEAKQAVDNKDEEKIEQPQEEAKQAVQNKDEEKIEQQHEEPKQDGGSGEAEKPEAALTAEKPAEVEGENKEEDNRIPHEAEGEIKEKEATKVHGEENYKIHDMNKTESEVTVNGS >CAK8572597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550422313:550429385:1 gene:gene-LATHSAT_LOCUS25148 transcript:rna-LATHSAT_LOCUS25148 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPASMKENKFESYFGRKIAVDASMSIYQFLIVVGRTGAEMLTNEAGEVTSHLQGMFSRTIRLLEAGMKPVYVFDGKPPEMKNQELKKRLSKRAEAQEGLSEAIEADNKEDIEKFSKRTVKVTKQHNDDCKKLLRLMGVPVVEAPSEAEAQCAALCKAGKVYAVASEDMDSLTFGAPKFLRHLMDPSSKKVPVMEFDIAKILEGLDLTMDQFIDLCILSGCDYCDNIRGIGGLTALKLIRQHGSIENILENLSKERYQIPDDWPYQEARQLFKEPLVSTDDEELNLKWSPPDEEGLITFLVNENGFSSDRVTRAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETPVNDAKGANKKTKASDAKGDNKKTKASGGRKKK >CAK8563685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625015285:625016996:-1 gene:gene-LATHSAT_LOCUS17068 transcript:rna-LATHSAT_LOCUS17068-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEGEIKISFGYKCNNDRGISSEIVNGYKNLPEIRRTSSFSCLSGAALSANATLANTNICNGKIGGEILPSWDSPNSFRRVPSSPSLSRFDRLSSSLPNSLSYLSCSPSTASDGLEYDCCTSKSASDRSSSDGFLIATEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYLDKLIWELEPGSITASNHADLGELFRYKLDDSVRCHEDCSQSRTSRESFSSHESFSRGVLDSLEHALSQAENDFLYMVEQEMVERPDLVSIGSCVLLLLLHGNDLYTLNLGDSRAVLATYSNDDRANKSERLKAIQLTDNHTVDNEAERARLMAEHPDDPKVVIAGKVKGKLKVTRAFGVGYLKKKNLNDALMGILRVRDLTSPPYVSTQPSLNVHKISNSDQFVIVGSDGLFDFFSNEEAVKLVESCILSNPFGDPAKFLIEQLVARAADSAGFSMEELMNVPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >CAK8563684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625015285:625017228:-1 gene:gene-LATHSAT_LOCUS17068 transcript:rna-LATHSAT_LOCUS17068 gene_biotype:protein_coding transcript_biotype:protein_coding MVITEERNMFSSEGEIKISFGYKCNNDRGISSEIVNGYKNLPEIRRTSSFSCLSGAALSANATLANTNICNGKIGGEILPSWDSPNSFRRVPSSPSLSRFDRLSSSLPNSLSYLSCSPSTASDGLEYDCCTSKSASDRSSSDGFLIATEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYLDKLIWELEPGSITASNHADLGELFRYKLDDSVRCHEDCSQSRTSRESFSSHESFSRGVLDSLEHALSQAENDFLYMVEQEMVERPDLVSIGSCVLLLLLHGNDLYTLNLGDSRAVLATYSNDDRANKSERLKAIQLTDNHTVDNEAERARLMAEHPDDPKVVIAGKVKGKLKVTRAFGVGYLKKKNLNDALMGILRVRDLTSPPYVSTQPSLNVHKISNSDQFVIVGSDGLFDFFSNEEAVKLVESCILSNPFGDPAKFLIEQLVARAADSAGFSMEELMNVPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >CAK8577763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586749407:586762786:1 gene:gene-LATHSAT_LOCUS29837 transcript:rna-LATHSAT_LOCUS29837 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLQRGKLIDRKCHPSFTYILHHSDEGKHDEKSSSTRIRNFIQTMGVSSSSQYKQLSPLAGYNFCRNMSTIDRDLDKITAMTDVTDVLIDTATEAVASQAPVVSEVAVAAADSYLPIQAAQYAIDAVHSFTGLNWWADIVLTALLIRIATIPILLYQLKDTSKLALYTIQLKEMTEEMRKQMARKTLDREDALKALVECQRPHIERLREKGVNPYLSFTASFINCSVFITFFLAITNMAEKMPSFKHGGAFWFTDLSTPDALYIFPVLTGLSYLVLVECDMQKGTEGIPLSGMKKNISRALALLIVPFTMSFPKAVCFFWITSHLFSIPYGMVLKAPGVKKTLGIPEVKKSI >CAK8567577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526721172:526721519:1 gene:gene-LATHSAT_LOCUS20618 transcript:rna-LATHSAT_LOCUS20618 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLEEDVDDRSEVDMSSAIDELWKRFRSLDIFGKRALKSRIFELAFPTKTSLCPPLEKIKTKWGVKKKGEKSVGYDVYRDPTCHEYVDQASQSSQRKSQPSQTSKKLKLSQSS >CAK8530175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4291365:4291825:-1 gene:gene-LATHSAT_LOCUS63 transcript:rna-LATHSAT_LOCUS63 gene_biotype:protein_coding transcript_biotype:protein_coding MEINISSHDDVKQDYTSSSSFNLTNVSKLILPPLGVPKENQVYSKWIISPMDSRYRWWESFMVVLVAYTAWVYPFEVAFMHSLNRELYIVDNIVDLFFAIDIVMTFFVAFIDGTTHLLVRDSKKIAVR >CAK8535311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836966369:836966824:-1 gene:gene-LATHSAT_LOCUS4779 transcript:rna-LATHSAT_LOCUS4779 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSTASPCSEATLYYYGLSAEYQDQYEYLGSMKKYNLEMQCPKLKPNDVLNFHINVVSHSVDSNSVPRLNTLLHNFQHVSCKRFFQQGEDWIQSILFHPDFSCESLEGLTKRIVHEVHELFDFDQVADGVGASVSHRFTLYLRIVLQK >CAK8531299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99152276:99154086:1 gene:gene-LATHSAT_LOCUS1102 transcript:rna-LATHSAT_LOCUS1102 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPSELLTDILSLLPVLPLLRFRLSSKSLRSLIDSHNFINLHLHNSFNSTLILRHNSDLYQLDFPTLATAVLLNHPLMCYSNRITLFGSCNGILCISNIADDIAFWNPNIRKHQIIPYLPISPRSESDTSLFAARVHGFGFDPFGCDYKLVRISYFVDLQQRTFDSQVRVFSSRTNSWKALPSMPYALCCARTMGVLVENSLHWIVTRKLEPFQPDLIVGFNLTLEVFEEVPLPELVNSESFEIDVAVLGGCLCMIVNYQASKVDVWVMRKYGSRDSWCKLFTLVESCSILPLKSLRPLGYSGDGSKVLLEVDRKKLSWYDFKTEQVVDVQGIPSLNEGMICVESLVPPSLPMDNYRKEIQHKLRCESISNRRDDFLSQGFKLTL >CAK8560905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56209815:56212622:-1 gene:gene-LATHSAT_LOCUS14544 transcript:rna-LATHSAT_LOCUS14544 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFCGNLDFDARQSDVERLFRKYGKIDRVDLKSGFAFVYMEDERDAEYAIRRLDQTEFGRKGRRIRVEWTKQERDNRRSGGDSKKSSTNTRPSKTLFIINFDPVHTRTRDLERHFDPYGKISNLRIRRNFAFIQFETQEEATKALEATNLSKFMDRVITVEYAIRDDDVKKDGYSPDRRGRGSPDGRYGRGRSPSPYRRGRASPDYGRSRGSPDYGRGSNPASRPEPRGSPKYERAESPMNGRYDSRSPPPRGSNQSSRPEPRGSPEHERAESPMNGRYDSRSPPPRDRSRS >CAK8539756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524265622:524268408:1 gene:gene-LATHSAT_LOCUS8824 transcript:rna-LATHSAT_LOCUS8824 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAHTTLQDKMEKGGSKKMARRERKMALIQDVDKLKRKLRYEENVHRVLERALARPLGALPRLPPYLPPRTLELVAEVAVLEEEVVRLEEKIVNFRQGLYQEAVYISSKRNAEIQMDPIEQNSIRGSNHQRSKSLSQSELNSTTIKPQISPAKSASSRKLVNRKQLHSKQKSLSSIPKENSLEKKTAKVITPVKKSPTKQESSDKSVDHLKLQLEKRLVDKESAQNSSISSNDKVLEVDSTPNRVSEDIVKCLCSIFMRIGTFKDNFGESKTKTPLCDPYGICSVSKTRDIGAYNSFYEIKAPTIDFNRMRNAAFLTNRLKFLFGKLASVNLKGLTHQEKLAFWINIYNSCMMNAYFEHGIPENPEMVVALMQKATIVVGGHLLKAITIEHFILRLPYHLSFTCLKAAKNDEMKARSIFGLEWTEPLVSFALSCGSWSSPVVRVYTAPQVDNELEAAKRDYLQAAVGITTTNQLIIPKILDWFLLDFAKDLESLLDWVCLQLPVELRKEAVECLERKGRQPLSQLVQMMPYDFSFRLLVHQ >CAK8566386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418057848:418058516:-1 gene:gene-LATHSAT_LOCUS19519 transcript:rna-LATHSAT_LOCUS19519 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSHSNNNNKIKQEPLTITKTNASISDNSNKMKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSSASNLNFPLTASSSVSHCIIPQDMSPKSIQRVAAAAANSVDVSVSVNVNSSTPSSSSSSSMVSSSDGVSIMSSFDQANGGSLDMMESNWYGLEGLESPKYVDQMLLSASFFDVEYSSYLLDDVYEESDIRLWNFS >CAK8579098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:674660329:674660547:1 gene:gene-LATHSAT_LOCUS31068 transcript:rna-LATHSAT_LOCUS31068 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSEMISHNIRELFNQDASLKVKVIIAHILEKYKYIISYRKAWISKCKAIESLYGNWETSYNDLP >CAK8574200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669211404:669213720:1 gene:gene-LATHSAT_LOCUS26565 transcript:rna-LATHSAT_LOCUS26565 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELVNFLKACFRPGLDGNGRASSDSGGKQDGLLWYKDSGQHLCGEFSMAVVQANNLLEDQSQIESGSLSLNESGPYGTFVGVYDGHGGPETSRFINNHLFQHLKRFTTEQQSMSVDVIRKAFQATEEGFMSLVSQLWPISPQIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRTVKATGEVLAMQLSTEHNASIESIRQELHSLHPDDSNIVVLKHNVWRVKGIIQISRCIGDVYLKKAEFNREPLYPKFRLHEPFKRPILSSEPSILVHQLQPQDQFIIFASDGLWEHFSNQEAVDIVQNNPHAGIARRMVKTALKAAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLDSNMMSRASTVKFPSISVKGGGVSLPHNSLAPCTTTTDNGST >CAK8532475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:268969405:268969824:-1 gene:gene-LATHSAT_LOCUS2177 transcript:rna-LATHSAT_LOCUS2177 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLAGPNGRVFGGALAGLLVAAGSVQVVVASFLPDQQKPKKQRIDHMSPTAPPTSSHINNQVSEELKADLGGMKPIMSPAGFNFASFGNGQGSGNSSSSGDDDEHVQP >CAK8538944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500051070:500054132:1 gene:gene-LATHSAT_LOCUS8089 transcript:rna-LATHSAT_LOCUS8089 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEGEKIFEVDLGNLMAFDSHHAFPSQQSRVELVNECLQKGTELVQAIADSLFTLPSTEDIDGPLVKLPPPTTKLPREKHFPRPRPPTKWETFAQKKGIKNRKKDKVVFDEQSGTWKRRHGYDRANDEEAIPIIEAKPTDDPDEDPFAKRRENKKGRVDKQEKNRLQNLKNAAKFGALPSHVQLAATSLPITGTQAAPKKATKDELGNVAGIAATATASGGKFDKKLPGEKPAQHKGKYRKFLPVAEGTGIGSLERAQTEKILNKIMSKNNHDILNVNKAVTVHNVKKEKNRKSDKSKASSSNKLKPQKKSFKKGNAKKGNPKAQ >CAK8543821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641448992:641450999:-1 gene:gene-LATHSAT_LOCUS12552 transcript:rna-LATHSAT_LOCUS12552 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLERSKKKTHLWKKAMLHFFLCFVMGFFTGLAPSGKSLIFSSKIEVAFAVSNVTEFVTAAPQPSQISTKNSNKTWIASSPKIHSQKTKLHAKSLPQLKPKRLVIIVTPTSKKLPYQNVFLRRLANTIKLVDQPVLWIVVEAKTDSPELSEILRKTGIMYRHVVFSEEFDDLESELNHQRNLALSHIEHHRLSGIVHFAGLSNVYDLQFFQQLRDIEVFGTWPTALLLANRKRVIVEGPVCDSSQVIGWHLRNMNNETITPPIHISSFAFNSSILWDPERWGRTSSVKHTSQNSIKFVKQVVLEDETKLKGIPPYCSKILLWRFNFYARAMSKH >CAK8573938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648665538:648668352:1 gene:gene-LATHSAT_LOCUS26332 transcript:rna-LATHSAT_LOCUS26332 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALLLKLLTFSALLLCSITSSSSSLSSSISTLKLVNASKLEMFVDELPDMPKIYGYDVVDGVPKSKSLKIGMFKKKWKFHRDLPPTTVYAYGLSKHKATIPGPTIEALHRIETHVTWQNHLPPNHILPWDPTIPTALTKTTHGIPTVVHLHGGIHHSESDGNANSWFTSRYKIKGPTWKNKTYHYSNNQHPGNLWYHDHAMGLTRVNLLAGLIGSYIIRHPEIESPLGLPHGDEYDRPLVLFDRSFKTDGSIYMNSTGNNPLIHPQWQPEYFGDAIIVNGKAWPSLTVRRRKYRFRIINASNARFFRLFFTNGLRLVHVGSDSAYIRKPAASNRTLVGPSEITDFIVDFSKSKSNVAILANDAPYPYPSGDPVDELTGKVMKFTILPDKEVDTSQIPKKLVEYPVADLSSVSQTRYIAMYEYTSNIDEPTHLYLNGKPYDAPVTETPKAGSTEVWYVINLTDDNHPLHIHLGLFKVLDQTELVKSDEFKDCMTKINDAVKCHVDEHARGKKVVVPNYEKGWKNVFKMRPGFVTKIVVRFGYIHTNTSYEFDATAGPGYAYHCHILDHEDNAMMRPLKIIK >CAK8565343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:140696848:140698296:1 gene:gene-LATHSAT_LOCUS18564 transcript:rna-LATHSAT_LOCUS18564 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDQLNVWKYHHAIQDYDPFLPPFPSSSYDNSNNYLYRGNSSDGYISKDWSSHYGFPMQDTIFDAIPIKDHCYSEYHYETFPNELSTQISIQDYGFCDAKSDVSTWSEIDAGFYSNKNVFSISNNIGENNAMENVKGKRSREENTNSVRMLTRKNISEYFYMPISQAARELNVGLTHLKKRCRDLGIPRWPHRKLMSLQTLIKNVQEHGNESDEKIRNAVEILKKEMKMVEKKPELQLEENTKRLRQACFKANYKKRRLMVMRLMDQQHSCLSESESVNNYKMA >CAK8572431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536717034:536717747:-1 gene:gene-LATHSAT_LOCUS25001 transcript:rna-LATHSAT_LOCUS25001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKLGLYLVLLVFSHNLLPSRVTRAYGDKEKDLLHDINVYRKLQNLPVLERTNKPSCLADKIANDLEDKNCEDFRNYYPTPGKNDKIPNFEKSVEKCKIDINTTKEGVVMPVYVHKLDQDDVFSNYTKKSHFTKYLNDSKYTIAGVGSDDDWMVLIISTNSTSGDFSSASSLIAWKGHWLLMATFLSVFVFLFY >CAK8536542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953720019:953721488:1 gene:gene-LATHSAT_LOCUS5901 transcript:rna-LATHSAT_LOCUS5901 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSTGGGSSCWLYDYGYDISLAAADFMVSSNHPSSAAADFMAPSDHYSSAAAFNWMPHSQSQTHIINPPSSNISLEMEYSLDSTTVFESGPSNPLKRLEMEYSFDSTLLENGPSKRLRTESYASGSKAGREKLRRDKLNDKFLELSSVLEPDTLPKTDKVTLLNDAVRVVTQLRNETQRLKERNDELREKVKELKAEKNELRDEKNKLKLDKEKLEQQVKLTSVQSSFVSNAMAAKAQTAGHKLMPFIGYPGISMWQFMSPATIDTSQDHLLRPPVA >CAK8537255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:181428088:181428701:-1 gene:gene-LATHSAT_LOCUS6557 transcript:rna-LATHSAT_LOCUS6557-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRHLRCVHNNPQGSHLPDYSPYPAIVLKMEDEIGLLLPIIVLEMPSVLLMAAVRNVPIARPTLYQAVMEMIDKMRYQEL >CAK8537254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:181427777:181428701:-1 gene:gene-LATHSAT_LOCUS6557 transcript:rna-LATHSAT_LOCUS6557 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRHLRCVHNNPQGSHLPDYSPYPAIVLKMEDEIGLLLPIIVLEMPSVLLMAAVRNVPIARPTLYQAVMEMIDKMRYQEL >CAK8562767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534268237:534270521:1 gene:gene-LATHSAT_LOCUS16236 transcript:rna-LATHSAT_LOCUS16236 gene_biotype:protein_coding transcript_biotype:protein_coding MNALPQTQAQTVPDAWDSKGHPADRSKTGGWASSAMILGSEVCERLTTMGIAVNLVTYLTGTMHLGSAASSNIVTNFLGTSYMLALFGGFVADTFLGRYLTIAIFGTIEAIGVILFAITIKIPNLHPPTCSQNSPNSCEPANNLQLTVLYTALYVIALGIGGIKSSVSGFGSDQFDESNEGEKKQMVKFFSWFFFFISMGSILAVTVLVYIQDHLGRVWGYGLCACAIIVALLVFLSGTKRYRYKKLMGSPLTQIAAVFMGAWRKRKLELPSDSYLLYNVKDIKDPQDARNKKLMLPHTKQFRFLDKAAIKDPNTDGNMVRKWELSSLTDIEEVKLVIRMLPIWATTIMFWTIHAQMVTFSVSQATTLKRHIGKSFQIPPASLTTFLIGSILVTIPIYDRVILPIRRKLFNKSQGLTPLQSIGLGLVLSTCGMVAAALIDLKRTRMAHLHDLTHNTSTLVIPMSVFWLVPQFFIVGSGEAFIYVGQLDFFLRECPEGMKTMSTGLFLSTLSLGFFISSLLVFLVQKVTGHHHPWLTDDINQGKLYNFYWLLAFLSAINLVIYLFCAKQYVYKDKRLDEQVIELEKDLDNVDHA >CAK8568660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629504687:629506125:1 gene:gene-LATHSAT_LOCUS21598 transcript:rna-LATHSAT_LOCUS21598-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKGSKVEILVNSSRLEVEWHGARITSGNGHTYNVKYDHSSANDKALSRRVPRKAIRPRPPAMKNIEGWKVNDAVEVWNDGCWKKATVLKYMTGELYLVALHGSCTELKVQEIHMRMCQSWENGQWIISPKVPAKSRVMKFSRNLISNNYKVMLDVQQANNVPSLGLDDSCLHLPSPSTLKRVCSHGSSRIEDYPRKKRAGVIMGESKRFKAVSTAPLMEKVDAIAYPQNNMGEKYMHYSFTNSTNQLYGIGKKNPCNVKTSVEQDYSSSNLSSVGSCSVISGSANEFFGDTLAGPCQDDADSLRSNPEFADVEVVDGFYGNMLAIPCQSDDDTICSDADSLDVEDADVGCTIFPKEVVAKKIHRLELNAYHCTLEAMYASGPLSWEKEEVLTNLRISLNISNDEHLIGIKNLVSGVQNF >CAK8568659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629504687:629506839:1 gene:gene-LATHSAT_LOCUS21598 transcript:rna-LATHSAT_LOCUS21598 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKGSKVEILVNSSRLEVEWHGARITSGNGHTYNVKYDHSSANDKALSRRVPRKAIRPRPPAMKNIEGWKVNDAVEVWNDGCWKKATVLKYMTGELYLVALHGSCTELKVQEIHMRMCQSWENGQWIISPKVPAKSRVMKFSRNLISNNYKVMLDVQQANNVPSLGLDDSCLHLPSPSTLKRVCSHGSSRIEDYPRKKRAGVIMGESKRFKAVSTAPLMEKVDAIAYPQNNMGEKYMHYSFTNSTNQLYGIGKKNPCNVKTSVEQDYSSSNLSSVGSCSVISGSANEFFGDTLAGPCQDDADSLRSNPEFADVEVVDGFYGNMLAIPCQSDDDTICSDADSLDVEDADVGCTIFPKEVVAKKIHRASVGGLHALLPMAKYDARCA >CAK8576335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:461113584:461115089:-1 gene:gene-LATHSAT_LOCUS28531 transcript:rna-LATHSAT_LOCUS28531 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEDSLSLQSTFTFLFFSFTFLFSIFSLLIYISRIKPWCNCNTCKTYLSMTWSQYFINLCDYYTHLLQISPTGTIQVHVLGNTITANPENVEYILKTNFNNYPKGKQFSTILGDLLGRGIFNVDGDSWKFQRKMASLELGSVAIRSYAMELVTEEIKTRLIPFIASKTGQNDDAFIDMQDILRRFSFDNICKFSFGFDPCCLVPSLPVSKLADAFDLSSKLSAERAVNASPLIWKMKRFFNVGSEKKLKEAIKVVNDMVKEMIKQKREIEIGVDLRKDLLSRFMGSLNSNEDQYLRDIVVSFLLAGRDTVASTLTSFFMLLSKNPDVEEKIRIELDRIMNPVQEVATFEQTREMHYLNGAIHESMRLFPPVQFDSKFALEDDVLPDGTFIKKGSRVTYHPYAMGRMESIWGPDFMEFKPERWLREGVFVQKCPFKYPVFQAGVRVCLGKELAIVEMKAVVAALVRRFDVRVVGPNQHPRFEPGLTATFRGGLPVKFYERR >CAK8567250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497921774:497922295:-1 gene:gene-LATHSAT_LOCUS20319 transcript:rna-LATHSAT_LOCUS20319 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLITPTSTPKSLPPIKTKPTTISATLSPPTTSTTVHHRRREFISTTASILTTTFLVHVTPAFAASDEEYVKETEEVISKLRTTITMDKNDPNVANAVAELRENSNSWVAKYRREKALLARTSFRDMYSALNAVSGHYISFGPTAPIPAKRRARILEEVEVAEKSLKRGR >CAK8565306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:112021670:112022128:-1 gene:gene-LATHSAT_LOCUS18530 transcript:rna-LATHSAT_LOCUS18530 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAPHCLVKDEGFANYGWNVTTPCSGNNSKLIKYSKILLQRDHKNKIIHNKKQLHNYTQLFHRHRWDHRLLEPTIASASKSSANESTNSNERSADELREIHGMSTGNPEACPADPQLSSQTLGSVGSITNSSMDMQGVNKSNSTNNNNNGQ >CAK8531708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142158743:142159243:-1 gene:gene-LATHSAT_LOCUS1480 transcript:rna-LATHSAT_LOCUS1480 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLHETKKSQAKKALRSLGIGIAIPFAITLTIIILFGSSSKYNVIAKPFWFAPLWYIHLATLGSSFCMGLAAWLVWADGGFQGESDALCFYVSHVSLSIVWYPLVLVMSSYWLATASGVVNCVTLLICYLRFRKVNPFAKDLAKPCLAWTLYLTLVSFKLMLL >CAK8578657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:644073834:644077007:-1 gene:gene-LATHSAT_LOCUS30649 transcript:rna-LATHSAT_LOCUS30649 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANPADQTVELLQKFSLDAQPKSVEIPEPNKKVTGNQYGSVDTGNTPNGQIPSYERSLTPVLPEFMDPAMCYLPNGYPSTAFYYGGYEGAGNFEWEDFSRYMSPDGVDLTSGVYGDNGSLVYHHGYGYAPYAPYSPAGSPVPTMGNDGQLYGPQHYQYPPYFQPLTPTSGPFTPTPAVHPQGEISTSVAADQKALSVDTPNGNSNVVSNGVNAKGRTATSGYQDPRFGFDGARSPVPWLDAPIFSDGQPRPVTSTAISSSISSGNNGTASRNQTYRPNSQYMGLHHPRPMPAMGANPGFMSRMYPNKLYGQYGNAVRSGMGYGAHGYDSRTNGRAWLAVDNKYKTRGRNGGYFGYGNENTDGLNELNRGPRAKGGKNQKVFAPTVLAVKGQNLPAVADEEKEKTSNVPDREQYNKADFPEEYTDAKFFVIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQEAQQKPGGCPIFLLFSVNTSGQFVGLAEMIGPVDFNKSLEYWQQDKWNGCFPLKWHIVKDVPNNVLRHITLLNNENKPVTNSRDTQEVLLEPGLKLIKLFKEYSSKTCILDDFGFYEGRQKTILEKKAKQQYPKQVWEGKSTEEKIEVNGEINTQKSEVTSELLKESTTLAVKDIENHTLSENGAVAKTGDAPKGAKPVVLESKIVPNGVANGC >CAK8569492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2629817:2633799:-1 gene:gene-LATHSAT_LOCUS22337 transcript:rna-LATHSAT_LOCUS22337 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQKSKRVSWASDLNLCQVRLFLSEESPSQVGLNSQDHLQAKISSVLHQGEAGSDDILPPGFEGTCASSQFNIKLSQIPVISWVNPPKIELDLTWLVVAGEESKEIVDQPHREMRVLEAIYPRISSIPPNPSVALNVEDFHYMDDHTVVIPITPVEDGDVTAEMLSHSLKQYDVSQSHVLSPGIFENSNSATSMSDVAAASVALTSIVKSSEHGNLIDRELLNNILNNPEVIEKLVRDYGVQNNAQYVQNMGSSSGVFSHPTNRVNQVETTTTSSNPFLSTSFYTHTNGGPMAANIPTQWHSRPVMSSAIAATPVDPPSTKDVNYYKSLIQQHGGDQQEALPYSSGNRQNQHPLTNIESVHNFRAKGSKPKIMKPCIFFNSSRGCRNGTNCTFQHDASFQQQGNPVAGMRSSKRMKMDNEISS >CAK8566007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366680527:366680901:1 gene:gene-LATHSAT_LOCUS19176 transcript:rna-LATHSAT_LOCUS19176 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTTCFVCWNLNTDFNCNNHLHNTRVSRSPFPLSFKMPHRILSLQQRRRYHKRTTSQPSIHGAPKPNQRLNSDLNNKLSFNSKTDDSLHNLNSPILLHDNKSTPSALNVNGAEQTEQLSGG >CAK8563202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582516796:582517553:-1 gene:gene-LATHSAT_LOCUS16636 transcript:rna-LATHSAT_LOCUS16636 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRESPMVSISDNKDDYFVFPAINHENLNPLTNHQIPCSKSQLQSLSQLPPSSSSSDCHMSGEYSSLSPPPLDSSLRKGGDFIGWMSIGFQILRSKFFSAVSSFQNPGGAIRSYGLPAAIVVIIVVTLMKRKESKRNLTPNESRLLQIIMEKDGKIAQLLHQIAQMNEILIDSHKALAGKVVVLFTENCPTFAPDCTNE >CAK8567293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501717288:501721968:1 gene:gene-LATHSAT_LOCUS20358 transcript:rna-LATHSAT_LOCUS20358 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEMKLVSILLLLLVSDYSFALPQLDLQEDALYALKLSLNASPSQLTNWNKNQVNPCTWSSVYCDQNSNVVQVSLAFMGFTGSLTPRIGALKRLTTLSLQGNSITGEIPKEFGNLTSLVRLDLENNMLTGEIPSSLGNLKKLQFLTLSQNNLKGTIPESLSSLPNLINLLLDSNDLRGQIPEQLFNVPKYNFTGNKLNCGVGYQHLCISDNANQGSSHKSKVGVIVGTVIGLILLLFLGSLLFCWCKGYKREVFEDVPGEVDRRITLGQIKKFSWRELQIATDNFSEKNVLGQGGFGKVYKGVLADGTKIAVKRLTDYESPGGDQAFQREVEMISVAVHRNLLRLIGFCSTPTERLLVYPFMQNLSVASRLRELKPGESVLNWATRKGVALGTARGLEYLHEQCDPKIIHRDVKAANILLDGDFEAVVGDFGLAKLVDVRRTNVTTQIRGTMGHIAPEYLSTGKSSEKTDVFSYGVMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREKKLDAIVDSNLKKEYNIEEVEMIVQVALLCAQGMPEDRPAMSEVVRMLEGEGLTERWEEWQHVEVSRRQDSERLQRRFAWGEDSIHNQEAIELSGGR >CAK8540083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538619118:538623871:-1 gene:gene-LATHSAT_LOCUS9121 transcript:rna-LATHSAT_LOCUS9121 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKSAPHLLKQLRASRHHLTQTRSVTYMPRPGDGTPRGVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFEKFEVRGDMKAVPAEVLESIKKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLTTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGKEKVVQQKKANPVALLLSSAMMLRHLRFPAFADRLEAAVKRVILEGKHRTKDLGGTSTTQEIVDAVIDALN >CAK8564435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674139828:674144736:1 gene:gene-LATHSAT_LOCUS17741 transcript:rna-LATHSAT_LOCUS17741 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMSSFWGPVTSTKECCEENYIHSSYIAELYNTISNIPTIILALIGLINALRQRFEKRFSVLHLSNMTLAIGSMLYHATLQHLQQQGDETPMVWEVLLYMYILYSPDWHYRSTMPIFLFLYGAGFAIAHNVFRFGVGFKVHYIILCLLCTPRMYKYYIHTQDVLAKRLAKLFLGTLILGSLFGFCDRVFCDEILGWRINPQGHALWHVFMGFNSYFANTFLMFCRAQQREWSPKVVYLMGVLPYVKIEKPKSQ >CAK8531657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135589647:135591350:-1 gene:gene-LATHSAT_LOCUS1432 transcript:rna-LATHSAT_LOCUS1432 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIGVKRDRDLWFELDPSVDLLGLGAANYTPTSKDLPPLHFPHIFSPKRVVACDTAEKKQSVVTVEKDKKSTGGKRTRKNVYRGIRQRPWGKWAAEIRDPQQGVRVWLGTFSTAEEAARAYDAAARRIRGDKAKLNFPDAPVGYGAKAVTAPPMKKRCVSYSFDQLSSQQASSESAGSGSEIDQEMEIKKKMSDLEWILGLENDFPQSQVQVQAQAQVVPQSQPQPAPAPAPFTMPADWDNNFLDAWTFDEMIDPIHNLIFN >CAK8560237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11445809:11449149:1 gene:gene-LATHSAT_LOCUS13927 transcript:rna-LATHSAT_LOCUS13927 gene_biotype:protein_coding transcript_biotype:protein_coding MVYITSWDDFMERSIQLFRADPDSTRYVMKYRHCDGKLILKVTDNVQCLKYKTDQAQEIKKMEKLNNIFFTLMARGPEVDLSEVTGKEQMDAQPVKKGRGRKQ >CAK8565733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:314359900:314364657:-1 gene:gene-LATHSAT_LOCUS18920 transcript:rna-LATHSAT_LOCUS18920-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEAQFRQQTLAEMENKHDDSNQAGLGPDSASDGVSTSGNTSGARYKLMSPAKLPISRSPVLTIPPGLSPTSFLESPVLLSNMKVEPSPTTGSLPRLQQTSHGFMTSFTSATFPVTTVCYNTNTVVDDGKSSFFEFKPHNRSNMVPANFDNHACEKSTQIDGQGKVQTFVSLPLVKSEMAVPSDEISLSSSPLQMVTSGASAQVEVELDESNPSGNIATGLQASQVDGRGSGLSVAADKVSDDGYNWRKYGQKLVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYSGGNIMSVQEERSDRASLTSRDDKSFNNYGQMSHAAERDSTPELSPIATNNDSPEGAGFLSNRNNDEADEDDPFSKRRKMDLDITPIVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSHDMAGPSAAGGQARIRQEESDTISLDLGMGISPNAENRSNNQGRMMMRNEYRDGQTHTHTGNSSFKFVHTAPPPGYFGVLNNNSNPYGSRENQSDSYPCPQNMGRILMGP >CAK8565732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:314359900:314364657:-1 gene:gene-LATHSAT_LOCUS18920 transcript:rna-LATHSAT_LOCUS18920 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEAQFRQQTLAEMENKHDDSNQAGLGPDSASDGVSTSGNTSGARYKLMSPAKLPISRSPVLTIPPGLSPTSFLESPVLLSNMKVEPSPTTGSLPRLQQTSHGFMTSFTSATFPVTTVCYNTNTVVDDGKSSFFEFKPHNRSNMVPANFDNHACEKSTQIDGQGKVQTFVSLPLVKSEMAVPSDEISLSSSPLQMVTSGASAQVEVELDESNPSGNIATGLQASQVDGRGSGLSVAADKVSDDGYNWRKYGQKLVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYSGGNIMSVQEERSDRASLTSRDAERDSTPELSPIATNNDSPEGAGFLSNRNNDEADEDDPFSKRRKMDLDITPIVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSHDMAGPSAAGGQARIRQEESDTISLDLGMGISPNAENRSNNQGRMMMRNEYRDGQTHTHTGNSSFKFVHTAPPPGYFGVLNNNSNPYGSRENQSDSYPCPQNMGRILMGP >CAK8566068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376352102:376357292:-1 gene:gene-LATHSAT_LOCUS19235 transcript:rna-LATHSAT_LOCUS19235 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLIDYAKKNVEKLLDGALKELRYICCFTCIAKEFEEEKASLKAERRTVGQRVQLAKGRGEDVQVNALFWEEEVDKIIEEEPKTKQKCFFGLCPDCIWRYKRGKELENKKEKIKRFVDTGKELAIGLPAPLPDVERYSSRHYISFKSRISKYNELLDALEDEQNYIIGLQGMGGTGKTTLAKEVGKKLKQSKQFTYVIDTTVSFSPNIKKIQDDIAGPLGLKFDECSESDRPKKLWKRLTNGENILLILDDVWGDIDFEEIGIPYSDNQKGCRILVTTRNMLVCKRLGCSKTIKLELLSQDDAWEMFKRHAGLTENSSQSLLELGFKIANECQGLPIAIAIIASSLKGKQHREEWDLALKSLQKHAPIDKIDDDDDDDDNLVKIYERLKFSYDNMKDRKSKRLFLLCSVFLEDEEIPTERLIRIGIGAGLFGEKYGNYKDARSQVVISKNKLLDSCLLSEVDDDRVKMHDLVRDAAQWIANKEIKTINLYDKNQKAMVEREKNIKYLLLEGKLTDVLSCKIDSSKLQIQIVHVRKDGDFLDYKAKFPNSFFENISGLRVFYLFTNFYRLPLSLPQSIQTLKNIRSLLFEAVDLGDISILGNLQSLETLDLELCTINEFPKEMEKLPNFRLLKLRYCDVRWNNPFKVIEGCSSLEELYFICSFNDCCREISFPLLQRFILKDVNYYYVKDSLSKCVTLENQEYLPSKRTLKDCIQAAEALRLRRIWWEWVNVIPEIVPMDHGMNDLVELQLSCISQLKCLIDNKHGNSQVTTVFSKLVKLVLTQMECLEELCNGPLSFNSLKSLEYLFITECNQLQRIFTCNLNLCNLKSLILIQCPMLISISELTTSRSLVSLEKFEISKCEKIEYIIDEKKEKKSRGEIVDDDSMESHKSMFPKLRDLHIDQCHGLEFKFLLLSIQDLPALESLSISCSEKLQYIPSQYVLLGSLKNMKLRNLPNLINIFPKCHPTTSSGEASKPGKQLEPMEGSVFLWNNICCLGKKYRQKSMINASTTLSLNYLSWIKIERCEKLEFVFSTSILRCLPQLIFLKIEECKELKHLIEDDLENEKSITFRSANTYFPKLEILTVGNCNKLKCVFQTSVCKELPKLKILMIREAYELQDIFKTEGDDQKLEIPNLEVVSFINLPNLCYAQGNHFQAVKYRLVQNCHKLSLTSALIPDNIPDMDDFDDIFGYIKGLDIKIIEEIFIRMQPLINVNKTYDTCKGKPSSEIPQEFAAGVEAEAASESESILTSSSASESGSILTSSQPQVLMNEQPTDQQHSLQETDTTINPQLEGSATPEKTLAANSSTISETKKEPPIQFVFPKQKKDGDCQIVTTSLSIATTESNDKVSPNADASMKVSSNIEEQFPKDDKIIVSNSKPSPKGDPSQKVEELSSSLPVTRDYEKLSLFSYELNL >CAK8534707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757074777:757077959:-1 gene:gene-LATHSAT_LOCUS4227 transcript:rna-LATHSAT_LOCUS4227 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFTKILLAPRSIHTSSLFTHHPPILSSLFNKQLFSVRAVPTFTAQTTRLTGWAPCMASSIVSRRATYSTRSAPTSEPVVSVDWLYENLKEPDIKVVDASWYMPDEQRNPIQEYQVAHIPGALFFDIDGIADRTTNLPHMLPSEEAFAAAVSALGIQNKDDLVVYDGKGLFSAARVWWMFRVFGHDRIWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQAVGPITFETKFQPHLVWTLEQVTKNIEEKSHQQVDARSKPRFDGIAPEPRKGIRSGHVPGSKCVPFPQILDSSQALLPADELKKRFEQEGISLEKPVVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGSNSDTPVDSAAQTQ >CAK8535793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882599108:882599473:1 gene:gene-LATHSAT_LOCUS5216 transcript:rna-LATHSAT_LOCUS5216 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVDDTDMPSKMQIQAMSYASQALDLYDVVDCESIAAHIKKEFDTRYGCGWQCVVGSSFGCFFTHSKGTFIYFTLETLHFLIFKGA >CAK8573464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614231192:614231767:1 gene:gene-LATHSAT_LOCUS25910 transcript:rna-LATHSAT_LOCUS25910 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSMDNMACNKGQHVRKAKKKQVKDELDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSYKVECKTWDDYNRNLDFFMGGKRACFPNLDGGTWSVTTENGKWSISSGPFENNNVHAPPYGEPVWDPTRFSVDLIAAQAVRSLQIAENADEDRILF >CAK8573252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598164867:598166071:-1 gene:gene-LATHSAT_LOCUS25722 transcript:rna-LATHSAT_LOCUS25722 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTNFTKEEDNKISVTSEKQTEDSDCLSAMVLTTNLVYPAVLNAAIDLNLFEIIAKATPPGAFISPSQIASHLPSSNQHSDLPNRLDRMMRLLASYSLLTSSTRTAEDGTTERVYGLSSVGRYLVPDESRGYLASFTTFLCYPALLQVWMNFKEAVVDEDIDLFKKVHGVTKYEYMGEDKKMNKVFNKSMVDVCATEMKRMLEIYTGFDGISTLVDVGGGSGQNLKMIISKYPSIKGINFDLPQVIENAPPIPGIQHVGGDMFESVPQGDAMILKAVCHNWSDEKCIQFLSKCHKALSPNGKVILVEFILPEEPTSTEESKLVSTLDNLMFITVGGRERTEKQYENLGKLSGFSKFRVACRAFSCLGVIEFYK >CAK8544885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710335870:710336628:-1 gene:gene-LATHSAT_LOCUS13530 transcript:rna-LATHSAT_LOCUS13530 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRPEQNPIVVQKPPERKPIVLQKPPGYRDPTIPQKPPPPRKPPLPPSFRPKPKKRHYCRICCCTLCILLLLFLLLFILLIALFYILYQPSLPQIHLGSFLVPDFKITTNADGSQLDANSITMVYIKNRNTKIEWHFDQSSVQIWAENGDLNLGSTKVAGFDVKVRGISKMKVETKVRDEVLDGRQKRRLKSVIQSKALKPSVEVRTRTGVKIQGWKSMTIGVTLVCGGVTVRQIQNGDSPLCSFTIFKW >CAK8531143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83995586:83997745:-1 gene:gene-LATHSAT_LOCUS954 transcript:rna-LATHSAT_LOCUS954 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRFTLFTLQLLTNNNLLRPLPTLTPLHLHHRHLSTTFRRPPPDPNDPSILLKEDGFSLCSQMWIDNFRHPDKIITNLSSFLRRFELWLLAYQKVTTDETGSYTPRSSIQRPQLEDLLALRNAVIDGNFKWGSRLKFHIKSPIDKTDYESLSKRKIKIILTTTQPTPFQDKIVQEVLLMILEPIYEPRFSSKSFAFRPGRTPHTVLRIIRRNFAGYLWYLKGDLSTLLDGVKVGLVINAVMRDVRDKMVVDLLKSALVTPVVTSKVDDREKKKTKRKYQKKRVLNEDEPKPDPYWLDTFFGFAPEEAEKVPNWGHCGVLSPLLANIVLDELDRWLEGKMKEIYVPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDFIRYGGHILIGVRGPRADAATLRKQLIEFCDQKLMVKLDNECLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIRQFRKLSFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRFADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKEKKGQSPEYQNLLRMGLAESIDGLQYTRMSLVPETDYTPFPGNWRPDHEKSLLEYIKLEDPKTLEEQQSGIREQGLVTPQDYISMLVWNYKRNSLPMDHLSVIKSNESVLGNQHLLAGSNQDDHEHISKEEETDAGINEAQM >CAK8574355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676875180:676882156:-1 gene:gene-LATHSAT_LOCUS26709 transcript:rna-LATHSAT_LOCUS26709 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDKSDRRNRDRHSERDRDRDHDHDHKHHRSRHDSDDHRHHRSYRNAKHEHKTREDREGSRDRVYDRDEREGSKGRSKLKRDEERENSVEPRHSSHSHKRKDREHSEDRDLEDKRIRVSEEKREVKKERRKFGDKVKKDEDYDNEPKVKEEVTNGAHGFASSKDNASEHNGVAVGSPAVVHASVPETSLPPPPPIPIKVSSISTTNENKGVSIIRSHEVTGKSSTDGSSSTAGKPGSLSIDALAKAKKALQMQKELSEKLKRIPQLNKSSTSNLRESTNLGHKVEPTAPSLSAGVASKPASSDSSGPVANMPIFPTAAANPSASGTSVAGVATATNFEAVRRAQELAARMGFRHDPQFAPLINMFPGQIVTSDGTIPQKPTKAPVLRLDAQGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFEILKPVLEVDPESNPHFDERMGIDKTKLLRPKRMNFLFVEEGKWSRDAESIKLKSKFGEAQAKEQKAKQAQLAKAKAAPDINPNLIEITERVVIKEKLKDQIPEIEWWDVALLHSGNYGDIANGTIGEDKLKIEKITFYVEHPRPIEPPAEPAPPPPQPLKLTKQEQKKLRTQRRIAKEKERQEMIRQGVIEPPKPKVKMSNLMKVLGTEATQDPTRLEKEVRNAAAEREQAHIDRNIARKLTPAELREKKERKLFDDPNTLDTLVSLYRINDLSHPKARFRVDVNAQENRLTGCSVICDGISVVVVEGGSKSIKRYGKLMLRRINWSEFSKEKEDDEDSDDDKPVNKCVLVWQGSIAKPSFNRFSVHDCITEAAARKVFVDAGVPHYWDQAVNYVDDEAA >CAK8577867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594877144:594877605:-1 gene:gene-LATHSAT_LOCUS29935 transcript:rna-LATHSAT_LOCUS29935 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIQRLNDTILTSQKDISQEVTEFYISLMGKSSNELNHVDIEALRRENQLNIEQKEILVSPITVIEMEEALQGIGDLKSPGGDGYGSKIFKTYWHIIRLDVVDAVMEFFEQDRLYAPFNQTVVTLVPKTDDAKFVRDIGLLERELSIGYKRH >CAK8571568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:441429492:441430187:-1 gene:gene-LATHSAT_LOCUS24216 transcript:rna-LATHSAT_LOCUS24216 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGDV >CAK8560527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23683252:23685584:-1 gene:gene-LATHSAT_LOCUS14191 transcript:rna-LATHSAT_LOCUS14191 gene_biotype:protein_coding transcript_biotype:protein_coding MADKACIKRLQKEYRALCKEPVSHIVARPSPSDILEWHYVLEGSEGTPFSGGFYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDTSPTTGSVNTTTAEKQRLAKSSLSFNCKNATFRKMFPEYVEKYEQQQLSEQTASEQRVSSDARHDKGSNSVSERNLDSAEEVMKRVEGLKDTRRNKKQAFPTWMMLLLFSIFGVVMALPLLQL >CAK8565296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:105431326:105433022:-1 gene:gene-LATHSAT_LOCUS18520 transcript:rna-LATHSAT_LOCUS18520 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGFNVFNSHFHSSCLQSKRFVVNSSSRLPMLPPNSIPFHKSWQVLGGKRKRAYLCKVNGLPDIPLMAVLVEKMEGQRDLITEKTIWHLSDQQIKNAYAWYVMFTVWGVLFFGSMKDPYYDSETYRGDGGDGTGNWIYEKQEIMEAEAREALWREELIEEIEQKVGGLKEIEEAAKEELVK >CAK8569576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5892720:5897859:-1 gene:gene-LATHSAT_LOCUS22414 transcript:rna-LATHSAT_LOCUS22414-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISFHYPLFLLIIISLSSQLANSENTAQNFHYFCDQDNDRGNYTDNSIYHTNLKTLLSTLTSNTQINYGFYNFSNGENTNKVYAVGLCRGDVKQAECLNCLKASTNNLTQLCPNRKEAIGWYQDEKCMLRYSDRNIFRLMEIGPAYYAKNPNDATDLIVFNETVRNLLDDLTKKAALGDSSLKYAAESVRGPNYQTIYGLVQCTPDLSGSDCSSCLVQSVARIPIDCCKDKIGGRVVRPSCNMRFETNFLFYDPTTTSPPPPFTTSSPPPPPGKRKTTTIIVIIVSFVAVMMLVLIFIYLRVWKRSKRFRIQEEDEDDEIDISKSLQFKLDTIRDATNDFSDNNKLGQGGFGTVYKGTLPNGQEIGVKRLSKDSGQGDLEFKNEVALVAKLQHRNLVRLLGFCIEERERLLVYEYVPNKSLDYFIFDETNRAHLNWERRYKIIAGIARGILYLHEDSRLRIIHRDLKASNILLDEEMNAKITDFGMARLFAVDQTQGNTNRIVGTYGYMAPEYVMHGQFSVKSDVFSFGVLILEIISGHKNSGVPRGDTNEYLLSFVWRNWRDGSPTNIIDPTIINDSINEVLRCIHIGLLCVQENATNRPTMTSVVLMLNSHSISLSRPSEPAFYFDSGAVDFPDLMVQSSVNKVSITEPYPR >CAK8569575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5892720:5898117:-1 gene:gene-LATHSAT_LOCUS22414 transcript:rna-LATHSAT_LOCUS22414 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISFHSPLFLLIIISLSSQLANSANNTQNFHYFCDQDNDRGNYTDNSIYHTNLKTLLSTLTSNTQINYGFYNFSNGENTNKVYAVGLCRGDVKQAECLNCLKASTNNLTQLCPNRKEAIGWYQDEKCMLRYSDRNIFRLMEIGPAYYAKNPNDATDLIVFNETVRNLLDDLTKKAALGDSSLKYAAESVRGPNYQTIYGLVQCTPDLSGSDCSSCLVQSVARIPIDCCKDKIGGRVVRPSCNMRFETNFLFYDPTTTSPPPPFTTSSPPPPPGKRKTTTIIVIIVSFVAVMMLVLIFIYLRVWKRSKRFRIQEEDEDDEIDISKSLQFKLDTIRDATNDFSDNNKLGQGGFGTVYKGTLPNGQEIGVKRLSKDSGQGDLEFKNEVALVAKLQHRNLVRLLGFCIEERERLLVYEYVPNKSLDYFIFDETNRAHLNWERRYKIIAGIARGILYLHEDSRLRIIHRDLKASNILLDEEMNAKITDFGMARLFAVDQTQGNTNRIVGTYGYMAPEYVMHGQFSVKSDVFSFGVLILEIISGHKNSGVPRGDTNEYLLSFVWRNWRDGSPTNIIDPTIINDSINEVLRCIHIGLLCVQENATNRPTMTSVVLMLNSHSISLSRPSEPAFYFDSGAVDFPDLMVQSSVNKVSITEPYPR >CAK8538838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497536180:497547927:-1 gene:gene-LATHSAT_LOCUS7994 transcript:rna-LATHSAT_LOCUS7994 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISAVEIIIGYSFQNKKLLEQALTHTSYPESVSYERLEFFGDAVLGLAISNHLFLSHSSVDTGTLSLLRAANVSTEKLARAAVRNGLHPYVRHNTLSIVDIINEFVDAVNSEDDCVVVKYGGSVKAPKILADIVESIAAAVYVDVDFDLKRLWAIIKGVLEPIVTLDDLEHKPQPVTMLFEMSQKNGKKVDIQQQRNGAKITASVYVDGELIASASSDQKDIARLEAAKAALHQLECLLPATTVMPECCVGIDGTFEVEAAKQKLYAICGMKKWPRPVYSIEKDEGTSQDKKFVSAVQIVTPAATLQMSGDEKSRVKDAENSAASLMIRALQHHKYV >CAK8538839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497536180:497537982:-1 gene:gene-LATHSAT_LOCUS7994 transcript:rna-LATHSAT_LOCUS7994-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISAVEKIIGYTFQNKKLLEQALTHTSYPESVSYERLEFIGDAVLGLAISNHLFLSHSSVDTGTLSLLRAANVSTEKLARAAVRNGLHPYVRHNTLSIVDIINEFVDAVNSEDDCVVVKYGGSVKAPKILADIVESIAAAVYVDVDFDLKRLWAIIKGVLEPIVTLDDLEHKPQPVTMLFEMSQKNGKKVDIQQQRNGAKITASVYVDGELIASASSDQKDIARLEAAKAALHQLECLLPATTVMPECCVGIDGTFEVEAAKQKLYAICGMKKWPRPVYSIEKDEGTSQDKKFVSAVQIVTPAATLQMSGDEKSRVKDAENSAASLMIRALQHHKYV >CAK8542685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537464512:537467023:1 gene:gene-LATHSAT_LOCUS11504 transcript:rna-LATHSAT_LOCUS11504 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHSVYKKKKSTIHEVVVLTPSIRIPVQSDLQRALKGLIPKDLADKLSSLRNQIVLVAEDTDGSAIAELRRALNEYLSVLIGLTKKEFGLEGLIEFKWKNFEDGRQDSSIANVWFEVLSSVHFMAMLTLSEADSLMIPKDSSDSGFRVVSSDSKREAVDLLIKASGYLEFCVRQILPQIPFENSKVLPHDLQEGVLEAIAIQALGQGTEIQLGFAVESQKATLSVKRRLACEQLTYFTQAYHCLSGCDFNQGHGKKHLRFIKWKFLESKAAAYYYHGLILDKGNEPSCHIVAVSCFLAAEELLVESKKAGLSFCLAAPVTRAPPPWGVMKLLHQKIPEVASKKYQMYGYLLEQEKGLQALPDLPEFQLSLHPDDYELPEIDPVWDTKNWETLGQPLKEHLRDCDEKSTD >CAK8534999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801543903:801547583:1 gene:gene-LATHSAT_LOCUS4486 transcript:rna-LATHSAT_LOCUS4486 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSESDCVKVQNRSYRECAGEKCPSISSECVGLRETYFNCKRGQIDMRARIRGNKGY >CAK8578470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631051550:631051783:-1 gene:gene-LATHSAT_LOCUS30479 transcript:rna-LATHSAT_LOCUS30479 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGLVEKDFEQIGEFLHRAVTLTLEIQKEHGKLLKDFNKGLVDNKAIEELKADVEKFSSSFGMPGFLVSEMKYKD >CAK8575374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103101806:103104753:1 gene:gene-LATHSAT_LOCUS27643 transcript:rna-LATHSAT_LOCUS27643 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKKHNHTFPISSTLFIFLLLNLCQEISGLTQYEALLKWKQSLPQQPILDSWITNNSTSTQTPCSWRGITCDSKGAVTILNLAYTGLQGTLNHLNLSVFPKLLRLDLKTNNLTGIIPENIGILSKLQFLDLSTNYFNGTLPLSISNLTQVYEIDVSRNDVSGILDRRLFPDKPSSKTGLIGIRNLLFQDTLLGGPLPNEIGNIKNLTLLALDGNNFSGPIPSSLGNCKHLSILRLNENYFAGPIPPSIGKLTNLTDLRIFINELNGTVPQEFGNLSSLVVLHLAQNNFVGELPPQVCKSGKLVNFSAAYNSFTGPIPISLRNCPSLYRVRLEYNELTGYADQDFGVYPNLTYMDFSYNKIEGDLSSKWGSCKNLQLLKMAGNSVNGNIPSEIFRLEQLHKLDLSFNQLKGNIPSQIGNSSNLYTLNLGGNRLSGKIPIEIGRLSNLQHLDLSMNMLLGEIPSQIGDCSNLLNLNVSNNHLNGTIPFQIGKLASLQDFLDLSYNSISGEIPSNIGMLSSLISLNISNNNLSGSIPDEISGMLSLSNLNLSYNNLEGKVPKGGIFKFNSSHALDLSNNQGLCGHFQGLKPCNVSLIEPSDGSNKKKVWIPIVASLGGALLSLVFVGIFFLCYKKNPRTSRQKKPSFNIPNPFSIWYFNGRVVYSDIIEATNNFEEKYCVGEGAFGNVYKAELKGGQIFAVKKLKCNEENLDTESIKTFENEVEAMTETRHRNIVKLYGFCCEGMHTFLVYEYMDKGSLADMLMNDKKSLELDWLKRVNIVTGVASALSYMHHDCSPPLIHRDISSKNILLSSNHEAHVSDFGTARFLKPNSPIWTSFAGTYGYAAPELAYTMAVTEKCDVFSFGVLAFEILTGKHPGDLVSYIQTSNDQKIDFKEILDPRLPSPPMNVLKELVLVGNLALSCLQTNPQSRPTMRSIAQLLEMETTYNS >CAK8576805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516022262:516023626:-1 gene:gene-LATHSAT_LOCUS28971 transcript:rna-LATHSAT_LOCUS28971 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEMELVQPIGTMRILKHRVTDCTGKQSHQSQLQTENLSSSKSHVEVFIGKKLQSEAIPVLEKIQKKKEKAMKQKERQDKLLKDFHNSYSSGNARLCRTRRPVNYSFEAYDYVIVPFNGSGF >CAK8531297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:98995085:98995423:-1 gene:gene-LATHSAT_LOCUS1100 transcript:rna-LATHSAT_LOCUS1100 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVIVISAVLFISMSEAGHYGWWFPIRNLNEPYVREIVQFALTEHQKQSGVKLSLVDVIDGHYMAGEHHSTLYKFWLAAKDESATKKYDVGVNVQVDPHVKRLVWFDPAP >CAK8568276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589460112:589462892:1 gene:gene-LATHSAT_LOCUS21250 transcript:rna-LATHSAT_LOCUS21250 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGQWNPLLSFSRFINHHRNHLATRFEDTKRLAGTLIQSHTRTQPAFAATLTPNHVAKSLSGTSVYTVSNSDNEFVLMSDAEGAKSIGLLCFRQEDAEAFLAQVQLRKKEFRGNAKVVPITLDQVYLLKVEGIAFRFLPDPVQIKNALELRTANKRSFDGVPVFQSDLLVVKKKNKRYCPVYFSKEDIEHELSKVSKSSKGVGVSQHIMVGSFEDVLKKMELSEKSSGWEDLVFIPPGKKQSQHIQEVFA >CAK8561517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:263835571:263836356:-1 gene:gene-LATHSAT_LOCUS15101 transcript:rna-LATHSAT_LOCUS15101 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNGYKSKQRSSTASGYICRIQVLVMSFTLMLMLVILFLVFNNVHDNDNHGVKVKVKPSLAYDSHKQKWNSFDSLVKLDPTREFRNGTDLIWQVPESPKSFLFLAHGCNGKAINFWDKSSECPDCVGLPEGRLLVPHGLAEGFVVITISSARRCWSYGNNEVLIVKDILEWWIGERKLEKLPLVALGASSGGYFVSLLATVKKFNSIVLMIVERMFEEIDIDERLVPHVQEELNLAFAYYEMTSVHSDRIFKLFKSHLS >CAK8574664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5891116:5891715:1 gene:gene-LATHSAT_LOCUS26989 transcript:rna-LATHSAT_LOCUS26989 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHMNFQRRESCQRCGDSKYGDRVDYGSMFGGIRGGSSFGLSGSDVRLGDWYCGAGNCGAHNFASRLSCFKCGAFKDELAGGGYNNSADILLSRGFGGSTRPGWKSGDWICNRLGCNEHNFASRMECFKCSAPRDTY >CAK8542068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:467699436:467700317:1 gene:gene-LATHSAT_LOCUS10940 transcript:rna-LATHSAT_LOCUS10940 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPNQPQPSPFEPMPPLPTSIYGPQYCAPYPIDLAIVRKVMAISDGNFVVTDINDNTIFQVKGSLLTLRDRRVLLDAAGNPITTLRRKIITMHDRWEAFRGESTEAKDLIFTLKKSSLIQFNTKLNVFLAGNTKEDVCDFKVKGSWLERSCTVYAGESKNIVAQMHKKHTVQSVLIGKDQFMVTVYPNVDYAFIVALIVILDEINLDDEE >CAK8566100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379839949:379840414:1 gene:gene-LATHSAT_LOCUS19263 transcript:rna-LATHSAT_LOCUS19263 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWNDGSKKFEEDLLDVLINLKDENNTSLLTMKEIKAQLIELMMATLDNPSNAAEWILVKMLNQHELLQKVIEELDIIVGKDRLVQESYIPKFNFLKACARESFPLHPVTDLSPPQFAKNFQQLRRT >CAK8544496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688578543:688579594:-1 gene:gene-LATHSAT_LOCUS13169 transcript:rna-LATHSAT_LOCUS13169 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLIAVLLVVVLATATTATAADADALQDLCVADYASAILVNGFTCKPASKVTAEDFFSNLLVKQGATNNTFGSLVTGANVQKIPGLNTLGVSMARIDYAPGGLNPPHTHPRATEMVFVLEGQLDVGFITTTNQLIAKTIAKGETFVFPKGLVHFQKNNGWEPATVIAGFNSQLPGTVNIPLTLFNATPPVPDNVLTQAFQIGTKEVQKIKSKFAPKK >CAK8535873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887945442:887954329:-1 gene:gene-LATHSAT_LOCUS5292 transcript:rna-LATHSAT_LOCUS5292 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRAIFTHHIHKRHRFLNPKCVFSSSFSNSSSSTPPPEDTETLHSDSRNQSTNSWSIYGNVVAKQRISNHSDEDEETEDEKPSGSENGSMMSLKNRSIVRTGTTAKRGTGKVKTQWVCYDCGYTAGQWWGTCPSCNMVGTMKEFHEAKVNGAVDSSKLRSGLSVSEDATRTWLPQRADQLQPVKLREVNSGFSEKGWRFPLSGPFGDEVSIVLGGGLVPGSLNLVSGDPGVGKSTLLLQMAALIAEGCNGGDGTACPVVYVSGEESLQQIGHRANRLGIKSDIYLYSSTDIEDILRIAQSFPIRALVVDSIQTVYLKGIVGSAGGIMQVKECTSALMRFAKTTNIPVLLIGHVTKSGEIAGPRVLEHIVDVVLYLEGERFTSYRMLRAVKNRFGSTDELGVFEMSESGFQAVSNATEMFLTEQDPDSDVLVGLAFTVIMDGSRTFIIEVQALCLSQSPVGGSTSKVVNGVDTNRANMIKCVLIKQAGLHIPSVHLNVVSGLTLTETAGDLAIAAAICSSFLEYPIPKGIAFIGEIGLSGELRTVPRIDKRVHALAKLGYKTCVVPKQAVKALGTEGLENIKLVGCKNLKEFINVVFSR >CAK8535835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884099384:884104501:-1 gene:gene-LATHSAT_LOCUS5255 transcript:rna-LATHSAT_LOCUS5255 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCRFLFRVDRVLRVTNTCVSSNPKSHFQGQRTYYGLSCDFTMGSRKQKVCIDDNTNKHKTMKSIWRPISTNASSSEESSITAALVESVEGVQEVGCRTSSAISNEHLLKKVAADENTESGTSSGQLQGNVENKVLEGDSSLSTEKHSISVKVGASLFRFIKGKGGCTQKKIEEETKVKIIFPSSKEDEFVTIEGISIDSVTSASEKIQAIIDETVRSRNLDYSHFISLPLAIHPELVSKLINFQNTILGNDDSCIDENLDTDSNEAEDTTDNKEGDQLSKEIADVAVEVKLDDDSKSVKVNRTSIPLVSYAPKASKASTSSDLGIDKSIFIKPKTFHLTVLMLKLWNKDRVKTATEVLQSISSKVMEALDNRPVSIGLKGLECMKGSMAKARVLYAPVEEVGSEGRLLRACQVIIDAYIEAGLVLENDAKQTLKMHATVMNSRHRKRTKWKRNSVDSFDARGIFEKYGTEDWGQYLIREAHLSKRFSFDEKGYYHCCASIPFPENMQVE >CAK8544259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674254461:674256188:-1 gene:gene-LATHSAT_LOCUS12953 transcript:rna-LATHSAT_LOCUS12953 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAPVIIIGAGTSGIATAACLAKQSIPFIILERENCFASLWQNYTYDRLHLHLRKQVCELPHFPFPSSYPNYVPRKQFIQYLGSYVKNFDINPLYNRKVVLAEYFEDDEKWKVEAENRTSGEVEEYSGRFLVVASGETAEPRVPEVLGLESFNGKVMHSTGYKNGKEFKDEHVLVVGSGNSGMEISLDLANFGAKPSIIVRSPVHFLSRDMMYYAGVLLSYLSLSTVEKLVVIVSRIVYGDLSKYGIPFPTEGPFTTKIKYGKFPIIDLGTVKKIKSGEIQVLGAEIESIRGNQVLFRDGKSYPFDSIIFCTGFNRSTQKWLKGGDDLLNEDGFPKPGLPFHWKGKNGLYCVGLSRRGFYGANLDAQNVANDIAMLVPQDERNEL >CAK8571158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:318570641:318572041:1 gene:gene-LATHSAT_LOCUS23848 transcript:rna-LATHSAT_LOCUS23848 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVSTFETLTPSRFISITIPNPSSSYHSLLRVAVLDSPLQPTHEPRIAAMLVPQGRETDWIFSTKSGHLQLLFTSPLISRFILIGNQPHSDSDSSNHIYHRPLNCSLHHQGFDFEVWSKPLLLALSPKSLFKNGIPDIPILSYQDNLLSSVTIHQCISSHVGEMLIEDVEIDTQSETQNHDCFRREFRRRLRFKRMPNLIQTEVLIVPESDLSSKSLCVENAKFMLDLRVLVHPYLAPMVASLSIINQSIDGRIRNGLRPKALCLGVGGGALLSFLAIQLGFEVIGVDSDKEVLKVAKNYFGLEESEFIHLILGDAVKYMKKLAYQADSELYGFDHLVNGEVAHKFDAIMVDLDSSDIRDGISSPPLEFVRKNVLLAAKLVLSELGILVVNVISPSKSFYDNLVNHFQKVFHDLYKIDVGNGENFMLIATVSPQVFSVEDCSSPFLLQLKSVIPITYINSIRKI >CAK8579253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688023361:688031173:1 gene:gene-LATHSAT_LOCUS31214 transcript:rna-LATHSAT_LOCUS31214-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIPKHERRWASDTVPGKVTVSAGTSPGTESSYASDEFVEVTIDLRDDDTIVLRSVEPAGLNVNVDDSVAGSGYDTPASVPRSPSIRRNSSRGFRHFSQELKAEAVAKAKQFSQELRRFSWSHVGGFETALAARALRKQRAQLDRTRTGAHKALRSLKFISSKSNGLDAWNEVQKNFDKLAKDGFLHRVDFGQCIGMKDSKEFALELFDALGRKQRLKADKINREELVEFWTQITNQSFDSRLQIFFDMVDKNEDGRITEEEVKEVIMLSASANKLSRLQEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKKSPIRRVSRRFIYYLQENWRRLWVLTLWVCIMIGLFTWKFFQYKQKDAFHIMGYCLPTAKGGAETLKFNMALILLPVCRNTITWLRSTKLSYVVPFDDNINFHKTIAGAIVIGVILHVGDHLACDFPRLAGSSEADYQKYLKGVFGHHRPSYGDIVKGVEGITGILMVVLMAIAFTLATKWFRRNLIKLPEPFSRLTGFNAFWYSHHLFVIVYVLLIVHGIKLYLVRKWYFKTTWMYLAVPVLLYASERTLRLFRSGFYTVRIIKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCSAVSPFEWHPFSITSAPGDDFLSVHIRQLGDWTQELKRVFSEACEPPVSGRSGLLRADETTKKSLPKLKIDGPYGAPAQDYKKYDVLLLVGLGIGATPFISILKDLLNNIIKMEELADSVSDTSRASDVSVGSTDSPSINKIAPKRRKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKMCSKHYSGRIGVFYCGAPVLAKELNKLCFEFNEKGPTKFEFHKEHF >CAK8579254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688023361:688031173:1 gene:gene-LATHSAT_LOCUS31214 transcript:rna-LATHSAT_LOCUS31214 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIPKHERRWASDTVPGKVTVSAGTSPGTESSYASDEFVEVTIDLRDDDTIVLRSVEPAGLNVNVDDSVAGSGYDTPASVPRSPSIRRNSSRGFRHFSQELKAEAVAKAKQFSQELRRFSWSHGHASRALSSSGGHTGTSGAGVGGFETALAARALRKQRAQLDRTRTGAHKALRSLKFISSKSNGLDAWNEVQKNFDKLAKDGFLHRVDFGQCIGMKDSKEFALELFDALGRKQRLKADKINREELVEFWTQITNQSFDSRLQIFFDMVDKNEDGRITEEEVKEVIMLSASANKLSRLQEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKKSPIRRVSRRFIYYLQENWRRLWVLTLWVCIMIGLFTWKFFQYKQKDAFHIMGYCLPTAKGGAETLKFNMALILLPVCRNTITWLRSTKLSYVVPFDDNINFHKTIAGAIVIGVILHVGDHLACDFPRLAGSSEADYQKYLKGVFGHHRPSYGDIVKGVEGITGILMVVLMAIAFTLATKWFRRNLIKLPEPFSRLTGFNAFWYSHHLFVIVYVLLIVHGIKLYLVRKWYFKTTWMYLAVPVLLYASERTLRLFRSGFYTVRIIKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCSAVSPFEWHPFSITSAPGDDFLSVHIRQLGDWTQELKRVFSEACEPPVSGRSGLLRADETTKKSLPKLKIDGPYGAPAQDYKKYDVLLLVGLGIGATPFISILKDLLNNIIKMEELADSVSDTSRASDVSVGSTDSPSINKIAPKRRKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKMCSKHYSGRIGVFYCGAPVLAKELNKLCFEFNEKGPTKFEFHKEHF >CAK8571844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482811009:482811791:-1 gene:gene-LATHSAT_LOCUS24468 transcript:rna-LATHSAT_LOCUS24468 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGGYKWSIGSDESILVWNQNWLHNRTFLINSWLHIPDVSNMKVADLISNYSKQCNSDLIVPLVDEEAANKIRNTMLFELVQVDKMVWNFEKGGNYSVCSAYHFCIDKVIDTSQLRIDENWDLILKLKIPPKVEKKFLRLCRNCVPTRVHLKNKGVDCPIICSVSNQDQQVIFSITLWSLWKSRNNHIWNHVNSHDTICSRVIHLLQR >CAK8559926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1668916:1670483:-1 gene:gene-LATHSAT_LOCUS13659 transcript:rna-LATHSAT_LOCUS13659 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCRTTTFRRLLQQNANHHHQRRQLSRTPALSVDHHHNVLVEENGYSRLALLNRPSALNAINTSMAARLHKLYTSWEDNPNIGFVMLKGSGRAFAAGGDIVALYRFINEGKMEACKEMTRTVYSFIYLLGTYLKPHVSTVFEGCQLFVLS >CAK8531630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132773943:132776000:1 gene:gene-LATHSAT_LOCUS1406 transcript:rna-LATHSAT_LOCUS1406 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSCSHRCFFFIVAVLSIFATTPSISQQQMSDSCNGIFISYTYTGGKKLTPNLTDLASQPSRFESTLTVLNNGLQELKSWKVFIGFQHGEWLVSASNAVLADGRTIPGAVGNGTVLAGSSLTDLKTVAETAGDLTQMQVKVDMVGTMVGVAPPGVPMPSTINLANDGFICGEANPQGNNETHVCCTSDPNYKTKIITNENFLPREKNGDLTIMYDVIKAYNSNYLAEVTISNHNPLGRLDNWKLSWNWTNDEFIHTMKGAYPSFIDSSSCVFGSQGEFYKELDFANVLSCEKRPTITDLPPTRFNDTQLGKIPFCCRNGTLLPSYMDHSMSISRFQMQVYKMPPYLNRSDLTPPQNWEIKGSVINPDYKCSEPIRVSPSQFRDPTGLPSNISSIASWQVVCNITKSLKSDSPKCCVSFSAFYNETVIPCNTCACGCHSNQERICSVSKPAMWLPSKALLVPFENRSEMAHGWASLKKLQVPNPIPCGDNCGVSINWHVNTDYKKGWTARITIFNWGETNFADWFAAVQMDKGAKGFEEMYSFNGSLLERLDTTIFMQGKKGLNFLVAEANGSNPRKDPRVPGKQQSVISFRKEKNDEINVVGGDGFPSKVFFNGEECSLPSVFPSNGLRNELPLYIIMILILLSIILMQQW >CAK8568791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641456131:641459214:-1 gene:gene-LATHSAT_LOCUS21716 transcript:rna-LATHSAT_LOCUS21716 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSIMERERTRKRPRLEWDVAPQPSFPPAQRNFAVVGDEKKHASPPRRNDDRDGHYVFNLGENLTPRYKILGKMGEGTFGRVLECWDRQTRDYVAIKVIRSIQKYRDAAMIEVDVLERLVKSDVGCSRCVQILNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHELWLIHTDLKPENILLVSSDYVKLPGCKRVFSDEAQFRCLPKSSAIKLIDFGSTASANQSHSSIVSTRHYRAPEVILGLGWSTPCDLWSVGCILFELCTGEALFQTHENLEHLAMMERVLGPLPEHMVQKANKGAEKYFKRGSRLRWPEGAVSRESINAVKRLGHLKDIISRHVESSRSLLIDLLHGLLTYDPAKRITARQALDHRFFRIPT >CAK8577353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556548157:556548468:1 gene:gene-LATHSAT_LOCUS29467 transcript:rna-LATHSAT_LOCUS29467 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCGKGIKGLGKGRTKRHMMIMRENIWGITKPLIRRLVRRGGVKRISGLIYEETMLFLKVFLTKTIHDTASYTNHARRKVVTAMDVVYALKRQGGTLYGFGG >CAK8531854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166341594:166341983:-1 gene:gene-LATHSAT_LOCUS1618 transcript:rna-LATHSAT_LOCUS1618 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDVQNKIKLIEEVIAEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGERQADEVTAEDFWDAMIQSLKEKRKAKN >CAK8537224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:162979031:162980046:-1 gene:gene-LATHSAT_LOCUS6529 transcript:rna-LATHSAT_LOCUS6529 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDSFVVNLANQTCSYNFWDLIGIPCRHTVVAIHWKIDDPINHVHKCYHKLTYVKCYKEGITPLNGQNKWPKTNDPVIYPPMFKRGPGRPKKLRRREPDESNATKWQGTNTTHRCKTCLEYVHNTRTCKKNKNIILHKNQDVKTNQVPTQESQTRNVQKATSTKGRPKGSLNKKDKVAPSKAPRKALSKALRKAPGKTPSKAPGQPPIKAQSQTPSKAKTAQPEVIDQINEAPIQASIQGSHAAQPSTDPQTALPPPSRHANTAQPPTDPQIVLPPTSPHADTAQQPIDPQTALPPPSPHVDTAPPPTDA >CAK8578399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626405655:626406210:1 gene:gene-LATHSAT_LOCUS30412 transcript:rna-LATHSAT_LOCUS30412 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNVHLSSEVREKALSINKSLSKAKSAAEDGQIQYEKLRNLVIQCNTDAGGRINYAESLQKVELIKSPVVFCVAALFGKVRLIDNMEINL >CAK8566090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378709200:378709637:1 gene:gene-LATHSAT_LOCUS19253 transcript:rna-LATHSAT_LOCUS19253 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVALISAMMLCMLISSSHGNGNATLTCEQVTVWLTPCIPYGTLGGSVLPLCCQGIHSLNAAYKNGDDRRLTCHCVQDRAALIPLINYTRINQIGELCGSKCPFTVYPSTDCDKVQ >CAK8531949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:182866264:182867965:1 gene:gene-LATHSAT_LOCUS1702 transcript:rna-LATHSAT_LOCUS1702 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPRVKLGSQGLEVSKLGFGCSGITRRYYPHVPEEVAISLIKYAFNKGITFFDTADVYGSHGANEIILGKALKELPREEIQIATKFGIVKMEPNNIIVNGSPEYVRSCCEASLQRLGVEYIDLYYQHRVDTTIPIEDTMKELKKLVEEGKIKYIGLSEASPDTIRRAHAVHRITAVQMEWSLWTREIEQDIVTLCRELGIGIVPYSPLGQGFFCGKAVPENIATNSSFLFSHPRLQEENLDKNKILYSRIEKLSEKHKCTTSQLALSWILHQGDNVVPIPGTTKTMNVDNNVGSLEVKFSKDELKEITDAIPISEVAGYRIADIYIKCSWKFANTPPKA >CAK8574663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5873313:5873821:1 gene:gene-LATHSAT_LOCUS26988 transcript:rna-LATHSAT_LOCUS26988 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDQSYKAGETMGRTEEKTNQMMGNIGDKAQTAKEKAQQTAQAAKDKTHQTAQATKEKTQDTSCEAREKGSEMGQATKEKAQSGKDNSPGLLQTTGEKVKGVAQGATEAVKNTLGMGNDDQNKDNFPTNRR >CAK8532629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:334020412:334020854:1 gene:gene-LATHSAT_LOCUS2315 transcript:rna-LATHSAT_LOCUS2315 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIVNRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPMTVDWKKFRSPAAISWMIGFAGRLQHWQQLTPILPTHFEL >CAK8576371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467762192:467762708:1 gene:gene-LATHSAT_LOCUS28563 transcript:rna-LATHSAT_LOCUS28563 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGFYLVGLDNTSPTFASAMQNSVPALTFLMAVILRYERLRLNRINGIAKILGVLASVGGASIITLYKGPTIYAPESRLAVHQRRFLFLFEEANGKILGLGGIFLFGHCLSWSGWIVMQAFVLKNYSAQLTVSVHNS >CAK8532627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:333217462:333235039:-1 gene:gene-LATHSAT_LOCUS2313 transcript:rna-LATHSAT_LOCUS2313 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKLKASDTPDVMRTEDGIETIIRQAIGKEPFFYFPRVSDSPGQLIPFLHAFDPQEYPGWPLLYPLKVPTDKCEKCSQEFCSTLNYRRHLPVHHRFKKLDKDTSKTRELLGAYWDKLSVEEAKEVVSFENVMLEEVPGSSILHALMTLAQKQGFFFLLPCYLRAGAALLDIVQTKPSSFPIPSQDLFSILDDASEGTFLLGTTLSVQKCVFPGGAGKIGLEPKNIVACTSFLLEQILVKAWLADKDAEALRCQKQLVEEEEAAQKRQAEILKRKRQKKLRQKEQKAKEQRNKLEVDTKENVDSTMKTVSPAETSLDTYDFEAQNPVESAKNTPHVPLQFLDINEGKNGDTQSGHDIGADLNIRQSQRELDRLERWHQLPKFSQRTASGQLTNRNPPISNPEVIQKYGTRHGRKADGTSNAGKAWHGKLKPDINRMVLKPVTKTEPGLVKNHEVMIGSISVNLANCSQSEGNMVASQEKCLIENVVAIQEKCLIENMVKKPNSSQNKPKKPNLRATENNQSMVKPSTAVSRFETKEDPFPVQSGGLEDDEVNRNEVCQNLSRICSDGSGFENKVSNTESRVDPVKLKFSIQAARDFLAQRWEEAVSSDHEKLVISLDSELCGNHEPWESCNVDRCTNVVATTKCRGKAKKGSNHKEKTT >CAK8532626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:333217462:333235039:-1 gene:gene-LATHSAT_LOCUS2313 transcript:rna-LATHSAT_LOCUS2313-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKLKASDTPDVMRTEDGIETIIRQAIGKEPFFYFPRVSDSPGQLIPFLHAFDPQGVSNVYPEYPGWPLLYPLKVPTDKCEKCSQEFCSTLNYRRHLPVHHRFKKLDKDTSKTRELLGAYWDKLSVEEAKEVVSFENVMLEEVPGSSILHALMTLAQKQGFFFLLPCYLRAGAALLDIVQTKPSSFPIPSQDLFSILDDASEGTFLLGTTLSVQKCVFPGGAGKIGLEPKNIVACTSFLLEQILVKAWLADKDAEALRCQKQLVEEEEAAQKRQAEILKRKRQKKLRQKEQKAKEQRNKLEVDTKENVDSTMKTVSPAETSLDTYDFEAQNPVESAKNTPHVPLQFLDINEGKNGDTQSGHDIGADLNIRQSQRELDRLERWHQLPKFSQRTASGQLTNRNPPISNPEVIQKYGTRHGRKADGTSNAGKAWHGKLKPDINRMVLKPVTKTEPGLVKNHEVMIGSISVNLANCSQSEGNMVASQEKCLIENVVAIQEKCLIENMVKKPNSSQNKPKKPNLRATENNQSMVKPSTAVSRFETKEDPFPVQSGGLEDDEVNRNEVCQNLSRICSDGSGFENKVSNTESRVDPVKLKFSIQAARDFLAQRWEEAVSSDHEKLVISLDSELCGNHEPWESCNVDRCTNVVATTKCRGKAKKGSNHKEKTT >CAK8573504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616890998:616891817:1 gene:gene-LATHSAT_LOCUS25946 transcript:rna-LATHSAT_LOCUS25946 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFISRSLVIVSLLISLALPSTLGGIDCENLSTEKCSFAVSSSSKRCVLEKHVKRTGEEAYTCKTSEIEADKLKDHIESDQCIKACDLDRKSLGISSDSLLESRFTEKLCSPQCYQSCPNIVDLYFNLAAGEGVFLPKLCEVKGGNARRGMAELKSSSYVAPGPVRSVEFAASSPQPIGYVEFAASSPQPIGYVEFADEPVVAPSYPPY >CAK8532778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:513340064:513341569:1 gene:gene-LATHSAT_LOCUS2450 transcript:rna-LATHSAT_LOCUS2450 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFLFWLTALYALNRLEQRRVLWKDIAIIHAQQQGPWVLMGDFNNVIHINDRIGGNDVTETKYTDICSMMDNVGLFEKESKGSYYTWSNNHTAGTIYSRIDHVLANVEWLQQHTDITLHILPPSVLDHSLLLMTGTANVHNQYRKPIFRFYNCIMDFEGYSALVEKNWNVPIVGNPMFILCNKLMRLQPALCNFSKPAWHNDQQLIQARNRLNTTQSSLEADPMNATLLTRIKEQKLEILKLQELEENILRQKSKLDWLKWGDGNNSYFHASVKAKNNSKNISHLTKEDGTVLTVQADIENEVLDYYKNLLGTADSTVRHIDVAAMRDGPQLNMDQRLSLLAPITEQEIHTALKGIGDLKSPGIDGYGARFFKGSWETIKFDVVTTVQDFFRHDRLFKAFNGNVVTLIPKHCDAQSIKDYRPIAGCTTVYKIISKILTTRLGKVISDIVHNSQAAFVPGQQIHNHILLAYELIKGYTRKGGTLRCMLQIDLQKAYDMVN >CAK8533542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634302263:634304722:1 gene:gene-LATHSAT_LOCUS3163 transcript:rna-LATHSAT_LOCUS3163 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIDVYHVVTATVPLYVTMLLAYICVKWWKLFTPDQCAGINKFVANFSVPLLSFQVISSNNIYKMSLKLIYADFVQKLLAFLVLIAIIKIGDIRGGLKWTITGLSLSTLPNTLILGIPLMKAMYKDESDFLLPQIIFLQSMIWYNLLLFLHELDAAIPAKTMPAAQPSQDTGESESSIEVQSKEEEEVETKPEKKMRVMLILMKVGKKLIMNPNTYATFIGLIWASIHFRWGVDMPDVVKQSITILSSGGLGMATFSLGLFMASNSSIIACGPRMTMVALGLKFLVGPALMAAASIVIGLRDTMLKVAIVQAALPQGIVPFVFAREYNVHPAVLSTGVLLGMLIALPVALIYYLLLSL >CAK8571384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395488770:395490825:-1 gene:gene-LATHSAT_LOCUS24051 transcript:rna-LATHSAT_LOCUS24051 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFHLSILSIATLALCISAEYSSVNFSYIGPNGPEKWGSLSPAYAACSNGRVQSPVDLVYTDIVLNNQLESLDRNYIPTNATLVNNQFNIEVHFEGKVGDIHINGKNYSLRQLHWHAPAEHRAHGRIHVVELHLVHLTEDNNNIAVLAILYNLGDPDPLISKIEDKLNELKTQSRAGNKNAKISLGTFDVEELNKKIHRYYRYVGSLTTPPCTEGVIWNIIGKVRTISKKQLELLKAPLSVDFFHNARPLQQLNGRKIEMYHYHPNQKEGARKP >CAK8562206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456670061:456672905:-1 gene:gene-LATHSAT_LOCUS15724 transcript:rna-LATHSAT_LOCUS15724-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEIEDKKWRYTWESQSHTPILRLFLFPFSKTLNLSLQHHNLTVHLHSPPTFLTLTSSSSSLSLRVPIPTVLLDAELPPTVRSFTDHIEVKLLLLLPVDHPALFALHQTSPLPQPLLIEYDVDKLSSAGEVEFVCRSCRYHLTNKPIRNFVEMPSANWREVADNWFGACCCSFGGISEKLVTRYVNSHTCAQGMCLLSSTSVTFSKDDLVESDFPERCGQLHGCDYVAGDFGIDVVSEGIGNFGLNEEITSTCSDACEVHCAFDENAKVAHPRNGKLSLNFNEVAKNEPDCSDFTRICLDLNDIEHTTSISSCCTHKMSTLGNEDGEHHLSSNARKIETVEIVGNQKSFLNGFLEDVFMARSSNLSKNIDWHEFTCPQCATLLGAYPCCEGCAPVDGGVRLFKCYISTCLPVLGSGDIFSNYTMDKMFANRLAECANDESTFRFVVRDLKTKSPVLQIILLNLDTWSCSGYCFGAEDKDPDPKLQLRPVIKVLFSDSEAATESQLRVIEEWAAKNSAEDIFMLTNQIQDVVNSLMSAKDIYPPSCASLPGLTLSYMQR >CAK8562207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456670061:456672905:-1 gene:gene-LATHSAT_LOCUS15724 transcript:rna-LATHSAT_LOCUS15724 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEIEDKKWRYTWESQSHTPILRLFLFPFSKTLNLSLQHHNLTVHLHSPPTFLTLTSSSSSLSLRVPIPTVLLDAELPPTVRSFTDHIEVKLLLLLPVDHPALFALHQTSPLPQPLLIEYDVDKLSSAGEVEFVCRSCRYHLTNKPIRNFVEMPSANWREVADNWFGACCCSFGGISEKLVTRSSNLSKNIDWHEFTCPQCATLLGAYPCCEGCAPVDGGVRLFKCYISTCLPVLGSGDIFSNYTMDKMFANRLAECANDESTFRFVVRDLKTKSPVLQIILLNLDTWSCSGYCFGAEDKDPDPKLQLRPVIKVLFSDSEAATESQLRVIEEWAAKNSAEDIFMLTNQIQDVVNSLMSAKDIYPPSCASLPGLTLSYMQR >CAK8564481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677789406:677789909:1 gene:gene-LATHSAT_LOCUS17783 transcript:rna-LATHSAT_LOCUS17783 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKKSILSHVNLRRSTESWFLAKDNNVLMHLRCWCSSTGASSDPILDQVIRLVKKYDKIDAAKVTETADFQKDLSLDSLDRVELIMALEEEFSLEIPDEKADKLTCCKDVAKYIASEADQKL >CAK8576571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493680957:493681344:1 gene:gene-LATHSAT_LOCUS28747 transcript:rna-LATHSAT_LOCUS28747 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAFNSVFVVATVKPIVTMFEEIRVYLMQTWESNRQKISKYVDTILPNITKKLEKESQRTNNWIVRCAGEIDYRVRHISLIEEKFVVNLSSMSVHVEGGC >CAK8544601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695091681:695092367:-1 gene:gene-LATHSAT_LOCUS13261 transcript:rna-LATHSAT_LOCUS13261 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLFDGEDEAYWWILCFEKFFKEHGTSESLKVLKAVGTLRGRALKWWQWRSKYHSWMSWNTFAEVFRWHFKPEWRHLFLKLDEEIEPTYTSVEPVVATSFPDDFIDDKFRDATLATIEQNHEESPDLNGKQPEQLIMDETLPLSFTKESDLKLNPCSTCPPPSKTTYFCSYDADDELLLFVTDEIKSSTGVDALISNIEPYDPGPPKPLHTHNHDFRIQDYLQISY >CAK8577924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597558691:597560724:1 gene:gene-LATHSAT_LOCUS29987 transcript:rna-LATHSAT_LOCUS29987 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPKTTHVLLSIFLLFNFIIPYAFSLTFNFTSFDPNDKTIIYEGSANPASSAIELTINQQGKLMNGSIGRATYYRPIHLWNKTTNNLTDFTSHFTFAINSQNRKNYGDGIAFFLQPSGSKKPNATKGGSMGLTLDNQALNSTENPFVAVEFDIYRNRWDPPLEHAGIDINSMRSVANVTWLADIKQGRLNDAWISYNASSLNLSIIFTGFDNVTFTTVKQHLSAIVDLRLYLPEFVTVGFSAATGNATAIHSISSWDFSSTLEAQQDSNKTNTQDPVASSPSFNASPSKKKKAMTGLAAGSGIGGFVLFGVLGFVSVVFWKKWKKGKEEEDGEFEEYMGEDFGKGTGPKKYTYAELAHAANNFKDEHKLGQGGFGGVYRGFLKDTKSYVAIKRVSEDSHQGIKEFASEVTIISKLRHRNLVQLIGWCHEKKKLLLVYEYMPNGSLDFHLFKKESFLKWAVRYTIARGLASALLYLHEEWEQCVVHRDIKASNIMLDSEFNAKLGDFGLARFVDHAKGGQTTALAGTMGYIAPECATIGRASKETDVYSFGIVALEIACGRKPIENKTQENEINIVEWVWGLYGRGSILEAVDPRLSGDFDEEQIKCLMIVGLWCAHPDPNNRPSIRQAIQVLNFEVSLPNLPSSMPVPTYLEGPLNSFTVPFRINGSEQEYNKF >CAK8579675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715574007:715577834:-1 gene:gene-LATHSAT_LOCUS31602 transcript:rna-LATHSAT_LOCUS31602 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIPPIPTADDKKADVKVDYSNLPCPIPFEELHREAMMSLKPELFEGMRFDFTKMLNQKFSLNHSVSMGPTEVPSQSAEIIKIPTANYEFGATVIDHPKMLLMGRALTDGRVTARVKYDLTENLTVKANAQLSSEPHASQGIVNFDYKGKDYRTQIQLGNGSLLGASYIQSVTNHLSLGGEVFWTGQHRKSGVGYAARYNTDKMVATGQIASTGMALLSYVQKVSDKVSLATDLMCNFNSMSRDVTASFGYDYILRQCRLRGKVDSNGVCAAFLEERLNMGLNFILSAELDHKKKDYKFGFGLTVGE >CAK8571964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497516770:497519798:-1 gene:gene-LATHSAT_LOCUS24576 transcript:rna-LATHSAT_LOCUS24576 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILRETSRPSSTSSPGTPSSGPATTSSTSITETVKGSHRFKITGYSLSKGIGVGKYIASEIFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTSLETSDYLKDDCLSVNCSVGVVKSHTEGPKTFSIAISPSNIGHQFGKLLESGKGSDVSFEVDGETFAAHKLVLAARSAVFRAQLFGPMKDQNTRCIKVEDMEAPVFKAMLHFIYWDSLPDMQEFTGINSKWATTLMAQHLLAAADRYGLDRLRLMCEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIARPENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDFLCKHRNEGILDGSDVNGRRVKQRLYCEK >CAK8576842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519149887:519152115:-1 gene:gene-LATHSAT_LOCUS29005 transcript:rna-LATHSAT_LOCUS29005 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHIPYLSNTMTNLNFSSSSSSTAFAADFNINMIYSLTLFIFQNIQTLEILIAITIFIIIHSLKQKNRHGLPIWPFLGMLPSLLNGLRTNLYEWFSDILNKQNGTFHFKGPTFSSLHCIITSDPRNLEHLLKTKFVNFPKGTYFRSTLRDLLGDGIFNADDETWQKQRKTASIEFHSTKFRSLTTDSLFQLVHSRLLPVLDESVNKSDVIDLQDILLRLTFDNVCMIAFGVDPGCLSQNLPVIPFAKAFEDATEATMFRFVMPTCVWKFMRLFDLGTERKLKKSIQDVDGFAMNVIRTRKKELSLESEDKKSHKSDLLTVFMKMKNENGMAYSDKFLRDICVNFILAGRDTSSVALTWFFWLLDMNHEVEEKILEEICKVVSQRNDKFEDSLILRPEEIKKMDYLHACLSETLRLYPSVPADHKEVVEDDRFPDGTVLKKGTKVIYAIYAMGRMESIWGKDCREFKPERWLKDGKFMSESAYKFTAFNGGPRLCLGKDFAYYQMKYVAASIIYRYHVKVVENHIVEPKIALTMYMKHGIKVSLCKRDDAEIGKYLDLVIAEN >CAK8543543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618565036:618565579:1 gene:gene-LATHSAT_LOCUS12294 transcript:rna-LATHSAT_LOCUS12294 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRDLGLEIIHNERSSLYVNLFTDQLAAVREYLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVRLGIECWTFFPMTSSFSSNVAIYCIGFVNRNNWVQVNMKEWFPLPPVTVDWKKFRSPAATSWMIGFAGHLQHWQQLTPILPMHYEL >CAK8543544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618565138:618565579:1 gene:gene-LATHSAT_LOCUS12294 transcript:rna-LATHSAT_LOCUS12294-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVRLGIECWTFFPMTSSFSSNVAIYCIGFVNRNNWVQVNMKEWFPLPPVTVDWKKFRSPAATSWMIGFAGHLQHWQQLTPILPMHYEL >CAK8567405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512580731:512587485:-1 gene:gene-LATHSAT_LOCUS20465 transcript:rna-LATHSAT_LOCUS20465-4 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKKPSSSFVKIGDRQLFTVELRPGETTIVSWKKLLKDAAKSNGSASTSQHSRPEAFPGQPVEVEENDEAQPHRFSAVIEKIERLYMGKDSSDDEDLPDVPDDDQYDTEDSFIDDAELDEYFQVDHSKVKHDGFFVNRGKLERTDEPPVIPNQQPKKRRRKDIVKNPGENVNDHGSNKHIKVGKAASGKTTSIQARNVCNSSQNLAVPNEHYEDLKIHNQSDIYGVSSKKKIADTKPILVSSVSLKTSSDDVPAAMSETKDADKKIGTFQSKNTSESFDVSHQKYHEKGAYVQSKSQPGRPSKSIDNLENSSRLKEKNGMRQLPDLNLTVGKSATKAAKSEYMHRKDGSSARPKSSMLEKTLCELEKMVAESRPPAADPEADNTSQAVKRRLPREIKLKLAKVARLAASHGKVSKELINRLMSILGHLMQLRTLKRNLKIMINMGLSAKQEDDDRFQRIKKEVVDMIKMQAPALESKQQQKAGASGDVQEFGPDGKAITKRSVSMDAALEDKICDLYDIFVDGLDENAGPQIRKLYAELAELWPSGYMDNHGIKRGICRAKERRRASYTKNKDQEKIKRKKLLASRQEDSVQLDTGSITSQQNQQEKLAPESTSHAFTTTNKPLSNMSTAVQVPSLTNGVKPEKTKGSSSSSLDDFRVADGILTKKLKRKPELELEGANCGSEKQVPLQGEERPRPQKQSSGPTKSNLQPTSIPGLELSS >CAK8567407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512580731:512587485:-1 gene:gene-LATHSAT_LOCUS20465 transcript:rna-LATHSAT_LOCUS20465 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKKPSSSFVKIGDRQLFTVELRPGETTIVSWKKLLKDAAKSNGSASTSQHSRPEAFPGQPVEVEENDEAQPHRFSAVIEKIERLYMGKDSSDDEDLPDVPDDDQYDTEDSFIDDAELDEYFQVDHSKVKHDGFFVNRGKLERTDEPPVIPNQQPKKRRRKDIVKNPGENVNDHGSNKHIKVGKAASGKTTSIQARNVCNSSQNLAVPNEHYEDLKIHNQSDIYGVSSKKKIADTKPILVSSVSLKTSSDDVPAAMSETKDADKKIGTFQSKNTSESFDVSHQKYHEKGAYVQSKSQPGRPSKSIDNLENSSRLKEKNGMRQLPDLNLTVGKSATKAAKSEYMHRKDGSSARPKSSMLEKTLCELEKMVAESRPPAADPEADNTSQAVKRRLPREIKLKLAKVARLAASHGKVSKELINRLMSILGHLMQLRTLKRNLKIMINMGLSAKQEDDDRFQRIKKEVVDMIKMQAPALESKKAGASGDVQEFGPDGKAITKRSVSMDAALEDKICDLYDIFVDGLDENAGPQIRKLYAELAELWPSGYMDNHGIKRGICRAKERRRASYTKNKEKIKRKKLLASRQEDSVQLDTGSITSQQNQQEKLAPESTSHAFTTTNKPLSNMSTAVQVPSLTNGVKPEKTKGSSSSSLDDFRVADGILTKKLKRKPELELEGANCGSEKQVPLQGEERPRPQKQSSGPTKSNLQPTSIPGLELSS >CAK8567406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512580731:512587485:-1 gene:gene-LATHSAT_LOCUS20465 transcript:rna-LATHSAT_LOCUS20465-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKKPSSSFVKIGDRQLFTVELRPGETTIVSWKKLLKDAAKSNGSASTSQHSRPEAFPGQPVEVEENDEAQPHRFSAVIEKIERLYMGKDSSDDEDLPDVPDDDQYDTEDSFIDDAELDEYFQVDHSKVKHDGFFVNRGKLERTDEPPVIPNQQPKKRRRKDIVKNPGENVNDHGSNKHIKVGKAASGKTTSIQARNVCNSSQNLAVPNEHYEDLKIHNQSDIYGVSSKKKIADTKPILVSSVSLKTSSDDVPAAMSETKDADKKIGTFQSKNTSESFDVSHQKYHEKGAYVQSKSQPGRPSKSIDNLENSSRLKEKNGMRQLPDLNLTVGKSATKAAKSEYMHRKDGSSARPKSSMLEKTLCELEKMVAESRPPAADPEADNTSQAVKRRLPREIKLKLAKVARLAASHGKVSKELINRLMSILGHLMQLRTLKRNLKIMINMGLSAKQEDDDRFQRIKKEVVDMIKMQAPALESKQQQKAGASGDVQEFGPDGKAITKRSVSMDAALEDKICDLYDIFVDGLDENAGPQIRKLYAELAELWPSGYMDNHGIKRGICRAKERRRASYTKNKEKIKRKKLLASRQEDSVQLDTGSITSQQNQQEKLAPESTSHAFTTTNKPLSNMSTAVQVPSLTNGVKPEKTKGSSSSSLDDFRVADGILTKKLKRKPELELEGANCGSEKQVPLQGEERPRPQKQSSGPTKSNLQPTSIPGLELSS >CAK8567408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512580731:512587485:-1 gene:gene-LATHSAT_LOCUS20465 transcript:rna-LATHSAT_LOCUS20465-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKKPSSSFVKIGDRQLFTVELRPGETTIVSWKKLLKDAAKSNGSASTSQHSRPEAFPGQPVEVEENDEAQPHRFSAVIEKIERLYMGKDSSDDEDLPDVPDDDQYDTEDSFIDDAELDEYFQVDHSKVKHDGFFVNRGKLERTDEPPVIPNQQPKKRRRKDIVKNPGENVNDHGSNKHIKVGKAASGKTTSIQARNVCNSSQNLAVPNEHYEDLKIHNQSDIYGVSSKKKIADTKPILVSSVSLKTSSDDVPAAMSETKDADKKIGTFQSKNTSESFDVSHQKYHEKGAYVQSKSQPGRPSKSIDNLENSSRLKEKNGMRQLPDLNLTVGKSATKAAKSEYMHRKDGSSARPKSSMLEKTLCELEKMVAESRPPAADPEADNTSQAVKRRLPREIKLKLAKVARLAASHGKVSKELINRLMSILGHLMQLRTLKRNLKIMINMGLSAKQEDDDRFQRIKKEVVDMIKMQAPALESKQKAGASGDVQEFGPDGKAITKRSVSMDAALEDKICDLYDIFVDGLDENAGPQIRKLYAELAELWPSGYMDNHGIKRGICRAKERRRASYTKNKDQEKIKRKKLLASRQEDSVQLDTGSITSQQNQQEKLAPESTSHAFTTTNKPLSNMSTAVQVPSLTNGVKPEKTKGSSSSSLDDFRVADGILTKKLKRKPELELEGANCGSEKQVPLQGEERPRPQKQSSGPTKSNLQPTSIPGLELSS >CAK8566372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414959970:414960414:1 gene:gene-LATHSAT_LOCUS19505 transcript:rna-LATHSAT_LOCUS19505 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTPRIIRNEVNFSERSQFTLSVFGRPSRPSGKKSVHWLSEKEMQYAHVHVLINCVEVKPYREAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSSEIIHLRSLSEGPHQRANE >CAK8531879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:169796181:169810549:1 gene:gene-LATHSAT_LOCUS1640 transcript:rna-LATHSAT_LOCUS1640 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNGSSPRRNPAENVDIEAGPLSRRSSDIDDDGDFSDPFDIARTKHASINRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGQGQGQGQGQGELSETTKPLQTSTGEFPIGPEQLASISREHDIASLQQYGGVAGVSNLLKTDLEKGVDGDDADLLRRRNAFGSNNYPRKKGRSFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAVSDYKQSLQFRDLNEEKRNIHLEVVRGGRRVEISIYDLVVGDVIPLNIGNQVPADGIVISGHSLSIDESSMTGESKIAHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAVIVLVVLLARYFSGHSKNTDGTKQFTAGKTSVSDAVDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVVEVYAGGSKIDPPHQLESSPKLRSLLIEGVAQNSNGSVFVPEGANDVEVSGSPTEKAILHWALKVGMNFVNARSESSILHVFPFNSEKKRGGVAIQTADSVHIHWKGAAEIVLACCTGYIDRNDQLVEIDEEKMTYFRKAIEDMASDSLRCVAIAYRPYEEEVPDTEEQLAHWSLPEDGLVLLAIVGIKDPCRPGVKDSVQLCQKAGVKVRMVTGDNVKTAKAIAVECGILSSLADATERNVIEGKTFRALSDSEREEIADSISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFQGVRILGLEHQNSEHAIKVKNTLIFNAFVICQVFNEFNARKPDEFNIFKGVTRNFLFMGIVAFTVVLQVIIVEFLGKFTKTTRLNWQQWLISVIIGFIGWPLAVVGKLIPVPATPINNVFSKIRRTKKSKEPVASQ >CAK8560502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22422887:22423258:-1 gene:gene-LATHSAT_LOCUS14168 transcript:rna-LATHSAT_LOCUS14168 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAKLTKLKSAIKRWPSLTKLTRNSSSVSSSSKQHEHEHEQHLHAVFVGKSRRRYLVNSEVVQHPVFQELVDRSCCEDGVVVVSCEVVLFEHLLWMLESGGNETQLGSMDELVEFYDCGAC >CAK8567168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492487199:492488525:1 gene:gene-LATHSAT_LOCUS20243 transcript:rna-LATHSAT_LOCUS20243 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGLCYPHTLPLPNMSSDITNLFTVSHKRQKRMPENTTGGSDFFDYLPDDIVLSILGKLSSTATSPSDFISVLITCKRLNALGLNSIVLSKASNKTLSVQARNWCDSAHRFLKRCADAGNIEACYTLGMIRFYCLQNRRSGASIMAKAAMKSHAPSLYSLAVIQFNGSGGTKNDKDLRAGVALCARAAFLGHIDGLRELGHCLQDGYGVKQNVIEGRRFLVQANARELAAVLSNGSNKHPLLTWSVNPVANQPPQIRVVSGSGCPLLSDFGCNVPIQEAHPANRFLTEWFETRGGFPGQGMMLCSNTGCGRPETRKHEFRRCSVCGVVNYCSRACQALDWKFRHKAECAPVERWLNAEGENVAGDGDGGVNVEEVDR >CAK8570933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:176929026:176929544:-1 gene:gene-LATHSAT_LOCUS23641 transcript:rna-LATHSAT_LOCUS23641 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDGCGSAKCWTNTANCKNLSSGKVEEAWPPRNQNGTGSLGILNDVDLQLVWFNSVHRLNQSESFQLHNLFVLAIDPRPKARPISSSS >CAK8541091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:62185315:62186104:-1 gene:gene-LATHSAT_LOCUS10042 transcript:rna-LATHSAT_LOCUS10042 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASESVGYPGGPYDTSLLVKYEHHVARHIWFGEEKGSKKELKVAGHGLKLIQRAPLQLSREMKGWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFSEMMITLDDVSCLLHLPIRGVSPQDISEALAIEWVVNYLGVSRRVAQQQVCDCRGSYYKLEWLYDRFIEHRAASRWDYATRAYLMMLVGSTIFANKTFTLVEARYLSLFIDLDGLSG >CAK8537501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:377822913:377824331:1 gene:gene-LATHSAT_LOCUS6790 transcript:rna-LATHSAT_LOCUS6790 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSKDETKTFPAATFPKTKKRKTTYKVVAEPETANIVDSQPDKDSSSPFRFTWRIHGFSWINTLKLYSDVFEVGGYKWRILIFPKGNNVKNHLSMYLDVANSAKLPSGWSSYGEFSLTVVNQINNDYSVTKDTQHRFNEQESDWGFTSFIPLSTLNDPRRRYLVNDTLVVEVTCKVDEKDTAEHLRKRLKKKHGGKKHQSKEEAETHLYTIIKVS >CAK8541814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:406756112:406759805:1 gene:gene-LATHSAT_LOCUS10708 transcript:rna-LATHSAT_LOCUS10708 gene_biotype:protein_coding transcript_biotype:protein_coding MKILMFWLTWAFALSFSSVVSAAIVERTFTVQNKTIKRLCHEQVIVTVNGLYPGPNLEVREGDSVIVHVINNSPYNVTIHWHGVFQLLSAWADGPEYITQCSIRPEKNYTYKFKVTQQEGTLWWHAHASVVRATVHGAFIIRPHSGRFPFPKPYKEVPIILGDWYDGNVEEIVEKELETGDKIASDAFTINGFPGDLFNCSKNDVYNLRVKQGKTYLFRMVNAALNNNLFFKIANHNFTVVALDAAYTEPYITDIIVLAAGQTADVLFTADQRRGPYYMAASPYVVGEPVPLFDNTTTRGIVVYDGYRKSKTSQIKPLMPALPLHNNTPIAHKFLSNITGLVKAPHWIPVPLEVDEHMYITINMALEHCPKNTKCTGPLGQKFSASMNNESFLLPVGKGFSIMEAYFYNVSGLYTTDFPDNPPKFFDFTDPKIFFDANVTFAPKSTKVKKFKFNSTVEIVFQNTAILNAQSHPMHLHGMNFHVLAQGFGIFDPNRDKLKYNFVNPLIRNTVAVPVAGWAAIRFRANNPGVWFLHCHVDDHNLWGLVTAFIVENGPTPSTSLPPPPADLPKC >CAK8537854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441987436:441990791:1 gene:gene-LATHSAT_LOCUS7107 transcript:rna-LATHSAT_LOCUS7107 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNHHHHLHSSLARREEPHLSHSSTASARPLPVTALEDLINARHRELHSLLLENQRLAATHLALKQDLSATQLELRQLSVAAADVKAERDAEVRRIYEKSLKMDAEVRAVAAVKSDLDQVQDDVRELVAERKELTSQLQSIQSELDLARNDSKSLPAIKGDIEALRHEIHRGRNAIEFEKKTHANNLEQKRVMDNNMIIMTREVEKLRAELANAEKRARAAIVAAANPSPVYHANNPDMGFVGITYPPETYSMHQIHAGIEAHPQQYAYGSTLHHPYDLQQTQGPR >CAK8577185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543553551:543557369:-1 gene:gene-LATHSAT_LOCUS29314 transcript:rna-LATHSAT_LOCUS29314 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIFLRRSITKRTGLFPSFLRSSISSSRNGSTLVVAEHENGAIKSASLSALLASASLPDNDSSVSLLLAGSGPSLHQAASHAASSYPSISQVLVADSEAFKNPLAEPWAKLVHLVQQRDGYSHIIAASSSFGKNVMPRAAALLDVSPVTDVTGISDSHTFVRPIYAGNALSTVRYTGASPCILTIRSTSFPVSQKSVDSKSDKASISQVDLSTFNEDLDKSRFVSQTSRDDEGPDLGSARVVVTGGRALKSAEKFKLIEDLAKKLGAAVGATRAAVDAGFVANDLQIGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNNDADAPIFQVADYGLVGDLFEVIPELLEKLPDKK >CAK8573024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577848111:577849677:1 gene:gene-LATHSAT_LOCUS25519 transcript:rna-LATHSAT_LOCUS25519 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVSSALLVLLTCIVTYFTTSLYARTRYSNCKLPPGPSFFTIMSNVVDLYNKPQQTLAKFAKFYGPVMLINLCTETTIIISSSDMAKQILHTHDSLFSDRSVPHNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSNKTLDASQELRRMKLKDLLNDMHKSSLTGEAVDIGRAAFKACINFLSYTFVSQDFVESLDDEYKDIVSTLLKAVGTPNISDHFPVLKIFDPQGIKKHTYNYVSKVFYALDIIIDKRMKLRESEDYISNNDMLDILLDISKEDIQKMDKKQIKHLLLDLLVAGTDTTAYGLERAMSELVRNPEIMSKAKKELEEIIGLGNPVEESDINRLPYLQAVVKESLRLHPPAPLLLPRKARVDVEISGYTIPKGAQVLINEWAIGRTDIWEDAHLFSPERFIGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEFKDMDLDKSLRAIPVVLNKVQY >CAK8575301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:73595513:73598551:1 gene:gene-LATHSAT_LOCUS27575 transcript:rna-LATHSAT_LOCUS27575 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNEVSLESELTDLVDDAVDYRGQPAVRSKSGYWRSAWFIIGVEVSERMSFYGIQGNLISYLTGPLKQTTASAAKNVNIWAGTASLLPLLGAFVADSFLGRYRTIIIASLIYILGLGLLALSAKLTSLTKSKCQVDTKFILCSQHSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEKHSKEHKARSSFFNWWFFTMVAGCAATLAILNYIQDNYSWVLGFGIPCVVMIVGLLVFLLGTMTYRFNIKDNGKSPFLRICRVFVAAIRNWRNTLPSTYIEEECEGMLHHQSSDQFNFLNKALLTPKGSKQENNCNISEVEEAKAILRLVPIWATSLVYGIVFAQVFTFFTKQGKSMERTIFPGFDIPPASLQTVNSLAVVLFSPIYDRIFVPIARAITGKPSGITMLQRIGTGIFISIFIVVFAAFVEIKRLKIAQEYGLVDDPNAIVPMSIWWLIPQYFLFGISEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSSFLISMIENLSSKNGDESWFCDNINKAHLDYFYWLLSGLSVMGFTFFIYFSKSYVYNYKGTIKIVNR >CAK8533047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570724327:570724776:1 gene:gene-LATHSAT_LOCUS2699 transcript:rna-LATHSAT_LOCUS2699 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKASKNKGPDHATITACATGSHSISDVMGIIQFGDADVMVARGTESRIDALSIAGFCRSRALTKKYNSMPQEAL >CAK8530525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27579271:27587646:-1 gene:gene-LATHSAT_LOCUS383 transcript:rna-LATHSAT_LOCUS383 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCKVCVTGGAGYIGSLLVKKLLQKGYSVHATLRNLKDESKVGILRELPHAKSRLVLFEADIYKPDHFSHAIQGCQFVFHVATAFQHQTNSQFNSIEEAVIAGVKSIATNCIKSGTVRKLIYTGTVVSSSPLKDDGSGYKDFIDETCWTPLHLPLPALHKEYADSKTLTEKELLMSYDKDENGSGGIEVVSLAVGLVGGDAILNYIPTSVATLIAQVKDDEAMHQSLKFVEDICGKIPLVHVDDVCEAHIFCAEDPSINGRFLLANSYVSSVEIAKYYLQNYPEFNLKEKYLEGPDRKIKWVSTKLINEGFVYKHDLKMILDDSIKCARRIGDLCM >CAK8530839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54403687:54403987:1 gene:gene-LATHSAT_LOCUS675 transcript:rna-LATHSAT_LOCUS675 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLKPVASPASKIIVKWVYCGIMQALYCAVVGLLMPSIVHVTAGVRSKSVTLLPLLQVTCCLGLKP >CAK8564145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654387862:654388173:1 gene:gene-LATHSAT_LOCUS17474 transcript:rna-LATHSAT_LOCUS17474 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8566402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419887938:419889060:1 gene:gene-LATHSAT_LOCUS19535 transcript:rna-LATHSAT_LOCUS19535 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSFLRQLSAKETWKSTSSRWTGKNTYNSSLGVTCSDFETNLNQMEGFNLNMYGNEESSGMMVRKRVMVVVDGSSHSKHAMIWALTHVVNKGDLFTLLHIVSPQRAHSDSYSSTYLVNHLGSLCKDCKPEVEVEALVIQGPKLDTVMSQVKKLEVSILVLGQKKPPSLLSCLCGSGSCSSTEEFVEYCINNAECLTIGVRKRSQGNNGFLISTRWQKNFWLLA >CAK8576423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:474023689:474024705:1 gene:gene-LATHSAT_LOCUS28611 transcript:rna-LATHSAT_LOCUS28611 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSLASAVLRRLEGKVALITGGADGIGEATARLFHQNGAKVVIADIQDDKGHSICQELHKSSASYVHCDVTKEKDIETAVNTTVSKYGKLDIMLNNAGITGANNTNILEYTLSEFQQVINVNLVGVFLGTKHASRVMIPAQRGSIINTASVSGSIGGTMNHAYTSTKHAIVGLTRNTAVELGPFGIRVNCVSPYVVLTPTAKKYLKVDDDGILGVYSNLKGTNLMPNDVAEANLYLGSDESKYVSGHNLVVDGGFTVVNNGFCVFGQSV >CAK8579657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715043541:715045763:1 gene:gene-LATHSAT_LOCUS31587 transcript:rna-LATHSAT_LOCUS31587 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSILRHNHFFSATLPTRPKPKYAAAPGRVRMSLQENAPTLAVVGVTGAVGQEFLSVLSERDFPYSSIKMLASKRSAGRRLTFEDKEYVIEELTEESFNGVDIALFSAGGSISKKFGPIAVDRGSIVVDNSSAFRMDENVPLVIPEVNPEAMAGIRVGLGKGALIANPNCSTIICLVAATPLHRRAKVVRMVVSTYQAASGAGAAAMEELELQTREVLAGKPPTCKIFSRQYAFNLFSHNAPILSNGYNEEEMKLVKETRKIWSDNDVKITATCIRVPVMRAHAESVNLQFETPLDEDTAREILKNAPGVVVIDDRESNNFPTPLEVSNKDDVAVGRIRRDLSQDGNQGLDIFVCGDQVRKGAALNAIQIAEMLL >CAK8535839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884458896:884461534:1 gene:gene-LATHSAT_LOCUS5259 transcript:rna-LATHSAT_LOCUS5259 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMQRTPRSGRQSLFFQDLASPLSGRRGKFSSPGQSGASSSLWRENFGNSDLPPPPVFTLDDRSDFSPESGILDYQVSPETKSENKTPVQNLNREFSTPAKGKSEASTSYALRGVQQNQQGSPGLNWWSPATAKSGGDQDEKGRSSPVEGVVQPGALITLPPPLEVARPEVQRNSLPAGNLNEEEWVTVYGFSPYDTNLVLREFEKCGEILKHVSGPREANWMHILYQNRSGAQKALNKDGIQINGVLIVGVKPLDPMQRQALNERLHNQGFIPLPLPSARNSEASTSKALSRPYYLQNGNTTTRQTGGTIASPTKSLASKIFDLMFGV >CAK8531903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:173897924:173915098:-1 gene:gene-LATHSAT_LOCUS1661 transcript:rna-LATHSAT_LOCUS1661-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSKDRFSLDQLRYLADQLTKVHIVNEVNKDFVIEALRSIAELVTYGDQHDPSFFEFFMENQVVGDFVRVLKLSRTISIPLQLLQTVSIMIQNLQSEHAIYYMLSNEHMNFLITYSFDFRNEELLSYYISFLRAIGGKLNKNTISLLVKTHNDEVVSFPLYVEAIRFAFHEENMIRAAVRAVTLNVYHVGDHSVNRYITSAPHTDYFSNLVSFFKKQCMDLNKLISDTLKNPGPDSKATITAAVDEIEDNLYYFSDVISAGIPDVERLITDSFLTLLIFPVLLPSLRIVAANEHVDQDIQSDVVTSLYLLCCILRIVKIKDLANTIVAALYYPLESLTKCFGGQVNGMRPDHGFTSEGDGIANDNLAKNNKKGLVVDVPCSPSSSGFHPPSITMLNNGSSSNVALREVLLEYVTNGADLQVFGSLSVLATLLQTKELDESMLDGLGILPQRKQQKKLLLQALVGEASEEEQLFSSETSLTRDGIACELDVYLEKIKEQYGVCFQLPNVIPSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLFPYSESQFNKNHLKLLKISYENSACALEKEVKGFWPDLLITVLCDEWRKCKRAMESSSPPKEPKCMLFPPRMLFSEEDIPEGSSFTAGERMHELVKVFVLLHQLQIFTHGRTLPEQPLIYRPYDHRMDSRAQTSGLVSVPKPGTEMNLVNAVPCRIAFERGKERHFCFLAISVGTSGWLVLAEELPLKKPFGIVRVAAPLSGCNPRIDDKHSKWLHLRIRPSALPFLDPVKYSPHGKLKTKAFVDGRWILAFRDEESCKTAFLMILEEINYLCNEVHRRIKPLLKLETVLDISSSSAPVSEDSSSYTTPPNSL >CAK8531902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:173897924:173915098:-1 gene:gene-LATHSAT_LOCUS1661 transcript:rna-LATHSAT_LOCUS1661 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSKDRFSLDQLRYLADQLTKVHIVNEVNKDFVIEALRSIAELVTYGDQHDPSFFEFFMENQVVGDFVRVLKLSRTISIPLQLLQTVSIMIQNLQSEHAIYYMLSNEHMNFLITYSFDFRNEELLSYYISFLRAIGGKLNKNTISLLVKTHNDEVVSFPLYVEAIRFAFHEENMIRAAVRAVTLNVYHVGDHSVNRYITSAPHTDYFSNLVSFFKKQCMDLNKLISDTLKNPGPDSKATITAAVDEIEDNLYYFSDVISAGIPDVERLITDSFLTLLIFPVLLPSLRIVAANDIQSDVVTSLYLLCCILRIVKIKDLANTIVAALYYPLESLTKCFGGQVNGMRPDHGFTSEGDGIANDNLAKNNKKGLVVDVPCSPSSSGFHPPSITMLNNGSSSNVALREVLLEYVTNGADLQVFGSLSVLATLLQTKELDESMLDGLGILPQRKQQKKLLLQALVGEASEEEQLFSSETSLTRDGIACELDVYLEKIKEQYGVCFQLPNVIPSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLFPYSESQFNKNHLKLLKISYENSACALEKEVKGFWPDLLITVLCDEWRKCKRAMESSSPPKEPKCMLFPPRMLFSEEDIPEGSSFTAGERMHELVKVFVLLHQLQIFTHGRTLPEQPLIYRPYDHRMDSRAQTSGLVSVPKPGTEMNLVNAVPCRIAFERGKERHFCFLAISVGTSGWLVLAEELPLKKPFGIVRVAAPLSGCNPRIDDKHSKWLHLRIRPSALPFLDPVKYSPHGKLKTKAFVDGRWILAFRDEESCKTAFLMILEEINYLCNEVHRRIKPLLKLETVLDISSSSAPVSEDSSSYTTPPNSL >CAK8574472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:318809:319669:-1 gene:gene-LATHSAT_LOCUS26816 transcript:rna-LATHSAT_LOCUS26816 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNDRVKFSVGGRVFETTSTTLANAGRNSMLGAMLDENWNLLDNGSERFIDRNADCFSVILDLLRTGELYIPNNIPEKLLYREALFYGVLDHVRSAKWGQFDGNRLRLSRSVQGQAPGVGTAIRAGPDGGCCVAHGCMVHVYDWMLDQHNSVNLDYQRVNDVGWVDPNNIVIGVSERLGRGDGGMGLFSSHNGELRYKFHVSHENHVKSYTSGALSFSSDYKIFSSCKGRSNEYGVGVWDQVTGKQVDFFYEPLGWSHGDADKLQWLEGSNCLLVATMFPRKDN >CAK8575994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:381243142:381243588:-1 gene:gene-LATHSAT_LOCUS28214 transcript:rna-LATHSAT_LOCUS28214 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTVAEKAPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8575306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:74861161:74861919:-1 gene:gene-LATHSAT_LOCUS27580 transcript:rna-LATHSAT_LOCUS27580 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYDCRPCVISYSTIIQCYCQDQKFDRVYELDEMQDRNCSPNVVTYTTIMCAMAKAEKIDEALQVVDRMSYTGCRPDTLFYNSFIYTLGRGGIIDDVMHVFKVVMPKASVMPNTSTYNSLISMFCYYAQEDRAFGILKEMEESGLYRCKWELDLFERMIDQDIVPMYRTCRLLLDEVKQKNMYQAVEKFEILMKKL >CAK8575307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:74861161:74861919:-1 gene:gene-LATHSAT_LOCUS27580 transcript:rna-LATHSAT_LOCUS27580-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYDCRPCVISYSTIIQCYCQDQKFDRVYELDEMQDRNCSPNVVTYTTIMCAMAKAEKIDEALQVVDRMSYTGCRPDTLFYNSFIYTLGRGGIIDDVMHVFKVVMPKASVMPNTSTYNSLISMFCYYAQEDRAFGILKEMEESGLCKPDIQTYHPLIKSCFKMRQIDSLLNDILNDMINKYHISIDLSTYTLLIHRLCRADRCKWELDLFERMIDQDIVPMYRTCRLLLDEVKQKNMYQAVEKFEILMKKL >CAK8571065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275155902:275157038:1 gene:gene-LATHSAT_LOCUS23759 transcript:rna-LATHSAT_LOCUS23759-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPKTLHYNHLSFTHRHSPFPTPSSTFSFRPQSPHHFSSSPLLFSSIKASSSSSSSSSPSPKNNNHSQKPQNPFSQLLKTLNPFYSPLFEPAYVAVSILALFLFSFQQNPVTATSSLPPPPAQSSTTTTTSPLPPPPPETSTAPATTAATTDENLFENSIPIEDILIETCNDANALRSLAEEKVKAKKLGEAIRVVDRIIELEPEEFDLQLLKAHLHCFNGEHELAKNGFELFLEKDPLNSEAYRGLLSANLELNEPLEGFLNRVDEVVSFFEEEKMESEAREFKLLIAQVKVMQEDYSAALKVYEEIVKEEPSDFRPYLCQGVVYTLLRKNDEAEKQFEEYRKLVPENHPYKEYFDNNAQILSKKLEKGGIEAKI >CAK8571064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275155872:275157038:1 gene:gene-LATHSAT_LOCUS23759 transcript:rna-LATHSAT_LOCUS23759 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLSSTLAMTPLPKTLHYNHLSFTHRHSPFPTPSSTFSFRPQSPHHFSSSPLLFSSIKASSSSSSSSSPSPKNNNHSQKPQNPFSQLLKTLNPFYSPLFEPAYVAVSILALFLFSFQQNPVTATSSLPPPPAQSSTTTTTSPLPPPPPETSTAPATTAATTDENLFENSIPIEDILIETCNDANALRSLAEEKVKAKKLGEAIRVVDRIIELEPEEFDLQLLKAHLHCFNGEHELAKNGFELFLEKDPLNSEAYRGLLSANLELNEPLEGFLNRVDEVVSFFEEEKMESEAREFKLLIAQVKVMQEDYSAALKVYEEIVKEEPSDFRPYLCQGVVYTLLRKNDEAEKQFEEYRKLVPENHPYKEYFDNNAQILSKKLEKGGIEAKI >CAK8576397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469901012:469901923:-1 gene:gene-LATHSAT_LOCUS28586 transcript:rna-LATHSAT_LOCUS28586 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDNISKELVSTNDVSSNVQGVVVKLVDVNVNFNNKKEFDDRDSMLSWIRRTATKLDFGVVIGRSNNGSEIRNVFVTLLCERSGKYQTPLWKFKRDDTSSRKCECPFMVRGYMLSTKKWKFSVICGLHNHELFLKLQGHPSVCRLKSEEKTCISNMTLNLVQPKNILATLKRKKPDNISNIRQMYNIQYRANKAVKGDRSEMQQLLKLLDDKSYVSQYRTCNDRVTVQDVFWTHSVSIKLFKTFSTMLILDFTYKINKYRLPLFKMCHILIFNAKIPRLFCIVCIAYHVSHLAYYPIYQLK >CAK8577018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531728722:531729816:1 gene:gene-LATHSAT_LOCUS29162 transcript:rna-LATHSAT_LOCUS29162 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLFTTPASVRRSSDEVSFADTVFGFWEEFQDSSENSSNSGNHEAIDGNSEDDDSICTVEKDTIFWEEQDQLLKATLCRSSSSEAKIRQATKEIIKESNMSEMLCLCRPPVVEVKSCRSCLLREICVRLSNLSYNSAICKSKWSSSSEIPSGEHTYLEVTENSSNAKRGVIKVIIELNFRGEFEMARGNEEYNQLVKRLPELFVGKSERLRVLVKIMCSAAKKCMKEKKLHLAPWRKQKYMQAKWVGKCDRSFLEPLPKVYETRVTRPKASLLTCDLMLENIARRNCTAVEVI >CAK8567414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512988092:512991035:1 gene:gene-LATHSAT_LOCUS20470 transcript:rna-LATHSAT_LOCUS20470 gene_biotype:protein_coding transcript_biotype:protein_coding MQKISHDGGSGRRTVVVGVKMDSPSKELLTWALVKVAQPGDLVVALHVLGTHEIMNGDGKSSLLSLVKAFDSVLNVYEGFCNLKQVDLKLKICRGTSVKKILVREANVYCATHVIVGSVHGFHRIRTSSSVAKYCAGKIAHDCCVLAVNNGKIVFRRGRLEESSVVDVQGFDCHRGDGLLGSIRSTLGSNTKVSNDDGDKRSKRVSDHSLGKILLDSTEKVRDRSCSICGAMEENSCHQCGEEPLKESEGSSSRDGDNGNSLAIVPVPTIDAGQQPELKPGWPLLHRKILSERQLPIKPFKRQQTSVVQWAMSLPNRNVSYGGVDHDKQVSICDQGRDQSAALNSESGALVPVDSEIRKTYSLPECTLKIIPKELEGLPEKYSSTCRLFEYHELVSATSNFLPENLIGKGGSSLVYRGCLRDGKELAVKILKPSYDVLKEFLLEIEIITTLHHKNIITLLGFCFENGKLLLVYDFLSRGSLEENIHGTKKNPREFGWAQRYKVAVGVAEALDYLHCKDDHPVIHRDVKSSNVLLSEDFEPQLSDFGLATWASTSLSSYITCTDVAGTFGYMAPEYFMYGKVSDKIDVYAFGVVLLELLSGRKPISVDYPKGQQSLVMWATPLLTNGKVSQLLDPSLGDKYDHEEIERMVLAATLCIKRAPKARPQMSIVSKLLRGDDDAVKWAKLEVNALEAREKLEDEECPPSNLLKSHINLALLDVEDDSLSMSSVEQSVSLEEYLRGRWSRASSFD >CAK8532326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247713348:247713743:1 gene:gene-LATHSAT_LOCUS2046 transcript:rna-LATHSAT_LOCUS2046 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRVRSVRCILSTKEAVAVAYQRTYATGKAKKGSKGGAAADGPKASSLSKEVKASTVVGGNILKEGTDPKILPDSEYPDWLWHLLDKRPALSELRRKDIDTLPYEDLKRYVKLDNRARIKENNSLKAKN >CAK8530460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22804384:22806289:1 gene:gene-LATHSAT_LOCUS323 transcript:rna-LATHSAT_LOCUS323 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSRELSQKEADIQMMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKEQEEDEVPAPDYAIADFNAAVPSDGQWPAAIDQPWPEAAPQPIPAVPAVNWTAPEAVAGDWGEAVPEPQLIPTPGIESVPATGWD >CAK8532559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:295204696:295205352:-1 gene:gene-LATHSAT_LOCUS2251 transcript:rna-LATHSAT_LOCUS2251 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTVSYRFNLNGQYLDYLQAKRGIRQGDPISPLLFVILMENMHRSLSKLQNNPNFNHHAKCESLNLRNLTFVDDVLLFCRGDPIFVEFMMRDFNSFFASTGLVVNQGKCKIFFGGLDESSKDDIRVLIGFQEGSLPITYLGVPLSSKKLNINHYMPLVERIVRRIHHWSSKLFSYAGRVELVKSVTNSIAQYWLQCFPIPKVMIKKIDVIHRSFI >CAK8536273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924382983:924383741:1 gene:gene-LATHSAT_LOCUS5657 transcript:rna-LATHSAT_LOCUS5657 gene_biotype:protein_coding transcript_biotype:protein_coding MINFNYPLFTLSLVVLTILFHSTTTTSAADSPAPSPSSSAPTDIIRILKKAGGFTTLIRLLQTTQVATQINAQLLNSNNGLTLFAPNDNSFSSLKPGFLNSLNDQQKNELIQFHELPSFVSLSNFDTLSNPVRTQAGDDPTRLALNITSSGNQVNLTTGVVNATVGGSVFSDHQLAIYQVDKVLLPRDFFVPKSPPPAPAPEKAKDSKKKSAEGPASADDDTKSSAMSLKDKTGVMFVVAVASVCVATVFSL >CAK8573147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589335071:589335388:1 gene:gene-LATHSAT_LOCUS25627 transcript:rna-LATHSAT_LOCUS25627 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKFFKNCCMRVHFLKFPIGIWVTSQHKSHSHIPKDVPKGHIVVYVGEDCKRFVIKVSTLKYPPFMALLDHAEDVFGFCNGSKLVIPCNENIFLNILRNIGGPV >CAK8568802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642331200:642334049:1 gene:gene-LATHSAT_LOCUS21726 transcript:rna-LATHSAT_LOCUS21726 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASEWSGVETDHAFAIDDTNLFQKLGLQTFINLSTNFYNRVYDDEEEWFRSIFGKSDKEKAIQNQYEFLVQRMGGPPLYSQRRGHPALIGRHRPFPVTHEAAKRWLHHMQQAVDNTSAIDHDSNIMLINFFRHTAYFLVAGIEQKNQSLHPCKDAPGRHPCKNF >CAK8542733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541707745:541708329:1 gene:gene-LATHSAT_LOCUS11544 transcript:rna-LATHSAT_LOCUS11544 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIDFRHLDEGFGGKTYKRKREQAQAVEDAKMEEIHAMEMETDETAPPPAKRSALPSESDPNKPSFSSFSFGKPTYDGVIAGRVSGRNWKQVRQRRSSAMQVSKKGTTFEERARAKSIKMAFRERMTELKEEIRLNKVEKRKKKEEREKKKKENILRTGTKLQKITNPKTLKRIAKSKQRKQLRMVPDDSFK >CAK8579350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693313466:693315376:-1 gene:gene-LATHSAT_LOCUS31302 transcript:rna-LATHSAT_LOCUS31302 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALSSISKERVYWEGQPEHCSVDPILIYLTVDSVVTPMRVLESDSIASVKLRIQQCKGFVVKKQKLVFGGRELARNDTLIKEYGVAEGNVLHLVLRLSDLLFIVVRTVSGKEFEFHVGRHRNVGYLKQRIRKKGEGFIDLEEQELFCNEEKLDDQILFHDICKGGDGVVHLIIKKSVKVRATPIHNDLNLSLEALIPRERSDQRELQLQVEENKNRNKPIQIGKLPHGVSFWLEPIAVNPKISFLPFLWDMINSTYEGLKKGNRPIRSSEGTGGTYFMQNSTGQERVSVFKPLDEEPMAVNNPRGLPCSLNGEGLKRGTRVGEGALREVAAYVLDHPRSGPRLVSGEEIGFSGVPPTVMVQCLHQEFHHPNGYACSSKHIKIGSLQKFMNNNGNCEDIGPGTFPVEEVHKITVLDIRMANADRHAGNILFRKEASGQIKLIPIDHGYCLPDKFEDCTFDWLYWPQALQPYSPETVDYINSLDAEKDIEILKYYGWDIPLGCARTLRISTMLLKKGVERGFTPYAIGSIMCRENLNKESVIEEIISEAEDSLLPGMDESAFLESVSQIMDSRLDKLAQ >CAK8531973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185472752:185473393:1 gene:gene-LATHSAT_LOCUS1719 transcript:rna-LATHSAT_LOCUS1719 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRETTDSYEIATAPSFGSILKGTSFIYSCFGNRSKSSNSDIDGKSPFLSAHEKDSMQNLAGEISLGHGCSFTQTIFNGINVMVGVGLISAPYTVKQAGWASMLVMTLFTVVCCYTAMLMRQCFESREGLTSYPNIGEAAFGRYGRIFVSVSFSISLP >CAK8572455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538162215:538162574:-1 gene:gene-LATHSAT_LOCUS25021 transcript:rna-LATHSAT_LOCUS25021 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEGDKTLPIANVGRIMKQNLPPKAKISKESKELMQECATEFISFVTGEASDKCHKENRKTVSGDDICWALCSLGFDNYAEAIGRYLYKYRQAELVKINLNKLETAKDKFEQDSTNP >CAK8539550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515979950:515982229:-1 gene:gene-LATHSAT_LOCUS8633 transcript:rna-LATHSAT_LOCUS8633 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFRHSSILVQLIRSSSLSLRRFSLPISNNQNHSQPKPLFLFPRKHDYDESQLLHYLTNGLLHEARNILHSFPCGNLHTRVVHWTSLLTNYAKHGYVEEARNLFDIMPQRNIVTYNAMLSAYLQSGMLKQGRMFFDDMPERNVVSWTAMLSGFADAGRVDDARKVFDEMPEKNVVSWNSMVVGLIRNGDLEEAKMVFDDTPFKNVVSWNAMIEGYVENGRMDEARALFDQMGCRNVITWTSMISGYCRVGDVDEACRLFWIMPEKNVVSWTAMIGGFTWNGFYREALLLFLQMMTHSDVRANGETFVSLVYACAGLGFPSLGKQLHAKMIVNRWELDDYDGRLGRSLVRMYSVCGLMDSARSVFEGGRKNWDDQSFNSMINGYVQSGQLEKAQELFDMVPIRNKIASTCMISGYLSVGQVLKACNLFDDMPDSDKDSVAWTSMIYGYVQNELIAEAISIFAKMMTQGVSPINSTYAVLFGAVGSVAYLDLGRQLHAMQLKTIYEYEYDVYLENSLISMYAKCGEIEDAYRIFSNMTCRDKISWNSMIMGLSDHGRAFEALKIYETMVEFGVCPNAVTFLGVLTACAHAGLVDKGWGLINIMINDYALQPGLEHYVSIINLLGRAGRVKDAEEFVLRLPVEPNHAIWGALIGVCGLSKTHADVARRAATQLLELDPLNAPGHVTLCNIYAANDKHIEEISLRREMRMKGVRKAPGCSWILVKGGVHVFSSGDRLDPQGEDILLQILGRKLNTFSPNTCT >CAK8576023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385956981:385961262:-1 gene:gene-LATHSAT_LOCUS28241 transcript:rna-LATHSAT_LOCUS28241 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNSPPRTSGYLDALSQAIHKKLQRALASSSQRRNLLQELFADIALEVDDRAKDVIFNKEEDVISPANDVIDGPLCFYDVLADYFVQVPESGKPVLDLIVQLWSQSFASHIFSLLFHKWMFEVHLDNPEVLLRYSSALVQGATNVFWIDIQTNTKRFQSIFRYLLDDVALHHSRLNKIPLQAQRDMYLLLSRFILFYNSAGKIDSFLKQCPVFQTAFLVGSPADIFVNELTDQLQKLKVEPVLLHYLSEIKVLQGMELRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWEALDFLFPVGQYPRHLISLFFRLLYPWYWPSSCWNFVVSCIRAIFYSLLRLIFSAWEKIAKPKSQ >CAK8576354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466411824:466419366:-1 gene:gene-LATHSAT_LOCUS28549 transcript:rna-LATHSAT_LOCUS28549 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPLWVRHEGMQIFSIDVQPCGLRFATGGGDHKVRIWNMKCVSNDKTKDDSSQKLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWNMRNGICTTVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWKTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFKKYLSNAEEVKPMRVGWSNGANKTGGSKEPQPYNVIAIGSQDRTITVWTTSSPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVAAFHFDAKELGQRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASTKHTPSKKVVSNQLNQTIAKAYVDTRVGAKNVEPQVDHNKKSGGPSSDALNKITTTARISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQSQALDFPLVSSNHQMVSNGVVSIDDGVRATTLGGALGRNSDIKERSGVTARATISDSLVIEKVLGSSGRDGVINVEQFGSSTTTGSHATLSIRIFDKKGGEDTLPICLEARPREHAVNDTVGAVNASMMRETEIACTRGTQSLWSDRISGKVTVLAGNANFVAVGCEDGCLQIYTKCGRRAMPTMMMGSAAIFIDCDESWKLLLVTRKGSVYLWDLFNRTCLLQDSLASLVASSPSSAAKDTGTIKVISAKLSKSGSPLVVLATRHAFVFDMSFKCWLRVADDCFPASNFASSWSLGSIRSGELAALQVDVKKYLARKPGWTRMTDDGVQTRAHLEAQLASSLALGSPNEYRQCLLSYVRFLAREADESRLREVCESFLGPPTGMTEGASSDKNLAWDPVVLGMRKHKLLIEDILPAMASNRKVQRLLNEFMDLVSEYEIADANHDQRNPILLKTSSPITNLKESGPLSTYVKDTPKDAIYSKENNAWAAKSRTNEASENDQIVQDTPDQ >CAK8539688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521663443:521664618:1 gene:gene-LATHSAT_LOCUS8765 transcript:rna-LATHSAT_LOCUS8765 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQLMMEYYSNHTLPNKFQENDAVKEAASGLETIEKLIKLLSPDSPPQSCSSNAKPSSMESVETVADMAVSKFKNVISLLSRNQTRTGHARFRRAPLPSETIVYRATPLQQIPPLLPTNEAVEKNKIIDFSYSSANSFMSSLTGDAVFSSLGKPCVSSCSLKRKCGSENFGSGKCGSSSRNCHCSKKRKMRLKRVVRVAAISLKMSDIPTDDYSWRKYGQKPIKGSPYPRGYYKCSSVKGCPARKHVERALDDPSMLVVTYEGEHNHSLSAIQANNLILE >CAK8560584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26840651:26842515:-1 gene:gene-LATHSAT_LOCUS14245 transcript:rna-LATHSAT_LOCUS14245 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQGPINSSFFGEVNCLDQTLLDTESLRFEEDEQFLLSSLEDNMPFLQMLQSVEPPIYPLKEPNFQTLLRLQHMKKPWEEDITFIPRMDSLQQVQTTLEFESCVTHDVLEMQSPVKSESYELQHKVSASCIEKLSYECNQEETKTCSKSQLVTTREKRKRKRTRPVKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPSSYIQRGDQASIIGGAIDFVKELEQLLESLEVQKRIKKNEEFGSSSSSSSPPQASYGMKLSCCEENEVKAENKSEAADIKVTLIQTHVNLKIECKRRCGQLIKVIVALENLRLTILHLNITSFESSVLYSLNLKVEEDCKLGTANDIAEAVNEIFSYINGN >CAK8569787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10817158:10819643:-1 gene:gene-LATHSAT_LOCUS22597 transcript:rna-LATHSAT_LOCUS22597 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFDQRGSGSNNQKNIHAFGSSSSLASPPKPPIQLNSMPINQVNQMGFPENHKSYSIGIPPSHPNTNTSLSPKLSSPYPQFMSSSQSQTHSRSFSQPTFLSLDSFSLPPLSPSLYPLSPSPFSDSGSKDVSMEENLVATHAPSPNRGHAVQHGHCLPPRKGHRRSSSDTPLGISEFVNSGPQSGSDRQNLVSGGEKFGSEKPIQLVLKDRNSLDGFGRESFNARKEDDAAAMDDLFSAYMNLENMNNMSFSGMEDSRTSGSKTVESSDNEAESHVKGISSKGATSSCSDERREGIKRSSNGDIVSATRHRRSFSLDGSIGNFYIEEGSPKLPPLQGRAGRHSPSNSMDSSRTGEIGMEFGKGEFSSEEMKKIMENDKLAEIALSDPKRAKRILANRLSAARSKERKTKYISELEHKVQTLQTETTTLSTQYTKLQMDHAELKNEHNEYKLRLQSLEQQSQLKDALNETLDAEVRRLRRTVADLGGESLLSSLMTRQLVINQQMLQSQQQQPNQLRNFQPQNSQSQEETPTQMQQNIQRNHEFQTKHQNGKTTA >CAK8574997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20420953:20421440:-1 gene:gene-LATHSAT_LOCUS27290 transcript:rna-LATHSAT_LOCUS27290 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGCGSSCNCGDSCKCNKRSSGLSYSEKETTETVILGVAPAKIHFDGAEMSVTAEDGGCKCGDNCTCDPCNCK >CAK8540636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13840035:13841829:1 gene:gene-LATHSAT_LOCUS9622 transcript:rna-LATHSAT_LOCUS9622 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVDTTLNFPLLSEKNLDDEENLIFSDAPNKNGVSFIRTCINGLNSIAGVGILSVPYALASGGWLSLVLLFSIATVAFYTCLLIKRCMEKDSSIKTFPDMGERAFGKTGKLIVEISMYTEIYLVSIGFLILEGDNLSNLFSIQEFQVAGISIGAKQFFVILVSLIILPTICLENLSLISYVSASGVFASVIIILSISWTATFDGVGFHQKGDLVNWNGIPTAVGLYTFCYSAHPIFPVLYTSMKNKRHFSYVLYICYMLATIIFASMAIIGYLMFGSKLESQVTLNLPLDKISSRIAIYTTLVTPMSKFSLMILPITNALKDLLPRTYKNNKMANIFLSTILLISMVIVTWTLPFFGSLMSLIGAFLTVTVCILLPCLCYLKISGTYRKLGFETMVIVVIMLVSIVMGIFGTYASVVELVEKSYNN >CAK8563001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565657072:565661753:-1 gene:gene-LATHSAT_LOCUS16451 transcript:rna-LATHSAT_LOCUS16451 gene_biotype:protein_coding transcript_biotype:protein_coding MECSTSNSDPETTQQMRKLDAITTSLSECFQSLKITAQQTSRKQDQLEETKGTLKEVEDELVKVLAEKIRKEAKRMALMDVIASAKARVGNLNNSVQELRIRKQEYTSFLSQQSLALAASEGIINGSIDHTHDTRQAISWYNNVLGFHVKGGHGVKFTFTNIDLKNPNREYSFTVYHDKNTYLLLSCEPSLDGIEELVHELNKTNDLFKFVRVIRSKFQKKLAQGSSVRTTVKPEESAFISSSAPGSSGRSDSTTTENEHQVEFSDGSALLKRKNTRTRKNLALLSPDSASSVRQSPRLKVRK >CAK8578280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616940153:616940998:1 gene:gene-LATHSAT_LOCUS30305 transcript:rna-LATHSAT_LOCUS30305 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVLNMPNWGYYEPFKGGNLGLNLIPDINDRETKPFFPGRDPAMLVGANGTFHPRDTAVVEPPAQLNYVRDNWMNPRHRFYNMQLTNPSYAAVLPETSQALSMPFIQPPLGDTSRNENGDGIEELAVKKEGGKSKKRKSKGALAVPKAKKPKKAKENGDDSAQGVKPPKKTVALEINGIEMDISGLPVPVCSCTGKPQQSYRWGCGGWQSACCTTNVSIYPLPMSVKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLKTHWARHGTNKFVTIR >CAK8531240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92137423:92140637:-1 gene:gene-LATHSAT_LOCUS1046 transcript:rna-LATHSAT_LOCUS1046 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGGKAGSLLSKGSLKGKDDSATKSAKGRKVQFAKEAPFESILNGQKSGGKADFGRGKGDKIANGGRSSATKDPHQFEHRVDQKLPDNFQCLMDCEAAVMLQGIQDRMVSLSRDPAIKIPASFDKGLHYAKSSNKHSNPEFVRLALEPLMNHGLTESEICVIANAYPETADEVFALLPSLKGKRGINSQPIEESLSELAKLKQTM >CAK8534166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701701020:701707136:1 gene:gene-LATHSAT_LOCUS3733 transcript:rna-LATHSAT_LOCUS3733 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLATAEELGVFVPYVGGVEHASVLLPPLETLCTVEETCVRDKSVESLCRIGGQMREQDLVDHFIPLVKRLASGEWFTARVSSCGLFHIAYPSAPDALKTELRAIYGQLCQDDMPMVRRSAATNLGKFAATVEAAHLKTDIMSVFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDSTKTELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSADSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIIPQVLDMINDPHYLYRMTILHAISLLAPVLGSEITSTNLLPLVVNASKDRVPNIKFNVAKVLQSLIPIVDESVVESTIRPCLVELSEDPDVDVRFFASQALQSSDQVKMSS >CAK8566458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425192688:425196281:1 gene:gene-LATHSAT_LOCUS19587 transcript:rna-LATHSAT_LOCUS19587 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLPSPPNPNNAQRPTEENDDDIDEQEDEEEDEDYVDSDFLTPPIPQSPIARLREQKFKLETLSRRLSSELVPIRVHDVIIRGNTTTKDWVIEAELKGIEDVTTMQELIRASEIALSRLQSLGVFESTKVSLEPGPRELPNTANVVINIVEAINKISGEFGVYTKPSTSSWTAEGTLKYKNPLGYGDLWDASLAYGANQATEVSVGLYVPRLKATLTPIAARLAMLSQDWQEFSSYKEQSLGMSLGLISTKHHDLAYTLGWRTLTDPLQMSSRSVRRQLGHGLLSSLKYTFKIDRRNSPIRPTKGHAFVSTTHIGGLTPDHRSLRFLRQEFDVRYAIPFGFYNTALNLGISAGAVFPWGHDFRNKPSPLPERFYLGGDFSPICTLGGPMTLWGFKTRGLGPAEPRRQSRDVFNDDNNDSSKWDFVGGDLAVTAFADLSFDLPIRWLREHGVHGHVFAGAGNTAKLTQNEYKHFSPQRFLESFRTSVGCGIVVPTSLFRLEANYYYILRQNEHDRGKTGFRFSFSAPS >CAK8575471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131146082:131146660:-1 gene:gene-LATHSAT_LOCUS27731 transcript:rna-LATHSAT_LOCUS27731 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSSKSLLIIFAFSFIIHSIQARESNTLFIRNSCSSTTYPRLCYASLVKHADFIQTNRVLLTDTALNVTLASAKSTSAFMSTFSRSRGLKPREAAAMKDCVEVLSDSLDELRRSIGEMSRLRTSNFEVIISDVQTWVSAALTDECTCTDGLEEINAKGNIQRIVRGKIVQVAQLTSNALALINNLATSHG >CAK8570225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30192832:30194710:1 gene:gene-LATHSAT_LOCUS22996 transcript:rna-LATHSAT_LOCUS22996 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRFFPQPLNSLFSTEFPHHHHRLSYPQQHRLNFPDVKTTALSDSDPSRTLLDSSKAHVFDAVPLLSSSQTYERMKAFRENIKGKQQYLAMYSSIFGGITTDPAVMVIPMDDHMVHRGHGVFDTAAIMDGYLYELDQHLDRFLNSASRSKIDPPFDRESIRRILIQTVSASKCRNGSLRYWLSAGPGDFNLSPSGCHQSVLYAIVIQDMSPAADAVKSRGVKVITSSIPIKHPKFAITKSVNYLPNVLSKMEAEEAGAFAGIWLDDEGFVAEGPNMNVAFVTKEKELITPHFDKILSGCTAKRVLTLAERLIEQGKLRGIKKKNVTVEEGKKADEMMLLGSGVLVCPVVQWDEKIFGDGKEGDITQNLLNLIVEDMKSSPSVRTFVLY >CAK8544945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714066611:714067485:-1 gene:gene-LATHSAT_LOCUS13585 transcript:rna-LATHSAT_LOCUS13585 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEMNGVEAEKLQEKIDYVFKVVVIGDSAVGKTQILSRFTKNEFCFDSKSTIGVEFQTKTVTINGKLIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHVARWVEELRSHADGSIVIMLIGNKGDLVDQRAVQTEDAVEFAEDQGLFFSETSAFSGENVNSAFLKLLQEINMVVSKRSLVCNNGIKGNGNHDVAALKGEKIDIISGSELEISEMKKLPSCSC >CAK8535287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834227092:834227595:1 gene:gene-LATHSAT_LOCUS4759 transcript:rna-LATHSAT_LOCUS4759 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNTHVASAQDYPEDYLNLHNEARSVLGWHNMPDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLFGENIAVSTGNMSGREAVKLWVDEAPHYNGYLNRCEGGECTHYTQVIWKRSLLIGCGKVKCNNGGTFVICNYDPPGNIGGEFPFMD >CAK8566776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461699214:461701057:1 gene:gene-LATHSAT_LOCUS19885 transcript:rna-LATHSAT_LOCUS19885 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTFPQQPEMQDHHAAIDQDSSTVSDCESGVSRNTRQQQQQQNSNSFLVSLGEGDVVHDLIKTRFLRGLGVLSSQTEILAIHRNACSDVVSQARLQSFHVYAGAVSKLRGGNSNVKYAWYGTSSENDVRDILSNGFSHVHGHSLCLSPDNSPLQSVKSCLVGRDGVRNLILCRVILGRAEIVKPDTEQCYPSCENYDSGVDSFSAPTKYMIWSSRMNTHVWPAYVISFRVSSFKGIEMSEEEHGRPTSPWVPFPNLISVLSKVLPSLDIALISKFYKAKQERKISRHELIQKVRQIAGDKLLISAIKSYRAKKKSTNFLQTRSKNDN >CAK8568534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614559123:614562778:-1 gene:gene-LATHSAT_LOCUS21481 transcript:rna-LATHSAT_LOCUS21481 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAIEGCMHGDLDNVYKTLQHLEKSQNTKIDLLLCCGDFQAVRNQDDLKSLAVPERYRAMNSFWKYYSGLEVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGAAGVVKFGNIRIGGLSGIYKHFDYKSGHYERPPYDGNTIKSAYHVREYDVRKLMQVEEPIDIFLSHDWPVRITDHGDWEELVRNKPFFREEIEGKRLGSKAAAQLLEKLKPQFWFSAHLHCKFAALVQHGEGGPMTKFLALDKCLPGRDFLQVVEIESEAGPYEIQYDEEWLAITRMFNNVFPLTRKGADFRGVNLEMEDCRKWVRSKLQEWGCKPSEFVRTVPCYDPSQAGVDGASAVNPRNPQTEYLLRLLELPYLLDRNPEAKDMSPYPSLIPIGPGGNYSEDIPIDDVDDDDDEGLQPVDDVETET >CAK8564273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664988968:664992224:-1 gene:gene-LATHSAT_LOCUS17595 transcript:rna-LATHSAT_LOCUS17595 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQQHCNHAFTQQEIVSLYERFCQLDRNNCGFIPSDEFLSIPEFAVNPLSQSLLRMLDGFNFKEFIAFLSAFSPRATLQNKIEFIFKVYDTDCNGKVSFDDILTVLRDLTGQYMSEDQRKEVLAQVLEEAGYAKDSFLVLSDFMKILGNSDLKMEAEVPVD >CAK8542125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475299985:475300320:1 gene:gene-LATHSAT_LOCUS10993 transcript:rna-LATHSAT_LOCUS10993 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNFEDLSINKEGEEDEFCFDVEEGEDGVDLRWCLINRFLGDKPIHVKSMKVIISDMWRPVKEVIIKQAMKGLFLFHFSHNLDMEAALKGGPWTFDNHLLILEKVKVGI >CAK8537459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362921122:362922659:-1 gene:gene-LATHSAT_LOCUS6749 transcript:rna-LATHSAT_LOCUS6749 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFGKTQNKPHVVCIPFPAQGHINPMLKLAKLLHSKGGFHVTFVNTEYNHKRLLKSRGPNSLNGLSSFCFETIPDGLPESDEEATQDVPSLCDSTRKTCLPHFRKLISKLNNSIDTPPVTAIVSDGTMTFALEAAEEVNVPILLFWTNSACGFMCYLQYRQLIEKGLTPLKDSSCITNGFLDTTIDWVPGIKEIRLKDFPSFMRTMDPDDVMLDFVLWECERVLKASAIILNTFDALEHDVLEAFSSISNLPPVYSIGPLNFLLKEVTDKELNSIGSNLWKEEPECLEWLNDKESSSVVYVNFGSITVMTNEQMIEFAWGLANSKITFLWIIRPDLITGEHAVLPSEFLEETKNRGLLSSWCPQEEVLDHSAIGVFLTHSGWNSTLESVCGGVPMICWPFFSEQHTNCRFCCHEWGIGLEIEDAKRDKIESHVKEMMDGEKGKEMKERALGLKELAIEAASGPYGSSFVNLEYMFHLFS >CAK8568394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602099833:602101047:1 gene:gene-LATHSAT_LOCUS21354 transcript:rna-LATHSAT_LOCUS21354 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCKPQKKLSDFLNEHQEPFILELHLLERSNSSKTFTSTSPQNFETPTTSCFLNKKRKPLFPFCKILTCVHKKKLPATIKNSDTANKHPNVGVTITHEANNVDRTQTATETDRVSTASSSTVFHSCSDIDDEEEDRTSFSSHKYHNPLFSSDTVCNIEIQSQQDTDNRKCHQRCIKVCVTHETLNKDVCVCGMAVPKESLLSAAIFSSLIHTAKRDQKIYTKQLRQILEHKRVLYKTKRLLFDFVREFTKNMKKKDCKQLMGGEKLGKIIWKRRKEGGGNYETNISNLLNLDYLDSMNEWSEFKTEMKDVSIEIAEAILERVMKDEIDILPPTTQQT >CAK8566752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459771014:459774609:-1 gene:gene-LATHSAT_LOCUS19863 transcript:rna-LATHSAT_LOCUS19863 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNTTSTSSSLASSFHSLPPPPFQRSSPRHRQLSLSQGLLVNQITSSQLRIYTKVSWLDKSCQYKFTNERPQLKGRFQKQQNAPFVVSEDQSQYDELKIDASVPENDNEIAQDISPASNPSFQLSGSDGKPGLISFYNRPYRRDGDILFNPERSQNSVLWFVGPAVLVASFIFPSLYLRKLLSIIFEDSLLTDFLILFFTEAIFYGGVAAFLVLLDRLRRPIQLDTAVKNTDALPPQLGQRISSVATLVLSLVIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYAKYRKSPSWSAIPLIFQVYRLHQLNRAAQLVTALSFTVRGAELTPHNMAINSSLSTLLNVLQFLGVICIWSLSSFLMRFIPSASTSMQ >CAK8573821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640094130:640097821:1 gene:gene-LATHSAT_LOCUS26224 transcript:rna-LATHSAT_LOCUS26224 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNEKVAKRQISRKGSKARTWLMMDSEGQRQTVEAGKPTIMQRTGLTARDLRILDPMLSYPSTIMGRDKAMVLNLEQIKAIVSAHEVLLLNSRDPSVVPFVEELHARILHHHSIVIDHSQGDQDKKDEDEIKQVNDNKKIIPFEFVVLEACLEEVISSLENEANILELEAYPALDKLSSKISTLNLERVRHVKSRLVALTARVQRVRDELENLLDDDGDMAELYLTNKLSQQKFENSSTASSMKQGGDLIERDDSKSVVNGDIPKTQAGTVNSGVGSVEELEKLLGAYFVQIGSTLNKLSMLNEYVEDTEDYINITLDDKQNRILQTGVHIGTISVIVNSFITVTGIFGMNIEIEVFHAEKDKLFLVTVILCTAACLILYFLAMLWYKKKHML >CAK8569734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9453311:9456996:1 gene:gene-LATHSAT_LOCUS22551 transcript:rna-LATHSAT_LOCUS22551 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVTDFELFLPPLPLSPSLTTFSLNSSSNPNFHSNSLFSFSPSSMRSTFTLFRNITLSTFHQPRTLPSQLLPRRFPRLCVGAEQWALHEELPEHEVFRFAAETAEKRLPRPEVEVKELSEFPELWRRSRVAWLCKELPAHKAGTLIRILNAQRKWMRQEDTTYVIMHCLRIRENETAFRVYKWMMQRSWYRFDFALSTRLADYMGKERKFSKCREVFDDIINQGRVPSESTFHILVVAYLSSSVQGCLDEACGIFHRMIQLGGYQPCLSLHNSLFKALVGKPGNFSKQYLKQAEFIYHRLVSTGLDVHKDIYGGLIWLHSYQDSIDKKRIEALREEMRRAGIGEREEVIVSILRVCAREGEVEEAEKTWSKLLQFKSKPPSQAFVYKMEVYSKVGMPMKSLEVFREMQLKLGKTSVAAYNKIIEILCKTQESEFAESIMTEFVNSGLKPLTPSYVYLLNMYFNLESHDKLEEAFSQCLEKCRPNSAIYSIYLDSLVKVGKLDKAEDIFCQMFRDASIGVNARSCNIILHGYLYSGNNLKAEKIYDLMCQKKYEIDAPLIEKLDYILSLRRRIIKKPMSLKLNKEQREMLIGMLLGGLQIDSDGRRKNHIIGFNFDGNSVSHNVLKSHIHRQFYEWLHPTFKPSDDSKNIPDKFCTIASSHFGFYADQFWSGGQPTIPKLVHRWLSPCVLAYWYMYGGHRNSSGDILLKIKGSHEGVENIVRKFKAMSIDCKVKGKGKVFWIGILGSNTAWFWKLVEPYILEDARDFTKSGVNMMGRDLLETQDINFNKESEE >CAK8538250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473601019:473601252:-1 gene:gene-LATHSAT_LOCUS7470 transcript:rna-LATHSAT_LOCUS7470 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGLLLLANALAILNEDRFLVPKGWGMSDFSGGRTKSFKGQIIGLIYATQYLRLPLILFNSIFIIVKFVSG >CAK8565687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307252631:307254241:1 gene:gene-LATHSAT_LOCUS18877 transcript:rna-LATHSAT_LOCUS18877 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIYEFFHLSLFPGLFVAFTYNKKQPPAFGATPAFWCILLSFLSLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCRIPRFYGFLLCYRGRPQSHNVSKRGGHREVLYYFVSNFVKNSILSLPRYEQKTGAAPQLYTPFVLRTLVDSELRSRRNRTFDGPALFYAPLYPERIISFAPLGARRSRGSREGKRRTHPLLHLARYDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLVESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKIINGIVALHSPPMRKDAAEKKGTLLRFAGCVGSRITSELFTIKLKHVVAKCYPALLLRSNRSLLILLWRRFFAFSSLWTGALVDTGREQAKRVVRNEQKETTTSPLCWSADANTVVSDQDQKQIRIWILTCRWFLTVGIMPGSWWAHHELGRGGWWFRDPVENASFRPWVLATARIHSVILPLLHSWISFLNIVTLSCCVSGTFSIRSGLLASVHSFATDDTRGIFLWRFFLLMTGISMILFS >CAK8531418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108215692:108218286:-1 gene:gene-LATHSAT_LOCUS1211 transcript:rna-LATHSAT_LOCUS1211 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIHFNFRRHFATKYTAKITSTSPTGRSLAAEVTPPPPLPSDIRGYSLPRHDLVCKATQILLSATNPHSTKQTTLHDPFSDLSEYLQSLSISLTPLEASEILKSLKNPSLALKFFHFCPSLSPNFRHDSFTYNRMFLILSLSSSPLRFEQTESLLDDMERRGLRGSISTVNILIGFFGDLDRCVGLVKKWELRLNAYTYKCLLQAYLRLRDSDKAFSVYLDMLRRGYQLDIFAYNMLLDALAKENKADQAFKVFEDMKRRHCEPDTFTYTIMIRMTGKSGKTNKALALFQVMLEKGFTPNLIAYNTMIEALAKGRMAEKAVLLFSKMVENDCQPNEFTYSVLLNVLVAEGQLHKLDYVVEISKKYMNKQIYAYFVRTLSKLGHSSEAHRLFCNMWNFHDKGDKDAYMSMLESLCSSGKMTEAIDLLNRFHEKCITSDTIMYNTVFTALGKLKQVSHIHDLYEKMKCDGPLPDIFTYNILISSYGRAGRVDSAVKIFEELENSNCDPDVISYNSLINCLGKNGDVDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACSLFDEMIAEECSPNLVTYNILLDCLERSGRTAEAVDLYAKLKQQGLTPDSITYAVLERLQSGRHGKLRVRKQNPITGWVVSPL >CAK8531413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107714584:107717120:1 gene:gene-LATHSAT_LOCUS1206 transcript:rna-LATHSAT_LOCUS1206 gene_biotype:protein_coding transcript_biotype:protein_coding MTFERNSPARCYMTPSPSSWNSRPLRSPTVPFSERKKSPASVHKDDLFHVIHKVPSGDSPYVKAKQVQLVEKDPGRAISLFWAAINAGDRVESALKDMALVMKQLNRSDEAIEAIKSFRHLCPSDSQESLDNILVELYKRSGRVDEEIDMLHHKLKQIEDGMTFVGRSTKQARSQGKKIQITAEQEISRILGNLAWAYLQKGDYKTAEEHYRKALSFEVDRNKQCNLAICLMKMNKITEARFLLQAVTTATKNRKMDDSFVKSFERATQMLQEIESTQLVDSVKDKGDKIIETQRFSGKTMSSQYSTPNSENSTGKNSDNMAKSRTENNWSTGKNSDNMVKSRTENNWSLTSDCEESRHSHARRRLYESPDPKVPYAKPKRSSWGFNNHSDSKPSPLTYPNEKVVPYIIKPNSTQNEFFPNTNASWRTRTFEDDTAVVKCGPTTTVKQGNTITIYGSGTIHPKNSETAMKLTKNDDDNNKSDTNLHGIVVNGANELAASVDRTDQQNQDKKPVEKKSWADMVEEEQQTEEYEFFNNGYTNFGAEMFSNENEDSNIVYQHESLNEKLELLNLKNGYNAAPGIDPLSRNPTVRRSLFTNPGERDLFSGEDKLTRRVRLQVFQDITSSS >CAK8577496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568703619:568705967:1 gene:gene-LATHSAT_LOCUS29599 transcript:rna-LATHSAT_LOCUS29599 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFAILVCIFLFLSTLLISYPFIKKRKPTSKPKLPPGSMGWPYIGQTLQLYSQHPNIFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHSHLFKPTYPKSKEKLIGSSALFFHQGDYHTRIRKLVQTSLSPESIKKLIPDIETQVISSLESWVSSGQVINAFHELKKFSFNIGILSVFGNLENNYRDQLKENYSIIEKGYNSFPTRIPGTAYSKALLARQRIQEVISEIICKRKEQKLIEKNLLSHLLNYKDEKGEMLTDEEIADNVIGVLFAAQDTTASVLTWILKYLHDDQKLLEAVKAEQMSIYEANEGGKIPLSWNQTRNMPITHRVILESLRMASIISFTFREAVADVVYKGYLIPKGWKVMPLFRNIHHNPEFYINPQSFDPSRFEVSPKPNTFMPFGNGVHSCPGNELARLNMLILIHHLVTKFRWDVEGHESGVQYSPFPIPMHGLPTRFLRDQ >CAK8530800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50765899:50766342:1 gene:gene-LATHSAT_LOCUS640 transcript:rna-LATHSAT_LOCUS640 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTIAEKTPAEKKPKAGKKLPSKDGAAGDKKKKRNKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >CAK8536874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:31716591:31719825:-1 gene:gene-LATHSAT_LOCUS6201 transcript:rna-LATHSAT_LOCUS6201 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSQQGCVGGMFNSSKKKRRRTIGFRKNVSSSLDTNNTNTNTVDLPPHSFSNPTFQGGTIDEAWFDSATVFDSDCDDDYQSVPDDVVSLNGIEGGSVSSFQSFKDAVSANHRVSTDQIQKPVIYEAARSSDVQNFGVDGNAIEVNEAVFLDDISSVDASSNRDEGILDNCGILPNNCLPCLASTVPSFEKRRSSCSSPPSSRKKTPTKVSSLFSSKTLLQRPIAGCQVPFCPIDKKMLDCWSHIEPGTFKVRGITYFKDRKKELAPNYSAYYPFGVDVFLSPRKVDHIARFVELPTVSSSSAKFPPILIVNVQIPLYPTTLFQGETDGKGTSFVLYFKLSESYAKELPLHFQENIRKFMDDEVEKVKGFPLDTIVPFRERLKILGRVGNIEDLQLSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFEAFMDRLKNCTLDVGLTIQGNKVEELPEQVLCCIRLNSIDHSNYQQLGLTQDPL >CAK8542892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555889989:555894553:1 gene:gene-LATHSAT_LOCUS11690 transcript:rna-LATHSAT_LOCUS11690 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNIGNEGIGAGERYGGWLRRFGSFIRRKQVDSVHFRSHPQLARKLSVFDLVGIGVGATIGAGVYILIGTVAREQAGPALVLSILIAGIAAALSAFCYAELACRCPSAGSAYHYTYICIGEGVAWLVGWSLILEYTIGASAVARGITPNLALFFGGQDNLPSFLARHTLPGLGIVVDPCAAVLIILITIPLCLGIKESSTVQSIATTINVSVMLFIITVGGYLGFQTGWVGFGLPSGYFPYGVNGMFAGSAIIFFSYIGFDTVSGTAEEVKNPQRDLPIGISTSLAICCILYMLVSAVIVGLVPYYALNPDTPISSAFSTYGMEWAVYIITTGAVTALFSSLLGSVLPQPRLFMAMARDGLLPSFFSDIYRRTQVPLKSTIVTGLFAAVLAFFMDVSQLAGMVSVGTLLAFTTVPLSVLIIRYVPPNEVPIPASLLTSVDPLLNQSSGDITTTSPVDLASYFDNSHLHDKPDARLEHPLIIKEVTKEEQIENTRRKHAAWSISFLCIGILMVTYAASVERCPRVLRVTLFGVGVIVLLCSIIVLACIKQDDTRHSFGHSGGFACPFVPFLPAASILINTYLLIDLGAATWLRVSLWLLIGLLIYLFYGRTHSSLLNAIYVPSARADEIHRSQANHLA >CAK8564526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679808038:679808421:1 gene:gene-LATHSAT_LOCUS17825 transcript:rna-LATHSAT_LOCUS17825 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKVVIILQGRCAGKKAVIVKNFDDGTRERAYGHALVAGIKKYSSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEVVTNDALLSKDKKVTALKEAKKRLEERFKTGKNR >CAK8578461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630410943:630412251:-1 gene:gene-LATHSAT_LOCUS30471 transcript:rna-LATHSAT_LOCUS30471 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTSRVKYPEGWELIEPTLRELQGKMREAENDPHDGKRKCETLWPIFKIAHQKSRYVFDLYHRRREISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKQLREEKVIECVHCGCKGCASGD >CAK8564303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666995511:666998078:-1 gene:gene-LATHSAT_LOCUS17623 transcript:rna-LATHSAT_LOCUS17623 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVFGSVLVLTFLFKHLASQQPNTDEFFVSEFLKKMGLPSSSKVYDFSSSVCSWKGVFCDANKQHVIELSFSGIGLTGPIPETTLGKLNSLQSLDLSRNKITALPSDFWSLTSLKRLNLSYNQISGSLTNNIGNFGLLETIDLSKNSFSEEIPEALSSLMSLKVLKLAHNMFVKSIPSGILKCQSLVSVDLSSNQLNGTLPHGFGDAFPNLRTLNLAENDIFGGVSDFSGLKSIVSLNISGNSFQGSVTDVFVLKLEVLDLSRNQFQGHVSQVNYYNWSHLVYLDLSENQLSGEIFQNFNGSPNLKHLNLACNRFTTQKFPQIEMLLGLEYLNLSKTNLVGHIPDEISQLGNLNALDLSMNHLDGRIPLLVNKHLQVIDLSHNNLSGPVPQIVLKNLPKMKNYNFSYNNLTLCASEIRPEILQTYFYGSVNSCPIAANPGLFKRRRRDTNHKGMKLALALTLSMILALAGVLFLAFGCRRKQKMWEVKQSSYREEQNVSGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGNIHVAVKVLAVGSTLTDEEAARELEFLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLYDLPLGVQSTDDWSTDTWEEAADTNGIQNVGSEGLLTTWRFRHKIALGTARALAFLHHGCSPPITHRAVKASSVYLDYDLEPRLSDFGLAKIFGSGLDEEIARGSPGYVPPEFSLPEFESPTPKSDVYCFGVVLFELLTGKKPVEDDYSDDKEATSLVSWARGLVRKNQTSRAIDPKIRDTGLDEQMEEAIKIGYLCTADLPFKRPTMQQIVGLLKDIEPTTN >CAK8570654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82763747:82764970:1 gene:gene-LATHSAT_LOCUS23390 transcript:rna-LATHSAT_LOCUS23390 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDVMVKRVPLLCLQIAYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQYGILAVETFANLGIMYYVFLSGLEMNADTILRSRKKGTSIAIAGIVTPMLFGVGFLALQQKLIDKNDVFAQTPKENHGEAYLFWCLTLSVTSFPVLARILANLKLLYTKLGKDALTAAMLTDTYGWVMFTLLIPYSSRGGKPYLSVISTLLFIVFCFVVVRPILTPIIEHKTSTNTWRKSLLLDVLTGVFICSYITDCLGTHPIVGAFVFGLILPRGKFADMVLEMSADFVSGILCPIYFAGFGFRLNLPLLLKHKMQV >CAK8568648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626981753:626982877:-1 gene:gene-LATHSAT_LOCUS21588 transcript:rna-LATHSAT_LOCUS21588 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDCRYLSSCEAAWRIFSFDINYREPSAERLSFHLEDEQCVIFPDDALIEKVVNKPYIDSTKFLAWMDANKKYPEARNRTYSEFPTKFVWKEKEHRWTPRQRGFSVGRLHYATPGSGQLFYLRTLLNYVKGPTSYDNIKIVNNIKHNTFKDTCFALGLLDDDKEFVDAVMEASHWGIESFLRSLFATLLVSNQISRPDFVWNKTREYLSDDILHRQRELLCFHDLVLTDDQLKSYALAEIEILLQSNNKILEDYPEVPQPDRALLPPRDKID >CAK8543947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650843110:650844597:-1 gene:gene-LATHSAT_LOCUS12667 transcript:rna-LATHSAT_LOCUS12667 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQENIHVPHFVLFPFMAQGHIIPMIDIAKLLAQHGAIVTIFTTPKNALRFSSVLSRAVSSGLQINLVTLHFPSKQAGIPDGCENFDMVDISNDMWNKFFYAVSLLQKPAQELFDKLSPRPSCIISDFCIPWTSQIAEKHQIPRISFHGYSCFCLHCLFKVHSSKILESVISGSEYFTLPDIPDKIQVTKEQLPVALIEILPKEFVEKMREAEMKSYGEIINSFEELENEYVNDYKKVKNGKVWCVGPVSLCNKDGLDKAERGKIASISEHNCLKFLDLHKPKSVVYVCLGSLCNLISSQLIELALGLEETKMPFIWVIRDGTNITHELEKWISDEKFEERNKGRGLLIRGWAPQMLILSHPSIGGFLTHCGWNSTLEGISVGVPMVTWPLFADQFLNEKLVTQVLKIGVSLGVKVLMRFGEEEKLGVIVKKEDIKEAIFRVMDEGDQEGKERRERASELSEKAKKAVEKGGSSYISMTLLIQDIIQLQSKHHC >CAK8544617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696004596:696005911:-1 gene:gene-LATHSAT_LOCUS13276 transcript:rna-LATHSAT_LOCUS13276 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAAGAKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNIYELRYFNIAENEGEEED >CAK8536324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931685362:931688025:1 gene:gene-LATHSAT_LOCUS5703 transcript:rna-LATHSAT_LOCUS5703 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKDRENFVYIAKLAEQAERYEEMVDSMKNVANLDVELTIEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNDVNAKRIKEYRHKVETELSNICIDVMRVIDEHLIPSAAAGESTVFYYKMKGDYYRYLAEFKTGNEKKEAGDQSMKAYESATTAAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDSQKANGTAKFGGGDDAE >CAK8542992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564507494:564509924:-1 gene:gene-LATHSAT_LOCUS11783 transcript:rna-LATHSAT_LOCUS11783 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNTNQQFGSPPSGVGAHDLKPRRLYQVWRGGNKFLCGGRLVFGPDVASLFLTSFLIAAPAITFCVKMYLKAKNENPNGHSFWCPIVIVGVTLTILDFLFLLLTSGRDPGIIPRNSTPPECDETFNIPTPSMEWINGSTPHLKLPRTKDVMVNGYTVKVKFCDTCLLYRPPRTSHCSICNNCVQRFDHHCPWVGQCIGRRNYRYFFMFITTSTILCLYVFVFSWVNLSKTRKDVWSAISHDYVSDILIIYCFIAVWFVGGLTAFHFYLICTNQTTYENFRYQYDKKGNPYNKGSLTNIGETLCSSIPASKNHFRSFVQQDENMTVGSLTPNIADGILTPKEKIDVEMGSMRADNGGFPIPELLRNFDFDDYEDDMKFGDDEEGRASFDPFYSVDDNIKDSARTSVATVLNFHSITVEDASEESDHSCHADSEVRGSSRRPVTVDEINATKETGDRNDSC >CAK8575512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:147854514:147855359:-1 gene:gene-LATHSAT_LOCUS27769 transcript:rna-LATHSAT_LOCUS27769 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFWECLLKLFNFVLSLTGLAMVGYGIYLVVQFSKAFDDDTPAISPVSDDSALIQLGRPMLMAVSLSNNIFDRLPKAWFIYLFIGVGVVLFVVSCFGCIGVMTGNGCCLICYSILVALLILVELGCAAFLFFDKSWKEVIPTDKSGDFDMIYGFLRKNWSIVRWVALGIVIFEALLFLLALTVRVVNKPADYDSDDELINPRQQARQPLLNRQAGPAAGVPVTGAIDQRPNRNDAWSSRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEEKSRCAIM >CAK8537136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:105039788:105043608:1 gene:gene-LATHSAT_LOCUS6449 transcript:rna-LATHSAT_LOCUS6449 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDNKKEYSSDDDEASPRAVLDTPESDNSRSSSFSFDSLEKSPSPPPIEKSDGGKESQVQQWKTMVDVFRFKSVRKLTAIPLFTMATNEITRKGFGKKLARIRSAEEDIDIGAIPTKPSWRNFDYAELAAATNDFNSENLVGKGGHAEVYKGQLSDGQVVAVKRLMKNDKDFADRAGDFLTELGIIAHINHPNATHLVGFGIEKGLYFVLQLAPYGSLSSLLFGSECLEWKTRYKVAIGVADGLDYLHKDCPRRIIHRDIKASNILLNDNYEAEISDFGLAKWLPNNWAHHVVFPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLELITGRRAVDSDSRQSLVIWAKPLLDSNNVKELTDPRLEENYDPIEMKRSMATASLCVHHSTSKRPFMKQAARLLKGLETILDSKQNTGSSKSLMLEACDLEDYTCSNYLKDLNRHRELIME >CAK8535770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880530953:880532650:1 gene:gene-LATHSAT_LOCUS5195 transcript:rna-LATHSAT_LOCUS5195 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFPPEQTISLSQNTEATPYLSLQLQRVEQNQVTLKELNSVIRSQNYTNVYLVCLGEQIISMEKDLLSIKDLLEKQIERQDIIIDHINKPKDQISTSTISDVPIVQPPVSIEGFKMETNGEEFVRILEQKLKGLNITVMSREDYSEDNNDNHIDQLADMFANLDISNLDINTNSINNTNSINPVYSPRPIEKYYYKRPSPQDLLFEESEPFQNSYSGKAIYEWNVDGLNDKQIIDTIHRMIMYSTICKQHGNSDASIASFITTGFVGQLRGWWDHYLTESQKLEIINHKKIVKSEPRTSTSTIVATTTTGEEDAVYTLCLSILQHFVGTNVPIGEKIQTHLQNLRCPSLTHFRWYKDTFLSRVYQLNNPNYFHWKAKFIDGLPHFFSEKVRQSLRQKNDGININYSDLTYGHIISTCVNEGLTLCKDIKLRNQLKKQKLSEKHQIGEFCEQFAFDLGKSPDNKKKKGKIFRNKPYRDKPKNSYKNSYKNKKRGHYNRGRPKEKSFDPKGKRKAKRLDITCHKCGKPGHYANQCWTKKALNEIEDEQLRSQLEKVLLLNSDSED >CAK8540623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12614228:12632693:1 gene:gene-LATHSAT_LOCUS9609 transcript:rna-LATHSAT_LOCUS9609 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIFVVVVCLFVVGFGFGNGYGEKEEIGIFELKKGEVSLKVTNWGASIVSLVLPDNKGKFDDVILGYDSIKEYTNDSTYFGATVGRVANRIGGAQFNLNGKHYKLVANEGNNTLHGGSKGFSDVIWKVKRYQREGPNPSVTFTYHSFDGEQGFPGDLIATVSYILSGKNQLVIIMKAKALNKPTPVNLANHAYWNIGGQNSGNILNEVIQIFGSKITLVDTKLIPTGKFAYVKGTAYDFLKPQIIGSRINQLAETKGYDINYVLDGEKGKKIKLAAKVHDKKSGRVLTLYTNAPGLQFYTGNYIKDVKGKNGYVYKAHAGLCLESQAFPDSVNHPDFPSTIVTKEKTYKHYMLFKFSTKSH >CAK8571202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:336226344:336226986:1 gene:gene-LATHSAT_LOCUS23887 transcript:rna-LATHSAT_LOCUS23887 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKGRVIPPKQKRKMVLPRKVTQAAKNAHNARHLELEQHDVEQMDVEQQDERQVEVEQHDSGQVDAEIQDNENPKDPPITMVATPDFRPHEAIETSLHVRDPSSSAQGSRHMSDPNTQSGSLHVQTSDDLVQESRHSCHEIHKTTDGRPYIFPYGRGWNPCRVASRALTKVIESQFRKAWIS >CAK8567945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558503400:558503801:1 gene:gene-LATHSAT_LOCUS20952 transcript:rna-LATHSAT_LOCUS20952 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASPRVREFDRHNYNFNIDETKDHNEGQPMGHYRVEIHKNWCDCGKFQTFRMPCSHVIAACSSVRQDPFLKLSEVYKVVKLFDIYNNSFPVVASEDYWPTYHGDTIYHNENTRRNKKGRPKST >CAK8561301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:142395224:142398008:-1 gene:gene-LATHSAT_LOCUS14908 transcript:rna-LATHSAT_LOCUS14908 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRACLFNILSHRNRNPFVSLFSISSPLSLPPNHSFTISASLSTSHSHTTRFKPMCLYHTQGKCTKMDDLIHLDKFNHDCSNELQVNIAELNKIRSQNLDFFLVLDLEGKVEILEFPVLMVSAKTLQVEDIFHRFVRPSSMTEQRINEYIEGKYGKIGVDRVWHDTAIPFKEVIEEFEAWLLQTKLWIGGELNRAAFVTCGNWDLKTKVPQQCEVSRIKLPSYFMEWVNLKDIYLNFYNRRAPGMVTMMKQLQIPLVGSHHLGIDDTKNITRILQHMLVDGALIQITARRNPRSPRDVDFLFKNRI >CAK8579527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705682297:705684062:-1 gene:gene-LATHSAT_LOCUS31467 transcript:rna-LATHSAT_LOCUS31467 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEQGYGGGEVPLMEATERNIGLNLKATELRLGLPGSESPERENGGLSMLKCLVSGAKRGFSDAIDGGSGKWVVSGNGGSEVGLCKDGNLFSPKGKNGVAVGVECNNQQNLFSGTVVKETVPHSPKTLHENNKPQISPPSAKAQVVGWPPIRSFRKNSMVSPPQKNDADAEAKSDCLYVKVSMEGAPYLRKVDLNGFSSYRELSSALEKMFSCFTISQCGSYGVSSQEKTLSESRLVDLLHGSEYVLTYEDKDSDWMLVGDVPWEMFTESCKRLRIMKSSEAIGLAPRAMEKCKSRN >CAK8564705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7416882:7417787:-1 gene:gene-LATHSAT_LOCUS17980 transcript:rna-LATHSAT_LOCUS17980 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTQLSCNLKLVLISFIFIFFFIMLFKSSLFSFSQPLTTTTSKTSNNLSIIPSQQETEKPTKLPECPSLPLSPTCTKNPPSLVNAIIHYATTNITPQQTIQEISVSSKILQKKSPCNFLVFGLGHDSLMWTSLNYGGRTVFLEEDKSWIEQIQTKISGLESYHVVYDTKVHQSDELMRIGMEDNCKEVSDPRISKCELSHKGFPSEIYEVEWDVIMVDAPTGYFDGAPGRMSAIYTAGLIARNKENGETDVFVHDVDRKVEDEFSKAFLCEGYLREQEGRIRHFNIPSHRARLGRPFCP >CAK8534553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741584853:741585857:1 gene:gene-LATHSAT_LOCUS4093 transcript:rna-LATHSAT_LOCUS4093 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVNCCQIQDWYPKFKSVSIKTLIHQLPEPFVKYLLDESGPFLLPASVLNEDALPNRIHNPNDEEDFQVLEGSDDEAEESPPPCFPELELKVKESIESLGGAVFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLFRASDSLVHDLCHAYDSCLDKRTSRPQSFFLALRKWYPSLKPDMEFRCFVQNQKLVGISQREVTTFYPVLLEKKNDIMLLIQAFFNNHVRGKFELENYTFDVYVTNDVRVKIVDFNPWGGSTLSLLFTWDELELVHGEEYDVEFRIVEDRCGVRPGLKTAVPYDYLDTSQGSGWDQFLRNADQELRNQHRSPEAGA >CAK8576146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416117102:416117392:-1 gene:gene-LATHSAT_LOCUS28357 transcript:rna-LATHSAT_LOCUS28357 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFELYTEGFFVPAPSTYTSVEAPKGEFGVFLVSNGSNRPYHRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >CAK8568285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590001594:590016177:1 gene:gene-LATHSAT_LOCUS21258 transcript:rna-LATHSAT_LOCUS21258 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSNIKTPLHFHCFKTPFSFGSSLPLIPSLSYHSNNPKLFHSSISSLKATAAASDSAADNLLEKHVLSQGKEIVEEHCYDEQQQQHNNSEEQEQDKRVRWKDPILQDTVSLVGFVKMILHSGRYENGEKLSPEHEKMVLEKLLPYHPHYQKKIGTGVDYITIGHHPDFDSTRCLFIVRKDGERVDFSYWKCIKGLVRRNAEKTSRHMFEE >CAK8544602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695095451:695096588:1 gene:gene-LATHSAT_LOCUS13262 transcript:rna-LATHSAT_LOCUS13262 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHIAEKGKQGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTEAPASISSYTGFHIAGDIVALIDLLGIEQVFLVGHDMGAIIGWYLCMFRPERIKAYVCLSVPFIRRNPKVRTVDAMRAVYGDDYYICRFQEPGKMEAEMAEVGTAYVLKNILTTRKTGPPILPKGEYGTGFNPDTPDTLPSWLTEDDLAYYVSKFEKTGFTGGLNYYRNFNLNWELAAPWSGVQIKVPVKFITGELDMIYTSFNTKEYIHGGGFKEDVPNLEEVIVQKGVAHFNNEEAAEEISNHIYEFIKRF >CAK8569556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4962883:4965699:-1 gene:gene-LATHSAT_LOCUS22396 transcript:rna-LATHSAT_LOCUS22396 gene_biotype:protein_coding transcript_biotype:protein_coding MITLRLQLSLFIFLLQFASFSNASSSSHSNLTHIFQDILKAISAKQNWDFNDVTIFNFDVAKIRFGTSQNYEFRIGSGKNNFTVKFSDQVASWSNKFATPKPDLDSLVNQLSSTVFLDDVKLEGPFELRVDELHHLSLSLPMNVSHIGLKHIIVGEGITVEVRRAREISFYYWPDLDLHMNGSVVYNKGKTEFWSFLQSTCVPLIPLRVIGSASLIAYGTRNPNAHIETTLISEDTVELLPEKCYHGSVFRKRPCPVASSSLRLSLLERILRSLLGHKILQHRLSGLIKANIKASAAVKFPLELERGIGNNDTLSTLPDWRTRPSVERVWFEILARVEENKLKPLSIKKVKPFIESNSVSWANLMSNMSYTKLRPVLLPPEALTLDVKW >CAK8539844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528756736:528758196:1 gene:gene-LATHSAT_LOCUS8904 transcript:rna-LATHSAT_LOCUS8904 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKTHAVLLASPGMGHLFPTVELGKRLTTHHGFDVTIFVVIATTDSSDATKSLLQQTSNINGFNIIVTPPVDVSDKIDPSDSPLSARITLTMIESLPFIRSKILSMKFPPSVLIVDIFGTMALPMARDLHMSTYVFITSNAWFSAVTMYLPFITNEVFSMHVNDHKPLLIPGCEPVRFEDTLFHSPSGPTHDGFVSVAKEILSADGILMNTWQDLEPGATKAVIDNEILGRFAKGPVYPVGPIVRTVEPEEKSESENENLILSWLDRQPAESVIFLSFGSGGTLSEVQTRELAYGLELSQQRFVWVVRRPSEDDASAAFFEAGNGGGGSAVLDYLPEGFLSRTKEVGLCTPTWAPQTKILKHPATGGFVTHCGWNSVLESILRGVPMVAWPLYAEQKMNATLLSDELGVAVRATATEGGVILREHIADVIRRVMVDEEGIAMRVKVKEYKVSGEKALSMIGSSHEWLCQMAKECQVHETLARNA >CAK8543950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650916184:650917695:1 gene:gene-LATHSAT_LOCUS12670 transcript:rna-LATHSAT_LOCUS12670 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDLKLHFVLFPMMAPGHMIPMIDIAKILAQHHNNVIVTIVTTPQNASRFSSIFQRFLQSGLQIHLIQLRFPSKESGLPEGCENLDMLTSLGAASDFFNSTKFLQQEAEKIFEELTPRPSCIISDMCLPYTIHVARKFNVPRISFSGGSCLFLLCMHTLFLNNMIETMANNESEYFVVPGIPDEIEMTGEQTGLIVSKGEDLKEFQYDVVEAEMGTYGIIVNSFEEMEPAYARGYKKVKNDKVWCIGPVSLSNTDSLDKVQRGDSNTNVSVDEWIHLKWLDSQKQESVIYACLGSLCNLTLPQMIELGLALEATKRPFLWVIRERNYLDELEKWMEESGFEGRINGRGLIIKGWAPQLLILSHPSVGGFLTHCGWNSTIEAICAGVPMVTLPLFADQFFNEILIVQILEVGVKIGVKSPVKWGEEEETSVLVKKEDIERGIERLMDERNESEERRKRIREYGEMAKKAVEKGGSSHSNVNLFIQDVMEKSRDMVAKGTNTE >CAK8567398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512062654:512067043:-1 gene:gene-LATHSAT_LOCUS20458 transcript:rna-LATHSAT_LOCUS20458 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLTERFGLKPQGKSAPMASSKRSAPTTAADPPSRSFGTGLPQNGSGSPQYSSFEFDYGVFGSSGVKTQRSGGFDNGIDEIFGGNGTTKSNVGEGVTLDDDPIFGGLSQSVSTSSSSPVYVDDIFGGVNEKSVGVDDLLGKIGGLHATSNKSSVNKLSDFDDLMAGFGGSSVSNSRKASTETKIPRKPTATTHDDPFLVFETLSTTSSESFLDSLEQMSKNSSKATSGSSSPSPLLRPPPSGNASNSINNSSISSIDELENFAMGRVQSNASRRANANTGEIKQNSAAKTNKGKESPATKVNQSNGADDLESFFSMGSRSNSVPKSRTTTMDHMFDRQANNKEKHDGSQRVPSRSPANAKKSSPTTSFDDLSLIFGASPSTQFEEMEGETEERRKARLGRHQRTQERALKAVADMNQRDLQSKMEQEERRRIANTVDVQIKRWAAGKEGNMRALLSSLQNVLSADYGWQPVSLTDMITSTSVKKVYRKATLCIHPDKVQQKGASLEQKYTAEKVFDILKEAWTKFNAEELC >CAK8534154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699709862:699710209:1 gene:gene-LATHSAT_LOCUS3721 transcript:rna-LATHSAT_LOCUS3721 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKRKKKKRVTKEDEEKEENSGVPTEEEVEEFYTILKRMKAVVKYFDDKGKCGRDWRETLEKPAELAVAHGGENISSVKAVIKKEKVDGEVLTKNHGFDLNAVAPEAAESGGA >CAK8570148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25806874:25808203:-1 gene:gene-LATHSAT_LOCUS22926 transcript:rna-LATHSAT_LOCUS22926 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHFSIFIALSLALVVATHAALPPELYWKSKLPTTQMPKAITDLLNPTDLTEEKSTSVAVGKGGVNVDARKPKPGGTAVNVGKGGVHVNTGKGKPSGGTAVNVGKGGVNVNTGKGRGKPVHVSVGNRSPFQYNYAATETQLHDEPNVALFFLENNLHYGTKLNLQFTKTTSNSEAKFLTKEVADSIPFSSNKVDSILNKFSIKKGSDEAEIVKNTINECEENGIQGEEKLCVKSLESMVDFTTSKLGNNVEAVSTEVKKESSELEEYVIAKGVKRLGEKNKAVVCHKENYPYAVFYCHKTDTTKVYSVPLEGVDGNRVKAVAVCHTDTSQWNPKHLAFQVLNVQPGTVPVCHFLPQDHVVWVSK >CAK8561702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:360067614:360069285:1 gene:gene-LATHSAT_LOCUS15267 transcript:rna-LATHSAT_LOCUS15267 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTNAIEVENDHDAGAPSIVLHSKSKKVVKSRESSYSSDEFEGPIGEKPSRYEVWGWYLYEFCSYFVQTVLIPVLFPLIISQLQILPTDPVQEWQNDHHGMLCSDKEIHLYSTLTKRTITVNGSHFSSLEWTSIAWASGLTLAIPILSFISFHLNSQYQTLITAVSTGIGVFFCLPAGFFKTTLIFIPYIAFIVLASTVSSSSHTHHLALMLSSYSKKSKTQRVSSFFSLYATSFGCVGSAVISSFIYHMLREPDDRNLFTLWIVSIFSGLIWLVGILHVVTAINRTSVSIVSFNKSLFYPCSILQHPKAIGGLFGVFVSSFTTMSIFTGAVLFIVGNLCIKPLHLLYFWLIYFLFPLVSLPLLHPLQQLIKVSSVKMKIIGFLLSMLSSGFGFYFWNSHWKWGHILIFGGIQGIGSGVLHAFGRVLVLECAPKGKEGVFSVWYGWIRAAGLCLGFTVASVVPGQIKTSFGAAFVAALVGIVVLLFGNVGDDSESGSHGNDNLGLDSKESVSV >CAK8579048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670075857:670079052:-1 gene:gene-LATHSAT_LOCUS31022 transcript:rna-LATHSAT_LOCUS31022 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEESSSTIDLPPHSSSFAESSFRELDDAFLQTQTRIWLGEVLQIRLDDQLVTSELLADGELLFQVSKVIWKLLLEKHMELRHIKAYKNHPFSSKRNSGIYRPYSNVDSFLKICKILGLTGIDLFTPSDVVERKNTRKVCMCVRSFSKKSRSLSINVPDFDIVTCMVAMPKDMVGCIRRSIELSHVDSSGQHLQKPARRKSSQGYSVTSSNRDYMTYSDPANDTEIMHRFPELHDDDFCEYKSEISYNIPSLMGESGFVSEDLDQLDTQNQPRNEISNVEFELLSSLESLEYHCSDNIEQEYDCSLIWMSSSSCGNQNVDVIGMKSHFDTRVEQVQESRIIDYDDFEHALFRSKASVTGTPINDRTSVMDATLFAKNKKDTHVIGEINSTPNVHQSVSSYGLNTTPETVEIGRCFDISDNMEVLHVAGVNCLAREPLNLGDLFDAENNDQKIESFGLYNDNNDHWDKIKEYDAQDLMNCKELSYSVNKFEEIEHSLYSPDCHSCITKTDISSTLLKKYLADEVMESQVDSRCSDNDSCDYLPEFCKWDQKGKIATASNRMKDSLSSSHFLEDISHKETAAPNTQKDSEVLMSKIMLSCVPSNEDIVSAAAIKLESDGKLNNGPTQDDETSNSCGIDVTSAQDIGDGGQRIQDMITPTNCEVDVSHIGHGTTDQSSKHECNEAHQASQYDTDHVYPPENSLVRIKEEAKPDDESVHSLEYIVETEEGRIEIPKSKSQKKLLLRSVLGGATAVGLLFMFLNLRKNGGEKDAQPNKTSSHKNKEKIHKISTKKVNRMSTIKEVYPAEKLELK >CAK8532115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:207737418:207740177:-1 gene:gene-LATHSAT_LOCUS1851 transcript:rna-LATHSAT_LOCUS1851 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYHSWMYDRTLPGRRGLTPNFEEGVKGFITWTFAQEYCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTHASSIRSHMEFDEKFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEVQRFYQLLKEMNTLLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDTKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCSSLRYQVRSKVINRKQRHVAVKSVFYLPIIPRLKRLFSSMHSASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSNFAGEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNFPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLQAALMWTINDFPAYGMLSGWGMHGKMGCPHCMEFTKAFTLEFGGKSLWFDSHRRFLPRYHITVKHVELKDMGSNTIGQKENFFDNIFNTMMDVQGKTKDNEKARRDMEILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPDGYDPFIMSHIVKQVYYVPYPSIQSRKRGWCVVIKTKPLGHIETDDLVEDAAYQDHEISQINDVVEVEEITNLCDTLVEGHQIDASVLLVDNYMDKEHEDIGSEDIIGSDDENNMVEEHEEFEYIVLYVSGQFLLMYYLFYMNANVLFTYYLFTKSMNSLDLFTYYLFIDNYFKIDTMPPRTDKGKAKETQPRSRARVHEVPPSPMYASRPHSQVDLMSVDTSQPFTTLLSSSQTVPFGNPSFISPFGGILHASAWGTIYVYATVWGI >CAK8532116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:207738603:207740177:-1 gene:gene-LATHSAT_LOCUS1851 transcript:rna-LATHSAT_LOCUS1851-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYHSWMYDRTLPGRRGLTPNFEEGVKGFITWTFAQEYCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTHASSIRSHMEFDEKFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEVQRFYQLLKEMNTLLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDTKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCSSLRYQVRSKVINRKQRHVAVKSVFYLPIIPRLKRLFSSMHSASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSNFAGEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNFPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLQAALMWTINDFPAYGMLSGWGMHGKMGCPHCMEFTKAFTLEFGGKSLWFDSHRRFLPRYHVFRRNKTDFKKDVRVKDLPPPRLSPEEIWN >CAK8541851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414998815:414999630:1 gene:gene-LATHSAT_LOCUS10743 transcript:rna-LATHSAT_LOCUS10743 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQKMFLQDDSLFNYALLTLFLIAPPTFVSLAFLQAPYGKHHRPGWGPNLSPPLAWFLMESPTLWFTLYLFPFGKNSSNPKSIILIIPFLIHYFNRTIIYPVRLFITMKTKKNSTLSKTPSGFPFSIALLAFVFNLLNSYVQARWVSHYKSYDDELFFWVVFFCGVLVFFLGMGINVLSDKELLSLKGEGKGYVVPKGGFFEVVSCPNYFGEIVEWFGWALMTWSWAGLGFFVYTFANLGPRARANHQWYLEKFGEDYPKKRKAVIPYLY >CAK8538085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461927996:461934965:-1 gene:gene-LATHSAT_LOCUS7321 transcript:rna-LATHSAT_LOCUS7321 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATNLDNLKSSVAGLEQISENEKNGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDTLAPTPAGSSEIKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNSKYGSNVPLLLMNSFNTHDDTQKIVEKYQNSNIDIHTFNQSQYPRLVADDFLPLPSKGNTGKDGWYPPGHGDVFPSLSNSGKLDALLSQGKEYVFVANSDNLGAIVDLKILNHLIEHKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVADENVGEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLEDGSVIRNPARANPENPAIELGPEFKKVSNFLSRFKSIPSIVELDSVKVAGDVWFGAGVILKGKVSIVAKSGVKLEIPDGAVIENKEINGPEDL >CAK8570146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25761823:25763031:-1 gene:gene-LATHSAT_LOCUS22924 transcript:rna-LATHSAT_LOCUS22924 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCFFHIITFLMLVILATNAETLPPQLYWKSMLPNTPMPKAITNLLHPSGYWSEEKATWVDVGKGGVDVGVRKGYNEGTGSGSDVNVGVGHSDSPFDYLYAASETQLHDKPNVALFFLENDLHHGTKLNLQFIETNLNNEAKFLPKQVANSIPFSSNKVEYILNKLNIKKGTKGAQIVKNTISECEEHGIKGEEKSCVTSLESMVDFTATKLGKNVEAVSTEVKKESGELEEYVIAKGVKRLGEKNKAVVCHKENYPYAVFYCHKTDTTKVYSVPLEGVDGNRVKVVAACHTDTSQWNPKHLAFQVLKVQPGTVSICHFLPQDHVVWVSK >CAK8577518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570722122:570723042:-1 gene:gene-LATHSAT_LOCUS29619 transcript:rna-LATHSAT_LOCUS29619 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSTEIIREFPRLFRLYKDGRVERFLGTETTPCGTDDLTAVQSKDITINTDTGIGARLYLPPNATPSQKLPLLIYIHGGAFCICTPFNPTYHHHLNSVAAQANVVVLSVHYRLAPEHPVPVCYDDTWEAIQWVSQSSEPWLKDHADLDIVFLAGDSAGANIAHNMATRGSNEGFPGLKLRGMVLVHPYFGNDEKDELIEFLYPSYGGFDDPTIHPAKDPKLSSLGCGKLLVFVGEKDFLIERGRSYYEAVKKSGWSGSVEILETEGEGHVFHLFEPRKEKSLALVKQFASFMTQVEKEVRSSSL >CAK8536918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39803004:39804042:-1 gene:gene-LATHSAT_LOCUS6243 transcript:rna-LATHSAT_LOCUS6243 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIDELWKRFKSLDVIGKGALKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHDVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEFDPQPPHKWLTLPDMGYMIVNRYNVVLVCLGIECWTFFPMVTSFSPNVAIYCIGFVNKNNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHCQQLTPILPTHYKL >CAK8537338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:279094722:279102508:-1 gene:gene-LATHSAT_LOCUS6635 transcript:rna-LATHSAT_LOCUS6635 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHRIVLVAIVSFMFSLPNTTGWGDDGHAIVCKIAQGRLSNEAAKAVKKLLPKSAKNDLASQCSWADRLRFIFPWSSPLHFADTPDNVCSYNNKRDCLDHKTGTKGRCVVAAISNYTDQLLDFATQTESQYNLTQALLFLSHFMGDIHQPLHCGFVSDKGGNTINVHWYKRKQNLHHVWDVSIIETQVERFFDSELSQFVNAIQQNITTEWADQIEDWENCGSKDIPCPITYASESSKNACKWAYEDAAEGSTLDDDYFLSRLPIVNLRLAQGSVRLAATLNRIFDTQSAVSM >CAK8533033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:568115278:568115673:-1 gene:gene-LATHSAT_LOCUS2685 transcript:rna-LATHSAT_LOCUS2685 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYRVELHKNWCDCEKFQTFCLPCSHVIAACSSARHNPFLQLSEVYKVVNSFGIYSNSFPVVASEEYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKIERLCGICRLPGHTRTNCPNVGTSSR >CAK8569129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674339064:674339501:1 gene:gene-LATHSAT_LOCUS22013 transcript:rna-LATHSAT_LOCUS22013 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLLYGIWFRLSLLLPLPIPCKGRSNEYGVGVWDQVTGKQVDFFYEPLRWSFGDADKLQWLEGSNCLLVATMFPRKDNCYISLLDFRAKKMVWCWSEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPTPPIL >CAK8534735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762332322:762340930:1 gene:gene-LATHSAT_LOCUS4254 transcript:rna-LATHSAT_LOCUS4254 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLGDASQQGAHQVGSQRYSEEKPEDGSRWYFSRKEIEENSPSQGDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIINKKDPTAVQRIKQKEVYEQQKELILLAERVVLATLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRMPPSNDAEGTPGGAASNRSSVKAPTSNDETAAANSNSQTGGTSFRPETSKPSSSKTMFDSSGANQVGRPVSNPGRSSDYGAVEMKHRVEDDVKVNQHPEQEPLPYKENIQQIQDAVRSRSDNGERELENNFHRTETKEHGELRDKHNSRNSDHRDSILNRPPQEAIKKIDRDKVKAALEKRRKAAGHITKKTDIMDDDDLIEKELEDGIELAPQSEKSKQDRRQNWSKLSADRSDYENTHVRHQDHADEQPHGVKSLPSREPDPTAVEEGEVSAFEDVSIGISSPKSSHRKRKAGSSPDRVAEGKQRHNYGPGSHHNNRSDYVDERSKVSRLSHTERDSKRHAQENHV >CAK8532576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:301345632:301348203:-1 gene:gene-LATHSAT_LOCUS2268 transcript:rna-LATHSAT_LOCUS2268 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPRGRGGSGGGFRGGRGGRDGGGGRGFGGGRGSDFKPRGGGRGRGSGRGRGRGGRDSGRGGMKGGSKVVVQPHRHDGIFIAKGKQDALVTRNLVPGEAVYNEKRVSVQNEDGTKVEYRIWNPFRSKLAAAVLGGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFTSEVNKLKADQFKPSEQVTLEPFERDHACVVGGYRIPKKKKNAE >CAK8571999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500904840:500906209:-1 gene:gene-LATHSAT_LOCUS24608 transcript:rna-LATHSAT_LOCUS24608 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPKLSLFLLLFFFFLLFTPHFSDSSSSSDYTTLVYKGCSKNTFTDPNGAYSQSLSALFGSLVSQSTKTKFFKTTTGNGQNSITGIFQCRGDLTNSDCYNCVSKLPVLSDKLCGKTIAARVQLLGCYMLYEVAGFPQISGMDILFKTCGTTNAAGRGFEERRDTAFSVMENGVISGHGFYATSYQSMYVMGQCEGDVGDSDCGECVKSAVQRAQVECGSSISGQVYLHKCFISFSYYPNGVPRQHSSYGGSSSSSASSSFTGQNTGKTVAIILGGIAGVAFLVICLLFARNLVKKRDDY >CAK8577140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540126756:540128247:1 gene:gene-LATHSAT_LOCUS29271 transcript:rna-LATHSAT_LOCUS29271 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEKGSGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >CAK8561069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:85214846:85216304:1 gene:gene-LATHSAT_LOCUS14692 transcript:rna-LATHSAT_LOCUS14692 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNNPLFDDTRSNRDVDPPLNEESTDVVEFVNDPVQTANKPTGTVLSSVRELLECPVCLSAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRHELGNIRCLALEKVAASFALPCKYKEFGCIGIYPYYNKPEHESQCSYKPYNCPYAGSECPVVGDISFLVNHLKEDHKVDMHNGSTFNHRYVKSNPQDVENATWMLTVFSCFGHFFCLHFEAFQLGMAHVYIAFLRFMGDDSEAKNYSYSLEVGGNGRKMVWQGVPRSIRESHRKIRDSFDGLIIQRNMALFFSGGDRNELKLRVTGRIWKEQ >CAK8560670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35380724:35382626:1 gene:gene-LATHSAT_LOCUS14328 transcript:rna-LATHSAT_LOCUS14328 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGLFGIISSGIGNLSHLRTLFLQNNQLSGPIPVEIGNLSELQTLDLSGNLLTGNIPSSLGSLTHLNFRRLSKNKLSGQIPQPVANLTRLSFLDLSFNNLSGPTPKILAKGYSISGNNFLYTSSSQLCMRGSKPVNDTRSSQTVNNHRHTLISVIIGISCTFIISVMLLVYWLHWYKSRILYSSYVEQDCEFGSGHLKRFSFRELQVATGNFTLKNIVGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMIPDERLLVYPLMPNGSVADRLRESFRGKPCLDWNRRTRIAVGAARGLLYLHEQCNPKIIHRDVKAVNILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSYEKTDVFGFGILLLELITE >CAK8571134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:309841600:309843952:1 gene:gene-LATHSAT_LOCUS23825 transcript:rna-LATHSAT_LOCUS23825 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEESLRSLSLDYLNLLINGQAFSDVTFQVEGRLVHAHRCILAARCLFFRKFFCGPDPPSGLDPTGGGSSRQTTVRPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPHKHESRPNCGESGCWHTHCSSAVDLALDTLAAARNFGVEQLALLTQKQLASMVEKASIDDVMKVLIASRKQETNQLWTTCSHLIAKSGLAPEILAKHLPIDVVAKIEELRHKSSFARRSLMPLHHHHHHHHHDLGDLEDQKIRRMRRALNSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALIELGAADVNYPAGPAGKTPLHMAAEMVSPEMVAVLLDHHADPTLRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREENNANNNNNNASSSSAQIYPPMSEDHSSRSSGNNNNNNNVGNLNLDSRLVYLNLGATQMGGVGGGDDDSGHNSQRETMNHHRSQTGHGCDPTMYHHSHDF >CAK8571734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465972060:465975704:-1 gene:gene-LATHSAT_LOCUS24368 transcript:rna-LATHSAT_LOCUS24368 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSGDQLGATDETYHSSQSLVTNDFFSASGHSFLHGKLDLKPDTGNIEEAELSLRESGVLNYEEARALLGRYEYQKGNLVAALHVFEGIDIAAVTPKIKIALSRNGERRKRHSHNHGEPEMSIHSVGLLFEALFLKTKSLQVLERFKEAAQSCKVIMDIVESSLPEGLPDNFGAECKLQETVSKAVELLPELWKLADCPREATLSYRRALLNIWNLDAGTTAKIQKEFVVFLLYSGGEVMPPNLRSQMDGSFVPGNNIEEAILLLMILLRKVSLNRIEWDPSILDHLSFALSISGGLSSLANHLEELLPGTIHRSERFHDLALCYYGADKDSTALDLLRKLLNGRESPKHVPGLLMASKICCENPTLADEGVSFAQRAVESLDGKCTQLENVAKLYLGVSLSAHSKLSVSDSEKFKTQSEALQALETAGRMTRMIDPLVLYHLSLEYAEQRKLDDALYYAKYVVKLEGGSNVKGWLLLARILSAQKKFLDAESIINAALDQTGKWDQGDLLRTKAKIQIAQNQLKNAIETYTQLLAVLQIQRKSFGSWKKLSKDGRDRAKNLELEIWHDLAHVYISLSQWHDAEACLSKSKAIKPYSASRCHALGIMYEAKGLYKEALKAFADALNIDPGHVLSLISTAKILRHCSNKSNPAVRSFLTDALRHDRLNASAWYNLGLFHKAEGTVSSLVEAAECFQAAQSLEESTPLEPFR >CAK8572812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564863814:564864074:-1 gene:gene-LATHSAT_LOCUS25334 transcript:rna-LATHSAT_LOCUS25334 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSFYSHLLLMIYATDLLTLTISPPTVHAGGFNLGMEWVRQTKTTYQGTITDCMLQQGEEEFQFDNKINRRILATTKYISYGVL >CAK8539797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525598733:525604187:-1 gene:gene-LATHSAT_LOCUS8859 transcript:rna-LATHSAT_LOCUS8859 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPEYSFAVEYDGPPLGYDLPRAVPITVDDIPVAAVVSQVPLSETLSLPVVQPLFPPHHNTVKELRALSSESRVSKELELALERTVSPTSVIAFDHRASQSNVCELSGELSSSGPFDFSNGNGVSGEFSDVGDSSRLREESSSSELPSGVSRSTRTRSSSTLEFSALRVSNDDEKGSFDFNELNQQDWGSAESVLSLEYPSTRVSSLKAEDCDPKRVPAVTFDVDTDDDDGALHDEFDVEETVTRPVKREPLTKGKKGSCYRCFKGNRFTDKEVCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFSIDESNRANLGKCSRMLKRLLSQLEVRQIMKAEKFCEVNQLPPEYICVNGKPLSYEELVTLQNCPNPPKKLKPGNYWYDKVSGFWGKEGQKPSNIISAHLNVGSPIQPDASNGNTQVFVNGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTRGYIWGKAGTKLVCAFLSLPVPSKSSNSLGEQHSNMAGRSNPDYLEHGIVQKLLLVGCSGSGTSTIFKQAKILYKSIPFSEDEHENILLTIQTNVYTYLGILLEGRERFEDEILADSKKRQSSVLDTTGTSSNPVDKTVYSIGPRLKAFSDWLLKTMASGKLDAIFPAATREYAPLIEELWNDPAIKATYKRKSELEMLPSVASYFLERAVKILRTDYEPSDLDILYAEGVTSSNGLACVEFSFPQSAPEETGDTTDQYDSLARYQLIRVHSRGLGENCKWLEMFEDVEMVIFCVSLSDYDQFSIDGNGYLTNKMILSMKFFETIVTHPTFEQMEFMLILNKFDLFEEKVEQVPLTKCDWFSDFHPITSRNRTNSNSNSINSNPSLGQLASHYIAVKFKRLYSSLTGRKLYVSVVKGLEPDSVDASLKYAKEILKWSEEKQNFNSSEYSMYSTEASSLSH >CAK8540516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9696015:9696715:-1 gene:gene-LATHSAT_LOCUS9516 transcript:rna-LATHSAT_LOCUS9516 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGLFLVLTSLLLSYPFVLIAQNPSNTTTISVVGVVYCDTCSTGTFSKHSYFLSGVEVHIECRFRASSSRSNEQISFSVNRTTDRNGSYKLDIPSVDGDKCKSGMDDGNSEIVSLCEASLIGTSSSSYSSCNVPFLKSTRSSEISKEDNNLCVYSLGSLSYKPPIINTPLCSN >CAK8573317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602864232:602865341:-1 gene:gene-LATHSAT_LOCUS25779 transcript:rna-LATHSAT_LOCUS25779-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAAAVNHRIPSPVLPEELINEILLRLPVKHLLQFKCVCKSWKTLISDPQFAKNHLRIPSLTNQKLVFFVAKEPNKMVSYPLKQLLENSSIPVKPDSVRYMKIHKYCIIGSCNGLLCLYYKCQRRVRLYNPSIRFQSKKSPQAVSHDWRIKQFGFGYDHVNDKYKLLVIAQNRDDLSQSLTKIYTFGENLWKTIPNFSLTPINSLHWLGKFVSGTLNWIVCGFSSNQNSILSFNVEKETHEEMLLPQNDDVNVHTRKPYVLGNYLCVFDIYFTIKHQCDVWLMKEFGVAESWTKQILITSENQTSFVEPFFILDNGGALLLANKLSSQIILYNLNNAGLDYPLITGTTGFKPHTTLHIHRESLVSPQW >CAK8573316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602864232:602865377:-1 gene:gene-LATHSAT_LOCUS25779 transcript:rna-LATHSAT_LOCUS25779 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHNDGVSIQPMFEAAAVNHRIPSPVLPEELINEILLRLPVKHLLQFKCVCKSWKTLISDPQFAKNHLRIPSLTNQKLVFFVAKEPNKMVSYPLKQLLENSSIPVKPDSVRYMKIHKYCIIGSCNGLLCLYYKCQRRVRLYNPSIRFQSKKSPQAVSHDWRIKQFGFGYDHVNDKYKLLVIAQNRDDLSQSLTKIYTFGENLWKTIPNFSLTPINSLHWLGKFVSGTLNWIVCGFSSNQNSILSFNVEKETHEEMLLPQNDDVNVHTRKPYVLGNYLCVFDIYFTIKHQCDVWLMKEFGVAESWTKQILITSENQTSFVEPFFILDNGGALLLANKLSSQIILYNLNNAGLDYPLITGTTGFKPHTTLHIHRESLVSPQW >CAK8536477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945094057:945094326:-1 gene:gene-LATHSAT_LOCUS5843 transcript:rna-LATHSAT_LOCUS5843 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMATTVAVAQGHTDPGHKCKKALGSIHQNRTRLFSDGSLSNLRPLAAVVESDVPVSSEAEKRVRQTDDSLRKVMYMNCWGQG >CAK8536708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9347216:9349151:-1 gene:gene-LATHSAT_LOCUS6042 transcript:rna-LATHSAT_LOCUS6042 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLFVFLILVIASHSLCARITPKADDEWITFDVTNTKYGAIGDGNTDDSEAFIKAWQDVCGSQVTPTLIIPNNKTFFLQPLIFKGPCKATIKVWLGGTIIAPKNMEDWKWVEDKELAWIRFEDISGLTVNGGGQINGQGAPWWKEYPDNESKRPSAIKFIGCEKITISNLTHYDSPRNHMGIASCKDVYISDLKMIAPDDSPNTDGINIASSSNVIIKDSTITTGDDCVAINTDSFFINITGVFCGPGHGISVGSLGKNGEYAKVEDIYVNNCTFTRTSNGARIKTWEGGNGYARKITYEDIEFNEVKNPIIIDQSYNPKIYDDDDGKGVAVTDVIFRNLRGTSTEDPIQLKCKPNISCINIELDNINITRMDNEKSHTSLY >CAK8540075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538467258:538467506:1 gene:gene-LATHSAT_LOCUS9113 transcript:rna-LATHSAT_LOCUS9113 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEESFCWNGMANWIGMNLATAFFSSLERCSCINLSTSDDPDDALLVLPADSHRFTDGSSHPASPPASTPSNATIDAAAV >CAK8543764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637102498:637103826:-1 gene:gene-LATHSAT_LOCUS12502 transcript:rna-LATHSAT_LOCUS12502 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGIKENAKFQKNKNGEHIEPTSGLKHLVDGVKKHHNVKNVYVWHALAGYWGGVKPATTGMEHYDTTLANPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYDELHAYLASCGVDGVKVDVKNIIETLGAGHGGRVSLTRNYHHALEASIAHNFSDNGCIVCICHNTDGFYSAKQTAVVRASDDFYPRDHASHTIHISSIAYNSLFLREFMQPDWDMFHSLHPTTEYHAAARAISGCLIYVSDKTGNHNFDLLKKLVLSDDSVLRAQLPGRPTRDSLFVDLARYRTSLLKI >CAK8543192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586892697:586893508:1 gene:gene-LATHSAT_LOCUS11965 transcript:rna-LATHSAT_LOCUS11965 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNHAILEYRDKPIISLLEGIKHYKTVRISAQKEKLSRYTGVTSPSIQKVLEKIKRVSNGVETYVVNLLQQKYGCRKWDLSGIPCCHAIACIWYNKKEPKDYVSSFYRKSTVLDTYSYIIMSTNGPQLWPVNVANPISPPVMRRSIGRSKKNHNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKGKRAAERAIPKGGNKKSKKRVTSRARKREKLL >CAK8542030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457501211:457502704:1 gene:gene-LATHSAT_LOCUS10904 transcript:rna-LATHSAT_LOCUS10904 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVDPKFLNMMGGFVPMEMVVKGAFELITDESKAGDCLWITNRRGLEYWPTPSEEAKYTIRSNRLRRRTEYKAPSIKLPESFEKIVVHTLTHNFRNATGLVRAPLRLPIKSNFVLVKIIYAGVNVSDVNFSSGRYFGGNNNNIAARLLFNAGFEAVGINAAVGDSVNDLKVGMPCAFMTFGGCVEFTEIPSKYAFCDIFENIL >CAK8543974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652947762:652948484:-1 gene:gene-LATHSAT_LOCUS12692 transcript:rna-LATHSAT_LOCUS12692 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGTSQTQCLPISQESTHQPIPNESGNQLVDAPLPTPNDSMEVDIQTTVSRRSRHISIVWDHFTKVKVNGKDKAKCNYCSKLLNGSSNDGTTHLKGHMESCPKKKILKPSDKVQTFLTPKTMQGKQELSTGIYDAENAKSLHVQLFCMNICFQIVDHIGLRRYPTSLQPLFQVPCRNSIKKEILKVYNLERSSTLKLLENLEGRVAISYDLWTSSNQKDIWLSLLITLMETGIYRVIF >CAK8562819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:541522776:541525313:1 gene:gene-LATHSAT_LOCUS16284 transcript:rna-LATHSAT_LOCUS16284 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGENLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8573482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615564467:615571062:-1 gene:gene-LATHSAT_LOCUS25927 transcript:rna-LATHSAT_LOCUS25927 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLTASGTPNFMKPEDGNDSLDTLIRQAIGKESFLSYPRAGDRPAQWIQLFHALEQQQEIPGWPVFSPAKVQIHKCDKCTKEFCSPINYRRHKRVHHRLKKLDKDSTKNRELLEAYWDKLSVEEAKEVVSFKNVMLEEVPGSSVLQALSTLRTQQGFYSFPHGYLRAGFALLDIVQSRPSSFPISSQKLFDILDDSSEKTFLCGSAVSMQRHVFDGEAGKIGLEPKNLVACTSFLLEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAEILERKRQKKLRQKEQKARGRPENDTEIKESISSTEENVSPEEASLAACDFEADRADVVAEHASPLVTYHHPDTNECVDVDTKSGFHCDTDQNVEQWTSPRDAQPGYDCDTDQNLERQTSYRHNPRRTAAARRQGLPKSQRTIANGLYASQNSQKSKFGVIPKYGTNREQRAAPIVNGGKVWSRKPKPETDAVIMKARLHKEPDKIKNHEVLIGSVSVTLANCSQSEGNFVTSQADSIVENLADQNIAQEKPIKPDSFQGGNNRPRAKLWRPVSLHGTKNPLPLRSVETDVDGKVDQNLSVQNSLRSCNIDGGDISSGNKFSVGDKADIENVQFSSHAAKAFLAKRWKEAISLPHMELVISPDSDLTGLQAVMECQPAPCRSSNKDRCSVLATSGVAKSKSRIKSEKGIKIKYIPKLKAAS >CAK8573481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615564467:615571062:-1 gene:gene-LATHSAT_LOCUS25927 transcript:rna-LATHSAT_LOCUS25927-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLTASGTPNFMKPEDGNDSLDTLIRQAIGKESFLSYPRAGDRPAQWIQLFHALEQQQEIPGWPVFSPAKVQIHKCDKCTKEFCSPINYRRHKRVHHRLKKLDKDSTKNRELLEAYWDKLSVEEAKEVVSFKNVMLEEVPGSSVLQALSTLRTQQGFYSFPHGYLRAGFALLDIVQSRPSSFPISSQKLFDILDDSSEKTFLCGSAVSMQRHVFDGEAGKIGLEPKNLVACTSFLLEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAEILERKRQKKLRQKEQKARGRPENDTEIKESISSTEENVSPEEASLAACDFEADRADVVAEHASPLVTYHHPDTNECVDVDTKSGFHCDTDQNVEQWTSPRDAQPGYDCDTDQNVEQWTSQRDAQPGYDCDTDQNLERQTSYRHNPRRTAAARRQGLPKSQRTIANGLYASQNSQKSKFGVIPKYGTNREQRAAPIVNGGKVWSRKPKPETDAVIMKARLHKEPDKIKNHEVLIGSVSVTLANCSQSEGNFVTSQADSIVENLADQNIAQEKPIKPDSFQGGNNRPRAKLWRPVSLHGTKNPLPLRSVETDVDGKVDQNLSVQNSLRSCNIDGGDISSGNKFSVGDKADIENVQFSSHAAKAFLAKRWKEAISLPHMELVISPDSDLTGLQAVMECQPAPCRSSNKDRCSVLATSGVAKSKSRIKSEKGIKIKYIPKLKAAS >CAK8575082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27247765:27268948:-1 gene:gene-LATHSAT_LOCUS27372 transcript:rna-LATHSAT_LOCUS27372 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAEDLGVEAKEASVREVAKLLPLPELLHSIASIKADYVSRQQANDAQLSTMVAEQVEQSHAGLKSLSFSEKTINQLRENFISIENLCQECQTLIDNHDQIKILSNARNNLNTTLKDVEGMMSISVEAAEARDSLTDDKEIVNTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWENFEKTLWDHVANFYKLSKESPQTLVRALRVVEMQEIHDQQVAEEVAEAEGDGALASATNPHRSAIKPSSATASKNLTPQKLKVQGKGYKDKCYEQIRKTVEGRFTKLLNELVVEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDKANGLTNIEILKVTGWVVEYQDNLIGLGVDESLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKTQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLATIQVMIDFQAAEKKRLQEPASEIGLEPLCAMINNNLRCYDLAMELSNSTIEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQELLVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDRLLTQKNYIKEETIERMRLDEEVIMDFFREHISVSKVENRVSVLSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVIQECKDIYENSLIDGRPPKTGFVFPRVKCLTASKGGLWRKLT >CAK8561296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:140194849:140200264:1 gene:gene-LATHSAT_LOCUS14903 transcript:rna-LATHSAT_LOCUS14903 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNYANELEVAVRIVHVACALCGRVQEKLLTTEVLSKDDDSPVTVADLSVQAITSWLLAKTFGVQNVSIVAEEDVEIFSKDNSTALLEAVVDTVNESLSFVAMYGLQSPETTLGTSEVLEAIGRCSSTGGPSGRHWVLDPVDGTLGFVRGDQYAVALAFIENGKVVLGVLGCPNYPVKTEWLSYHYQYHETTPQSSPTTTDAWGKGCVLYAKRGSGEAWLQSLIPADKTLEWPNCARRIRVSSVDDPALATLCEPVERANSNHSFTAGLAHSVGLRKQPLRVHSMVKYAAIARGDAEIFMKFAKSGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSKGTYLEGLDRGIIACSGVTLHEKLIDAVYASWDSSNL >CAK8574327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675452885:675453844:-1 gene:gene-LATHSAT_LOCUS26682 transcript:rna-LATHSAT_LOCUS26682 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKLSMTLLIDTKTEKVIFAVASKRVVDFLFNMLCLPVEFHKEGYGNEDGETGDDYYNEKEGDDNEDGENGDDYYNEDGENGDDYYNEDGGDGVYYDREEGYDYDDGENSDDYDYEEHANYNEDGSDDCVYFDEEEDEDGEDDDCHGEKDEDSEEEEKEFQGEARLYRCPKKCSKIVTSIKKPNCYWCKKPMEHITDYSELKPKDTGEDNVTFLVTDDLVFQPVTSMNEIVNKYNIKDYREMIVELRINEGIKLRKASLKSKMILTSVFVNKEY >CAK8563159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578707083:578708216:1 gene:gene-LATHSAT_LOCUS16594 transcript:rna-LATHSAT_LOCUS16594 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSSEILDDPNERGKLKNGENHAGDSSFLFHQLDRDTSIHCLLRLSRSDYGSIAALNRDFRSLIKTGELYQRRQKMGIVEHWVYFSCDVSTWEAFDPNCNRLMQLPRMTSNECFRLSDKESLAVGTELLVFGREINCPAIYKYSILTNSWLMGENMNTPRCLFGSASLGEIAILAGGCDKDGNIMSSSELYDSDTGTWEILPDMNIARKMCSAVFIDGNFYVLGGVGVDKTTQLTCGEEFDLEKREWRIIPNMCPPRHEGDGVNGEAPPLIAVVKNVLYAADYAKQEVKRYVKDKNSWVTIGSLPERTTSLNGWGMAFRSCGDKLVVIGGPSIHGGMVTEVNAWIVNDDEGAPHWNSLAIIQSGSFVYNCTVMGC >CAK8571340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:384424150:384430127:1 gene:gene-LATHSAT_LOCUS24008 transcript:rna-LATHSAT_LOCUS24008-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGASDRGSPMPRETAGAPSRPPLKRHLAFVSTKPPFAPLDEYHSFAAVDSRKVADQVTEAVVIRSPVIKRKNGMNESEGESQKWTNSPGYTNVINSPFKTPLSAKDGRTNKSRVSKEGRSCPPTPISNAGSPSPLTPAGSCRYDSSLGLLTKKFINLIKRAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKNLKNRIHWKGIESSTPGNMDGDISMLKSEVEKLSLEEQSLDDQIREMQERLRSLSEDENNQKFLFVTEEDIKGLPCFQNETLIAIKAPHGTTLEVPDPEEAVDYLQRRYRIILRSTMGPIDVYLISQFEEKFEEINNGAEPPMSLPLASSSGSNEQQVTEMAPAECSGNGLEPQALLSSQTYSELNVSQEFAGGMMKIVPSDADNDADYWLLSDAEVSITDMWRTDSNVDWSGVDMLHPDFEMISRPQTPSPGLAEAPPTVANPNQR >CAK8571339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:384424150:384430127:1 gene:gene-LATHSAT_LOCUS24008 transcript:rna-LATHSAT_LOCUS24008 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGASDRGSPMPRETAGAPSRPPLKRHLAFVSTKPPFAPLDEYHSFAAVDSRKVADQVTEAVVIRSPVIKRKNGMNESEGESQKWTNSPGYTNVINSPFKTPLSAKDGRTNKSRVSKEGRSCPPTPISNAGSPSPLTPAGSCRYDSSLGLLTKKFINLIKRAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKNLKNRIHWKGIESSTPGNMDGDISMLKSEVEKLSLEEQSLDDQIREMQERLRSLSEDENNQKFLFVTEEDIKGLPCFQQNETLIAIKAPHGTTLEVPDPEEAVDYLQRRYRIILRSTMGPIDVYLISQFEEKFEEINNGAEPPMSLPLASSSGSNEQQVTEMAPAECSGNGLEPQALLSSQTYSELNVSQEFAGGMMKIVPSDADNDADYWLLSDAEVSITDMWRTDSNVDWSGVDMLHPDFEMISRPQTPSPGLAEAPPTVANPNQR >CAK8533352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:606743438:606743950:-1 gene:gene-LATHSAT_LOCUS2988 transcript:rna-LATHSAT_LOCUS2988 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVSVEEETELQNYIEGKCLTFNEKDKEKFDILCWWKHNVGQYLILSQIVRDIMPTPVSTVAFESDFSTCGRVLELYRSSLKLEMEEALICAQNWLRSFFYQFKDLEFNEEYEIFEDFLQGFTETSAGSGAPSSSPTQLLKV >CAK8533965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676327897:676330884:-1 gene:gene-LATHSAT_LOCUS3547 transcript:rna-LATHSAT_LOCUS3547 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKELEGHLGSLDQFPNPKIELEQYPTGPHIASRMLFLAENSYDDVSNKVVADFGCGCGTLGIAAALLSAEHVLSIDIDPESLEIASLNAEELELDMDFIQSNIMDLRWRGPIVDTVIMNPPFGTRKKGADLEFLSAAMKVASQAVYSLHKTSTRDHVKRAALRDFNARSAEVLCELRYDVPKLYKFHKKKEVDIAVDLWRFEPASHQS >CAK8542922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559796071:559798715:1 gene:gene-LATHSAT_LOCUS11719 transcript:rna-LATHSAT_LOCUS11719 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLHTPENQILVGVAVAVVAVGVAAVYLFSSKKSKGILNPEQFKEFKLVKKEQLSHNVAKFTFALPTPTSVLGLPIGQHISCRGKDGEGAEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFREMRVGEYLAVKGPKGRFKYQPGEVRAFGMLAGGSGITPMFQVARAILENPNDKTKVHLIYANVTYEDILLKDELDGLASNYPDRFKIYYVLNQPPEVWDGGVGFVSKEMIQTHLPPPAQDIKILRCGPPPMNKAMAAHLEALEYAPETQFQF >CAK8533043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570011838:570016968:-1 gene:gene-LATHSAT_LOCUS2695 transcript:rna-LATHSAT_LOCUS2695 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPMRVAGGFDEEDNDNSNNENRPSNMRFTTYQTSVLERFIEQDCHPSKEQRSQLAEEIGLEPIQVKYWFQNRRTLLKKQNSRENNSALRAENDDLLHQNIHMKKTLKAILCTTCGGSPVPSKEHELSMKKMAQQNTRLKEEVNKASSLLARYSRKEISLDEFNQCLAQTKAFARKLEVKIPPRQEIGGSSSHNHNHGMLVNEPKQIGDVEKSIISQIAYIAMNELVTLARVNEPFWMDSSNVEDEILTLSYEIYEQAFPKPNHLKSENVVKESSKYTSLVNINSMALIDMILDPVKWVKLFPTIVSKSEKIKVFEKGLMGNRDGALQLMYEQMHILSPLVRPREFSIIRYCKKVDVGVWVITDVSYDSSLREISPLARSWKHPSGCLIQEMSVDFCSVTWVEHVESDDKIHTHDLYNYLIDINNLYGAKSWVKEIQRMCEKSASFYVKRIPDRESEGVIQTIEGRKSVMKLSHRMVKMFCESLSLESKLNFQSLIEESIGGISISIDTNAREGKPNGTIICATSTLFIPLPADKVFEFLIDHTKRFKWDVLAYENPVQEIAHISNGHPGNFISIIKPSILGIENQMMILQESFKSPVGSYVIFTPTDVTSLQVAIKGEDSRGMHILSSGFVVCPSEREDGNSKVGSLLTLAYQILASSSEGTMMLSTQTVSNVNNLLTTTLVKVRDALMMM >CAK8533455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620229525:620231377:-1 gene:gene-LATHSAT_LOCUS3078 transcript:rna-LATHSAT_LOCUS3078 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAETFDIIILGASGFTGKHVLKQALKFLNKNNFNSIAIAGRNPSKLTQTLNWATRPDPPPSIPILVADTTDPLSLRSLCQQTRLILNCVGPFRLHGEPVVAACVETGCDYLDITGEGEFMDRVEIGYHEKGVKNGSLIVPACGFDCVPAEIGLLFHLKQWAGECLPNRVEAFLKVESERKVVGNFGTFESAVLAIADLKEMKQRRDAQAIKRAKPVIPGPLPKGKTIEHQKKIGLWGITLPSADATSVGKTFSVLTENPNGLPGLNESLETIEKKKVFWSSMKPVHFGVKLGSKTLLGIFGYIAFGIILGLFGSFSFGRRLLLKYPSIFSLGGFSKNGPSEEEIESCSFKMWFVGHGFSSNEKLAANGNSKPDMEVITRITGPELGYVTTPIIMIQCALIVLSQRNNLPKGGVYSPGIVFGSTDLQERLQQNGISFDVVSKSKISS >CAK8542436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:513331327:513334077:1 gene:gene-LATHSAT_LOCUS11276 transcript:rna-LATHSAT_LOCUS11276 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTTVGSAFLSAPIQTMIDKLTSKDFQDYANNNKLSLSLVTQLQTALLTLAPVLDDAEKKQIHCPGIRKWLDELKDAIFDAEDLLNQISYDSLRCKVRNPGWNFLSSLFNTSEDFNSQLKTACERLQLFAQQIDNLGLQTVSHKVLPTAYRRSTTISSVNESFIVGRVDDKEKLINMLLSERDNDKNNLEVVAIAGMGGLGKTTLAKLVYNYLKVENHFDLKAWASVSLDFDILEITRSLLKTVTLGNWDTNDLHILRAELKKNLRGKRFLFVLDDVWNEVYDDWIDLVSPFVGKSGSKVIITTRSHSVAMMTGASHIYLLGGLSREDSWSLLLKDVFAFGGSVDPMLEYIGQSIARKCGGLPLALKALAGLLRTNLDAEYWDAILNSDIWELPTNDVMPALHVSYQYLPSHLKRCFTYCSIFPKDYPLDRKQLVLLWMAEGLVEKSLDSKEAEKICDEFFDELISRSLIQQSNDDTEEEKIFMHDFIRDLATYVAGTSCCRLEYGTKISKNVRHLSYNREKLDISMNCEIFHDFKCLRTFLPVGPLWGQNCLPRQVLDDFLPTLIRLRVLSLSKYKDIKKLPDSLGNLTQLRYLDLSNTGIKSLPDTICNLYNLQTLILSNCCHLIGLPSHIGMLINLRHLDISGTKIREMPMQITKLEKLQTLTVFIVGKSQVGLSIKELRKFQYLQGKLTILNLHNVIDSMEAFAANIKSKQQIEELVLQWGEQTECCEVQLAVLDMLQPSITLKKLSINYYGGKIFPSWLGDSSFSYMVYLSISDCEYCRTLPPLGQLSSLKHLRIDGMKTLKTIGPEFYGMVGEGSRSSFQPFPSLQNLQFRNMSSWKEWLPFEGGKFPFPCLQTLRLQKCPELKGHLPNHPPSIQEIVIIDCGRLLETPSTLHCLSSLENKNIVYLK >CAK8567994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561870276:561870482:1 gene:gene-LATHSAT_LOCUS20997 transcript:rna-LATHSAT_LOCUS20997 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLQKCTSVIRLLAYGFPANIVDEYVRIGGSTSVECLESFVRGVNVVFATDYLRNFNNTDVEHLL >CAK8573392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609221111:609228790:1 gene:gene-LATHSAT_LOCUS25844 transcript:rna-LATHSAT_LOCUS25844 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGEDEDLEMAIRMSMTPEPKRNKPRDEVAGVVSGLPEDSPESKTRRRELMAAAAEKRMSTVVRVSPLSPAGNKGLRKGGEVARRVEELPLRDESLCKELSAEEANKLFVMVFGSEVSKDILAQWCNQGIRFSSDPETSMGLVQHEGGPCGVLATIQAFVLKYIIFFSNELSRTPQNRGLGMSSKSHSVPSYNISSLTEDVKVRALVKSMGEILFLCGSNKRAVIATLSIPGNDIQRFEGISEDEAVANSLKALSIESSLDLLKVLRVETHTSEATALQRLEAIIPLFQSRMGALLFLISALLSRGLDLVQSDRDDPSLPLVTAPFGHASQEIVNLLLCGQAVANVFDGRMDLGGGMFLKGISRNVEVGFLTLLESLNFCKVGQFLKTPKWPIWVVGSESHYTVLFALDPTVQNENELEGRETQIRKAFDAQDQSGGGGFISVEGFHQVIRETNIKLPQEKLDNLCSAGFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKVFDVFHFNGIAKSDLNGSQVSFGGETPLQRPRLTKLSVSVPPRWTPEEFMADVQGPSASGTSDSAAGKDIEVSKPEPVQHAPLVDCVRTRWPRAVCNWSGDPPSIV >CAK8563067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570886796:570887570:1 gene:gene-LATHSAT_LOCUS16513 transcript:rna-LATHSAT_LOCUS16513 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDTATLVIQQPNGKEERLYWPVSASEVMKTNPDHYVALLISTTLCTSKDRQNCSNKTHTNTNTNNNNPVRITRIKLLKPTDTLMLGQVYRLISNQEVTKGMWAKKQAKMKRNSSESAQKSNQIKERIDKEAERSEHEHEDNKERKSERHESRTKGSSNGGNHNKSRTWQPSLQSITESSS >CAK8543499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611584415:611585414:-1 gene:gene-LATHSAT_LOCUS12254 transcript:rna-LATHSAT_LOCUS12254 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSCVSKMSRKRDVESGERTLYPSMLENPQLRWSFIRKVYSIITFQLLLTIAAASVVVFVPPVAHFFVGSTHGLVLYIVLIFVPFITLCPLYYYRQKHPLNYFLLLIFTVTLAFPIGITCAFVSGKVILESVILTTAVVFSLTIYTFWAARRGHDFSFLGPFLFGALLVLVIFAFIQVLFPLGKVSHTVYGCLASIIFCGYIVYDTDNLIKRFSYDDYIWASVSLYLDIINLFLSILTIFRASN >CAK8533749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656676266:656676937:1 gene:gene-LATHSAT_LOCUS3349 transcript:rna-LATHSAT_LOCUS3349 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEHANWSPYDNNGGSCVAVAGSYYCVIAADTRMSSGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQLLSDTLYYKHSFPYYSFNVLGGLDSEGKGCVFTYDVVGSYERVGYNSQGSGSTLIMPFLDNQLKSPIPLLLPAQDAVTPLSETEAVDLVKTVFASASERDVYTGDKVEIVILNASGIHREFLELRKD >CAK8544386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681528225:681528719:-1 gene:gene-LATHSAT_LOCUS13072 transcript:rna-LATHSAT_LOCUS13072 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPFLLIFMLSFSTNTKATSVAKDEVPCTMCIECDNPCQPLPPPPPQVIECPPPPAPVPPSPPPPSPPLPPAIVECPPPPPPKLPCPSNCEFLPSPPQYSYFSPGTPFTYYVPPDYEDKSSGETMMPFQATLFLNPNPHSVFSMIHRCLIYVVFLCVPYFV >CAK8539667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520736411:520739038:-1 gene:gene-LATHSAT_LOCUS8745 transcript:rna-LATHSAT_LOCUS8745 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRQNQSQNQQPLLEDQQDESAYDSSDKIVIIGEDESETDIDNGVRVPPFSWKKLWLFSGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATAMGLLIQLLSARLGVATGRHLAELCREEYPTWARIVLWLMTEVALIGSDIQEVIGSAIAIRILSNATVPLWAGVVITALDCFIFLFLENYGVRKLEAFFAVLIAVMALSFAWMFGKTKPDGLDVLVGILVPKLSSRTIQQAVGVVGCIIMPHNVFLHSALVQSRQVDPKKKGRVQEALKYYSIESTIALFVSFVINIFVTTVFAKGFYGTEIANRIGLVNAGQYLQEKYGGGAFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWMRALITRSFAIVPTMIVALIFDTSEESLDVLNEWLNVLQSVQIPFALIPLLCLVSKEQIMGTFKIGSVLKTISWLVAALVIVINGYLLLEFFSSEVNGAVITAVVSVITAAYVAFIIYLISSAATFPSWQSLTRSKTVTTTTES >CAK8567740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540816348:540823163:1 gene:gene-LATHSAT_LOCUS20763 transcript:rna-LATHSAT_LOCUS20763 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAAIPEKLKQLIRNSTVHTLRDTCSSLHQFFLHFEPFHKMVAELADPSYALCSKSKETALKYKQLGNQCFSSADYAKALDYYTQALRRAPSEVGAIENNLIATLYINRATVLHKMNLLVECLRDCNRALQICPSYSKAWYRRGKANVSLGNDRDAICDLNIAKSVESSTGGKKQIESEVKIILDQSTSANIAVQPHHKENSLNTAGEMPQIKLQSVSIPDKGRGTVPSYDVSPGSLVHAEEPYATIILKQCRETHCHYCLNDLPADRVPCISCSIPLYCSHQCQIKAGRQMFRISTKDNHILKILPRDLGEYAAEVIQYNDCELKIDDFTEHQHECQGVHWPAVLPSEIVLAGRVLAKFLSKSSSGDITSFVEKLELSHCYERIPFESKLDSHIYAIVLLYCLKHSYGTMFSIDGISISQVVIVISQIKVNCMTVVRLKSIDAHGLSDQSGGFPFHSGVHLTSNVEQVRVGKAIYKAGSLFNHSCQPNVHAYFLSRTLYLRTTKVVAAGCQLELSYGPQVGLWDCKDRQSFLQDEYAFHCQCTGCSEVNLSDIVLNAFRCVNPNCSGAVLESRVLECEKQKIKHFPIADRDIKNDDIYEVCLHAFNQNNASTHIQPGHCIKCGSYCDVESSRATVDKALLCIKRLQDAILSKEISNTSISDALRSLHLLRSNLHAYNKVIAEAEDNLAQAFCLVGELQLSADHCKASIQILEKLYDPDDIVIAYELVKLSSVQLSLGDDSAVDSIRRIGAIFSCYYGLHADLVFPYLQYLRREI >CAK8561084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:89402054:89402473:1 gene:gene-LATHSAT_LOCUS14706 transcript:rna-LATHSAT_LOCUS14706 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPIHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8532157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213998017:213999839:1 gene:gene-LATHSAT_LOCUS1889 transcript:rna-LATHSAT_LOCUS1889 gene_biotype:protein_coding transcript_biotype:protein_coding MHKCFILLCRFTHYSLENSNNMDNCFSTCFVQNLFKRKQSRSRPVDTIFKLPIVSTNWPPGSGFASGIIDLGGIEVSQVSTFNKIWATYDGGPDNQGATVFEPTGIPQGFSMLGSYSQPNNKPLFGYVLVAKDVSSTSTTTNSTLRKPIDYTLVFNTSSLKVTQDSSCYIWLPIAPDGYKALGHVVTITQDKPPLDKIKCVRSDLTDQCESSSWIWGSNDINFYDVRPINRGAQAPGVSVGTFVAQNGGEASLPSISCLKNLNSISKIMPNLLQINSILQVYSPLMYVHPDEEYLPSSVNWFFSNGALLYKKGEESTPVAIAQNGINLPQDPNNDGTYWLDLPADDANKDRVKKGNLQSAESYVHVKPMLGGTFTDIAMWIFYPFNGPGRAKVKFIDIKLGKIGEHVGDWEHVTLRVSNLDGKLWKMYFSQHSKGEWVDSSQLEFQTENNKRPVFYSSLHGHASYPHEGLVLQGKNGIGIRNDSAKSSMIMDLGKFVLVSAEYLEPFVVEPSWLKYFREWGPKIDYDLDEELRKVEKILPWKLKDVFENIIRSLPKEVLGEEGPTGPKVKSNWSGDEV >CAK8532158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213998080:213999839:1 gene:gene-LATHSAT_LOCUS1889 transcript:rna-LATHSAT_LOCUS1889-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCFSTCFVQNLFKRKQSRSRPVDTIFKLPIVSTNWPPGSGFASGIIDLGGIEVSQVSTFNKIWATYDGGPDNQGATVFEPTGIPQGFSMLGSYSQPNNKPLFGYVLVAKDVSSTSTTTNSTLRKPIDYTLVFNTSSLKVTQDSSCYIWLPIAPDGYKALGHVVTITQDKPPLDKIKCVRSDLTDQCESSSWIWGSNDINFYDVRPINRGAQAPGVSVGTFVAQNGGEASLPSISCLKNLNSISKIMPNLLQINSILQVYSPLMYVHPDEEYLPSSVNWFFSNGALLYKKGEESTPVAIAQNGINLPQDPNNDGTYWLDLPADDANKDRVKKGNLQSAESYVHVKPMLGGTFTDIAMWIFYPFNGPGRAKVKFIDIKLGKIGEHVGDWEHVTLRVSNLDGKLWKMYFSQHSKGEWVDSSQLEFQTENNKRPVFYSSLHGHASYPHEGLVLQGKNGIGIRNDSAKSSMIMDLGKFVLVSAEYLEPFVVEPSWLKYFREWGPKIDYDLDEELRKVEKILPWKLKDVFENIIRSLPKEVLGEEGPTGPKVKSNWSGDEV >CAK8573832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641158058:641158491:1 gene:gene-LATHSAT_LOCUS26235 transcript:rna-LATHSAT_LOCUS26235 gene_biotype:protein_coding transcript_biotype:protein_coding MPKESLPTEEEIEMPKESLSDCAPSSVKKNKHIAGLVRIYDDSSNNTFLRVTNVSDPSKGERVYCMNEHPNFLMRTTFTILKAWQLV >CAK8531807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153694433:153697688:-1 gene:gene-LATHSAT_LOCUS1572 transcript:rna-LATHSAT_LOCUS1572 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALEIDDEMDDNKGKMWDMEQKLDQPMDEEAGKLKNMYSQKKLSALLVIRLAYQSLGVVYGDLGTSPLYVFYNTFPHGVDDPEDVIGALSLIIYSLTLVPLLKYVFIVLRANDNGQGGTLALYSLLCRHANIKIIPNRHRTDEKLTTYSWNTINEKPFAVKTKRWLEGHFVKTVLLILVLVGTCMVIGDGILTPAISVLSAAGGIRVSKPEIDSRVVLIVAVVILVALFSVQHFGTDKVGWLFAPIVLVWLLLMGGIGIYNIWRFGSRVLRAFSPLYVYRYMRNGRKDSWLSLGGILLSITGTEALFADLANFPVSSVQIAFTLVVFPCLLLAYSGQAAYLVHNLHHSQDVFYHSIPDKVYWPVFVIATLAAIVASQATITATFSIIKQALAHGCFPRVKVVHTSEKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILIMILVWRCHWALVIVFTGLSLIVECTYLSAVLFKLDQGGWAPLAIAGVFLIVMCVWHYGTVKRYEFELHSKVSMSWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHIFRCVARYGYKDLHKKDDSFEKKLFHNLFMFVQLESMMEDCSDSEYSPCELQTEQSNNNRNTSSLTSGDLTTSSVDSIVPAGSPPHVNVMFRSPSPRNEVDEYEFLTNSREAGVVHILGNTVVVASRDSRFYKKIAIDYIYAFLRKICRENSVIFNIPHESLLNVGQVIYV >CAK8572042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504260496:504261980:1 gene:gene-LATHSAT_LOCUS24650 transcript:rna-LATHSAT_LOCUS24650 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLPIHGKAVNGKTNPTGDFIRELLGVEPTPRDLRGQKLLMSWLKKIYRELTLTPDSPEILKIRKTRIYIMLLIGLFLCPDTSGSVVHSMYLPLLDDVDKIKQYSWGSATLAHLYHSLCHNSIANTGNWTGCGVLLQSWGWSRMTNLAPIQQNQFEFPFTRRWSSLGMNYDNCPHFSITQYRNHIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPSQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTSFTQTHSQSEYQQHSPQYHTYSQFQTPNQPIPTQSFTPIPLF >CAK8541604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:296893785:296895895:-1 gene:gene-LATHSAT_LOCUS10512 transcript:rna-LATHSAT_LOCUS10512 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCDDMILSETAEHMVSIHPLACSPPLSKPRRNRSEAWNHFTIESETTKKAKCNYCGSLIKYDKGTSAMRAHYTRCKDKDEPKNHVNKRQRSVSSSTENVEGNICTSPSTPMFDQEAIQNVVVKMFIDMDIPFERVDHAGFHNFMSVVLPRFKVPSSIELVHDILRLWGTEKTRLKKFLSQNCQRVCLTIDMWTSSENFCYMCLTAHFIDNDWKMNKKVLNFSQVISHSQAVMAKIVEHCLNEWGINYLLSLTNDSVSLNDDETPDLKDKLVTRDSLVLNGDYIHMHCCAYFLNLIVKESLKEVDDSIVRIRAAVWYIRSSPSRFSRFKACIEQQNIEYKGFFCPNFETRWDSTYLMLDDALKHQKTFEKLEMKDLKYVDELKKGKGVPTNEDWEIVRSILPFLKLFYDATLRISSSSCVTSNMYMFEVLGIGIAIKQMCNSEDVRISLMAKNMRKKYDKFWGNPRSLNMLLLTALVLDPRHKVKFVSWCADKNYNGGEATYLIDKLRSCLNSLFEEYNGGLEVSHTNSKEGGYNDPYGFNKFYQSSEFNKSESELSKYLDEALESCGDLDVLNWWKLNSSRFPIIANIARDVLAIPVSTVTSEFAFSLGGRVLDPYRSSLPPITMEALICVQDWLMGTSSLHTSAEFENLEKIEQELISLHDVAGLDDD >CAK8565568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:280801388:280806852:1 gene:gene-LATHSAT_LOCUS18768 transcript:rna-LATHSAT_LOCUS18768 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAVAKHRGIDVLLNDESKRETPAIICFGEKQRFLGSAGSASAMMHPKSTICQIKRFIGRRFRDPDMERDLKMLPLETSEGPDGGVLIHLKYLQRTHTFTPVQIMSMLFAHLKTMTEKDLEAPVSDCVIGIPSYFTNLQRRAYLDAAKIAGLKPLRLIHDCTATALSYGIYKTNFQSEGFTYVAFIDIGQCDTQVCIAAFQFGQMKILSHTFDRSLGGRDFDEVLFTHFAEKFKEQYSIDVYSNAKACIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVKGFITREEFESLASGLLDRISKPCKQALVEAGLNAEKIVSVELVGSGSRIPAVSTLLTSLFKREPSRKLNASECVARGCALQCAMLSPVYRVRDYEVQDISSFSYGLSSDEGPISVGTNRVIFPKGQLIPSTAVLRLQRTSFFHLEAFYPNQCELPPGTSPEISSFMIGPLPESHGSKTRIKVRVQLNLHGIFIIESATLITDHADDDPSNYDAMDVDPVSETSDSTNFVANGAEDSNNQSDSPQSFADCSKKDKANRKQPIPVNESIYGGMTMAEICEAHEKELQLAQQDRAVELTKEKKNTLESYVYETRSKLLNMYRSFASDQERDGISRSLQETEDWLYEDGDDETEQAYTSKLEDLKKLVDPIENRYKDDEERAQAIKELSSLISEIHHSAGSFPPQDKELIINESNEAEQWLIEKVQQQDSYPKNRDPILWSSDIKSVAEDLKQTVQRIARSRRKNSEDDDKDKTDTSNHS >CAK8544648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697522037:697525375:1 gene:gene-LATHSAT_LOCUS13306 transcript:rna-LATHSAT_LOCUS13306 gene_biotype:protein_coding transcript_biotype:protein_coding MCWNLRKTLANVLGSHDEKKKMMRGKVVLMKKNVLDFNDFSASIIDNIHELLGKKVSLQLVSEVKLDHGNGMRGKLGKPAYLEDWITTNTSLIAGESTFKVTFDLDDFDDIGTPGAFLIKNNHHSEFYLKSLTLENVPGQGVIHFICNSWVYPAQKYQKDRVFFANKTYLLSETPEGLVKYREEELETLRGNGSNVQLEEWDRVYDYAYYNDLADPDKGSEYVRPVLGGSNEYPYPRRGKTSRPPAKSDANNESRLKLAMSLDIYVPRDERFGHIKLADFLAYALKAVAQIIQPELESLFDKTPNEFDTFEDVLKLYEGGIKVPEGVLKGIRDKIPAEMLKEILRTDGVGLLKYPVPQVIKEDKSAWRTDEEFAREMLAGMNPVIIRCLQEFPPTSNLDPKIYGDQSSTIKKEHVESKLDGLTIDEAIARKKLFILDHHDALMPYLRRINSTSTKTYASRTILFLQNNGTLKPLVIELSLPHPDGDQQGAISEVYVPAENGVENSIWQLAKAYVAVNDSGNHQLISHWLHTHAAIEPFIISANRQLSVLHPIHKLLYPHFRDTMNINAIARQILINAGGILEATVFPAKYSMEMSSALYKDWTFSEQALPVDLIKRGMAVEDSSSPHGLRLLIKDYPYAVDGLEIWFAINTWVHDYCSFYYKTDETVKNDVELQSWWKELVEKGHGDKKDEPWWPKMQTVKELIDTCTIIIWIASALHAAVNFGQYPYAGYLPDRPTISRKFMPVKGTEDYEELVANPDKAFLKTITAQLQTLVGISLIEILSRHSSDEVYLGERDGENWTNDAEALEAFEKFGKKLKEIEERIVAMNGDVSLKNRVGQVKVPYTLLYPTGENGLSGKGIPNSVSI >CAK8534604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746909523:746909765:-1 gene:gene-LATHSAT_LOCUS4137 transcript:rna-LATHSAT_LOCUS4137 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMAEGTNLVFHNNVIGGTAIKRLISRLIDYFGMAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAE >CAK8564019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646589898:646590179:1 gene:gene-LATHSAT_LOCUS17367 transcript:rna-LATHSAT_LOCUS17367 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEGQKLAEQLMQIMLLAFAVIAFGAGYFLASFQTMILTYAGGVVLTTLVTLPNWPFFNNHPLKWLDPSEAEKHPKPQPSVNVTAKKKSVKK >CAK8572563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546906706:546909946:-1 gene:gene-LATHSAT_LOCUS25116 transcript:rna-LATHSAT_LOCUS25116 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEKQASVSNSKLASTAARDVDPLLRGLNDKKQSFRRNVESLAAELKELRNRLASQEQAYVKETRTRQEAETNAKIMELEISRMQKKLEEKNEQLQASTSSAEKHIKELDDLRTQLVVTRATADSSAASAQSAKFQCLELQRELDEKNSSLREHEERVISLGEQLDNLQKDLQARESSQEQLKDEVFRIERDIMEALAKARENKGYELQKILDEVSPKNLEKMNKLLIVKDDEIVKLKDEIKIMSAHWKLKTKELESQLEKQRRADQDLKKRVLKLDFCLQEARSQTRKLQRMGERRDKAIKELKDQLAGKQQRVADAEKQNQNFWDTSGFKIVVSMSMLVLVVFSKR >CAK8538600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488646714:488648076:1 gene:gene-LATHSAT_LOCUS7781 transcript:rna-LATHSAT_LOCUS7781 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDVMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPKLLSQCVPFWEP >CAK8571204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:336527455:336533562:-1 gene:gene-LATHSAT_LOCUS23889 transcript:rna-LATHSAT_LOCUS23889 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTQSNNKKNDDDQVTEQPAEPKPSVAGAGGGNWGGWGFSPLSILSDLQKAAAVAAEEISRNAASVAETASKSIAELQITAEDSESPKEDVGEEESAKESGDESETAPLRKSALDKLEKASEDSLLSQGLKVFDNSVETFASGAWSALGNAWRGGSDLVHKLENSASNLAGAVQHDGPGAAGSNAPSLLETGKAFTAKGMQVLEYVGKETIDLLISETGIEVEKARKEGDGDQLFEEVTFDRCFYIYGGPEQLEELEALSSHYALLFNRRKTKLSAEQKSLFEGKLKEVQQIFDLSTEIDAGADSNKGKTIKRENGGSSDEIKNLHDSSVGKAAEMAAGFTNALAGLAINDIIQRTSGRIDSLHSEGVHRLSEMCCFAVSQLLTLGKSFISLANKTEDEEIDADKANIEWPEDVSEKAKIIRINTQTMIGYLKAVSNSFITGISDVSEAYQAAIKGVTAESPTDVPKASSVKEKADSFTEHLRADQTTAISKIQDGVQFLAHVVLSTSMNAA >CAK8565127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:43443019:43443551:-1 gene:gene-LATHSAT_LOCUS18364 transcript:rna-LATHSAT_LOCUS18364 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSDDEPTQNNEPFIPNEEVGEASDDDLQEVRMQDIFGNNDDEDIIFASTQLIYTKPISLYNPPAHMHNICMEDDETTSVFGGVIPNHKGDEIEIGMEFENKEACVRHITLNFMSTALDTLRKTSRARLRTRNYGKNLLTWLRINGGYI >CAK8567052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482486330:482487061:1 gene:gene-LATHSAT_LOCUS20140 transcript:rna-LATHSAT_LOCUS20140 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLGVVRPPPESCDERGKRLKSDQEPKTEPEQESESEPEPEPESETDSETEREIEKEWDRAWGWESIPLEDLTVSDLSSRFEDDPYAFAYSCPKFSYENKAAKKLREDGKKALADYRERSRNISPFDVTDVPSFGIICGTNFPRPVTITDDRRPQFVYLSELALDKYNRDNQVLNYEFQHVIKATMQFIPRTTYYITFQAKAKPIHDDGVQNYPATTFQARVRVLDREPSPVVESCSIKT >CAK8568114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574537981:574540476:1 gene:gene-LATHSAT_LOCUS21109 transcript:rna-LATHSAT_LOCUS21109 gene_biotype:protein_coding transcript_biotype:protein_coding MECSEDGASRAKRMAEKKLLQDNFSVAKLLATRARVLNPNIDGITELLATIDVYIAAEKKVGADVNWYEVLGAQPLDDDETIRKCYRQMALTLHPDKNKSVGADGAFKLVSQAWTVLSDKAKRAAFDQKCRLWESFKRISGGIPQNSLFDTVNLGGKLSEPASRGGLFNAANRTDRDLTSGAHANPTPRPSVSARYSGLFNSDTRKDIDHMSVAHTNPTPNAPLGKPPSFWTVCSFCRTHYEYFTVYKNCNIVCAGCNKPFFASETPPPPLIANASPTSRAALLNQHKFNSTGLVRSDHVSSRALTSSVKSSLGSGSFSKPNGTSSGPTSVSTASGALDLFNRPSVNLKRRHEDSTPLMSAETHIGKTHVERTITGSDFQPSCTKRCTGEHKVDSDRRGTEAEMASKKGITSSNVSGSLKNSFDAGKVSAPGNSRRNGIRDMSQQNMRNILADKARKVICKKLDEWKESRRKLDEWKVIRKTLDDRYLSSTLKNTGADAEVKVKEKEKAINGVKPGPKEIVNSETNNNSISAVSEVPLSVTMSVPDPDFHDFDGDRVEDTFGENQVWAVYDDEDGMPRYYAFIHSVISKNPFQMKITWLSSKTNDEFAPIKWVGSGFPKTTGDLRLGKRVISSTLNSFSHRVKWTKGPKGIFHVYPKKGDVWALYQNWSPDWDELTKDNVIHQYEMVEVLDDYSEEHGLNVAPLSKVAGFKTVFRQNADPRKVRNIPREEMFRFSHQVPSYLLTGEEGENAPKGFLELDPASTPMELLQLITDPPTQEMEEMPVENSSKDDLKHKDNSGVSKKAAGAQAGKVWPENLLVYRRKRFKVKRDQ >CAK8535984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895431952:895433337:-1 gene:gene-LATHSAT_LOCUS5395 transcript:rna-LATHSAT_LOCUS5395-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNLLKDETFLQHPYILSILLILSFVVMDPFHMGPVSEHEFRPVKHDIAPYKQVMKNWPRDNMSRLGTHGKLEFENQVFGPESLEFDTMGRGPYTGLADGRVVRWMGEEHGWETFAIVTSNWTEKTCMRGNDSTTSKQWKHEKTCGRPLGLRFDQKSGYLYIADAYYGLLMVGPNGGLATPLVTHIEGKPILFANDLDIHKNGSIFFTDTSKRYNRVAHFFILLEGEATGRLLRYDPPTKTTSVVLDGLAFPNGVQISKDQSFLLFTETTNCRLMKLWMEGSKSGTVENIADLPGFPDNVRMNEKGQFWVAIDCCRTGAQEVLSNNPWLRSIYFRLPIRMSVLANLMGMKMYTVITLVDDKGEILQVLEDRQGQVMKLVSEVKEEKGKLWIGTVAHNYISTLPYP >CAK8535983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895431952:895433926:-1 gene:gene-LATHSAT_LOCUS5395 transcript:rna-LATHSAT_LOCUS5395 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNILYINETFLQHPYILSILLILSFVVMDPFHMGPVSEHEFRPVKHDIAPYKQVMKNWPRDNMSRLGTHGKLEFENQVFGPESLEFDTMGRGPYTGLADGRVVRWMGEEHGWETFAIVTSNWTEKTCMRGNDSTTSKQWKHEKTCGRPLGLRFDQKSGYLYIADAYYGLLMVGPNGGLATPLVTHIEGKPILFANDLDIHKNGSIFFTDTSKRYNRVAHFFILLEGEATGRLLRYDPPTKTTSVVLDGLAFPNGVQISKDQSFLLFTETTNCRLMKLWMEGSKSGTVENIADLPGFPDNVRMNEKGQFWVAIDCCRTGAQEVLSNNPWLRSIYFRLPIRMSVLANLMGMKMYTVITLVDDKGEILQVLEDRQGQVMKLVSEVKEEKGKLWIGTVAHNYISTLPYP >CAK8533085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576479030:576480717:-1 gene:gene-LATHSAT_LOCUS2735 transcript:rna-LATHSAT_LOCUS2735 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIYFLLILVFLSSFRFTFESNILESKYVSVFEQKSLDLKLREAESKKIQITISKDGNSNFTTITAALSSIQPPNNRRVILLIGPGVYREKIVIPQTLPFITFLGETRNEQTISWNDSSSIIGRDGDALGTFNTPTVAVNADYFMAINITFENSASYFGKKVEQAVALRISGNKSAFYNCTFRGVQDTLYDHKGLHFFKNCFIEGSIDFIFGFGRSLYEECTLNSIAKNIGYITAQKRSSSSLDTGFSFKNCTVKGTGQVYLGRPWGEYSRVIYSYTNMKEIVLPKGWEDTMNGTHYPKTIYYGEYKCSGPGSNFSGRAPWARNLTDEEVQPFLEIHFIEGETWLINPN >CAK8535089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813324851:813327237:1 gene:gene-LATHSAT_LOCUS4568 transcript:rna-LATHSAT_LOCUS4568 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHKHICIFLFLLSLISSSQGYTFYAGGKDGWVLNPSESYNQWTGRNRFQVNDILVFKYKKESDSVLVVNKEDYDKCNKKNPIKKFEDGDSEFQFDRSGPFYFISGKDHNCENGQKLTVVVLAVRQTPPYVSAPPPKVLPTPPYLPITPPKTPSPIYTPPKNTPSPTNQPPYVPITPPKTPSPIYNPPNVPYTPPKAPSPKNEPPYVPITPPKAPSPGNEPPYIPITPPKTPSPIHTPPNVPYTPPKAPSPGNEPPYTPIAPPKTPSPTHTPPNVPYTPPKSPSPGNEPPYTPIAPPKAPSPTHTPPNVPYTPPKSPSPIHTPPNAPYTPPKAPSPGNQPPYVPITPPNTPSPIYTPPNHPHTPPKAPSPSNQPPYVPITPPNTPSPIHTPPNHPYTPPKAPSPKNEPPYTPTPPKTPSPISQPPYVPITPPKSSSPIHNSPNVPYTPPTTPSPSNHSPKTPSPISQPPYVPTPPNTPSPTSSQAPYIQTPPNTPSPTSQPPYIQTPPNTSSPRSQPPYIQAPSNSPSSTSQPPYISAPPKSSPSASPYIQTPPGAPSPISQPPYIQTPPNTHSPTSQPPYIQTPPTTSSPSSSQSPQQSSQPPSTAQTPSGNGIAPSPLSPYPSNNSPSPSTSPLASSPASTSTSPPASAPASSPISTTTSPPVPAPVGTPESTTTPTASPTGSLPAATTPSPSSTTPGTGTRGTPSSTITGSPSPASSNETAPGKSSGVSYTSPSGVWAYSITILVGAALTIILG >CAK8560949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62286974:62288339:-1 gene:gene-LATHSAT_LOCUS14584 transcript:rna-LATHSAT_LOCUS14584 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNNTNSSSEESQNNNNNLPKVFLHVPPEFSFVLQPNPSHNFHILNPGCGQSFHQFIAANPHHASSIAAIVCSNFYSVTADLLQLLPSLRLICTPSAGTNHIDLSECRRREIQVAGIGDLFTEDVADMAVGLLIDVSRKISAADRFLRTQFQPSSWDFPLGSKLTGKKIGIVGMGKIGSEVAKRLEGFSCMISYNSRNRKPSISYPFYSSVLELATNTNVLILCCALNDQTRHIVNKQVMLALGKEGIIVNVGRGPLIDEKELLRCLIEGEIGGAGLDVFENEPHVPKEFFSLDNVVLSPHAAVVTSESFSGISKVVEENLKAFFSNKPLINPVL >CAK8544518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690118157:690118645:1 gene:gene-LATHSAT_LOCUS13189 transcript:rna-LATHSAT_LOCUS13189 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEIKSKSEVYHGDEMCQLKSKELLREIALPNGLLPLKDIIEVGYNKETGFVWLKQKNSITHKFEKIGKLVSYATEVTAHVEKGKIKKLTGVKTKELFIWITLSDIYVNDPPTGKITFQTPAGLFKTFPVSAFEVEEKSSGVKEISKVNETVGAVKEV >CAK8564719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7554460:7556521:1 gene:gene-LATHSAT_LOCUS17991 transcript:rna-LATHSAT_LOCUS17991-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEKMIKDVEKKITRVLFCGYHFPASNQYTAEYLQNHSSIKVDVLPLEEVPNAIGNYHACIVKSMKLDSNVISRAFQMQLIMQYGVGLEGVDIDAATKHGIKVARIPGNVTGNSASCAEMAIYLMLGLLRKQNELQISIKQRKLGEPTSDTIFGKTIFILGFGNIGTDLARRLKPFGVKVIATKRSWASPAQHSSEIRNDVDDLVDEKGTSEDIYDFAAKADIVVCCLSLNSETAGIVNNSFISSMKKGALLVNVARGRLLDYDAVVEHLESGHLGGLGTDVAWTEPFDPDDRILKFKNVIMTPHIAGVTEHSYRSMAKVVGDVVLQLHDGLPLTGIELVN >CAK8564718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7553998:7556521:1 gene:gene-LATHSAT_LOCUS17991 transcript:rna-LATHSAT_LOCUS17991 gene_biotype:protein_coding transcript_biotype:protein_coding MTRESVWLLVRRSKSLFTQNLRIFSTISQDPRKKLMEKNEKMIKDVEKKITRVLFCGYHFPASNQYTAEYLQNHSSIKVDVLPLEEVPNAIGNYHACIVKSMKLDSNVISRAFQMQLIMQYGVGLEGVDIDAATKHGIKVARIPGNVTGNSASCAEMAIYLMLGLLRKQNELQISIKQRKLGEPTSDTIFGKTIFILGFGNIGTDLARRLKPFGVKVIATKRSWASPAQHSSEIRNDVDDLVDEKGTSEDIYDFAAKADIVVCCLSLNSETAGIVNNSFISSMKKGALLVNVARGRLLDYDAVVEHLESGHLGGLGTDVAWTEPFDPDDRILKFKNVIMTPHIAGVTEHSYRSMAKVVGDVVLQLHDGLPLTGIELVN >CAK8572970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573869699:573872618:-1 gene:gene-LATHSAT_LOCUS25468 transcript:rna-LATHSAT_LOCUS25468 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQLNNSTCSKTQLHSSSQLSFLSTTRTRTLPRHYHSTFAPLHRAQHARISCSVAPNQVQVPAAQTQDPKGKPDCYGVFCLTYDLKAEEETKSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVVISIDPYEVFQDAEWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNAKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKIDGLPVKEVIKDNKWLEEEFTEKVQKRGGVLIQKWGRSSAASTSVSIVDAIRSLITPTPEGDWFSSGVYTSGNPYGIAEDIVFSMPCRSKANGDYELVKDVIFDDYLRQKLAKTEAELLAEKKCVAHLTGEGIAVCDLPGDTMLPGEM >CAK8577280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551432971:551442642:-1 gene:gene-LATHSAT_LOCUS29401 transcript:rna-LATHSAT_LOCUS29401 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGNKMYQYIAVALYTPLIAVVFGLYIWCAAADPADPGVFKSKKYLKIPGSKKIVGLKSSKLGEESTPSMHDANASTLGGNSMDSEAFGTGRNMKDAYNSVEKANSSSSCLSRFLVVCSPCAYIFHCSGSSEESSDKQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVNHFDHHCRWLNNCIGKKNYRQFFSLMVAAMLLFILQWSTGLLVLVCCFVERKQFSKEISSKLGSSFSMAPFVIVVSACTILAMVATLPVVQLFFFHILLIKKGLSTYDYIVAMRELDQEQEQLGVEGQQSPQMSTVSSFTGLSSVSSFNALHRGAWCTPPRMFLEDQFDVVPPETGSVSSLGKKSMREEPLKKKNPGAVKISPWTLARLNAEEVAKAAAEARKKSKILQPVIRHDQASGLEPDTSFDSTGQRMVPGTRFDSTGQRMVPTIENNRKWDNKRIYLPPDISMESITKVSSNNTDMGFNGRSSYAPPHLRREFQTSQAMSSSAGIVPSSSESSFTSPDIHPFEALGTEEIRNNSRLSATGAATLTENPLSRSTSDGYDASGGEDSDQVPSRVVQRIADWSSVLFRGDHDERPFRPPMSSNVSHGRN >CAK8571058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274797184:274797690:-1 gene:gene-LATHSAT_LOCUS23753 transcript:rna-LATHSAT_LOCUS23753 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRDDRVENIDLANCVILLSHPKQTKPKKHFDRVEFECTTCNRKFSSFQALGGHRASHNKPKLDATKLKLQVQNLSLFNKSKMHGCYICGKEFSLGQALGGHMRRHRIGVNEEFSLMKENKIVGEVPILKRSNSKRVMCLDLNLTPLQNDLKLLFGNMAPKVDSFV >CAK8540647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15206193:15207074:-1 gene:gene-LATHSAT_LOCUS9633 transcript:rna-LATHSAT_LOCUS9633 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVESNSPQFSSIIEGLCSAGKVEEAEAYFNALKVKSVEIYTSMVNGYCEAGLVEKSCKLFCEISKEGDISEETPGFKKLSRVMYSKVLAALCKEGNVEHARSLFHFFLERGFTPDVVTYTIMIKSYCRLNCLQEAHDLFRDMKSRGIKPDVITYTVLLDGKFKQVNLKRCFFSQHKKGSDATYGVSTILTEMQQTKVSPDVVIYTVLIDGFVKVDNFQEAVRFFNEIIELRLEPDNVTYTALLSGLLNRGHTEKAVILYNEMSSKGMMPPLPIISKLKRQVIKARKVQFRK >CAK8539640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519130375:519130992:1 gene:gene-LATHSAT_LOCUS8718 transcript:rna-LATHSAT_LOCUS8718 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIFKAILRHRDIMIGMEDWNDMKVKYSVGKVYQYLKKDEPDVGWKHMLSNTIARSITLFTMGMTCHRRLATKVRLKKLGFTTYDRCKFDNKEETIDHLLFQCPPFKICWQEILGWMGIQHTPCDWQEELNWIITQCKGKGWRKCLLRSSIAETIYKVWKYRNNVVFGNTMNTIEIRDVVIFTLANREWVNTRMRHHITNLLID >CAK8533609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641251307:641255668:-1 gene:gene-LATHSAT_LOCUS3224 transcript:rna-LATHSAT_LOCUS3224 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISSQPFILSRITNTSSLHPPLHKPNQLPSLFFLRRPRQRRRFIISCHVDGDDVVSTRNSGFDRGFTVIANMLRRIKPLDNSVISIGVSTAAKDSMKQTISTMLGLLPSDHFSVTVSLSIQPLHRLLVSSIITGYTLWNAEYRTSLTRNLEMSCADGVSECQTPLEIMEVKGGGEENGENKKVFSDSGINDLETCSNISSGAGVFGDLPPQALKYIQQLQSELSNTKEELNAQKQEMMQLEHDRGIRNNLLEYLRSFDPDRVNEMSRPSSVEVEDIIHQLVQNIMRRFLVDEASSNFMEQSEEGNVDYHLDDSDELSDTVATSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >CAK8572286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526688065:526689831:-1 gene:gene-LATHSAT_LOCUS24868 transcript:rna-LATHSAT_LOCUS24868 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYITSFQNKSFSFHSWNDLHDRNDQGRCVFRMTLNVTSRKKMSTLHQISLRDCFSSNRCCSSWKGLRCRRLYATVLSDRVDEVDHEVSGFENYTGERRESSERVDSVESLNSSTLSSKAQYHVKNDEAVNNKTLQTLCNSGNIRDAARLIDIMSRISQIPHFPSCTNLIRGFIHTGRVDKACRIMNIMVMSGGVPDTITFNMVIGGLCRKGNLESALELLEDVSLSGCSPDAITYNTIINCIFDIRDSNFAISFWKDQLRKGFPPYLMTYSFLIDMVCRHCGTSQALEVLEDMARECCYPNINMYNFLVGFSSKQGKYKDTALVISNLLSRGLKPDVVTYNNLIHSFSLRGHSDLVDDILKIMNESSITPSLVTYNILLSSLCKSGFLDRSISLYLKMVSENCSPDIVTYNILLNALCKEGYIDESVQLLHCLSGTNCSPGLVTYRIAIEGLARMRSTKSAKEMYREMVEKGIKPDDLTHRILIRALCRVYEFEDAVELLKVMYWKRQTINNDAYICVIIGLCKQKKLDIAIQALELMVKCPCKPDRKMYYFLIKSLAYEGMVKEANALYQKLIEWRFLKRTRLI >CAK8570234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30574824:30577114:1 gene:gene-LATHSAT_LOCUS23004 transcript:rna-LATHSAT_LOCUS23004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFVSMFHLETKRLLWLIGITFSVILAFQYLELPYGSVVLSLFSSDKVPTSENTNKTIVNNVTVFNQENSTHELGFVLEPEWPQNKSQGFDDSTTNVSPNITTAVLSTDDNMLLSQKENVTNSIKNESFRPSPPETGGDVQSKRSPVSTVPKEKQEFHTPVPEVTTVSEMNKLLIQSHASYRSMKPRWFSSVDQELLQARSEIENAPIVKNDPKLYGPIYHNVSMFKRSYELMEERLKVYVYREGARPILHSPFLTGIYASEGWFMKLMEANKRFVTKNPKKAHLFYLPFSSRMLEEALYVKDSHSHKNLIQYLHDYVDLIAARHSFWNRTGGADHFLVGCHDWAPSETKLRLATCIRALCNADVKEGFFFGKDASLPETYVRNAQNPTRDLGGNTFSKKTTLAFFAGSMHGYVRPILLKHWENKDPDMKIFGKLPKSKGNSNYIQYMKSSKYCICARGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLDWESFTVVVLEKDIPNLKNILVSIPEKRYLRLLMRVKKVQKHFLWHKNPVKYDIFHMILHSIWYNRVFSAIS >CAK8539669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520955564:520957390:-1 gene:gene-LATHSAT_LOCUS8747 transcript:rna-LATHSAT_LOCUS8747 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGSLSRSIFSAARTIPTRPSLQNSSASSIRSHLLHPRRPPVTVPRSLGILGCTQSLMPLYNADAAARLTSHISVDFRACCELSQGT >CAK8573572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621382307:621382921:1 gene:gene-LATHSAT_LOCUS26005 transcript:rna-LATHSAT_LOCUS26005 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNQISDSHDSCSSSERFLKPKKPAGRKKFRETRHPVYRGVRKRDSGKWVCEVREPNKKTRIWLGTFVTPEMAARAHDVAAIALRGKSACLNFADSAWRLPVPATSDARDIQKAAAEAAEAFRPETKFQNSEDSEERKDNEFSSTVMVAGAETAMEQREEEEDTVPEYLRNMVIMSPARYWGNEFCFDDVEFGDTEVSLWSF >CAK8542664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535888740:535892137:-1 gene:gene-LATHSAT_LOCUS11484 transcript:rna-LATHSAT_LOCUS11484 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDNKWEWRRTRTTLMEELKKMSSIAGPMVATTVLQYLLQVVSVMMVGHLNQLSLSSVAIATSLTNVSGFSILSGMAGGLETLCGQAYGAGQFEKFGMYTYTAVISLTMVCAPITIIWIFMDKILILIGQDTTISLEARTYALWLIPALFASAILKPLTRFFQTQSLIFPMIISSFLVLCFHVVTCWALVFKLGLGHIGAAISFSLGTWLNVLILLCFVKYSYACEKTRVSFSTKAFIGVREFFGLAVPSAAMVCLKWWACELLVLLAGLFQDPKLETSVLSICLTISTLHFTISYGLGAATSTRVSNELGAGNPKAVRFSVCTATILAISEALIVTAILLGCRHILGYAYTNDSMVVHYVAVMTPLLCASIFTDSLQAVLSGVARGSGWQHVGAYVNLGAFYLVGVPIGVVLGFVAHFRAKGLWIGIVSGSIVQTVFLFIITALTNWKKQAMMARKRIFDDSSSDECITDQKTSV >CAK8577132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539133768:539135722:1 gene:gene-LATHSAT_LOCUS29263 transcript:rna-LATHSAT_LOCUS29263 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMEIFSLGDTSKTVGKILTDMSSLYDIGRRKRSAGLLLIDRTLDLLTPCCHGDSLIDRIFSALPRRERTSSHVGSGSQLKLGSSYLQRAPLDVQIPLAKILNEDWKVDNFRLLESVEAFLCGWDSGNPDSQVGDLINLSQKIHDKPSHAGIDILTGSFVSSDNFRGMPFLEAILDRRTKDGALLVKKWLQETLRRENVTVNVKSRPSIVTTPELQAMIKALSRSQSSFLRNKGIIQLASATLSALEESNCTKWDAFSSAVKILSVSSGETSQSLAAQIGDLINKSALLGSHENKGKRDISKGLISLQDALLLMIIGYILAGENFPTSGSEGPFSWQEERLLKEAVVDTLLENPSVVNLKFLDGLKKELEANVSKLKSEEATEVLDIDDFDDDQWGKWGEEDGEDDNKSEQVYDDMQLKLELRDRVDNFFKFLHKLSDLKRKNVPLRDGSLTVGGNFDEDTYVGKGLVYKLLTRVLGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNVILLFVIGGINGLEVREAMEALAESGRPDIELLVGGTTLLTPDDMHDLLLGDSSYF >CAK8543529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:616732580:616733172:-1 gene:gene-LATHSAT_LOCUS12281 transcript:rna-LATHSAT_LOCUS12281 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGKTPHHCAPGYRTFLDHFEEDDFIWRPYLELEDEDPTESDMWSSTTFIFSFTYVEMHHSDRVKLQFGIKQDIPGPPTCMEMYHKSTVNDQWKFDNWRDHNIQEHQHWINRHRTVLRGIVMDTECKPSREYMCWYRSVTNLYLSQNRYLWDPQNQPTSSNFQNQPTSSNF >CAK8574009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653943783:653945227:-1 gene:gene-LATHSAT_LOCUS26401 transcript:rna-LATHSAT_LOCUS26401 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSITLIVAIVSLHLFAFVFAIGAERRRSQATVVPDEYDDRSFCRYTTDASTVYGLAACSLLLLSQAILYAVTRCLCCGKGLVSGCSTTCAVTFFVLSWLGFLGAEACLLAGSARNAYHTKYQGYFTKHDLSCATLRKGVFAAGAALTLFSMLASILYYWAHCKADTGFWEKHQNEGIGLATHNHGPESDKP >CAK8570720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:96545074:96546417:1 gene:gene-LATHSAT_LOCUS23453 transcript:rna-LATHSAT_LOCUS23453 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQQSPPSPPPSPPSRIILGKYRLTKFLGRGNFAKVYQATSLIDGSTVAVKVIDKSKTVDASMEPRIVREIDAMRRLQNHQNILKIHEVLATKTKIYLIVDFAGGGELFYKLSRRGRFTESVARSYFQQLVSALCFCHKNGVAHRDLKPQNLLLDADGNLKVSDFGLSALPEQLQNGLLHTACGTPAYTAPEILGRIGYDGSKADAWSCGVILYVLLAGHLPFDDSNIPAMCKKIMRRDFQFPEWISKPARYLIYQLLDPNPKTRIKLENVFRNAWFKKSLREEPEVKVFESELYNKYCCEGNKKLELGMNAFDIISMSSGLDLSGLFETTSFRTEKRFTSSEEIGVVEEKVKEIGGGLGFRVEIGKNGTIGLGKGKVTMVVEVFKIVDNLLLVALKLENGGMEFEDLHWNDWKIGLQDVVISWHNYESKFPCNNNVDESERKIT >CAK8537965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:451650803:451654651:-1 gene:gene-LATHSAT_LOCUS7211 transcript:rna-LATHSAT_LOCUS7211 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFLREWSCHLCKGKTDEYLQARQAYIAELRKRYDAALERRTKILEIIRSLDLPNNPLDDITDQLGGSDKVAEITRRRGMLVRGPTGKGVTYQVCNTKDVTMEMVNMHEKQLFMDGIKFVAIISEAGSAGVSLQADKRAANQKRRVHLTLELPWSADRAIQEFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDHRARPSLSTYNYDSAYVKRALVIMYKGIMEQVSYYSLK >CAK8539965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532586957:532587506:1 gene:gene-LATHSAT_LOCUS9012 transcript:rna-LATHSAT_LOCUS9012 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHRWRVGIMTEIAPIGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKQTLLHELAHMIYSEHDANFYG >CAK8570393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42715118:42719346:1 gene:gene-LATHSAT_LOCUS23142 transcript:rna-LATHSAT_LOCUS23142 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKNFFHNLIKPFKFGSTREGRSEDDIQNIATQEQRTFSFETLVAATNNFQALNKLGEGGFGPVYKGKLNDGREIAVKKLSHGSNQGKKQFVAEAKLLTRVQHRNVVNLFGYCIHGREKLLVYEYVPRESLDKFLFKSKKKEGLDWKRRFDIINGVARGLLYLHEDSHNCIIHRDIKAANILLDEKWVPKIADFGLARLFPEDETHVNTRVAGTHGYMAPEYLMHGHLSVKADVYSYGVLVLELITGRRNSSSDPAFNGDNLLNWAYKLYKKGRWFEMVDPTVASSVEITEQVVVCIRMSLLCTQGDPQLRPTMGRVVLMLSKKPPSHMEEPTRPGIPGSRYRRTVPRSHTSFTTDDENESSASHVDSSNYDTTIATATSSTSSATRTSSATAQADLRGKRPMLSS >CAK8573018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577372798:577373217:-1 gene:gene-LATHSAT_LOCUS25513 transcript:rna-LATHSAT_LOCUS25513 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGVPSYGRLIDIIVLSYDDFTLPMFKCEWANTTNPRGIKIDKLDFTSINFAKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPKDLYNMGGNDEIMSPIESYPSQNLEQIFSNDDIGTSSANDNNN >CAK8576315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:456170306:456171478:-1 gene:gene-LATHSAT_LOCUS28513 transcript:rna-LATHSAT_LOCUS28513 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSMGKKVRNHIPYDLGISILSNSPLKSLKRFGCVCKSWGILFENSNFLSIFSNNLISNHHSYYDDTSLLLQQTITEYDRSVYHSLYSLYGDSFINRVKLEWPNPFHLDKPHFYILDSGSINGTLCMYENVNGVCRIVIWNPNINELIVIPRSPVEFVPPPSEVYIVVLHGFGYDHIQDDYKIIRFVMIGNPDKYTSEAVYWEIYSLKRNSWKTLHMDMPPCGIDITGERIYIFGMCHWIHKSSYINKDDLYLVSFNLNDEIFNTTFIPLFMDANLNHEYFSTQLVILNGSIATISWYDGTTTFYISILGELGVKESWIHLFIVGPLSCVDRPIGIGKEGDIFFRKQDGELILFDLRTNLISNLGIKGCYHSQIVILKESFLQIGGINL >CAK8579257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688638439:688640179:1 gene:gene-LATHSAT_LOCUS31217 transcript:rna-LATHSAT_LOCUS31217 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEHDEVKSESLLEKISGKIHDHDSSSSSSDSDNEKEKKSSSPSSLKNKVYRLFGREKPLHNVLGGGKPADVFLWRNKKISATTLGVATAFWVLFELLEYHLLTLVSHLAILALAVLFLWSNASTFINKTPPKIPHVHIPEEPVLQIASAIRIEINRAFTLLREIASGRDLKKFLSVIAGLWVLSIVGSWANFLTLFYIAFVLLHTVPVLYEKYEDHVDSFGEKAHVELKKQYAVFDEKVLSKIPKGPLKDKKKD >CAK8544256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674211928:674212910:-1 gene:gene-LATHSAT_LOCUS12950 transcript:rna-LATHSAT_LOCUS12950-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSLKLNLLHSTTLCPRSITRITPFSSSFSITVTLTHHQQQPAFSSSSSSPKIVHITRTHSHSHSFPEPDNDQVEDLRVPPHWSLPTNASKESEWLRVTLHKWLDDEYCPEETNVEISRVAAKSYYNSLIQKQTDLSDILLNMARELESISYKESFHGAFSSANAAVSLIAQRVETFCHPS >CAK8544255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674211928:674212916:-1 gene:gene-LATHSAT_LOCUS12950 transcript:rna-LATHSAT_LOCUS12950 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMQSLKLNLLHSTTLCPRSITRITPFSSSFSITVTLTHHQQQPAFSSSSSSPKIVHITRTHSHSHSFPEPDNDQVEDLRVPPHWSLPTNASKESEWLRVTLHKWLDDEYCPEETNVEISRVAAKSYYNSLIQKQTDLSDILLNMARELESISYKESFHGAFSSANAAVSLIAQRVETFCHPS >CAK8564784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9553677:9555949:-1 gene:gene-LATHSAT_LOCUS18051 transcript:rna-LATHSAT_LOCUS18051 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSRYVKLTKDQTPHEDINPGELNQPIEVPQLAVPKCMECGQALPESYAPPADEPWMTGICGCAEDRDSCLTGLFCPCVLFGRNVESLYEDATWNAPCICHAIFIEGGIALATATAILNGIIDPGTSFLIVEGLFFTWWMCGIYTGQVRQTLQKNYHLENSPADPCCVHCFLHWCALCQEHREMKGRLSDSNSSETTLVNAPPIQEMKSIGEKESPESSSSSTANNSGHTSLELQAL >CAK8573116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586229629:586233520:1 gene:gene-LATHSAT_LOCUS25601 transcript:rna-LATHSAT_LOCUS25601 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAATMAMTALCFTKSNKLTSSFRTLPRLTCSSLSSSPFKFNISFSPSNPKPKPDNPNQFPDDDDGDMSPRIVRGENGNLKLSSEPPPTFLKAMTNASTGTKKSVKNQTAKAKKKKNEKETKVRDVAPPQYSKAARRFYNEKFKDSGTRLSKVLAASGVASRRSCEELIFEGKVTVNGSVCNTPQTKVDPSKDIIYVNGSRLPKRQPHKVYLALNKPKGYICSSGEKESKSVITLFDDFLKSWDKKHPGVPPPRLFTVGRLDVATTGLLIVTNDGDFAQKLSHPSSNFSKEYIATVNGLVHKRHLTTISEGTTIEGVHCVPDSVELFPRMPDTQRSRLRIVVHDGRKHEVRELVKNAGLEIHSLKRIRIGGFRLPPDLGLGKYIELNPTNLKALGGKVNEVNS >CAK8532593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:312923688:312935277:-1 gene:gene-LATHSAT_LOCUS2282 transcript:rna-LATHSAT_LOCUS2282 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLRSAVILVLPAVLIVGALVYTRFIETPDIFSSVSSTETILITKSYETPIKPINQIELPLNCNGYNLTKTCPSNNSEISFHNQARSSNSTCPDYFRWIHEDLRPWAHTGITREMVEKAKPTANFKLVILKGKVYLETYEKAFQTRDTFTLWGILQLLRRYPRMLPDLELMFDCVDWPVVSIGQNNVVDPPPLFRYCGNDDTLDLVFPDWSFWGWAEVNVKPWEILLRELKEGNKRISWDKREPYAYWKGNPSVAETRQDLMTCNVSDKQDWNARLYAQDWGRESQEGYKKSDLASQCTHRYKVYIEGSAWSVSEKYILACNSPTLLVKPHYYDFFTRGLIPVHHYWPIKEDDKCKSIKYAVDWGNSHTEKAQNIGKTASDFIQQELKMDYVYDYMFHLLNSYATLFRYQPSISDKAVELCVESMVCNAQGTEKKFMMESLVKGPSNTDPCTMPPPYDPPSLQAQIRRKQSLIQQIESKEKGYWKKQNVKS >CAK8579156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680494648:680495019:1 gene:gene-LATHSAT_LOCUS31124 transcript:rna-LATHSAT_LOCUS31124 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAANTSHSNAWEKIMYGIKNVSKEAFKHLWKIPPRFWSKSKFTTGPKCDTLVNNMLEAFNSVFGDERGKPVVTMLEEIRVYLMQRWESNRQKIAKCADTIMPNIKKRLEKESQRTNSWVVK >CAK8565789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:333530730:333531056:1 gene:gene-LATHSAT_LOCUS18971 transcript:rna-LATHSAT_LOCUS18971 gene_biotype:protein_coding transcript_biotype:protein_coding MFKILLLGLFFLISILTFSAHEIAATSQIEGLTTSKQQRTQRTSVGYLHAVQHTMVSKKSKTVYGGANNVKSHKGKSSANTNLIKSSSLFMVVLSHLILAMILVGGFF >CAK8577269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551045256:551045573:-1 gene:gene-LATHSAT_LOCUS29390 transcript:rna-LATHSAT_LOCUS29390 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTN >CAK8533353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:606755557:606758574:-1 gene:gene-LATHSAT_LOCUS2989 transcript:rna-LATHSAT_LOCUS2989 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRLAKVSDGKNDHCDSEEMEMGFNVLSGGEGVKSKRFIVRPRIKVWMARAITTVILWTSVVQLIAIGEFWGPRLLKGMPYCFNHQDESSVVVKASVPAKVVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKSSFWADPSEFQDIFDVDNFIASLRDEVRILKQLPPRLKRRVELGLSYSMPPISWSDISYYEKQILPLIRKHKVVHLNRTDARLANNGLPLEIQKLRCRVNFNALRFTSQIEQLGRKIVNILRKKGPFLVLHLRYEMDMLAFSGCTHGCDSNEVEELTTLRYAYPWWKEKVINSELKRKDGLCPLTPEETSLILKALDIDHNIQIYIAAGEIFGGERRMAGLRATFPNLVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKRTIQLDRKHLVPLIDAFSNKSLSWDDFSIKVKKSHAKRMGNPKRRIVIPSRPKEEDYFYSNPYECLPLQDEPLSST >CAK8561777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:381604132:381606153:-1 gene:gene-LATHSAT_LOCUS15336 transcript:rna-LATHSAT_LOCUS15336 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTYVSMLITVFTLFYGLFNPACSISFNFSNFQPNLYLINFQGDAFCSNNVLQLTKNQLDGPITSSAGRASFDQPVKLYDKETQELTDFTTHFTFKMKAVIATQFGDGLSFFIAPFQSDIPMNSSGGYLGLFNNVTAFDTNKNQIVAVEFDSFQNDWDPSSDHVGINVNSIQSVQNVTWKRNIKNGSIANAWISYNSTTKNLSVFLTYANNPTFNGNSSLSHVIDLRDFLPEYVRIGFSAATGQWIELHNILSWSFKSNLESGNGKNIKVGLAVGLSVCLCSLSCFLCVLWFTLWRKRKGVLNKGKRDNNITGLDYSIDDDEFERGTGPKKFTYKQLSNATNGFDEKGKLGEGGFGGVYKGLLSKKTKMEVAVKRVSKGSRQGKKEYISEVRIISKLRHRNLVQLLGWCHEKNELLLVYEYMPNGSLDFHLFGKGVMLSWNIRYKIALGLASSLLYLHEEWEQCVVHRDIKSSNVMLDANFNSKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGKSSKESDVYSFGVVALEITCGRRPVEAREEAKKVRLVEWIWDLYGKGELFEGVDKGLNLEFDEKQMECLMVVGLWCCHPDFTMRPSIRQVINVLNFEGALPSLPSKLPVPMYFAPPMEMCRFSYNSDGLTNTTKGSSNYSSMSAGSRKSLL >CAK8577085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535981804:535983004:1 gene:gene-LATHSAT_LOCUS29224 transcript:rna-LATHSAT_LOCUS29224 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYCFHSVASFLLLAYTASCLSFSNPSLLGVHPLDVKYYSSEFIKCKDGSKSFSRDRLNDNFCDCHDGTDEPGTSACSAGKFYCRNLGSKPQFIVSSHVNDRFCDCCDGSDEYNGIVLCPNTCVMGGNTENMYGNYDSKVGNLDVFAEKETEHGVKSDESAHSLNGLKLAVVLQVVLVAFLVILWSFRCHTRYRRRRSR >CAK8536082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905803042:905805824:1 gene:gene-LATHSAT_LOCUS5483 transcript:rna-LATHSAT_LOCUS5483 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQHRNQKNKYSIIVPTYNERLNISLILYLIFKHLSDVDFEVIVVDDGSPDGTQEVVKQLQQVYGEDRILLRARPRKMGLGTAYIHGMKHASGNFVVIMDADLSHHPKYLPGFIRKQLETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPSVSDLTGSFRLYRKSALEDIISCCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLMTT >CAK8567037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481044484:481051240:1 gene:gene-LATHSAT_LOCUS20125 transcript:rna-LATHSAT_LOCUS20125 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMAPSSSKVLILVGAGLASSVVLNGGQLSAVIAQLQDVLKGVDDQVKISTAGYDTAVIAAQVRQLAQEIRELTLSRPMTIYNESSSRGGLASYLLPAAAIGAVGYCYMRWKDLSFSDVMFATKKNMANAVASVSKQLENVHETLASTKKHLIKRLEGLDLKVEEQNELGQHISNDVNAVKSDLSQIGCDVELIHQMMSGLEEKLKLVEGNQDVTNSGIWYLCKLADDINNEPNGRVYKGLAELTMTLDEKAPKGLQFIAETTDTIENSAIITKKVGLNFSDEKLPVSKSRVHRAYPVGISVSKGISDLV >CAK8540059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537442109:537444702:1 gene:gene-LATHSAT_LOCUS9097 transcript:rna-LATHSAT_LOCUS9097 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRLCIQPITAGVASLTADHTNAATSRSLPHSISFDFSPIHLPLLRSRRLRFPKPKFFLISCNSSLSRSSSGDHHHNNNDTDFLEASLLLSETITHYGMWRHRFQDELQWKSPKSSFPQHSSRTDNSLFRQDVLQRFQNPTIFLKISCDGDYVLPIVVGNIAIEKLIDAEVEQESGDFPDQFQFVKNLIERLDHEVVMVRITERVVSTYFARLYLSQPGKSDIISVDLRPSDAINVANRCKAPIYVSKEIVFTDAIRLGYGMGRVQNKKAVYDVLLDSPIDGPDLVAQELSMMHNMHLAIKHERFKEAAIWRDKLENIRKSSQEH >CAK8563225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584014405:584016564:-1 gene:gene-LATHSAT_LOCUS16657 transcript:rna-LATHSAT_LOCUS16657 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMQILERHKKSFSIAHMEDKKYIQNLEQELLNCSQEIDYLQDQLSARNTEVNYLKERARDLDLKFKEMEDLRKEVFSLREELKISNSKQFSLIRELETKEAELELSALSVEKLDESFSSMGLESQFEVETMKLDMMALEQDLFETKKIQDETLEENNRLSRFIDELQGALQDTQDTIVYLNEENKEIKEKLDAANMNTRLFSQKVENWLENKARLQIKDQSRSRAEDTRIYGETLGPLLGILATKLDPAAELKEEMDMSCQIREYEFLVEKLKEELRVEKLRAKDEAEDLVQEMAELRYQLTGLLEEERKRRACIEHVSLQRIAKLESQLQREHRNP >CAK8563226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584014405:584016510:-1 gene:gene-LATHSAT_LOCUS16657 transcript:rna-LATHSAT_LOCUS16657-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKYIQNLEQELLNCSQEIDYLQDQLSARNTEVNYLKERARDLDLKFKEMEDLRKEVFSLREELKISNSKQFSLIRELETKEAELELSALSVEKLDESFSSMGLESQFEVETMKLDMMALEQDLFETKKIQDETLEENNRLSRFIDELQGALQDTQDTIVYLNEENKEIKEKLDAANMNTRLFSQKVENWLENKARLQIKDQSRSRAEDTRIYGETLGPLLGILATKLDPAAELKEEMDMSCQIREYEFLVEKLKEELRVEKLRAKDEAEDLVQEMAELRYQLTGLLEEERKRRACIEHVSLQRIAKLESQLQREHRNP >CAK8567771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543619044:543619763:-1 gene:gene-LATHSAT_LOCUS20794 transcript:rna-LATHSAT_LOCUS20794 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSMRIACGGNNRFTAGVGIHKRCDICSVGIGDWTRSTVRKGRISAVATASGHENGLIMEQKRKRGGGKSDAVQAQERLDRWMRESVVDIVKNLKDAPLLVQVYSKRKSETVTIATEKAVMEEDWEVVKERWEAGESPMPDGVIFVEELGEDEAAEEDGGRGLQERTTKVWGIVVQGKGVGCGPVCYLLKTSRVGAGPGSGMSVFSTHFCLVRVKSFRETAQSQLKNSWLLQSQWLQ >CAK8570232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30525025:30528047:1 gene:gene-LATHSAT_LOCUS23002 transcript:rna-LATHSAT_LOCUS23002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVGGKYRIGRKIGSGSFGEIYIGAHVVTSELVAIKKENKKTKQPQLLYEAKLYNILKGGSGIPRMKWFGTDGDYNVLVLELMGPSLEDLLYYCEGKFSLKSVLMLADQMLTRIEYLHSKGLLHRDIKPDNFLMGLGKKANQVCMIDFGLSKGYRDPISYKHIPYRENKNLTGTARYASCNTHKGIEQSRRDDLESLGYVLLYFLRGSLPWQGLQAATRTQKYEKICKTKLSIRIEVLCKSYPVEFASYFHYCRSLTFDQRPDYGYLRRLFRELFTSKGYDADYLFDWTILKYQEIQQIKEQNESIAPVALPTIIEPVDADEHIEVNDCTQTSVAKPPIYSDRPRVCMNLRVANVESLDDEIESEKHNVNTGLPISTVTPIVSTENVPKPERTVEASNPDGVLGSKCGDSGDIIPSLRRVSSIN >CAK8566764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460740492:460742983:1 gene:gene-LATHSAT_LOCUS19874 transcript:rna-LATHSAT_LOCUS19874 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAFTLSPSLPLRNLNHTFTRSSTNLRLSAKLNGGAYPNPNGVSSSSSSFTRKSWSLSSPSSSFKFRPLPLLSTPDLSPPKATSESAGESADSNSLLKTLQLGSLFGLWYLFNIYFNIYNKQVLKACHFPVTVTVVQFAVGTVLVSVMWALNLYKRPKINGAMLAAIFPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAMFLGERPTPWVIGSLVPIVGGVALASVTEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKQEESLDNITLFSIITIMSFFLLAPAAIFMEGVKFTPAYLQSAGLNVRQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIIFKTPVSPVNALGTAVGLAGVFLYSRVKRIKSKPKAV >CAK8571998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500897632:500900019:1 gene:gene-LATHSAT_LOCUS24607 transcript:rna-LATHSAT_LOCUS24607 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRDMAYRYLTQLNHTSATQTIARAVHAHILTSGFKPNTFILNRLINFYCKSSNITYARKLFDKIPKPDIVARTTLLSAYSSSGDVKLARQLFNAIPLTIRDTVSYNAMITAYSHGNDGHAALNLFVQMRRQGFLPDPFTFSSVLSALSIIADEEWHCQMLHCEAIKWGTLSIPSVLNALLSCYVCCASSPLVKSSQLMASAKKVFDEAPKNQVYEPSWTTMIAGYVRNDDLAAARELLDGMTYPIDVAWNAMISGYVRRGLYEEAFDTFRRMHSMGMQEDEYTYTSLISACASCNVRRGMFNYGRQVHGYILRTVVEPSQHFVLSVSNALITFYTKYGRMVEARRVFDRMPARDIVSWNAVLSGYVNARRIEEANSIFREMPERNVLTWTVMISGLAQNGFGEEGLKLFNQMKSEGLEPCDYAYAGAITSCAVLGSLDNGQQIHSQVIRLGHDSGLSAGNALITMYARCGVVESAESVFLTMPYVDSVSWNAMIAALAQHGHGVQAIELFEQMLKEDILPDRITFLTILTACNHAGLVKEAHHYFDTMCTRYSITPGEDHYARLIDLLCRAGMFSEAKSVIKAMPFEPGAPIWEAFLAGCRIHGNMELGIQAADQLLELIPQQDGTYIILSNMYAALGQWDEVARVRLLMRERGVKKEPGCSWVEVENMVHVFLADDARHPEVQAVYTYLEQLVHEMRKLGYIPDTKFVLHDMESEHKEHALSTHSEKLAVVYGIMKLPLGATIRVFKNLRICGDCHNAFKFISKVVAREIVVRDRKRFHHFKNGECSCGNYW >CAK8565407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:171213668:171213949:-1 gene:gene-LATHSAT_LOCUS18625 transcript:rna-LATHSAT_LOCUS18625 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPLQTNTNETTSAQSSSAYDIIRRLTWTFVLLFLLLTLIIAIAWNVMDPHKPQFRVSTISVTNFSVSVSELKGVFEVELNITNPLLICNR >CAK8579579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708959194:708964618:-1 gene:gene-LATHSAT_LOCUS31513 transcript:rna-LATHSAT_LOCUS31513 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSNSKKIPINLEEASLNLLKLKDNNSRISFILILILIAWFIHKWIFSFSNWFPLALALWASSQYGNYQRKLLEEDLNKKWNRIIVNSSPATTLEQCEWLNQLLTQIWSNYFNPKLSNRLSAIVEKRLKLRKPRFIERVEVQEFSLGSRPPSLGLHGVRWSTSGHQRVLKMGFDWDTSEMSILMVAKLSVGAARIVINSLHIKGDLLVTPILDGKALLYSFVSTPEVRIGIAFGSGSSQSATELPGVSPWLVKLFTDTLVKTMVEPRRRCFSLPVVDLRKHVVGGMIYVSVISANRLSRSSFKGRQQNGTSNSCLEDNLSDKDLQTFIEVEAEELTRRTGVSPGSTPRWDTTFNMVLHDNTGIVRFNLYECPPNSVKYDYLACCEVKMRNVEDDSTIVWAIGPDSGVIAKHAKLCGEEVEMVVPFEGANSAELKVRIVVKEWQFSDGSHSLTNLDADPQKSLKGSSNLLSKTGRKLKITVVEAKDLAASDRFGKFDPYIKLQYGKVVMKTNIAPPPTTSTPVWNETFEVDEKNGDEYLIVKCFSEEIFGDENIGSAHVNLEGLVEGSIRDVWIPLEGVSSGELRLKIEAIRVDSKEGSKAPASATANGWIELVLIEARDLIAADLRGTSDPYVRVTYGNLKKRTKVVHKTLNPRWDQTLEFQDDGSPLILHVKDHNDFLPTSSIGECVVEYQRLPPNQMSDKWIPLQGVKSGEIHIRITRKVPEMQTRQSLDSENSLTKLHQIPSQIKEMTKKIRSLIEDGNLEELSTSLSELQTLEDTQEGYIAQLETEQMLLISKINELGQEMMNSSSSSLKRSGN >CAK8564493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678093769:678097046:-1 gene:gene-LATHSAT_LOCUS17794 transcript:rna-LATHSAT_LOCUS17794 gene_biotype:protein_coding transcript_biotype:protein_coding MDAINQLQKFIQKVDDPSLQTTFQNLHQGYVTETLTRFLKARDWDPSKAYQMLIDSLNWRVQNEIDNILSKPIIPAELYRAIRDSQLIGVSGYTREGLPVFAIGVGLSTFDKASVHYYVQSHIQMNEYRDRVILPSASKKHGRPITTCVKVLDMTGLKLSALNQIKLLTIVSSIDDQNYPEKTHTYFIVNAPYIFSACWKVVKPLLQERTRRKVQVLPGCGRDELLNIMDYASLPHFCRKEGSGSSRHLEGGSENVYSLDHPFHQQLYDYVKQQARLREAVAPIKQGSFHVVFPEPQDVDTRIAKTIESELDSLTLNNEKERLNNLTETRV >CAK8576526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487799018:487799978:1 gene:gene-LATHSAT_LOCUS28708 transcript:rna-LATHSAT_LOCUS28708 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRNSYLVQDVPNSYDKVEQNAVKRFKLLHIWLLREYLELDMKIQDPPKNCIVDFERIVDDFIFICFFAGNDFLPQLPSLDIYEGAIDLLMTIYKKEFHKLGGYLVDITKMGEKHSAFVKLSRVEKFVIMVGRYEEKIFNRRSAIRAKKLRRLIIDHENSKQVEQDACNFIDIENENSSDCALRINKASSFRILQNMIVFT >CAK8570262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31905537:31906798:-1 gene:gene-LATHSAT_LOCUS23026 transcript:rna-LATHSAT_LOCUS23026 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFLSLTCTPQSMLHSKHKSHTQSSTMSLLSPQLGQKGLYNPLRHNVSSPIQVKPKRIHVGGLVKASMNSKDVHVLSKEHLAISLAKYVADLSEKYIREKGSFTVVLSPGPVKYLRKLVEPPYCDTIDWAKWHVFLVDERVVPKTNVDSNYKLAYDGFISKVPIPRLNVNSIEDPLPADGAADAYETTLRRLVTRNVISTSSLTGFPKFDLLLLDMGPDGHIASLFPGHPALNETSKWVTYLTDAPNPPTKRITFTLPVINATSNIAMVVTGAGKADAVYAALEKAPNDDKLPIQKVNPEGDVKWFLDKGAALRLYK >CAK8533588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639734635:639736491:1 gene:gene-LATHSAT_LOCUS3207 transcript:rna-LATHSAT_LOCUS3207 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVCFGFGFSFRRLFLGALLSLGIMCFMLLTISTMNLQTKRTILVPINVNVISKHLKLVDMKRHVLHSNSKLVYVSKRRVPNGPDPIHNRRAAKYRQPPNQA >CAK8537590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407145961:407146104:1 gene:gene-LATHSAT_LOCUS6871 transcript:rna-LATHSAT_LOCUS6871-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWLFLVGGAICLVNSVDERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8537589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407145961:407146450:1 gene:gene-LATHSAT_LOCUS6871 transcript:rna-LATHSAT_LOCUS6871 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWLFLVGGAICLVNSVDERDLSLLNSYVEIIAIVGLQRGIPSNRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8542372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506279915:506280730:1 gene:gene-LATHSAT_LOCUS11216 transcript:rna-LATHSAT_LOCUS11216 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAFNSTLVTLIPKKYDARYLKYYRPIACCTMVYKVYSKVLTTRLAKVIGIIVNHNQAAFIPGQQLHNHILLAYELIKGYSRKNGTPRCLMQLDVQKAYDMLNWKALETILLEVGIPEKFVKWIMNGVTTVSYRYNINGENSRLMKSRRRIRQGDPISPFLFVIVMEYMSRLLYKMQRSPTFNHYSKCEKMELTHLTFIDDILLFCRGDKGYVELVNHTMQQFSNSTGLVVNPSKCNVYLRAVDEVEKHHILKMTRYNEGNSLSSILESL >CAK8530588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32672743:32674004:1 gene:gene-LATHSAT_LOCUS441 transcript:rna-LATHSAT_LOCUS441 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILKVEKNATDEELKKAYRKLAMKWHPDKNPNNKKEAETNFKQISEAYEVLSDSQKRAIYDQYGEEGLKGQVPPPSQEGGGGASFFQTGDGATTFRFNPRNADDMFAEFFGFSSPFGGMSNGGSGMRGGSRSFGGMFGDDMFSSFDDGGNRPIHRQGPRKAANIENRLPCSLEELYKGTTKKMKISREIADASGKTLPVEEILTIEVKPGWKKGTKITYPEKGNEQPNVIPADLVFVIDEKPHNVFTRDGNDLVVTQKISLAEALTGYNVRLTTLDGRVLNIPINNVIHPNYEEVVPKEGMPIPKDPSKKGNLRIKFNVKFPARLTSEQKVGIKKLLAS >CAK8544296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676956659:676957360:-1 gene:gene-LATHSAT_LOCUS12988 transcript:rna-LATHSAT_LOCUS12988 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWAVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDSDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPSCSENYVIEEHTISR >CAK8538604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488826046:488827409:1 gene:gene-LATHSAT_LOCUS7785 transcript:rna-LATHSAT_LOCUS7785 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNAYQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSRNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8569064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667721606:667728168:-1 gene:gene-LATHSAT_LOCUS21956 transcript:rna-LATHSAT_LOCUS21956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASSIFSPTQKHAAAALFALALNQSQIHQGRSPATPHGGGDASPTPAPTSPSNNDNSGLLFPIFRFLGVDEQAWHDLKETTGSSSQFKHNLKDFFKLLSEEGDSSSKGRLEKEAELTNAVDATEAGMKSSADSLCGGHEQKTRSQDNSHDAELKLFAVGSGPDGESKESSTLLPIETQSSTALENGKPLEEASIISYQRKVSVLYTLLSACVADTAEVDKKHFKSKQGYDARHRVSLRLLAVWLGVEWNEMEVMESMVAFSLMESVSKPGEEDQESVGSETSWDKWKRGGIVGAAAVTGGTIMAITGGLAAPAIAHGLGALAPTLGSIIPAVGGGFAAAATVTGSAAGSVAVAASFGAAGAGLTGTKMANRIGSLEEFELIEAGGTQQGHLAVRISISGLAFEENDFIKPWESYNDNMERYVLKYESKNLIALSTAIQDWLTSKLMNELMKRGAMMTVLSTLVAALAWPATLVTAFDLIDSKWAIAVDRSEKAGKVLAEVLLKGLQGNRPVTLIGFSLGALVIFKCLQFLADSKEDKAGLVERVVLLGAPISIQDEKWEVARKMVAGRFVNAYSTNDWTLGIAFRASLLTNGLAGIQPVDLPGIENVDVTHIIEGHSSYLGMTPKILEQLELDNYFAVYKGEHENPQKEKSTMN >CAK8569063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667721452:667728168:-1 gene:gene-LATHSAT_LOCUS21956 transcript:rna-LATHSAT_LOCUS21956 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASSIFSPTQKHAAAALFALALNQSQIHQGRSPATPHGGGDASPTPAPTSPSNNDNSGLLFPIFRFLGVDEQAWHDLKETTGSSSQFKHNLKDFFKLLSEEGDSSSKGRLEKEAELTNAVDATEAGMKSSADSLCGGHEQKTRSQDNSHDAELKLFAVGSGPDGESKESSTLLPIETQSSTALENGKPLEEASIISYQRKVSVLYTLLSACVADTAEVDKKHFKSKQGYDARHRVSLRLLAVWLGVEWNEMEVMESMVAFSLMESVSKPGEEDQESVGSETSWDKWKRGGIVGAAAVTGGTIMAITGGLAAPAIAHGLGALAPTLGSIIPAVGGGFAAAATVTGSAAGSVAVAASFGAAGAGLTGTKMANRIGSLEEFELIEAGGTQQGHLAVRISISGLAFEENDFIKPWESYNDNMERYVLKYESKNLIALSTAIQDWLTSKLMNELMKRGAMMTVLSTLVAALAWPATLVTAFDLIDSKWAIAVDRSEKAGKVLAEVLLKGLQGNRPVTLIGFSLGALVIFKCLQFLADSKEDKAGLVERVVLLGAPISIQDEKWEVARKMVAGRFVNAYSTNDWTLGIAFRASLLTNGLAGIQPVDLPGIENVDVTHIIEGHSSYLGMTPKILEQLELDNYFAVYKGY >CAK8543023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567535565:567537220:-1 gene:gene-LATHSAT_LOCUS11810 transcript:rna-LATHSAT_LOCUS11810 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKCCSSLFQRCKPYIAMISLQFGFAGMNIVAKVSLNRGMSHYVLVAYRHAFATAAIAPFAIVLERKIRPRITFLMFMQMFVSGLLGLVIDQNLYYAGVKFTSPTYSCAISNILPAMTFVMAVIFRMEKLDMRKLSCQVKVIGTVITVGGAMVMTLYKGQVIQILSSQYMHHPRDYVPENNTDSGEKDWVKGSIFLIIATFSWSSSFILQAVILRKYSAQLSLTAIVCFLGTLQSIAVTFVMETKPNVWNIGWDMNLFAAAYAGLISCLAYYVQGIVMQKKSRVFVTAFSPLMMIIVAIMSTFILAEKSYLGGVIGAILIVIGLYSVLWGKNKENIEIEAETITEGTKRCAENRMLETVIKEGVKTNDVEMQKDEEATKVFRVTIISASKI >CAK8540893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29687051:29692681:-1 gene:gene-LATHSAT_LOCUS9851 transcript:rna-LATHSAT_LOCUS9851 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRGSRAVIDPKIRMVGFFAPPTPPDFSTSANSLSPVMIPPPLHSSENPELDSQPSVVTSPSCDESTAVARSQNSCSSEMFPAPMSRSQSLKIVANDGKDGGGMVASTLPRGGGIPMCNLTTVSVVNADSFAVDEREKPIKGGGSAVEVKDEAVNSKQKKDKSSKAERRALQEAQRAAKATAKAEGNMASGTATSVNVKSAKAAKHPHKVANTSAAASEKKGGDRPSEKDRKKDVPHPRLQYDDKSRVEKAKRRAVVNQTEARNRVELFRHLPQYEHGSQLPDLEAKFFQLGPVHPSVYKVGLQYLSGDISGGNARCIAMLQAFQDVIKDYTVPPEKTLVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRISQLPRTHSESESKASLQADIERFIYEKIILADKMIVEHAVTKIRDGDVLLTYGSSSAVEMILLHAHKLRKQFRVVVVDSRPSHRGKLLLRRLLEEGLSCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSGVGTACVAMVAHAFHVPVIVCCEAFKFHERVQLDSICSNELGNPDVISKVSGRRDVNHLDAWADAENLQLLNLIYDATPSDYVSMIVTDYGMIPPTSVPVIVREYNREHVWI >CAK8577475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567147833:567148977:-1 gene:gene-LATHSAT_LOCUS29579 transcript:rna-LATHSAT_LOCUS29579 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEDQKQTESGRHQEVGHKSLLQSDALYQYILETSVFPREHEAMKELREITAKHPWNLMTTSADEGQFLSMLLKLINAKNTMEIGVYTGYSLLATALAIPEDGKILAMDINKENYELGLPVIKKAGVDHKIDFREGPALPVLDEMVKDEKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITICRRIK >CAK8537085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78501970:78503263:1 gene:gene-LATHSAT_LOCUS6401 transcript:rna-LATHSAT_LOCUS6401 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIPETFLLFTLVIFPSIAFRFGFGLDSGLRNDGNNAEEFGNLKSGNEIEDLKAGGENGKENFDAEKLIKKVAVDDRAKLKQGFYSQTCPDAEKIVAGALAEIVKVNPEAVAHLVRLQFHDCFVVGCDASILLDYSPTGDKVEKSSMFNGQLLKGADIVDDIKEKLEELCPGIVSCSDTLAFSINEGMHLSGLPRRVALGGRRDSVYSLASIAEDNNLPQPNWSMEKMVSLFIKKGFSIEEMVILLGAHSIGSAHCDVFMERVFNYKNTRNPDPTLPDPVVKEFQGICKDAGTRQFRNPPVNFDETPTVLDNLFYKNMLTKNRTVLVTDSHLINDPRTIPIVAKMAAENGLWQKRFAEAMDKMGALNVLTGNDGEVRKTCRATNI >CAK8573040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579206544:579208627:-1 gene:gene-LATHSAT_LOCUS25534 transcript:rna-LATHSAT_LOCUS25534 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTDPYGLPEDLLRLISSRTTTTEPPPPPYNIYPSSFHPPNNYSYDPSSIMVGDVFYPRSAFTHTHTHTHHYDYSSSTLNIPSTSSTTIAPATANSDHATASAAFFGCLETNSADNKEWLGNFDSCNNRWPRQETLSLLEIRSRLDSKFKENNQKAPLWNEISRIMAEEFGYQRSGKKCKEKFENLYKYYKKTKEGKASRQDGKHYRFFRQLEAICGESNTNTHASISDKSPHVAFVATQTPSFIINQENVNGVDDHGLINNLKYKSESLMSFSNSSEFETSSSENYDEDLSAIAHSMRSSSKQKGLELEKSDRRVRKSWRGKVEEIVDTHMKKIIETQDAWMERMLSVVEQREQEMASKEEERKRKESMRFDEEIHKLWAKEKAWVEARDAALLEVVRKHIGIEGTNNKNENGKNYEYPFESLENHRWTEMEISSLIQLRTSYEHQVREKGYLEDGVWDEIGEKMVYMGFNRNGAECKKIWDEISVSLRRTVDCGVKITRPWCLGLKVTDDDDI >CAK8531058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76313070:76313327:1 gene:gene-LATHSAT_LOCUS874 transcript:rna-LATHSAT_LOCUS874 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPRSDMKMNAIRSGIVVLGAVAFGYLSLRIGFKPYLEKAKADQYHHHQQQQHQNEVQQSESDSDSNLSSSFKETVSFPERSS >CAK8535600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865967164:865969159:1 gene:gene-LATHSAT_LOCUS5043 transcript:rna-LATHSAT_LOCUS5043 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAACSLGLHLTSDNNNNCFAPILKTTAMYDTNKPCFVLQKTRLSSSAITIIPRATAVSNVEDGNQSVADTVPTPVVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVVKAKVYLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTILNNMITFHPESSEQLALGAAFGLVPPKEQVDVDIATHLTVTDDGPERSLFYVETADRPGLLVDLVKGITDINIAVESGEFDTEGLLAKAKFHVSYNGKAIIKPLQQVLVNSLRYFLTRPSTEESSF >CAK8565477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233572027:233572641:-1 gene:gene-LATHSAT_LOCUS18691 transcript:rna-LATHSAT_LOCUS18691 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVLFSLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLAGLPPLASFFGKLSLFWCGWKAGRYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPVTSNNSIEVSMILCVIASTILGISMNPIIKMAQDTLF >CAK8577834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592241993:592242468:-1 gene:gene-LATHSAT_LOCUS29903 transcript:rna-LATHSAT_LOCUS29903 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHKFNESQREQLVLRRLNPEAKLETFLQWLQANRVELRGSNIKYCDSRKGFGIFSNKNVSDGILLVFPLELAITL >CAK8567564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525533402:525540093:-1 gene:gene-LATHSAT_LOCUS20605 transcript:rna-LATHSAT_LOCUS20605 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKHKSSKHSSRDYSDSEKDSGVKDRKSKEERESSAKVVKDSSSVEKRRLDSKDVHGNGEFSDEYGSSSKRRKESGGGDRWNGGDDGSKKSKAIGDSKSSKKRDGSVGGHGEGEEVKRSSGKHKDSSSTGRKENLEKEKEKDRKFKEGRIEESGDDQEQRLSKQVLENNDSKKTDELRSPEQDIQLERRLRKKRDGYGDGDKIQDEVGDGYDRHLSSRDDIAKDGKKKDDRRNDEKYRDKYREEKDKENKHRHDKQRDERPAKDHTTSRSDDKHAREEKNNLESRPKRTKHPESDRDHYRDREGERDRDFEYVVRDRERRHERDHDYDYDRDHDYDRDRDREWDWDRERDRDRDRHRDRDGSHGDDRSGRGKDGGTKKRTLDDRDDYSDSKARAVKSYYPDTEKRSLTSSRADSDIDRGRSLPRQAHADSTGTSSKHKSSPASNAHIGKDEYRNANADDMKYRDTIEQRTKSSRDGYSGISDRGPKYRLMEKPIKIDECPAGDLATERSSSAKASPMGLMERSPPSTSIERRYMNKSVKRNLEIDESGRRSSTDARDVSSDDRLGRELTLEKSLDEPSQADNTFYGRNQNNLPAVPPPHGFRSALDRPYMSSLEDDGRDNSNTRYRRSNEPAFVRGHGGNSWRAAPNWTSHVPNGFVPFPPGPGHGAFQTMMPQFSSQPLFGVRAPLDVNHAGIPYHIADADRFPGHLRPLVWPNLMDGTGPAHLHGWDNNNNGISRDDPHMYGGSDWDRSRHPTNSHGWESGSENWKEQNSDSKKDLPSPACKDESVPALIDNDVPHQTTRPSQDEHKHDESHEKSPETKLSSVRSPRKVPLNSLTTTDSEKVPDTLTPEDNSSLFTRFYLSKLDISEDLVLPELYDQCVCALNVDKKVSNDVVASTDLSLKNGSRAQQKYADTLPVVDNSIFQRAMDLYKKHRVKLPSKGDVDIFAASKANQVQMDESVPILSLENRQVSVSASDATKDAPTPVLQPREVETLSPAKVQLEEINQTCSPMEQDGDCTHTSMKIDMSSPSSGPKNQEAAVAALPNEEDKVTFDQLNSGDAEDKHSIAAKSEAPLDPTLHEEECKSMNIEATVTGFSQYPDEKPGFGDTKGNPLVFEDGSPKACDALMLGSNESESLIISRIHHSPESTH >CAK8531135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83369438:83372253:1 gene:gene-LATHSAT_LOCUS947 transcript:rna-LATHSAT_LOCUS947 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQDDHVLLVTKQKQDGLSDSGKRISDTEHDGDDDHHQVQVDGLMSDEEEEGQETTYGNSEVIRQLFEKLAQEQLHSEIGDADAGVDDDGGVSELDNHTDNNGGKKVHDASALTTLLTGEILTIDVEQNNVDNNRLSEEEKMKLEKLQQIRIKFLRLVLRLGVTAHESIAAQVLSRLALIAGSEKTSEIFSLAAAKETAFKLEAVGESLNFSLNILVLGKSGVGKSATINSIFGKVKTKTNAYGSATTAVKEIVGTVDGVSIRVFDTPGLKSSGMEQCYNKKVLSRILRLTKRKRIDMVLYVDRLDTQTKSLNDLPLLKSICDAFGPLIWRDTVITLTHAATAPPEGPLCAPLSYNVFVTQRSRAIQQAVGQAIRDERIMNPSVMNPVALVENHPSCRRNRDGHKVLPNGQTWRPLLLLLCYSMKILSEAASLSKTQELFDYKKVFGHRFRTPSLPYLLSWLMQQRIHPKLASDQDGIDTGNSEIEFAELSDSDRDEDEYDNLLPFRPLTKSQVAKLSREQKRAYFEEYDYRVKLLQKKQWKEELRRMREIKMNKGKTDLINEGYMEESGYPENESPDVVSTPLPDMTVPLSFDGDNPAFRYRSLEPTSQFLTRPMLDMNSWDHDYGYDGLTIENSLALANKFPASFGVQVSKDKEDFSIQLDSSIAAKHGENGSSMGGFDIQSIGKQLAYILRGETKFKNFKRNETCAGVSATFFGENVSTGLKVEDQIALGRCFLLMGSTGVMRCQGNSAYGTNVEVRYRDADFPIGQDQSSLGLSLVKWRGELALGANLQSQFSIRRSYKMAVRAGLNNKSIGQISVKTSSSEQLQFALVAVLPIVRAIYAKLWPKACENHSIF >CAK8543101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578655880:578657373:1 gene:gene-LATHSAT_LOCUS11885 transcript:rna-LATHSAT_LOCUS11885 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDTLLKTPNKLQFLHPLHGYSEKLASFKLQNHEVRFGFKKKPILRASSSALLELVPEFKKENLDFELPLFDSTKGTVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDKTWSGAVVYIDNKTKKDLDRPYGRVNRKLLKSKMLQKCISNGVKFHQAKVVKVVHEESKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPFDVDKMLFMDWRDSHLDGNMELKERNSKIPTFLYAMPFSSTKIFLEETSLVARPGLRMEDIQERMVARLKHLCINVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVAKTLAAAPIVANAIVQYLGSDRGLLGNEVSAQVWKDLWPIQKRRQREFFCFGMDILLKLDLPGTRRFFDAFFNLEPHYWHGFLSSRLYLPELFLFGLSLFSYASNTSRVEIMAKGTLPLVNMVNNLIKDKE >CAK8561941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415474478:415484917:-1 gene:gene-LATHSAT_LOCUS15486 transcript:rna-LATHSAT_LOCUS15486 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSLFRISFLLFILHQHSSVNAISPTSRSLLSLPPKPETALIATLDGTLYLMDTQENGSMRVIWSFSTGSPIYSSYQDFGKENNASAAPVSGVVECGDDWSLYMHDKHFGKMRISESIAEFVLRTPTISDDGAVILGSKEISLFEVDAKTGSIIQIHAKSDAGNIFAPLSDARQRVRNITRADHKDLADAMKLNLSQTLLKIFRTDYSLRSVSPNSGRVLWNLTVAEFEAVLLCEHTTFDVEDEYLSDNGLNFAMPYPCQEMQNVIRVKKKFLLESLITESLLGAFQESDILSVAASDLMIPLQPSMDRLREPLIEITSPGDVYLYKKSGWSTPLSSMLFTVFLLVLGFSLICFLLVKVIKNQDIPKDQNRGSGLKNSPAKRKKTHKSGKNRSTTDKMEKHLTSEDEDALTRKDANGEAWKTLNQTDEGANGRRIGKLFVSNEEIAKGSNGTIVLDGRYEGRPVAVKRLVKAHHSVAYKEIQILIVSDRHPNIVRWHGVEYDQDFIYLALERCTCNLDDLIHIYSDISENSTFRKDQALERLIEGKMETRKHDTQYLWKENGYPSPLLLKLMRDIVSGVVHLHELGIIHRDLKPQNVLINKERSLCAKLSDMGISKRLLENMSSLGHSATGGGSSGWQAPEQLVQECQTRAVDIFSLGCVLFFCMTRGRHPFGQNLERDINIVKNQKNLFLVQFIPEAEDLISCLLNPDPNLRPKAIEVLHHPFFWCSETRLSFLRDTSDRVELEHREISSGLLWALENTATTALGGKWDEKMEAAFIANISRYRRYKFNSVRHLLRVMRNKLNHYGELPQEIQELVGPVPEGYNDYFASRFPRLLIEVYNVICKYCKEEKCFQRYFKNDA >CAK8578248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614577751:614578542:1 gene:gene-LATHSAT_LOCUS30275 transcript:rna-LATHSAT_LOCUS30275 gene_biotype:protein_coding transcript_biotype:protein_coding MLESEKLEWIRKNQPKLRASKYNSLNDEGDQSQTPGSTIGKRVVLPSSYVGVRRFMDQLYYDGMTICSKMGFPDLFITFTCNLNWPAIQRVLTSLHLKAQDRPDVFSRIFKIMFDQLLSDLTKNCVLGKYPTPEDIDKIISVEVPDPLKDPKLYNLVKIHMVHSPCGLENRNSPYMKDMKCSKYYPKKIQSSTIVDQDGYPVYRRRDNGHTIEKNGTILNNGHGFLAIQVYC >CAK8540749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18983556:18987499:1 gene:gene-LATHSAT_LOCUS9723 transcript:rna-LATHSAT_LOCUS9723-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDPPPKSNKSLKRKRRLIRKKKKAAAAKENDSLNCNIVCEFENSTTDSSKASPIPSIGKSVGCLKKKLLILDINGILADIVSHPFPKKIKRDAMIAKKALFKRPFCSEFLNFCFEKFDVAVWSSRLEKNVNNIIDHLLGNMSQRLIFCWNISQCTTTNINTLGNDRKLVVFKDLRRIWDKYYPDLPWEKGYYNESNTLLLDDSPYKGLLNPPHNSIYPPTFNCRQLNDTSLGVGGRLRKYLERLANAENVSKFVEQNPFGQKRISETSESWSFYRDILHSLKPKN >CAK8540750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18983556:18987499:1 gene:gene-LATHSAT_LOCUS9723 transcript:rna-LATHSAT_LOCUS9723 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDPPPKSNKSLKRKRRLIRKKKKAAAAKENDSLNCNIVCEFENSTTEDSSKASPIPSIGKSVGCLKKKLLILDINGILADIVSHPFPKKIKRDAMIAKKALFKRPFCSEFLNFCFEKFDVAVWSSRLEKNVNNIIDHLLGNMSQRLIFCWNISQCTTTNINTLGNDRKLVVFKDLRRIWDKYYPDLPWEKGYYNESNTLLLDDSPYKGLLNPPHNSIYPPTFNCRQLNDTSLGVGGRLRKYLERLANAENVSKFVEQNPFGQKRISETSESWSFYRDILHSLKPKN >CAK8540751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18986051:18987499:1 gene:gene-LATHSAT_LOCUS9723 transcript:rna-LATHSAT_LOCUS9723-3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSITNEEDSSKASPIPSIGKSVGCLKKKLLILDINGILADIVSHPFPKKIKRDAMIAKKALFKRPFCSEFLNFCFEKFDVAVWSSRLEKNVNNIIDHLLGNMSQRLIFCWNISQCTTTNINTLGNDRKLVVFKDLRRIWDKYYPDLPWEKGYYNESNTLLLDDSPYKGLLNPPHNSIYPPTFNCRQLNDTSLGVGGRLRKYLERLANAENVSKFVEQNPFGQKRISETSESWSFYRDILHSLKPKN >CAK8535901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890148445:890151012:1 gene:gene-LATHSAT_LOCUS5319 transcript:rna-LATHSAT_LOCUS5319 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEDPPQNQAKRQKCSACYKQYKKKEHLIQHMKTSYHSIHQPQCAICRKHCKSFESLREHLMGPLAKGFCSAIFSHEGCKLCLTLADSPASLNEHKETCQLTAPVPLGTSEFPYTDSNIDFLDSSDENDADWRPKAIALDCEMVGGGSDGSLDVCARVCLVDEDENLILHTYVKPRIPVTNYRYDITGLTEEHLRDGMPLKQVREKILQILYNGESIGKVRLDGGKARLLVGHSLAYDLDCLEMSYPDHLLRDTAKYRPLLKTNCSSHSLKYLTRTYLGYDIQTGTHDPYEDCISAMRLYKRMRGQSHEEKGYGKLTPSDNIVEMCDRWRSKELDNLTPDELYAISESDYRCWCLDLKPKLAKA >CAK8577598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576923266:576924363:-1 gene:gene-LATHSAT_LOCUS29689 transcript:rna-LATHSAT_LOCUS29689 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKKRKTEENGDTNSPPPSDAAAAAVTTTLTPEDIHKILQPFTQDQLLDLLKSAALQHPNVLDDVRSVADRDTSRRKLFVRGLSGETTSETLRAVFAAYGDLDEAIVIFDKSTSRSKGYGFVVFRHVDGAILALKEPSKKIDGRMTVTQLAAAGAGSTGGDVSARKVFVGNVPFEVSAESLLSEFSKYGEIEEGPLGFDKASGKTRGFAFFVYKTEEGARNSLVESAKTVDGHQVICKLAVDNKKPKPGNQNPSGFPVDNSNSNYHAPNAAMMPQYGAPPNAYGQPPYGNQVPSGGGYGPGIGAGAPQYGGPVPGPGPVSGPRAHPPSVGGYPDGSQYGYPSQPMPMQRPPNGGMYQGVPPYY >CAK8572719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557964015:557971838:1 gene:gene-LATHSAT_LOCUS25249 transcript:rna-LATHSAT_LOCUS25249 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEVQLDDKAKRMRDLLSSFYSPDPSISSNSTGITSPKHASIDDINSSEFDPDQYMNILVYKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNISGMETNMEQLLDKIISVQSRSDNVNTSLFDKREHIEKLHRTCNLLRKVQFIYDLPDRLAKCIKSEAYADAVRFYIGATPIFKAYGDSSFQDCKQASEEAIANVIRNLQGKLFSDSESIQVRAEAAMLLKQLDFPVNNLKSQLLEKLEQSIRDIQLSPEEINNTSGDSSPSPSAHKVTTHEFMEAVRALLVIFPDSEKQLIKLAQDLVTKNFVIAKEYVQTRIHPADLLGVLRVVWNDVLLIDEVLPEATLSNHSLQAASAVVTVYVRSAFYHLLQDISDSFSQVLKKEGADQYSLEAVLDASRKAVLQGGMNVLLDFRKILDDDSGILVRLRELFVDLVQEGFQDFFRQLEDQFLLISGRNNSSAIQVHGLVAEGAIVEKAFPGLVLVLAQLSAFIEQTVIPKITEEIAASFSGGSVRGYESRPAFVPGEICRNFRSAGEKFLHLYINMRTQRISLILKKRFTTPNWVKHKEPREVHMFVDFFLQELEAIVNEVKQILPQGTRKHRRTDSNGSSVSSRSNPLREEKLGRSNTQRARSQLLETHLAKLFKQKVEIFTKIEYTQESVVTTIVKLCLKSLQEFVRLHTFNRSGFQQVQLDILFLRTPFREIVEDEAAVDFLLDEVIVATAERCLDPIPLEPPILDKLIQAKLTKTKEQSTTIST >CAK8564178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655078546:655079079:1 gene:gene-LATHSAT_LOCUS17506 transcript:rna-LATHSAT_LOCUS17506 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNITLEEMLKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKIARFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRTAIRARCHYVNKKWLGGMLTNWYTIETRLRKFRDLRTEQKTGKLNSLPKRDVAILKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKAL >CAK8571648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454731084:454732256:1 gene:gene-LATHSAT_LOCUS24289 transcript:rna-LATHSAT_LOCUS24289 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKICDQVSDAILDACLEQDPNSKVACETCTKTNMVMVFGEITTKATVNYEKIVRDTCRGIGFVSDDVGLDADKCNVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKIGAKLTEVRKNKTCPWVRPDGKTQVTVEYKNDNGAMVPIRVHTILISTQHDETVTNEKIAADLKEHVIKPVVPAEYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKESFDFRPGMIAIHLDLTRGGNFRYQKTAAYGHFGRDDPDFTWETVKILKPKA >CAK8534187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702581672:702582148:-1 gene:gene-LATHSAT_LOCUS3749 transcript:rna-LATHSAT_LOCUS3749 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKILVVFVLFLNLISKSFQNPNPNPKIPKSVPSPAHLELASYGFPVGLLPETTVLSHVINQTTGDFSVKLGGACKITLPPDNYVATYSDTITGKIVKGKIAELDGIRVRAFFKWWSITGIRSSGDDIVFEVGVVTAKYASKNFEDSLACEGQHSSS >CAK8541492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:203931416:203935421:1 gene:gene-LATHSAT_LOCUS10409 transcript:rna-LATHSAT_LOCUS10409 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSCNGVDRVQLTAQCGFMGRPTSFFSSRTSVTGFRSTKTCPVYAVASAKEGAVVNRVEPENGSLEDRLRLGSLTEDGFSYKEKFIVRSYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTPTMRKLRLIWVTARMHIEVYKYPAWSDVVEIETWCQAEGRAGTRRDFIIKDYATSQVIGRATSKWLMMNQDTRRLQKMSEELRDEYLVFCPRELRLAIPEADSNCLKKIPKLVDPAQSSSLGLVPRRADLDMNQHVNNVTYIGWVLESVPQEIIDTHELQSITLDYRRECQRNDIVDSLTSEETSEDVPELKGTNGSAKANDDKQEHQQFLHLLRLSTDGLEINRGRTEWRKKAPR >CAK8563721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626843751:626844244:1 gene:gene-LATHSAT_LOCUS17100 transcript:rna-LATHSAT_LOCUS17100 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWNLATTYKNYDATDIMDALIELLILLAASKGMYIDWCLERLVRHFTAPKHVIDFLKNENGADRKNKVLSLVHDALKQIANLVALAPMRLSPTVIQNIPKRYDVTEHVSSDCIFSEMVVANSCCISYSI >CAK8540097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539606826:539609782:-1 gene:gene-LATHSAT_LOCUS9134 transcript:rna-LATHSAT_LOCUS9134 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSNLLLFNNTIQPELMRSVHVYRAECDGEETEVEREFVFSETASYVEMQATPIFTLLKSFVCREISQGDKNGVWLCVFAFHASNSPQFSHIPTLLLVTRNPKLLAIPNLLNDLQMIYKLNPKEEHSEIPDNSTMDKPKGNNNECQPAKKVSLVFEQDLNYLPYEKDEPELLGEGTDFESSSGFVGKKKRAPSYHVAKITLSDLVKYFDMPIAEASKKLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIQSLQEEAKRQEMENKAAAMAAIRKQKMLESEKENIEKKPFMDIGSETKRFRQDIFKRRHRARVIEKQNSTVAST >CAK8567252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498219287:498222112:1 gene:gene-LATHSAT_LOCUS20321 transcript:rna-LATHSAT_LOCUS20321 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEGKEMKPPPIRRTYSRSASWTDRSPNSRKPPRPCLPPLQPLSINKRSVEEWPSAGSDDLGVWPLPQTPRGSITSNEPTQDFQFKRDKLAFFDKECSRIAEHIYLGSDTVAKNHELLRQKGITHVLNCVGFVCPEYFKSDFVYKTLWLQDSPTEDITCVLYDVFDYFEDVREQGGRVLVHCCQGVSRSTALVIAYLMWRKGQSFEDAFQFVKTARGVTNPNMGFACQLLQCQKRVHAMPASPNSILRMYRMAPHSPYDPLHLVPKMVNQPGSLALDSRGAFIVHVPSAIYVWIGKNCNLFMSCNAKSAAFQVVRYERANGPILSIHEDQESTEFWAALFDEQRLSGDSDKQLVLPTEKMEIGLRKVDAYDLDFEIFHKAISGGVVPPFSETSAGSETCLPAREHGWAKLRRKFASGVMKEILTSPKWKCDVIMEEEKQDFGADDDDPLSASPSSNHPSDCGSPDSFECYLTSLDRGKDTVETMDSSESDPGVSSLPPLSPCGSYFPCGFLSSSPKFNSKSPTLSPSSSDYASSFTFSPSSTNWSDLSFVSSQQPSPSGPESIEPLYVKDLSFSTSSSLLNKEVLLSSPSESFSTDQTLGGENSYLPSKGSFLSIAQRRGSNIPPRMLLPSVKESSKVHKNLEEYTY >CAK8567253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498219287:498221431:1 gene:gene-LATHSAT_LOCUS20321 transcript:rna-LATHSAT_LOCUS20321-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEGKEMKPPPIRRTYSRSASWTDRSPNSRKPPRPCLPPLQPLSINKRSVEEWPSAGSDDLGVWPLPQTPRGSITSNEPTQDFQFKRDKLAFFDKECSRIAEHIYLGSDTVAKNHELLRQKGITHVLNCVGFVCPEYFKSDFVYKTLWLQDSPTEDITCVLYDVFDYFEDVREQGGRVLVHCCQGVSRSTALVIAYLMWRKGQSFEDAFQFVKTARGVTNPNMGFACQLLQCQKRVHAMPASPNSILRMYRMAPHSPYDPLHLVPKMVNQPGSLALDSRGAFIVHVPSAIYVWIGKNCNLFMSCNAKSAAFQVVRYERANGPILSIHEDQESTEFWAALFDEQRLSGDSDKQLVLPTEKMEIGLRKVDAYDLDFEIFHKAISGGVVPPFSETSAGSETCLPAREHGWAKLRRKFASGVMKEILTSPKWKCDVIMEEEKQDFGADDDDPLSASPSSNHPSDCGSPDSFECYLTSLDRGKDTVETMDSSESDPGVSSLPPLSPCGSYFPCGFLSSSPKFNSKSPTLSPSSSDYASSFTFSPSSTNWSDLSFVSSQQPSPSGPESIEPLYVKDLSFSTSSSLLNKEVLLSSPSESFSTDQTLGGENSYLPSKGSFLSIAQRRGSNIPPRMLLPSVKESSKVHKNLVRSQSFHLPDLDVKKDDNYNKSDNENSRKWLIADDDIISSAVEQQSEISIKEDHGIMLCNMMAELEVEEK >CAK8573471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615008823:615011830:1 gene:gene-LATHSAT_LOCUS25917 transcript:rna-LATHSAT_LOCUS25917 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHTPLLNGAVESPALLEEDGDYAEVKGFEQARKVFAIETVRIWKIALPIVFNILCQYGVNSITNIFVGHLGDIELSAISLINSVIGTFAFGFMLGMGSATETLCGQAFGAGQVQMLGVYMQRSWIILFVTSLFLLPIYIFAAPILKLLGQQKDMADLAGSFALLVIPQFLSLCFNFPTQKFLQAQSKVNVIAWIGLVALISHIGLLWLLIYVFELGLTGAAIAFDVTSWGITLAQLVYVVGWCKDGWNGLSWMAFKDIWSFVRLSIASAVMLCLEVWYMMSLIVLAGHLDNAVIAVDSISICMNYNGWEGMIFIGVNAAISVRVSNELGLRHPRAAKYSVYVTVIQSLFMGIFFMGVILLTKNYFAIIFTNSKTLQVAVAKLGGLLAVTMVLNSVQPVISGVAVGGGWQALVAYINIGCYYLFGLPLGYLLGYVAELGVKGLWGGMICGILLQTLLLLGILYKTNWNKEVDDTTARVQQWGGQSAEGDKTLASS >CAK8535536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860051728:860052282:1 gene:gene-LATHSAT_LOCUS4988 transcript:rna-LATHSAT_LOCUS4988 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKFCLITSTAFLIIVTVVILTLILTIFKPKDPTISVGLPHFNLLSPNITMNMTLGMVITILNPNYGSFKYQNSVGYVTYHDTIVGNVPIESQLVPARSEINVTTNAEFMVGKLIQNPKFWSDIVQNGMVFNLTSTTELPGKAIVLKYIKVKAIAYCSCDISVNITSNGVESNCISRIKFF >CAK8567465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516304350:516308245:-1 gene:gene-LATHSAT_LOCUS20516 transcript:rna-LATHSAT_LOCUS20516 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFTRSRTLLRLHNYQHSFLLPKASRSPPFVNRSSNSHILPSFLLSSLRNESTKAQPQSEDLHHHDHQVIDFPGGKVGFTSEMRFISDTSHTRVPCYRVLNADGELVKQTNHVQVNKELAVRMHSEMVTLQTMDSIFYELQRQGRISFYLTTSGEEAVNIASAAALSSDDVILPQYREPGVLLWRGFTLQQFAHQCFGNTNDFGKGRQMPIHYGSNKHNYFTVSSPIATQLPQAVGAAYSLKMDGKSACAVTFCGDGSTSEGDFHAAMNFAAVMEAPVVFICRNNGWAISTPVEEQFRSDGIVVKGQAYGIWSIRVDGNDALAVYSAVHTAREIAIKEQRPVLIEALTYRVGHHSTSDDSTKYRSTGEIEYWKMERNPVNRFKRWVERSGWWSEKDELELRSSVRKQLMHAIQVAEKAQKPPLEDMFTDVYDKLSSNLEEQERVLRKTIEKHPKDYPSDVPL >CAK8568848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647056969:647057475:-1 gene:gene-LATHSAT_LOCUS21763 transcript:rna-LATHSAT_LOCUS21763 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEMHLQTLLIHILRQSYITGFEIVSAYDEDWPHTFAFISCMRNGAVEPFRVSLERMVPNDICFFPYEGHCQTCSFDVISLYSSLAACILRPPYEADHLGVYRRDLGAILDDFERRLVPQEYRQKPARVHWAYVEGYITWFYRVSHPIMTSCAPEGPPRLAYLEVL >CAK8571156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:318250359:318250782:-1 gene:gene-LATHSAT_LOCUS23846 transcript:rna-LATHSAT_LOCUS23846 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVGGFIELTCEACKGFTFCALWLLLYPGASCVLSCSEMDNYVTPWLIPCLFCASFSIRLEDPGLYFQSEYISALPDQISVVPNDWEPWRVS >CAK8574949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17312832:17314132:-1 gene:gene-LATHSAT_LOCUS27246 transcript:rna-LATHSAT_LOCUS27246 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFYNLKSESGLKKLNEYLLTRSYITGYQASKDDITVYSALPSVPSSEYENVARWFKHIDALLRISGVSGEGSGVTVESSLVAEAAVATPPVADTKATEPEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQLDGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDNMVEDYLTVEPINEYVQSCDIVAFNKI >CAK8544880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710225055:710226332:1 gene:gene-LATHSAT_LOCUS13525 transcript:rna-LATHSAT_LOCUS13525 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASTSTVLVPPLPRLLTTTTRCSALPYLPPPRLSTTTLSSSPFPKQFSESRKFSLHQTRASSSDDTSTSLDTNELFTDLKEKWDGLENKSTVLLYGGGGLVAVWLSSILVGAINSVPLLPKVMELVGLGYTGWFVYRYLLFKSSRKELATDIEALKKKIAGTE >CAK8540546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10518402:10521031:1 gene:gene-LATHSAT_LOCUS9543 transcript:rna-LATHSAT_LOCUS9543 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSSNPLVVGNVIGDVLDPFINSISLRVVYENNKEVINSAELKPSQIVNPPRVLVGGNDFRTLYTLVMVNPDAPNPCDPHMGEYLNWMVINIPGTTGTTFGQEIVSYESPRPTSGIHRMIFVLFQQPCRHTILPPGWRQNFITRDFAQVYNLGSPVAALYFNCQRENGSGGRRMII >CAK8561448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:219240238:219241708:1 gene:gene-LATHSAT_LOCUS15038 transcript:rna-LATHSAT_LOCUS15038 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLWTCFGNKGSRDGVEGSMKSDTTTEEPGRAGPVVVEMFSSQGCATSPAAELVLSRLGRGDFQLGMPVVLLAFHVDYWDYMGWKDPYGSSQWTVRQKAYVEALGLDTLLTPQVVVQGVAHCVGNDENALVDAINNATRYPSPTFQATFTKPTQDTLQLSLTGALRSKVDNNGVNILVALYENGLVTDCPRGENKGRVLSNDYVVRKLEKLCTEKDISAKKTITGSVSFPLWGGFNSSKCGAAVFVQSPSYQIFGSQSFQLPDNI >CAK8538652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490658657:490660630:1 gene:gene-LATHSAT_LOCUS7830 transcript:rna-LATHSAT_LOCUS7830 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYALVARGTVVLAEFTGTTTNASAIARQILEKIPGDNDTHVSYSQDRYIFHVKRTDGLTVLCMADENAGRRIPFAFLEEIHQKFVRTYGRAVLSAQAYGMNDEFSRVLSQQMEYFSSDPNADRINRLKGEMSQVRNVMIENIDKVLDRGDRLELLVDKAANMQGNTFRFRKQARRFRSNVWWRNVKLTIALIVVLLLIAYGVLAFVCHGPALPSCF >CAK8540515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9665935:9666432:-1 gene:gene-LATHSAT_LOCUS9515 transcript:rna-LATHSAT_LOCUS9515 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGKSMKHESKKVGIITKTWERCKSIGLGGVKIKYPSSSSVTPTTKRSKSWPNLLGEEIKIGKKVAPEGCFSVYVGPQMQRFVIKTEYCNHPLFKMLLEEAELEYGYSCQGPLTLPCNVDVFYKVLMEMDNETPPQGCTFGGNRSRSSYQLLSPSRMIILNDF >CAK8576400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470040686:470044506:1 gene:gene-LATHSAT_LOCUS28589 transcript:rna-LATHSAT_LOCUS28589 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAAFSSADADKALKDCELMIQKSLKSPIVKFLREHLEKAGCPVQDNFFKAIKCDKFHAGGYIPGEGIVVCGNRTEMQDDVNQAITHELIHAFDDCRAANLDWTDCAHHACSEIRAGHLSGDCHFKRELLRGFINIRGHEQECIKRRVLTSLSSNPFCSGSTAKDYMESVWDVCYNDTAPFDRAP >CAK8571416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:403884685:403894085:1 gene:gene-LATHSAT_LOCUS24083 transcript:rna-LATHSAT_LOCUS24083 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEMGRKEPQTEIPWIPTTPMKPILPKSVPICPPVEGNNQTHHHANGAVACSEFSNGSEKNKESHHGSVSVATIADIAGYNSKICEKTGSHNVSCWSDLDGSAKFLFPPEGASSNSYSKQLGNNNGLNDLFGPSVIETSDNACCSKRTSQGDAAKMLDKDEPSPNKELSDLVVEFTAVSSPLKENHNPDEGSSLCTGLTKTPEKKPRRKKHRPKVIREGKPKRTPKPATPKPAQSKGNTIGKRKYVRRKELNKTPTPATEMTGELTEKIPEAAEMSCRRSINFEIGAKDESSAGRGNTTALLDKENGVAVQETNVGLACDLNTPVKHASNSSMLLPEDTQVPDTSSQNTHPEAKPKETGTALQEVEVGLAYDMKAFTKQAAENNYLSICNNEHTPIVHPCKETGAELQDIDVALAYDIEPLMKQAAENNCKSFCNVEQTLSMSPSKTNSTEENSKGNLTGNKYQRRKRLNKSTSQTEMTGGLTETFMPESKETTISRFSMGAEDESSAHRQIVNVQMDDVVEETPVGLAYKDTWMKQALHSYMALPEDPNGERKCVRKKRSDRTFTPKKMAGELTKPIISEPAIISCRMSINFDTGGRDESSVCNESLTNDQNTLVKEIVHHYTSLSENTQAPSTCVPKRNLLEVKQNARNKNKRKGLAEAEDGNISNAQASTVKLQIVRCEREHSETTERADNGQASTVNLQMEMVGFEGEHSGTNEHADNSSMNLIGAHYNRLHSYQSKFPLQFPSTCLPKCNPPGRKRNARNKNKRKGLAEVEDGNVSNGQASTVNLQMVGCEREHSGITERADNGVASTVKLLMKMVGCEREHSGTTERADNSSVNLVGCEREYSGTTERADNSRVNLIGAHSNGLHSYQSKFPLQFSNIQKKRRTEKGKTSNTHITSSVITKNGVPLIFAPEDAHVHPYASKYSSWMYGLGYNAAVRPIINESRENYIQNTQTFDEFKLCLRRMAEISQLPNQTCDYNSLMRIRNCIERNYNAKQLDFSDWQLIQEAERPQTCVDVLAVSCAKKKRNRKRSDLSSSMRPNKDEMQQCHNSALGNHQLTLGKPSGTARRVMRKIMHNVEALTEQFRQLNINTGAKELALYGQSALVPFQGSFDPIKKQRPRPKVDLDEETDRVWKLLLLDINHDGVDGTDEDKAKWWEGERNVFRGRAESFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVTDHLSSSAFMSLAARFPKKSGSMCGEGTSLTVNKQQVCVVEPEENTKCDVNLLNESVCNQSSMTVDIIEHFEEKTVNSNDSCRITSSPIILTDESNFKRTESPQRNSTECHGSMVVIEDREEKSCHDGTGKELNDIISSQSSVISSQISGDFSNNQNPEKIGSCSDSNSEVEDLSSTAKYNTCGSFSKLLEMVSSTKFSEVNSQRSKSIENMRDDNATESWKKSNDTHRNLGDREVNCSDPFNTEALSCGILKNRDENEMNTPSFQTDKSAGCVAVTHSQTIASQVHPQEQSNHMQQSFFDIAGQTLDLIQKERDLNFGDHKDVVRSETKEISSAPIKLKTKSQVKEEKEQIDWDSLRRKAQAKAGKREKTEDTVDSLDWDAVRCADVGDIANTIKERGMNNRLAERIQKFLKRLVDDHGSIDLEWLRDVPPDQAKEYLLSVRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEQKSIVIAAGNSVPDENPPVVMSQLQLPLAGNTNQVEEIPETEVSGQLARSEVNICQPIIEEPTTPEPECLEQTLGDIEDAFYDDQCEIPTIKLNIEEFTMNLQNYMQQNMELQEGEMSKALVALNPEAASIPAPKLKNISRLRTEHCVYELPDTHPLLEGWDKREPDDPGKYLLAIWTPGETADSTQAPECKCSSRVEYGQLCNEKDCFSCNSFREANSQIVRGTLLIPCRTATRGSFPLNGTYFQVNEVFADHESSLNPVSVPRSWIWNLNRRTVYFGTSTTSIFKGLSTQEIQQAFWRGYICVRGFERKARTPRPLMARLHFPASKLAKTKEKPKKEPNPAKKSQVPKPAEKSQEPKPHPEQPELITNGHSLQEKGTA >CAK8564808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10943927:10945684:-1 gene:gene-LATHSAT_LOCUS18073 transcript:rna-LATHSAT_LOCUS18073 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSQIVSEDVAHPLEQEQEVQQIQGEGLSSLPPSALIEVSKRKPSRKPSTVWKDFKRVNDKAIGKYCGKQYAANSGSHGTTNMHKHLKVCLKNPNRVVDKKQKTIAIGKESEDDPNSVSLKLVDFNQERTRLTLAKMIIIDELPFKYVENEGFNMFMKEAQPRFKIPSRVTVARDCLCLYFDEKEKLKSMLSANKKMVSLTTDTWTSIQNMNYMCVTAHYIDDEWNLKKSILSFGIIADHKGETIGITLENCMKEWGIKSICCATLSFSGSLHVTANSFFKQLMDIKKTLNKWRHNVSDPILKTMTANMQLKYNKYWESNTINYLLFVAIYLDPRYKLDYIEFCFTRMYGEKLSEDMLKKLKSLIAKLFEHYLFLYPVSHDGGSNASSSNIASHSRIENGEDDEDWDNLFRMNMKKKQCEVQKNELERYLEDGVEDDSPTFNILTWWKGKTNKYHVLSRIARDILAIPVSTVSSESAFSTGGRVLDSFRSSLNPSTVEALICTQNWIKSPKVIDLEKELVELEKVESELAGLVSIDVGITAVELNTTVTTRF >CAK8567377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509742918:509746832:1 gene:gene-LATHSAT_LOCUS20437 transcript:rna-LATHSAT_LOCUS20437 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIPNTPITLNSHTFVDLKSPSTLSNYVSFSSSKRRQPPCLFTVRASDSDFEAAVVAGKVPEAPPVPPTPAAPAGTPVVPSLPIQRRPRRNRRSPALRSAFQETTLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLLEEVAKARDVGVNSVVLFPKIPDALKTPTGDEAYNEDGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQARAGADVVSPSDMMDGRVGAMRVALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALTEMREDESEGADILLVKPGLPYLDIIRLLRDNSPLPIAAYQVSGEYSMIKAGGALKMIDEEKVMMESLLCLRRAGADIILTYFALQAARTLCGEKR >CAK8578444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629698016:629699287:1 gene:gene-LATHSAT_LOCUS30456 transcript:rna-LATHSAT_LOCUS30456 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNYIVHAHFNGETFISENSGFGFQNTDVTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFYNNQVKYFQEKIQDNSDVDQMFDSHEHSRFDYIEVYLLLYQTEHEVGETTDIDEIDVVDEEEEDPEAMVDQMVNLFGTGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQFSGDDTSSDYFYNPAQQIEGVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKCYVVVCRNASCKFRLAASYRKRSDCWELGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYIPGTVVILESLPAYTPEGTCVAGSRIFLVSFGHFNHVSKVLRFANQLYKSMEHGCMENTKEHC >CAK8568976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661133196:661136255:-1 gene:gene-LATHSAT_LOCUS21879 transcript:rna-LATHSAT_LOCUS21879 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTTLQVRSKPRAILKPGGNIVPVHEETTKHDKKKTQGPTKHVTEVSDSLVVVGSNVSLDLDTTCSSDSSSARKVNSANGIGSSKMKRNGFKPPVRVVLQGAHVSPPYKGSPPSKTCDWITPNSDPIYTAFHDEEWGVPVVDDDTKLFELLVFSQALAEHTWPTILNHRDIFRHFFENFDPSSIAKFNEKKLLTPKINGNPLLSEQKVRAIVENAKQLLKVRNEFGSFSNYCWKFVNNKPIKNEFRYGRQIPVKTPKAELISKDLMRRGFQCVGPKVVYSFMQVSGLVNNHLLTCFRYQQCNVTMKNEIKTE >CAK8574721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7327491:7331555:1 gene:gene-LATHSAT_LOCUS27038 transcript:rna-LATHSAT_LOCUS27038 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVEDDVDYESDPEEANGSLLTMRRREASDDDEEDVEGEGEREDSVKSEDLRGRVRSDDSDGEGGVADYDDEEVEEEEEEYEEVEEEEEEYEEVEEGYEEKVEIRVGGSVVGVKESDGEVIPSLEEDSAEVNLEEKKENEPFAVPTAGAFYMHDDRFRDNSGARQRRMHGGRRLWESKDDKKWGHDKFEEISVQDRGFNERRRPSRGNFRGRGRIRGTDRGGHVRGNRREFNDRNDREYNDREYNDVRSRSQSQAPKVVVKGRGPRRYEPTNRRNGPAPQVHNKQSGKSQEKTSLVSSERFSVTASNAESDAMPAKKVSHVSSNLNYASPPFYPSGSSNKEVNLAPKRDVQIGNTSRNIHPVMDGGFQAQQNNVVHRGKNVSDSISMDKLYIDQSVGPSVGKPLNNVHLAPPGSSGVHASQSHFPRPAGSGRGAPISLQMNYPPALSHTQANKVSQTQLHDIQRSSASGRTSTSVQATAPQTGHRPGSGSQSSSPPKRAASINSLDSGEIDAASESGNAKGALVGKGRGAPHGAGRGPFVYGGAQVMGAAGNMGISQGDPNFPTFLPVMQFGGQHPGGMGVPAVGMAFPGYVANPQLGLGKSEMTWLPVLAGAAGALGAQYCSPYLAVDGAYGRQPGQTSAIDTSSKDNKANKANNELKPPQKSDLVNDEYGQRQNKPRRYSEMNFGQ >CAK8543341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601739776:601740204:1 gene:gene-LATHSAT_LOCUS12104 transcript:rna-LATHSAT_LOCUS12104 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGVAKITSVGLVATYPSGILTPYTYTLRNTGPDDVYIQIYHCGVCHSNLHQIKDDLGMSNYPMVPGHEVVDEVLEVGSNVTKFSVGEIVRVGLLVGCREKNAGLVIHTLNNIVTRRYGLTMVFIANPSFPIWFEAQAAM >CAK8539465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513363440:513364354:-1 gene:gene-LATHSAT_LOCUS8556 transcript:rna-LATHSAT_LOCUS8556 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWVKSLQCKSKAFEDVYNPNTKNRIHNTSCKMSVQNIKDVVVETTKHKPKPKPKLKKPLQKHHSSRYPTPSTKPDFESTMNRSRSTNATATVTTTTTTNRHHPVSDPRLSSLTELTEGHPSRNVVEIIFHTSWGPKPFSGRVEMIFKVQNGSRTVSRFEEYREAVKTRSGSVNTDSDDNHEENARCVADGNEVMRFHCLGPTSGGGPYGGASVGLFPGGKGTAICTFSGSSGAHESSGCGRGRRAMLVCRVIAGRVSKQVGFMDSLLDGRVGFDSVSGDNGELLVFDSRAVLPCFLIIYKL >CAK8573372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606319417:606319947:1 gene:gene-LATHSAT_LOCUS25826 transcript:rna-LATHSAT_LOCUS25826-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTVCYVFLGLILISQCPFAANARSSGLQGSLVSIVCSTSSNMAECNNILGSNPHAAQAKSYRDLAKVVLQMAYEKASAGQTFLKGLAATNNCPALTRCANFDYDGVVMSFKSALGELKEDSQTANYDAKVASDGTAQCDRGMAAGHVVNPQVTELNRQITFYSELAFLVTNYL >CAK8573370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606290794:606319947:1 gene:gene-LATHSAT_LOCUS25826 transcript:rna-LATHSAT_LOCUS25826 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSIICSVFLGLILISQCPFAVNARSSALQGSLISIVCSTSSNMAECNNILGSNPHAAQVKSYRDLAKVVLQMAYEKASAGQTFLKGLAATNNCPALTRCANFDYDGVVMSFKSALGELKEDSQTANYDAKVASDGTAQCDRGMAAGHVVNPQVTELNRQITFYSELAFLVTNYL >CAK8573371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606319414:606319947:1 gene:gene-LATHSAT_LOCUS25826 transcript:rna-LATHSAT_LOCUS25826-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSTVCYVFLGLILISQCPFAANARSSGLQGSLVSIVCSTSSNMAECNNILGSNPHAAQAKSYRDLAKVVLQMAYEKASAGQTFLKGLAATNNCPALTRCANFDYDGVVMSFKSALGELKEDSQTANYDAKVASDGTAQCDRGMAAGHVVNPQVTELNRQITFYSELAFLVTNYL >CAK8571651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:455487904:455490041:1 gene:gene-LATHSAT_LOCUS24292 transcript:rna-LATHSAT_LOCUS24292 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYDDVVIIRPPEKEGDPTMITVNCPDKTGLGCDLCRIILFFGLNIVRGDVSTDGKWCYIVFWVVGQKTRWSLLKKRMVEACPSCSSASGISYYCSDLQPTKPPDVFLLKFCCHDRKGLLHDVTAVLCELELSIIKVKVSTTPDGKVLDLFFIIDTRDLLHTKTRKDDTIEQIKGVLEDSVLTMDIELVGPEISACSQASSFLPAAITENDYNLELPESVRSGTLRSDYASITVDNTLSPAHSLVQITCQDHKGLLYDIMRTLKDYNIKISFGRFITKPRRKCEMDLFIMQADGKKIVDPNKQSSLLSRLRTELYRPLRVAVVSRGPDTELLVANPVELSGEGRPLVFYDITLALKMLEICIFSAEIARHVIGDREWEVYRILLDEVEGLSVPRNKIEEGVWKMLMGWE >CAK8574485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607477:612565:1 gene:gene-LATHSAT_LOCUS26829 transcript:rna-LATHSAT_LOCUS26829 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLTRIAIVSNDRCKPKKCRQECKKSCPVVRTGRLCIEVTSQSKIAYISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTNPPDWQEILTYFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQVLDQKNERDMKEELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFREDSLTFKVAETPQETAEEAQAYARYKYPTMTKTQGNFRLRVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDVIEGGSEVEMPEFNVSYKPQKISPKFQSTVRHLLHQRIRDAYTHPQFMSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSIDCQANCPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKHAGSYYYLDD >CAK8574106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662920014:662928983:-1 gene:gene-LATHSAT_LOCUS26483 transcript:rna-LATHSAT_LOCUS26483 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRRELIPFLTENNDDDDEVLLAMAEELGVFIPYVGGVEHASALLPPLEAFCSVEETCVRDKAVESLCRIGSQMRESDLVEYFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPEATKTELRSIYSQLCQDDMPMVRRSAATNLGKFAATVEYTHLKADIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPDLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEMINNPHYLYRMTILRAISLLAPVMGSEVTCSKLLPAVVAASKDRVPNIKFNVAKVLESIFPIVDQSVVEKTIRPCLVELSEDPDVDVRFFSTQALQAIDHVMMSS >CAK8576097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405590964:405591470:-1 gene:gene-LATHSAT_LOCUS28312 transcript:rna-LATHSAT_LOCUS28312 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNRSTPTMSTDSLEQKGQNITESNAGIIQCPLSQQHCSSLDGPVSILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLINVPNGRKDAADKAILVDMFLFALDNPPLSSIMLISGDVDFASAFIRMSLHTFIF >CAK8535957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894081659:894088270:1 gene:gene-LATHSAT_LOCUS5370 transcript:rna-LATHSAT_LOCUS5370 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPPGQSKSSNRYGRRKSDREGAAKSENKIPSEKLNANRLASTGVITDTKDGSYESPSHDRLVYLTTCLIGQQVEVQVKNGSIYSGIFHATNTDKDFGIILKMARLTKDASSQRQKPAAEFIGKAPSKILIIPAKELVQIIAQGVAVTRDGLPSEPHHDRYQEIMVDSLISQSHHAELGRELKPWVPDGDDLQCPELENIFDGQWNRGWDQFETNKALFGIKSTFNEELYTTKLEKGPQTRELEVQALRIAREIEGEETRDLHLAEERGLHFDEDFDIDEETRFSSVYRGKHDDTYEENEDILMDSHNSETFCGIFCLVNERSGEVNRGEGNDGAHTLATSSPMDHPQSSQSSAGVDLSSTCAYYHAKQFESAIPSKSYSSSDGESRFQQNSIQNLCGTGANTKEENLIQCEDVQLSEYEDSQSSLYLKKDLSPYASYYAPLSHILTKSHEKMGSPGGLAYNKANGGTEYINSHGVGASSGSDSVRGVAASSGPGLSPSSSVGSLSSEKLSLNPNAKEFKPNPNAKSFIPSPARPSTPVSDGSFYFQPNVTSVPNMPGLPVSIGAGPTFTGQQPIIYNPQVSQMPTQAYFHPNAPLYGQLLGHPTQALYMPSYLPEMPYNGRDY >CAK8567109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488106007:488107133:1 gene:gene-LATHSAT_LOCUS20192 transcript:rna-LATHSAT_LOCUS20192 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTKESGGGGSGGPKKFLVKIYQMVDDPCTDNIVSWSQRNNSFIIKDSDEFSSNLLKKYFTHNNFSSFIRQLNSYGFHKIKQDQWEFANEYFLKDQYHLLDNIHRKKPVHSHSRGEVERFEFEEEIKKLSNEKAAIELDISNFELNMPAKKLHVDNLVQRLEASEHRHNNLKNSFEMVLQNPKFVEEMNKKVEFIFSSRFSNKRPFIDAGENAFVGNSSNFGLTEVGNSLTNNDNNFGSMEVENDFADIDTNFRFIDFGNLFDEYDNYKFMEEGDTAHDKVMGEGGLSKKT >CAK8572574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547779631:547779897:1 gene:gene-LATHSAT_LOCUS25127 transcript:rna-LATHSAT_LOCUS25127 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNNHRKSKSSWSVFNIFKSNSNKQRDGGYYDHAAYANKVWPSDEDRGNWGVADPVIDMRATAFIAQYKKRISESEIHHIQAHPDQ >CAK8544347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679268132:679270293:1 gene:gene-LATHSAT_LOCUS13035 transcript:rna-LATHSAT_LOCUS13035 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHLRSYGEELLMLNPNSTVKIKCANSDGGPVFERIYVCLEAFKAAFATTCRPLIELDACFLKGDFGGQLIGVVGMDGNNKIYPIAYATVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPDILETSQHVEHRLCVKHLYGNWRKKYSGIFMKEALWRASRATTIPAWERAMNQMKELNVNAWKDMMDVPAACWTRSHFKTDTQCDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYTGVTSPSIQKVLEKTKRAAKGWIATWHPDDDFAIFGVSNGVETYVVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEDYVSSFYRKSTVLATYSHIIMPTNGPQLWPVNVANPISPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCNKCGSFGHNKRTCKGKRATERVIPKGGNKKSKKNGDKSGKEAGETVVVEGSQAPPPTQE >CAK8560284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12983137:12985004:-1 gene:gene-LATHSAT_LOCUS13972 transcript:rna-LATHSAT_LOCUS13972 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSFKHKCFQYFFITIFILHVCPATSIFSSSTDNSSINNFLDIAKSPEVFDWMVSIRRKIHENPELGFQEFETSELIRSELDKMGIPYKHPVAITGVIGFIGTGLPPFVALRADMDALPVQEKVEWEHKSKVAGKMHACGHDVHATMLLGAAKILKHHEKDIQGTVVLVFQPAEEAYGGAKKIVESGVLENVTAIFGLHIVPQLPVGEVASRSGPILAGGGRFEAKISGKGGHAAIPQLSIDPILAASNVIISLQHLVSREADPLDSQVVTVAKFQGGSTFNVIPDHVTIGGTYRAFSKQSFNYLRVRIEQIIVGQAAVHRCNATVDFLDEVKPSYPPTVNNGDLHEHFVNIAVNMLGINKVHSAMPPAMGAEDFAFYQEVIPGYLFMLGVKNASHKRFESSLHSPYLEINEDGLPYGAALHASLAASYLLKHQHDVPGVEKKYHDEI >CAK8534763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765464266:765464689:1 gene:gene-LATHSAT_LOCUS4278 transcript:rna-LATHSAT_LOCUS4278 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNVNQQQQSPVIAYPAMDQSNPQVAPPPPMGYPTKDDPQQTVPVKTTTRGDGFWEGCCAGLCCCCAIDCCL >CAK8561169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:110846003:110846848:1 gene:gene-LATHSAT_LOCUS14781 transcript:rna-LATHSAT_LOCUS14781 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYHFYRSWMYDRTYPRLRGLKPHIKDGVSAFFTYVFAQEYCRSEGWVKCLCLKCGCRNIISDPNEVKRHLEKDGFRLNYWVCYSNREILLEMNREASSSQTHVGVEIGREASSSQPQLQDQGKFNLIDDMIGDALGVNVTYDEPQDFDADELPNEEAQNFYQLLKEINIPLFEGSSNSMLSICVRLLATKSNWNVPNQCLEFFAKMMLDATPVKENMPTSYYNVKRMGLKVKKIDCCIEGCMLFYDNEFDTNNGGFEECNFSQSLRDLFRSKGIDRKQK >CAK8541168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79836211:79836522:1 gene:gene-LATHSAT_LOCUS10107 transcript:rna-LATHSAT_LOCUS10107 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8576395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469844134:469845610:1 gene:gene-LATHSAT_LOCUS28584 transcript:rna-LATHSAT_LOCUS28584 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLHSPTPTSHFSSSTTPPSDTTILILTTDEEQQPPLSSSSSSSFSSLSVAVNNSFSTVTECDAEGKKTEQELSLLAILVTLLRKSLVSCSSDAAAMEIGHPTNVRHVAHVTFDRFNGFLGLPLEFEPQLPTVPPSASATVFGVSTESMKLSYDTRGNIVPTILLLMQRHLYAQGGLQAEGIFRINAGNNRDEYVREQLNKGVVPQDVDVHCLAGLIKAWFRELPRGILDSLSPEQVMQCQTEEDCAELANQLPHTEASLLEWTVNLMADVVQEEPLNKMNARNVAMVFAPNMTRMVDPLTALMYAVQVMNFLKTLVSRTLRARKEGF >CAK8538953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500222890:500225657:-1 gene:gene-LATHSAT_LOCUS8098 transcript:rna-LATHSAT_LOCUS8098 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASFAGNIGFKISFHLLPGVFSIPRNIWKFRIACLKSRDFSSSSVTASYIPITSCRVKIPCLEYCSFADGSAIKINDGKIGHATIAHCETSQNSDEVRTDLLFHDIEEGSEQMEEVRELTVPQIEDSREQDFIRLDKSINDVEQSAVKLLASRALTAVELRKKLLSKRFSPNAVEAVINKLQRQGFINDKLYAESFSQSRWSSSTWGPRRIKQALFKKGVSQSDAEKAVEVVFKDKNDGAEEENSIIKLSKQSMDHLYVQASKQWFKGENVPKETRKSRIIRWLQYRGFDWNVISIIVNKLDKHEQNPP >CAK8531323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100781535:100785305:1 gene:gene-LATHSAT_LOCUS1124 transcript:rna-LATHSAT_LOCUS1124 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVRGTLVSNSVKLLLEKLVSTEFVDNFRSTKLDVSYLKKLKTTLTWVQDILNDAEEQQFTNPDIKNWLNTLRYDVFEVANLFDEINSEALRRKAEAEYETLTSSSLVLKTIFSSFKRPSSVINFKMQKFIERLEWSNSALQQGGLGVFHSSSFSQEFPTSSILDDESSIYGRECDKIKLRNLLLSSSGGDGKIRMISIVGMGGIGKTTLAKLLYNDPQVKDKFELKVWAYISKDFDVIRVLETILESITSQSISRDDPNSQLVESLDTKTNGNGEVKTIYQNILIVKLQQILSTKKFLLVLDDVLDAKSVDWIYLMDIFNAGKTGSRIIITTRDERVALSMQTFVFVHYLRPMESKDCWSIVTRLAFGAHYQQQMDELDRKIAKKCDGVPLAAVVLGRALFHAKFAPNDEWDFVLGSNIWELGDYKLKAALHSSYHYLLDPLKLCFAYCSIFPKKSILEKRKVVQLWVAEGIVKFSTSHKSWKKVGEEYFDELVSRSLIHQRSIDDEEASFEMNNLIHDLATMVSFPYCIRLDDQILHERVHYLSYNRELYDSFYKFNQLYGLKDLRTFLALPLQEQLPLCLLSNKVVHELLPTMKELRLLSLSNYRSITEVPNSIGNLLYLGYLNLSYTMIERLPSTTCQLYNLQFLLVSGCKRLTELPEDMGKLVNLRHLDVSGTALREMPVQIAKLENLQTLSDFVVSKHNGGLKVAELGKFPHLQGKISISQLQNVNNPFEASLTNMTGKKRIDELALEWDCGSDFLDSQIQIVVLEYLQPSTNLKSLTIKGYGGITFPNWLGDSSFRNMVYLRISSCDDCLWLPPLGQLSNLKELVIEGMQSVETIGIEFYGSDGSSFQPFASLEILHFEDMQEWEEWNLIGGMATKFPSLIVLILIKCPKLRVGNIAEKFPSLTELELRECPLLVQLMPSSDHVFGQLMFPLNSLRKLTIDGFPFPMSFSTDKLPKTLKFLIISNCENLEFLPCEYLGNYTSLEELKISYSCNSMISFTLGVFPVLKSLFIEGCKNLKSILIAEDASQKSLSFLRSIKIWDCNELESFPPGGLATPNLIYFAVWKCEKLSSLPEAMYNLTALQEIEIDNLPNLRSFAINDLPISLKELTVGFVGKILWNTNPTWEHLTCLSVLRINSDSTVNALMGPLLPASLVKLCVCGLNATSVNGNWFQNLTSLQNLEIVNAPKLKSLSKKGFPSSLLVLSVTRCPLLKASLQKKRGKEWRKIAHIPSIIIDDELELSPLVKID >CAK8531036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:72551789:72552019:1 gene:gene-LATHSAT_LOCUS853 transcript:rna-LATHSAT_LOCUS853 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQRQQELARRRSNYRQNKDKEKQFQTFNTSNMRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISFLG >CAK8571529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434950288:434950777:-1 gene:gene-LATHSAT_LOCUS24182 transcript:rna-LATHSAT_LOCUS24182 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWSFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAACDVVRSPLNLII >CAK8571530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434950634:434950777:-1 gene:gene-LATHSAT_LOCUS24182 transcript:rna-LATHSAT_LOCUS24182-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWSFLVGGAICLVNSVNERDLSLLNSYVEVTLHGKLLRGTMAA >CAK8538522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486103739:486124656:1 gene:gene-LATHSAT_LOCUS7710 transcript:rna-LATHSAT_LOCUS7710 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKQVVIEGFKSYREQIATEDFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRNEDRHALLHEGAGHPVLSAFVEIVFDNSDNRIPVDKEEVHLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQDTGNKRKQIIQVVQYLDERLKELDEEKEELRQYQHLDKERKSLEYAIFNKEVQDARQKLAEIEEARTQVSEKSAKKYNEVLDAHEKSKDLESNLKDITKELQNFTKEKEVIEKQRTKALKKHTELELDVKDLREKISGNIRAKEDAAKQLKILENKIRGSMDELDKISPSYDDLVQKEKDITKRIMEREKKLSILYQKQGRATQFSSKAARDKWLQKEIKDLERVLSSNTTQEKKLMEEIERLKDEIHGCDENVQNRRSNITSMESQIAQSREGFNIYKEKRDMLNDKRKSLWKQENELTAEIDKLRAEVEKAEKSLDNAIPGDVRRGLNSVRKICKSYNISGVHGPIIELLNCDEKFFTAVEVTAGNSLFHVVVENDDKSTEIIKHLNRQKGGRVTFIPLNRVNAPHVTYPQSSDVIPLLRKLTFKHDYTPAFSQVFARTVICKNLDVASKVARTNGLDCITLEGDQVSKKGSMTGGFYDHRRSRLKFMNMIKQNADNIHDSEEKLEKVKFEIQDILYIIDQEINELVAEQQKADAQCTHYKSEIGELKRDIENSNKQKQVVSKALAKKEKSLVDVQNQIEQLKASIAMKKTEMATELIDHLTPEEKRLLSDLNPEIKDLKEKLVACKADRIEIEARKAELETNLTTNLRKRKQELEAVISSVDDDSLVVDADLKKRELNDAKLSVDDASRQLKSVSDSINKRTKQITKIKDEMNKLKSLEDDYEKQLQEEAKELEQLLSRKNTNSAKEEEYTKKIRELGPLTSDAFEMYKRKNIKELQKKLHSCNDKLQKFSHVNKKALDQYINFTEQREELQKRQAELDAGDEKIKELITVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDHDDDDEQDEDGPREANPEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADIANTQFITTTFRPELVKVADKIYGVTHKNRVSRVNVISESDALEFINQDQTQNAE >CAK8533376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610649868:610650107:-1 gene:gene-LATHSAT_LOCUS3009 transcript:rna-LATHSAT_LOCUS3009 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTDVISSTTENLSSLISNCVTAKSLKHAKALHSHLIKTALFFDAFLANGLIDLYSKCGCLESTHKSFDDLLNKTTRS >CAK8573477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615395162:615395537:1 gene:gene-LATHSAT_LOCUS25923 transcript:rna-LATHSAT_LOCUS25923 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVEYAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGKIRASFQKSYYEVEHAHVSLFYNNLRGSVSRDALRRIVEELKELIMLELTRKYGFVLL >CAK8543540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618474063:618482670:1 gene:gene-LATHSAT_LOCUS12291 transcript:rna-LATHSAT_LOCUS12291 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTRFDSSAASQEELAFRGAFSNGQQRNLRNVDSDKSASFREGNDSRMIISDTIMLQGSSTSSRDSDPITTGGPKYTSLNVLKRVLGISPVNTLEDFAFRTGNPKPRIPADPEERKRFKASVQEASARASNGSKRLDESVHKLNKYWEAVNSKKQFQNERLLSERTSGSHLSNMGTQTHQSTTELANQKPGDIPKKVILNTHIGTSAVEIQAEGLNNRFGRQSLTIGKDKDDVKDVGKVCDGVQEKIQRLPVSGETRDRKMKRKHSMGTVSAGSTDGEGEPKRVMYLKQASESGLHSCDAIGLRFDDHGKNYTGGIYPHTKGKASRAPQTGNLIVGNSSSETLDAREQPLNVNQPHSLIGAINHKRPFPVESSMSHLVQWAGQRPQKSSRTRRANGVSPPVFNCDEMPMPFEGCSPSSSNIMTSTTNSGPLTSKGAINNIQCTRNKKDDNSFQTRIYERVESGTGADGESKLTEKVLDRNKSDGRAINDSCNIGSYMAMTKKEIKLSKEETGDRLRRQCSGSNGFSVLKNGISSTEEKSEILTLTKRTQNTKPSSLKNASRSRRPRMKKSHNSKAIAHPGHPSTSSFPDIAGRSGDDCEELLLAANFASNASYTGCSSSFWKKMEPNFAPVNLEVIDHLKQQDGVVHTDNLFSLYPLEGERGRNILNQSDSKELSSMVDMVDQPHDGSFLSSQMDLECKLPPLYQRVLTALIIDDQTEETVGDENTSFLHERDDPSVGFYTDTVSSNGNAAFTCDGMSMEDKLLMELQIVGIYPEPVPELSDGGCEAIDQEITQLQKGSCQQLMKQKEGCMKLIQAVEDGRELEQRALEQVAMDKLVELAYKKKLATRGTIAAKYGISKASRPVALAFMKRTLARCRAFEETGKSCFLDPTLKNVLFATPALDNYTVSTVADDQPLAQNSRQDLAPPGSFPSREQNVLGNSNNPSNLDFARTGPILNGGKKGKVLPDDVGASAYLGGKKGEVPLDDVVASDSLGGKKGKVLLDDAGASALGGEKEKALLDEVGASASLGGKKGEVLLYGVGASLGGKKEVPLDGVGASASLGGKNGEVLLDGVGASASLGGKNGEVLLDGVRASASSGGKRGEVLDVGASASLGGKKGEVLLDGGGASASLGGKKGEVLRDGVRASASSGGKKGEVLLDSGGASASLGGKKGEVPLVDVGVDASASLGGKKGEVLLEVVGASATLGNSFMGGTMRKRSKRGKNQDSSIRNYVKTGGRSSASNTRSERKTKAKAKLKTDRLSTSAKGSLGKLVENTNSKHQLACASDRLVSSGNDNVGSVSHGYSTNNFAIETEDPLDLTNMLELDSIELSADKDLDSWLNIGDNALEDNDDAPGLDIPMDDLFTVL >CAK8537108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:98749699:98751171:1 gene:gene-LATHSAT_LOCUS6422 transcript:rna-LATHSAT_LOCUS6422 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQPELEHPNKAYGWAARDTSGVLSPFNFSRRETGEKDVAFKVLYCGICHSDLHMAKNEWGVSTYPLVPGHEIAGIVTEVGSKVDKFKVGDRVGVGCLVDSCRTCQNCEENLENYCPQFTLTYGAKHSDGTITHGGYSDSMVADEHFVIRIPDSLPLEAAAPLLCAGITVYSPLRYFGLDKPGLHVGVVGLGGLGHMAVKYAKAFGANVTVISTSPNKEKEALEHLGADSFLISRDQDKMQAAVGTLDGIIDTVSANHPIVPLIGLLKSHGKLVMVGAPEKPLELPIFPLLQGRKLVAGSAIGGLKETQEMIDFSAKHDIKPEIEVIPVDYVNTAMERLLKADVKYRFVIDIGNTLKPSS >CAK8579188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682670910:682672733:1 gene:gene-LATHSAT_LOCUS31154 transcript:rna-LATHSAT_LOCUS31154 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTSTRILFSTTRLALAASSSSSLNFFSTNYNNPISISSLPNKSFPKPKPIFTTLTPLFFTLRTNHTMASPSNPQSIYDFTVKDAKGNDVNLGDYKGKVLIIVNVASQCGLTNSNYTELSQLYEKYKPKGLEILAFPCNQFGAQEPGSVEEIQDFVCTRFKAEFPVFDKVDVNGANAAPIYKYLKSSKGGLFGDGIKWNFSKFLVDKNGNVVERYAPTTSPLSIEKDLLKLLDA >CAK8567952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558841643:558843481:1 gene:gene-LATHSAT_LOCUS20959 transcript:rna-LATHSAT_LOCUS20959 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGKGKKREEQDHASDGDSEGHAPPKKTLKKDSDDDNSDDITVCEIGKNRKVCVRIWQGKIWVDIREFYLKDGKQLPGKKGISLSMDQWNVLRDHIEDIDKAVVEKS >CAK8531639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133792041:133792648:1 gene:gene-LATHSAT_LOCUS1415 transcript:rna-LATHSAT_LOCUS1415 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVFEDEYVSTVAPPKLYKALAKDADEIVPKVIKEAQGVEIIEGNGGPGTIKKLSILEDGKINYVLHKLDAIDEANFGYNYSLVGGPGLHESLEKVTFETVIVAGSDGGSIVKISVKYHTKGDAALSDAVRDETKAKGTGLIKAIEGYVLANPGY >CAK8531676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:138444612:138453481:1 gene:gene-LATHSAT_LOCUS1450 transcript:rna-LATHSAT_LOCUS1450 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGRTVLEVGPDGVAIITIINPPVNSLSFDVFRSLKETFDEANEREDVKAIVVTGAKGKFSGGFDINAFGIMQKGLAEKTSPGLISVDLLTETIEGGRKPSVAAIDGLALGGGLELAMACNARISTPVAQLGLPELQLGVIPGGGGTQRLPRLVGLAKALEMMLTSKAIKGEEAYNLGLVDALVSREKLLDTARQWALDIVDRRRPWIASLYKTDKIEPLGEAREILKFARTQAKKRAPNLNHPLVCIDVIEDGIVAGPFAGLWKELEAFEALVASDTCKSLIHIFFSQRGTSKVPGVTDRGLTPRQVKKVGILGGGLMGSGIATALILSNYPVILKEVNEKFLEAGVNRVKANLQSRVNKGKMTEEKFEKTIALLKGTLDYESFKDVDLVIEAVIENVSLKQQIFTELEKYCPPHCILASNTSTIDLNLIGKKTKSEDRIIGAHFFSPAHVMPLLEIVRTKQTSPQIIVDLLDIGRKIRKTPVVVGNCTGFAVNRVFFPYAQAAIFLVEHGADVYQIDKAITKFGMPMGPFRLVDLVGFGVGIATGMQFIENFPERTYKSMLLPLMQEDKRSGEAARKGFYLYDDRRRANPDPEVKNYIEKSRNISGVTVDPKLVKLSEKDIIEMIFFPVVNEACRVLDEGIAVKAADLDIATVFGMGFPPYRGGLIFWADSLGSKYIYSRLVEWSKLYGDFFKPSAYLAARAAKGIPLSAPVEQAQSRL >CAK8538055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460771260:460784273:1 gene:gene-LATHSAT_LOCUS7295 transcript:rna-LATHSAT_LOCUS7295 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKDMREEVEEERNKNKQTATCSRSPSFTRSSFSYEREYEVHEVRDGLKASRGSRFNLIEKELGLKIGWRKFSRQALFHEFVIDPDNRWYRAWIKFILLWAVYSSFFTPMEFGFFRGLQENLFILDIIGQLAFLVDIVLQFFVAYRDSQTYHMVHRRTPIALRYLKSSFVIDLLGCMPWDLIYKACGRREEVRFLLWLRLYRAQRVVQFFRNLEKDIRINYIIARIVKLLVVELYCTHTAACIFYYLATTLPESQEGYTWIGSLKLGDYSYSKFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDRMTDLMKYMNRNKLGRDIREQIKGHVRLQFESSYTDAAVLQDIPISIRAKISQSLYLPYIENIPLFKECSSEFINQIVTRLHEEFFLPGEVIMQQGHAVDQLYFVCDGVLEQVGTAEDGSEETVSLLERNSSFGEISILCNIPQPYTVRVCQLCRVLRLDKQSFSNILDIYFYDGKKVLDNLVEGKESIRGKQLESDITFHIGKLESELALKVNRAAFDGDMYQLKSLVRAGADPKKTDYDGRAPLHLAASRGYEDITLYLIREGVDINIKDNFGNTPLLEAVKNGHDPVASLLVREGASMKIDDGGNFLCTAVARGDSDYLKRLLSNGVDPNLKDYDYRTPLHVAASEGLIFMAKLLLEAGASVFTKDRWGNTPLDEARISGNKNLIKMLEDAKSAQLTEFPFPQEITDKVHPKKCTVFPFHPWDPKECRRNGIVLWVPHTIEELIKTAAEQIGLSSDSCILSEDAGKIIDVAMIKDDQKLYLVLETH >CAK8570443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46431537:46433266:1 gene:gene-LATHSAT_LOCUS23190 transcript:rna-LATHSAT_LOCUS23190 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRHHHPPLNSSITPSSTAPPDSPPQHITNRKHTVRERSEVESHDPTAARKVQKADREKIRRDRLNDQFHELGNTLDPDRPRNDKATIISETIQVLKDITAEVDKLKTEHKAFSEESRELIQEKNELREEKASLKSDIENLNSQYQQRVRVMPPWTAMDHSVVMSSPYPYPVHMPIPPAPVSIHPHMQPFPYFGNPNAGHIPSMCSMYIPFSAPANPPIEMPSAQYASTSHKFNRKESRSKSPGHKRPSDAKRCSVSPDVATELELKMPGSSTQQDSTSGGRKGKHSVMSDRIIIDESSSSRYSPSQGPQDSFDSVGHTSKAVD >CAK8537401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:333351267:333351629:-1 gene:gene-LATHSAT_LOCUS6694 transcript:rna-LATHSAT_LOCUS6694 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTFILLLLGVTCGYCGKVCIDTLGGTGFLWLLYSAVLCMGHFLSIVFTPTLFKILHGSVAASQQTKHNIILPYWFRRFWFYGTLLVFLPLFCGLTPFAGVNQWKDHFLMKVSIFNKLE >CAK8568542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615111523:615112963:-1 gene:gene-LATHSAT_LOCUS21489 transcript:rna-LATHSAT_LOCUS21489 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPLKRADAVCLCYLVLFYNRSPLTIYWETWEKIIQMAYAISESDAAMAPKVKDGDDPYVKEMFLRGRKYYLYVHSYLRYGLLAARAEILKVSGDAENPCILSGYDGSYNYGGKKYKASSSGASLNECKSVALKALKVNESSCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNKPVAIVHPMDFEEAAKQACQTKFKNAKSTYPRVEEGNLPYLCMDLVYQCTLLVDGFGIYPWQEITLVKKVKYEDALVEAAWPLGSAIEAVSAT >CAK8543545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618566230:618566697:-1 gene:gene-LATHSAT_LOCUS12295 transcript:rna-LATHSAT_LOCUS12295 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGVLKVDDLRLILDELTPADVIWRPFKNHRVWRQFDELCLYRGCLRWGDIIVPYLPNRCMRQFG >CAK8565882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346633171:346636022:1 gene:gene-LATHSAT_LOCUS19059 transcript:rna-LATHSAT_LOCUS19059 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRFRIVLLLAACLLPLSADAMVRHYKFNIVMKNATRLCSTKPIVTVNGKFPGPTIYAREDDNVLIKVVNHVKYNVSIHWHGVKQLRTGWADGPAYITQCPIQPSQTYLYNFTLIGQRGTLWWHAHVLWLRSTVHGAIVILPKLGVPYPFPKPHMEQVIVLGEWWKSDTEAIINEALKSGLAPNVSDAHTINGHPGPVQACASQEGFSLEVQPKNTYLLRIINAALNEELFFKIANHQLTVVEVDATYVKPFKTNTIVIAPGQTTNVLLTAEKKLGNYLVAASPFMDAPIVVDNKTAIATLHYSGTLGSTTTTLTSLPPKNATSTANTFTDSLRSLNSKKYPAKVPIKIDHNLLFTVSLGINPCATCVNNSRVVADINNVTFVMPKIALLQAHFFKIKGVFSDDFPGNPPVVYNFTGNQLTNFATTKGTRLYRLAYNSTVELVLQDTGMLTPENHPIHLHGFNFFVVGRGQGNFDSRKDTKKFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESILPPPSDLPKC >CAK8561922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:412629885:412630949:-1 gene:gene-LATHSAT_LOCUS15469 transcript:rna-LATHSAT_LOCUS15469 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSAGGITRHLLGGLCCYLRRCIFSVLSVGPLPSHISFIMDGNRRYAKRRNLAEGDGHKAGFSALMSILRYCYELGIKYVSVYAFSIDNFKRKPEEVQTFMELMREKIEELLQQESIINEYGVRLHFIGNMQLLTEPVRVAAEKAMRVTAHNQERVLLICVAYTSRDEIVHAVQESCKDKWNEVQASKEDKVSNCEFTRTIQGPKSNGIDLHFRDSCKENETKACRSLHEGVEGGEEKDYLFEQNSEKRSDNYSEDEITPCNEERKHKQGEFASIKLVDIEKNMYMSVAPDPDILIRTSGEARLSNFLLWQTSACPLYAPEVLWPEVGLRHLVWAVLNFQRHHFYLEKKKKQF >CAK8541931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435392160:435392564:-1 gene:gene-LATHSAT_LOCUS10819 transcript:rna-LATHSAT_LOCUS10819 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNLSFSSTNQSGSGIRRRGNRCWCELESPLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLKDTNEELMDVIKDIKKNEDLLKMKIRVMYYFVGLSLMFVFLIVFALVATHVLK >CAK8579755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721018841:721021632:1 gene:gene-LATHSAT_LOCUS31675 transcript:rna-LATHSAT_LOCUS31675 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFLAADTLQKIIVLFALSIWATFSKRGCLEWTITLFSISTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLISEQFPDTAGTIVSIHVDSDVMSLDGRQCLETEAEVKEDGKLHVTVRKSNASRSDIYSRRSHNSHSHANTTPRASNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMGRNSNFGASDLKGTTPRSSNYDLPTTGNYPAPNPGMFSPKNVAAKKANSNSNGQVAVKTDDLHMFVWSSSGSPVSDVFGSHLEYGGTATGTGNAVQVHHDQKQVKLNLSPRKVEGEEEYMDESRNEESGKGNSKAMPPASVMTRLILIMVWRKLIRNPNTYSSIIGLTWSLVSFRWNIEMPLIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVATFSMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >CAK8570316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36067674:36068012:-1 gene:gene-LATHSAT_LOCUS23076 transcript:rna-LATHSAT_LOCUS23076 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDMVKANLLLYLKLKLITARPEDGCVYNIPTVSKVAALIVGDIVSGSQRDIIIQARDGNLQRKIELHPRYLAYQYLLIYCYGEYGYRDNILHKYKNEHLVTRKNRQSIKD >CAK8564676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6959496:6961258:1 gene:gene-LATHSAT_LOCUS17956 transcript:rna-LATHSAT_LOCUS17956 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCVKNLFPTNSFCYRPISGTRSCNSFYEITLFTQQHHRPFLLTASAKNNNHGNSSSSSSGNGEPSIPGNNDGDKSNNNSQKRHQINLDWRDFRAKLYRDELKEIEDTDTQKEGGGTIHDSKPLGTKWAHPIPGPETGCVLVATEKLDGVRTFERTVVLLLRSGTRQSQEGPFGIVINRPLHKKIKNIRPTNQDNQDLTTSFSDCSLHFGGPLEASMFLLKSGEKLKVPGFEEVVPGLYFGARNSLDDASRLVKKGTIKPRDFSFFIGYAGWQIDQLRDEIESEYWYVAACSSSLLYEAMTDSSEGMWEEILQLMGGDYSELSQKPKQEDP >CAK8536441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941523358:941525442:-1 gene:gene-LATHSAT_LOCUS5809 transcript:rna-LATHSAT_LOCUS5809 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKLLPILLHTVTMILSCVSTTEFVYNTNFNSTNIDLYGNATINKSILTLINHTSPSFAIARAFYPKILPTKPPNSSTLLPFATSFIFSVAPVANFITGHGFAFIFAPSRTLNGTVSTEYIGLLNYTNEGDHNDHIFGVEFDVVKNEEFNDINDNHVGIDINSLTSLTSHTAGYWGGKDGKKFKVLNIKSGENYQVWIEFIQPHLNVTMARAGRKRPNVPLISTNLSLSKVLSNETYVGFCAANGVKKDSVRILAWSFSNSNFSIGDALVTENLPSFVPYKGWSSRAKVIAVGVICVVCLLIVGCGYVVFYFILNRWGKGDAEIEEWELEYWPHRISFQEIHAATGGFCEENVRAVGGNGKVYKGILQGVEVAVKRIPQEREDGMREFLAEVSSIGRMKHRNLVGFRGWCKEGNGNLILVYDFMHNGSLEKRIFECEEGKMLTWDERIQVLKNVAAGILYLHEGWEVKVLHRDIKASNVLLDKDMNARLGDFGLALMHEHHGQVASTTKVLGTLGYIAPEVIRTGRASTMSDVFGFGILVLEVICGRRPIEEHKLGLIEWVESLMMLNQLHNAVDERLKAKGGYLIEEAERLVHLGLLCSNSDPSVRPIMRQVVKMLEGEKDCIDSEEENMETSLLGRINSAAIWSRTESAVPNRDHLTFEKIRMFSYNSKASTSGSNSIPTSDSDVIRDGR >CAK8571302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:367746395:367764214:1 gene:gene-LATHSAT_LOCUS23976 transcript:rna-LATHSAT_LOCUS23976 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFLFRHTFHSHHFFSIHQHTPKHFLSNTLISTSVMSYRPNYQGGGRRGGASSSGRGGGQRGGGGGGRRGGGRGEQRWWDPVWRAERLRQQQAEKEVLDEKEWWDKIEKMKKGEEQEMVIKRYFSIADQQALADMTYQHELYFHAYNKGKILVVSKVPLPDYRADLDERHGSTQKEIKMSTDIERRVGNLLNMSQSTGASATSLASVSTEMEHKISTTTTKSASQQTDTSKDKLSVALKERQELEQTSDSLKEMKSFREKLPAFKMKSEFLKAVQENQVLVVSGETGCGKTTQLPQFILEEEISRLRGADCNIICTQPRRVSAISVAARISAERGETLGKTVGYHIRLEAKRSADTRLLFCTTGVLLRQLVQDPELTGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATINADLFSKYFANAPTMHIPGFTFPVAEHFLEDMLEKTRYSIKLELDSFEGNTRRKRKQQDSKKDPLTEMFEDLDVDTHYKNYSLGVRKSLEAWSGSQIDLGLVEATIEYICRSEGGGAILVFLTGWDEISKLLDKLEGNNLLGNRSKFLILPIHGSMPTIDQCEIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASARQRRGRAGRVQPGVCYRLYPKLIHDAMPEYQLPEILRTPLQELCLQIKSLQLGTVASFLGKALQPPDSLAVQNAIELLKTIGALDDKEELTPLGRHLCTVPLDPNIGKMLLMGSIFQCLSPALTIAAALAYRNPFVLPINRKEEADAAKKYFSGDSCSDHIALLKAFEGWKEAKSRGAEKEFCWENFLSPSTLRLIDDMRTQFLNLLSDIGFVDKSRGVHAYNQYSHDLEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPSSVNAGIHLFPLPYLVYSEKVKTTSIYIRDSTNISDYALLLFGGNLDPSKNGEGIEMLGGYLHFSASKSVIELIKKLREQLDKLLNRKIEEPGFDISGEGKAVVAAAVELLHNQNM >CAK8542073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469165841:469166317:-1 gene:gene-LATHSAT_LOCUS10945 transcript:rna-LATHSAT_LOCUS10945 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKWEELNLRASSTIRMSLAKNILVNVLGTSSAKELWENLEGIYQGKGISNRLLLKEQFHSLHMDEHTKVSDYLSVLNGIVYELETIGVKIDDEDKALRLIWSLLSSYEHIKTVLIYGNETLSFEKVGSKIIFEEKRLKGKENTSLNSVLVAREKS >CAK8577528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571244460:571245794:1 gene:gene-LATHSAT_LOCUS29627 transcript:rna-LATHSAT_LOCUS29627 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWFLVMLSNLLHLHNSLDPTTDTLSSTATSSASSTTSLLHSSSIAPLLLFTMASVLSHVASTHTPSSPSSNNNSRRNKRRRNKNASDYSVAAFRALSTEHIWSLEPPLRDAQWRSLYGLSYPVFTTVVEKLKPHIAVSNLSLPSDYAVAMVLSRLAHGLSAKTLAARYSLEPYLVTKITNMVTRLLATKLYPEFIKIPVGRRRLVETTQSFEELTSLPNMCGAIDSSPVKLRSGPSSNPATYLSRYGYPSVLLQVVSDHKKIFWDVCVKAPGGTDDATHFRDSLLYQRLTSGDVVWDKVVNVRGHHVRPYVVGDWCYPLLPYLLTPYSPSGMGTPAQNLFDGMLMKGRSVVVEAIALLKGRWKILQELNVGLHHVPQTIVACCVLHNLCQIAREPEPDLLWKDPEESGAQPRVLDSEKSLYFFGESLRQVLTEDLHQKLSSR >CAK8542140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478189196:478196709:-1 gene:gene-LATHSAT_LOCUS11007 transcript:rna-LATHSAT_LOCUS11007 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMFVKVTFLVMACLVLSTPLANAALSCGQIQLTITPCIGYLRSPTPSVPAPCCNGIRTLNSQAKTVPDRQGACRCLKSTVISFPGLNLPALAALPAKCGVNLPYKVTPSIDCNTVKY >CAK8542141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478196323:478196709:-1 gene:gene-LATHSAT_LOCUS11007 transcript:rna-LATHSAT_LOCUS11007-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMFVKVTFLVMACLVLSTPLANAALSCGQIQLTITPCIGYLRSPTPSVPAPCCNGIRTLNSQAKTVPDRQGACRCLKSTVISFPGLNLPALAALPAKCGVNLPYKVTPSIDCNTYISSNQPSLFI >CAK8570608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71276798:71277106:-1 gene:gene-LATHSAT_LOCUS23345 transcript:rna-LATHSAT_LOCUS23345 gene_biotype:protein_coding transcript_biotype:protein_coding MAYANHTMELNGGRSKKQKPLFARLELNIEEENLVIFKKLYVMVLENVGMSYDVQESFHTEGYFTIKVTPLGANLCLLEEMEEGELKIIMDEAQDWLAQWFS >CAK8567146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490882770:490891794:1 gene:gene-LATHSAT_LOCUS20223 transcript:rna-LATHSAT_LOCUS20223 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLPGLQYNINDLFQEAKKRWLKPIEVFCILQNHDMCKFTEVPVNKPRDGSVHLFNKRVMRFFRKDGHNWRKKKDGRTVAEGHERLKVGNVEALNCYYAQGAENHSFRRRSYWMLNPEYEHVVFVHYRETNEGTSNSSPVTRLSIYSQSPSSYTPQNPETTSIAGDSCEPNQNFSSPPGYFEVTSGIMNTGMDHSEKTDAQALRQLEEHLSLNEDNFNEICPFYSEHEVPREISVAFSGQDTHQQPYEGYNGAKDGIGNHYHELLDHDCPGGHEKILSWTEMLESSVGKLPEQHTFEASENEKLLPSSEREIIANQEINYRLNPNSNNHENSVFAFPQDVGGVKKIPYSLVETQGTTSDYYETLFDQSQIQEPQDAYACLTVGQKQKFTITAVSPEYCYATEATKVFIVGSFLCPPSDFTWACMFGDVEVPVEIIQVGVMCCEAPSNLLGKVTLCITSGNKEQCSEIKEFEFRSKANSCTHCNILETEAARSPEELLLLVQFAEMLLSASTTRDDSTESGSHLSTEQKVDDDSWSHIREAILVGNGTSSGTIDWLLQELLKDKLQHWLSCRSNERDEREGCSLSWKEQGIIHTVSGLGFEWALNPILSYGVVVNFRDINGWTALHWAARFGREKMATSLIAAGASAGAVTDPSSQDPNGLTAASIATNNGHKGLAGYLAEADLTSHLSSLTLEKCEVSKGSSELEAELTVSNVSTKYFEASDDEVSLKKILGAVRNAAEAAALIQAAFRAHSFRKQKERESARDFRNASQAAARIQTAFRAHSFRKRREGECARDYNSAALSIQKKYRGRKGRREFLVLRHKVVKIQAHVRGYRARKQFKVMIWAVGILDKIVLRWWRKRDGLRRSPEEIDLKEESDDEDFLKVFRQVKVHESIKKALARVHSMVPSPRARQQYSRLLQMHEEAKAEHGNRHDETLSSTSVEGPWIIEDDDIYQFPLETQLSTSIEGPWILKDNDLYQFPLETPLSTSVEGPWIIEDDGLYQFSWETL >CAK8538985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501000606:501001100:1 gene:gene-LATHSAT_LOCUS8128 transcript:rna-LATHSAT_LOCUS8128 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPQHMHEETTRNTSREQNHKRTKFFINDNIDILREILKRLDGPSLGVASCVCRLWCNLTTKDDSIWEHLCFRHVSTPPPASIRPVVVALGGYKRLYMVCVRPVLSRLGESERVRKRVWTRHEVQLSLSLFCIDSYERLAAGGMIASDASASSLMFLCNPINV >CAK8560984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:67050429:67050785:-1 gene:gene-LATHSAT_LOCUS14615 transcript:rna-LATHSAT_LOCUS14615 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIGSSSKITKTSASGASSENPDTPSSYEFNSSSPMERPMGQKAAKRKGKTNEIPTATQDARNKRTTEMERLAQCNEDEIELKVMQIMMKDTSTMSNSQRDIHEKYCNKMKKKYGM >CAK8578538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636615662:636617509:-1 gene:gene-LATHSAT_LOCUS30540 transcript:rna-LATHSAT_LOCUS30540 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRIRVLEWEAVRVIESYCTTFHHAKQVHAHIYRNNLHQCSYVITNLLRFLTNLPNLPVHSYPHLLFSQVHSPNPFLYSALIRAYARNGPLFQSIRLYSSMLDSNISPVSFTFSALFSSLKNPTLGSQLHVHALLFGFVSDLYVSNTIIDMYVKCGLLCSARKVFDEMTHRDAVSWTELIVAYAKSGDMDSARELFDGLPGKDKVAWTSMVTGYAQNAMPKKALEFFRRLREAGVETDEITLVGVISACAQLGVSGYANWIRGIAESSRFGSANNVLVGSALIDMYSKCGNVEEAYNVFKGMKERNVFSYSSMIAGFAVHGCARAAVKLFYEMLETGIKPNHVTFVGVFTACSHAGMVEQGQHLFGAMEECYGVTPTADHYACIADLLGRAGHLEKALQLIQTMPMEPNGGVWGALLGASHIHRNPDVAEIASHHLFELEPDNLGNYLLLSNTYALAGRWDDVSRVRKLMREKQLRKNPGCSWVEAKNGIVHEFFAGDVKHPEINEIKKALDDLLQRLKANGYQPKLNSVPYDIDDEGKRLLLMSHSEKLALAYGLLSTDAGSTIKIMKNLRICEDCHTVMCAASKVTERKIVVRDNMRFHHFLNGTCSCNNFW >CAK8573445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612791376:612792715:-1 gene:gene-LATHSAT_LOCUS25892 transcript:rna-LATHSAT_LOCUS25892 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWDLFAIVRSCQSSTNNTTSNSSMPSSSTSDFKVELEYDAFSFPNIVQPITNEIQELNQLFTSFNPTNTTTTTTSAHGINPYSPTHMAKCIGQQQYHLHPITSPSIWPNFVQEASPSTFNKFNNQQLQTMKQEFQVPQSIQPQIPRSRKRKSQQGKMVCHVTADNLSADLWAWRKYGQKPIKGSPYPRNYYRCSSSKGCTARKQVERSNTEADMFIVTYTGDHNHPKPTHRNSLAGSTRTKSPTIQLSSSTSCQPNNTNSSSSSLGASVEEEEDMEVEMETDEEGEEGCEL >CAK8543890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:647518107:647519862:-1 gene:gene-LATHSAT_LOCUS12614 transcript:rna-LATHSAT_LOCUS12614 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSIVISKNGKQFEGKVTPFVLITCLVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPNVYKQMKNETTSTNQYCKFDNELLTLFTSSLYLAALVASFFASITTRKLGRIVSMFAGGLFFLVGALLNGFAANIEMLIIGRMLLGFGVGYCNQSVPVYLSEMAPAKLRGALNIGFSMMITIGILGANLINYGTSKLKNGWRISLGIGAVPAILLCIGSFFLGDTPNSMIERGQKEGAKKMLQKIRGIDNVDEEFQDLINASEEAKKVEHPWRNFVQQNYRPQLIFCSFIPFFQQLTGINVIMFYAPVLFKTLGFENDASLMSAVISGSVNVVATFISIFTVDKFGRRALFLEGGIQMLICQIAVGTLIAMKLGVSGEGSFTKSEANLLLFFICAYVAAFAWSWGALGWLVPSEICSLEVRSAGQATNVVVNMLFTFIIAQIFLSLLCVLKFGLFFFFAGFVLIMSIFIAFLLPETNNVPIEEMNTLWKSHWFWKRFVRDVVPKLERKDSN >CAK8532865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539512986:539514082:-1 gene:gene-LATHSAT_LOCUS2528 transcript:rna-LATHSAT_LOCUS2528 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDNQNLDVYGKICRERTKKYTVKNRQIQVIDNDNEVHMRPLPGMISFSVAGPAPEKKKAPARGSETKRTRRDRGEMEEIIFKLFERQPNWSLRNLIQETDQPEQFLKDILKELCVYNNKGTNQGTYELKPEYRKAGD >CAK8542497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520507277:520511671:1 gene:gene-LATHSAT_LOCUS11336 transcript:rna-LATHSAT_LOCUS11336 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTNPFFRLTPTKNTPPSTRFQRTNNNANPLYNNHNHSNKIRRKVVCACIAPPRNFNSQDSSAIQFNGSSKSEQLNTTRDQDDDSDVLIECRDVYKSFGEKKILNGISFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVFIRGRKRSGLISDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYEHSSMPEEKISELVKETLAAVGLKGVEERLPSELSGGMKKRVALARSIICDTTKDSIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHIKGRDALGKPGNITSYVVVTHQHSTIKRAIDRLLFLHKGKVVWEGMTHEFTTSTNPIVQQFASGSLDGPIKY >CAK8560250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11901142:11914245:-1 gene:gene-LATHSAT_LOCUS13940 transcript:rna-LATHSAT_LOCUS13940 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKDVVGGSGTGIKDLPYNIGEPYHSAWGSWIHHRGTSKDDGSPVSIFTLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEIETFDGGSSKVTIYIVTEPVMPLSDKVKELGLESTQRDEYYAWGLLQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDGSNDTSSTQMLQYAWLVATQYKAMELAKSDWGVIKKSPPWAIDSWGMGCLIYELFSGLKLSKTEELRNIASIPKSLLPDYQRLLSSTPSRRLNTSKLIDNSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSAEEFRVKILPTIIKLFASNDRAVRVSLLQHIDQFGESLSAQAVDEQVYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKHLSKLQVDEEAAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSNYDINEIATRILPNVVVLTIDPDSDVRSKAFQATDQFLQMAKQHYEKTNIAEATGGAGTGSSSTPGNASLLGWAMSSLTLKGKPSDHAPVASVSSSALTSTSSNASSAVDTPSTAPIRVSSIPDFTEHHAPPSPTSTDGWGELENGIDEEPENDKDGWDDLEPLEDKKPAPALINIQAAQRRPVSQPVSQTKASSIRPKSTPKLSKDEDDDLWGAIAAPAPKTSKPLNLKSVATDDDDPWAAIAAPAPTTRAKPLSSGRGRGAKPAAPKLGAQRINRTSSTGM >CAK8574848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11609962:11611068:-1 gene:gene-LATHSAT_LOCUS27148 transcript:rna-LATHSAT_LOCUS27148 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKELCEYKRDNPASTQKDLQRWLEEKFQLKVSQGTISNTLKQSDDYLSAEIEKGRAEIKKHKPAKYPDMEKVVYEWFLQHQKRVNITRELILQKARDTMKLVYPHDDSYFNFSIGWLGKFKNRHGIKSFLRFGESGSVDLQDMEQKLVSIREKIDQFPMKDVFNMDETGLFYRLQANHSLATKQLEGRKQDKERLTIVICCNKDGSEKIPLWIIGKYAKPRCFKNVNMNSLDCQYRANKKAWMTSVLFDEYVRSFDQMMHGRRVLLMVDNCPAHPRNIEGLRNIELFFLPPNMTSKIQPCDAGIIRAFKMHYRRRFYRKILEGYEVGQSDPGKINVFDAINLPISAWTIDV >CAK8544514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689719575:689720942:1 gene:gene-LATHSAT_LOCUS13185 transcript:rna-LATHSAT_LOCUS13185 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNHHRSIPDINGSHPTPKKPKLSSPSSSAITVSEIESEFSHHDAAVARINNGSFGSCPSSVISAQQKWQLKYLRQPDHFYFNHLKPAIDNSRSIIKGLVNAEHLDEISIVDNATTAAAIVLQHTAWCFREGKFDKGDIVVMLHYAYGSVKKSMEAYVTRAGGKVIEVPLPFPVSSNEEIVSEFRKALEIGKTDGKKVRLAVIDHVTSMPCVVIPVKELIQICREEGVEQVFVDAAHSIGCTDVDMQEIGADYYTSNLHKWFFCPPSVAFLYSRKNTKTAAGGGGLHHPVVSHEYGNGLAVESAWIGTRDYSSQLVVPDVLEFVNRFEGGIEGIKKRNHEAVVEMGEMLVKAWGTHLGSPPHMCASMIMVGLPTCLGIHSDSDALKLRTHLRDVFGVEVPIYYRPPRDGEVEPVTGYARISHHVYNKVEDYYKFRDAVNQLVGNGFACTFLSN >CAK8560739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39015306:39017572:-1 gene:gene-LATHSAT_LOCUS14391 transcript:rna-LATHSAT_LOCUS14391 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKSQKSKAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVLAAAYSHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLEMDDEYEGNVEATGEDYSVEPADSRRPFRALLDVGLVKTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDAEVHRKYIFGGHVAAYMKTLNEDEPEKYQTHFSEYIKKGIEADGLEELYKKVHAAIRADPTIKKSEKKPPTQHKRYNLKKLTYEERRAKLITRLENLNSAVDEDDEDDE >CAK8567347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507273386:507275117:1 gene:gene-LATHSAT_LOCUS20411 transcript:rna-LATHSAT_LOCUS20411 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPQFVSSRRMSVYDPIHQINMWGEGYRSNGNLSASMPLIDEADLKFDSSQSEDASHSILGTSNKYDQEASRPIDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLVQLEQELERVRQQGMYMGGGLDSNNMCFVGPMNSGIAAFEMEYGHWVEEQNRQISEMRIALNAHISDIELRILVDGMMNHYTEIYRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLGPMIEPLTEQQRLDIDNLGQSCQQAEDALSQGMEKLRQTLADSVAAGQFMEGTYIPQMASAMERLDALVSFVNQADHLRQETLQQMSRILTIRQSARCLLALGEYFQRLRALSSLWSNRPREPA >CAK8574832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10725342:10732772:1 gene:gene-LATHSAT_LOCUS27135 transcript:rna-LATHSAT_LOCUS27135 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLLNEENHVLKQLRDGTAKFEIVSSPLPSIVSPFNRNSSFFGAGSSSSLFFARIGSSIGGQSAALKKLERFSVHKVTGDGRCLFRALVKGMAYNKGVALNHCEERENADELRMAVKEAICENVGDRKLYEEAIIAVTVDEPLQRYCRRIVQPDFWGGESELLVLSKLCKQPIIVYIPEHEHRGGGRGSGFIPIAEYGSEFVKGSSRKAVRLLFSGKNHYDLLL >CAK8575005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20904016:20906790:1 gene:gene-LATHSAT_LOCUS27298 transcript:rna-LATHSAT_LOCUS27298 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFTDLAKPFVEKLINGAIAETSYICCFTCIVNDFEEEKSRLEIEGATFKRRIEEATRRGEDILPDARAWKDEVNQLIQEDTKINQKCFFEFCPHCLWRYSRGKLLANKKERIKILTKTGKELTIGLPAHLPDIERCTTQHYVHLKSRESQYRKLLVELKDDTNYIIGLHGMGGTGKTTLIKKVGKELKQSTQFNQVIDTTVSFSPNIKKIQDDIAGPLGLKFDDCNDTERRGKLWNRLTNGEKILLILDDVWGYVDFNEIGIPCSDNHKGCRIIVTTRNRSVCEKLGCNKTIQLDLLSKEDAWNMFKKYADLSETSMKFFLDKGRKIANECKNLPIAIAVIASSLKGKQRQEEWDMALKFLKKDISRHNDDDDVLEIYNCLKFSYDKLNKEAKSLFLLCSVFREDEDIHTETLARLAIGGGLFGEDYGSYDEARSRVVISKNKLLDSCLLLEAGQWSVKMHDFARDAAQWIANKEIQTINLYDKNQKAMIEKEKNIKYLLCQGKPNEMFSCKFDGSKLEILIVIIYMASDFHDGKIEVPDSFFENNTSLRVFHLRGDPHLRMSISLPQSMQQLKNLRSLIFTDCRLGDISIFGNLQCLEELDLEYCEIKEMPNEIAELKKFRLLRLEWCIIDGNNPFEVIKRCSSLQELYFMISIFGFTGEINFPKLQKFHVSNSKIDYSLSKYVSIEWTDEVFLSETTLKYCMQEAEVLRLKRLEGEWRNIIPDIVHMDQGMNDLVELYLRDISQLKCLIDTSKHTYSQLSNVFSKLVVLRLKHMENLKELCNGSLSIDSFKSLESLSIENCKQLRSLSGIANQCSLKSVFMMRCPMLISLFEVSTSGSLVLLENLEVIDCENLEYLIKDERIGEGSRREISDNNCHDPMFVKMKDLTIKDCPKLEIILPLVSAHDLPALKFIGLRDVIS >CAK8539547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515900914:515914389:-1 gene:gene-LATHSAT_LOCUS8630 transcript:rna-LATHSAT_LOCUS8630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVMLKYLWCCILLGYLHISCLTLSSGQHLNSSTGLEVFLGYTDSLIRDDSQLDDFAFAETSTLSLSTNKSVSCEDLEGVGSFNTTCLLSSTHYLKSDILIYGLGNLEILSHVSLLCPIDGCMIKVNVSGNIKLGQNASIIAGSVILAAANVTMDYKSSINSSSLGGPPPSQTSGTPVDNVGAGGGHGGRGASCLKNDKTNWGGDVYSWSTLSQPKSYGSKGGGKSTEKQYGGNGGGHIELIVKDTLYVNGSVTAEGGDGGYDGGGGSGGSILVYAVKLKGYGTISAAGGMGWGGGGGGRISLDCYSIQEDLKITVHGGSSIGCTGNSGAAGTYFNANLLSLRVSNDNVSTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYNGGSLIFGLSDFPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSTIQIDGSKSTVVSASVLEVRNLAVLRQSSVISSNTNLGLYGQGLLQLTGDGDAIKGQRLSLSLFYNVTVGPGSLLQAPLDDDDSRGTVTKHLCDAQRCPIDLITPPDDCHVNYTLSFSLQICRVEDLVVSGIMKGSIIHIHRARTVVVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFKGRVSIGGNEYGNAILPCELGSGTKGPNESYGHVVGGGMIVMGSIQWPLMRLDLYGSLRADGESFSKTITSSDGSLVGGLGGGSGGTVLLFLQEFRLLESSSLSIVGGNGGSLGGGGGGGGRVHFHWSKIGMGEEYVPVASISGTMNYSGGAGDNGGFHGQEGTITGKACPKGLYGIFCEECPVGTYKDVDGSDAHLCVPCPLDLLPNRANFIYKRGGVTKRSCPYKCLSDKYGMPNCYTPLEELIYTFGGPWPFSVMLSFILLLLALLLSTLRIKLIGSGTYHSSSSIEHHNHQSFPHLLSLIEVRGAKAEETQTHVHRMYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRQRVKIGRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAFIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGNYMTPYNLHSDTLLTNLLGQHVPATVWNRLESGLNAQLRTVRHGSIRTALGPVIDWINSHANPQLEFHGVKVELGWFQATASGYYQLGVVVTVGEYSLHDSHRSDTWIGTDEAMRKNVAHGRKNLQQLQHNWPYMSNSLSLKRITGGINGGLINDATLRSLDFKRDYLFPLSLLLCNTRPVGRQDTVQLLISLMLLADLSVTLLMLLQFYWISLAAFLSVLLILPLSLLSPFPAGLNALFSKEPRRASLSRVYALWNATSLSNIGVAFICCLLHYTLSHIHYPDEASTRNVKREDDKCWLLPTILFLFKSVQARFVNWHIANMEIQDFSLFCPDPDAFWAHESGL >CAK8569417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:247272:248911:1 gene:gene-LATHSAT_LOCUS22272 transcript:rna-LATHSAT_LOCUS22272 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVRYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQWVPLQLPREIESWISRSGLPSLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWNHPDISEALAVEWAVHYLGVSHRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWAAAALVTLYRYLRDASMFNCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPTNNVGLPRAMRWSYRQGTLDELTPADVIWHPFENHRVWRQFDELCLYRGCLRWGDIIVPYMPDRCLRQFGYRQYVPHPPLDSRTAGDIDVDWISYHESVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPLSVDATTEMSVPVYEAGPSDPIVARMSSSIHRYLQQTGAEEDDPQFADLFEALHLGLSQ >CAK8543371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603272830:603274604:-1 gene:gene-LATHSAT_LOCUS12131 transcript:rna-LATHSAT_LOCUS12131-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQAIFSSSQSISSLHLSDLNHFNRSPVMVQLSDAAHAHTQSKPCSSSTSLLASAGSTLDLYQPSDQTLPLIGKLINGYNYPSSFELSGVHKHHNKQHPLDEKVRGEEKESGNSGENKSNHIRKGDFLVDSQHLPSSTTFVQDGRWCEGEEAIPLKKRKGRLENVAMDSNDSKKTKSKIMKTKLNKKCCMRKDDDGEDEESEKKVDNIKKKATKRGSALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIGLSTTAPNNVVDTDSVSSSSNYDLVKKITSGNDIVDKLKNDDDEKKTLMINKKRMKLGMVKARSMSSLLGQTDNKVVVVDQNSK >CAK8543369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603272830:603310596:-1 gene:gene-LATHSAT_LOCUS12131 transcript:rna-LATHSAT_LOCUS12131-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQAIFSSSQSISSLHLSDLNHFNRSPVMVQLSDAAHAHTQPKPCSSSTSLLASAGSSLDLYQPSDQTLPLIGKLINGYDYPSSFELSGVHKHHNKQHPLDEKVRGEEKENKSNHTSKGDFLVDSQLLPSSTTFAQDGRWCEGEKAIPLKKRKGRLENVAMDSNDSKKTKSKIMKTKLNKKCCMRKDDDGEDEESEKKVDNIKKKATKRGSALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIGLSTTAPNNVVDTDSVSSSSNYDLVKKITSGNDIVDKLKNDDDEKKTLMINKKRMKLGMVKARSMSSLLGQTDNKVVVVDQNSK >CAK8543370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603272830:603310596:-1 gene:gene-LATHSAT_LOCUS12131 transcript:rna-LATHSAT_LOCUS12131 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQAIFSSSQSISSLHLSDLNHFNRSPVMVQLSDAAHAHTQPKPCSSSTSLLASAGSSLDLYQPSDQTLPLIGKLINGYDYPSSFELSGVHKHHNKQHPLDEKVRGEEKESGNSGENKSNHIRKGDFLVDSQHLPSSTTFVQDGRWCEGEEAIPLKKRKGRLENVAMDSNDSKKTKSKIMKTKLNKKCCMRKDDDGEDEESEKKVDNIKKKATKRGSALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIGLSTTAPNNVVDTDSVSSSSNYDLVKKITSGNDIVDKLKNDDDEKKTLMINKKRMKLGMVKARSMSSLLGQTDNKVVVVDQNSK >CAK8538975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500742726:500743103:-1 gene:gene-LATHSAT_LOCUS8118 transcript:rna-LATHSAT_LOCUS8118 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIGKTTPKKKTRTTKGRKLTRSSHFKNQHLESKKQETSSENKDRNMDSDKVVDDVSVSTTSKSICSTPKGQKFRIPEISTCPPAPKKKRVLSNFSLRRAFFAPPDLEIFLCVALQDSPLSFVC >CAK8544096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662573210:662575125:-1 gene:gene-LATHSAT_LOCUS12802 transcript:rna-LATHSAT_LOCUS12802 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVEAKGRTVGGTEYSWCKAIRGGTGIAVLSLLLTKPIDISRFQTALHKLQNSHPILRSKLHHSNTTDTAFSFVTSPTPFIKIESHSLTATSEILKNNKNDTISISPLQKILEHELNRNTWQEQDCSTTGSDMLFVTVYAMPDAKTWVVVMRLHVAACDRTTAVSLLRELLVLMKKDEKETNGVEIENVVPLAIEDLVPGRKAKKNIWARGLDVLSYSVNSFRFTNLKFSDTKNARFSQVVRMQLNQNDTKRVLDGCKRSKIKVCGAISAAGLMAAHSNKNSCKKYGIITLTDCRSTLDPPLSSHHFGFYHAAILSNHVMKGGESLWELAKRTYGAFSSSKNNDKHFSDMADMNFLMCKAIENPGLTSSSRTSIMSVFEDTVFDDGGEMQREEGVDDYMGCASVHGLGPSMAIFDTIRDGKLDCVCVYPAPLHSREQMQEITNKMKAILIEGGKTYEEC >CAK8579241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686922317:686923582:-1 gene:gene-LATHSAT_LOCUS31203 transcript:rna-LATHSAT_LOCUS31203 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTENNQSSFLGRISIRRNQVMSMDGNHDQELEDLELFQKHVGDRFTDLLSSTTEDPSSDALLSIGWLRKLLDELLCCEAEFKAVVMMGRDPSQISKPPLDKLLPELLDRVVKSLDVCNAVTLGLDAVRNLQRLAEIAVAALEPRPMGDGQVRRAKKALNSLVTTMVHEDRECGSTKGTERSRSFGRRGNAGNKDRGATFRSISWTMARNWSASKQIHAMSSNLAAPRGADLTGLALPLYIMSTALVFVMWTLAAAIPCQERNGLGTHLPFPRQLAWAQPMIGLQEKIGEEWKKKEKKGSVGLLEEMQKMEKVGQLLIEFAESFQFPAENERLDEVRVHVEELADICRKMEEGLEPLQLQIREVFHRLVRTRTEFLHVLDQAGKLSTPTV >CAK8579448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700073467:700075002:1 gene:gene-LATHSAT_LOCUS31395 transcript:rna-LATHSAT_LOCUS31395 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEDPGISGNQYRGTVIVGFSDRIPSDKDNKDNICLQTGEEFSAEFLRDRVGLRRFLVITDAEQHMPNRMDFNVNNSKPVYEDQKHVVGLGRMDSDSNLDLLDIAFARGYVAEVDNRACHNNLSRYQCEHGGIRQASSAFSRQLSIRFSDGCDQVASASNTPRPCQPYGTVVSEGSFYQKIKFLCSFGGRILPRPNDGKLRYAGGETRIISIRKNITHEELTRKTSDICNQTHIIKYQLPGEDLDALISVCSDEDLHHMIEEYEELERGGGSQRLRIFLIASNESESPSSNEPRVNQQSDADYHYVVAVNGILDPCPRKNLSGLNLAGHASQFTTASDYNSPHFHRESSTYAFASDFIDCSPTSSNLAGTMSKQSLFVTSMKVAGKSFDQMPPSPICAQPKDPNISNVQLFTDQPYNVVNENIIPYVMEKIPRDNSLYVDSTSYVDPIAYYNNHPQGPPCMNHHPSKTNPIMKLARQTSSAQAVPACYRPTLLQIHKQLLQQNKKHNNLL >CAK8530357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16479477:16481144:1 gene:gene-LATHSAT_LOCUS226 transcript:rna-LATHSAT_LOCUS226 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNAQSKNETKKEEDIKEKEIEDGKEDDVDALEVKKRKRDEKEEQLATEQVKEMKKLENFLFGSLYSPLEFGKGEIDDVDVGIAATASDLFFTDRSADSVLTSYQEDADFSDGSCDNDDRKPLWVDEEEEKVTVNIAKVNRLRKLRKEEDENLISGSEYVSRLRAQHVKLNRGTDWAQLDSGSKMDESSDDELTDDENKAVVSRGYVDVDVDDILRTNEDLVVKSSSKLLPGHIEYSKLVDANIQDPSNGPINSVQFHRNGQLLLAAGLDRKLRFFQIDGKRNTKIQSIFLEDCPIRKASFLPDGSQVIISGRRNFFYSLDLVKARVDKIGPLLGRDEKSLEVFEVSPDSKTVAFVGNEGYILLVSAKSKQLIGTLKMNGTVRALAFSEDGQKLLSTGGDGHIYHWDLRTRTCIHKGVDEGCLTGTALCTSPVGTHFAAGSDSGIVNIYNSEEFLGGKRKPIKTIDNLNSAVNFMKYNHDSQILAICSTLRKSSLKLIHIPSYTVFSNWPRSNTSLHYPRCVDFSPGGGFMAVGNASGRVLLYKLHHYHHA >CAK8578129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608879393:608881150:1 gene:gene-LATHSAT_LOCUS30168 transcript:rna-LATHSAT_LOCUS30168 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSSTLVHSSTVFLLLFLLFHLTVSEASSPTVESVYTSFLQCLTNHTNPSDQISSLVFSQTNSSFTSLLQAYIRNARLNKTSTPKPLLIITPLQQSHVQTSILCSKTIGIQLKIRSGGHDFEGISYVSNIQPFIILDMFNFRNITVDIQNEVAVVQSGAILGEVYYRIWEMSKVHGFPAGVCPTVGVGGHLSGGGYGNMMRKFGLSIDNVVDAEIVDVNGRILDRKSMGEDLFWAIRGGGGASFGVILSYSIKLVQVPEVVTVFRIAKGLDSLDQNVTEVVLQWQKVAPRIDDRLFMRLLIQPVSSKVVKGEITVRVTVMALFLGGADEVVTLLGKEFPSLGLKKENCSEMSWIDSVLWWASIGNDSKPEVLLDRNVDSAKFLKRKSDYVENPISKDGLEGIWKKVIELGKVGLVFNPYGGKMNAVASDATAFPHRAGNLFKIQYSVNWDEPGLDVENNFTNQARMLYSYMTPFVSNSPRRAFLNYRDLDIGTNDFGNNSYEQGRVYGMKYFNSNFERLVKIKTEVDPENFFRNEQSIPTSPVAGFNSSTGTSSADKLLLSSMCRKLMVKVVIVWFLILELFM >CAK8537127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104208565:104209976:-1 gene:gene-LATHSAT_LOCUS6441 transcript:rna-LATHSAT_LOCUS6441 gene_biotype:protein_coding transcript_biotype:protein_coding MEESIFGEDFLEHLRVESIKEILDHNWLIASIITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKIRCPRQINNIDRGYFVMRFMKEVIMENEIMIPINYFPDHKCRTYSKDNLTEVKEDWATYMVDNIFGKQEAIILPS >CAK8544363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679844085:679844627:1 gene:gene-LATHSAT_LOCUS13049 transcript:rna-LATHSAT_LOCUS13049 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFSVLVICVIVFAHQTTAELLTGNALIQNVCNLSGNDKDLCVEVLSSDPSKSPNADLSDLAIVALKFAAKNASGILNDVKLLIEDPELDPKIQQGLSDCKETILDAESQLEDTIASLLIEDDVDAQTWLKAALAAITTCDDSIPGNDDVLSVKSRNFRKLCNIVVVITRAMPPRPII >CAK8543359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602910611:602914755:-1 gene:gene-LATHSAT_LOCUS12121 transcript:rna-LATHSAT_LOCUS12121 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKSIQLQLCGLVTQRWSLKPRTSLSFSSLSSLSQISNPNPNPSFKLLPTPRITRLTPFRASSSLQSSGNVRSIKFCQWCGGSTKHDIPDGEEKLRAICTVCDRIAYQNPKMVVGCLIEHDNKVLLCKRNIQPSHGLWTLPAGYLEIGESAMEGAVRETREEANADVEVISPFAQLDIPLIGQTYMIFLAKLKKPHFSPGPESSECQLFSVDDIPFNSLSFSSMVVTLSLYVEDIKAGKPKFHYGTINKRPGTSPSDIRAYTLDHHMYT >CAK8573398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609531801:609533417:-1 gene:gene-LATHSAT_LOCUS25849 transcript:rna-LATHSAT_LOCUS25849 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGENMAVTVAFIHDANKLSREEEINENVDENNNDRLAITQCIGETDTHLDAIISRYAHTLTNYSLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMKFEVSVLDWFAKLWEIEKNEYWGYVTCGGTEGNLHGILVGREQLPDGILYTSEDSHYSIFKIARMYRMKCVKVGSLISGEIDCSKLEASLLANKDKPAIINLNIGTTLKGGIDDLDLVIKTLDKCGFTNDQFYIHCDGALYGIMLPFIKQALRISFKKPIGSITISGHKFLGCPSPCGVLVTRLKYIDALSRDVDIIASRDATITGSRCGHAPIFLWYALKKRGLTGLENEVHKCIFKARYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTRRWNLASNTYIAHVVVLKHVTVEMLYTFVREFVKKRHIWYKDGQVQPPCIANDVGSRNCACSLHKLSRKY >CAK8573399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609531801:609533396:-1 gene:gene-LATHSAT_LOCUS25849 transcript:rna-LATHSAT_LOCUS25849-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVAFIHDANKLSREEEINENVDENNNDRLAITQCIGETDTHLDAIISRYAHTLTNYSLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMKFEVSVLDWFAKLWEIEKNEYWGYVTCGGTEGNLHGILVGREQLPDGILYTSEDSHYSIFKIARMYRMKCVKVGSLISGEIDCSKLEASLLANKDKPAIINLNIGTTLKGGIDDLDLVIKTLDKCGFTNDQFYIHCDGALYGIMLPFIKQALRISFKKPIGSITISGHKFLGCPSPCGVLVTRLKYIDALSRDVDIIASRDATITGSRCGHAPIFLWYALKKRGLTGLENEVHKCIFKARYLLNQLRGAGIGAMLNEYSNIVVFERPLDDNFTRRWNLASNTYIAHVVVLKHVTVEMLYTFVREFVKKRHIWYKDGQVQPPCIANDVGSRNCACSLHKLSRKY >CAK8564731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7768175:7768702:-1 gene:gene-LATHSAT_LOCUS18003 transcript:rna-LATHSAT_LOCUS18003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSDIIDAFSRHHLTFADDLLLFSRGDQGSMEILQRTIKSFLDSTGMKINPSKSKVYFGSVPDSVKNLILQLTSYKEGTFPFRYLGVPVTSKKLSVVHYMSLVDKLVSRITHWSSKLLSYAGRLQLIKSVLFAITSYWMQYIWFPKAVISKINAICRSFLWTGGSTISRKSPIA >CAK8560945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61618339:61619688:-1 gene:gene-LATHSAT_LOCUS14580 transcript:rna-LATHSAT_LOCUS14580 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAPINRNSFTFPYAKKWSVPGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEIHQSDLVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMVGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLADPRERGSSSYPQIPTDTPHQQQPPYEDHHQQPPYEDTPQSMPTYTPYQQQPPYMPPIQSQPQPSNQYSPDMSFDLTPATYIPDNSFDPTPSNYTSNHPIFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYENMGIVLNYGSACEMGPPGYWGQMMQDRADTSGPSQQNPPPQLNTQHPDTPQQPRRNTRPPPNVAPVVTWIEPIIRVFFF >CAK8561912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409487496:409493670:1 gene:gene-LATHSAT_LOCUS15460 transcript:rna-LATHSAT_LOCUS15460 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHHCSANSVPLSRINFLERTAKVCRDRTSLVYGSLTYNWGQTHQRCLKLASSLTQLGISRGDVVATLAPNVPAMYELHFAVPMAGAILCTLNSRLDAAMVSVLLEHSQSKILFADYQLLEMAQGAFDLLRQRGRELPTLVVITDSDCSSTVDNTSTSYEYEKLMDVGHTGFNIVKPKSELDPVSINYTSGTTSRPKGVVYSHRGAYLNSLATVLLFQMNIFPVYLWNVPLFHCNGWCLPWGVAAQLGINVCLRKASPKDIFDNIIQHKVTHMGGAPTVLNMIANSASTHRKPLNPKVLVVTGGSPPPPQILSKMEEIGFSVSHLYGLTETYGPASFCTWRPEWDMLPAEERSKKKARQGVPHAGLEEIDVKDSETMKSVPGDGKTVGEIMFKGNTVMSGYYKDLKTTKEAFKDGWFHSGDLAVKHSDGYIEVKDRLKDIIVSGGENISSVEVETVLYSHPAVDEAAVVARPDDHWGQTPCAFLELKEGFEADAQEIIAFCRERLLHYMAPKTIIFQDMPKTSTGKIQKYILREKAKALGSITIYNQPL >CAK8538154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466154520:466161710:1 gene:gene-LATHSAT_LOCUS7385 transcript:rna-LATHSAT_LOCUS7385 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSLTQPATILHTFSSHNPNRFKLFSKNSLFSDSRRRNSYMAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPIHSVQFSNHTGYPSFKGQVLNGQQLWELIEGLEANDLLYYTHLLTGYIGSVSFLKTVLDVVSKLRSINPKLTYVCDPVLGDEGKLYVPEELVTVYRERVVPLASMLTPNQFEAELLTGLRIQSEEDGREACNLLHAVGPSKVVITSINIDGRLLLIGSSLKEKGQTPNQFKIAIPKIEAYFTGTGDLMTALLLGWSNKHPDNFEKAAELAVSSLQALLQRTLNDYKLAGHDPKSSSLEIRLIQSQDDIRGPEIKFKAEIYS >CAK8538155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466154772:466161710:1 gene:gene-LATHSAT_LOCUS7385 transcript:rna-LATHSAT_LOCUS7385-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPIHSVQFSNHTGYPSFKGQVLNGQQLWELIEGLEANDLLYYTHLLTGYIGSVSFLKTVLDVVSKLRSINPKLTYVCDPVLGDEGKLYVPEELVTVYRERVVPLASMLTPNQFEAELLTGLRIQSEEDGREACNLLHAVGPSKVVITSINIDGRLLLIGSSLKEKGQTPNQFKIAIPKIEAYFTGTGDLMTALLLGWSNKHPDNFEKAAELAVSSLQALLQRTLNDYKLAGHDPKSSSLEIRLIQSQDDIRGPEIKFKAEIYS >CAK8576282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443394002:443397747:1 gene:gene-LATHSAT_LOCUS28484 transcript:rna-LATHSAT_LOCUS28484 gene_biotype:protein_coding transcript_biotype:protein_coding MELCQIEPGQRYSKRLNEEQVTALLRATCQRPPQRENDIQHIVKQHHFNTDKVVKEFGINVREELALVDARVLPPPTLKYHGSGGASKIDPQMGQWNMINKKMVDAGKVQFWTCLCFSTRMNPTQFCAQLVNMCQSKGMLFNPDPLIPINPANPNQIERELVELQKKCKTALDRTKQEGRLQLLIIILPDFKGKSYDRIKRICETDLGIISQCCQPRQVVKMNKQYLVSQCCQPRQVVKMNKQYLENLALKINVKSGGRNTVLNDAFEKRIPLVTDMPTIIFGADVTHPQPGEDLSPSIAAVVASMDWPWVTKYRGIVSAQVHREEIIQDLFKITVDPQKGNRPAGMIRELLVAFYKSTGCKPKRIIFYRDGVSEGQFSQVLLYEMDAIRKACNSIEDGYLPKVTFVVVQKRHHTRLFPVNKGETDRSGNIMPGTVVDTSICHPREFDFYLNSHAGIQGTSRPTHYHVLYDENKFTSDQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLLAFRARYYISEVENSDSGSASGNRSTTNFVSTLLPSILDNIKEVMFYC >CAK8535095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813866321:813866794:1 gene:gene-LATHSAT_LOCUS4574 transcript:rna-LATHSAT_LOCUS4574 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKILKLTIILSILISLSLSVSSNDDDKNHHDIDEDEEAQEFYIVDTPLQHDGSSRSRFLASIIKKGKQCNLESNNICNGVRANKGKDLLFCCKKHCRNVLSDKNNCNECGHKCKQGERCCNGVCTNVLSNVHHCGKCKKECSSGDPCENGFCGYA >CAK8578592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640544911:640545774:1 gene:gene-LATHSAT_LOCUS30589 transcript:rna-LATHSAT_LOCUS30589 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIFLLSFLVLSSIFSITSLAQSPAAAPIAPAKPSPTKPAPSTPAPAPAKPLVPALPQSPTTNPDSSGNQDIIKILRKAKSFNTLIRLLKTTQIINQVNAQLVTTKNGGLTILAPDDGAFSQLKAGYFNSLGERQQKELIQYHVLPVYVSSSNFDSLSNPVLTLASDSPQGFQINVTAYGNSVNISTGVLDATITGIVYTDKTLAIYHVDKVLIPLDFVKPKAIAPAPALAKAPKADKENSSADDDDQTQGTKDSSDSISLIHMHGVSVLVSIGVSLLAAEVTTML >CAK8567426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513578565:513583116:1 gene:gene-LATHSAT_LOCUS20480 transcript:rna-LATHSAT_LOCUS20480 gene_biotype:protein_coding transcript_biotype:protein_coding MNIISSIASNVALPVFREFTYVLMYNQNLINLETQIQKLQREEKEMRHSVEAAKRNGEEIEDTVRDWFFRGQAAIEEAQEFLHGEDKERVGCLDVYSRYTKSQRAKTLVDLICEIKNEMFDRISYRCALKCNFSSSARGYVDLESRTEMLNEIMNVLKEDSNVHMVGLYGMAGVGKTALVKELAWRAEKDGVFDLVVMANVTNSPDVGTIRAEIADGLGLRFDELTEVGRASRLRQRIRQEMKILVILDDVWGKLCLTEVGVPFGDDHKGCKVLVTSRDLNVLTANLGAKKVYRLEVLSEDESWSLFEKRGGEVVKDLSIQPLAMKVAKNCAGLPLLIINLVEALKNKDLYAWNDALEQLTNFDFDGCFYSEVHSAIELSYEHLESQELKTFFLLLGSMGNGYNIKDMLVFGWCLGLHKHVDTLADGRNRLYKLIDNLRDACFLLEGERDSVAVLEVVRNVAASIGSKVKPFFTVERNAELKDWPRKDVLKNCHHIFLDWCLINELPERLECPNLKILKIHSQGNYLKVHDNFFDQMKELKVLSLGGVNCTPSLPSSLALLTNLQALTLCKCILEDITIVGEITGLEILNLEKSELRVIPPEIGHLINLRLLDLTDCSKLEIVPRNLISSLTSLEELYMGMSNTQWEVKVKEIEKQNNNSVLGELRNLHHLSTLNMQINDTSIFPRDLFSFGRLENYKILIGNGWKFSEDESKNYKNSRVFKLNLSMDSSILMDYGIKLLMAHAEDLYIAELKGVKEVLYELNDEGFSQLKHLNIQNCDEMEEIIGSTVWSNHDHAFPNLESLIILNMMKLERICSDPFSTQAFSKLQVIKVKKCDMMEFVFLHSTVKHLSELVEIEISECRFMSYIIAKQRQEDAGQTNKFTLPKMRSLTLESLPSLVSLSPESCINDTENSNNFYSQLLSDEVEFPCLETLKLHSINVQRIWDDQLLSRSCFENLTNLTVDGCERLKYLFTYSVAEKLVKLEHLFISSCKLVERIFVPDEITSNIFHTRKSPVEMVPLFPNLETLVISQMDNLKSIWPLILIQNSFCKLKKLEITSCDQLLNVFPSHVLNKLQSMESLNLGYCLALEVVYEIDAIREQELEIVIPLKTLSLENLPNLKYLWNKDPRGKVKFQNLFMVKATKCERLNHVFPFSVAKDLLQLHVLEVSDCGVEEIIAKDQGEVEEHVGLVFSRLLSLKLLNLQELRCFCSGNHNFRFPLLNQLYVVECPKMETFSHGILRASILRRVCLNENGDQRYWEGDLNTTIRKLFNKDFQGRLLVS >CAK8534086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692642511:692649919:1 gene:gene-LATHSAT_LOCUS3656 transcript:rna-LATHSAT_LOCUS3656 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHINILMLISFLLIIITTFFPQLSHSQSVDDYSICRDINHSYNCGNLSKISYPFWGQNRPFQCGAGTPFHLFCYENSVTTILLSSQNFTVLEINTTTYTIKLKRTDLSLNLCSPQFGDTSLSPTLFQYLPKVKNITIYYNCTSSQFFPKKSLCGASNHAFCPVGDDANKFLEESRNCNRYIQVPVGGDFPIENGYYHYVNSNVLEKGLDKGFDVKYNVNEECLRCLGSQEGDCVSDYIEKHATSSCYYDNCPDGSIAFSSQCSSQHKSKLLRWHGKMKTILGFWLLMQVLF >CAK8544135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666376491:666377200:1 gene:gene-LATHSAT_LOCUS12838 transcript:rna-LATHSAT_LOCUS12838 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDDMQVLEVRPVIDWDKGKAVTFLLESLGLNNDDNVLAIYIGDDQADEDAFKVLSEVNKGFRILVSSAPKESNADYSLCDPYEVMEFLKSLVAWKSNSLE >CAK8532748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510206539:510211376:-1 gene:gene-LATHSAT_LOCUS2422 transcript:rna-LATHSAT_LOCUS2422 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSPKNVVPVAMNGGLGVGRFNRASLYVGDLERNVDEGQLYDLFNQIAQVASVRVCRDQTKRSSLGYAYVNFNHIHDAINAIEHLNFTPINEKPIRIMFSHRDPSIRKSGFANVFIKNLDKSIDNKALHDTFAAFGPVLSCKVAIDEIGQSRGYGFVQFDNDESAKNAIEKLDGMLLNDKKVYVGYFIRRQERSGNGSPKFTNVYVKNLSETYTDEDLKQLFNTYGVITSAVIMKDDNGKSRCFGFVNFQNPESAAAAVEGLNGTATNDGKFLFVGRAQRKSERETELKARFEQEKIKRYEKLQGANLYLKNLDDNLNEEKLKELFSEFGTITSCKVMYDVHGHSKGSGFVAFLTPEEASKAINEMNGKIIGHKPLYVAVAQRKEERKARLQAQFSYIQAPGGITTLPGRIAGYHPSAPRLAPQQLYFGQGTPGLIPPQPNGYGFQQQLMPTMRSGVAPNFIMPYHLQRQGHPGPRMVARRVGNFQPVQQNQLPNRNSNQGFRYNVRNGVDAVDSEGLTHQMMDTSGAVAPISNQHHGGLSNNSLTSALASATPENQRLMLGEHLYPLVGRHTSNHQTAKVTGMLLEMDQSEVIHLIDSPEELKIKVSEAVQVLREASSGSEVVVDQLVSLKE >CAK8532752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510696672:510697367:-1 gene:gene-LATHSAT_LOCUS2426 transcript:rna-LATHSAT_LOCUS2426 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGLFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFITAPPIDIDGIREPVSGSLLYGNNIISGAIIPTSAAISLHFYPIWEAASIDEWLYNGGPYELIILHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATTVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRVLHPKF >CAK8535265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831585804:831586514:1 gene:gene-LATHSAT_LOCUS4738 transcript:rna-LATHSAT_LOCUS4738 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEQVLKLLDTNWFETSILTNNIKKTSSPLHSTTLLDSTSKSHVEELLFLDTKLLKIPTLQVRSFSDKNLGSKIGSFSDSLSPNSVLLPQKLKPILSGKEVKEFTLEKESTDAKKASRCRGTPRTLLRKGKNTSRSFSELEFKELKGFMDLGFIFSEEDNDSRLVSLIPGLSKKQHNVDDESVICRPYLSEAWDLIKKRKDVNPLLNWRVPTLGNEIDMKDNLKFWAHTVASTVR >CAK8533624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642674517:642674924:-1 gene:gene-LATHSAT_LOCUS3236 transcript:rna-LATHSAT_LOCUS3236 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDDNSSTLIDDEIFSNGGDDDNRNNHRQIINTDQFDIKAYASLYSGRTKIFRLLFIAKHCGDNLMMQLEALRMAFDEIKQGEDTSLMREVVSKIKGRLGPNYTVDDTWYFTIDKKAKLKKEKLETELNSFRTN >CAK8563440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604040342:604049030:-1 gene:gene-LATHSAT_LOCUS16852 transcript:rna-LATHSAT_LOCUS16852 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDSVHRLEQESGFFFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRHNEQLSKYGDTKSARGIMLAELKKLIEANPLFREKLQFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQQPNGARAPSPVTNPLMGGVPKAGGFPPLSAHGPFQPTPAALPTSLAGWMANPSPVPHPSASAGVGPIGLATPNSAALLKRPRTPPSNNPAMDYQTADSDHVMKRTRPFGISDEVNNLPVNLLPVAYSSQSHGQSSYSSDDLPKTAVMTLNQGSIVKSLDFHPLQQILLLVGTNMGDVMVWDIGSHERIAHRNFKVWELGVCSVALQASLSNEYTASVNRVVWSPDGTLCSVAYSKHIVHIYSYSGGDDLRNHLEIEAHSGSVNDLAFSYPNKQLCVVTCGDDRVIKVWDAVTGAKQFTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYDAPGHSSTTMSYSADGTRLFSCGSNKEGESFLVEWNESEGAVKRTYHGLGKRSVGVVQFDTTKNRFLAAGDEFMVKFWDMDNTSLLTSIDADGGLPASPCIRFNKDGILLAISTSDNGVKILANAEGIRLLRTVENRSFDASRVASAAAVKVPTIGTFPSSNVAVGTSLADRIPPPAAMVGLNNDSRSLVDVKPRIVDEAVEKSRIWKLTEINEPSQCRSLKLPDGLSSMRVSRLIYTNQGVAILALAANAVHKLWKWQKNDRNTSGKATASLQPQLWQPSSGILMTNEIGETNPEDSVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLNGHQKRITGLAFSHVLNVLVSSGADSQLCVWSTDGWEKQTNKFLQMPNGRAPAPLADTRVQFHLDQTHLLAVHETQIAIYEAPKLECLKQWMPREASGAITHATYSCDSQSIYVSFEDGGIGVLTASTLRLRCRINQTAYLHPNPSLRVYPLVIAAHPSESNQFALGLTDGGVHVLEPLEAEGRWGSPPPTENGAGPSTPSGASVSEQPQR >CAK8561797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385796282:385796575:1 gene:gene-LATHSAT_LOCUS15353 transcript:rna-LATHSAT_LOCUS15353 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFQRKYPNEIEDEIMVRILDHMKNQFFSTFPTKASKEEDSSMKTSSSMGSMDSHNFDGLAREGQAEEPTAEDFWDAMISSMKEIRKAKN >CAK8572883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569851207:569852772:1 gene:gene-LATHSAT_LOCUS25392 transcript:rna-LATHSAT_LOCUS25392-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQDVSKTPPSSNKRAVSTQGSVDIYAAQCKSCMKWRVIDTQEEFEEIRHKIIREPFDCSRKANCSCDDPADIEYDSTRTWVIDKPNLPKTPQGFKRTLVLRKDYSKLDSYYITPTDFDFSSPKIMQDTIPEYIEQKDSANKKAKIT >CAK8572884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569851207:569852772:1 gene:gene-LATHSAT_LOCUS25392 transcript:rna-LATHSAT_LOCUS25392 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQDVSKTPPSSNKRAVSTQGSVDIYAAQCKSCMKWRVIDTQEEFEEIRHKIIREPFDCSRKANCSCDDPADIEYDSTRTWVIDKPNLPKTPQGFKRTLVLRKDYSKLDSYYITPTGKKLRTRNEIAAYLKDHPELTGVSASDFDFSSPKIMQDTIPEYIEQKDSANKKAKIT >CAK8577948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598466004:598467656:-1 gene:gene-LATHSAT_LOCUS30006 transcript:rna-LATHSAT_LOCUS30006 gene_biotype:protein_coding transcript_biotype:protein_coding MHSATTPPFSQLFTFQANLQTFQSRTPTLFYSPTMSSLSGAKIRVKPPLALGNSSPSSSTVSDDDGSFTLIEYLGKGRGIGVNDDLVVLIDHIQYACKRIAALVASPFNYTIGKQTGLAHVPNTGSSDRDAPKPLDIISNEVILSSLEKSGKVAVMASEENDLPTWISDDGPYVVVTDPLDGSRNIDASIPTGTIFGIYRRLEELDNLPTEEKALLNSLQSGNRLIASGYVLYSSATILCITFGSGTHAFTLDRSTGDFVLTNPNIKIPPRGQIYSVNDARYFDWPEGLRKYIDTVRQGKGRYPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEANPLSFLVEQAGGRGSDGKSRILSLQPVKLHQRLPLFLGSLEDMEELESYGDVQQKVNPGYEV >CAK8571597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446752285:446754914:1 gene:gene-LATHSAT_LOCUS24242 transcript:rna-LATHSAT_LOCUS24242 gene_biotype:protein_coding transcript_biotype:protein_coding METHGEIRVSKFKRVCVFCGSSHGKKTSYQDAAIQLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSASTSKELVKKLEDYVPCHEGVASKLSWQMEQQLAYPEEYNIAR >CAK8543187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586258516:586260648:1 gene:gene-LATHSAT_LOCUS11961 transcript:rna-LATHSAT_LOCUS11961 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLFGFSKHVIFSFIKITILLILHFMINKADSGCNNSVITSIIPIQHCQILTSLQSQSFDGHLSLKNNEDAAKDFGNIHHFPPLAVLHPKTVSDISRTIKHVFEKGSDSEMKIAARGHGHSLQGQAQAHQGLVIKMESLKGPEMKIQTGEFPYVDVSGGELWINILHETLQYGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNIFQLEVVTGKGEVVTCSENQNANLFHGVLGGLGQFGIITRARISLEPAPKMVKWIRVLYSDFSTFTRDQEYLISLKDTFDYIEGFVIINRTGILNSWRLSFDPKDPLQASQFSSEGKTFYCLEMAKYFNPNEAEVMNQGVDHLLSKLSYIQPTLFLSEVSYVEFLDRVHVSEMKLRAKGLWDVHHPWLNLLIPRSEIHDFAKQVFGNILKDTSNGPILIYPVNQTRWNSKTSLVTPEEDVFYLVAFLTSAIPFSSGENSLEYILSQNRRILDFLSHAKLNVKQYLAHYTTQEEWQTHFGSKWGVFVERKRVYDPLALLAPGHRIFQKEGSTSI >CAK8534170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701853011:701855918:-1 gene:gene-LATHSAT_LOCUS3736 transcript:rna-LATHSAT_LOCUS3736 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDSPQLKHVVIISLPPSNNPSLGKKITAFTFFNPSSRQQTHQQQPQNNDPPIQFQPYPSNPQLQFSLRRLFRVNPIKLFTFFGVFLFALFLFGSLFSTTTPLGLRGLKNDDGDDESSSFLFPLFRKYGVLGQRDLKLKLGKIVDVKKRNFVAQKSASVASSSTVFPISGNVFPDGLYYTHLRVGNPPKRYFVDVDTGSDLTWIQCDAPCRSCAKGANAPYKPTRSNILPSADSLCFEVQKNGYHESFSQCDYEIQYADHSSSLGVLIKDDLHLMTTNGSKTKLNFVFGCGYDQEGSLLNTLAKTDGIMGLSRAKVGLPYQLASKGLIKNVVGHCLGNDGVGGGYMFLGDGFVPYWGMTWVPMAHSTDFYQTEILGMNYGNRLLSFEGHSKVGKVVFDSGSSYTYFPGEAYQDLVASLKEVSGLGLIQDDSDTTLPICWQANFPIRSVQDVKDYFKTLTLRFGNKWWILSTLFRIPPEGYLIISNKGNVCLAILDGSNVNDGTAIILGDISLRGYLVVYDNVNKNIGWEQTKCSMPSRILRKSHNFLSDSML >CAK8541864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419097326:419097973:1 gene:gene-LATHSAT_LOCUS10755 transcript:rna-LATHSAT_LOCUS10755 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWIVVAYSAPVAAATAVFLIYRIGQGCSSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMQGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWTDIINRANLGMEVMHERNAHNFPLDLAAVEAPSING >CAK8537160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:118009992:118012156:-1 gene:gene-LATHSAT_LOCUS6470 transcript:rna-LATHSAT_LOCUS6470 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIKKIENATARQVTFSKRRRGLFKKAEELSILCDADVALIIFSSTGKLFEYSNLSMREILERHHLHSKNLAKMEEPCLELQLVENSNCTRLNKEIAEKSHQLRQMRGEDLQGMNVEQLQQLERSLEIGLGRVIENKGEKIMTEIQNLQRKGRQLMEENERLKRHVTGMVNNGKMGGGVEYENVVIEEGQSSESVTNVYNSTGPPQDYESSDTSLKLGLAYAG >CAK8563556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616194062:616194559:-1 gene:gene-LATHSAT_LOCUS16953 transcript:rna-LATHSAT_LOCUS16953 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKKHMKSQSLMGKVQCLSLPSFGGCFDGFRDQAQGSGYGTRIWNLSDKPVELQIRVGSILKKIHTLKPGSSKRLKCKSIYKTYVPKSGSVGGSLKNLLYSYDETSHPYIWIHETGCHSLKMVKQQYISLEDMRDCSEIKIFRDHQRGSISVRKRMRPDFCST >CAK8564220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658837334:658838552:1 gene:gene-LATHSAT_LOCUS17543 transcript:rna-LATHSAT_LOCUS17543 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERAGGGLKSFRSGWSVPPKLCDSCKLSSAALFCHSDTAFLCINCDSRIHSGNKLSTRHERVWMCEVCEQAPASVTCKADAAALCVTCDSDIHSANPLSRRHERVPVEPFFDSAESVVKSSSAAAAAAASFNFVVPTDDGFSQDEAEASAWLIPNPNFGSKFSETPDIKTREMFFSDMDPFLDFDYSNNFQNNNCNAINDSVVPVQNKPTHAPMMNLHNSEACFDIDFCRSKLSSFNYPSHSISQSVSSSSLDVGVVPDGNVVSEFSYTFGSESMVSGGVSSNNQGVQGATQLCGIDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEIDSDVDRLYNNPADPLTVPASLLIDSPYGVVPTF >CAK8566113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381232898:381241021:1 gene:gene-LATHSAT_LOCUS19276 transcript:rna-LATHSAT_LOCUS19276 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNAGSTAVATTTTATARPSSSSGTLDHHHNTNLAFSSSYSNSNSSSLSNGFRRTSAAPPPSRIITVPDTVFPNWQPSERVSRMNPDQIEEVRLRLNLDVTVSSDSPAAPGPIESFNDMCLHPSIMKDIAYHEYTRPTSIQAQAMPIALSGRDLLGCAETGSGKTAAFTIPMIQHCLVQPPIRRGDGPLALVLAPTRELAQQIEKEVQAFSKSLESLKTAIVVGGTNIEKQRSELRAGVEIAVATPGRFIDHLQQGNTSLSRISYVVLDEADRMLDMGFEPQIREIMRSLPEKHQTLLFSATMPVEIEALAKEYLASPVQVKVGKVSSPTTNVSQTLVKVSESEKIDRLLDLLVEEASQAEKCGHPFPLTIVFVERKTRCDEVAEALVAQGLSAVSLHGGRSQNEREAALQNFRSSSTSILVATDVASRGLDVTGVSHVINLDLPKTTEDYIHRIGRTGRAGSTGIATSFYTDRDMFLVTNIRKAIADAESGNAVAFATGKVARRKEKEAAAAHKEANIALSRHLGLGAASINIEDKYRFMIAASNSRGEGAADSAWDD >CAK8542930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560652789:560653988:-1 gene:gene-LATHSAT_LOCUS11727 transcript:rna-LATHSAT_LOCUS11727 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDELWSKILEIGIRNSTLTYKDFCCISITSRLLHRLSSEDSLWNRFLSSDFPLSPSSSLPSSSSKSLYKLRFERDKERKIAAHRRAVLRKESQISEHSRRLHDIQKLVSQEKIKAIQTSNEFSYLLRVREASVALNVWQPEVVRGRQKQMVEQSGVPAESRIRTLEMELRLCKQQIMGLEKSHRDEKRRLDAAKKELESMKYHPLPENKPVSGGESERIVKQKKLKSCNSLREKQYKTQ >CAK8569000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662399617:662400987:1 gene:gene-LATHSAT_LOCUS21899 transcript:rna-LATHSAT_LOCUS21899 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLPDHPKLPKGKTIVVVVLDGWGEANPNEYNCIHIAETPNMDSLKKGAPKHWRLVRAHGKAVGLPTEDDMGNSEVGHNALGASRIFAQGAKLVDLALESGNIFDGEGFNYIKESFETGTLHLIGLLSDGGVHSRLDRVQLLLKGASERGLKRVRLHILTDGRDVLDGSSVAFVETIENDLAKLREKGVDAHIASGGGRMYVTMDRYENDWSVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDESGKSVGPIVDGDAVVTFNFRADRMTMLAKALEYENFDKFDRVRFPKIRYAGMLEYDGELKLPRKYLVSPPEIERTSGEYLVKNGVRTFACSETVKFSHVTFFWNGNRSGYFDEKLEEYVEIPSDSGITFNEKPIMKAVEIAEKAREAILSGTFDQIRVNLPNGDMVGHTGGIFDQFRLVSKSFGVVANVS >CAK8568615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624721457:624723385:1 gene:gene-LATHSAT_LOCUS21557 transcript:rna-LATHSAT_LOCUS21557 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIRKAIGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDEDPASEKYVREILNLMSYSRGYVNACVAAVSKRLGKTRDWIVALKALILVHRLMNEGTVIFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKTNVGGSGGGSGSGGGGDDRFGGRENFRSPPNEYEYGGRGDGGSGMRKTRSYGDMADAAGQDDRRIVTVTPLRDMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNSRMVLVALYPLVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASSAKQIDELITFYNWCKDSGLARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKEEAPKVEVKEEEPVQDMNEIKALPPPENYTPPPPPVPEPEPKPQFTEDLMNLREDAVTADDQGNKFALALFAGAPANNANGSWEAFPSNGQPEVTSAWQTPAAEPGKADWELALVETASNLSRQKADLGGGLDPLLLNGMYDQGMVRQHVSTSQLSGGSASSVALPGPGKTTTPVLALPAPDGSVQPVNQDPFAASLNIPPPSYVQMAEMEKKQQLLVNEQQLWHQYARDGMQGQSSLTKLNGNGYYGGGPMPYGMPPVHGMGPPNGYYHTPL >CAK8565244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79662230:79664295:1 gene:gene-LATHSAT_LOCUS18473 transcript:rna-LATHSAT_LOCUS18473 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKSPLKDAKPSSKNNNPFDSDEEKKDNRKYKPSRKISSEYNLVQGETNTNPFDDGDAHHGRSSSSSYAPSYGTRNMYKNDFRDSGGLESQSVEDLENYAVYKAEETTKSVNNCRKIAEEMREEASKTLVMLHHQGEQITRSHDIAAGIDHDLSRGEKLLGSLGGMFSKTWKPKKTGTIKGPTLFADDPVRRSANHSEQREKLGLNSAPKGQSNPRRAISEPTNAFERVEVEKGKQDDALSDISDLLGELKGMAIDMGSEIDKQTKAIDGFEKDTEKLNDRMNGANRRTRHLLGK >CAK8537939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449139010:449140374:1 gene:gene-LATHSAT_LOCUS7187 transcript:rna-LATHSAT_LOCUS7187 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTSKVLMQRYELGRLLGQGTFGKVYYGRSIVTNQTVALKMIDKDKVIKNDQADCIKREISIMRVVKHPNVIQLFEVMATKSKIYFVLEYARGGELFKKVAKGKLKEDTAHKYFKQLVSAVDFCHSRGVYHRDIKPENILLDENEDLKVSDFGLSAFAESKRQDGLLHTTCGTPAYVAPEVIKRKGYDGAKADIWSCGVVLFVLLAGYVPFNDSNLMEMYRKISNAEFKCPSWFAKNVRKLLCKMLDPNPSTRISIDKIRKCSWFKYGPNGRPKQHEAENKSISSVASTLLIEGVSPDTTQTHVTNEIEKQESVVPMSINAFDIISLSNGFNLGGFFEDSFKKREARFTSRQPASVIISRLEEIAKRLKMKIKKRAAGLLKLEGVDEGRKGVLSIDAEIFEVTPFFHLIEVKKSNGDTLEYQKILNEKIRPALQDIVWVWQGEIQEQSQEPEQ >CAK8534406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723860791:723861201:1 gene:gene-LATHSAT_LOCUS3955 transcript:rna-LATHSAT_LOCUS3955 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIITRESPKRKANRVFINLTTLMMVVVKRASRLPLKLKAAPAIENRKIEMKSPKKLLKNISNKAMPFIEKMKKKKKGKDEWGDGGVWQKAIMMGDKCEPLDFSGVIYYDSKGKQVSEFPLRSPRATPLPALCSG >CAK8542409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510416956:510417813:-1 gene:gene-LATHSAT_LOCUS11250 transcript:rna-LATHSAT_LOCUS11250 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWRKQQGTSHHQVGQWRSSSYNGKPKSTVPLWEKKFCVSVGSVPWGKVVENKRYMCLHENVMNWDDSAVKEAFDNAKCRFWAEINRFPCDIPLPDPNVYIDDVDSNASVDSELYLDLERKLEVTNVEEKGEEVVIFGDSLRLNQSFSGPGWGDEEEETKPFETNYDSPGWEHQNNETNSWEQCAALVDSRNVYEEWNRREGYSGDLCNKYQQGRNGGNGNWGTWDGYNRKRENNMSWSKNPGYHCGTNEYKMNRGRRRNRGGGGRRGNFAYMEKGPTPRPGAW >CAK8572565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546929146:546930076:-1 gene:gene-LATHSAT_LOCUS25118 transcript:rna-LATHSAT_LOCUS25118 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTELTFAVAFAYIESEQTETFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRFHINKNVGAKRKQYVASDMQKKIDELWMDVVWASDEVEYDQRLNQLEQACVDCNEFIDYVKDTWMTPHRQRFVGAWINRVLHLGNTTTNRVEYAHWKLKQILGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRITEELKRVDYVGTNKEICRCTLRKTYGLPCACELTRYRIDGLPIPIDVVHVH >CAK8532731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:506557080:506563904:1 gene:gene-LATHSAT_LOCUS2408 transcript:rna-LATHSAT_LOCUS2408 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISSYPMLHSHFHHNNHKFLFPNLPVSLTTRHFCSQSPLVHDFVHRPTSNSHTVSAIGPLHVELSPLQTKLTTATVELGTVFEAIDDFSLNQVTFSVVLSGAIAVFLFPAIQRRIKTAKQLKYRSSGVKKSSLNSSKTSKKSKKRPSPDQALLGAIIAGIIAVILYRFTITIEASLYRQSISDNFSVRQITITIRTVINGLCYLATFVYGINSFGLLLYSGQLAINTYVKGSSSGKKSTESKIMEQSLLSSSSDEEDQNQSSNISK >CAK8532732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:506557104:506563904:1 gene:gene-LATHSAT_LOCUS2408 transcript:rna-LATHSAT_LOCUS2408-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSHFHHNNHKFLFPNLPVSLTTRHFCSQSPLVHDFVHRPTSNSHTVSAIGPLHVELSPLQTKLTTATVELGTVFEAIDDFSLNQVTFSVVLSGAIAVFLFPAIQRRIKTAKQLKYRSSGVKKSSLNSSKTSKKSKKRPSPDQALLGAIIAGIIAVILYRFTITIEASLYRQSISDNFSVRQITITIRTVINGLCYLATFVYGINSFGLLLYSGQLAINTYVKGSSSGKKSTESKIMEQSLLSSSSDEEDQNQSSNISK >CAK8539833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528209114:528209824:-1 gene:gene-LATHSAT_LOCUS8893 transcript:rna-LATHSAT_LOCUS8893 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFGGSMRSATAPNPSCSSGNSSNNDAGDFECNICFDLAQDPVITLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQEEKLVPLYGRGKSQTDPRTKSYPGMEIPRRPSGQRPQTANPHPVPEGNFAGVGLMGGFIPMATARFGNFSLSTGLGGLGGFLPSLFNIHFHGFQDGTVYGTTSGYPIGFNPFQGGNANARGFNSQETGQVQRQEDNVLKNLLMLIGFLVLLTVIFVM >CAK8542001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:449567147:449572624:-1 gene:gene-LATHSAT_LOCUS10878 transcript:rna-LATHSAT_LOCUS10878 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPAYQANLLTSPEWLNKGDNAWQMTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVAYRMAFGEKLLPFWGKGAPALGQKFLVRRARVPASTHFHKNGDVESAMEEPFFPMASLVYFQFTFAAITMILLAGSVLGRMNIKAWMAFVPLWLVFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRIKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANVVASIAVLNTNICAATSLLVWTTLDVVFFGKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGILSGSIPWVSMMILHKKSSLLQKVDDTLGVFHTHAVAGLLGGLLTGLFAEPALCRLLLPVTNSRGAFYGGSGGVQFLKQLVAAMFVIGWNIVSTTIILLVIQLFIPLRMPEEQLEIGDDAAHGEEAYALWGDGEKYDPTRHGSLNTGTTGVSPYVNGARGVTINL >CAK8574778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9049817:9050642:-1 gene:gene-LATHSAT_LOCUS27088 transcript:rna-LATHSAT_LOCUS27088 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTNSEINIDMNPPPTSDISDGILQRWKREDSLKRVSLGLRGVALFFSLVSFLLMASNNHGNWQHFDMYQEYRYLLAVTIFSSLYTGGQVYRQIHELSTGNNMFRSTTAALIDFLGDQVMAYLLISSASTSIPVTDRMREGGDTLFTDSSAATITMSFFAFLFLALSAIISGYKLSAQT >CAK8563017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566699945:566700199:1 gene:gene-LATHSAT_LOCUS16467 transcript:rna-LATHSAT_LOCUS16467 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHLYTSCSNTSLSRDDNVGVLLCASCGIVQTFYQYESFTGGINGPQGTFVHIGTSGSGDFYSYKDRNYYPLVIPLKNSLIG >CAK8569650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7253176:7253689:1 gene:gene-LATHSAT_LOCUS22478 transcript:rna-LATHSAT_LOCUS22478 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVTNTFLQGKPFLPLPTKNFQQQQGKKVGNFGVWCKKKDIHPEFYEDAKVYCNNELVMTTGGTQKKYVVDVWSGNHQFYLGNRSSNMVDDDQVEKFRKKFGDLSDIMEIPVLKGEIVVPSRRKGIKSGGGKKK >CAK8565110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38810716:38811090:-1 gene:gene-LATHSAT_LOCUS18347 transcript:rna-LATHSAT_LOCUS18347 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHRQDKKETDRKIQVLLKTVLNQNTSELNIEALAALISTPAIDANSVLRSSTSTHAPTNDQVINDNINEDFEFEDEET >CAK8539276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508141523:508142703:1 gene:gene-LATHSAT_LOCUS8386 transcript:rna-LATHSAT_LOCUS8386 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPLPLSCSSQTQTSPHFLLPRKPFLLLSTRPSNHYARPKSLRLTTTTCKATQVSVTEESSPSGNWVPVVPVSALPRGERRVIIQEGETILLLWYKDQIFAIENRSPAEGAYSEGLLNAKLTQDGCVVCPTTDSTFDLRTGEIKEWYPNNPVLKVLTPALRNLFVYPVKTDEKNIYISITGGFKSDAATEIVFSGKAQPGITATDVNVDEVKMVVDETQLGFGFSRKNEIINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYSASNALN >CAK8564074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649912299:649914529:-1 gene:gene-LATHSAT_LOCUS17414 transcript:rna-LATHSAT_LOCUS17414 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDMYDVFSAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFILADSLQKVVILAALFLWNMFTKNQDSLDWTITLFSLSTLPNTLVMGIPLLTAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRAAKLLITEQFPETAASITSFKVDSDVVSLNGREPLQTDAEIGEDGKLHVVVRRSTTNSMVSGSFNKSHLHNMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFQSKAPSPKHGYTNSFQSNGDVYSMQSSKGATPRTSNFEDETLKMSKKRGARSMSGELFNINNGSYPPPNPMLYGSTSTGRKKKDSIGGGNGGGPNNKELHMFVWSSSTSPVSEGNLKHAVNKAASTDFSTVDPSKYVPHGNTVSAKGVNELIENMSPRERDVEIEEGTKSPYISQHKKMDFEGENVNKNKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLISFRWHIEMPTIVKGSISILSDAGLGMAMFSLGLFMALQPKLIACGKRVATFSMAVRFLTGPAVIAATSIAVGIRGVLLHVAIVQAALPQGIVPFVFAKEYNLHPDILSTAVIFGMLIALPITILYYVILGV >CAK8572230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523434932:523439302:-1 gene:gene-LATHSAT_LOCUS24818 transcript:rna-LATHSAT_LOCUS24818 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRKDFVRNGPGSVKMVPVDSDDLWYVYNLIAPGDSVMAVTIRKVLREAANGGRDAERVKLKLEIKVEDGVDYDKEGAVLRVRGKNILENEHVKIGAFHTLEIELQRPFVLRKDVWDSYALEVLQQASDPGASADLAVVLMQEGLAHILLVGRSMTVTRSRIEASIPRKHGPAIAGYERALNKFFDNVLQAFLRIIDFNVVRCAVIASPGFTKDQFHRHLFLEAERKQLRPIIENKSRIILAHTSSGYKHSLKEVLDAPNVMSMIKDTKAAQEVRILKDFYDMLSNDSARACYGAKHVEVAHERLAVQTLLIADSLFRNADIPTRKKFVNLVNSVKDSGGSVHVFSSMHVSGEQLEQISGIAAILRFPLPDLEDIEM >CAK8544972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715364181:715365064:1 gene:gene-LATHSAT_LOCUS13609 transcript:rna-LATHSAT_LOCUS13609 gene_biotype:protein_coding transcript_biotype:protein_coding MCESFNREILECRDKPIITLLEGIKHYLTKRITSQKELMNTYTGDICHKIQLLLEKNKKHAECWTPTWHGGDDLSIFGVTNGIETYSIDLKKQTCACRKWDLTGIPCSHVISCKWQNKKKPEDYVSEYYRKSFFNNSYSHIIYPTNGPQLWPLLEGQVPIKPPVLRRAIDRPKKLRNKVNDEPRIPHVLPRKLTTVSCHKCGTMRHNKRSCKGKMATERVILKGGNKKKGNTSKDGKRQKLETNDGKKIKTAVTEIGNSSQAPQPSQD >CAK8534544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740303294:740306042:1 gene:gene-LATHSAT_LOCUS4084 transcript:rna-LATHSAT_LOCUS4084 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASKKTGQSNSNPSVNSSASVLFRSASSKANSKELERIDSLFYSYANGSSGVIDPEGIEALCADMEVDHTDLRILMLAWKMKSEEQGYFTLDEWRRGLKALRTDTVSKLKKALPDLENEEVVKIFRFLFLCFSILSNRGETEKH >CAK8532782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:514805359:514806180:1 gene:gene-LATHSAT_LOCUS2454 transcript:rna-LATHSAT_LOCUS2454 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESELELRKESKNITSTFQVDSEKGKVVEEVKSNLLENGEQSSSSNNVIAKVLPEKVKEFPCLFCNKKFSTAQALGGHQGAHKRERDFKKNEEKEREDNMFRSNLSHLYPYSSPSHYQGYPYFCGNFQQSVGTQMSNIMPSLLDPLFVGYRGMYLPNTPSQPPPFVMSIPKSPITTPQLEMTNFMGGIQTSTLPIPQIPNIMELGLFGQTNQTPSFCQGAEESFNVQFPSYDLPIETRDFIGEGQLLAEVNVSSLSTESTIVEELDLNLKL >CAK8567738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540448891:540450551:1 gene:gene-LATHSAT_LOCUS20761 transcript:rna-LATHSAT_LOCUS20761 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSWSTCPFCDSPVPSSQLQWHANAHFHDPELPQQPLQSLPSQHCNYSGGETSRDKEECSMDEKISCLIGLQTRSEFYKVESGLMTLLRNCLESETENSKTVLSGYVDHFQSLDSEDAGWGCGWRNIQMLSSHLLAQRPEAREFLFGGSGFVPDIPSLQRWLEVAWEKGFDAPGAAQLDHVVYGSKKWIGTTECAALLRSFGLRARVVDFGPMESQSVVGTNVDNTDDKASSEAYQVLMDFVWNYFSDENTVQFGLQHVVISEKTPLYFQHDGHSRTIVGVQAKYQQDGVLTYNLLVLDPAHSTIALERSLKKKIGWKKLIRKGRNTLKKPQYQLCYVDPGIACEEEMEKLMTIDSVFFEL >CAK8540109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540108658:540109031:1 gene:gene-LATHSAT_LOCUS9142 transcript:rna-LATHSAT_LOCUS9142 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFHVLIFDKVLKKKASYLCEREAKDTKSQTAKIFHILPAGFCLCLFYCFILFRHKNLYLIFYVCCKKRLFRPTQGDYLQLKMMHTLWRSS >CAK8573734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633791434:633793631:1 gene:gene-LATHSAT_LOCUS26142 transcript:rna-LATHSAT_LOCUS26142 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGFTGDNNKEFEAKITPIIIISCMMAATGGLMFGYDVGVSGGVASMHPFLEKFFPKVYRQIEEEGTESNYCKYDNQGLQLFTSSLYLAGLMVTFFASYTTRILGRRLTMLIAGFFFIAGVAFNAAAQNLAMLICGRILLGCGIGFANQAVPVFLSEIAPSRIRGALNILFQLDITLGILFANLVNYFTNKIKGEWGWRISLGLGGVPALLLTLGAYLVVDTPNSLIERGHLEQGKTVLRKIRGTDNIDPEFFELVEASRVAKQVKHPFRNILKRNNRPQLVISIALMVFQQFTGINAIMFYAPVLFNTLGFKNDAALYSAVITGAINVVSTIVSIYSVDKLGRRKLLLEAGVQMFLSQMVIAIVLGFKVKENSEELSKGYAALVVVMVCVFVSAFAWSWGPLGWLIPSEIFPLETRSAGQSVTVCVNFLFTSVIAQAFLSMLCYFKYGIFFFFSGWILIMSIFVLFLVPETKNVPIEEMTERVWKKHWFWKRFVEVDCLEDEKVSDGNGPSIIDLVH >CAK8536988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51394365:51394766:-1 gene:gene-LATHSAT_LOCUS6309 transcript:rna-LATHSAT_LOCUS6309 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAYEMNYEKTPHCASGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFILCFTYVEMHHSDRVKFQFGIKQDIPGPPEMYNLLLVEPPLTSTGSKLIKDE >CAK8569913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15457377:15460516:1 gene:gene-LATHSAT_LOCUS22713 transcript:rna-LATHSAT_LOCUS22713 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQPSNIDPFLLDYQPSELRIASEFFSTWLPFLSKDLCRRCSQSLSDRIRSIDPESENRNKGSEEVNLEENCDCHSLGSWNDGVQGNLASEVPSPRMSWADMADELGEDEIEIDRNDGETVGGDVVLEENSGENRVVADKAVLPREQREYIRFMNVRREKDFVCMEKINGKLVNIVEGLELHTGIFSAAEQKRIVEYVASLQEMGRKGELKDRTYSAPRKWMKGKGRQTIQFGCCYNYAVDKYGNPPGILHHASIDPLPELFKVIIRRLVKWHVLPTTCVPDSCIVNVYEEGDCIPPHIDNHDFVRPFCTVSFLSECKILFGSNLRIVGPGEFDGLYAISLPVGSVLVLNGNGADVAKHCVPAVPSKRISITFRRMDESKRPSGYIPEPDLQGIQPLAYEAVQEENNKSSGGHNRSNNRQMSRNNDRRGGRIDGMGSAPRSDRFSEPREWSQNSQRSAPTRNNRYSEPREWSQNSQRSATRNDRYSEPSEPREWSQNSQRSGTRNDRYSEPRQSPQSSQRSANRWSRVKPTS >CAK8568168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579514180:579516894:1 gene:gene-LATHSAT_LOCUS21157 transcript:rna-LATHSAT_LOCUS21157 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEKHAMIRDIGLQIVMILAMILIFLSMHGIPQKFLAKLRIRNRTGIKAKRHFVRGAQLLTQARSSKNKSRSAINQLANEALAEAEKAIELDPKDAASYLLKAMVLDLQGFRTSALESLDAALSPLAAGSLAEGERGDALFKRAELKLATSERGRVDSALADLTESVILSPKNAKAWCALGECYEGKKMDEEAKKAYKEALELEPQFSVPVEALNSNGEKS >CAK8566189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388935525:388936335:-1 gene:gene-LATHSAT_LOCUS19343 transcript:rna-LATHSAT_LOCUS19343 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKATGIVVTATRSPMQLMMGPAVTATGDQELEGNTTTFLRTAETFLRLLPIGLCVTALVLMLKNSEKNDNGSVSYADLGAFRFLVHANGICAGYSLLSAVLVAVPRPTISRAWTFFFLDQALTYIVLSAGASSMEVVFLAENGDSATVWSSACGSFGQFCHKVTASVAITFVALVCYVILSIISSYKLFSKYDVPASSTSTATGTDHIAPAFRG >CAK8542286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498186613:498192070:-1 gene:gene-LATHSAT_LOCUS11133 transcript:rna-LATHSAT_LOCUS11133 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDDNSSALIEDEIFANGGGDDNRNNHRQIISADQFDIEAYASLYSGRTKISRLLFIAKHCGDNFTMQLEALRMAFDEIKQGEDTLLMREVVSKINGRLGPNYTVDGAWYVTIDKKAELKKEKLETELNSFRTNLIKESIRMGYNDFGDFYYAHGQLSEAVKNYIRTRDYCTTMKHCIQMCMSAILVSIEMGQFPHINGYISKAEQTVEPLDTITIAKLRCASGLTNMFSKKYKLAARKFIETSPELGSHYNEVISSQDVAMYGGLCALATFDRTELKNKVIDNTVFRNFLELVPEVRELINNFYASRYAVCLEYLGNLKSNLLLDIHLHSHVETLYDLIRQKALIQYTLPFVSIDLNMMANAFKTTAAGLQKELGTLITDNQIQARIDSHNKVMYARHADQRKATFQRVLETGREFDRDLQSMFLRSSIIKYDSSRSRGSRRL >CAK8576562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492854206:492865489:1 gene:gene-LATHSAT_LOCUS28739 transcript:rna-LATHSAT_LOCUS28739 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKEYQLRCELHGHEDDVRGITICGNGDIATSSRDKTVRLWSQENRKFVSSKLLVGHTSFVGPLTWIPPNSDLPQGGVASGGMDTLVLVWDLSTGGKFHTLKGHQYQVTGIAFDDGDLVSSSIDCTLKRWRNGQCVETWEAHKSAIQAVIKLPTGELVTGSSDTTLKTWKGKTCLHTFEGHSDTVRGLAVMSDLGILSASHDGSLRLWAVSGEVLMEMVGHTAIVYSVDSHASGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDTKFMENGDIVTACSDGVVRIWTINQDYFADQLELDLYTSQLSQYKSSRKRVGGLKLEELPGLDALKIPGTSDGQTKVVREGDNGVAYAWNMAEQKWDKIGEVVDGPEGSSRPLFDGAQYDYVFDVDIGDGMPIRKLPYNRSDNAYDVADKWLLKEGLPLSFREQVVQFILQNSGQKDITFDASFRDPYTGSNAYVPGQQSRTSDISVKPTFKHIPKKGMLVFDTAQFDGILKKVVEFNTALLSDQENQKLSLAELDVSRLDAIVKTLKDTSHYHSSKFADSEIALLLKMLSSWPTTMIFPVIDIARMLVLHPEGAVALHKHLESEKDILMEVIKKVTINPTIPANLLTSVRAVTNLFKNSCYYNWLLKHRSEILDAFSCCSSSTNKNLQLSYSTLILNYAVLMIESKDQEGQSQVLSAALEIVEDENVGPDSKFRALVAVGSLMLEGLVKKIALDFDVLSIAKAAKGSKDSKIAEVGSDIELVSKQS >CAK8538305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476028930:476030775:-1 gene:gene-LATHSAT_LOCUS7519 transcript:rna-LATHSAT_LOCUS7519 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEASVSTVEKIIGYIFHNKKLLEQALTHTSYPEAVSYERLEFVGDAVLGLAISNHLFLAYSSVDPGTLSLLRAANVSTEKLARAAVRNGLHRYVRHNTLSIVDMINEFVEAVECEDDCVVVKYGGSVKAPKILADIVESVAAAVYVDVGFDLKKLWVIIRGLLEPIVTLQDLEQRPQPVTMLYEICQKNGKKVDIEQNRNGAKSTANVYVDGVRVASASSDQKDIARLEAAKAALHKLERILPATTVMPDCCVGIDGTFEVEAAKQKLYAICGMKKWPKPIYSIVKDEGSPQNKKFVTAVQIATPSATLQMSGDEKSRVKDAENSAASFMIRALQQHKYV >CAK8532895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546102887:546103951:1 gene:gene-LATHSAT_LOCUS2557 transcript:rna-LATHSAT_LOCUS2557 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASTSTSHNTNPLNTEPRKRLIVKLSYPPGSRKRDSDSCGTNENKRRKIQDSVKPNVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNPTQPKDNNVVENKKMIKNRTPLSQPKDNKMIKNRTPLSQPKDNNLVENKKMIKNPTQPKDNNVVENKKMIKNPTPSSQPKDNKMIKNRTPLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKTIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRSKTHKSTRY >CAK8564622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2710893:2713493:-1 gene:gene-LATHSAT_LOCUS17908 transcript:rna-LATHSAT_LOCUS17908 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQRETLVLLSISVKNLLENNFPGEHIDYWSANRNLSLLEKVERTMVDLQDVLYYANKKLIPTQANNKSLDFLTRAVFQVDSLTDAVLQVDRLLGENQISGYDAALTPEQLKINSRLSFLIAVIKSKTVNLIQILEDFSSGKQLGVESSIYGRDADIEKLKHLLLSGSDGDSKIRGISIVGMGGIGKTTLAKYLYNHPQVTSRFELKLWTDFSIDVDDSSVFESILKSITSQATPSNDTTRINTIYPKFLLVVVLDDLWDARFVNWRLLMDILNAGKTGSRVIITTRDERIATSMPTFLSVHYLRPLKVEDCWTLLAEHAFGAHFYHRRCYLEEIGAFKEEMQEEIGRKIAKKCDGLPLAAVEHGAILRISMDPNVWSYVLESHARETTYEVLASLELSYKFLIYPLKLCFQHCSFFPKKSILEKKMVVQLWIAAGLIESSSTNQEKVGEQYFDELVSRSLIHRLSIGDEERNFGMHDFIHDLATEKVSSYSFNMDRFDLDDTPHNFSYNRGTYDSYDKFDQLYGLKNLRIFLAFPLQEQLPLCLLSNKVVHDLLPTMKQLRVLSLSSYKSITKVPNSIGNLSYMQYLNLSHTNIERLPTEICKLYRLQFLLLAGCKRFTELPEDIGKLVNLRYLDVSDTTLREMPVQIAKLENLHSLSDFVVSKHNGGLNIADLGKLPHLHGNLSISQLQNVNDPFEVDRANIKKKEQIDELALEWDCSSTSLDSQIQSVVLEKLRPSTNLKSLTIKGYGGISFPNWLGDFLFSSMVYLRISNYNDCLWLPTLGQLGNLKELVIEGMQSVERIGIEFYGRGGSSFQPFTSLEILHFENMQEWEEWDLNGGTTTTFPSLKTLSLSVQNCWNYIN >CAK8570617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:74905566:74907176:-1 gene:gene-LATHSAT_LOCUS23353 transcript:rna-LATHSAT_LOCUS23353 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVLDGIINRLLDVRGRPGKQVQLSEGEIKQLCMVSRDIFLKQPNLLELEAPIKICGDIHGQYSDLLSLFEHGGFPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRVYGFYDECKRRFNVRVWKIFSDCFNCLPVAAVVDDKIICMHGGLSPELHSLKQISNLPRPSEVPESGLLCDLLWSDPSKDVQGWGVNERGVSFTFGASKVAEFLHRHDLDLICRAHQVVEDGYEFFANRQLVTVFSAPNYCGEFDNAGAMMSVDETLMCSFQILKAVDHKMPKFGFRSATSFKKAFLGAKVRAD >CAK8539255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507649647:507650843:-1 gene:gene-LATHSAT_LOCUS8367 transcript:rna-LATHSAT_LOCUS8367 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPFYSYLAIISLCVSFIVAQNDGFTVKLFRKSSSKYIPNIVQAPIHAHIGQHLMEVYFGTPPIKISGITDTGSDLIWTNCVPCDGCYKQINPLFDPQKSSSYSNVACDSSECNSLYSHECSPENQCSYNYGYADNSVTQGVLAQETVTLTSNTDEPVDLKNILIGCGHNDTGTFNDHEMGIIGLGRGPVSLISQIGPLFGGKKFSQCLVPFHTDIKIASKMSFGTGSEVSGEGVVTTPMVTTQDPTSYLVTLKGISVEDTYLPYNTKKGNIMIDSGTPPIYLPNDFFDKVASEVRNRVSMEPVTDDPSLGTQLCYQTNTNLDGPNLTFHFEDADIVLTPIQTFIPPKDGVFCLAIYNQTEEVGIYGNFAQSNYLIGFDIENELISFKPTDCTQQ >CAK8575243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:58050672:58052356:1 gene:gene-LATHSAT_LOCUS27522 transcript:rna-LATHSAT_LOCUS27522 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTWFQHKFTDPVLLVLRGGAEPKQLSFSAALGITLGVFPICGVTVLLCGVAITLLGSFVNAPILLLANCFATPIELSLIIPFLRLGEFITRSPHFTLSSDVLKKVLTGQASKQVLLSIANALLGWLVASPLILASLYISFLPCFKFLIRKLSSPPSSPRMPLQPLSDIGSKARDV >CAK8565271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95123246:95123542:1 gene:gene-LATHSAT_LOCUS18497 transcript:rna-LATHSAT_LOCUS18497 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLISRKNIPITSTFSSLYFSSNSIKNTNTNTKNNTNIKNTNTNTILQILTNPKNNTNITKATSLTKQHLQNSNKPTNTCFSLPRAQFQPHHHSPLI >CAK8540050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537139777:537141083:-1 gene:gene-LATHSAT_LOCUS9089 transcript:rna-LATHSAT_LOCUS9089 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKGEGIGSFGSKKEVVRRSPPPPPPPLPKFRVISKPRAEESVTKREIARFWKQKRIVEEDHLLAAIKAAARLRARNLTEQEYLSFELSLKYDHDEDEVNKVDEDKEVRVGIKDWWTKSRYAYLNQPALASMDPPKKRTSTYVPNCLSYKAKALYPTAIGVF >CAK8533902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669734966:669735385:-1 gene:gene-LATHSAT_LOCUS3489 transcript:rna-LATHSAT_LOCUS3489 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLGSEMISHNIRELVNRDDSLKVKVIIAHILEKYRYIISCRKAQIAKCKAIESLYENWETYYNDLPQWILVMKTYLPGTIIDLQTLPAISNDGSQISEKRIFHRLLWAFRLCIRGFAYCKPLVQVDGTWLYGK >CAK8576327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459365935:459366349:-1 gene:gene-LATHSAT_LOCUS28524 transcript:rna-LATHSAT_LOCUS28524 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYNHYVIVKFKDGVEVEQLIQDLEKMISGIDHVKSFEWGKDIEGHDMLRQGFTHAFLMTFNEKEALNAFQVHPNHVEFSKVFSPALEKIVVMDFPSITVKAPASS >CAK8567797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546142508:546145062:1 gene:gene-LATHSAT_LOCUS20819 transcript:rna-LATHSAT_LOCUS20819-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNCSRLTMIMSMTLFVLIIVGSVNAQLSTTFYSTSCPKLSSTVQSTMQSAISKEARIGASILRLFFHDCFVNGCDGSILLDDTSTFTGEKNANPNRNSARGFEVIDNIKTAVENVCPGVVSCADILAIAAADSVTLLGGPSWNVKLGRRDAKTASQSAANTAIPAPTSNLNTLISMFAAVGLSTKDLVTLAGGHTIGQARCTTFRTRIYNESNIDTSFATTRQSNCPNAAGSGDNNLAPLDLQTPISFDNNYFKNLVQKKGLLHSDQQLFNGGSTDSIVSGYSTNPTSFSSDFAAAMIKMGDISPLTGSNGEIRKNCRKPN >CAK8567796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546108961:546145062:1 gene:gene-LATHSAT_LOCUS20819 transcript:rna-LATHSAT_LOCUS20819 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSSRLTMIGLILFVLIIGSANATLSTNFYSSSCPKLLSTVKSTVQSAISKEARIGASILRLFFHDCFVNGCDGSILLDDTPTFTGEQHAPPNNNSARGFEVIDNIKSAVENVCPGVVSCADILAIAAADSVAILGGPTWNVKLGRRDAKTASQSAATAAIPRPDSDLKVLASMFSKNGLSLKDLVALSGGHTIGQARCTTFRARIYTETNIDTSFATTRQSNCPNVNGTGDNNLAPLDLQTPISFDNDYFKNLVQKKGLLHSDQQLFNGGSTDSIVSGYSTNPTSFSSDFAAAMIKMGDISPLTGSNGEIRKNCRKPN >CAK8537013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:56875879:56896769:-1 gene:gene-LATHSAT_LOCUS6333 transcript:rna-LATHSAT_LOCUS6333 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWLNMNGTNKFLPFLGMIIAVLAQSGSLVVIKLATTNGINKYVMVVYSMALSTILLLPLAFFNNRSQCPPLNFSILSSFFFLALLGTSAQIMSYGGIELSSPTLASAMLNLIPAFTFVLALIFRMEKIYWRHYSNQAKVIGTIVSMGGAFVVILYKGPPIFKIHSSTSYNSLQFSPNLKWILGGLLSAGDSLLSSIWYIYQVSITKKYPAVIVIVFFQVFFITIQSGVFALIVVRDPSAWELKFDMGLIVILYQAIGAIGVRYCLQTWCVQKAGPLFCAMFKPIGIIFTVFLGFTFLGDEFYLGSLIGAIIIVVGFYAVQWGKASEEKVERGIENLEIRCSVVPLLQNKE >CAK8539013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501753753:501759179:1 gene:gene-LATHSAT_LOCUS8153 transcript:rna-LATHSAT_LOCUS8153 gene_biotype:protein_coding transcript_biotype:protein_coding MECGVGGIFDSRVVHSDKKRRVSACCVTPLNEVMTGIEALLPILNSVGYYTEPSLKELAKQEVHYPGYCSGVPDFTVGRFGYGYVRYLNKTDVRGLCLDDIVKFHRHEVVVYEDENDKPAVGNGLNKAAEVVLVLDSGKLKSKECRSDFLVKKLKQITERQGAKFISFDPVTCEWKFIVEHFSRFGFDEDDEEDAVMDDAETRDIEKESPINVDEIELSHSLPSHLRLDPDKMREMRLLMFPDEEEMEDVSRKLSFGKEHVRPLKSSAQAVTSRSTPQAVRNTPFPLLEYNKQGNLDSNSPGSILMVQQHKGMPLKTVKTQGFKLDLKHETPVSGNYAHNIVDAGLFMGKSFRVGWGPNGVLLHSGAPVGSGGDQKLLSSVVTLEKVAFDNLVRDENKKVSEELVDHTLVSPLNFHKGINHVTKEVDFGPYKLTLQKLEADRTNLSVISHQYCDIIERQLSVPGIPSLNRLCLTHQVMTWELMRVLFSEREQKGRVESLGADNEEDMMQDIKEVDKDVDQEALPLMRRAEFSYWLRESVSYHVQNQISSLDDSNYLQHIFTLLTGRQLDEAVQLAVSKGDVRLACLLSQAGGSTLNRSDVAKQLDIWRNKGLDFNFIEKDRLRLYELLAGNIHDALYDIHIDWRRFLGLLMWYQLSPDTSLPAAFETYKQFLDGGAAPYPVPLFIDEGTSEEVVSLKSEKHFDISFYLMLLHANEETEFSFLRAMFSAFSSTPDPLDYHMIWHQREVLEAVGVINSNDLHILDMGFVSQLLCLGKCHWAIYVALHLPHREDYPYLHVNLIREILFQYCETWSSDESQYCFIEDLGIPKEWMHEALAIYYNYNGDLTRALEEFLQCANWQKAHTIFITSVAHKLFLQAKHTEIWRIATSMEDYKSEIENWELGAGIYISFYLMRNSLQGDTNAMTELDSLPSKNAACQEFVSQLNESLAVWGNRLPADARVAYSKMASEICDLLLSAVGEGATCDEQFSCFDTAFSAPIPEDLRSGHLQDAVYLFTRFLTEIAT >CAK8537572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:399250929:399251624:-1 gene:gene-LATHSAT_LOCUS6857 transcript:rna-LATHSAT_LOCUS6857 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAINSVLKGTRNLPITALVQSTYYRMGSLFGKRGYKWTKMLSSSKVFTDGCNKGMIEEVAKANTHNVMQFNRERFCFMVQEKINYNHGRPTGTFSVDLRNRLCDCGKFQTFHLPYSHVIAACSSIRQDYTIHITDVFIVLNVFKVYKESFLGLLHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDEPEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8569562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5042893:5046022:1 gene:gene-LATHSAT_LOCUS22401 transcript:rna-LATHSAT_LOCUS22401 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREEGCRSWEEDVYWSHFQFIHFTQFLRTDFDQQLALPKTFSDNIKKKLPESVTLKGPGGMLWNIELTGRDGTLYFTHGWQQFVKEHSLKENDFLVFKYNGESLFEVLIFDGESFCEKAACYFVRKSRHAVNTEHGGGCSSKKRDTRDTDNSVEEVNTPSNGVDEGVSPEKSLHLNKIQVPFAVPIETFNGKTSNAGVESVSAEQFVSDAVTDTETKTVPSPTTGKRTRKPVYADTSVPSKKRGRPPKIANSHESALNWVSDAELSPKASPKASPKVKSVTQELYASNRRPVTQNEIENTLRLAQATCTEDTLLVTMRPSHVYKRFFVSFPNKWILNHLSPSTQDVRLRMGKGEWVGKYCFHNIRNNGGLSGGWKYFALENNLEEFDVCLFKPAGYVQNTLVLEMTVFRVVEEITPLTAVHSSGKKRSIKKTPSGEPLLKKRGVMITPIRAIQTELDSIEGA >CAK8575574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:177022547:177024242:1 gene:gene-LATHSAT_LOCUS27827 transcript:rna-LATHSAT_LOCUS27827 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEAVGENKASSIGRKGMVLPFEPLSLTFDDIRYSVDMPQEMKNQGVCEDRLELLKGISGAFRPGVLTALIGVSGAGKTTVMDVLAGRKTSGYIEGSITISGYPKNQMTFARISGYCEQFDIHSPNVTIYESLLYSAWLRLSPEVDHATRKMFIEEVMELVELKSLREALVGYPGENGLSTEQHKRLTIAVELVANPSIIFMDEPTSGFDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKSGGEQIYAGPIGAQCFDLIEYFEAIQGVPKIKDGYNPATWMLEVTSAGSEANLKLNFTDVHNNSELHRRNKQLIQQLSAPSQDSEDLYFDAQYSQTFMAQCIACLWKQHLSYWRNTSYTAVKTLIYYHGGFIIWPSFLGCWLEKDK >CAK8539986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534146040:534148046:1 gene:gene-LATHSAT_LOCUS9030 transcript:rna-LATHSAT_LOCUS9030 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKIASQKIDLDVIREIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKMKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRATNSQSTKSTMERWDTSYFYEEGASRVNNSMVDPIELISRQPQSYLSQTYNMCKQEIEACMHAEQFIQLPQLESPSLPIIKRPSSVSLVSSENNNNDDIDDQNRLLLLSSNNNNITTNNVTADWRDLDKFVASQLSHEVEIDQGVLSSFGGTNNTPSSDMALLLMQQGGRDEGNKFLNASSDCSDIGICIFEK >CAK8567874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:552948417:552948790:1 gene:gene-LATHSAT_LOCUS20891 transcript:rna-LATHSAT_LOCUS20891 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTRLILSLIWGRLIILQFLLPYNIPSLQRVQKVILLWLNVEAFIHCSMEPECVISIILFPKPLNMWSKKNKWSEI >CAK8565743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:318582245:318584401:-1 gene:gene-LATHSAT_LOCUS18930 transcript:rna-LATHSAT_LOCUS18930 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGESGDPMDQFHRNEAISAVADDGFLAEEDDDYEDLYNDVNVGEGFLQSLRKNDDSGVRNDGGEDKKVQSGSVVKDPSGVTVAGVGGGDGNLGTVAGGDESRVSGRVDGFQNQGFRGGNSGVGSGSGIGGGGGGIRVELGQASGKLSEIEEQRGNDGVGVQGGVQLQQQQQQHGGVVGNDGLVRQVQGVGVSGVVGGVNLSRVGGNGAGNNVIAINSVNTGGGEGGVVGGGGGGGGSTVLFVGDLHWWTTDAELEAELCKYGQVKEVRFFDEKASGKSKGYCQVEFYEAYAATACKEGMNGYLFNGRPCVVAFASPFTVKKMGEAQNNRNQQVNQAAGGVNQGRRGPADVGAKPGGSNIATGGNYQGGDGNNNNNRGYGRGNWGRGNNPGMGNRGPVNPMRNRGGGMGGRGIMGNGGNGFGQGIGGAPPMMHPQSMMNQGFDPAFGGPMGRMGAFGGYPGGPAPPFSGMMPSFPGVGGVGLPGVAPHVNPAFFGRGMPVNGMGMMPTSGMDGPNMGMWPDPNMGGWGGEEPGGGRAGESSYGEEAASEHQYGGEVSHDRPGWQNTTREKDRGSERDWSGSSERRYRDDRDQGYERDAPREKEVGHEPEWPERKHREDREVVVRERSRDRDREKSRDRDRERERGDRDRDRDRYREDRDRYADHHRYRDREPEHEDEWERGRSSRTHSKSRLSQDEEHHSRSKDADYGKRRRLTSE >CAK8568991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661883760:661885153:1 gene:gene-LATHSAT_LOCUS21890 transcript:rna-LATHSAT_LOCUS21890 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVNGLQHSQAWPELQLPDLLHSSETLRQVHATIEKEWGFLQRSACQTAAGRAMWKHVIHDPLAALLAGENYLRTLHEKMMKDHLNNAHETSGVILAVRTLWFDSRLEDFLNSPNGREAQVVLLGAGMDTRAYRLNCLKNSDVFEVDFPEVLEVKNTILQAAKESTFDSQHSISKAKSLTRVAADIRENDWMEKLQIAGFLPQKSTVWILEGILYYLAQSKAMQLLRILASNCVLTHTVIIADFMNKSSTTLSDSVFQFYSDWPDQLLPSIGFTHVKLSQIGDPDAHFGLLNDPLNLFNKLLSLPRSLQTNPDDGAPCCRLYLVEASGSPDQNSAHNKESVIQS >CAK8572174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517198579:517199049:-1 gene:gene-LATHSAT_LOCUS24767 transcript:rna-LATHSAT_LOCUS24767 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLRQRLCHDETEMSEIMCGSKALALVCLRFLELGLSFDSKSEKLPMMKLSQPSSSSWLRLLQELTKEAEASVDVKKMQKKSSCMTELQQMVDAARELKEQMKMEKEMKCCVVKLKKKCKELEDVVDVIDERVKELYRCLIDVRMSLLGILSQH >CAK8565857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:344169389:344171754:-1 gene:gene-LATHSAT_LOCUS19036 transcript:rna-LATHSAT_LOCUS19036 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKLSESRDLTRIERVGAHSHIRGLGLDSSLQPRDVSEGMVGQVNARKSAGIILQMIKDGIIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSELFSLEMSKTEALTQAFRKAIGVRIKEETEVIEGEVVEVQIDRPAVSGAAAKTGKLTLKSTEMETVYDLGAKMIEAIGKEKVTSGDVIAIDKASGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVSLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWKEEGKAEIVPGVLFIDEIHMLDIECFSFLNRALENEMSPILIVATNRGFTTIRGTNNKSAHGIPVDLLDRLLIIKTDPYTEDEIHKILDIRCQEEDVDMSEGAKHLLTKIGVETSLRYAINLITAAALACQKRKGKTVELEDINRVYNLFLDVKRSTQYLMEYQSQYMFSETGEVDEDDANAMVL >CAK8572329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529864437:529864967:1 gene:gene-LATHSAT_LOCUS24905 transcript:rna-LATHSAT_LOCUS24905 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFITLCMLFFFCVIILASAQSATVTSTYNLYQPEQHNWDLLAVSAFCATWDADQPLSWRSKYGWTAFCGLVGPQGPDSCGRCLKVRNTKTGDEEIVRIIDQCHNEGLDLDISVFHRLDSDGSGDAQGHLIINYDFVDCGD >CAK8567020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480305610:480311462:1 gene:gene-LATHSAT_LOCUS20111 transcript:rna-LATHSAT_LOCUS20111 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEGSSLWLKSLNICDYFGWKDHGEYGTLAAIIIGVLVPVLFSVFFLGKKRAKTRGVHVEVSGESGYAVRNARYSELVEVPWKGAPTVAHLFEQSCLKHAHNKFLGTRKVIEKEFVKSSDGRKFEKVHLGEYEWETYGEVFARVSSFASGLLKLGHDIDSRVAIFSDTRAEWLIALQGCFRQSITVVTIYASLGEDALIHSLNETQVSTLICDAKLLNKLEPIRSKLTSLQNIIYFEDDSKDEHGLSEGLSNYTIASFGEVEKLGKESPVEPSLPSKNAVAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVIPNLGSKDVYLAYLPLAHVFEMAAESVMLAAGVAIGYGTPMTLTDTSNKIKKGTKGDVTVLKPTLMTAVPAIIDRIRDGVVKKVEEKGGLAKNLFQTAYNRRLAAVKGSWLGAWGLEKLVWDTIIFKKIRTVLGGNLRFMLCGGAPLSGDSQQFINICVGAPIGQGYGLTETFAGAAFSEADDFSVGRVGPPLPCCYIKLVSWEEGGYRSSDKPMPRGEVVVGGFSVTAGYFNNQDKTNEVFKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALASCDHVDNIMVYADPFHSYCVALVVASHQSLEKWAQETGIEYKDFPDLCNKPEAVTEVLQCISKAAKAAKLQKTEIPAKIKLLADPWTPESGLVTAALKLKREQLKAKFNDDLEKLYA >CAK8537991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:454504373:454506064:-1 gene:gene-LATHSAT_LOCUS7235 transcript:rna-LATHSAT_LOCUS7235 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTKNKANSTPLTPLTFLDRAAIVYGDSISILYGDSISYTWSQTHRRCLQLASSLSSLGIRKGHVVSVLSPSTPAMYELQFAVPMSGAILNNLNFRLDDKSLSVILVHSESKLVFVDILSLTLTLNALDLFPSNIQRPELVLIEDDTLAPHQIPSLPKNVSVINNTYEDLIAKGDPNFKWIRPDSEWDPITLNYTSGTTSAPKGVVHCHRAAFVVSLDSLVDWSVPNQPVYLWTLQMFHSNGWSYPWGMAVVGGTNICTRKLDAPTIYSLIETHGVTHMCAAPVVLNILLNYNKSEPLKNTVNVLTGGSSPPAAILIRAEALGFNVSHGFGMTELIGMVITCAWKRDWDRLSAVEKARMKARQGVRKARVAEVDVVGANGESVKRDGVTVGEIVVKGACVMLGYLKDEKATAQCLRENGWFYTGDVAVMHEDGYLEIKDRIKDVIISGGENLSSVEVEAVLYMHPAVKEAAVVARPDEFWGETPCAFVSLKDELKEIPTEKEMKEFCGGKLPRFMIPKTIVFKDELPKTSTGKIQKHMLRKVAGEMGSLALPPPQLLPSRI >CAK8562870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551227293:551231158:-1 gene:gene-LATHSAT_LOCUS16333 transcript:rna-LATHSAT_LOCUS16333 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSANYDVPWVEKYRPSKVVDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPNYREAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVQAEKVPYVPEGLEAIIFTADGDMRQGLNNLQATYSGFQFVNQANVFKVCDQPHPLHVKNMVRNVIEGNFDEACSGLKQLYDLGYSPTDIITTLFRIIKSYDMAEYLKLEFMKETGFAHMRICDGVGSYLQMCGLLAKFSVARETAKAP >CAK8541855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:417401239:417402368:-1 gene:gene-LATHSAT_LOCUS10746 transcript:rna-LATHSAT_LOCUS10746 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSSFENSQKRKCKQYINDGKFANGSELVSKRKYGTDNAKDQKRKLSYGFPTQNDRVEFCAISRQDYSHLSDVEDSAKRPSYLMNEVTFYIHASDLMDNNSYSYGVATSIKEKLKEEDMEFADSRNKFLPSRANHLPRPFIPVGPRFQAEVPEWDVTTNIKQYNSDDCLKWLGTQIWPMPSLSKNNAKSIAKGSPNENSGENLDSVDCVKKHSEAKECLKSKVNDTFSSWEFDIKEDVSKLWTMDDEKKFESTIKGRKILEAHHEVFSIQIY >CAK8568033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565796297:565796785:-1 gene:gene-LATHSAT_LOCUS21033 transcript:rna-LATHSAT_LOCUS21033 gene_biotype:protein_coding transcript_biotype:protein_coding MNKREERRTELESVKKYLEKGESSTANVEFPLKFLEPLILDGLRLDLIQPGRVVFSMNIPHNSAKYLHGGALVTPVDLVGAAAIPAAGFPLETGVSVQINVSVFPLEIWFSQFLIVGNKSTVRGFECP >CAK8561763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:376173527:376173857:1 gene:gene-LATHSAT_LOCUS15323 transcript:rna-LATHSAT_LOCUS15323 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTYKRGPGRENQLRRKEPDEDTDKGRTQTIYYCINYGMHVHNAKSCTCLMVDIEAKKRKRKPKKNVTVVESRSTTQEQTQL >CAK8538075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461584260:461594173:1 gene:gene-LATHSAT_LOCUS7313 transcript:rna-LATHSAT_LOCUS7313 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPLQSAMLMGAPCFPNAIAWSDENLIAVASGHIVTILRPDSPYGPRGLIQVFPGEPRILGFVERTDLLSGGLLSTALYRDDKPVVRSISWSPLGMASNSGCLIAVCTSEGHVKVYRPPFCDFCAEWIEVVDITEMLFEYFQCTEFRGTGVSSLNFSKVPSIRPRRLKNASGQADSVTPNDKVLKKGPLISAEEYASRTAMLYSLVVSWSPLLCVASEFCPDPYTSAPVSLLAVGGKSGEISFWRFDQPDCYTIEETKAPTAVKFAGFLQAHNSWITTMNWLLFAFDSSNPLLVLATGSSDGSVKVWLGDKNNLKSSEVDQTSFLLLKEVITVNAVPVSVLSVTLHAQYPSKMLLAIGKCSGSIEIWLCDISSKEFDKLGSYDAHYYAVTGLAWAFGGRFLCSCSQDKLLRGWILHERRLEEITKFSEMPRSNDSTSPSRDAYDSSFGVAVSPGNLVIATVHCFDIDKLNRMYEGRILRAAIDYFWIGGLQINVWLKSPFSRCIEELPSFLAKELTYWGTNIIWSLNQYQCLDKALVLWDLSAALFAFMDNNSKYVEHLVIKWLSLSFMESHTNLPPEEVLSRLISRLSDVPSRLLHLLNIICRRVMLAKLDPDQITKINNRVQNVEGACPAVNEQMTKWIEILLGSERELRERHVGFSFSAVKTTKSKTTKSHLKSTPSKPGCWYPSGLKQMEQWVALNQEHIRDQLKFIVPKATREKRFANKRCSALDSCSYCSAAVPFESPEFGFCESKDCTSDNVKRRKLLRCSVCMEVCPNTPLWFCVCCHRFVFKLAPEPLFRMSSCCLDTDSSNRFSSQAVSSKPLCPFCGILLQRKQPDFLVSPAPV >CAK8542660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535353201:535353590:-1 gene:gene-LATHSAT_LOCUS11480 transcript:rna-LATHSAT_LOCUS11480 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRYLSRRGYRRLDYGTTTTGQRKKMQIIRMRGPHRDWRIRTSPKLRWMIKSPLKLVTKVKNIYMNFMLKLAGNVGGALNTDNKFGVKRTPKARQVSSKGYSGDAFEARLIFEISKTLVASHELYSI >CAK8569395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698878572:698879376:1 gene:gene-LATHSAT_LOCUS22251 transcript:rna-LATHSAT_LOCUS22251 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENTKKLEALNLPKLSQSLHKTSLSFSKPSPSAKGRPRFVQPGELEVNKKRLCSTTMRKSSIIPPSIKTIITLLPIQTKITPLPIETTITHLPIETTITHFPIQTAKDVVVADEDEDVVVGDEAEDVVVGDETEDDVVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKFVYWDVNVINEEGYVSNTRLCAKDFVAK >CAK8566582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438682950:438684394:-1 gene:gene-LATHSAT_LOCUS19703 transcript:rna-LATHSAT_LOCUS19703 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEHCTSNGSSRWSLKGMIALVTGGSRGIGHAIVEDLCGFGATVHTCSRNQGELDNCLSQWRSKGFLVSGSVCDVSSRDQREKLIQEVTSIFNGKLHIYVNNVGANFRKPTIEYTAEVYSEIMAINLDSAYHLCQLTHPLLKASGKGSIVFISSISGVVSLGTGSVYAASKAAINQLTKNLACEWAKDGIRSNCVVPATTNTPLVEHLLRDKQYVDEMLSRTPLGRIAEAQEVSSLVAFLCLPAASYITGQVICVDGGLTVNGFQPSMRIT >CAK8576912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523639883:523643301:1 gene:gene-LATHSAT_LOCUS29068 transcript:rna-LATHSAT_LOCUS29068 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRNSSISPLRNHDYDVFVSFRGEDTRNNFTDFLFHALQTQGIFAFRDSTNLPKGESIAPELLHAIQHSQIFVVVFSMNYASSTWCLQELDQICECVQVSGKHVLPVFYDVDPSEVRHQKGSYGEAFSKHEHRLQHDSQMVSRWREALTQVANLSGWDLRHKSQSAAIKEIVQKIINILDCKSSCVSNDLVGIYSPIQELEKLLLVDSVDDVRAIGICGMGGIGKTTLATVLYDRISQQFAACCFIDDVSKIYRLHDGPLGVQKQILDQTVGQEHHQICNHYNATNLIRRRLCRQRTLLILDNVDHIAQLEKIAVRREWLGAGSRIIIISRDIHILKQYGVDAVYKVPLLDWTNSLQLFCQKAFKLDHIMSSYDKLALNILRYADGLPLAIKVFGSFLFGRDISEWKSALDSLRENPDKDVMDVLRLSFDGLRETEKEIFLHIACFFNRYYEKYVKNVLNCCGFHADIGLRVLIDKSLINIEDEWIVMHDLLEELGKKIVQENSCKEPRKWTRLWLEEQLYDVTSNNMEKKVKAILLDGKYKDMDAAIFKDFSNLRLLIFDYGNMSGSLNYLSKELRYIEWGGYPFMYLPSSFQPNQLVELILKNSSIKQLWEGKKYLPKLRNLNLRDSTNLIKMPDFGEFPNLERLNLKGCIKLVQLDPSIGLLRKIVYLNLKNCKSLVSIPNNIFGLSSLIVLKMSGCSGSCLKEFNNTRHLDISETASHSHSLLPSPTTKTMVFPSFLSLYCLLEVDISYCGLSQVPEAIGCLRCLEILDLGGNNFVTLPSLRELSKLVHLNLENCKCLESLPELPFPTTIEHDLPKNFRRRTGLFIFNCPKISDKEKCSRMTILWMTQLIQVNKEYHALSDVGIVIPGSEIPSWFNNQSVGSSFPVSPFMQDKGNNVVGILCCTVFSLAPYPPTIMTGSSEWEPRVHITLYAPFRTSKYLPLIADGDLFTVKLNHIWLIYFPWEPSYNDLDDGFLVDGGLDVVVKKCGYRWVYEQDLQEFNSTTILANLSSEAQVLVNSR >CAK8544841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708456240:708457928:1 gene:gene-LATHSAT_LOCUS13489 transcript:rna-LATHSAT_LOCUS13489 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMVLQSYYINVVILLILALANSFKGTEAQRAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTRRPTGRFSNGRNIPDFISEAIGAEATLPYLSPQLNGQRLLVGANFASAGIGILNDTGIQFINIIRIYRQLQYFQEYQQRVSGLIGPARTQRLVNGALVLITLGGNDFVNNYYLVPYSARSRQYSLPDYVRYIISEYKKILRRLHDLGARRVIVTGTGPIGCVPAELAQRSTNGECSPELQRAAGLFNPQLIQIIQQLNNEIGDNVFMGANTRQMALNFVTSPEAYGFVTSKVACCGQGPYNGLGLCTPLSNLCPNRDTYAFWDAFHPSEKANRIIVNQIMSGTTEYMYPMNLSTALALDSNKNI >CAK8540805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22489590:22490089:1 gene:gene-LATHSAT_LOCUS9774 transcript:rna-LATHSAT_LOCUS9774 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNLLSLTLFLALVFKAYGDCALSEIGVRQYKTSGYAHGKEVWKVNVTNNCECSQSQIQFNCTVFQTYLSVDPAIFSDDCLLIQGGLLYPSKSATFYYAWDPKFMFTPISSQSSCS >CAK8533122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580620272:580621286:1 gene:gene-LATHSAT_LOCUS2770 transcript:rna-LATHSAT_LOCUS2770 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8569381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697793925:697795598:1 gene:gene-LATHSAT_LOCUS22238 transcript:rna-LATHSAT_LOCUS22238 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSALSSSSLANPKLSFSSSSSSSFNPSFFHNKLFFSSSNSKTTLSHSRYSKPPSSCNNTNTFPFQNLTVNEDEKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVSVNNNVLQTLTGVGLVSEVFNDTKLRQLPGSLAIGHVRYSTAGQSMLKNVQPFVAGYRFGSVGVAHNGNFVNYRSLRNKLEESGSIFNTTSDTEVILHLIATSNQKSFITRVMDACERVKGAYSLVFVTEDKLVAVRDPYGFRPLVMGRRSNGSVVFASETCALDLIEASYEREVYPGEIVVVDETGVQSYSLVFHPEPKQCIFEHIYFSLPNSVVFGKSVYESRRLFGEILATENPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIACPPIIGSCYYGVDTPSPDELISNRMSVDEIKEFIGCDSLAFLPIDSLKKLLGDDSSNFCYACFTGNYPVEPNELKVKKAVDGGLNGSVQANPNQKEVNIAGV >CAK8571165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:320942056:320943081:1 gene:gene-LATHSAT_LOCUS23855 transcript:rna-LATHSAT_LOCUS23855 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMIAQYGKGYKPSSYHDIRGKHLKKKVESINSILVEHKAAWKKFGCTIMTDGWTDQKRRTIINFLVNSPMGTFFLKSIDASDISKTTDNVFKMMDDIVEKVGEENVVQIVTDNAANYKLVGQMLMDKRNKLYWTPCAAHCINLMLEDFESKIPMHKEIIASGKKITTYIYARTGLIALLHHYTEGGELRRPSITRFATSYICLGCLNDKRGGLYRMFTSKQWKNSQFSKTKDGKIVENIVTNKEFWKSLIICLKGAFPLLKVLRMVDSDEKVAMGYIYKVIDQEKEEIQISYNNKKKFTNLYGKL >CAK8533694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650794742:650800370:-1 gene:gene-LATHSAT_LOCUS3298 transcript:rna-LATHSAT_LOCUS3298 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIVTDPIRTLISRVVKHSIHPDFHDAVSKMSIIDAFLFFIIHSIDKLGIWHRLPVFLGLLYLAIRRHLHQEYNLLNVGTTPVGIRSNPSDFPYRTADGSYNDPFNDGAGSQGSFFGRNILPVDQKNKLLKPDPMVVATKLLERKTYKDTGKQFNVIAASWIQFMIHDWIDHMEDTKQVELSAPREVANQCPLKSFKFFKTKEIPTGFYDIKTGHANIRTPWWDGSVIYGSNQEVLNKVRTFKDGKLKISKEGHLLHNEDGTAISGDIRNSWAGVTTLQTLFVQEHNAVCDALKKENPDLKDEDLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAAMRANWYGLLGKKFKDTFGHVGGAILGGLVGLKRSENHGVPYSLTEEFTTVYRMHPLLPDSLHLRDISASPGQNKSPPLIKKVPMNDLIGLQGEKTLLEIGNAKQLVSMGHQACGALELWNYPSWLRNLIPHNIDGTERSDHVDLAALEIYRDRERNVARYNQFRRGLLLIPISKWEDLTDDKETIKVLKEVYGNDVEELDVLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNFNEETYTKKGLEWVNTTESLKDVIDRHHPEMTHKWLNSSSAFSVWDSPPNKHNHIPLYFRVPN >CAK8574975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19052321:19056156:1 gene:gene-LATHSAT_LOCUS27269 transcript:rna-LATHSAT_LOCUS27269 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVSSTTKTLLFTNFNSHFHKHNHSHFTLPLLLMSNPKTARVSTSSSPSSTPHASLKRVGTHNGSFHCDEALGCFMIRLTRKFFNAEIVRTRDPQVLEGLDAVLDVGGVYEPARDRYDHHQKGFEEVFGHGFSTKLSSAGLVYKHFGKEIIANELKVDEEHQDVNYIYLAVYRSFMEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGKFNLDWTDADQSSEKENEAFHRAMALAGSEFLDSVRFHVNSWLPARSIVMETVAARQTVDPSGEILVLKNFCPWKLHLFELEKEMKIDPPIKYVLYEDERSKQWRVQAVSVSPDRFESRKALPLQWRGLRDDILSKEADIPGCVFVHMSGFIGGNQTFEGALAMAKAALKL >CAK8542678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536906738:536907683:-1 gene:gene-LATHSAT_LOCUS11497 transcript:rna-LATHSAT_LOCUS11497 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAHNPPLRLHGNKTPFAIQSQIKPSSKPNINSLQLLQFPSIRCSSSSNNKTSSVNLRTCKNCKTQFDPSLNHPLACRFHTAHYGGETKRKFESVYEGGTMNTPGSGKVLQYWHCCGSEDPFDLGCTASPHTSYDD >CAK8531855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166725290:166727157:-1 gene:gene-LATHSAT_LOCUS1619 transcript:rna-LATHSAT_LOCUS1619 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAKFWRRSMGDMQIFSGSRYRPPTKKPMWIVVLLLFVIMFVVTCAYLYRPRNRTVCNMFSTRQCKDIADWIPPVPVREYTNDEVAAQVVFRDILNTPVVMPTNPKIAFMFLTPGSLPFEKLWDNFFQGHEGKFSIYVHASQTKPVHVSRYFVNRDIRSGQVVWGKMSMVDAERRLLANALQDPHNQHFVLLSDSCVPLYNFNYIFDYLMYTNISFVDCFRDPGPVGNGRYSERMLPEVEIKDFRKGAQWFSMKRQHAIMVIADHLYYSIFQAHCEPGVDGKNCIADEHYLPTFFTMVDPGGIANWSVTHVDWSEQKWHPKSYVTQDVTYDLLKSIMSIDESVHVTSDEKKEVLRWPCLWNGIQKPCYLFARKFTLETEDSLLKLLSNYSSS >CAK8572260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525104192:525107011:-1 gene:gene-LATHSAT_LOCUS24844 transcript:rna-LATHSAT_LOCUS24844 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEEMVTPGEVVGKTSDVKAGRGTYAAVHNNTVYASLTGFRHTIPPASDSPDQRPTIEVTGHKAHGPVPEPGSIVIARVTKVMAKTAFADIMCVGQKSVREKFTGIIRQQDVRATEIDKVDMHLSFRPGDIVKALVLSLGDARAYFLTTAKNELGVVSAESTAGATMVPISWTEMQCPLSGQIEQRKVAKVAS >CAK8531283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:96649114:96650598:-1 gene:gene-LATHSAT_LOCUS1086 transcript:rna-LATHSAT_LOCUS1086 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVDWDLFAIVRSCKATSLTPSTIFESSPQTPTTTTTTTTTTMNKVISLQNYTPSYFDDFTMSYENSPIPFSPLKSNDFLQLGRFIPNFNPNTTISAVVTPVTTTTTFMTPNSTFASPSILNINGTNQYSNICRFPKPAPYIEITTGHFDLAYNHPSVLHELQRERNQLPIQAPKTSFGLIPNTLSQHPKRKSWKRKNNNTKILECHLSVEKIKEDPWTWRKYGEKIIKGSSHPRSYYKCSSFKDCSAKKLVEKSKNKENTYVVTYKGQHNHKEPKYNHKSVIGTSQNKLSKRILSTAKVVRNMNSPNVVMTHFNQTGNINAQILSTQSKVIYPENELTKNHLLVSQEAVSSSSVGNLSSSDVMMSQFDEPKGNDAQVFTCESTIPYSQKKSSGSCDDDDHDILIPNMRAMSEDILLDFNHLNGGRLFT >CAK8560787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42638337:42640365:-1 gene:gene-LATHSAT_LOCUS14436 transcript:rna-LATHSAT_LOCUS14436-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVIKLVYYVLLLVTLMNSKVSESKKDSIISTSFEYNAINCRAKGVSLKDFGGVGDGKTSNTKAFQSAISHLSKYDSEEGSQLYVPAGKWLTGSFSLISHFTLYLHKDAVLLASQDVKEWPVIKPLPSYGRGRDAAAGRYTSLIFGTNLTDVIVTGDNGTIDGQGSFWWQQFHKKQLKYTRPYLIELMFSDNIQISNLTLLDSPSWNIHPVYSSNIIIKGITIIAPIRSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPYSATIALGSEMSGGIQDVRAEDITAIRTESGIRIKTAVGRGGYVKDIYVKRMNMHTMKWAFWITGNYGSHADKNYDHNALPEIKNINYRDMVGEDVSMAANLEGISNDPFTGICISNVTISMAAKAKKQPWTCNDIEGVTSGVTPKPCGLLPDQGTEKITDCDFPSDYLPIDILELKKCTYSI >CAK8560788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42638337:42640365:-1 gene:gene-LATHSAT_LOCUS14436 transcript:rna-LATHSAT_LOCUS14436 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVIKLVYYVLLLVTLMNSKVSESKKDSIISTSFEYNAINCRAKGVSLKDFGGVGDGKTSNTKAFQSAISHLSKYDSEEGSQLYVPAGKWLTGSFSLISHFTLYLHKDAVLLASQDVKEWPVIKPLPSYGRGRDAAAGRYTSLIFGTNLTDVIVTGDNGTIDGQGSFWWQQFHKKQLKYTRPYLIELMFSDNIQISNLTLLDSPSWNIHPVYSSNIIIKGITIIAPIRSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPYSATIALGSEMSGGIQDVRAEDITAIRTESGIRIKTAVGRGGNYGSHADKNYDHNALPEIKNINYRDMVGEDVSMAANLEGISNDPFTGICISNVTISMAAKAKKQPWTCNDIEGVTSGVTPKPCGLLPDQGTEKITDCDFPSDYLPIDILELKKCTYSI >CAK8535897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889201808:889206970:-1 gene:gene-LATHSAT_LOCUS5315 transcript:rna-LATHSAT_LOCUS5315-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVAAPAGPPSPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGESRRDSERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQIANGALFLLSTNDKTIKFWKVQEKKVKKIAEMNVDPSKTRANGSIASSSNLNNPKPSLENGGYPDSDRSFNYLSSDFSFPPGGIPSLRLPVVVSSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSLTRVVRRGAENPGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >CAK8535896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889201808:889206970:-1 gene:gene-LATHSAT_LOCUS5315 transcript:rna-LATHSAT_LOCUS5315 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVAAPAGPPSPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGESRRDSERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQIANGALFLLSTNDKTIKFWKVQEKKVKKIAEMNVDPSKTRANGSIASSSNLNNPKPSLENGGYPDSDRSFNYLSSDFSFPPGGIPSLRLPVVSSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSLTRVVRRGAENPGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >CAK8568025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565523629:565525653:1 gene:gene-LATHSAT_LOCUS21025 transcript:rna-LATHSAT_LOCUS21025 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWGVHHRQPVVGWRGGNESSFPDVTSQEEESDNDEEEVIIKNEPVFFPEFKKRKRLSLSQLREVKEESCEKVSQLREVKEESYGKLGQLREVKEEYYGKESGSKNKRKKHDNKERWSSERYKLAEQSMWEVLKAEGATFESPITRTALRSSARKFIGDTGLLDHLLKHIDGKVAPGGTDRFRRWFNTDGVMEYWLESADLDGVRQEAGVHDPYWIPPSTVRATLAPCKDTDSSDELKQLKMEMAQMKKDMQELVAGKKEKNESNTMKETFKELVKWKAITDHRLTEIMASLKNLQGKYGEMVTWKTEVEQHLMDITNKLNELQAPRECTTFSPPSEKWKDWLEATNPCNTQEDALATWIGSSELLNVPQECLLEYPYTGMPTQPLNEEPTNKKSDVEELMPTMQDDQPNVTPDSSTTVNSKADIDNSLIMFQEMFMDLFKWKEKMEQQLLDVSNTVYGMLAMK >CAK8568024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565523629:565525653:1 gene:gene-LATHSAT_LOCUS21025 transcript:rna-LATHSAT_LOCUS21025-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWGVHHRQPVVGWRGGNESSFPDVTSQEEESDNDEEEVIIKNEPVFFPEFKKRKRLSLSQLREVKEESCEKESGSKNKRKKHDNKERWSSERYKLAEQSMWEVLKAEGATFESPITRTALRSSARKFIGDTGLLDHLLKHIDGKVAPGGTDRFRRWFNTDGVMEYWLESADLDGVRQEAGVHDPYWIPPSTVRATLAPCKDTDSSDELKQLKMEMAQMKKDMQELVAGKKEKNESNTMKETFKELVKWKAITDHRLTEIMASLKNLQGKYGEMVTWKTEVEQHLMDITNKLNELQAPRECTTFSPPSEKWKDWLEATNPCNTQEDALATWIGSSELLNVPQECLLEYPYTGMPTQPLNEEPTNKKSDVEELMPTMQDDQPNVTPDSSTTVNSKADIDNSLIMFQEMFMDLFKWKEKMEQQLLDVSNTVYGMLAMK >CAK8533318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602504939:602505480:1 gene:gene-LATHSAT_LOCUS2958 transcript:rna-LATHSAT_LOCUS2958 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMNYNAGQAQGQAEEKANTMMDKASNAAQSAKESAQEAGQQVKETTQAAAEAVKNATGMNN >CAK8544818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707195060:707199262:1 gene:gene-LATHSAT_LOCUS13466 transcript:rna-LATHSAT_LOCUS13466 gene_biotype:protein_coding transcript_biotype:protein_coding MALTISNILHCPKLNSSRNHSRPQFSSSLRFPKRAACNNRKIICASASAAGSSNPNSDISPYEVLGVSPIEKFDTIKAAYTKKKKEAENNGDEETASRLEKAYDKVMMSQLSNRKKGLTFGSFKVSKDIKYADKQPIIPWGPRFAKSSENDMRINLAISAAFTAWIVVARSAEYKPLQFVAFAFVYRLFEKLKSFESPKSSTINEDGEDPGEGLRMGKRLLRSLALVFGCVALSSVAFTVGLNIIESASGSIPSILYNSQELIITASSAVMLYILGSFYR >CAK8563702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625943978:625944349:1 gene:gene-LATHSAT_LOCUS17083 transcript:rna-LATHSAT_LOCUS17083 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLVSQSLPLFHLHRRGFAAVSDVSARLGRGKLGSIEEKPVSRDGQEACSVWVPDPETGYYRPINGTPKIDPVELRRVLLKHNTRSSN >CAK8564442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674249492:674251120:1 gene:gene-LATHSAT_LOCUS17747 transcript:rna-LATHSAT_LOCUS17747 gene_biotype:protein_coding transcript_biotype:protein_coding MANNASSELGRSIIKQLAISQKSSREKALRLLLKSWLPSLSQPLPEEDAKKLWKGLFYCVWHSDKPLVQAELIDRLSSLLLILHPSFSVQYFSTFFITMRREWSGIDALRLDKFYLLIRRFVSKTFSLINKNSWDLEFVKVIMNCLDDATFGSKDKLLQGNGVNYHVASIFLDELTHFLPVKEGVLEVLFKPFFAVMGKLPDKVLLGKIKNGLFDVLLRNGKKLLEVKKSGEEGDDGNVDVVNLGTIALAMGFGSKLFELASAPDCVQGNRKVLFELHREFLKLEKDAVISGFEFSIPDSVGRSDEVVSDLVPDVEVDADVVNGKLLKKCKKDKEESVDKVKKEKKSKKKKKKDKDSAENGDKNVATENGGNLIVEEVDNELVLTETVISNLQKQFEKVAAEAGLDDGDASLCVTPTATESVSKKRKRSNNSKGKISQDLELNGGDAEDSAVAKSGEKSLKRVRFSMKNNLVWKPHSPLPPQSLRIPPSVTPRGSALKKGVPPGPIREMSSQTKKAKLKKVRRTIIGTPSVKRLRKLRALSV >CAK8574948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17302411:17302815:1 gene:gene-LATHSAT_LOCUS27245 transcript:rna-LATHSAT_LOCUS27245 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFIFLWFLLANTLLCHVMATTTNKPQINVRSISTPSLNEVESPFGRKLGKNQYDNHGIMSPSPSPFEGSILSHEKSSVLDSQSHFRLVKKHHLFDKSIVGGGVILGGLGTTFLVVVYCYIRATSKHKLDPNA >CAK8544808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706856821:706858852:1 gene:gene-LATHSAT_LOCUS13458 transcript:rna-LATHSAT_LOCUS13458 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSPVFPTLMESTYMKPSDSFHRSPVGGLTANQATPSNPIRSARQVFSTTAECPGGIAFSSDSQHDRQYQDSPFTSQTLGDDVSSEIHSTTFTSHPQENDDISWGPDPMQDIACFPEIFSVQHDQVENSASYMNDGNVKKSDFGEWVDQLMTIDETPHPNWSQLLGDDSVAEPTPKATQASLKQNTLSREVNDLCNSSASTAPQTKPRMRWSPELHEAFVEAVNQLGGSEKATPKGVLNLMNVEGLTIYHVKSHLQKYRTARYKPESPEETSEKKMSSIEEMKSLDLKTSKGITEALRLQMDLQKRLHEQLEIQRKLQIQIENQGKHLQMMFEKQKQKQIGGSKGSPPSNAPSAALLDTAIPSPVDKLKTSNDERNELKCNTEESSQDASRKKMVQEAEVTDEHESTDNQFSAVPPTKRAKIQ >CAK8538500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485041735:485043747:1 gene:gene-LATHSAT_LOCUS7689 transcript:rna-LATHSAT_LOCUS7689 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLFNGEEDAYWWILCFEKFFKKHGTPESLKVLKAVGALRGSALKWWIWCSRVHHKYSWDKFTTTLLWRFKPEWRNFLPEDEEDDPALKSTYESMEFMDPISETVEDDVEESSSSDLCRKSLKSDTLDRTLAETEEESEETEENCPKEEIITKNASPATILTSSPATFTTPTTLSVTSSATFTTLKTFPTTSPVPFSKSSPLKIPASFPATTSASIQEPSSLQPEPPKLPSKPPELKLKPRYEAFQVILLKTSSKNLFNKVSHSINSTKEKSFNKVSIVEHVYLGGDVATMDKQMHHLGIVVPDEFPLPCVSRAYGSYAEVLEIKKIHGLLFKLGLELYVGSALVNTYLNFGLMADAHEVFEEYPVRDLGKTKTTLLFATPIHNTTIHSLYIFHPPTISDINVKLVVEPQFKNGGFHNEADFAIPPKPPDQIGIPISSLFLPCFSKQFIQRCLDKEDMEIVVGLGLYSTIVIIGPLHVIMVISTKINWSNLHLFPLKVPIESHFNFSFKKLRFIYEHGTTSSGANNHEYSFFTISENNFIGTTQFWNPGKIVKSLHNRLLLSFYSTSHRRHEVLFLYEYSILVVKLLRNYFSIGSLQKNLENVEIKEELIKGRKPICVSFRNGKEWDLGGELDLNMATNSSFEQWDPGKINLAIRFFTTLRTRLILKG >CAK8562081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439080446:439081549:-1 gene:gene-LATHSAT_LOCUS15608 transcript:rna-LATHSAT_LOCUS15608 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEWNLDRCHMLLEWRKKYPGIFMKEALWRAARATTIPAWGRAMNHMKELNVNAWKDMMDVPAACWTRSHFKIDTQCDLQVNNMCEAFNRAILEYRDKLIISLLDGIKHYIIVRISAQKEKLSRYTGVTSPSIQKVLEKTKRVAEEWIATWHADDDFAIFGVSNGVETYAVNLLQQKCGCRKWDLSGIPCYHAIACIWYNKKEPEDYVSSFYRKSTVLDTYSHIIMPTNGPQLWPINVANPISPPVMQRSIGLPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKGKRAAEKAIPKDNNKKSKKNGDKSGKEAGETVVDGGSQAPPPTQE >CAK8576694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507196785:507197087:1 gene:gene-LATHSAT_LOCUS28867 transcript:rna-LATHSAT_LOCUS28867 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLPLIRQAKKHILCRRLSKGKAVLSACSNIPKGYLAVYVGEEKKKRFVVPISYLHQPAFQQFLSKAEEEFGFNHPMGGLTIPCREEIFVNVTSQLET >CAK8563015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566638814:566639128:1 gene:gene-LATHSAT_LOCUS16465 transcript:rna-LATHSAT_LOCUS16465 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFVNGQLKCKIRRERIDAARKRIKRITHPLNVDLDETVPLAILDSTCNEKRKKRRGVVVDGIDWEDLIIETLIIHQVKEEEFEKGHYNTLLGLHVFNSSVV >CAK8572077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507655420:507659123:-1 gene:gene-LATHSAT_LOCUS24681 transcript:rna-LATHSAT_LOCUS24681 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGVKEEEYTEDGTVNLKGKPVLRSKTGGWKACSFVVVYEIFERMAYYGISSNLILYLTKKLNQGTVTASNNVTNWVGTIWITPILGAYVADAHLGRFWTFLIASTIYLSGMSLLTLAVSLSSLKPPPCTEIDLTKCKRASTLQLAVFYGALYTLAIGTGGTKPNISTIGADQFDDFHPKEKSHKLSFFNWWMFSIFFGTLFANTVLVYVQDNVGWTLGYALPTLGLAISIMIFLAGTPFYRHKLPAGSTFTRMARVIVAALRKRKVPIPNDTKELYELDMVEYAKKGSNTYRIDSTPTLRFLDKACVKTGSTSPWMLCTVTHVEETKQMLRMIPILVATFVPSTMVAQINTLFVKQGTTLDRQIGSFKIPPASLGAFVTLSLLVCVVLYDRFFVKIMQRITRNPRGITLLQRMGIGLVIHTIIMVIASFTERYRLSVAKEHGLVESGGQVPLSIFILLPQFILMGTADAFLEVAKIEFFYDQAPESMKSVGTSYSSTTIGIGNFISSFLLSTVSHITKQHGHRGWILNNLNESHLDYYYAFFALLNLLNLVFFAIVTRFYVYRVEVSDSIQVLAEELKEKRMANQVDLIE >CAK8564341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668952612:668954291:-1 gene:gene-LATHSAT_LOCUS17656 transcript:rna-LATHSAT_LOCUS17656 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTGPVAAKFAFFPPEPPTYEVIKTNDGVTVFSGSNDKSLDVHILDTKVGNKIVATFWKHPFARFTLLYSHGNAADLGQMVDLFVELRAHLRVNIMSYDYSGYGRSTGKPSEFNTYYDIEAVFDFLKSGYGFKQEDLILYGQSVGSGPTLHLASKLPKLRGVVLHSAILSGLRVLYPVKVTFWFDIFKNIDKIRSVTCPVFVIHGTEDEIVDCSHGKRLWELSKHKYDPLWVKGGGHCNLETFPEYIKYLRKFINAMEKISITCQSSKQFTQSPSITESKHNKCLGFVKR >CAK8560717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37857594:37858423:-1 gene:gene-LATHSAT_LOCUS14372 transcript:rna-LATHSAT_LOCUS14372 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVMFAFTSPGAKVDNQFNNGRCPPNFRIQGQSCHRIGSMLPMPGQNPRFAQLYVYDTENEIENRMHGFRSKSGFDVNIVRKLSEMLYEHNVHAQSFRMAKDILCEEGVSDLKLRLISERRNDGRIYNQPTVSEVAALIVGDVDTAEKRDIIVQKQCGELQRIDEYHTSYLGYQYPLLFPYGEDGYRPNVRHRDKGTNIRHFTDITQSEQNNKDIPWEEATKRNRLTIREWLAFRIQSRSNEAQTLL >CAK8574753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8611772:8614411:1 gene:gene-LATHSAT_LOCUS27066 transcript:rna-LATHSAT_LOCUS27066 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYQSKMENEEAITRCKDRHYFMKQAVSSRNNFAAAHSSYAASLKNAGAALIDFAQGEQQNLTFSPSYDVPLPPPPLPDLPAALRRAITMPDFESVETGLEEVVDDDDVDEETVERCGLSRRVKKSVSMNMIQVLSEVDNHFIMASESAREVSVILQATRLHYHSNFSNTTGGNLDYSARVMRVVTWDRSFRETPNMEEVKDDFDSEKHETLATVLDKLLAWEKKLYNQVKAAELTKSEYQRKVATINKLEKRGKNTETLEKEKAALNHLDSIYIVDMQLLDSTISEINLLRDQQLYQKLVHLIDGMATMWGTMHYHHKKQLIVMKLVKSLDSQFPTETSEHHHDRTYQLLNVMQELESQFEKLINNQKGFVKALYSWLKLNLTLVENDIKEKISSPSIQILLHAWNDHLEKLSIELAWKAISHFVAVIDSLYQQQEEELVLKRKCEEMQKELTHKSRRFDKWEIKYKKKKRLGEFDTNREQGDDSNEPVEVVREKSVLIELEKKLDEEKVSLERQCLHVRQKSLVCLKNNLAEFFRAMTDFSLECSKMYSELRILAHKLRSAQSS >CAK8571164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:320488476:320488961:1 gene:gene-LATHSAT_LOCUS23854 transcript:rna-LATHSAT_LOCUS23854 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDGMDEELVRLFMEEEVPSSRRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFQMMVDATGRVSLSPLQKCTVVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGT >CAK8536048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902409283:902411373:-1 gene:gene-LATHSAT_LOCUS5450 transcript:rna-LATHSAT_LOCUS5450 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPATMVILPVGIVFILSGLIVNVIQGVLFVFVSPISKYCYRKVNKVLTESLWLELICLVDWWAGVKVELYADSETFEFLGKENALLICNHRSDIDWLIGWVLAQRTGCLGSTVAVMKKEFKYLPVIGWSMWFAEFLFLERNWAKDEKTLKSRFKQLEHKPVPFWVALFVEGTRFTHTKLLAAQEFAISRGMTVPKNVLIPRTKGFVTIVQETRTYIPAIYDCTFTVPKGEPSPTLLRIFKGIPSSVKVQIQRHRVEELPETPEGIAQWCTDAFVAKDALLEKYNTTEIFSELELHETRRPKRSIFVVACWSSFLGFLLVKFFQCTSLLSTWKGIAFTVLFMVVVTVVMEVLIHATQAERSKTNLPANLPVQDPIKQRLLNPDTTKPSPKI >CAK8531089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80007674:80015535:1 gene:gene-LATHSAT_LOCUS903 transcript:rna-LATHSAT_LOCUS903 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYVLEPPTKGKVVVNTTRGPIDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRVIKEFLVQCGDPTGTGTGGESIYGDVFADEFHSRIKFKHRGLVAMANSGTPNSNGSQFFITLDRCDWLDRKHTIFGKVTGDTMYNLLRLGEVETDKSDRPLDPAPKILSVEVLWNPFEDIVPRTLQKPQTKATRDTDDKDAKRKGVKNLNLLSFGEETEEEEKELTLVKKKIVSSHDVLNDPRLLKDENATNELSSSDSKLKRDLQLSVRGALNTKKEEPRKDSGADKLSHVDSSDDDEADFDSRMRMQILKKRKELDDLPPKPKMQNGRSRSSPEKHTVSRARSNSVSADEEDQPRVEKLSMKKKGIGSEARAERMANADTDMQLLNEAERGRHLQKQKKRRLQGREDEVLAKLEKFKTTKSAKETPTTVESKHASGEELSDWRSVGLKFSPTTGKDRMARTEDPNDYVVLDPLLEKGKEKFNKMQAKQKRREREWAGKSLT >CAK8535304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836438690:836439133:-1 gene:gene-LATHSAT_LOCUS4774 transcript:rna-LATHSAT_LOCUS4774 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFSTTQRFGTQEDVIRWIKEIKIQNKITAIITRSDVETCKRGRSNKLIFGCDKGEKHKDTYGGTQSATKKCGCPFKIRSTPAKDGSRWKVDVKCEVHNHGLSDRLEGLSFVGRLRADQKKHFIDLTKRKVSPRHILLSLQEQNL >CAK8574407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680399294:680435910:1 gene:gene-LATHSAT_LOCUS26758 transcript:rna-LATHSAT_LOCUS26758 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEELWERLVRAALRRERTGDDAFGQPAGGIAGNVPSALAKNRDIDEILRVADEIQDDAPTVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDIARLQEFYKSYRKKNNVDKLLEEEMQLRESGAFSRNLGELERKTVKRKRVFATLKVLGTVLEQLSEEIPDELKRVMESDSASTEDLIAYNIIPIDAASSTNAIAFFPEVQAAVSALNYFNGLPELPRAYFIPPTRNANMLDYLQYTFGFQKDNVANQREHIVHLLANEQSRLGVPDKTEPKLDEAALHAVFLKSLDNYTNWCNYLCIQPVWSSLEAVSKEKKLLYVSLYLLIWGEASNVRFLPECLCYIFHHMAREMDEILRQQVAHTANSCTSENGVSFLDQVILPLYDVISAEAANNDNGKASHSSWRNYDDFNEYFWSLHCFELSWPWRLSSSFFQKPEHRSKKMSSRRSQRQGKTSFVEHRTFFHLYHSFHRLWIFLFMMFQGLAIIAFNNGRFDAKTWREVLSLGPTFVVMKFFESVLDIFMMYGAYRTTRRSALSRIFLRFIWFSVASVFVTFLYVQALQEESKRDSNSVIFKLYVLVIGIYAGVQFFISFLMRIPACHLLTNRCDSWPLIRFVKWLRQERYYVGRGMYERSLDFIKYLLFWLVILSAKFSFAYFLQIKPLVVPTRDIIKENNIVYSWHDFVSKNNHNALTVISIWAPVVCIYLLDIYVFYTLVSAVWGFLLGAKARLGEIRSLEALQKLFEQFPGAFMDTLHVALPTRNAQLSSVQVLEKNKVDAARFSPFWNEIIRNLREEDYIANFEMELLLMPRNSGDIPLVQWPLFLLASKIFLARDIAVESKDTQDELFDRISRDDYMMYAVQECYYAIKLILTEVLDDAGRMWVERIYDDINASITKRSIPMDFRLNKLAVVISRLTALMGILKETETPDLERGAVRAFQDLYDVVRHDVLSINMRDNYDTWSLLTKARDEGHLFQKLKWPNADLRVQVKRLYSLLTIKDSASSVPRNLEARRRLEFFANSLFMKMPRAKPVQQMLSFSVFTPYYSEIVLYSMAELQKKNEDGISILFYLQKIFPDEWKNFLARIGRDENSSDTDLFDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTGGDLEAGVGFDEVSDTRGFDLSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAVDIALLMQRNEALRVAFIDVVETLRDGQVYTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIALFEGKVSSGNGEQVLSRDIYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKTYLALSGVGETIEGRAKITKNTALSAALNTQFLFQIGIFTAVPMVLGFILEQGFLRAVVNFITMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLIVYLAYGYSDGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVTDFRDWTNWLLYRGGIGVKGEESWEAWWEEELAHIRSFGSRIAETILSLRFFIFQYGIVYKLNVKGLDTSLTVYGFSWVVLAVLILLFKVFTFSQKVSVNFQLVLRFVQGLSLLLALAGLIVAVVLTDLSVTDVFASILAFVPTGWGILSIAAAWKPVMKKLGLWKFVRSIARLYDAAMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >CAK8562926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557622806:557624033:-1 gene:gene-LATHSAT_LOCUS16386 transcript:rna-LATHSAT_LOCUS16386 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSTIYTLFLLFSVAYSEESSRSFNKIYAFGDSFTDTGNTQNAEGPSGFGHVSNSPYGTTFFNHSTNRYSDGRLVIDFVTESLSMPFLPPYRHWRRTKENDTFGVNFAVAGSTAINHQFFVTNNLTLDITPQSIQTQMLWFNRYLDRQGCEGVDSKCKDFDDTLFWFGEIGVNDYAYTLGSSISQDTIRKLAISSVTGALKTLLEKGAKYMVVQGFPPTGCLPLAMYLSPEDDRDDIGCVKTANDQSNIHNLALQSRLQEFRKQYPHSVIVYADYMNAYRTVMQNPSKYGFKDTFRVCCGSGDPPYNFSVFATCGTPNATVCTDPTQYINWDGVHLTEAMYKVVSDMFLQGNFTQPPFDFLLESKERNG >CAK8541930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435388260:435390926:1 gene:gene-LATHSAT_LOCUS10818 transcript:rna-LATHSAT_LOCUS10818 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHLRSYGEELLKSNPNSTVKIKCADSDCGPVFERIYVCLEAYKAAFATTCRPLIRLNACFLKGDFGGQLIGVVGMDGNNKIYPIAYAVVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETSQHVEHRLCVKHLYGNWRKKYLGIFMKEALWRAAIATTIPAWERAMNHMKELNVNAWKDIMDVPAACWTRSHFKTDTQCDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYTGVTSPSIQKVLEKTKRAAEEWIATWHADDDFAIFRVSNGVETYVVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEDYVSSFYRKSTVLATYSHIIMPTNNPQLWPVNVANPISPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKGK >CAK8544370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680433782:680441740:1 gene:gene-LATHSAT_LOCUS13056 transcript:rna-LATHSAT_LOCUS13056 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPSMSVSLECVNVCNSWRGDGNGRHDCSYQSCAWKSPRVLTGFLAGTAARPHHYLLNCRNERRNGYGFACEAFSVLGSYSDEALGITPRDGFSRSIVSRFAPRKWKLSCSSAFSLYTASEFSPRSLWEDLKPVISYLPPKELELVYNAFMLAFKAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTDVVTFERIEEEFGATVRRIVEGETKVSKLGKLKYKNEKDSVRDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQASIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNAEDYAKVKRRVADLFKEHEKDLLEANKILLEKIQDDQFLDLLTVKTEVRAVCKEPYSVYKAVLKSKGSIDEINQIAQLRIIIKPKPGIGVGPLCSPQLICYHVLGLIHGIWTPNPRSMKDYIATPKPNGYKSLHSTVIPFLYESMFRLEIQIRTEEMDLIAERGIAAHYSGREFVTELVGSAVPRSKSSRRKTACLSNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPQGATVIDYAYMIHTELGNKMVAAKVNGNVVSPARVLANAEVVEIISYNALSSKLAFERHKEWLLHAKTRSARHKIMKFLKEQAALSAADITTEAVNDFLSDSDRDRESEKLSNGSSGSKDKSREILLNGVEISTSERNETVLQSKNGSAWTPKLNGKRNKHVHHLSLNGKGDMILQGNHVANMIQVNNPKYKEVLPGLERWKAHKIASWHNIEGHSIQWLSIVCIDRRGMMAEVSTALATADITICSCVAEIDRGRGMAVMLFHVEGNLENLVNACSRVDQIRGVLGWSTGCSWPSLMENNGVLEC >CAK8543980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653204222:653207330:1 gene:gene-LATHSAT_LOCUS12697 transcript:rna-LATHSAT_LOCUS12697 gene_biotype:protein_coding transcript_biotype:protein_coding MISQTSFSSSSMKLLLFMVPLITIAGLVSVLGPNPSNWTLIQNPPLSWTSQNLVAVDFHDSVFNQSSTPPISIQPIIHQPLENKDKENLNVSQNFPNNTNSVNESHILQEKQNLPRKFSILDRTEAGLLQARAAIRKAKYGNQTQDIDYVPTGPMYHNPNSFHRSYLEMEKQFKVFVYEEGELPVFHNGPCKNIYSIEGNFIHAVEMNDQFRTKDPEKAHVFFLPFSVAMMVQFVYIRDTHDFGPIKKTVKDYINVVSEKYPFWNRSLGADHFMLACHDWGPETSKAVPNLFKNSIRALCNANTSEAFKPKKDVSIPEINLRFGTTHGYLGGPSPSKRSLLAFYAGGLHGPIRPILLEHWENKDEDIQVHKYLPKGVSYYDMLRKSKFCLCPSGYEVASPRVVEAIYTGCVPVLISDHYVPPFNDVLNWKSFSVEVSVKDIPNLKKILTSISPRQYIRMQRRLGNIRKHFEVNSPPKRFDVFHMILHSIWLRRLNFRVHDDQ >CAK8567051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482440766:482441497:1 gene:gene-LATHSAT_LOCUS20139 transcript:rna-LATHSAT_LOCUS20139 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLGVVRPPPESCDERGKRLKSDQEPKTEPEQESESEPEPEPESETDSETEREIEKEWDRAWGWESIPLEDLTVSDLSSRFEDDPYAFAYSCPKFSYENKAAKKLREDGKKALADYRERSRNISPFDVTDVPSFGIICGTNFPRPVTITDDRRPQFVYLSELALDKYNRDNQVLNYEFQHVIKATMQFIPRTTYYITFQAKAKPIHDDGVQNYPATTFQARVRVLDREPSPVVESCSIKT >CAK8573992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652689339:652689882:-1 gene:gene-LATHSAT_LOCUS26385 transcript:rna-LATHSAT_LOCUS26385 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHTDASASATEPVGYPGGPYDTSLLVKYEHHIARHIWFGEVKKGSKKVLKVAGHGLKLIQMVLLQLPREMECWISRSDLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPHDINEGLVIE >CAK8571905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:491993674:491996859:1 gene:gene-LATHSAT_LOCUS24528 transcript:rna-LATHSAT_LOCUS24528 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEEAPRVKPKKPTAGKPLGRGRGRGRGRGRGRGR >CAK8574083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661051844:661052719:-1 gene:gene-LATHSAT_LOCUS26460 transcript:rna-LATHSAT_LOCUS26460 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFYRHLEGNCRRFSWNLIQNLYEVSNLLWCIMGDFNELLLTEEKKGSVEMPNWLIRGLRQVVQDTDLLDVSMEGYPFKWFKNLGTDRVVKEKIDRAMTNSDWCMLFSEAKVKCLTTTTSDHYPILLCCETSSMLHKPTKRFRFENAWLIELDFQDFVIEKWHKNEMDGIVGKLELCCENMMKWSKYNCHQIRKEIENISRNIERVRHHVGEGNINYFLTLKRCMNSLLVKEDMFWNQREKVHWFKDGDLSARFFHISATARKKVNIILYLTKDNGGSEYFKQRDVSNSS >CAK8579692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716957513:716965145:1 gene:gene-LATHSAT_LOCUS31618 transcript:rna-LATHSAT_LOCUS31618 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSSQSLSMNKDVLSAVPFMASAQSSTTGNTMAYMERIVNEISNSDLRENAIRVLSKRTDLFKELAPLLWNSFGTIAILLQEILSIYPTLSPPTLSFAQSTRVCNTLALLQCVASHSDTKKLFIEANMPLYLYPFLKTKIKSPEFENLRLASLGVIGALVKVNTKEVIGFLLSSEIIPLCLSNMEIGKEITKTIATFIIQKILLDDDGLAYVCATVERFFAVSRVLDMMLENLQRQPSLRFLKLVVTCYSRLSENHRAGIALTKCLPNMLTDSTFMNCLREDPTCWRYVKQLYENVGMNEVPLVPGGEIINDRVGSSLFGN >CAK8575407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:111894665:111894958:1 gene:gene-LATHSAT_LOCUS27676 transcript:rna-LATHSAT_LOCUS27676 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIIIKVRLIDLMVDANQIIMMAITASQRKHGWLILHVLFGILKPSTIFSLSGDQANLYIVDLTDSLKPMSLLPYMQSYKQADIKLLHITLSICDS >CAK8576561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492736223:492737546:-1 gene:gene-LATHSAT_LOCUS28738 transcript:rna-LATHSAT_LOCUS28738 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTPVSSKFGAKYRALAPFKVVRGLICLLVLVSSAFVTLVFFGFITSVLVRFFSIGYSRRTTSFFFGAWLTLWPFLFEKINKTKVVFSGDIVPSRERILLIANHRTEVDWMYLWDLALRKGCIGYIKYVLKSSLMKLPIFGWAFHILEFIPVERKWEADESTMRKMLSTFKDPQDPLWLAIFPEGTDFTEQKCLRSQKYAAEQGLPVLKNVLLPKTKGFCTCLQELRDSLIAVYDVTIGYKHRCPSFLDNVFGVDPSEVHIHICRIPIERIPTSEDEMSTWLMDRFRFKDKLLYNFQFQGKFPDQAKETDLPAVKTILSCIIVILWTGLCMYYIFSSVWFKLYVSVVCAYLVPATYFSIRPQPVLGFFKM >CAK8579404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696021215:696021703:-1 gene:gene-LATHSAT_LOCUS31353 transcript:rna-LATHSAT_LOCUS31353 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQPSIAYHQEIKELIDFAFKGAKENDVVICPCKHSGFKKSKSRSDMFDHLMWSPFSQGYTMWIHHGESFIVPSTISSSTTQNMVEDTIIFEDPIHNMINDAFGVDMNRANEILSASNLEIDQEDYVMLSATQERNEAKEYYELAREGEQPLYEG >CAK8566720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455280956:455282022:1 gene:gene-LATHSAT_LOCUS19832 transcript:rna-LATHSAT_LOCUS19832 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAFMTQYQLSTRMIPTSYLLPSQWPQPQSEELLLAMEESDFEEKCNEIRKMNSNLIVIGKTTNENDKEDFDNEADDDDPDNAEESEGEEFEQETG >CAK8574892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13878547:13881246:-1 gene:gene-LATHSAT_LOCUS27191 transcript:rna-LATHSAT_LOCUS27191 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHIIFWLFIIPISLINSSTNYFVVNGHCLRHQHSLLLHLKNNLIFNHTKSSKLVHWNQNDEDCCYWHGVTCKDGHVSALDLSHESISGGLNHSTALFKLHYLESLNLAFNSFHSVIPSELHKLQNLRYLNLSNAGFEGQVPKEISHLTRLVMLDLSSSVTSNHSLKLENPNIEMLVENLTDITELYLDGVTISASGEEWGRALSSLERLHVLSMSSCNLSGPIEFSLAKLQSLSVLKLSNNNFSSIVPDFFTNFSNLTILHLSSCGLNGFFPNDIFKIHTLEVIDISDNQNLNGSLPDFPPLASLHYMNLANTNFSGPIPNTISNLKKLSTIDLSYCQFNGALPSSMSELTQLFHLDMSSNNLTGPLPSFNKSKNLTYLSLFHNHLSGDLPSSHFEGLLNLVSIDLGFNSFNGNIPSSLLKLPCLREIKLPFNQLDGHLGELDSASTPVLEMLDLDSNNLQGHIPASVFNLRSLRVIQLSANKFNGTIHLDVIRKLNNLSTIGLSHNNLTVDDNFREDHDLSPFPEIRVVMLASCKLKRFPSFLKNRSTLLTLDLSRNEIQGQIPTWIWQLESLITLSLSKNSLTNFEESIWNLNSNLYEVDLSFNQLQGPVSCIPKYVTYLDYSSNRLSSIIPSDIGNYLPFIQILFLSNNSFTGEIHESFCNSSTLRLLDLSYNKFDGTIPKCFAKLSSSLRMLNFGVNKLRGHIPDTIFRNSCALRYLDLNDNLLEGTIPRSLVNCTKLQVLNLGNNALIDRFPCFLSNISTLRIMVLRSNKLHGSIRCPNSNGVWEMLHIVDLASNKFSGMISGTLLSNWKAMMRDEGILGPESGHLFFEITDDYAPMSLKAVLPHFSKYLQARLVKLVANMSRSILDQGFVDSNTVDLQHEGKRYRVLRWRY >CAK8574662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5863765:5864291:1 gene:gene-LATHSAT_LOCUS26987 transcript:rna-LATHSAT_LOCUS26987 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDQSYRAGETMGRTEEKTNQMMGNIGDKAQTAKEKAQQTAQAAKEKTQQTAQAAKDKTHQTAQATKEKTQDTSCEAREKGSEMGQATKEKAQSGKDNSPGLLQTTGEKVKGVAQGATEAVKNTLGMGNDDQNKDNFPTNRR >CAK8534836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:774978913:774982418:1 gene:gene-LATHSAT_LOCUS4346 transcript:rna-LATHSAT_LOCUS4346 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDPSTSSVLAKQCYQGQSLRFSFSLKNSLQVLFYQMPRSRGSDLPQRQSPRGTTHQVRTSSSDSDPLHHRPVTDRSPKLGDRRSPRGGTQSETVNQKKLGTRIADLESQLGQAQQELKNLKDQLSSAEAEKKKAEDELVKKSVSKKVQECNNDKGETKQQDVVNVMPDENQEETDVFEVPIEKLEIEFRKPDDQLEKETISESEKPFVNELTLKNEEIASLKSNLVEKTKELESMSNGNDDLKSQLNEAVSKVKAYETKEEVTTLQVKQLSEELEASKGNAEELNMKLKSVEEEKELLESEMKKLRVQTEQWRKAADAAAAVLAGGFDMSAASRVPERCGSMDKNFVGGTFETPGVGRYHGYVGSPGMNDDLDDGFGGGKKKGSGIRMFGDLWKKKGQK >CAK8538814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496893113:496893997:-1 gene:gene-LATHSAT_LOCUS7970 transcript:rna-LATHSAT_LOCUS7970 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSSRLSNVNSISSNHHRSDLQLKPTPVRMTITQQTRNPRLHWASLHADIEAHLKSSITVKQPLEVFEPMHHLVFSAPKTTVPALCLAACELVGGQRHQAISAASALLLMEAATYTHEHLPLTDRPRPGRNHVYGPNVELLTGDGIVPFGFELLAGSDSGENSERILRVMVEISRAVGSTGVIDAQYRKTVDSRSDGDEICHVEEIMRVVEKYECELHSCGAVCGGVLGGGSEEEIEKLRKIGFYIGMIQGMAQRGFKEGKQVNEARNLALQELQFFKDNDVDAINSLLNI >CAK8575140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:38031126:38032157:-1 gene:gene-LATHSAT_LOCUS27427 transcript:rna-LATHSAT_LOCUS27427 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTHTHHIFHTSTLPTPCSRSQGLLKSVKPLQLKRSSFPSIRVNQSFICCTKLTPWEPSPVSYAPADNQSDNFLQNTTDIFETLESSQVVESPTANAERIVEKNDQPGQELQFIKWPMWLLGPAVLLATGMVPTLWLPISSIFLGSNIASLLSLIGLDCIFNLGATLFLLMADSCSRPKHPTQDCKSKAPFSYQFWNIVATFTGFIIPLFMMFGSQKGLLQPQLSSISFAVLLGPYLLLLSVQVLTEVLTWHWQSPVWLVTPIIYESYRVLQLMRGLKLGVELSAPEWMMHTIRGLVCWWVLILGLQLMRVAWYAGLTARARKDQSSSSDTSAANGIGTN >CAK8566085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378291678:378292406:-1 gene:gene-LATHSAT_LOCUS19251 transcript:rna-LATHSAT_LOCUS19251 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKLKIAFIENDPARKATYKTRKKGLVKKVDELSTLCGVDACAIIYGPYNAQPEIWPSPSGVEKVLSKFMTVPEFEQRIKMANQESFLKQRVSKFEKQFERQVRDNKEQEKTLLMFQCLNAANIVHNNMSMEDLSNLDWIIDKNLKEIGRRIESAKCENSIHQNQSERQVYLQRTPPLALPPPPSPLPLLPPPPPPPTAPTNDNDDIMYMDMVLNGGGIGTIPFGYDAHLYNGISPNLLS >CAK8566342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410285386:410286135:1 gene:gene-LATHSAT_LOCUS19477 transcript:rna-LATHSAT_LOCUS19477 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAIPLFQQLSGINIVAFYSPNLFQSVGLGHDGALLSAIILGVVNLTSIFISASIIDRFGRRFLFITGGIMMFVCLVAVSIVLEVVTGVHGTNGVSKGNAILVLVLLCFYAAGFGWSWGPLTWLIPSEIFPVNIRSTGQSIAIGVQFILTFVLSQTFLTMLCHFKFGAFVFYAFWVVVMTLFVIFFLPETKGISLESMYTIWGKHWFWSRFVIGEDDQENKP >CAK8575255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:61710656:61712149:-1 gene:gene-LATHSAT_LOCUS27534 transcript:rna-LATHSAT_LOCUS27534 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGIVEILLAIILFTAIHYWKLNINTPIAKWPLMGMLPGLLYNISNIHDYINSILKQNGRTFIFEGPWLTNMNIVFTCDPMNVQHITSTKFENYVKGNDFREIFEVLGDGIFRSDSHIWKYNRTLLHSVFKQESFQVFIQNTVEKKIYSHLLVFLDHVCRKGLQVDLQDVFQRLTFDNICCIVLGFDPICLSIDLPEIACERAFSQAEDTLFYRHAKPRFLWKLQKWLRVGDEKKFIENQNIVDEMLYSKIKSKRDIQNQQKSNLLYTLMNEVRDGQNLIDDKFLRDTAINLLAAGRDTISSGLTWFFWLVATHPFVEAKILDEIKEKLPSKEDNNKKDLGVEGLSKLVYLHGALCEALRLYPPVPFEHKSPLKSDVLPSGHKVKENTMIVYSLFSVGRVEEIWGKDCLEFKPERWISKKGGTVHVPSYKFIAFNAGPRSCLGKDISFIEMKMVAAAILMNYHVQVVEDHPIIPSVSVVLHMKHGLKVNIKKRSI >CAK8563530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612928200:612929216:1 gene:gene-LATHSAT_LOCUS16929 transcript:rna-LATHSAT_LOCUS16929 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRKTQFNIPLNSFSILRRFATLTPKPFPDKPTATYYDNLAADAANAGDFDSLHSLLNKRIQDGFFNTKRTFSFITNTNFTPSFLNDLITTISRLNPGFSRRNAFDSLITRLCKLSRADEALYVVKSISRVDSSELKACTFHPIISFFTKEKSLDHAHRVVDTMNRLGVPPDLTVHNYFLTTYCFTGDTEAAVRVLKTIENEGLNADTRTFDALVLGACKKGNVDGAMVLVRKMVDDGVPMLYSTHMFIIEAMLKMNWWEQALCYVRCFSGKDKALDNELFGCLGGKLVELNKLKEAMVVFGEMDERGLKMCGKMREFYEMNVRVGNDDVSCKVEL >CAK8537208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:139262655:139263167:-1 gene:gene-LATHSAT_LOCUS6514 transcript:rna-LATHSAT_LOCUS6514 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETAKAASHRVRAFDRHDYNFIVDETKDHNEGRPMGHYRVEIHKNWCDCEKFQTFCMPCSHIIAACSSVRQDPFLQLSEVYKVVNLFGIYNNSFPVVASEDYLLTYHGDTIYHNKNTKRNKKGRPKSTRIKTEMDTTEKMERLCGICRLPGHTRKHCPNVGTSSR >CAK8540948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34765489:34765875:1 gene:gene-LATHSAT_LOCUS9902 transcript:rna-LATHSAT_LOCUS9902 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKDDMMFWRHIVKEDGTLEHLFWCDGIGCTNYSIFGDVLAFDATYRKIRYNTPLVMFSGVNHHNQNIIFASATVGDEIEESYVWLLETFLEAMGGKYHVYVITDSDLAMRNAIKKVFPNAHHSLCA >CAK8574927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15991267:15992890:-1 gene:gene-LATHSAT_LOCUS27225 transcript:rna-LATHSAT_LOCUS27225 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPEQEQKLHLTFLMDTEKNSVVVAEANGDFVDTLFSFLTLPFGTITRLVFKNRPEQETFGCIGNLYKSVENISDEVFLNSICKKMLLYPRNNSESYCQKLKLNVDDSEPTKYFICSTCVETGRLSTFVGARRCCCGNLMDKEIKLHREFGNSDCVFVKKECLYLIFDDLKVMQSFPGNTLHQLQQLGYKNMNKLTHMSATFGLNEILELLRKALTSKSPLSDVFLRNGNFSYKCTFSSRTLISNLPTTRIMDLKVTISKSKKKIVYAEAERDFVNFLFSFLTAPIGSIVVQLNGICFIGCMNNLHKSVTELDCSCFVMALPIRNPKVAPQFGYLKQPLKYLCEEKTPNYWYDNGEVAKDKCISYLSKPLKLFDPRSPYEKIESAVGFVKASKFVVLDNLQVTALDNNTSCIAFLQKLNIPIDDLEEHQVGIGEKEALYLLEASLTSTEAVLTEALFHLIEKTKEETN >CAK8544643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697389117:697389572:1 gene:gene-LATHSAT_LOCUS13301 transcript:rna-LATHSAT_LOCUS13301 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKVGESVKVDPHQFEARIHLSQAALGESKKDKVNEHVVLYLKVGDQKLVLGTLNREKIPQTTLELVLDKEFELSHSSKTSSVHFCGYKAYYPDNEYSDEDECSESYEEEIPLAQPIENRKPETKADDLKVFEAKKATAWCTCQD >CAK8531669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137668377:137669023:1 gene:gene-LATHSAT_LOCUS1444 transcript:rna-LATHSAT_LOCUS1444 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSPVFPISDPQHFSDYGFDPQINYFQVLEEAMKHKRESSRSIDSIHFKLQKPISKEESRNKNQKTKKKRWWKNALFFFKWKWTHHHHRDDRYDDGENSDIHQARAKAFRATISGPVYLTESRSGSATPYRSCSRPSSGPLAGSLMPAAIGAVDIPYLSLRELTMEQQHLQQQRMSTSAMPIYLVT >CAK8573903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645675985:645677475:1 gene:gene-LATHSAT_LOCUS26298 transcript:rna-LATHSAT_LOCUS26298 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLKNIYRDLTLTPDSPEILKIRKTRIYIMLLIGLFLCPDTSGSAVHSMYLPLLDDVDKIKQYSWGSATLAHLYRSLCHNSIANTGNWTGCGVLLQSWGWSRMTNLAPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVEQQDSAVWSAKVPIINFTTVEMHNSDHVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPTQPHFQPPTQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPPQYHTYSQFQTPNHLHPSHPTIRQAIVLTLPRLANHPKITMKAWATLLIWMTLRIWIRLHGPK >CAK8537836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440274601:440282131:-1 gene:gene-LATHSAT_LOCUS7090 transcript:rna-LATHSAT_LOCUS7090 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTVLNFVNTTVVWVTHALDAPSARATVFGFNIGGHLFIEVLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWAPQPLIPSLDKDMQYEPPVLESAAGPHTIVNGKEVVNFASANYLGLIGHQKLLDSCSSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNDMDSLKETLENITSKYKHTKNLRRYIVVEALYQNSGQIAPLDDIIKLKEKYRFRILLDESNSFGVLGSSGRGLTEHYGIPVEKLDLITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLEENPNLLTKLKNNIAVLRRGLVEIPGFTIASHPESPIVYLRLKKSMGSLNNDVHLLESIAERVLKEDSVFVVTSRRSTLDKCRLPVGIRLFVSAGHSESDLHKASESLKRVAALVL >CAK8531154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85261842:85263187:-1 gene:gene-LATHSAT_LOCUS964 transcript:rna-LATHSAT_LOCUS964 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNFKLNLFIAIALCFVAVVFRGLPVPSFTSKPQLDPLFYSKTCPQLPFIVYQILWNVSKTDHRMPASLIRLHFHDCFVQGCDGSILLNNTDTIVSEQGAAPNINSLRGFDVVNRIKTAVEKACPNTVSCADILALAAGVSSILTRGPCWTVLMGRRDSVTANQALANQSLPSPSFNLTQLKSSFAVQGLNTNDLVALSGGHTFGRAQCSKFVDRLYNFNNTGKPDPTLDKAYLKELQKECPKNGPGTNLVNFDPTTPDILDKNYYSDLQVKEGLLRSDQVLFSTPGADTIGIVNNFASNQNVFFENFKNSMIKMGNIGVLTGKKGEIRKQCNFVNKKSSKLDLATVRSAESLEEDLVSSI >CAK8537968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452161165:452162001:-1 gene:gene-LATHSAT_LOCUS7214 transcript:rna-LATHSAT_LOCUS7214 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKLKIETPSSIFEDNPSIKTKRKPKRKLLRIIITDHDATDSDSSGDEGRERNSNQRTTQRKPKREVLEINMYHPVFDSSFSFSSPSSSSSSLACSEKNNKRYNRTKKTPTSSATRHRNKFRGVRQRPWGKWAAEIRDPSQRKRLWLGTFNSAEEAAAEYDRVAVMLRGPDAVTNFPISPKKVELENEAPPPPNGGIDGGAGYSDALASPTSVLTYDCDSTPFDSLRYGDVDAFGFHIDAPLSLPEVNGTLTCHQKLEKEEAFEEFDLDEFMTWPY >CAK8567555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524762868:524763412:1 gene:gene-LATHSAT_LOCUS20598 transcript:rna-LATHSAT_LOCUS20598 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLTVKKGPRSYDELKTIEGFKHNTFREACFAMGFLEDDKEFIEAIKEAYNWGSGVFLRKLFVTMLLSTSLNRPEHVWLYTWICLSDGILYEQRIFSQNPNLTLSDEDIQQLTLMKIEKQL >CAK8567556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524762874:524763412:1 gene:gene-LATHSAT_LOCUS20598 transcript:rna-LATHSAT_LOCUS20598-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVKKGPRSYDELKTIEGFKHNTFREACFAMGFLEDDKEFIEAIKEAYNWGSGVFLRKLFVTMLLSTSLNRPEHVWLYTWICLSDGILYEQRIFSQNPNLTLSDEDIQQLTLMKIEKQL >CAK8560039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5803989:5821954:-1 gene:gene-LATHSAT_LOCUS13756 transcript:rna-LATHSAT_LOCUS13756 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFWKHLKSSSPLLQRLKRHVTGGHYLLSRSFTTTEGHRPTIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVVSSEVQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPVVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNTKLLEDPLYLGLQQHRLDGDDYLAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRTTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNEVAFQSAKSQFWVVDAKGLITEGRENIDPDALPFARNLKEMDRQGLREGASLAEVVKQVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFAMSNPTKNAECTPEEAFSILGDNIIFASGSPFSDVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGSRIVSDGMLQAAAERLAAYMSEEEVLKGVIFPSTSRIRDITLEVAAAVIEEAVEEDLAEGYHEMDARELRRLSQDEIKDYVKNNMWNPEYPTLVYRHE >CAK8568017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:564425048:564425436:-1 gene:gene-LATHSAT_LOCUS21019 transcript:rna-LATHSAT_LOCUS21019 gene_biotype:protein_coding transcript_biotype:protein_coding MICLLQILSKKGVIILPDIYANAGGVIVSYFEWVQNIQGFMWDEDKVNLELKRYMTRAFKNIKGMCKIHNCDLRMGAFTLGLNRVARATLLRGWEA >CAK8538173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466721740:466722211:-1 gene:gene-LATHSAT_LOCUS7402 transcript:rna-LATHSAT_LOCUS7402 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDKLGCKGKSSDDGIIYVKFSIKGLDGRELFFKVNQEKLLVRAFRKYCEEMELEYHSMHFMLDDGQRIRGERQTPKMLNIENGAEIVAAKLQTGGGTLTL >CAK8541036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46686760:46688722:-1 gene:gene-LATHSAT_LOCUS9988 transcript:rna-LATHSAT_LOCUS9988 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGVAIGIDLGTTYSCVGVWQEQNNRVEIIHNDQGNRTTPSCVAFTNSQRLIGDAAKNQASSNPTNTVFDAKRLIGRKYSDVTQNDILLWPFKVVSGDNDKPTILVSYQGKEKHLVPEEISAMILTQMREIAGAFLESPVKNAVITVPAYFNDSQRRATKDAGDIAGLNVMRIINEPTAAALAYGLQKRANCVGERTIFIFDLGGGTFDVSLLTIKNNSFDVKATAGDTRLGGEDFDNRMLNHFVKEFKRKNKVDISGNSKALRRLRTACERAKRTLSYDTDATIDIDVICEGIDFCSSITRAKFEQLNMDLFEKCMETVESCLLDSKMDKSRVDDIVLVGGSSRIPKVKQLLQEFFKGKELCKSINPDEAVAYGAAVQAALLSEGSKNVPNLVLQDVIPLSLGIRTEGDIMSVMIPRNTCVPFKKTQGYETASDNQSSVSVKVYEGERVIATENNLLGLFNLKVPLAPRGHPFKVCFTIDADGILNVSAEEETSGNKKDITITNENGRLSREEIERMIQEAENFKDQDMKFKTKAKAINALDDYLYTVRKAMKDDCVSSKLNPDDKVKINSAMIKGKSLIDDNKQEDTFMFVDCLKELESVFESAMNKVNKSYSDEESDSDS >CAK8562002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424668268:424668753:1 gene:gene-LATHSAT_LOCUS15540 transcript:rna-LATHSAT_LOCUS15540 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLQTDDQIKQLNDIFKRFDMDSDGSLTHLELAALLRSLGIRPTGDELHALLNNMDNNNNGYIEFDELVNAIMPDMNEDVLINQEQLLEVFRSFDRDGNGFITAAELAGSMAKMGHPLTYHELANMMAEADSNGDGVISFNEFATIMAKSAADFLGVKVQ >CAK8578639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643072730:643081363:1 gene:gene-LATHSAT_LOCUS30632 transcript:rna-LATHSAT_LOCUS30632 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQCPTNSPATNDNLELEIISKGSLHFDEWVSLILEIEKTYPDNVDKICLVYDHFLSEFPLCHGYWKKYAAHMSRLCTMDRVVEVFEQAVSAATYSVGLWVDYCSFGMFAFEDASDIQRLFKRAISFVGKDYLCHTLWDKYIQFAFSQQQWVSLAHIYIQTLKFPTKKLHQYYDSFKKLLTLLEEGITSQDKPSMELQSESCFDGEIPMTTCCNDDKIYCIIKDMADSSVGLTSSIALKKYRIIGEQLYHKSCELYSKISSFEANIPRYYFDVRPLDANQLQNWHDYLDFIELQGDFDWAVKLYERCLIVCANYPDYWMRYADFMEAKGGREIANYSLDRATGIYLKSVPAIHLFNARFKEQIGDVLAARAAYIRRCKETDSDFVENVISKANMEKRLGNTELAFSIYKEALEIAATEEKLQTLPILYVHFSRLKYMSTNNVDAARVVLTDGIRTLPQNKLLLEELIKFSMMHGGKKHMDVIDSIIAGALSPKSDRSQGLSAEDAEDISNLYLEFVDYCGNINDVRKALNRHIRLFPDSARINSCQYSTKSRRPLNLIENKREETSVAMSDEVPRDPSSNLQARLPQQNKKMSSEKYCDTQSDAANDGLVLMENNTNRPNDTDSASLQIMESDDKAEDNARELPISVSEEPRDSDPERYLSSADLVGVKEESTTKLESLKKDCSESDISSENLLHPTASGNQPSQALQASSNENSVFPQGRCELETEELKPVSVTSASLNPQVSTCPDSGLAASQVECETIPESCKSNTANWYNSSRSTQGSDYVQTHDERNRPNSSSHLDHRTKRPPFPSRFSRNRGGNWGPMKNNGKFRGGSKYDNRGRGGPRYGNRAYTRRKEHLHTADGGAYFPVIPVCSQSALQVQQCNQGRDQFQATAPPADFVAAQSWPIQSIQTQNSLSRSQLQASTISNVSQHAMQGNDQYGYMQNGQDYNQMWHHYYYQQQQLQLQQYTQLQQQSLQPELSQQLQQQSFQPELLQQLQQQSFQPELSQQLQQHSFQPELSQQLQQQSQPEPLQPQQLQQLHFQLQQVLQQQQPQQQEHSVSLQQLQPSTQSSSNPAEDQMQAVVIPQGGQEAVSSQQSGDPGLISSPALHHPQEKPTHEE >CAK8540687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16198076:16201184:1 gene:gene-LATHSAT_LOCUS9668 transcript:rna-LATHSAT_LOCUS9668 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNQEQFEIKFRLTDGSDIGPKSFPAATSIATLKESILAQWPKDKENGPKTIKDLKLISAGKILENNSTVGECQSQNPLCDTPGTVTTMHVVVQPPTTDKEKKAAGDATQQRCGCVIL >CAK8533573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637644300:637651798:-1 gene:gene-LATHSAT_LOCUS3192 transcript:rna-LATHSAT_LOCUS3192 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDQKNVSMVKKKKKKNGSFKSIFMHADVLDCFFMAFGLLGSIGDGLMTPLVLFVTSKIMNSIGSVSNTSSNNFVQKINENAVSLLYLACAAFVACFLEGYCWTRTGERQAARMRSRYLRAVLRQEVAYFDVHVTSTSEVITSVSNDSLVIQDVLSEKVPNFMMNASMFFGSYIVAFAMLWRLAIVGFPFIVLLVIPGLMYGRTLMGLARKIRDEYNKAGTIAEQAISSIRTVYSFAGENKTIAAFSDALEGSVKLGLKQGLAKGLAIGSNGVVFAIWSFMAYYGSRMVMYHGAKGGTIFAVGASLALGGLALGAGLSNVKYFSEASVAGERIMEVIKRVPKIDSENMEGEVLEKVSGEVEFNHVEFVYPSRPESVILNDFCLKVPSGKTVALVGGSGSGKSTVISLLQRFYDPISGEILFDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEDVVDAAKASNAHNFISILPQGYDTQVGERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERVVQQALDKASVGRTTIIIAHRLSTIQNADIIAVVQNGKIMETGSHESLMENDNSLYTSLVRLQQTRNDQNNDNSSILNRDRMQNTSSRRLMSRSSSFNSMSRGGDDIVNYNNDVEDIVNSVVIVDDLHSNNNKKKKQKVKVPSFRRLLAMNLPEWKHACLGCLNALLFGAIQPVYAFAMGSVISVYFLEDHDEIKKQIRIYAFCFLGLAVSSMVLNVLQHYSFAYMGEYLTKRVRERMLSKILTFEVGWFDEDQNSTGAVCSRLAKEANVVRSLVGDRLALVVQTISAVVIAFTMGLVIAWRLAIVMIAVQPIIICCFYTRRVLLKNMSSKAIKAQDECSKIAAEAVSNLRTITSFSSQDRILKILEKAQQGPSEESIRQSWFAGIGLACSQSLNFCTWALDFWYGGKLVSQGYISGKALFETFMILVSTGRVIADAGSMTNDLAKGSDAVGSVFAILDRYTKIEPDDLEGYETEKLIGKIELHDVHFAYPARPNVMIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPFKGTVSIDGRDIKSYNLRSLRKHIALVSQEPTLFGGTIRDNIAYGAYDDKVDESEIIEAAKAANAHDFISSLKDGYETWCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGSVVEKGTHSSLLAKGPSGAYYSLVSLQRRPTNTIVHSSHEIN >CAK8531721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143637180:143644045:1 gene:gene-LATHSAT_LOCUS1492 transcript:rna-LATHSAT_LOCUS1492 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEETSQWKQAMINSTAGAISGAISRTVTSPLDVIKIRFQVQLEPTSSWTSLRRDLSNPSKYTGMFQATKDIFREEGIRGFWRGNVPALLMVMPYTAIQFTVLHTLKTFASGSSKTENHINLSPYLSYLSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSASLDILRTRGFRGLYSGLSPTLVEIIPYAGLQFGTYDTFKRWAMAWNHAQYSNTAAEESLSSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARLKHRAYKNMFDGLKQILQMEGWAGLYKGIVPSTVKAAPAGAVTFVAYELTSDWLESILT >CAK8531613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130725816:130726226:-1 gene:gene-LATHSAT_LOCUS1391 transcript:rna-LATHSAT_LOCUS1391 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8541435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:166441555:166441905:1 gene:gene-LATHSAT_LOCUS10355 transcript:rna-LATHSAT_LOCUS10355 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFLSEEGINVRKMMEHKRSICSVDESNYNSIASKKQKPNLSITTKDRKEKIGERIVALQQLVSPYGKTDTSSILKEAMEYIRFLHKQVKLLSAPYLETTPAIQTT >CAK8531119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82320390:82321667:-1 gene:gene-LATHSAT_LOCUS931 transcript:rna-LATHSAT_LOCUS931 gene_biotype:protein_coding transcript_biotype:protein_coding MASILQTSVITCCSLSSSKRVINAAIHLPKLPNISLPPTKIQTSRKQQLNHQQLILENNNKNNTKILHDPQQENSTNSNDTIQLYAVLEAVSDRVEMHQNIGEQRNNWNSLLLNSINMITLAATTMSGVAAVASGEGAPLLAMKLSSALLFSTATGLLLIMNKIQPSQLTEEQRNATRLFEQLQTQIQTTIAVGNLSEEDVKSAMEKVLALDKAFPLPLLGAMLEKFPAKFEPAVWWPSKKGNSQSKKMGKMNNGWSEELELEMREVVEVIKRKDAEDYDRLGNIALKVNKSLAIAGPFLTGIAAIGSTFIGNGSPVAAFVPLLAGSLASAINTFEHGGQVGMVFEMYRGSAGFFNLLETSIESTLSEKDLEKRENGELFEMKMALKLGRSTSNLRELASKSASYRMEGVGDMDEFASKIFSDIN >CAK8542054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:462448717:462451773:-1 gene:gene-LATHSAT_LOCUS10928 transcript:rna-LATHSAT_LOCUS10928 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRSGRDSLYGSLNHHRRSNTFNNHSNGVSINNNHDDNALDLFSNNRRSLSLPSSDDSSDVSVKLGRLSVGGAKPARNGIEDMLASVEGGKHDYDWLLTPPGTPVFPPSESESQPTLAPPRRSLSRSTSTTKASRLSVSQSESNNHSRPARSSSVTRPSISTSHSQYNNNYSSNRSSSTNILNTSSASVSSYIRPSSPITRSTSSTRPSTPTSRTTPSRSSTPSRIRSVSTSSTAEKPRPISQSSRPSTPSSRPQVPANLHSPSAPPTRSLSRPSTPTRRSSIPSLSPSSATNSSTSAGRVSLNGRSSAPSSRPSSPSPRIRPPPQPVVPSDFPLDTPPNLRTTLPDRPVSAGRSRPGANTLKGNSSETQASVNMPRRASSPIVSRGRSTEPVSKSRGFANGNGHHTDVHEPRKASHAPEVAARRSVKASTNTTDNSGFGRNISKKSLDMAIKHMDIRNGSGNHRALSSTTLFPQSIRNSTPKTQYHRGSSAPPTSIEVNGNILNKSNGSHFDVVNGINRNMIKGREIDERQYSAKLSEIDVYESSRYDALLLKEDLKNTNWLHSVDDKFDQGSLLFDTGFENLPEPFGLL >CAK8532827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:522980766:522994063:1 gene:gene-LATHSAT_LOCUS2491 transcript:rna-LATHSAT_LOCUS2491 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGQKLVSVNNFIGRQHWEFDPTAGTPQEHHEIEKLRNQFTKNRFSIKQSADLLMRMQLRQENECGPIPAGVRVSEEDKITEFALVTTIRRAISYYSSIQAHDGHWPAESAGPLFFLQPLVMALYITGSLHQVLGPQHKKEIIRYLYNHQNEDGGWGFHIEGHSTMFGSALSYIALRLLGEGPEDGEDRAMDRCRKWILDHGGLVAIPSWGKFWVTVLGVYEWQGCNPLPPEFWFLPKFTPIHPGKMLCYCRLVYMPMSYLYGKKFVGPITDLIRSLREEMYNQPYDKINWNKARNTIAKEDLYYPHPMIQDILWGFLYHVGEPILNCWPFSKLRQKALEIAINHVRYEDENSRYLCIGSVEKVLCLIARWVEDPNSEAYKLHLARIPDYFWLAEDGLKIQSFGCQMWDAAFSIQAILACNVSEEYGPTLRKAHDFLKASQVVENPSGEFEAMYRHICKGSWTFSMHDQGWQVSDCTAEGLKAALLLSKMPSDLVGEKLETERFNDAVNVILSLQSSNGGFPAWEPQNAYSWLEKFNPTEFFEDTLIEREYVECTGSAMQALVLFTKLHPYHRTKEIHHCLAKAIHYIENTQNPDGSWYGCWGICYTYGTWFAVEGLTACGKNYRNSPSLRKACQFLLSKQLPNGGWGESYLSSQNKVYTNIEDNHANLVQTSWALLSLIGAGQAEIDPTPIHHGMKLIINSQMEDGDFPQQEITGVFMRNCTLNYSSYRNIFPIWALGEYRRRVLFAKAPNTAA >CAK8540339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553646239:553654115:1 gene:gene-LATHSAT_LOCUS9353 transcript:rna-LATHSAT_LOCUS9353 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8540340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553653705:553654115:1 gene:gene-LATHSAT_LOCUS9353 transcript:rna-LATHSAT_LOCUS9353-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8541639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:322985358:322985726:-1 gene:gene-LATHSAT_LOCUS10544 transcript:rna-LATHSAT_LOCUS10544 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKKRQRAVLRLVELPAAGYFLRGSAGEKEGPPYSSLLKLYKARRERMDEQRFEAARPKQATAFEPLSEKALVKRASALDLEVRASSCWERKAWN >CAK8534995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801033737:801035464:1 gene:gene-LATHSAT_LOCUS4482 transcript:rna-LATHSAT_LOCUS4482-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTILSSDPVKECRDDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDIAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTANRVVSYDTAKEFADQIGIPFMETSAKNATNVEGVLMAMAAAIKDRMVSQPSANNARPPTVQITSTKLETRCR >CAK8534994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801033641:801035464:1 gene:gene-LATHSAT_LOCUS4482 transcript:rna-LATHSAT_LOCUS4482 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDFKISESYAKELPLHFQENIRDFYLLIVRMSKTILSSDPVKECRDDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDIAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTANRVVSYDTAKEFADQIGIPFMETSAKNATNVEGVLMAMAAAIKDRMVSQPSANNARPPTVQITSTKLETRCR >CAK8575461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:126503292:126513973:-1 gene:gene-LATHSAT_LOCUS27721 transcript:rna-LATHSAT_LOCUS27721 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISRNIWPVCGSLCCFCPALRERSRHPIKRYKKLLADIFPRTQEEEPNDRKISKLCEYASKNPLRVPKITSYLEQRCYKELRNENYQAVKVVICIYRKLLVSCRDQMPLFASSLLSIIQILLDQTRHDEVQILGCQTLFDFVNNQRDGTYMFNLDGFILKLCHLAQQMGDEAKILHLRASGLQVLSAMVWFMGEFTHISVEFDNVVSVVLENYGEIKQDSQNENSTRLYSWKVVVNAKGEVNVPMEDAVSPGFWSRVCIQNMAKLAKEGTTVRRVLESLFRYFDNANLWSPDHGLALSVLLDMQSIIENAGQNTHLLLSILVKHLDHKNVLKNPNMQLDIVSVITHLAQQTRVQQSVAIIGALSDMMRHLRKSIHCSIDDSNLGAEVIQWNQKYRMEVDECLVQLTIKISDAGPVLDTMAVLLENMSNITVMARTLIAAVYRTSQIVASIPNLSYQNKAFPEALFHQLLLAMVHADHETRVGAHRIFSVVLVPSSVCPQPSSLNPPMPKTTDIQRMLSRNVSVFSSSAALFEKLEKKQLSPQEDSQSDRKSNDSSVLNRLKSSYSRTTSSRKSAMAISVSSRVNNPSMISRLKSSYSRATSIKRPQLPVTVEEGAANSSDNQQVLPIRLSTHQITLLLSSIWVQSIYPLNTPENFEAIAHTYSLVLLVARSKNSSHEALVQSFQLAFSLRSISLNENVKLQASRRRSLFTLATSMIIFTSKAYNILSLISIAKMTLTDRTVDPFLQLVNDSKLQAKVDTSGKPSKVYGSKEDDEDALKSLSSIKLTESQSNESFVTMIVQSLEELSIEASVLKERLLTNFSPDDACPLGVQLSLDTTGNICQSGLKDDKHSDMVDIPLFTIDDDIPACGLESQANADAQQQPSENRSLISVDDILGSVFDTTHHVGRISVSTPSNMPYKEMALHCEALLAGKQQKISTFMGANSLHGYSFRILAPTDYNHEKDEPKNSNVQQSLPLNGNPFLDSPSTLPETVPRLCATSYQQEDAFFQLPASRPYDNFLKAAGC >CAK8575460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:126503292:126513973:-1 gene:gene-LATHSAT_LOCUS27721 transcript:rna-LATHSAT_LOCUS27721-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISRNIWPVCGSLCCFCPALRERSRHPIKRYKKLLADIFPRTQEEEPNDRKISKLCEYASKNPLRVPKITSYLEQRCYKELRNENYQAVKVVICIYRKLLVSCRDQMPLFASSLLSIIQILLDQTRHDEVQILGCQTLFDFVNNQRDGTYMFNLDGFILKLCHLAQQMGDEAKILHLRASGLQVLSAMVWFMGEFTHISVEFDNVVSVVLENYGEIKQDSQNENSTRLYSWKVVVNAKGEVNVPMEDAVSPGFWSRVCIQNMAKLAKEGTTVRRVLESLFRYFDNANLWSPDHGLALSVLLDMQSIIENAGQNTHLLLSILVKHLDHKNVLKNPNMQLDIVSVITHLAQQTRVQQSVAIIGALSDMMRHLRKSIHCSIDDSNLGAEVIQWNQKYRMEVDECLVQLTIKISDAGPVLDTMAVLLENMSNITVMARTLIAAVYRTSQIVASIPNLSYQNKAFPEALFHQLLLAMVHADHETRVGAHRIFSVVLVPSSVCPQPSSLNPPMPKTTDIQRMLSRNVSVFSSSAALFEKLEKKQLSPQEDSQSDRKSNDSSVLNRLKSSYSRTTSSRKSAMAISVSSRVNNPSMISRLKSSYSRATSIKRPQLPVTVEEGAANSSDNQQVLPIRLSTHQITLLLSSIWVQSIYPLNTPENFEAIAHTYSLVLLVARSKNSSHEALVQSFQLAFSLRSISLNENVKLQASRRRSLFTLATSMIIFTSKAYNILSLISIAKMTLTDRTVDPFLQLVNDSKLQAKVDTSGKPSKVYGSKEDDEDALKSLSSIKLTESQSNESFVTMIVQSLEELSIEASVLKERLLTNFSPDDACPLGVQLSLDTTGNICQSGLKDDKHSDMVDIPLFTIDDDIPACGLESQANADAQQQPSENRSLISVDDILGSVFDTTHHVGRISVSTPSNMPYKEMALHCEALLAGKQQKISTFMGANSLHGYSFRILAPTDYNHEKDEPKNSNVQQSLPLVNGNPFLDSPSTLPETVPRLCATSYQQEDAFFQLPASRPYDNFLKAAGC >CAK8577335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555942036:555948670:-1 gene:gene-LATHSAT_LOCUS29449 transcript:rna-LATHSAT_LOCUS29449 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDSLSSSNGEDAPPLPTSASASLSSIAENFQRSAIESARTMQHSSSTHFHTFQKFLPEAVSQYRTYEDAFFSKIKDGLMVARENPALGVGFAVSAAFLVMRAPRRFLFRQTLGRFQSEEARYASAEKNVKDLNLSVNLLRKESIKLLQRTALAEKEMKYGHDELMNTGAQLQRLAKSSYKVEARATDLIDRLREIPSREALALRAEVASLASNLKRQRSVLDKRIMKISELGISV >CAK8532707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495362050:495379026:1 gene:gene-LATHSAT_LOCUS2386 transcript:rna-LATHSAT_LOCUS2386 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIDPPIVARFHLAATATRFSSVTLHNNRSMWNAEDANVRLGYAASCCLNCSCGFEAPWIRSKKYSGTPFTRRNKLVKNRIRVSSEHPGSDQEPVKQNEKSSYHPFEEIAASTLENSGDDRLTAAETSRTVIEVNSKATLVFSTFVNDEFHDDIVWPDLPYLADEHGNIYFQAKNGEDILQSLSSENNFVQVIIGVDTMEMISEMDLSGPSEIDFGIEEIDDQDTDDLDGSDEEDGDENEDENEDYDSEWLDVLSDEDEQEDDDETLADWAKLETMRFSHPMDFAKKLAEIASDDPIDWMEQPPACVVFQGVIRPAFIEENSPIQKHLSAYQSSDAEISKVTENKEESIGAINGHEHNTESSEDNASPQVENNGNSDIPSDETSFYRLEMVKIQVFSAHGHPIVLELEDYAKAQPDAIARSSSKIISHLKAGGEKTLLALKSLCCRSKGIKVEEAQLICVDSLGFDIRVCSGTQVQTLRFGFKKRASSEYSAERQLNDLLFPRNHPKQPKTKQTHQKEC >CAK8561946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:416365599:416365973:1 gene:gene-LATHSAT_LOCUS15491 transcript:rna-LATHSAT_LOCUS15491 gene_biotype:protein_coding transcript_biotype:protein_coding METKIPAKAVTTTNVLVIENAADGASASPGVDTGPFVPGAGGEEVFGVGDEGEGDGEEVVAGEGAGEVFVEGDGAGAELGDNDRVGAGAGACCAMHEVAKSPNITNTLIATEPMLCMFLIKKSV >CAK8542475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518814863:518815660:-1 gene:gene-LATHSAT_LOCUS11314 transcript:rna-LATHSAT_LOCUS11314 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDPRLRFSPFRKPWDLAERWEEEQSKLLKDISVPNFMHPNAERAAAEAALQGNFYFVDPKSGPWRQNPIEETNLSREDVFSYKESNHLKKSLAYSFLSNPAACGPATMIHSRKTSYNSNIDKYELGFFNSPGSLSISKETSYSDDYPFNCSNAKNNLPRWLREAINTPPMSREPNPSADHCFDASGSKSCFLPQSQSSALKTNDVHISNASHSSTYSCRKYGMLKMNKSLEHHARKQDDLIVIDSDTSSEETVSDDHRASMKI >CAK8534854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778134258:778136774:1 gene:gene-LATHSAT_LOCUS4361 transcript:rna-LATHSAT_LOCUS4361 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTSKSIDFVGKNLVSCGCSSNASFHRYNKVRNFHAKVNSKGCGNGARKLVSSKKKNTPQYRVSSMDTAESTLDGGTQAIKDLAKGLNLKTYSETPISPNRLFEVVSDDLQTLNKNLLTIVGAENPVLVSAAEQIFSAGGKRMRPALVFLVSRATAELLALEDLTIKHRRLAEIIEMIHTASLIHDDVLDESDLRRGKDTVHQMFGTRVAVLAGDFMFAQASWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCGVQLDEYLTKSFLKTASLIAASTKGAAVFSGSDTSIAEKMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLRDIIESEFSEIGSLDEAIELVKSCGGIERAQELAKEKADLAIQSLQCLPQSVYRLALEDMVTYNLQRIA >CAK8533378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610826156:610827514:1 gene:gene-LATHSAT_LOCUS3011 transcript:rna-LATHSAT_LOCUS3011 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEETFKIIEVCNIEPFHEPTKPSQSPTSLPLTFFDLLWLRFPPVQRLFFYELTNSPSFFYQTLLPDLKNSLSLTLQLFLPLSGHIVWPIDSPKPIINYVRGDSVSFTVVESNESFEDLSSNHCEASKRHHLIPLLNTSHEKASLISIQVTLFPNKGFCIGITTHHAAFDGKSSTTFMKSWSYISCSNPNLENVTPCFDRSVIEDNYNGISEAYVDALLKHYGPNNKSLKVWEFPGRLKNDAIKRNFEMSPANIETLKNHAKSEMKMNVINLSTFSVTCAYVISCLAKADEPKDEKVSFMYSVDCRTRLEPSISSMYFGNCIAGEKIELETKKLIGKDGFLSALEGINEGLNKVKNGVINGAENWLHDMLNSRESFKLYPTAGSPRFEVYDIDFGFGKPKKVDMASIDKTGAFSLSESKNHNGGIEIGLALDKHEMEAFSTFFVQGLESI >CAK8560976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:66331839:66332751:-1 gene:gene-LATHSAT_LOCUS14608 transcript:rna-LATHSAT_LOCUS14608 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVIGKGTNVKKFDIGDEVYGNIQDFNNTNEKPKQLGTLAQFIVVEEIFVARKPKFLSFEEAASLPLAVQTAIEGFKTGDFKKGETMFVVGGAGGVGTLVLQLAKLLFGASYVVSSCSTPKVKFVKQFGADKVVDYTQTKYEDIDEKFDFLYDTIGDYKKSIVVAKDDGAIVDITWPPSHPRAVYSSLTVCGELLEKLKPYLEREELKAVIDPRGEYSFENVIEAFGYIETGRAWGKVVVPCFRLEDILEDQSTILSEIDTNIQQVNGLAKDLCLK >CAK8531848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:163666520:163669473:-1 gene:gene-LATHSAT_LOCUS1612 transcript:rna-LATHSAT_LOCUS1612 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSKLDDEESVQLCKDRKKFIKQAVEQRTRFATGHIAYVESMKRVSAALRDYIEGDEPREFLLDSVVTPPFTPVKKKTNPRIANGNGNGNGNGNGFVPISAKSFVPSPIEFGVGPNSFTPSPIEFGVGPNSTLRMNYLRPGGNPAVLVEERPPSPEIVRMETYAPMPQYGIDGYFAMQSSPPTNPSIFPYSPPNVRSNIPPPSPQNAQWDFFWNPFSSLDYYGYSSRSSHDQNGMDDEYRGLRQVREEEGIPDLEEDETEHEDYVVKRNVVEERTRNDINPTKEEVLVEDLDDDDEDDDDDGEEEEEEEEVGTDDETEIETETEHDANASQQTHGNASFEVSKNQAAGHIESSRREMEIGKQEPKEEMAGFTVYVNRRPTSMAEVINDLEAQFKTVCNAANDVSVLLEAKKAQYLLTSNEHSASKLLNPVALFRSASSRSSSSRFLVNSSTTREERCEGSKDISKEHCRLSGSHQSTLDKLNEWEKKLYEEVKCGERVRIAYEKKCKQLSNYEVKGEDPSADKTRATIRDLDTQITVSIHSVEAISRRIETLRDEELHPQLLELVQGLEKMWKVMAECHQTQKRTLDEAKILLAGTPSKSHSRRQQSSISMTDPNRLARSASILETELRNWRNTFESWITSQRSYIQALTGWLLRCVRSESDDVSNLPPCSPQRLSNTHPLFGLIVQWSRRLDGVREKAVLDGMDFFAAGMGSLYAHQLRQDSRSSSYRTSTNQNGGNMEMVEVGHVEEEEVMAPEKLAEVAIKVLCAGMSVAISSLADFAYDSAEAYSEVVKQWESVKGQKHNNSSENRT >CAK8533517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630513945:630514739:1 gene:gene-LATHSAT_LOCUS3139 transcript:rna-LATHSAT_LOCUS3139 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLSSSSLVGKAIKLSPSNQDFGVGRVTMRKTVTKKVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAYATNFAPGK >CAK8567355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508368706:508370472:-1 gene:gene-LATHSAT_LOCUS20419 transcript:rna-LATHSAT_LOCUS20419 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMEGGKKRNNVELFFLATLILCLASVPFQILLTHRTQLLYIIAGSFFYQTSNSIIRFFSSAKSPPTDPLFVNTSVSLLHSIVTSTSVVFTLFTQWLNNGLKGMFDHSQLVEGTWPWAFEALSFSCGYFAYDQWDMLHYRLYNGWIPSILVHHLVLLICFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGIRDSRNVIIKLEWFLNWTTFFVARCVSHILITVKLIKDAHKFEKGVELPLALFGMAGMNFLNIGLGIDLFKAFKRERKSQQANQHQHRE >CAK8541140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:72214359:72216669:-1 gene:gene-LATHSAT_LOCUS10085 transcript:rna-LATHSAT_LOCUS10085 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTLSTKIITIIFLSLSTFIAIAFIGNHIFHSSSHLSVTSNWLIDIPNSTTRTQHKTPQRFLSATFADLPAPQWNWEQMDSAPVPRLDGYAIQIHNLFYVFSGYANLDHVHSHVDVFDFRTNKWVDKFDTPKEMAHSHLGVATDGRFIYIVSGQYGPQCRGSISTVFVLDTKTKKWDHLPPLPFPRYAPATQLWRGRLHVMGGGKENRHTPALDHWSLAVKDGKALEKQWRTEIPIPRGGPHRACIVVNDRLYVIGGQEGDFMPKPGSPIFKCSRRHEVVYGDVYMLDDEMKWKVLPPMPKPDSHIECAWVIVNNSIIITGGTTEKHPVTKRMMLIGEVFQFNLDSMKWSVIGKLPYRVKTTLAGFWDGWLYFTSGQRDRGPDNPQPRKVVGETWRTKLSLS >CAK8562754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533413908:533414725:1 gene:gene-LATHSAT_LOCUS16224 transcript:rna-LATHSAT_LOCUS16224 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDCCQFHQAKDGDGWVEYKGSLVFDRPQKVEIRVLSFVRRVKYLTYRNGLYVRSVYSTKQLMVLFVALYVTALGTGGLKSCVPGFGSDQFDATDKQEKK >CAK8543007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565261133:565263004:-1 gene:gene-LATHSAT_LOCUS11797 transcript:rna-LATHSAT_LOCUS11797 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEELLAAHLEQQKIHHDEPVVEDDDDEEDEDDDDDEEDDDVEGLEGDASGRSKQTRSEKKSRKAMLKLGMKPVTGVSRVTVKKSKNILFVISKPDVFKSPTSDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNLSNVGAKPESSGIVPEEEDVDETGVDPKDIELVVTQAGVPRSRAVKALKVANGDIVAAIMELTT >CAK8543481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610579300:610579680:1 gene:gene-LATHSAT_LOCUS12236 transcript:rna-LATHSAT_LOCUS12236 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKTWMRWMEACIFKFHLSIMVNGSPIKDFEVKRGLRQGDPLSPFVFVIVTKGLTCLIKRATALGEFKGFRVGNGTSVEIIQFADVTLIIGGGGWKNMWSIKVILRGFELVSGMGMHFYKSRHI >CAK8574601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3505862:3507568:1 gene:gene-LATHSAT_LOCUS26931 transcript:rna-LATHSAT_LOCUS26931 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSTMSYNSKSNFDELRWVIHIRKTLEEEFEEEDGELCVTIFNVPKLLMASDPDSYVPQQVAIGPYHYWRPELYEMQSYKLAATKRFLKSLQTLKLDKLVDQLTKFEQRVRACYHKYLDLNGETMVWMMIVDASFLLELLQIYAMQEGVTKRVVSSSMSHLVDYAGRKSAHNAMLRDIVMLENQIPLFVLRKLLEFKFSSLEAADEMLIFMFIGLFKQISPFKMIEDFPNIKVSESAHVLDFFYDMIVPKLETENDIAIDVEIHEEEDEQDHKGDDENSKGEASYVKQSFNEIWKILSKLNKGPMQLVKRALVSKPLKVLVKFPWKIITNLPGGKLLKQPIEYLFFSKEKGDEENQENETSSSFINKPPLIEEITIPCVKELMNSGVRFFPTNDGSISSINFDEKTRTFYLPIINLDVNTEVFLRNLVAYESSVGSGPLVITRYTELMNGIIDSEEDAKILREKGIILNHLKSDKEVANMWNGMSKSLRLSRVLFLDKTIEDVNKFYNSRMKVKMWKFMKSYVFGSWKILTFLAAIFLLFLMAVQAFCSVYTCSRFFESTLQQSD >CAK8574602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3505883:3507568:1 gene:gene-LATHSAT_LOCUS26931 transcript:rna-LATHSAT_LOCUS26931-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNSKSNFDELRWVIHIRKTLEEEFEEEDGELCVTIFNVPKLLMASDPDSYVPQQVAIGPYHYWRPELYEMQSYKLAATKRFLKSLQTLKLDKLVDQLTKFEQRVRACYHKYLDLNGETMVWMMIVDASFLLELLQIYAMQEGVTKRVVSSSMSHLVDYAGRKSAHNAMLRDIVMLENQIPLFVLRKLLEFKFSSLEAADEMLIFMFIGLFKQISPFKMIEDFPNIKVSESAHVLDFFYDMIVPKLETENDIAIDVEIHEEEDEQDHKGDDENSKGEASYVKQSFNEIWKILSKLNKGPMQLVKRALVSKPLKVLVKFPWKIITNLPGGKLLKQPIEYLFFSKEKGDEENQENETSSSFINKPPLIEEITIPCVKELMNSGVRFFPTNDGSISSINFDEKTRTFYLPIINLDVNTEVFLRNLVAYESSVGSGPLVITRYTELMNGIIDSEEDAKILREKGIILNHLKSDKEVANMWNGMSKSLRLSRVLFLDKTIEDVNKFYNSRMKVKMWKFMKSYVFGSWKILTFLAAIFLLFLMAVQAFCSVYTCSRFFESTLQQSD >CAK8561862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398880171:398882966:-1 gene:gene-LATHSAT_LOCUS15415 transcript:rna-LATHSAT_LOCUS15415 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRMEHLLSLVICTLLLLKSAEGVAVPITYVQSAVPKGAVCLDGSPPAYHFDKGFEGGIDNWIVHFEGGGWCNNASTCMDKRDTRLGSSKKMDTTLSFSGFFSNGKKFNPDFYNWNRIKVRYCDGSSFTGDVEAVDPATNLHYRGGRIFVAVIEDLLAKGMKNAKNAILSGCSAGGLTSILQCDRFRTLLPAAAKVKCVSDAGYFINAKAVSGAQHIEQFYSQVVQTHAAAKNLPSSCTSRLPPGLCFFPQNVVAQITTPIFFVNAAYDSWQIKNILAPGVADPHGTWRSCKLDIKDCSPNQLTTMQGFRTEFLKAISVVSNSPSKGMFIDGCYSHCQTGIQETWMRNDSPLLANTTIAKAVGDWYYERKGFQQIDCSYPCNPTCHNRVFE >CAK8571712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464578769:464581699:-1 gene:gene-LATHSAT_LOCUS24349 transcript:rna-LATHSAT_LOCUS24349 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVDISSDEEEDLKEGSKNSDLEWIEELLFNSGNESDSDGDSDVVFLHENKPSELKSKSSTLPVKVVDDGDDDDCLVLEGDPENGVTSVDDEDATGSDELVVVGEKGQVACRDYPHARHLCAKFPFSSTSHEKHCSQCHCYVCDTLAPCLKWGAGILSSDHCHANDKTEVWKIQRKDLKRTLSSPLPASTKYGTSLGVIHSQSNKNLPCDITHLSPISVLRNHSDRSSAMPTPSLNCIPQNQVSRPKATYAQFPLNSGRQNQISRPIYTPVSKAINLTIPNGANRARYLGSRSNLPRDRNRPHYVPKQLLGVRNHVIQKEQGRGASSIGPQFLQSPMMSKGGVGSMGGILAANHPSHGSSGFNIHVNGAQQQPVDFHVATGFSSPMNLSIFSHSSSGPGSLSRVNPHSRTHEPLYGQSLSQSIDSQNFHQTCDQGNDATQSGVPSQDTCRPKPHEQNPAITAERISAVGSNWAENISQSTKPLFECSPQQTSGSTNQPPNVEKFSTQFNSTVEPVNESSRTPNLILDFENWLSDQETGPMATDNVLPFELNIPSPDPSPFDDDSTMLSPWW >CAK8563918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639061709:639064286:1 gene:gene-LATHSAT_LOCUS17276 transcript:rna-LATHSAT_LOCUS17276 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTKTIFTFIFFFLILSLPHFTAFADFNGSACLKVSPLKFISSAEEVITVIQQVTSILSRFNGGVFGHSRLSKAVSDCLDLLDLSADQLSWSISASQNPKGKDNSTGNVSSDLRTWLSAVLVNPDTCIEGLEGTIVKGLVSTGLNRVMSLVKNLLDQVLPDELAAATSSDKFPSWVKDNDMKLLQADEVTADAVVAADGSGNYLNVMDAISAAPESSMKRYVIHVKKGVYNENVEIKKKKWNIMMIGDGMDATVISGSRNFIDGWTTFRSATFAVSGRGFIARDISFQNTAGAVKHQAVALRSDSDLSVFYRCGIFGYQDSLYTHTMRQFYRECRITGTVDFIFGDATAVFQNCQILAKQGMPKQKNTITAQGRKDPNQPTGFSFQFCNISADTDLLPSVGTIPTYLGRPWKTYSRTIFMQSYMSDAIRPEGWLEWDGSFALDTLYYGEYMNSGPGAGLSNRVKWSGYHVLNDSSDAVKYTVAQFIEGNLWLPATGVSYIAGLTV >CAK8538198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468666932:468668243:1 gene:gene-LATHSAT_LOCUS7425 transcript:rna-LATHSAT_LOCUS7425 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEALVNSSWELFKQNPGYSVLFYTIILKKAPAAKGMFSFLKDSAGVVDSPKLQAHAEKVFGMVHDSAVQLRVLGKVVLGDATLGAIHIQKGVVDPHFVVVKEALLETIKEASGDKWSEELSVAWEVAYEGLASAIKKAMS >CAK8544120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664360928:664364802:1 gene:gene-LATHSAT_LOCUS12823 transcript:rna-LATHSAT_LOCUS12823 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIPGKIRKRGCSSSASSSSSVIQNYRFKRAILVGKRGGSTTPVPTWKLLSSRSPAAAMRIVESPKYPPSLQSGSKSRQVPVSARKLAATLWEMNEIPSPSVKEMRDNGKMKKEGKSKDRVSTVRSIRSGSLPPHLSDPSHSPPSERMDRSGIGNRHRRTPSNSHRMRITEHHVGPLDSLSNASVMEIEPRSRAQTPASSIVGVKPRLKDVSNALTTSKELLKIISRMWGHEDRPSSSMSLISALHAELERARLQVNQHIQEQRSDQNEVNYVIKCFAEEKAAWKSKEREVVEAAIESVAGELDVERKLRRRLESLNKKLGRELAETKASLLKVVKELETEKRAREIIEKVCDELARDVDEDKSKIEKQRRVSTKACQEVEREKEMMQLTDMLREERAQKKLSVAKYQHEEKNAAVDMLRNQLEAFLGNKQIREKGRSSTHLNDEEIAAYLGRSRLGGNHHTEDKEDDEGEVDNGVECEEESGESDLHSIELNMDNNNKSYKWTHPSESRFDTRNYPIEEEMKGRRSISGRASRISTSLQRSISDVVEWGVRDDEKLLNSGDGIEWESFYELEKQAQRKSHGDEIQSYKSVKGLKDQILAGSRLGSSKGYASPTRQFSQPWPSRDPTNTFQERHATIQSSGQKSRLGEARGDSPNVRKPKR >CAK8569653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7280059:7282475:-1 gene:gene-LATHSAT_LOCUS22481 transcript:rna-LATHSAT_LOCUS22481 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQGPVVCPSVHPKVGGFCSLSMIGPMNVRCVRTEFWGLKEFKRGFLSCHVKIRKSETKVRCSLDSSSNGGGSMADSFDENDEDYVNSSVIEAVEVRSGADGFIIKMRDGRHLRCVHNNPQGSHLPDYSPHPAIVLKMEDETGLLLPIIVLEMPSVLLMAAVRNVPIARPTLYQAVMEMIDKMGYKVRLVRVTRRVDEAYFAQLYLTKVGNEAECRSFDLRPSDAINIAVRCKVPIQVNKCLVYSDGMKVIESGKLSIQLPSFDGRLLTEMDKPNGQPCAETDEFNLLNNMMKAVGEERYNDAALWRDKLNQHRAGKNTKKRS >CAK8542160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:482091756:482094071:-1 gene:gene-LATHSAT_LOCUS11025 transcript:rna-LATHSAT_LOCUS11025 gene_biotype:protein_coding transcript_biotype:protein_coding METKFIKTTTYEDKPGSSKNAGFKTEIDTSVPFESVKDAVHRFGGVGYWKPFHTSHPSKQHCTEGLDTEKLEEQARVLEKELNLKERETFDVLKELDKTRRLVDDLKSKLQKEESEAKLNLEMSACDKKSDVKENQSSPSEVVCPMKECSMPSNVSSPGLILMELKQAKLNLTKTTHDFADVRATVESLNKKLAKERISLEKTRERLTQNRSKISSLENELNQTRLRLQVAKGDAFDNPTIELQKLRFEAENFRKRRESAQSEVLKTMSEIELTKAKIRTAEIRLVAAKKMKKASRAAEAATLVEINALSNSNHRGSPRECTPKREEITLSIDEYITLTRKARDVEEQSKKRVANAMLEVDEAYSSRADILKRVDEATKEAITGKKALEEALEKVMAADIGKLEVEEALRKWRSDNHKRRSSTNNNSTKFKNPGPSDQRRDFTLLDVNGLNLVKDEVKPVLKPTLSIGQILSKKLFRPEEFEGEKTPSEKVSVKRKVSLGQMLGKHNDVDGALFDEQVEKEKENDQKQFSAKRKKFGFARFSLLLSKQHKKKKPMRNLR >CAK8569570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5254364:5254918:-1 gene:gene-LATHSAT_LOCUS22409 transcript:rna-LATHSAT_LOCUS22409 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYRTVMDLLYFLLTLMVVWLMRCRLKSTYMKEFDTMWISLLVVPSEILAVLVNPRTPHVWLVRVVFAFTIYVEPVSVLPQIRYMQNAKMVEPFTGYYFFALDISRFFALAY >CAK8540128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540909630:540911327:1 gene:gene-LATHSAT_LOCUS9161 transcript:rna-LATHSAT_LOCUS9161 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSPPKINIKPEYCREALIEWYRLAKLGDHKECFHKKDLVLKAKQHLLFLGWKVQYINKKYRWKMCYTSPTNGKHYFTLRRACKNCIKGEGYSVNQLSTTLQASPTNLISSTTLPSKKRPRALEETDESNFNKDYEASISNPVKKPIVITTSTSEENEKHGCQSESKFTDLAGNNGRREKVINMSVMEKNSESHGKRGKVLNMSTRERYTLVSWLINNQVLIPDTKVSCHGRNNIVKRGSLSFDGIVCDCCQVIFTITKFEAHAGCTRHRPSTSIMLDDGRSLLECQRDALSLRDQKKDRFVVEENVKQENDSVCSICGLGGNIILCDRCPSSFHIYCLNLDQVPDGDWFCPSFCCKICHQPKSKQECYNLNDNNILVCVQCEQNYHFGCVNNEGIGLWKMDRNAKNKNWFCSVVCGNIFLNLNKLLGKSIKVADNLTWTLMKNTSIVVDDDEGDNDKEFISKEFSQKESKLNAALGVLYESFDPTIDASSGRELIKDVVFSRGSKQRRLNFRGFCNVILEKKRRGDFSGNYKDSWSKGCRDSLRGNQGTISRTWNVSYVDE >CAK8563184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581270510:581272903:1 gene:gene-LATHSAT_LOCUS16618 transcript:rna-LATHSAT_LOCUS16618 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGETFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFYNNEVKYFQEKILDNSDVDQMFDSHEQSGLDYIEVYLLLCQTEDEVGETTDIDEIDVVDEEEEDPETMVDQMVNLFGSGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQCSGEDTSSDYFYNPSQQIESVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMRDIKDRNLRKKVVNAAYALNQPSFMYYREEIKLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIYSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVANEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8572590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550095486:550096691:-1 gene:gene-LATHSAT_LOCUS25143 transcript:rna-LATHSAT_LOCUS25143 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGRGRPKSTVPPPPPSDSIASLKTPQNVSRTTIPSNSNLKAPEFGSKAEKETITSAEKETTPTIEDATREPGIETPQAQPEDQKLWVEIINDNRNPSKGLTMEYVAPKVVNGMIEIAIEQEDIETEIRFWDNALILYVVGGDLSMNMVKNFMQRMWNFVKIPDLYYHDDGYFLLRFNSQKDKETLMMKGPYTIRNMPMILKEWQSGFNLKKDLLRTLPIWVKLPQLPLQLWGAKSLSKIGSAIGKPLVTDECTTNKLRVSYARLLIEVDITQQLTDEIAIRNMEGDVIMQPMKYEWRPTFCETCQKLGYTCEDRWQTQQWKPKPKPPEASKNITPSEQSETEEATWQVGESWKRGTKSVRDKGKSIIVDTNRNISCNNGFEALGVMNDHQGAINLDPC >CAK8543568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620217131:620218093:-1 gene:gene-LATHSAT_LOCUS12316 transcript:rna-LATHSAT_LOCUS12316 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSLPIFAIVVLSIVAIVFIFISYLILVTKCYSNWWHPLRWISILPPSQNEEPFIAFSPRTWNRGVDESVIQEIPTFQFTKGEGGDDRQSVKGCVVCLNSFQEQDMLKVLPNCSHHFHLDCINIWLQTNANCPLCRTSISGNMQFPTNRNIAAPSSSPQDSQLFSNMGSDEDFVVIELWGEGEHRGTMSHHMQQERNESRESIASSLSRTHSRARNMEKEKMVELKPWKCHRVSIMGDECIDVRKKDEQFSIQPIRRSFSLDSASDRKAYLEVQDIIRQNNRHHQNEDRDSEDCNSSRGRRSFFPFRYGRGSKTSLLH >CAK8576445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:479383026:479383772:-1 gene:gene-LATHSAT_LOCUS28632 transcript:rna-LATHSAT_LOCUS28632 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKEASLYTIDLDDSSLNQAYETATAFFAILGEWMIFVLACSISLFFIPLTPSFTLHSATSTVLNVTNEKTFAANLGFEFLAEDSNPIGSTIHYDSLDVSLFHHQEKLSVFSLPRSFYNEPALGSTQEAKFSNVSMKVDEWNVANDDRSHGKSCWFVYLDLFFSANARYEQPMWPVMKDKLEGHCGELKVEMCSSGSSTVVNSLRAPCDVYSDLVTKVRIALFGMLIFLLVTAVAVPLVVEYLVES >CAK8533723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653958618:653961021:-1 gene:gene-LATHSAT_LOCUS3325 transcript:rna-LATHSAT_LOCUS3325 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNTITLIISIAFLIMYSSFEKCSATRINQWRKLKAASSTFNVLDFGAKGDGRADDTKAFENAWAAACKIEASTMIVPSGSVFLVKPISFSGPNCQPNIIFQLDGKIIAPTSSSAWGSGTLQWLEFTKLNKITIKGKGVIDGQGSVWWNGNGNLPKTKPTALRFYGSDGVTVTGITIQNSQQTHLKFDSCTNVQVFDITVSSPGDSPNTDGIHLQNSRDVVIYSSTLACGDDCVSVQTGCSNILVHNVNCGPGHGFSIGSLGKENTKACVSNVTVRDVTIQNTLTGVRIKTWQGGSGSVQNIMFSNIQVSEVQTPITIDQYYCDGGRCHNETSAVAVSSIHYVNVKGTYIKEPIHFACSDSLPCKGITLDTIKLESSKSSNVPFCWEAYGELKTQIVPPLDCLQRGNPSKGGIISSEDSSC >CAK8541580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:261686293:261687453:-1 gene:gene-LATHSAT_LOCUS10490 transcript:rna-LATHSAT_LOCUS10490 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQSLIYNFVARGTVILAEHTDFIGNFPEIALQCLQRLPTTNTKFTYNTDGHTFNYLTHDGFTYCLVAVESFDRHIAMAFLDRIKEDFTKRYSGGKAATAIAKSLNKEFGPKLKEHMQYCVEHPEEVSKLVKVKAQVSEVQEVMMQNIDQILNRQVKIDVLMDKTEKLHNQAQDFRGQTGKLKRKMWFQNMKIKLIVLAIIVVIILIVVLVVT >CAK8540781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20696687:20696947:-1 gene:gene-LATHSAT_LOCUS9751 transcript:rna-LATHSAT_LOCUS9751 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIEDLINILGLEKPNRDCVDIFSWEPTMPLSSSTNSSFSVGSYYKGLSQDMLGSSVVDEVLSGLKKMWQESLPSKVSIFFGKF >CAK8562012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:425025405:425028672:1 gene:gene-LATHSAT_LOCUS15549 transcript:rna-LATHSAT_LOCUS15549 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFISEPNQNDDAEDESMKLRIQLLKELETVIWSAIISTGRAEARLWLCKTLAGFNYVTPCEQRALFINFLEVPKKNHDLASQLLNLMIDKSPQKLGSVLARNSRFLEGFFTGNPKRVLQWFSFSGLEQGIGLRALTKFAFKNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVQRTVENFLDNVSEFWSSIEFSESVKDGDIFLIDRLFFVRYFKDLMYREDSCDVWEVIDDFLEEQPFSCLCEHLLISFEEKDLCYFVELLCKCLDPRVEFRCLDDVSRLFVVVLLKCGVSGSIDLMLLLNAVIAQGRQLLRLLRDEEAKESLAKVNEIVSKISAIPSDGNSLTLIFKNKCKMETVEVVKCLGLQSWVLYYRLSQECRTPESWESVFVYNQIGFRNSDKHVLMIDEDGLLTEEDSSSFDCISSGKVKKKKKRKSRKKRRRAYERDDGNDDKLLDFDSGTQNLDYLSNTKSWLLSTDKYSTAWNSADLPEHLHRLCLSRWIIWLFEK >CAK8575634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:219273765:219274442:-1 gene:gene-LATHSAT_LOCUS27878 transcript:rna-LATHSAT_LOCUS27878 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSFCFIEFASLIGSRFDFDRYGLVLRSSPRQADLILTAVTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDPISYQRRCFTTNHKFDVGHSTHTGNSNKGLFYQPSSISEITSDTFWKYKKIKYPPTNEKVN >CAK8576473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481435468:481435776:1 gene:gene-LATHSAT_LOCUS28656 transcript:rna-LATHSAT_LOCUS28656 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFQKLLVVGMLFIIGNAILSNSMTICNMTREERKSCEPYVTGDSNFRKPSRACCSATAKADLECICSYKDSGLLYLYGIDPKQALELPLKCKLKVSVQCE >CAK8542567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526146407:526146673:-1 gene:gene-LATHSAT_LOCUS11395 transcript:rna-LATHSAT_LOCUS11395 gene_biotype:protein_coding transcript_biotype:protein_coding MRESWLVAGDMNDIAFDREKKGGATICQNKCKKFIDWIDKCGLIDLGAVGARFTWKGPIYNDGQLIYERLDRALFNENCRIYFRDGFV >CAK8566320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406536498:406537117:-1 gene:gene-LATHSAT_LOCUS19457 transcript:rna-LATHSAT_LOCUS19457 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKRPRPPMKRTTSMSEITFDLNTVTPEDDPNNNPLFSPNGPGAGPFGPYPYPHPPTSPQTNGSDQSRLLATVSPRNHRRNSSDMTHTPDFLRSCFLCKRRLVPGRDIFMYKGDSAFCSLECRQQQMNQDEKKDKCCVASKKQVVGSKVTAKSEKIAVATSL >CAK8575988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380358302:380358748:1 gene:gene-LATHSAT_LOCUS28208 transcript:rna-LATHSAT_LOCUS28208 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKDGGAAGADKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8540322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552266243:552266708:1 gene:gene-LATHSAT_LOCUS9336 transcript:rna-LATHSAT_LOCUS9336 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIDQDAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKNLVIFIGTGEHMDEFEVFDVKPFASRLLGMYILVYELRFVSGYLLLCFIRFRLVIV >CAK8570903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:163840549:163843385:1 gene:gene-LATHSAT_LOCUS23614 transcript:rna-LATHSAT_LOCUS23614 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRFSPQKSSNLNPNSNQKPYLRSNDLPSNRFTHTKNLDFSVWVSDNLYKIVSVVLLVVTVAALFFLRNVGDTAALLCFENKARDLEKIEFPRVDWNKITPIVDKASKFANFRSEKWIVVSVSDYPSDALKKLVKLKGWQVVAIGNTRTPADWSLKGAIFLSLDEQANLGFRVVDYLPYDSYVRKNVGYLFAMQHGAKKIFDADDRGEVIDGDLGKHFDVELVGEAARQEVLLQYSHDNPNRSVVNPYVHFGQRSVWPRGLPLDNVGEIGHEEFYTQVFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEPFDIRFDEHAPKVALPQGMMMPLNSFNTMYHSPAFWALMLPVSVSRMASDVLRGYWGQRLLWEIGGYVAVYPPTVHRYDRVEAYPFSEEKDLHVNVGRLIKYLVLWRSDKHRLFEKILDLSYAMAEEGFWTDKDVKLTAAWLQDLLAVGYQQPRLMSLELGRPRANIGHGDQREFIPQKLPSIHLGVEETGTVNYEIANLIRWRKTFGNIVLVMHCSGPVEHTALEWRLLYGRIFRTVVILSEKKDVDLVVQESHLDQAYKYLPKIFDQFSSAEGFLFLQDNTILNYWNLLQADKTKLWITNKVAESWSSVITEDNNADWLSQQASMAQKVVSTMPAHFQVNYKATSNNDKNLLLCSSEVFYVPRRLISDFVELVNLVDNLEIHQKVAIPMFFVSMDSPQNFDPILDTTIYKKNPPTNSSTIYSAKVPAVHPWSVSSEQEFIKLIRIMAEGDPLLMELV >CAK8542121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474943773:474944616:-1 gene:gene-LATHSAT_LOCUS10990 transcript:rna-LATHSAT_LOCUS10990 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTPTTGTPIVRPRSGRTPLQPKNTPADLTLHPPTKLKLKPDQTCFEITLIPHTYKENLPIEIAASAMSPVPPLETSLGEELSAMKKKLERLRTDKEKTEKMLKEREALLEAKVKEMEDKSEIQKNLEIQVDRLFRLKELKYRCMRVSPIRTLREKEHEKIINQAPSPSEVKTEETAAFESVSESDSVREECEEVQSPGSACSQTRTIHTKSDN >CAK8535373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844929494:844930885:-1 gene:gene-LATHSAT_LOCUS4838 transcript:rna-LATHSAT_LOCUS4838 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQIDQEVSLQEDQEETPFSELSKQNSILSLTLDEFQCKSGKSFGSLNMEEFLASIWSSSDEATQSQKNTKTVATEEEQNISQHGNSFSVPPPICKKTVDEVWSEIHKNQPQLQKETNLKRNETLKKQQTLGEMTLEDFFVKAGVVQQQSSSLSFQNHSGNVVSSNMRPLNVASYGLRPSIGMGFSRNGLVTYQMLSQNNNSVLQDRAIGKCQNLPEPSGCNTSKRIIDGPPEVVVERRQRRMLKNRESAARSRARRQAYTVELEAELNLLKEENEKLKQILAEAENKRKQELLQRKNSTKVQKGTEKLRGIRRPISATW >CAK8541181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84297828:84298229:1 gene:gene-LATHSAT_LOCUS10120 transcript:rna-LATHSAT_LOCUS10120 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHWRKLSMKVKLDEDVDDGSKVDMSSAIDELWKRFKSLDVVGKRALKSRVFELVFPTMTSMCPPLEKIKTKGESRRKGKKLVGYVYRDPSYHEYVDQASQSSQRQSQPSQTSKKLKLSQSSQKKSQPSQAS >CAK8578511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634307862:634309307:1 gene:gene-LATHSAT_LOCUS30516 transcript:rna-LATHSAT_LOCUS30516 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDDSPTQTSYRQHLLNENVDEQDPNPGFKSHKKLALLPLIFLIYFEVSGGPYGEEATVKAAGPFFAILCFVIFPFIWSVPEALLTAELATTFPGNGGFVIWANEAFGPFWGSLMGNWKFFCGVINLASYPVLCLDYVKLVFPVLSSGFPHYLSIVVSTCLLSFLNYSGLAIVGYTAVALGVISLLPFLLMSLISLPKIQPGRWLSFGQEGVEKDWTLFFNTVFWNLNFWDSASTLAGEVEEPHKTFPKALFFAGLLTCLGYIIPLLAATGAMPLDQENWVDGHFANVAYLIAGDWLKYWMEIGAVLSIIGLFEAQLSSSAYQLLGMADLGFIPKIFGERSKWFNTPWMGILVSTMISLAMTFFSFTEIISTVNFLYSLGMLLEFASFLKLRRKFPTMKRPYKVPFGFFGLIIMCFVPSVLLVYVMTVATKTVLVTSTFLTLLGIVLYYFMNLCKSKRWIEFNGVGDIMEEEEEDDIVI >CAK8565613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292937042:292937880:-1 gene:gene-LATHSAT_LOCUS18810 transcript:rna-LATHSAT_LOCUS18810 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLANMSFSAPTSSCRMNKIYNLKLTTLSGTRNTTFYNNVKAMATGDDSSLQRAKLPPELKKLFPRYTSFKWAKLLPDLEMALSQSSRRRDRTFRKLLDSDTNVEDPPLEDVYIDHYPWVVPINGKPVTGKFPFLVKEGQDHHTFRFNVPGMTKNDVEVSIEGKVLNVKAAKKATEKINGGQVNDNGELSDEHEDWPANRFRLFFCLGLPKNTEFEKIKAKVKDGVLYITIPKVKTNENVIRIDVQ >CAK8577838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593211649:593213034:1 gene:gene-LATHSAT_LOCUS29907 transcript:rna-LATHSAT_LOCUS29907 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPHLDDDSVSPSPRSDHFQDVPPRVRFMCSFGGKILPRPSDNQLRYVGGDTRIVAVNRSIPFSALVHKLSKLCGMSNITAKYQLPNEDLDALITVTTDEDVENMIDEYDRVSQNENPRAARLRVFLFPEGEDSRANSISSLLNGSTKRENWFMDALNGGVSGLERGRSEASSMLSEVPDYLFGLDNNSEETNQNLRESRPKERHLLQQHDNVSNSDPGSPAPVVSSPFCSTSSVLSVPSIPNLPPVKTKLENPVSDFKENQNETVFQPQLKPNVYQINPAVHYPQPQAQVSHAQEAAYSGHHAQPVPVYYISGSVQPGNMPIQQVHYPFVQQPYHAAMQPQVPIGYHHMVPGSGQVYGAGMRHVAPVQPYSPTAAVARDGLKQQVYQAVPNPGPVPVYHAMAVTGGDEPQRGGTEFGMGRGINPPNN >CAK8576045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:391523371:391527836:-1 gene:gene-LATHSAT_LOCUS28263 transcript:rna-LATHSAT_LOCUS28263 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQVNVIIDLVNEISNISDFRPMVKKQYCNLARRLKLLIPLFEEIRDMKDSIPDHTSKALILFKQALESARELLRFGSEGSKIYMVLERDQIMNKFYDVTARLEQSLDGISYDKLDISDEVKEQVELVLAQFRRAKARVDEPDIKLYEDISSLYNNSSDAATDSAVLSRLADKLQLMGIADLTQESLALHEMVAVSSGDPGARIEKMSMLLKKIKDHVQIENLVKDDTIIAKGVSSGFQGLGKNDMNHQAAVIPSDFKCPISLELMTDPVIVSTGQTYERSCIEKWLQDGHMTCPKTQQSLTSTVLTPNYVLRSLIEQWCEANGIEPPKRPSTSEPSKSASACSPAERSKIENLIQKLTSGGPEDQRLAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSVPDSRTQEHAVTALLNLSIHESNKGSIVSSGAVPGIVHVLKRGSMEARENAAATLFSLSVIDENKVTIGSSGAISPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVIPTLMRLLTEPSGGMVDEALAILAILSSHPEGKSAIGAAEAVPVLVEFIGNGSPRNKENAAAVLVHLCSGDRQYIAQAEELGVMAPLLELAQNGTDRGKRKATQLIERMSRIREQEQHEVQIQAELLPQNDDIQPPPLIINLDIDT >CAK8578590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640513940:640514772:1 gene:gene-LATHSAT_LOCUS30587 transcript:rna-LATHSAT_LOCUS30587 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQDVKLLSFWMSPFAKRVEWGLKLKGIDYEHVEDDIFNKSPLLLELNPVYKKVPVLVHGQKSIAESLIILEYIDETWKQSPLLPHHPYEKALARFWANFSDKKLWEASFIAMCTSGEEQEKAVKLAREAIEKIEEEIKGKKFFGGDNIGYLDLALGWISYWLPIYEEVGCMQIIDPLKCSAITAWMPNFLSHPVIKDSLTSRNEMIVFFQARRKQWSEAFHGWFDV >CAK8536172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914170168:914175838:1 gene:gene-LATHSAT_LOCUS5565 transcript:rna-LATHSAT_LOCUS5565 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHQKRGGGLVPLSPSQTPRSTDKPARDLRSADSNSSSYSKYDKEKGVNVQVLVRCRPMNEDEIRLHTPVVISCNEGRREVAAVQSIANKQIDRTFVFDKVFGPTSQQKELYDQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGAIKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEISDLLAPEETTKFVDEKSKKPIALMEDGKGGVLVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLHEEAEKKAMTEKIERMELDADSKDKNLVELQELYNSQQLLTAELSGKLEKTESSLEETEQTLFDLEERHKQANATIKEKEFLISNLLKSEKELVERAIELRAELENAASDVSNLFSKIERKDKIEEGNRVLIQKFQSQLAQQLEALHKTVSASVMHQEQQLKGMEEDMQSFVSTKSEATEDLRIRVGELKNMYGSGIKALDNLAEELKGNNQLTYEDLKSEVAKHSSALEDLFKEIALEADSLLNDLQNSLHKQEANLTAYAHQQREAHVRAVETTRTVSKITMNFFETIDRHASSLTQIVEETQFVNDQKLSELEKKFEECTAYEEKQLLEKVAEMLASSNARKKKLVQMAVNDLRESANCRTSKLQQEALTMQDSTSSVKAEWMIHVEKTETNYHEDTSAVESGKKDLAEVLQICLNKAEVGSQQWRNAQESLLSLEKRNAASVDTIVRGGMEANQALRARFSSAVSTTVEDAGIANKDINSSIDHSLQLDHEACGNLNSMITPCCGDLRELKGGHYHRIVEITENAGKCLLNEYTVDEPSCSTPTRRLFNLPSVSSIEELRTPSFEELLKAFWDAKSQKQANGDVKHIGSYEATTPTQSVRDSRVPLTAIN >CAK8543690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631727388:631734151:1 gene:gene-LATHSAT_LOCUS12433 transcript:rna-LATHSAT_LOCUS12433 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGGTFKSGSSSIWRNNDTNEIFSNSFHQEDDEEALKWVAIQKLPTFARMRKGLLTSLQGEAVEIDVKKLGPQERKDLLERLVKIDEDDNEKFLLKLKDRIDRVGFDLPTIEVRFKHLSIEAEAHVGSKSLPTLTNFMVNIVEVLLNSVHVLPSRKQHLSILKDVSGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGKVTYNGHEMNEFVPQRTSAYVDQNDLHVGEMTVRETLAFSARVQGVGSRYDMLAEISRKEKLANIKPDSDIDVYMKAVATEGQSANLITDYVLRILGLEICADTFVGNAMLRGISGGQRKRVTTGEMLVGPNKALFMDEISTGLDSSTTFQIVNSMKHYVHIMKGTAVISLLQPPPETYNLFDDIILISDSHIIYQGPSDHVLEFFESLGFKCPSRKGVADFLQEVTSKKDQEQYWEHKDQPYRFVTSEEFSEAFKSFHVGIRLSDELGTEFDRSKSHPAALTTKKYGVGKLELLKACISREYLLVKRNSFVYIFKLSQVFITAVVAMTIFLQTEMHRDSVAHGGIYVGALFYAVVVVMFNGMAEISMVVSRLPVFFKQRGCLFFPPWTYALPGWILKLPLTFVEVAVWVFLTYYVIGFDPNVERFFRQYLVLVLVHQMSSGLFKLLAAVGRDMTVALTFGTFILAILVCMSGFVLSKDGIKKGWIWGFWISPLMYAQNAIVNNEFLGDNWKHVLPNSTEPLGIEVLKSRGFFTESYWYWIGVGALIGYTLLFNIGYILALAFLKPFGKHQTVIQDESQSRKGTNVLKYIKDTGTTGLSTKRGMVLPFEPHSITFDEVTYSVDMPQEMRNRGVVEDKLVLLNGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYISGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVHESLLYSAWLRLSPEINAEARKKFIEEIMELVELKQLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGPLGHGSSNLISYFEGIQGVSKIKDGYNPATWMLEVTSLSKQVDLEIDFAEVYKNSELYRRNKALIKELSTAAPGSVDLYFHSQYSRSFFIQCMACLWKQHRSYWYNPLYNAIRFLYSTAVAVLLGTMFWDLGPNIDKVQDLFNALGSMYAAVLVVGFKNANSVQPVVAVERTVFYRERAAGMYSAFPYAFAQVLIELPYVFVQAMVYGLIVYAMIGFEWSVAKFFWHIFYMYLAFLYYTFFGMMSVAVTPNSHISSLVSSMFYSVWNLFSGFIIPRPKIPVWWRWYSWANPVAWSLYGLLVSQYGDVKQNIESSDGGVTTVEEFLRDYFGFKHDFLGVVAAVNIAFPIVFAFIFAISIKMFNFQKR >CAK8540032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536438744:536449140:1 gene:gene-LATHSAT_LOCUS9071 transcript:rna-LATHSAT_LOCUS9071 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGTEDEEKFLAAGIAGLQQNSFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSKDKLPDIGSEYEGDADTVSDAVEFVLQNFTEMNKLWVRMQHQGPSREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDEIAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQPTVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMATAGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKNLSGKGKIEDKKATKQIVALLSAPLEKYNDIMTALKLSNYPRVMEFLDIPTNKVMATVIIQSIMKNGTRISTSDKVEALFELIKGLIKDSDVSPNEELDEDDFAEEQNSVARLIQMLYNEDPEEMFKIIDTVRKHILTGGPKRLPFTVPPLMFSSLKLVRQLQGHGQEENPFGDDSSASPKKIFQLLNQTIETLSGVLTPELALQLCLQCAEAANDCELEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDHDNMKDGERVLLCLKRALRIANAAQQMANAARGSTGSVMLFIEILNKYLYFFEKGNPQVTVAAIQGLIELIMNEMQSDTATPDPSADAFLATTMRYIQFQKQKGGTVGEKYEPIKV >CAK8577162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:541775877:541776200:-1 gene:gene-LATHSAT_LOCUS29291 transcript:rna-LATHSAT_LOCUS29291 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEKHATHTRVIMADPPDCCSKPKNKLTSEIILVH >CAK8579278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689533323:689533841:1 gene:gene-LATHSAT_LOCUS31234 transcript:rna-LATHSAT_LOCUS31234-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFALTSAIPNKRKPHKPCKSLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAAVTTDAFEGEAYFRLGVDINFFECW >CAK8579277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689533287:689533841:1 gene:gene-LATHSAT_LOCUS31234 transcript:rna-LATHSAT_LOCUS31234 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPFLFVFVMLFALTSAIPNKRKPHKPCKSLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAAVTTDAFEGEAYFRLGVDINFFECW >CAK8536230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919546399:919547166:1 gene:gene-LATHSAT_LOCUS5620 transcript:rna-LATHSAT_LOCUS5620 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLDVKMDKFSFASVISACASKSSLELGEQVFAKAITLGLESNQIISTSLVDFYCKCGLVEMGRKVFDGMVKTDEVSWNTMLMGYATNGYGIEALTLFNEMRYCDVRPSAITFTGVLSACDHCGLVEEGRNLFHTMKHVYNINPGIEHYSCMVDLFARAGCFGEAMYLIEEMSFQADANMWLSVLRGCISYGNKTIGKMAAEQIIQLDPENPGAYIQLSNILATSEDWEGSAKVRELMRDKNVLKIPGCSWADC >CAK8536231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919546420:919547166:1 gene:gene-LATHSAT_LOCUS5620 transcript:rna-LATHSAT_LOCUS5620-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSFASVISACASKSSLELGEQVFAKAITLGLESNQIISTSLVDFYCKCGLVEMGRKVFDGMVKTDEVSWNTMLMGYATNGYGIEALTLFNEMRYCDVRPSAITFTGVLSACDHCGLVEEGRNLFHTMKHVYNINPGIEHYSCMVDLFARAGCFGEAMYLIEEMSFQADANMWLSVLRGCISYGNKTIGKMAAEQIIQLDPENPGAYIQLSNILATSEDWEGSAKVRELMRDKNVLKIPGCSWADC >CAK8571563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:440246347:440247036:-1 gene:gene-LATHSAT_LOCUS24211 transcript:rna-LATHSAT_LOCUS24211 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTIPSPLKAITLTHIRYERGDKLGHFLAWISLVPVFISFGGFISHFIFRRELQGIFFFLGLIVSQFINEFIKTTVQQARPETCALLEMCDSHGWPSSHCQYMFFFATYLTLLTSKGIGFSKNIGLNLLTWSLAFLTMYSRVYLGYHTVAQVLAGTGLGVFLGAGWFWIVNNLLFPFYPVIEESAFGRWFYVKDTSHIPNVLKFEYDQARAFRKKILSESESESKSD >CAK8564210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657673204:657682392:-1 gene:gene-LATHSAT_LOCUS17533 transcript:rna-LATHSAT_LOCUS17533 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFPTVLHRRKLSSTTTIPLELPLSHPIYTIWGSNTDVGKTLVSTGIAASYLLSSTSPVKFHYIKPLQTGFPSDSDSRLVFNKLHQLRNRENNPRISLSASKLVLNVSPAVKDSAKEIDRFEGEEGSTSSELICKTLYAWDEAVSPHLAAEREGFVVEDSTVLETLQLCFREVVESGVDKERSEVFCIVETAGGVASPGSSGSLQCDLYRPFRIPAVLVGDGRLGGISGTISAYESLTLRGYDVVAIIFEDHGLLNEDPLLSYVRNKVPVLVLPTVPKDPSNDLMEWFEGSQHVFSNLKEIMLSAYLERIKKLHEMPSKARDIIWWPFTQHKLVPDGGVTVIDSRCGENFSAFKDKKTEVIAPLFDACASWWTQGPDATLQAELAREMGYTAARFGHVMFPENIHEPALNCAELLLQGVGKGWASRVFFSDNGSTAIEVALKMAFRKFSLEHGLIPDCHEDATDEKSTELMVLALQRSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLSPPSVSMYKNKWNIFVPEDFQSENLKHESIIFDSRDEIFHKRRDESELAPVYSSYISKVLSEFRGSSKIAALIIEPVIQGSGGMHMVDPLFQRILVNECRSRKIPVIFDEVFTGFWRLGVETAVDLIHCEPDIACFGKLMTGGMIPLAATLATNAVFDSFIGDSKLKALLHGHSYTAHAMGCMAAVRSIQWFKDPFSNPNIASEGRLLRELWNDKLVLKISSHSAVQRVVVLGTLFALELKAEGNNAGYASLFARPLLQKLREDGVYMRPLGNVIYILSGPCTSPEICNQLLVKLYERLEEFKGCKN >CAK8561704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:360635515:360636879:-1 gene:gene-LATHSAT_LOCUS15269 transcript:rna-LATHSAT_LOCUS15269 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHESKPVLTLDFHPLSATLATAGANFDIKLWSIKPSGSPKKLPVVTYLNSLSYHSSAVNVIRFSPSGELLASGSDGGELLIWKLHSMDTGQTWKVLKMLRSHIKDIMDLEWSADGAYMISGSVDNSCIIWDVNKGTNLQTLDTHAHYVQGVAWDPLGKYVASLSSDQTCRVYISKPHKSKGVEKINYACKHVISKAEQPLLKNSKSTKYHLFHDETLPSFFRRLAWFPDGSFLLVPAGSYKIGTAAESVNAAYIFSRKDLSRPAIQIPCASKAVVAVRFCPIFFNLKGTNSDGLFKLPYRIVFAVATLNSLYIYDTESTSPIAIFAGLHYAPVTDITWSSDAHYLAFSSQDGFCSLVEFEDGELGSPYCLSKGNVTEQDSKNTLQTANDTVLPTGSVGAVVAESRKIEVEEKLMTWSLKQLKQNIKLMTWSLKELQQIVGNNHPI >CAK8572262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525176993:525180170:1 gene:gene-LATHSAT_LOCUS24846 transcript:rna-LATHSAT_LOCUS24846 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNVNSTNLLTSLFEHQTVTPVTVNASPVNGSLVTTTDGSHSNLHSVTENKKKRGRPRKYESPQEGLAARKAAAAAAAAAAAASPPAATSFSSLNLNKQKKFHSSSLGNLRENFNLHIVTVPAGEDIGQNIMSLMQKHSRCEMCILSASGSISSATLRQPATAGGNITYEGRFDIISLTGSYVRNENEGRSGGLSVCLAHSDGQLVGGSIAGPLKAASQVQVIAGTFSIEPKDAGAGIKGNTSTSKLPSPVGESTPSLGSRPALNSSNGNTIPGNKEHQAIGGGHFMSQQYGVNVVPFHPLDWGSRPDSRNAGFELIGRTGHEAHQSPDNGSYS >CAK8574484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569396:569990:-1 gene:gene-LATHSAT_LOCUS26828 transcript:rna-LATHSAT_LOCUS26828 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYLGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVFAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDTSEALAVEWVVDYLGVTKNNTTTSP >CAK8567385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509911730:509913754:-1 gene:gene-LATHSAT_LOCUS20445 transcript:rna-LATHSAT_LOCUS20445 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNLQVRIMMKRKRSPKSLPPPPSTPPTPQTQSRHSTNLSKSRLWIPLNLTRQELSLPLTFPTGQTFRWKNTAPFQYTGVVGSHLVSLKHLQNGDVCYSLHSQSNDDAETALLDFLNADVSLADTWEVFSANDERFAELAQHLGGARVLRQDPFECLIQFMCSSNNHISRITKMVDYVSSLGTYLGHVEGFDFHAFPTLHQLSLVSEQQLRDAGFGYRAKYIVGTVNALQSKPEGGEEWLHSLRKLDLQDVISQLSMLPGVGPKVAACIALYSLDQHHAIPVDVHVWRIAQKYLLPELAGSKLTLKLCNRVAEAFVTKYGKYAGWAQAVLFIAELPSQKAILPLHLRATKQPKPTKIENSEEESE >CAK8575412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:113241707:113243840:-1 gene:gene-LATHSAT_LOCUS27681 transcript:rna-LATHSAT_LOCUS27681 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNQSRYVKLSKNQTTVEDIIPGELNQPIEVPQLAVRKCPECRQPLPESYAPPADEPWMTGIFGCIEDRESCLTGLFCPCVLFGRNVESLKDDTPWTRPCICHAIFVEGGISLALATVIATSFISGIDPGTTCLICEGLFFTWWMCGIHTGQVRQTLQKKYHLKNSPCNACCVHCCLHWCALCQEHREMKGRLSDNSFSEMTIVNPPPIQEMKSTNEKENPETSSANNNEHVTLEMQAI >CAK8537379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:316252388:316252714:1 gene:gene-LATHSAT_LOCUS6673 transcript:rna-LATHSAT_LOCUS6673 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSVLSDRLRVNGSLARREIKDLMARGLIRLVSAHASQQIYTRATNT >CAK8530937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65277012:65277320:1 gene:gene-LATHSAT_LOCUS764 transcript:rna-LATHSAT_LOCUS764 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSKLASQKAVVIFSKSSCGMSHAIKRLFYEQGVGPAIYELDEDKRGKEMEWALIRLGCNPSVPAVFIGGRFVGSANIVMTLHLNGSLKKMLRDAGALWL >CAK8543166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584767218:584769265:-1 gene:gene-LATHSAT_LOCUS11942 transcript:rna-LATHSAT_LOCUS11942 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRKNQGISNHQVGHWGSSSYNGKPPLDNRFPTVPSWEKKFCASVGSVPWKKVIEVKRYMHMHSNVVNWEDSAVKEAFDNAKNRFWAEINGFHCDIPLPDPNMYIDDVDWDASVDPELYLDLDRELEASRSILEKSQETVILGSSLLLNQSLSGPGWGIEPTGWGDEEEVTKPPEPNPACGWGWGTQEEVTKPPEPSYAANGWGSNYHENNETNSWEQNDSQRWIRKEQYGGDLHDKYQASNGWNRNWGAWEGNNRRRENNISWSKNQGYHHDNNEYRGRRNGGRGGGGGGRRGNYTYAPKVAATPSAW >CAK8530545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29050664:29055898:-1 gene:gene-LATHSAT_LOCUS403 transcript:rna-LATHSAT_LOCUS403 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSLGIRSASYGSLEKQLQNGLSPIQTGRKQGKMVKEKERLFHWICKFAGRKKVGMLFLCVISAAVFVWVLYVGKGEDSQERNGVQNISVNESMSIGDSPSIISTAKAIASNFLLPPSYFLGYNLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVDEAIKLMPTSPSPSPVLKNLTFTYEENLSRDGEFGGSDFGGYPTLKQRNDSFDIRESMCVHCGFVRGIKPGRNTGFDMDEDDILDMEQCRGIVVASAVFGNFDEINEPKNVSEYSKQTVCFLMFVDEETEKYLTSSGRLGTSKKIGLWRIIVARNLPYTDARRTGKIPKLLLHRMAPNARYSIWLDGKLELVVDPYQILERFLWRTNATFAISKHYRRFDVFVEAEANKAAAKYDNASIDFQVNFYKNEGLTPYSEAKLPLISDVPEGCVIIREHVPISNLFTCLWFSEVDRFTSRDQISFSTVRDKVLSQVDFHFHMFLDCERRNFVVQKYHRGVLGRIAAPVVVALNPPLPSLLSPPPPLHMFETTQEKVVIPITRRGSGRRGKDKRPGSKRHRKVVAGNRDTEAN >CAK8530886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59515447:59516019:-1 gene:gene-LATHSAT_LOCUS717 transcript:rna-LATHSAT_LOCUS717 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNCNLELCLFPQHVSHSNHNHNHNSRMIEEEEDSDQSSMQNQQQPLTIFYDGNMCVTDVTEFQAKSILMMANKNVQETLKTSTPTVVQSPHQSCSPGPALSMKRSLQRFLQKRKNRIQQASPYNH >CAK8544611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695517134:695518226:-1 gene:gene-LATHSAT_LOCUS13271 transcript:rna-LATHSAT_LOCUS13271 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPENGLGKLRKHNAFAARSSSGKELEAGSVRSYGEEPSEPATRVTRSIMIVKPPGYQSGSPPASPAGSVTPVSPFSGKELENHFGFEEGRHRMRSRRKTKTDQALLLLSMCEK >CAK8544612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695517141:695518226:-1 gene:gene-LATHSAT_LOCUS13271 transcript:rna-LATHSAT_LOCUS13271-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPENGLGKLRKHNAFAARSSSGKELEAGSVRSYGEEPSEPATRVTRSIMIVKPPGYQSGSPPASPAGSVTPVSPFSGTRESFRFRRRSASDAFEKKNQDRSSPSSPFDV >CAK8537990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:454418713:454425130:-1 gene:gene-LATHSAT_LOCUS7234 transcript:rna-LATHSAT_LOCUS7234 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGLFPVDPLSGEDNYYIFKTGTYKVGRKGCDVIITKDKGVSRVHAEIVVNSMSMSNPLPNVQVRDCSKYGTFVSKNVGLKKKVHELPNKETALHDGDLVSFGTGSATYKFCHVPLILFICTSNKVDRSLAKKISSIGASIAHILNEECTHVLVDQLMPLKKDLVDAVVAKKSCVLKTWLELFAEKNISNEIPSCHSHIPTVSVEGASIKVADPKVRENCLKGYTFVLESVDLYKFGDQLKFLLEVAGAKTISFQEFSSNSQGSDYGDDNRMVCVTPEGAACKPDFVKLLSSLQKVNEMGIINAALSGHLDPSILKSPCVLISSSCSTDETIVADSDTEVETATSPIASEALCGGNNVKYVKTEEINDDDDGDDSSASLKRKDERTEPTLANISTSLDEIKRAKADISLDTSIRSDTHATSFKNGTGGIEVKKDRVDDYGSGNSDIVFSQNLVVRDINTLTNRVSAPNSSVPNFKRFRKPQTQSGNSFNNLVPFAKYPYKDSGYGNDETAEYVKEEKRRKQREAVADDLFNNQKAKKRGTAGSIRGMLTSTR >CAK8576195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:422011613:422013282:-1 gene:gene-LATHSAT_LOCUS28401 transcript:rna-LATHSAT_LOCUS28401 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIEGSPGSSMHGVTGREQTFLSSVASPMVPTDTTAKFPLPVDSEHKSKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADVGNAGVASVSGSIFSRLMMGAVCDLLGPRYGCAFLIMLTAPTVFCMSFVEDAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLGALQKKGNVAKDKFTKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANLVARPFGGYASDVAARLFGMRGRLWTLWILQTLGGIFCIWLGRANTLPIAVLSMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSSSKFSTSTGLSLMGAMIVACTLPVSLVHFPQWGGMFFPASKEISEEHYYTSEWNEDEVQKGLHQSSLRFAENSRSERGKRVASAATPPNTTPGH >CAK8532146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:212861559:212864652:-1 gene:gene-LATHSAT_LOCUS1879 transcript:rna-LATHSAT_LOCUS1879 gene_biotype:protein_coding transcript_biotype:protein_coding MEANFGFCFFLLVLLVPFVCAGRAAMSTTSTAAGGAGDGSIQKLDVQKHLRNLNRPPVKSIKSPDGDIIDCVHVSHQPAFDHPDLKNHKIQMRPNFHPERNTFGESKVSSNSKPITQLWHKSGRCSEGTIPIRRTKKDDILRASSVQNFGKKKQKSTPQPKPAKPLPDLFTQSGHQHAIAYVEGGNFYGAKATINVWDPKIQQPNEFSLSQIWILAGSFNQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNDIALGASISPLSNYGSSQYDISLLVWKDPKEGNWWMQFGNDHVLGYWPAPLFSYLTESASMIEWGGEVVNSESDGQHTSTQMGSGHFPDEGFGKASYFKNIQVVDGDNKLRAPKDLGTYTEKENCYNVKTGNAGDWGTYFYYGGPGRNPNCQ >CAK8575793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:327031602:327032380:-1 gene:gene-LATHSAT_LOCUS28028 transcript:rna-LATHSAT_LOCUS28028 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMANANALRWIDTIPVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGIRHLPITALVRATYYRLGSLFAEWGSKWSVVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFCVPCSHVITACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEY >CAK8577909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596882348:596884100:-1 gene:gene-LATHSAT_LOCUS29972 transcript:rna-LATHSAT_LOCUS29972 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSLNILRTTSLCSFNTATKPISSNHLTLLFNTNFTFTPPNVSIRQPKRHFRAGIVAMASQGPGPVHKSEQEWQAILSPEQFRILRQKGTEYPGTGEYDKFFEEGVYSCAGCKTPLYKSTTKFNSGCGWPAFYEGLPGAINRHADPDGMRIEITCAACGGHLGHVFKGEGFSTPTNERHCVNSISLKFAPANS >CAK8572780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562396152:562400684:-1 gene:gene-LATHSAT_LOCUS25307 transcript:rna-LATHSAT_LOCUS25307 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLSNILGNDLKCESYFIFSGETDLGNNVRTFLYFIALAYCFIGLSAITARFFQSMENVVKHTRKVVVIDPVTKAEIVTHEKVWNYTIADISLLAFGTSFPQISLATIDAIQNLGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVIPKAGELKKIADLGVWIVELFWSFWAYFWLYIILEVWTPNVITLWEALLTVLQYGLLLIHAYAQDKRWPYISLPIARDERPVDWVPEETPKQKSQEIVECSEIFNFIEENRDTVDIFSIHSENPRDGSYIRVPQIEDAENSNKVMETELEDTGLLTIWRQQFVDALTVESQESKKMNNIYIRIAKIFWQLLLLPWRFMFAFVPPCHIAHGWISFICSLLFISGIAYIVTKITDLISCVTGINAYVIAFTALASGTSWPDLVASKIAAERQKTADSAIANITCSNSVNIYVGIGVPWLIDTLYNYIAYREPLRIQNAGGLSFSLIVFFATSVGCISVLVARRIAFGAELGGPRLWAWITCAFFMLLWLIFVVLSSLKVSGFF >CAK8568936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:656808689:656809322:-1 gene:gene-LATHSAT_LOCUS21843 transcript:rna-LATHSAT_LOCUS21843 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTNNGVVESVEDVKETVRSFYNDKYVKPESKRPLLEGCSFNSLSEADVDYLEALYSKFEIKAAVWSCDGTKKLGSDGFNFVFIKKCWIILKEDIIWFVKYFHPHAYLHKAVTSSFLTLIPKDRGGLFQEIETSSMAEDQDRGEICFKKLRPVSWLKTRIEGKFYPFLSKIT >CAK8561452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:223719859:223722961:1 gene:gene-LATHSAT_LOCUS15042 transcript:rna-LATHSAT_LOCUS15042 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPHNPTAHESDPQLPLIRIHHPSSPRHQSPATPTPTAGARRKIGVAVDLSDESAYAVRWAVQHYIRPGDAVILLHVSPTNVLFGADWGSIDLTINTDPISEEENINDVNNNKNNNLNETPTPKRKLVEDYDAFTATKSADLAKPLREAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDAAEAVVAVKEGDEGEAVIKPLSVADEHKKED >CAK8561453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:223719859:223721208:1 gene:gene-LATHSAT_LOCUS15042 transcript:rna-LATHSAT_LOCUS15042-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPHNPTAHESDPQLPLIRIHHPSSPRHQSPATPTPTAGARRKIGVAVDLSDESAYAVRWAVQHYIRPGDAVILLHVSPTNVLFGADWGSIDLTINTDPISEEENINDVNNNKNNNLNETPTPKRKLVEDYDAFTATKSADLAKPLREAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDAAEAVVAVKEGDEGEAVIKPLSVADEHKKGLSFDSRDLGNASI >CAK8578182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611324408:611325762:1 gene:gene-LATHSAT_LOCUS30217 transcript:rna-LATHSAT_LOCUS30217-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHPRYFGRNLRENLVSKLMKDVEGTCSGRHGFVVAVTGIENIGKGIIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTV >CAK8578181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611324378:611325762:1 gene:gene-LATHSAT_LOCUS30217 transcript:rna-LATHSAT_LOCUS30217 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRYFGRNLRENLVSKLMKDVEGTCSGRHGFVVAVTGIENIGKGIIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTV >CAK8537031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64289249:64292054:-1 gene:gene-LATHSAT_LOCUS6350 transcript:rna-LATHSAT_LOCUS6350 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCCRKSLQIASSSVKTLISRRSPLPSSSSSNPNKFNASASSFQASPHKRSFSNSWLPVQLAGAQVSLTPLHSATASALFTSLLSLHNNKWGCLSEGFATTL >CAK8562652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:521653309:521658555:-1 gene:gene-LATHSAT_LOCUS16131 transcript:rna-LATHSAT_LOCUS16131 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKKNNEVSEEAKEKISERLSSFENLWFPRAQQPTATLPSQRKSIFLDLLSRDTALFLERYGSNLTCNELTEFDSMKHDYEINWHVTRLRSLLSPTTEELRKRSVRAKNRRRAYLDRLMIGGQYFSEEAMRDREPYLHHEYVGKFQDRVGRAMARPGERWSDTLLRRCEEAAIVAKIRGEQQRIGVPQRDWIGNEGFQEEEKEEEEEEEEEEEEEDVEEKETVERRLPQPNVTDNAASVPARARQDPTLSSEELEDRMNQFTYIMQQKFLVGEDHEHVDYSKIDNDETLDDHWQREANVDAEERYFADD >CAK8576726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509516536:509518878:-1 gene:gene-LATHSAT_LOCUS28899 transcript:rna-LATHSAT_LOCUS28899 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRNFIPPPLVFFIFFLFFFSFPTNHVLSAENELPKTFIFRVNSYSKPSIFPTHYHWYTAEFTEQTHILHVYDTVFHGFSAVLTRQQAASVGNHPSVLAVFEDRRRQLHTTRSPQFLGLRNQRGLWSESDYGSDVIVGVFDTGIWPERRSFSDVNLGPIPRRWKGVCESGEKFSPRNCNKKLIGARFFSKGHEAGAGFSGPLNPINETVEFRSPRDADGHGTHTASTAAGRYAFQANMSGYASGIAKGVAPKARLAVYKVCWKNSGCFDSDILAAFDAAVTDGVDVISISIGGGDGIASPYYLDPIAIGSYGAVSRGVFVSSSAGNDGPSGMSVTNLAPWLTTVGAGTIDRDFPAQISIGDGRKFAGVSLYSGEALKGKMYQLVYPGKSGILGDSLCMENSLDPKQVNGKIVVCDRGSSPRVAKGLVVKKAGGVGMILANGISNGEGLVGDAHLLPACAVGSNEGDIIKAYISSSANPTATIDFKGTILGIKPAPVLASFSARGPNGLNPQLLKPDLIAPGVNILAAWSDAVGPTGLDSDTRRTEFNILSGTSMAAPHVSGAAALLKSAHPDWSPAAVRSAMMTTASVLDNRNLPMLDEATGNNSTPYDFGSGHLNLGNAMDPGLIYDITNTDYVNFLCAIGYEPKVIQVITRMPENCPSKKPLPENLNYPSFVAMFPVASRKLASKIFIRTVTNVGPVNSVYRVSVESQIKGVTVTVKPSRLVFSEAVKKRSYVVTVAADTGNLNLDPSGAVFGSLTWTDGKHVVRSPIVVTQIEPL >CAK8530722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44509759:44511607:-1 gene:gene-LATHSAT_LOCUS566 transcript:rna-LATHSAT_LOCUS566 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIQKLYDICKASLSTEGPISEEALEKVRAVLDGLKPSHVGLEHEAQLARGWKSSVNGRNGKKGRDETHHESEPPIKYIHLHECDKFSIGIFCMSPGSLIPLHDHPRMTVLSKVLYGSLLVKAFDWIDLPASYNLSQGAARPAKHVRDCQMTAPCDTTILHPNRGGNLHCFKAVTPCALFDILSPPYSLEEEENGRNCSYFLKSPKTDLPVLEELRGMNPSEVTWLEVVPPPNDLVIGKGRYKGPIIRR >CAK8562860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548968329:548968991:-1 gene:gene-LATHSAT_LOCUS16323 transcript:rna-LATHSAT_LOCUS16323 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPRNNNNAPFSISTLFIFFVVISIFSLAFFFSSSTTTTTISHRSLPLQPLTSSGINVYVADLPKSLNYGLLNRYWSFDADSRIGSDADREIRSTNLGKTLEIPPYPENPIFKQYSVEYWIMGDLMTPPRLRSRSFAKRVLDARDADVVFVPFFATLSAELQLGTAKGVFRKKAGNEDYQRQREVIDFVKKTQAWNRSGGRDHLFVITDMHVSSSRNL >CAK8537584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407025681:407026170:1 gene:gene-LATHSAT_LOCUS6868 transcript:rna-LATHSAT_LOCUS6868 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8537585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407025681:407025824:1 gene:gene-LATHSAT_LOCUS6868 transcript:rna-LATHSAT_LOCUS6868-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8535296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:835761704:835763118:1 gene:gene-LATHSAT_LOCUS4767 transcript:rna-LATHSAT_LOCUS4767 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMVNSARYGLRDNESL >CAK8575504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:142378822:142380179:1 gene:gene-LATHSAT_LOCUS27762 transcript:rna-LATHSAT_LOCUS27762 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSYFMVLIICLVAIIGSSHAQLQLGYYGKSCPKAEEIVFNYVHQHIPNAPSLAAALIRLHFHDCFVRGCDASVLVNSTQTKEAEKDSIPNLTLRGFDFINTIKSLIEAECPGVVSCADILTLSARDSIHAIGGPYWNVPTGRRDGTISKSADVFISLPAPFHNLTTLLTLFGNVGLDANDLVLLSGAHTIGVSHCSSISTRLYNFTGKGDQDPDLDSEYATNLKKFKCKNINDQTTLNEMDPGSRNTFDIGYFKQVVKRRGLFQSDAALLKSSITRSIVARHLQSNEKFFTEFAKSMEKMGRINVKIGTEGEIRKHCAFIN >CAK8576474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481569949:481570263:1 gene:gene-LATHSAT_LOCUS28657 transcript:rna-LATHSAT_LOCUS28657 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYKKVMSVVMLLVIANAVILVNGQSLCHMTKQGLKACEPYVNGDNSADDQTPSTPCCSAIAKADLQCLCRYKDSGLLSFYGVDPEQAMELPVKCKVMDSFHC >CAK8532281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:237780372:237783618:-1 gene:gene-LATHSAT_LOCUS2007 transcript:rna-LATHSAT_LOCUS2007 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGLPPGFRFHPTDEELVNYYLKRKISGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSSQNRPIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDNSGLQDTYALCRVFKKNGICSDMEEQQAQAQCNMSLIECSQTMINECETMSPDILGASSSCLEEEDKDDSWMQFITEDAWYSSNAAMVGGEEVSHVTFTN >CAK8564914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15488335:15490604:-1 gene:gene-LATHSAT_LOCUS18165 transcript:rna-LATHSAT_LOCUS18165 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNALHLKHCVQSYNVFDFLRDIVSRVPDYGHGHGHPETGAEDRTLPKRRKPAGDDCNDSDEETKRSKMLEFGHTGGTGRGRGRGRGRGRGRGARTAEKETLHQQVESEPCTSIQQSSKEAPNTSMAIDNGPEPKELSKENISAHEESTQSFRNIDLNANVQENEDKENSSTATQASLPEPAAITDMQHEEIPGWSLADVDKMAIDTLQLAASLGNRLDEEEEDYDEEEG >CAK8530390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18679581:18680045:1 gene:gene-LATHSAT_LOCUS258 transcript:rna-LATHSAT_LOCUS258 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYNIILAVFFISSLAQNTPQDFLEVHNQAREEVGVGPLHWNHTLEAYAQNYANKRINDCELEHSMGPFGENLAEGYGEMNGSDAVKFWITEKPDYDYHSNSCVHDECLHYTQIVWRDSVYLGCGKSKCKNGWVFVICSYSPPGNVDGQRPY >CAK8539234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507248064:507249374:1 gene:gene-LATHSAT_LOCUS8347 transcript:rna-LATHSAT_LOCUS8347 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKAELKEKFQRMCDKSMIKRRYMYLTEEILKENPSLCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALAEAFQPLNISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQEGLRTTGEGLDWGVLFGFGPGLTIETVVLHSVAI >CAK8578310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619242489:619244381:-1 gene:gene-LATHSAT_LOCUS30332 transcript:rna-LATHSAT_LOCUS30332 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTGLNLRLQLKSPLSQSFLCSSPTHFTYSSNSIPFTKKFASFSTIMATSAASAAKPIAYGFKTLLETFTVDVHRAENRPLNVPLISPFTIASSKLEKVENVAIRVELSNGAVGWGEAPILPFVTAEDQHIAMVKASEACAFLLKCPALTLGSILGKIGDILPGHQFASVRAGVEMAVIDAVANSIRVPLWRLFGGASNTITTDITIPIVSSVEAAELASKYYKQGFKTLKLKVGKNLNADIEVLQAIRVAHPECQFILDANEGYNSDEAVEVLEKLHEMGLTPILFEQPVHRDDWDGLGYVSNIAREKYGVSVAADESCRSLVDVYRIVEGNIVDVINIKLAKVGVIGAMDIIEKARSAGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSDDPVLEGYEVSGATYTFTNARGHGGFLHWDNLA >CAK8563469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608302343:608306308:1 gene:gene-LATHSAT_LOCUS16875 transcript:rna-LATHSAT_LOCUS16875-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTVLFLVILLQLSTIFGSGDVLLTKDCGNDSVSVANSISSHGEELFYINGNVVSKVGFCKALKLYIVNGCDVNDYFESNHCVLDLDLDVSFVNLPSKGGRKLLQKDLNNNSTSQGDSKHVSSNHVGIFAGGALLVCCAIVCPCFYGKRRKTTAHAVLEKDSNSMDLASSFEPSVSDKIPSSPLRVPQSPSRFSMSPKLSRLQSLHLNLSQVSKATRNFSETLQIGEGGFGTVYKANLDDGLVVAVKRAKREHFESLRTEFSSEVELLAKIDHRNLVKLLGYIDKGNERILITEFVPNGTLREHLDGLFGKILDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTERMRAKVADFGFAKLGPVSNDHTHISTKVKGTVGYLDPEYMKTYHLTPKSDVYSFGILLLEILTGRRPVEMKKTPEERVTLRWAFKKYNEGNMVELLDPLMQEAVKTDVVMKMIDLAFSCAAPVRSDRPDMKAVCEQLWAIRADYLKSSSETARRE >CAK8563470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608302343:608306308:1 gene:gene-LATHSAT_LOCUS16875 transcript:rna-LATHSAT_LOCUS16875 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTVLFLVILLQLSTIFGSGDVLLTKDCGNDSVSVANSISSHGEELFYINGNVVSKVGFCKALKLYIVNGCDVNDYFESNHCVLDLDLDVSFVNLPSKGGRKLLQKDLNNNSTSQGDSKHVSSNHVGIFAGGALLVCCAIVCPCFYGKRRKTTAHAVLEKDSNSRHAMNSEERKVDLASSFEPSVSDKIPSSPLRVPQSPSRFSMSPKLSRLQSLHLNLSQVSKATRNFSETLQIGEGGFGTVYKANLDDGLVVAVKRAKREHFESLRTEFSSEVELLAKIDHRNLVKLLGYIDKGNERILITEFVPNGTLREHLDGLFGKILDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTERMRAKVADFGFAKLGPVSNDHTHISTKVKGTVGYLDPEYMKTYHLTPKSDVYSFGILLLEILTGRRPVEMKKTPEERVTLRWAFKKYNEGNMVELLDPLMQEAVKTDVVMKMIDLAFSCAAPVRSDRPDMKAVCEQLWAIRADYLKSSSETARRE >CAK8570774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:111959448:111959821:-1 gene:gene-LATHSAT_LOCUS23498 transcript:rna-LATHSAT_LOCUS23498 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDSNWNHQIKAYKFLMEFYTFRHGDYDDCVPSKCSTRWFDDDVLQNHFCACDTKICGFEFISISESTIFEHVP >CAK8573376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606715898:606718256:-1 gene:gene-LATHSAT_LOCUS25830 transcript:rna-LATHSAT_LOCUS25830 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPPKFTAFLGYKAGMTHIVREVEKPGSKLHKKETCEPVTIIETPPMVVVGVVGYVKTPRGLRTLNTVWTQHLSEEIKRRFYKNWCKSKKKAFSKYSKQYESDEGKKNIHTQLEKLKKYATVIRVLAHTQIRKMKGLKQKKAHIMEIQINGGTIAQKVDFAYNFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKTGEETHDAQTEFDRTEKDITPMGGFPHYGVVKEDYLMIKGGCVGPKKRVITLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >CAK8566176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387591590:387597653:-1 gene:gene-LATHSAT_LOCUS19334 transcript:rna-LATHSAT_LOCUS19334 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGHQGHEGGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNREIDGHIPNYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDAEWKFRHIFRGQPKRHLLTTGWSIFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWSNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSLLDGRDEMANGLMWMRGGPADQGLNSLNFQGASGMLPWMQQRLDPALLGNDQNQQYQAMLAAAGMQNMGSSGYLMRPQMMNFQQQPIQYLQSGNNNSPLQLQQPHAIPQSVSSNMMQPQGQVLTENLSQHLLQKTNNNQEVQAQQQQHGYHDSLLIQNDQLHQRQQQQQSNVPSPSYSKQDFLDSNLKFPASASSGQNMLGSLCNEGAGNLLNLSSLSRSGQSLMAEQLPQQSWTQKYGNMQGNAFSNTMSHAQFSGKDLAMVPHCDSDAQNHTLSGVNIDSSGLLLPTTVPGYTTSSADTGASTMQLGESGFQSSLYACMQDSSSFLQNAEQGDPQNQNPTFVKVYKSGSVGRSLDISRFNSYRELREELGQMFGIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEEAVETLALGSGQRLNGTGESHHIVSGQPPSIGSLEY >CAK8566177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387591590:387597653:-1 gene:gene-LATHSAT_LOCUS19334 transcript:rna-LATHSAT_LOCUS19334-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGHQGHEGGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNREIDGHIPNYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDAEWKFRHIFRGQPKRHLLTTGWSIFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRLASPSEFVIPLSKYIKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWSNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSLLDGRDEMANGLMWMRGGPADQGLNSLNFQGASGMLPWMQQRLDPALLGNDQNQQYQAMLAAAGMQNMGSSGYLMRPQMMNFQQQPIQYLQSGNNNSPLQLQQPHAIPQSVSSNMMQPQGQVLTENLSQHLLQKTNNNQEVQAQQQQHGYHDSLLIQNDQLHQRQQQQQSNVPSPSYSKQDFLDSNLKFPASASSGQNMLGSLCNEGAGNLLNLSSLSRSGQSLMAEQLPQQSWTQKYGNMQGNAFSNTMSHAQFSGKDLAMVPHCDSDAQNHTLSGVNIDSSGLLLPTTVPGYTTSSADTGASTMQLGESGFQSSLYACMQDSSSFLQNAEQGDPQNQNPTFVKVYKSGSVGRSLDISRFNSYRELREELGQMFGIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEEAVETLALGSGQRLNGTGESHHIVSGQPPSIGSLEY >CAK8570696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89339893:89341509:1 gene:gene-LATHSAT_LOCUS23429 transcript:rna-LATHSAT_LOCUS23429 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSVIALFAFLVLQPLLAKSDLLSPLISPIFDDVCKEVECGKGTCKASKNSVIPFECECDKGWKKAFDSNDDGGLKFLPCIIPNCTLDYSCSKAPAPAPEKARKANESIFDACHWVDCGGGSCKKTSRFSYSCECEASYKNLLNVTTFPCFRECALGMGCSDLGISVTNSSSASSPPPALNEDSKSEASSTLQGRSIWLVLIMVMAKLQLQ >CAK8560268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12375587:12387026:-1 gene:gene-LATHSAT_LOCUS13956 transcript:rna-LATHSAT_LOCUS13956 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLMRMSPHFLTVLLSALTILVLIQAQDQSGFISIDCGLPEKSSYAETTTGINYISDAKFIDTGVSIRIPPVGNTVLQQLEHVRSFPTGVRNCYRIDVTNGTKYLIKASFYYGNYDDQNDPPQFDLHFGPNMWDTVKFTNLSRIASSEIIYTPSLDYIQPCLVNTGKGTPFISVIELRTLNKEAYVTYSTKSVLSSFFRFDLGSITNLEYRYKDDDYDRVWFPFKWDEMKKLSSNEDILTQNIYKPPGVVMSTAVTPFNESAPIQFNWNSDNLNDQYYSYLHFTEVEKLAENETRAFNITVNGVLMYGPEIPAYRSVDTIFSTVTLTGARKYIFSLTKTQNSTLPPIINAFEVYKLIDFSLSETHQDDVDTITSIKKAYGVARNWEGDPCGPVKYMWEGLNCSIGDSNNPPRITSLNLSSSGLTGQIISSISKLSMLQNLDLSNNSLNGPLPDFLIQLRSLKVLNVGKNNLTGLVPSGLLEKSKRGSLLLSVDDNHNLCTKESCKKKKSSVALIASLSSLLVILLLLLGFWIFKRRKVLMSSYSKKEGSKKSKHQIFSHAEILNITENFKTIIGEGGFGKVYIGILQDQTQVAVKRLSPSSMQGYKEFQSEAQLLTIVHHKNLVSLIGYCDEGEIKALIYEYMANGNLQQHLLGGNSNILKWNDRLNIAVDAAYGIDYMHNGIKPPIVHRDLKPSNILLDEKMHAKIADFGLSRVFGNDLDSHISTRPAGTFGYLDPECQRTGNISKRNDVYSFGIILFVLITGRQAIERVDGESIHILEWVSPIIEGGDIKNVIDPRLKGEFNINSAWKVVEIAMSCILQVAAERPDISQILAGLNECLSLEMVQKNNGRERSISEVPSVCVELDSYPSAR >CAK8568784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640758195:640759463:1 gene:gene-LATHSAT_LOCUS21709 transcript:rna-LATHSAT_LOCUS21709 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLPATTESIAQALEAKDHSDSISILYRVLDDPSSSPEALRMKEQAITNLTDLLRQENRGEDLRSLLTSLRPFFSLIPKAKTAKIVRGIIDSVAKIPGTSDLQISLCKEMVQWTRDEKRTFLRQRVEARLAALLMETKEYSEALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTGYSYFFEAFESFNALEDPKAVFSLKYMLLCKIMVNQADDVGGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKLFESALQNYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPIDHVERKMSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >CAK8565034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:29610563:29619766:-1 gene:gene-LATHSAT_LOCUS18275 transcript:rna-LATHSAT_LOCUS18275 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFNYPLLLQLLFFILTFSLPVALSNHEAIESLLHRLDSKRALPSVQEAAARGVLKRLLPTHLSSFEFKIVSKDVCGRGSCFMINNHKKTRHNGPEIIIRGTTGVEIVSGLHWYLKYWCGAHISWDKTGGIQTTSIPKPGSLPLLKDDGVKIKRPVPWNYYQNVVTSSYSFVWWDWERWEKEVDWMALQGVNLPLAFTGQEAIWQKVFKDFNISSEDLNSFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFSGNVPAALRKIFPSAKITRLGDWNTVDADPRWCCTYLLDPSDPRFVEIGEAFIRKQIKEYGDVTDIYNCDTFNENSPPTSDPDYISTLGAAVYQGISKGDKDAVWLMQGWLFYSDSSFWKPPQMKALLQSVPVGKMVVLDLFAEVKPIWKTSFQFYGTPYIWCMLHNFGGNLEMYGVLDAIGSGPVDARVSENSTMVGVGMCMEGIEHNPIVFELMSEMAFRNEKVKINEWLKSYSHRRYGKAIHQVDAAWEILYHTIYNCTDGIADHNHDYIAMLPDWDPSTNDKSGMLNHQKKIYFLPPGNRRYLFQQTPAGMPQAHLWYPPEDVIKALRLFLAGGKNLTGSLTYRYDLVDLTRQVLSKFANQVYIEAVTSFQKKNIDALQLNSHKFLQLIKDIDLLLASDDNFLLGTWLESAKKLAVNPSELKQYEWNARTQVTMWFDTNETTQSKLHDYANKFWSGILENYYLPRASTYFNHLSESLKHNEKFKLIEWRKQWIPMSNKWQESNELYPVKAKGDALAISQSLYEKYFS >CAK8537778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:431588040:431590421:-1 gene:gene-LATHSAT_LOCUS7032 transcript:rna-LATHSAT_LOCUS7032 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKLGIGDDEGESNVALLVSSSTSMEKSVCLNLNGSKPKEFNYMGLSCDSASSVDSLVTKIQSFEDGGKRNLNLKATELRLGLPGSVSPERDCSDFCLRSFDEKPLFPLHPQKDESKYAVLGNKRGFSDAMNVFSEGKMKPGSNMLENVAALQGGNEIATVKVGLERPNGVGESRPNLNGSANNGNSIAPATKAQVVGWPPIRSFRKNSLTTASKNTEEVDGKLGLGGAVFVKVSMDGAPYLRKVDLKNYTAYSELSSSLEKMFSCFTIGQCESHGNQMLNETKLRDLLHGSEYVITYEDKDGDWMLVGDVPWEMFIDTCRRLRIMKSSDAIGLAPRAVEKSKSRN >CAK8543681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630941790:630942482:1 gene:gene-LATHSAT_LOCUS12425 transcript:rna-LATHSAT_LOCUS12425 gene_biotype:protein_coding transcript_biotype:protein_coding MELIANFFSCCAKDGDQKPTLFTSPRGECTLTLEDVHMLLGLRVDGSAVVGDTNVNYALVEELLGVPLERGDRKGQSIKITWLKRNYSALNLTNESPEEHKLYKTRMYLLLLFACFLFPDTNGNTIHLQYLPLLEDLNEVSRYSWGVSTLAHLYRNLCRCEMKNVHNFAGCGVLIQAGDGPECSDCRRATPIHITFHTQPSGLRMG >CAK8536245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921643258:921643668:1 gene:gene-LATHSAT_LOCUS5633 transcript:rna-LATHSAT_LOCUS5633 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPIGLLLFSLSLIITIVTSQERAPHGLVYQNPKAFSPSAYEFFHPNSQKHNDNDPCTSSKCSPFPLAVEATQIHESKDSKSNKGGKNLGAGGIIGIIFGGAFVAVLAMSVYHVRVTRKANMIRARANNSVQPEV >CAK8578880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660433069:660434608:1 gene:gene-LATHSAT_LOCUS30861 transcript:rna-LATHSAT_LOCUS30861 gene_biotype:protein_coding transcript_biotype:protein_coding MARVECIICVFCMVVVMADCQQHGPETIQDIGINWGLLSTNPIDPRVVVNMLKDNAIKKVKIFDTDPWILGAFSGTDIEVMVGIPNDQLKKISGDMDEAEDWVKNNVSKHLHDGGVNIRYVSVGNEAFLKAYNGSFLTTTFPAMENIQKALNNAGLGDTIKVTSALNADVYDTSSDKPSGGHFREDIYDVMKQIVKFLDENNSPFLVNIYPFLSLYQNDAFPKDYAFFDSPSRTITDNNEKYNNVFDANLDTLIWALKKSGHPKVSIMIGEIGWPTDGNKHATTDNAKRFYRGFLQKWASKKGSPLHPEPMVAYLFSLVDENTKSVAPGKFERHWGIFRYDGKPKFPIDLSGKGQDKMLVGAKGVRYLDQQWCVLNKDVKNLSSVGPSLSYACSLGDCTSLGTGCSCEDLDLAGNVSYAFNQYFQNNEQSVEACKFDGLATIVKNDPSPSNGSCVFPVQIYSDGTILKGGTYMVKGLLIEFVLIFIIFI >CAK8536513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948566652:948569435:-1 gene:gene-LATHSAT_LOCUS5874 transcript:rna-LATHSAT_LOCUS5874 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8531579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126493484:126494359:-1 gene:gene-LATHSAT_LOCUS1361 transcript:rna-LATHSAT_LOCUS1361 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYSSCNTNLGINCPDFCSSTTGTTARWGPIEVSMCADEEEEDHTKIWGVSYYIGKKSRHGFHDHKDIWGMPFELNKGSKQGCGFQLRAKHDDNGVENIYFALSANDDSTDGFNLDIKRVGNDGLQKQINGMNNSGIYKTSICVKQGYSIESTIVSFGNSYSDTLFVLQMKKRKNHDNAYMATMAHYYVTKEVGLSVAAKIYLCKGKGFAVEVKGPFKDPSDDLRRVIAETCRTGIWSPEAKSSSSFKNNDTAGQFVNHASSSNKGLINSNGYTRGSLNNSIFKNCRFS >CAK8532538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:285959987:285962769:1 gene:gene-LATHSAT_LOCUS2233 transcript:rna-LATHSAT_LOCUS2233 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNINHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8560281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12953344:12955662:-1 gene:gene-LATHSAT_LOCUS13969 transcript:rna-LATHSAT_LOCUS13969 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFKFNTFHFSIIIFILHVFSATPILSDNSSFNNFLDIAKSPEVFDWMISIRRKIHENPELSYEEFETSEVIRSELDKMGIPYKHPVAITGVIGFIGTGLSPFVALRADMDALPMQEMVEWEHKSKVSGKMHACGHDAHVTMLLGAAKILKHHEKEILGTVVLVFQPAEEGGGGAKKIVESGVLENVTSIFGLHIAPELPIGEVASRSGPIMAGSGRFEAKISGKGGHAAIPQQAIDPILAASNVVISLQHLVSREADPLDSQVVTIAKFQGGSAFNVIPDYVTIGGTYRAFSKQSFNQLRQRIKQIIVGQADVHRCNATIDFLDEMKPSYPPTVNNGDLHEHFVSVAVNMLGINKVDSAVTPSMAAEDFSFYQEVMPGYWFWLGVQNASHKRLESLHSPYLEINEDGLPYGAALHASLASSYLLKHQQDVPGVERKYHDEL >CAK8542461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517091096:517091939:1 gene:gene-LATHSAT_LOCUS11300 transcript:rna-LATHSAT_LOCUS11300 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDLPPQNNTPSSIPFFFTPSLPNYPLESQDQGLIGDIDSWGNFFLGQSNNSLLVEDAKETLLMDNIQQCTSSSNMVSSINESGSYQLHEEDKRKKLEEKRVKGGRVKKPAKVPRFAFQTRSVDDILDDGYRWRKYGQKAVKNTTYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQIQFLASL >CAK8569699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8535213:8535870:-1 gene:gene-LATHSAT_LOCUS22520 transcript:rna-LATHSAT_LOCUS22520 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKLLAASLLASLLFLHFVDAAHQYEYTQTQGSLLGQIDCNGACVARCRLSSRPRLCQRACGTCCRRCNCVPPGTAGNQEKCPCYASLTTRGGKAKCP >CAK8566017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367984935:367985726:-1 gene:gene-LATHSAT_LOCUS19185 transcript:rna-LATHSAT_LOCUS19185 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAWKLKNLVEVHELSKNLFLFCFATKRDLEGFLNNGPWSFDWNLLVLSRVSTMAKKLGGVLGKFEELDSKEAHRNGRFLRIKVNIDLKKPLKIGTMVRFKEKILRVHFKYECLPTFCFICERVGHQLKDCKPVGDLSKEGFEVLEEQDLAFGACLRASPLPRIQEDHRKKEFNSSLCRKSLFNLLQDKAVVRLRGKGRKVKKAKLIKERKLGRGLKEKRPHNLLGKTRVGRL >CAK8578255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615325865:615328480:1 gene:gene-LATHSAT_LOCUS30282 transcript:rna-LATHSAT_LOCUS30282 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIQKPQGGGGFSPGKLRSMLLGVEKKRKQEEELDSNFTSRSQHSDMDESGGSGSDHCKDVDVVSVHPEYSSSSDPTCSVEADSGDRMAKANAGLATSRNRILEDPSLDYDSGYDNMIMSPSMFEFQKAERAPPRVPVGPFSKPAPSKWDDAQKWIASPTSNRPKTAQSQGQGGHAVPRKVAGLGSRQPSMKVVVEVPDQREISLDEPDTKQIDANQTKMEGGGQKFVNWDDDPYAIANAYEVSLSQHNSSIAAQSATTFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVGATTPMLSPNSSRPSTPTRAAPSSTLINDNLNLNKNELSEKELQMKTRREIMVLGTQLGKMNIAAWASKEEEDKDASTSLKTKNAEQPTKSVVEARAAAWEEAEKAKYMARFRREEMKIQAWENHQKAKTEAKMRKIEVEVERIRSKSHDMLLNKLAAARHRAEEKRAAAEANRNHQAAKTEEQAEYIRRTGHVPSSYFSFSFCTWCS >CAK8544302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677011661:677012395:-1 gene:gene-LATHSAT_LOCUS12994 transcript:rna-LATHSAT_LOCUS12994 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNAYGFLSDNCEHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEETFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDNDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPICSENYVIEEHTISRWSPEQCRERSI >CAK8530302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12874748:12877367:1 gene:gene-LATHSAT_LOCUS177 transcript:rna-LATHSAT_LOCUS177 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRFSKCFSFTASRDWLYRHTFSVAGLRSAVTDLGDGTIMHCWVPKLHNPSKPTLVLVHGFGANAMWQYGEHLRHFIPHFNLYVPDLLFFGGSFTSRPERTESFQAVCLKKLMEAHGVKRLSLVGISYGGFVGYSLVAQFPEVVEKLVLCCAGVCLEEIDMKNGLFRVSSLDDASSILLPQTPDKLRELMRFSFVKPARVVPSYFLEDFIRVMCRDYIEQKKELLVAILKDRHLSNLPKIKQLPTLIMWGEQDQIFPLELGHRLKSHIGENAQMVIIKNAGHALNIEKSKEFARHLKSFLIDSDSRPSSPSLKAQIQKTFPFDFIRYWSGWGALAWGVALVLLQPIFETKLFVMLLIIFLLNFSLCFLFLHST >CAK8572002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501152915:501154021:1 gene:gene-LATHSAT_LOCUS24611 transcript:rna-LATHSAT_LOCUS24611 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDRIRKELCEYKRDNPASTQKDLQRWLEENFQLKVSQGTISNTLKRSNDYLSTEIEKGKADIKRHKPAKYPDMEKVVYERFLQHQERVNITGELILHNAGDTMKLVYPHDDSYFNFSIGWLGKFKNRHGIKSFHRFGESGSVDVQDMEQKLVSIREKIDQFPMKDVFNMDETGLFYRLQADHSLTTKQLEGRKQDKEKLTVVICCNEDGSEKIPLWIIGKYAKPRCFKNVNMNSLDCQYRANEKAWMTSVFFDEYVRSFDQMMHGRRVLLVVDNCPAHPRNIEGLRNIELFFLPPNMTSKIQPCDAGIVRSFKMHYRRRFYRKILEGYEVGQSDPGKINVLDAINLAIPAWTIDV >CAK8541239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:94432283:94433897:1 gene:gene-LATHSAT_LOCUS10172 transcript:rna-LATHSAT_LOCUS10172 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKEIVASNPVVVFSKTYCPFCVEVKKLFANLGVTFKAIELETESDGSEIQAALAQWTGQRTVPNVFIGGNHIGGCDTTTNLHSQGKLVPLLTSAGALSGSAS >CAK8566289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400357797:400367729:1 gene:gene-LATHSAT_LOCUS19430 transcript:rna-LATHSAT_LOCUS19430-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFICTLLLPAMTGERCYRRKNMVKEASAAAAVVEERPTPVSSPAALEIDYFSQARKALSERSPFDVAEETSTSTASTLPSGLASLLSRHGDSRKRQKKSHSGGGDKKKKSSRAGEKLRGFDVWVETEECFRDLNVSDIDTLLEASTSYSLASRECYTIPHLGNSPRFNVVRSEDEKKPAPLFNVVSNENDKNVVEEVNHENGSLGIELSDVVELEKALPNDDKNCEASDSCDSLEWFLGSRNRIFLASERPSKKRKLLGGDAGLEKVLMTSSCDGDQPCCHYCGRGDSGTDSNRLIVCASCKVAVHWKCYGIQDDVGVSWSCSWCKQKGDVNDSVSPCVLCSKKGGALKPVSSVDEGVESAQFVHLYCCLWMPEVYIDDLKKMEPVMNVEGIKETRRKLVCNVCKLKCGACVRCSHGSCRTSFHPLCAREARHRMEVWAKYGNDNIELRAFCLKHSDLQENRSILPLGGSIAVGNEFPKANDLPVTLPVKSEHNIKIGCTNGGLESDTNPDMLNHNDQPPDGGLSVCTVRAQNMLGCGAAPLHNIGVEGRTNENVNASDSPSFALVLKKLIERGKVDVNNVALEIGISPDTLTANINESYMVPDVQHKIVNWLKAHVYTGAFHKGLPNPVAVNVKSVPPRRRTISNIRILKDNKVICSSEGVTTSENGVSIDNFLVPECENPGISNKASIPDATETETETNLAKSDDISPGVQGNADEPYKPSLSLCVPEQKSTTYLQNASMLSDQHYPAHFASEPPDPGSTKMEAISSYIHPYINKKLLQIRDCLAPENLIGLSGYRNSLVESSVTNSCSNRENQQLIYTDVYKPDQVKIEELVKDKNLQLLEFYSEDELESELLYFQHRLLQRSVAKKSLTENLVYNVAKDLPQEIDKTHQQRWDAVIANQYLLDLREAKKLGRKEKKHKEAQAVLAAATAAAAASSRVSSFRKDTIDESMQHENSFKLDALSGRTGACSQPMPRAKETLARVAVTRASSEKYSDFSLPSSDFSKEQRKSCDICRRFENMLNPILVCSGCKVVVHSVCYRSVKETTGPWFCELCEDLSKSSGASAINSWEKPYFIAECALCGGTTGAFRKSSDGQWVHAFCAEWLFESTFRRGQIDAIEGMETVQKGVDICCVCHRRHGVCMKCCYGHCLTTFHPSCARSAGLFMIVRTAGGKMQHKAYCEKHSSEQKTKAEIPKHGVEELKSIKQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDHIARSVLVQSPFILPDGSSESATTSLKATTEGYRSCSEALQRSDDVTVDSSVSAKNRVRVAVSMDTDPKLDDDCSTSQSQYNHKIPEKMQFSGKQIPRRASATSRNISEEDGWRTKSRKLQTTETFGKELVMTSDEASMKNSRLPKGYAYVPADCLSNDKQSNEDVYASGPGEHDR >CAK8566290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400357797:400367729:1 gene:gene-LATHSAT_LOCUS19430 transcript:rna-LATHSAT_LOCUS19430 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFICTLLLPAMTGERCYRRKNMVKEASAAAAVVEERPTPVSSPAALEIDYFSQARKALSERSPFDVAEETSTSTASTLPSGLASLLSRHGDSRKRQKKSHSGGGDKKKKSSRAGEKLRGFDVWVETEECFRDLNVSDIDTLLEASTSYSLASRECYTIPHLGNSPRFNVVRSEDEKKPAPLFNVVSNENDKNVVEEVNHENGSLGIELSDVVELEKALPNDDKNCEASDSCDSLEWFLGSRNRIFLASERPSKKRKLLGGDAGLEKVLMTSSCDGDQPCCHYCGRGDSGTDSNRLIVCASCKVAVHWKCYGIQDDVGVSWSCSWCKQKGDVNDSVSPCVLCSKKGGALKPVSSVDEGVESAQFVHLYCCLWMPEVYIDDLKKMEPVMNVEGIKETRRKLVCNVCKLKCGACVRCSHGSCRTSFHPLCAREARHRMEVWAKYGNDNIELRAFCLKHSDLQENRSILPLGGSIAVGNEFPKANDLPVTLPVKSEHNIKIGCTNGGLESDTNPDMLNHNDQPPDGGLSVCTVRAQNMLGCGAAPLHNIGVEGRTNENVNASDSPSFALVLKKLIERGKVDVNNVALEIGISPDTLTANINLQESYMVPDVQHKIVNWLKAHVYTGAFHKGLKAKIKPANVSMDGSRASDGSDTSPLSDLGLPNPVAVNVKSVPPRRRTISNIRILKDNKVICSSEGVTTSENGVSIDNFLVPECENPGISNKASIPDATETETETNLAKSDDISPGVQGNADEPYKPSLSLCVPEQKSTTYLQNASMLSDQHYPAHFASEPPDPGSTKMEAISSYIHPYINKKLLQIRDCLAPENLIGLSGYRNSLVESSVTNSCSNRENQQLIYTDVYKPDQVKIEELVKDKNLQLLEFYSEDELESELLYFQHRLLQRSVAKKSLTENLVYNVAKDLPQEIDKTHQQRWDAVIANQYLLDLREAKKLGRKEKKHKEAQAVLAAATAAAAASSRVSSFRKDTIDESMQHENSFKLDALSGRTGACSQPMPRAKETLARVAVTRASSEKYSDFSLPSSDFSKEQRKSCDICRRFENMLNPILVCSGCKVVVHSVCYRSVKETTGPWFCELCEDLSKSSGASAINSWEKPYFIAECALCGGTTGAFRKSSDGQWVHAFCAEWLFESTFRRGQIDAIEGMETVQKGVDICCVCHRRHGVCMKCCYGHCLTTFHPSCARSAGLFMIVRTAGGKMQHKAYCEKHSSEQKTKAEIPKHGVEELKSIKQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDHIARSVLVQSPFILPDGSSESATTSLKATTEGYRSCSEALQRSDDVTVDSSVSAKNRVRVAVSMDTDPKLDDDCSTSQSQYNHKIPEKMQFSGKQIPRRASATSRNISEEDGWRTKSRKLQTTETFGKELVMTSDEASMKNSRLPKGYAYVPADCLSNDKQSNEDVYASGPGEHDR >CAK8566288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400357797:400367729:1 gene:gene-LATHSAT_LOCUS19430 transcript:rna-LATHSAT_LOCUS19430-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFICTLLLPAMTGERCYRRKNMVKEASAAAAVVEERPTPVSSPAALEIDYFSQARKALSERSPFDVAEETSTSTASTLPSGLASLLSRHGDSRKRQKKSHSGGGDKKKKSSRAGEKLRGFDVWVETEECFRDLNVSDIDTLLEASTSYSLASRECYTIPHLGNSPRFNVVRSEDEKKPAPLFNVVSNENDKNVVEEVNHENGSLGIELSDVVELEKALPNDDKNCEASDSCDSLEWFLGSRNRIFLASERPSKKRKLLGGDAGLEKVLMTSSCDGDQPCCHYCGRGDSGTDSNRLIVCASCKVAVHWKCYGIQDDVGVSWSCSWCKQKGDVNDSVSPCVLCSKKGGALKPVSSVDEGVESAQFVHLYCCLWMPEVYIDDLKKMEPVMNVEGIKETRRKLVCNVCKLKCGACVRCSHGSCRTSFHPLCAREARHRMEVWAKYGNDNIELRAFCLKHSDLQENRSILPLGGSIAVGNEFPKANDLPVTLPVKSEHNIKIGCTNGGLESDTNPDMLNHNDQPPDGGLSVCTVRAQNMLGCGAAPLHNIGVEGRTNENVNASDSPSFALVLKKLIERGKVDVNNVALEIGISPDTLTANINLQESYMVPDVQHKIVNWLKAHVYTGAFHKGLPNPVAVNVKSVPPRRRTISNIRILKDNKVICSSEGVTTSENGVSIDNFLVPECENPGISNKASIPDATETETETNLAKSDDISPGVQGNADEPYKPSLSLCVPEQKSTTYLQNASMLSDQHYPAHFASEPPDPGSTKMEAISSYIHPYINKKLLQIRDCLAPENLIGLSGYRNSLVESSVTNSCSNRENQQLIYTDVYKPDQVKIEELVKDKNLQLLEFYSEDELESELLYFQHRLLQRSVAKKSLTENLVYNVAKDLPQEIDKTHQQRWDAVIANQYLLDLREAKKLGRKEKKHKEAQAVLAAATAAAAASSRVSSFRKDTIDESMQHENSFKLDALSGRTGACSQPMPRAKETLARVAVTRASSEKYSDFSLPSSDFSKEQRKSCDICRRFENMLNPILVCSGCKVVVHSVCYRSVKETTGPWFCELCEDLSKSSGASAINSWEKPYFIAECALCGGTTGAFRKSSDGQWVHAFCAEWLFESTFRRGQIDAIEGMETVQKGVDICCVCHRRHGVCMKCCYGHCLTTFHPSCARSAGLFMIVRTAGGKMQHKAYCEKHSSEQKTKAEIPKHGVEELKSIKQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDHIARSVLVQSPFILPDGSSESATTSLKATTEGYRSCSEALQRSDDVTVDSSVSAKNRVRVAVSMDTDPKLDDDCSTSQSQYNHKIPEKMQFSGKQIPRRASATSRNISEEDGWRTKSRKLQTTETFGKELVMTSDEASMKNSRLPKGYAYVPADCLSNDKQSNEDVYASGPGEHDR >CAK8573355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605344104:605344391:1 gene:gene-LATHSAT_LOCUS25814 transcript:rna-LATHSAT_LOCUS25814 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLTNSPSRKDGIDEATETALRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASSVWLASKLEENP >CAK8566779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462287580:462290220:-1 gene:gene-LATHSAT_LOCUS19888 transcript:rna-LATHSAT_LOCUS19888 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVTNGLHDEGGTNEMNENHSPGKETVNPTKSPRGLMAQQTRQSADDGVVEPSIEQLYENVCDMQSSDQSPSRQSFGSDGDESRIDSELHHLVGGRMRELEIMEEEVEVEVERRPGESSSCETSSGIGSLSNDKLDKVAEIRTGGKSPVSSEKSVKGLNSSPGSDTSPKSKPKGKSPAKPPLERKNDKPLKKLTRGVSGVKSSKNSPLGKSVLQSRVENSAESELDRPERAPILLKQARDLMSSGDNPHKALEPALQAMKLFEKFGNGKPNLELVMCLHVTAAIYCSLGQYSEAIPILERSIEIPVSGESQQHALAKFAGHMQLGDTYAMLGQLEHSIMCYTAGFEVQREILGETDPRVGETCRYLAEANVQALQFNEAEKLCQVALDIHRANSSAPSIEEAADRRLMGLICETKGNHEAALEHLVLASMAMVANGQEVEVASVDCSIGDAYLSLSRFDEAVFAFEKALTVLKTNKGENHPAVGSVFVRLADLYNRTGKVRESKSYCESALKIYENPMPGVTPEEIASGLTNLSSIYESMNEVEQALKLLQKALEIYNDAPGQQGAIAGIEAQIGVMNYMLGNYTESYNTLKNAISKLRAIGEKKSSFFGIALNQLGLACVQRYALSEATELFEEAKSILEQELGPYHPETLGVCSNLAGTYDAIGRLDDAIQILEHVVSMREEKLGTANPDVDDEKRRLSELLKEAGRVRNRKVRSLENLFDGNARTLNNLVINA >CAK8540821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23600866:23601132:-1 gene:gene-LATHSAT_LOCUS9788 transcript:rna-LATHSAT_LOCUS9788 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQQYIVNAHFNGSVVVSDEVSLIFENTDVTRFSVNKRSSFQHFKDMVQMKVQVGSVSQITYKNVVHFGDHRFKFVPLNVRHDEDV >CAK8577337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556000243:556002286:-1 gene:gene-LATHSAT_LOCUS29451 transcript:rna-LATHSAT_LOCUS29451 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAEKKPKTKIVCTLGPASRSVPMIEKLLQAGMNVARFNFSHGSYDYHQETLDNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEITISTDYTIKGDENTICMSYKKLAQDVKPGSVVLCADGTISFKVLSCDVKAGLVNCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDIMVWGVPNNIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTIDYGDVFKRIMEHSPVPMSPLESLASSAVKMANSAKAALILVLTRGGSTAKLVAKYRAGMPILSVVVPEIKTDTFDWSCSDEVPARHSLIFRGLIPVLSAGSARASHAETTEEALDFAIQYAKTKGLCNNGDSVVALHRVGVASVIKILTVK >CAK8562400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484718688:484727452:1 gene:gene-LATHSAT_LOCUS15899 transcript:rna-LATHSAT_LOCUS15899-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGTKYVSVNLNKSYGQNSTTIGSTRTAARPAAGGGGMALLSRPRSSQKVGAKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGAGSGNGSRPSSSGMGWTKPAAVVLQEKESIFQEDVPRSVTKAVGPVAPPSVSSAVLRGEDFPSLRATLVPPAPGPSQNQKVTENLNQKPKNFTNENVSVEQKKSKDVDDDANGSANAFSHVNVNARYNVPGTGNFPVENGRESRGFIGSRGPNQSRGGMNQDEFFPGPLPLVRLNPRFDWADDERDTGHGFTERTRESRDHGFPKSDAFWDFDMPRVGIVPQKYGTGFDKRGQLRGNEAGKVSSSEVPKMDPYDRMPGREGNSSSSSSWRSSSISKDGFGMKDAAGNDKNSVGGARPSSGNKDAGKDNKYNSSPFREAVHDESGRRDAGYGQGVKQPWNNMAQSYGERNGIRDHRHVGGDQYNRNRVDSSPSLVSKSSFSLGGKGLSVNDPLLNFGREKRNLPKSEKTYGEDFAASAFDGKDIFSTGLVGVVKKKKDVLKQTDFHDPARESFEAELERVQRLQEQERQRIIEEQERAVEFARREEEERLRLAREQEERQRRLEEEAREAAWRAEQDRIEALQKAEEQRLAREEEKQRLLLEEERRKQAAKQKLLELEQKIARRQAEAAKGYSNAPVVVDEKMPGNVNERDGSRATDVGDWEDSERMVDRILTSASSDSSSVNRPLEMGSRSHFSRDLSSTFIDRGKPVNSWRRDGYESWNSPAFYSQDQENSHNSPRRDSSISGKPFMRKEYNGGAGLMPSRTYYKGGISEAHLDEYAHVKAPRWNQPTDVDHVGRNTDVHSDFNENFVERFDGWAHNRPRGNAFPPFPDRPYQNSESDGPYALGRSRYSVRQPRVLPPPLASVHRTYRSGNEHTAPSAFLENEIPYNQATRSDSSMPTGYDNGNHGQPEIVKPVQEVAENEDHKVEATPRCDSQSSLSVSSPPSSPTHLSHDDLDDSVDSSAIVTSEENKNGPVSVPGNESIATPAVDGKESLVTSCAVSSGDDDEWATENNEQLQEQEEYDEDGDYREEEEVHEGDDNAGLHQDFENMHLQDKELPHLMDNLVLGFDEGVQVGMPNEEFERVQQASDINLEAPFDNSHSDGKVLQPVDDNSQVNLNSSSTLFQESEKQPPNVASDSLGNVEASIGFSANHSTPSSVIIGPHYTSSGQIVTSAAPGQAELPIKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGAPLTHHMHPSQPPLFQFGQLRYSSPVSQGMMPLGPQSMSFVQPNIPSGFPFNHNSGSQMPVQSGPVSSESFIKNDIRHQSVLGQPGNSRSLSHGSLPSENTENLAGIKQGQINAPPRDVNNSTRTASSFQMDKRGSQNVVGKSSSTSSNAKHSEVQSHIKDALLHSVSEEKDLMESKARFPVSGGRGQRYVYTVKTSSSKSSAPGPGPGSASRVNRADSRGFMRRPNRNTQRTEFRVRESADKRLPSNSFPTDQFGLDHQSNVTGRGIGMSGRTGSRKSFTNKMGKQPVESMGESLHGMDSGSKAERVEGKESTKGQSIPHSGQSNLKRNLCSEEDVDAPLQSGIIRVFEQPGIEAPSDEDDFIEVRSKRQMINDRREQREKEIKAKSRVAKLPRKTRSASQSTVTMANSSKGSISTGEVSAAADGHRTTKSDSSSGYNPNLLSQALPPIGTPTTKIDAQPDLRSQTNRSLHTSLPSVSGRESKNKVHENVQTSLGSWGNVQISQQVMALTQTQLDEAMKPQQFDSQASSANMEASVTESSLPAPSILIKEKAFSSAGSPINSLLAGEKIQFGAVTSPTVLPPSSRGVSHGIGPPRSSRSDMQISHNLAESDSNCSLFFEKGKRGNESHGHLEDCDAEAEAEAAASAVAVAAIGSDETVGNRLGSCSVSVSDAKSFVAEDIDRVVAGVGREQQSASQSRSEEPLSVSLPADLSVETPPISLWPALPNAQNSSGQMISHFPAVPPHFPSGPPSHFPFYEMNPMMGGPVFAFGPHDESASTTQSQPQKTTAPSSRPIGSWQQGHSGVESFYGPPTGFTGPFIAPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHIPTTSAAGTSEGDVNSMNMTSSQRNPASMPSQIQHLAPGSPLLPMASPVAMYDVSPFQHSTEMSVQARWPHVPNAPLSSIPLSMPLHQQESVQTSQMSHGPSVDQPLNVKRFTSSRTSTSSDSDRNFSRAADVNVNQLPEELGLVETSNSTASKTLSKGIINKTQSEKTSTNAAAKVDVQNGNSSKSNNQNASSGYRTQPSQQINVASTQQQHYDHSSGHSNYQRGGGGVSQRNSSGGEWSHRRYHGRNQSMGGDKNFSSAKVKQIYVAKQTISGSSTVS >CAK8562401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484718688:484727452:1 gene:gene-LATHSAT_LOCUS15899 transcript:rna-LATHSAT_LOCUS15899 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGTKYVSVNLNKSYGQNSTTIGSTRTAARPAAGGGGMALLSRPRSSQKVGAKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGAGSGNGSRPSSSGMGWTKPAAVVLQEKESIFQEDVPRSVTKAVGPVAPPSVSSAVLRGEDFPSLRATLVPPAPGPSQNQKVTENLNQKPKNFTNENVSVEQKKSKDVDDDANGSANAFSHVNVNARYNVPGTGNFPVENGRESRGFIGSRGPNQSRGGMNQDEFFPGPLPLVRLNPRFDWADDERDTGHGFTERTRESRDHGFPKSDAFWDFDMPRVGIVPQKYGTGFDKRGQLRGNEAGKVSSSEVPKMDPYDRMPGREGNSSSSSSWRSSSISKDGFGMKDAAGNDKNSVGGARPSSGNKDAGKDNKYNSSPFREAVHDESGRRDAGYGQGVKQPWNNMAQSYGERNGIRDHRHVGGDQYNRNRVDSSPSLVSKSSFSLGGKGLSVNDPLLNFGREKRNLPKSEKTYGEDFAASAFDGKDIFSTGLVGVVKKKKDVLKQTDFHDPARESFEAELERVQRLQEQERQRIIEEQERAVEFARREEEERLRLAREQEERQRRLEEEAREAAWRAEQDRIEALQKAEEQRLAREEEKQRLLLEEERRKQAAKQKLLELEQKIARRQAEAAKGYSNAPVVVDEKMPGNVNERDGSRATDVGDWEDSERMVDRILTSASSDSSSVNRPLEMGSRSHFSRDLSSTFIDRGKPVNSWRRDGYESWNSPAFYSQDQENSHNSPRRDSSISGKPFMRKEYNGGAGLMPSRTYYKGGISEAHLDEYAHVKAPRWNQPTDVDHVGRNTDVHSDFNENFVERFDGWAHNRPRGNAFPPFPDRPYQNSESDGPYALGRSRYSVRQPRVLPPPLASVHRTYRSGNEHTAPSAFLENEIPYNQATRSDSSMPTGYDNGNHGQPEIVKPVQEVAENEDHKVEATPRCDSQSSLSVSSPPSSPTHLSHDDLDDSVDSSAIVTSEENKNGPVSVPGNESIATPAVDGKESLVTSCAVSSGDDDEWATENNEQLQEQEEYDEDGDYREEEEVHEGDDNAGLHQDFENMHLQDKELPHLMDNLVLGFDEGVQVGMPNEEFERVQQASDINLEAPFDNSHSDGKVLQPVDDNSQVNLNSSSTLFQESEKQPPNVASDSLGNVEASIGFSANHSTPSSVIIGPHYTSSGQIVTSAAPGQAELPIKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGAPLTHHMHPSQPPLFQFGQLRYSSPVSQGMMPLGPQSMSFVQPNIPSGFPFNHNSGSQMPVQSGPVSSESFIKNDIRHQSVLGQPGNSRSLSHGSLPSENTENLAGIKQGQINAPPRDVNNSTRTASSFQMDKRGSQNVVGKSSSTSSNAKHSEVQSHIKDALLHSVSEEKDLMESKARFPVSGGRGQRYVYTVKTSSSKSSAPGPGPGSASRVNRADSRGFMRRPNRNTQRTEFRVRESADKRLPSNSFPTDQFGLDHQSNVTGRGIGMSGRTGSRKSFTNKMGKQPVESMGESLHGMDSGSKAERVEGKESTKGQSIPHSGQSNLKRNLCSEEDVDAPLQSGIIRVFEQPGIEAPSDEDDFIEVRSKRQMINDRREQREKEIKAKSRVAKLPRKTRSASQSTVTMANSSKGSISTGEVSAAADGHRTTKSDSSSGYNPNLLSQALPPIGTPTTKIDAQPDLRSQTNRSLHTSLPSVSGRESKNKVHENVQTSLGSWGNVQISQQVMALTQTQLDEAMKPQQFDSQASSANMEASVTESSLPAPSILIKEKAFSSAGSPINSLLAGEKIQFGAVTSPTVLPPSSRGVSHGIGPPRSSRSDMQISHNLAESDSNCSLFFEKGKRGNESHGHLEDCDAEAEAEAAASAVAVAAIGSDETVGNRLGSCSVSVSDAKSFVAEDIDRVVAAGVGREQQSASQSRSEEPLSVSLPADLSVETPPISLWPALPNAQNSSGQMISHFPAVPPHFPSGPPSHFPFYEMNPMMGGPVFAFGPHDESASTTQSQPQKTTAPSSRPIGSWQQGHSGVESFYGPPTGFTGPFIAPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHIPTTSAAGTSEGDVNSMNMTSSQRNPASMPSQIQHLAPGSPLLPMASPVAMYDVSPFQHSTEMSVQARWPHVPNAPLSSIPLSMPLHQQESVQTSQMSHGPSVDQPLNVKRFTSSRTSTSSDSDRNFSRAADVNVNQLPEELGLVETSNSTASKTLSKGIINKTQSEKTSTNAAAKVDVQNGNSSKSNNQNASSGYRTQPSQQINVASTQQQHYDHSSGHSNYQRGGGGVSQRNSSGGEWSHRRYHGRNQSMGGDKNFSSAKVKQIYVAKQTISGSSTVS >CAK8536335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932013709:932015349:1 gene:gene-LATHSAT_LOCUS5712 transcript:rna-LATHSAT_LOCUS5712 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPRDYNLVEQNKKILDFIEDVTSKPDHVQEQVLSEILSRNANVEYLKRYNLNGNTNRDTFKKLIPVISYEDIQPDIERIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYNLLMPVMSQFVPGLEKGKGMYLMFIKSEATTPGGIVARPVLTSYYKSSYFRDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVLRVGAVFASGFIRAIRFLEKHWTLLANDIRTGTVNSLITDITVRDFVMKILKPDPKLADFIQTECSKSSWQGIITRLWPNTKYVDVIVTGTMSQYIPILDHYSNGLPLVCTMYASSECYFGVNLNPLCKPREVAYTLIPTMCYYEFLPVNRTNGVTDSLHTPRSLNEKEQKELVELVDVKLGQEYELVVTTYAGLYRYRVGDVLKVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAMANAVTHLVPFSATVSEYTSYADTTTIPGHYVLYWELTLNKSKPIHHNRTKPASLYTPATTTARIHQKGKKIKEKEKGIWNLLQKPP >CAK8536906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:37062409:37062861:1 gene:gene-LATHSAT_LOCUS6231 transcript:rna-LATHSAT_LOCUS6231 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEGLNNVINSTTVVAVLIATVAFTAISIVPGQFVDNPKNIPKGKSLGEANIAPQAAFLIFFVFDSFVLFISLAVVVVQTSIVVIESKAKKQMIAIINKLMWLACVLIFVSLLALSFVVIGKQVKVFSVSVVMSDSEILNNERTKMYAI >CAK8564380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671166608:671172903:-1 gene:gene-LATHSAT_LOCUS17692 transcript:rna-LATHSAT_LOCUS17692 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKSSTLASLNSTESDKSPKGSLDTPIIPLINTLNQNPNYFTTSSCSGRISILSQPLSPIPSLQTKKKAKGGTWLFVSHHPAEPDSLVSLLFSSESTNSPNSPISELVFRFEPLIIAIECKDLSSAHSLVSLAISSGFRESGITNANKRVIIAIRCSIRMEVPLGDTDKIMVTPEYVCFLVQVANEKMEANRNRTERFLRLLQSNGSMPKDNSNCLLPTNGVELVCNHIQFENESQLTNGNASEASSGYLGSPGFNLPIAHIEIVGEPVEKLFLWGHSACALDNVKHKKVIVYGGFGGLGRHARRNDLLLLDPYSGNLETIDTFGSVCPSPRLGHTASLVGDSMFVIGGRTGPDKILSDVWSLDTTKNSWKLLQCGGSVFPPRHRHAAAVMGSDIYVFGGLDDDVIFPSFYVLNTISLQWKEIPVSGDWPYARHSHAMVASDSQIFMFGGYDGRKALGDLYSFDVQTGQWKKEITAGRNPHARFSHSIFVYKNYFGVLGGCPVTQHYHELALLDLKLRTWKHVTLNSVGKDLFVRSTSNVVGDDLVIVGGGASCYAFGTKFSEPAKVSLLHLIHSHDDFMPFENQRQHMIGQNGAMKGNKVENSQGLLEHLPNISENESLYFNDNMPHINGQSQMIPLHCVLQLEKKYAKQGKDILKKFGWLDLGRKAYSEEGGVHICFPICKELFAVFHERSCHSGDDAIDLENEIPLSKPLTQDGYLLNKLSCSEALTLLHEYGAILLEDKVVETKKAAMSPLKVMTEAVTSLIEKKGLPTELLDELPARWDRIGDIVILPTTSFKNILWNSIADELWLIVAKSLKAHRLARQGPIAATGTRDSTLEILVGDDGWVNHRENGILYSFDATKCMFSWGNLSEKLRMAKLNCKNEVIVDLFAGIGYFVLPFLVRAQAKLVYACEWNPHAIEALRHNLQSNSVADRCILLEGDNRNTAPKGVADRVCLGLIPSSECSWVTAVRALRREGGVLHVHGNTKDSEECQWADHVSKSISEIARSEGYYWEVSIEHVERVKWYAPHIRHVVADVRCRQIQR >CAK8560430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18945197:18948215:1 gene:gene-LATHSAT_LOCUS14099 transcript:rna-LATHSAT_LOCUS14099 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPTSVRKVVVHLRATGDAPILKQSKFKIAGTDKFAKVIEFLRRQLHRETLFVYVNSAFSPNPDELVVDLYNNFGFDGKLVVNYACSMAWG >CAK8572524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543481932:543484241:1 gene:gene-LATHSAT_LOCUS25082 transcript:rna-LATHSAT_LOCUS25082 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQKRRIRLFVIVAAVIALSITAEKCREFIGEEGSSQSGKFTILNCFDMGSGTVACAVKEGVKLYFYSIRSTHVEKARQLAIESALVDAVSQGMSPTDSAKHAQKESKKAAKLASRQAKRIIGPVISSGWDFFEAIYYGGTLTEGFLRGTGTLFGAYGGAYLGEQSLGRIGYLVGSHMGSWVGGRIGLMIYDVVNGVHLLLQFVQTGEVEVHKTPVNENFDAPDTSFDGEVPFSESSESSSLYESSPSEESNAYESTEYENYESYENSEL >CAK8533526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:632154041:632155074:1 gene:gene-LATHSAT_LOCUS3148 transcript:rna-LATHSAT_LOCUS3148 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQQSSSSRISDDQIIELVSKLRQLVPEIRHRRSDKVSASKVLQETCNYIRNLHREVDDLSERLSQLLLTIDADSPEANIIRSLINQ >CAK8569006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662500341:662501584:1 gene:gene-LATHSAT_LOCUS21904 transcript:rna-LATHSAT_LOCUS21904 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILFIGGTGYIGNHIVEASAKAGHPTFALIRESTLSDPAKANLLNHFKSLGVHLVPGDLYNHEKLVKAIKEVDVVISTVGEDLIADQVKIIAAIKEAGNVKRFFPSEFGNDVDRVHVVEPVKSTYDTKVKIRRTIEAEGIPYTYVSNNYFAGYFLATLAQAEQFDPPPPKDKVFIYGDGNTKAVFNKEDDIATFTIRAVDDPRTLNKVLYIKPPNNIYSFNELVALWENKIGKTLEKSYIPEDELLQDIKEADYPINVMLALNHCVFVKGGQTNFVIEPSFGVEAFELYPDVKYTTVEEYLNQFV >CAK8560673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35449109:35450245:1 gene:gene-LATHSAT_LOCUS14331 transcript:rna-LATHSAT_LOCUS14331 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVIIPKCSSSDSDSNHDDHANKNANFALVLSQDLMFHIFTLIPPKCLLSSVRYVCKPWADAIASSHFAEACQCSHARSKPGLYVENHKSHINSYFLEFKDDVNGRFERTELGTPKSMGCVVGSCDGILLLSSSDKQLFVVNPGLKWWLRIPPLPASKGFSHRCTIARVPRTAKFKLFFVDVHMYLGAFWYVFYVLRVGIDNSWKEIARKQAPLRYYLSWKPLCRGDNDLYWMTIEGIIVMDVDKEIIVREYLFHGPPIKYLWMENHLSCIMSNDSYRTYRIYSLDFDSGKWSLYHKMGPFDYMAACGRNLNTEPVIFSLWIKDQIIFRVGLSNNPDKIENMYFGYNVKTKQLSKIEDIDAGDFEVWLHTNSLVSLR >CAK8533853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665314853:665317161:1 gene:gene-LATHSAT_LOCUS3444 transcript:rna-LATHSAT_LOCUS3444 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLFIASLTFFFSILVKFSSSISDIDALLILKTSFQNTNAALSSWNTSIPPCNGGNANWSGVLCYKGHVWGFKLENMNLTGTIDVNAIKDLPYIRTISFMQNQFDSPWPNLNKLPGLKTIYLSDNKFSGDVPDDAFKGMQWLKIIHLSNNQFTGPIPSSLASLPRLISLKLDGNKFSGPIPQFQRTLKSFSVANNQLEGQIPATLSKIPASSFSGNEKLCGAPLEPCSSQKKSSSPSSSSSSPSSLSPSSSSIDVNGILVVVLVSLALLVIASVILFFLRRRKKRDQRSIREGRGVGLISNTSNNTVDCSSIQKRGPSSSSNNNSDDPGSSTKSRGSSNNSSKREEMKLTFVREEASEEFDLYDLLKASAEILGSTCHSSSYKATLLTGSTVVVKRFKQMNNLGKEEFKEHMRRLGRLNHPNLISLLAYYYKRDEKLFITNFIHNGCLGSRLHGCHAIGQVGLDWPKRLKIVKGVAQGIEYLYKEMPNLIAPHGNLKSSNVLLSESLEPILTNYGLVPVITQDVAHEIMVAYKSPEYLQHGRITKKTDVWSLGLLTLEILTGKVPANIIQQSGVSLVSWVGSVAQEEWTSRVFDKDMEFSTSNEGEIFKLLKIALACCDMDVDKRLDLNEAVDRIQELQIQEDNIEEDL >CAK8537637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:412564828:412565718:-1 gene:gene-LATHSAT_LOCUS6905 transcript:rna-LATHSAT_LOCUS6905 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFYGFESLIDKDSDKINGEKPKVERKSTEACKSHREAERRRRQRINNHLSTLRSLLPNTAKSDKASLLAEVVQHVKRLRKEADVVANRWNDEPSSSCSGEPGSVVSGEEAEAWPFPGESDEATVGCCGEEEGGARRMKVTVCCEDRPGLNRDLAQVIRSVRANPVRAEIMTVGGRSKSVVVVEWGDDGREGKEVEALERGLKAVMENRAFVDSGMGPLLLGRKRARDSSKVECSLLLRNENFC >CAK8541240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:94773009:94776321:1 gene:gene-LATHSAT_LOCUS10173 transcript:rna-LATHSAT_LOCUS10173 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVSAGYAALEFVRRGVSHGELCIISDESVAPYERPALSKGYLLPEAAARLPSFHTCVGANEERLTPKWYKEHGVELVLGTGVKSADVKRKTLLTTTGETISYKFLIIATGARALKLEEFGVNGSDAENVCYLRDIADANRLVNAIQSSPGGNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMARLLTPKIASYYEEYYKSRGVKFIKGTVLSSFDFDSNGKVTDVTLRDGTKLSVDMVVVGIGIRPNTGLFEGQLTLEKGGIKVNGMFQSSNSSVYAIGDVAAFPVKAFGEKRRLEHVDSARKSAKHAVSSIMDPEKTGEFDYIPFFYSRVFTLSWQFYGDNAGEVVYYGDLSASGSTFGAYWVNKGHVVGAFLEGGSREEYEAIAKTTTLRPAVEDLTELERLGLGFAVAVSQKPVALPPPIEVSGSTSGLLLEKPLYAWHATAGVVLAASIAAFAYFYGKRRRRW >CAK8544752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704422640:704423065:1 gene:gene-LATHSAT_LOCUS13407 transcript:rna-LATHSAT_LOCUS13407 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLCVNGCGFYASSNNNLCSQCYNDYLKENIEKSNDHESCVFESTSSSSMTHNIDSICEAMAAASLADNQNIKIEKNRCKSCNKKVGLLGFNCRCGNVFCKMHRYPEEHACKVDLKKIGRQILDKQNLLCMSDKLRHRV >CAK8566280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399351008:399351211:1 gene:gene-LATHSAT_LOCUS19423 transcript:rna-LATHSAT_LOCUS19423 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGTVHSPGLGSGTAISRFPNSCQLVLDRSDRVFIIWSKRIRTFACRYQKPMPYHLAILHTTFVR >CAK8540736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18226044:18226541:1 gene:gene-LATHSAT_LOCUS9710 transcript:rna-LATHSAT_LOCUS9710 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLRRKGFIKNYWVWTYNGEQLPSNVHTETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLF >CAK8562312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:470758207:470759942:1 gene:gene-LATHSAT_LOCUS15819 transcript:rna-LATHSAT_LOCUS15819 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDQGDNEDHTNTFNKYAFACSVVASIVSIVSGYDTGVMSGALIFIKEDLGISDEQQEIIAGILNVCALVGSLTAGRTSDYIGRRYTIFLASMLFMLGAVLMGYGPNYVVLFIGRCVCGLGVGFALMIGPVYSAEISSAASRGFLTSLPEVCIGLGILLGYVSNYFLGRHLSLKLGWRIMLGIAAIPSIVVAFGILAMPESPRWLVMQGQLGKAKKVLLQVSNTTEEAEHRFKDIKIAAGIDENCNDEVVKLTQKSSQGQGVWKELILRPTPPVRWMLIAAVGIHFFEHATGIEAVMLYSPRIFKKAGVTSKDKLLLATIGVGLTKVIFLISALFMLDKVGRRRLLQVSVAGMVVGLTTLGFSLTMVEQAHEKVSWALTLSILATYFYVAFFNMGLAPVTWVYSSEIFPLRLRAQGASICVAVNRSMNALVSMTFISIYKAITIGGSFFMFAGISLIAWFFFYFFLPETKGKALEEMEMLFTKKSKGKNVAMVNDPTQSV >CAK8541503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:207132519:207132731:-1 gene:gene-LATHSAT_LOCUS10420 transcript:rna-LATHSAT_LOCUS10420 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETLKYGSKGRILLPYSDRGEQAIRQGDSEIGESWFDQAAEYWKQAIALTPGNYIEAQNWLKITGRFE >CAK8563933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639818719:639821068:1 gene:gene-LATHSAT_LOCUS17289 transcript:rna-LATHSAT_LOCUS17289 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSFNRTERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTALYHNARKRCHISSESAKTVSRRKTGNDMLPPKHTTKNKVWNRNLPKHTTKKVLNRNMGDEVPQKHTTKKVLNRNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKNMGDEVPQKHTTKKVLNRNMGDERERTQVPQKTSISICKYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALPNGSEILYSGSTDGTLRSWDCHTGQCANVMNLGAEATSLISEGPWIFVGLPNTVKAWNTETASQFTLDGPKGRVLSMTVGNDTLLAGAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLIVGVVKMLFSGSKDQSIKVWDLDTFECKMTLNAHTDEVTSLLCWDNFLLSGSSDCTIKVWYKTEAETLEVAYSHKLENGVVALSGMTDPKIKPILFCSTRDNSVRLYELPSFAERGRLFAKQEVGLIDIAPDGLFFTGDRTGLLTVWKWEEPKVEVVASS >CAK8563932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639818719:639821068:1 gene:gene-LATHSAT_LOCUS17289 transcript:rna-LATHSAT_LOCUS17289-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSFNRTERVIGTTCIFWLQGRCNRNPCKFLHSETPSILSTGSCRDDTALYHNARKRCHISSESAKTVSRRKTGNDMLPPKHTTKNKVWNRNLPKHTTKKVLNRNMGDEVPQKHTTKKVLNRNMGDERERTQVPQKTSISICKYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALPNGSEILYSGSTDGTLRSWDCHTGQCANVMNLGAEATSLISEGPWIFVGLPNTVKAWNTETASQFTLDGPKGRVLSMTVGNDTLLAGAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLIVGVVKMLFSGSKDQSIKVWDLDTFECKMTLNAHTDEVTSLLCWDNFLLSGSSDCTIKVWYKTEAETLEVAYSHKLENGVVALSGMTDPKIKPILFCSTRDNSVRLYELPSFAERGRLFAKQEVGLIDIAPDGLFFTGDRTGLLTVWKWEEPKVEVVASS >CAK8535697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875228076:875228432:-1 gene:gene-LATHSAT_LOCUS5129 transcript:rna-LATHSAT_LOCUS5129 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQRSAVSFRRQGSSGFVWDDRFLQEEINKINKNNQSNNQEQEKDEIKEINRDEPPQLGSINTVQRSRSTGGGRGFRTGKVSPAIEPPSPRISACGFCGAFGKVGEKTKPPKHRSR >CAK8534747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763239429:763239795:-1 gene:gene-LATHSAT_LOCUS4263 transcript:rna-LATHSAT_LOCUS4263 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDSLSQIQKKSKGKSNGNVSSSRPKNCSQTPQSQCDNVTITPHMDVTSRHVSLMFELQPMMPVGKLVTHQMHVMQHMYPMHEIPVGENSSCFSLL >CAK8569613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6841300:6842652:-1 gene:gene-LATHSAT_LOCUS22446 transcript:rna-LATHSAT_LOCUS22446 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKMLTLLITDQYPAMKVAIENIFTTSTHRFCMWHIMKKVYEKIGGSMNANEEFNTSLKSCVWGSETPNEFEATWKSIMTKFELENNDWLSHMFDIRDMWIPAYFKDIFLVGILRTTSRSESENSFYGNFLNPNVSLVEFWMGFDSAIEAQQHKELLTDNNSNHSTPKLIMDRGIEKHARDVYTCENFYIFQNELWLACVDCGIENKKEQDGIEIFHIYDNGKVNSKLKEMVYNLSDHNANGSCKMFQTEGIPCKHIFCILKGKFLNKIPSKYLVNRWTKFANRKPILDIAENVLDKFSNSEKDNNLISDVWDHLLKCLEKVGQDKEKLLLVLNGVVNMEKQLDEFEESYNQTKIGDLQTFIGSNIPEEVKILPPQFVKTKGSGKRIKGGKEKPLEQKKKEQGFVRHVVNMLIMIVAVAQKNHLHRYKKNIIERNKNKVITEYRRKNIT >CAK8569614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6841300:6842226:-1 gene:gene-LATHSAT_LOCUS22446 transcript:rna-LATHSAT_LOCUS22446-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSAIEAQQHKELLTDNNSNHSTPKLIMDRGIEKHARDVYTCENFYIFQNELWLACVDCGIENKKEQDGIEIFHIYDNGKVNSKLKEMVYNLSDHNANGSCKMFQTEGIPCKHIFCILKGKFLNKIPSKYLVNRWTKFANRKPILDIAENVLDKFSNSEKDNNLISDVWDHLLKCLEKVGQDKEKLLLVLNGVVNMEKQLDEFEESYNQTKIGDLQTFIGSNIPEEVKILPPQFVKTKGSGKRIKGGKEKPLEQKKKEQGFVRHVVNMLIMIVAVAQKNHLHRYKKNIIERNKNKVITEYRRKNIT >CAK8565636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296044341:296052814:-1 gene:gene-LATHSAT_LOCUS18830 transcript:rna-LATHSAT_LOCUS18830-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVVAEAATVAALRSVMLRVQQAAERSGTKPDRVRVVAVSKTKPISMIRQLYDAGHRCFGENYVQEIIEKAPQVLHFFHHYFRLPQDIQWHFIGHLQSNKAKALLNGVPNLAMVEGVDNQKVANNLDRVVSTLGRNPLRVLVQVNTSGEESKSGVDPSNCVDLAKHVKLSCPNLEFSGLMTIGMLDYTSTPQNFQTLSNCRTEVCKALEMDEEQCELSMGMSGDFELAIESGSTNVRVGSTIFGPREYAKKQ >CAK8565635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296044341:296052814:-1 gene:gene-LATHSAT_LOCUS18830 transcript:rna-LATHSAT_LOCUS18830 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVVAEAATVAALRSVMLRVQQAAERSGTKPDRVRVVAVSKTKPISMIRQLYDAGHRCFGENYVQEIIEKAPQLPQDIQWHFIGHLQSNKAKALLNGVPNLAMVEGVDNQKVANNLDRVVSTLGRNPLRVLVQVNTSGEESKSGVDPSNCVDLAKHVKLSCPNLEFSGLMTIGMLDYTSTPQNFQTLSNCRTEVCKALEMDEEQCELSMGMSGDFELAIESGSTNVRVGSTIFGPREYAKKQ >CAK8569875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14073132:14074351:-1 gene:gene-LATHSAT_LOCUS22680 transcript:rna-LATHSAT_LOCUS22680 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVARRLSSLFKQPGFTTEPFAAQLQQSRGIRVQVYNGNLEGALALMQRKMTSSGIERMIKIEQRFHIKNSEKRVLAQKNLQRRLRSQDLARKLKAIMIKKVRGL >CAK8575227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56844825:56845176:-1 gene:gene-LATHSAT_LOCUS27509 transcript:rna-LATHSAT_LOCUS27509 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPYDTSLLVKYENHVARHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSGLASL >CAK8561133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:100849665:100850999:-1 gene:gene-LATHSAT_LOCUS14749 transcript:rna-LATHSAT_LOCUS14749 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSVQVLSTTTIHAQNHNLNGSIDRTIDLTPWDLQLLPFGYNQMSFVYRQSIKTDILNQIQHLKQSFSSTLDFFHIFAGRLKITQHEDNTISCSIKCNNEGALFVHAAAKYITVDDILKPTHLPPVHHSLFLMDGVKNYQGTSQPLLAVQVTELDDGIFIGITSNHVVGDGNLFSSFYNLWAKTSRGSLEVSKTPIFKRWFPKGVECPIRFPLTIEAQSNLKKNKLTLPERIFKFTKENIAKLKFKANLEAGTKNISSLQALLTHIWRSFIRSQKLDHQIDVSFSIDISVRRRLDPPLQENYFGNAIIECLVIMKAGELLDDGGFGKGALKMNKMIALHTNEKIRTHYEKWLIKPSFYVTPNDVSHKNCLVIAYSPWFDVYGNDFGWGKPVGVRSGGADKREGKVNVFAGAEQGSMELEVCFSHEILEAMTNDPEFMDVVSN >CAK8561134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:100849665:100850993:-1 gene:gene-LATHSAT_LOCUS14749 transcript:rna-LATHSAT_LOCUS14749-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQVLSTTTIHAQNHNLNGSIDRTIDLTPWDLQLLPFGYNQMSFVYRQSIKTDILNQIQHLKQSFSSTLDFFHIFAGRLKITQHEDNTISCSIKCNNEGALFVHAAAKYITVDDILKPTHLPPVHHSLFLMDGVKNYQGTSQPLLAVQVTELDDGIFIGITSNHVVGDGNLFSSFYNLWAKTSRGSLEVSKTPIFKRWFPKGVECPIRFPLTIEAQSNLKKNKLTLPERIFKFTKENIAKLKFKANLEAGTKNISSLQALLTHIWRSFIRSQKLDHQIDVSFSIDISVRRRLDPPLQENYFGNAIIECLVIMKAGELLDDGGFGKGALKMNKMIALHTNEKIRTHYEKWLIKPSFYVTPNDVSHKNCLVIAYSPWFDVYGNDFGWGKPVGVRSGGADKREGKVNVFAGAEQGSMELEVCFSHEILEAMTNDPEFMDVVSN >CAK8541697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:357319381:357319669:1 gene:gene-LATHSAT_LOCUS10595 transcript:rna-LATHSAT_LOCUS10595 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIKVMVNPQIVDCGIWCAASFVSQQVL >CAK8564369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670484693:670485037:-1 gene:gene-LATHSAT_LOCUS17681 transcript:rna-LATHSAT_LOCUS17681 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCKAASSMEWGGEDWESLKVHDEAVHQNKENDVLGKLRDSCDVNGKVTLKISRRELAELLGAIQQPQKQMKKKKKELGSAEEVLYRLIKAKDHGIVSKHWKPMLESIPESY >CAK8532594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:313337144:313337860:1 gene:gene-LATHSAT_LOCUS2283 transcript:rna-LATHSAT_LOCUS2283 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLYYHDDGYFLLRFNSQGDKEVVMMKGPYTIRNMPMILKEWKTGFNLKKDLLRTLPIWVTLPQLPLHLWGGKSLSKIGSAIGKPLVTDECTANKFRVSYARLLIEVDITQPLIDEIAIRNVEGDIIMQPVKYEWKPTFCETCQKMGYKCEDRGKNQQWRLKPKPPEIAKTITPVKQPEREEATGEGGESWTKARKYVRDKGKNILTDTNSNINCNNGFEALEVLNDHQVISNLEPC >CAK8560020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5026458:5027900:-1 gene:gene-LATHSAT_LOCUS13739 transcript:rna-LATHSAT_LOCUS13739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKTSEISVTTKLDYDRASELKAFDETKDGVKGLVDASITNIPRIFHHEFHNDSPLSSTAKLVVPSVDLVDIHLDPTKRKTVVEKIREASETWGFFQVVNHGIEVSVLDEMKSGVIRFFEQDSEVKREFYSRDATKPLVYNSNIDLYSSPAASWRDTFHCFLAPHSPKPEDLPSVCRDIMLEYSKQVMKLGSVLFELLSEALGLNPNYLNDMRCNEGLAAVYHYYPSCPQPELTLGAIKHTDNDFLTVLLQDHIGGLQLVHENSWVDVSPIPGALVISIGDLLQLITNDRFKSVEHRVVANYAGPRISIASLFSTSLQPSTKLYGPIKELVSEDNPPKYRETTVRDYVEFCMTTGSYGTSHLPYFKI >CAK8560019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5018550:5045485:-1 gene:gene-LATHSAT_LOCUS13739 transcript:rna-LATHSAT_LOCUS13739 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKTREVSVTAKPDYDRASELKAFDETKDGVKGLVDAAITNIPRIFHHKFHKDSPSSSTTKLVIPSVDLVDIHLDSTKRKTVVEKIREASETWGFFQVVNHGIQVSVLDEMKNGVIRFFEQDSEVKRELYSRDATKPLVYNSNVDLYSSPASNWRDSFYCIMAPHSPKPEDLPLACRDILLEYSKQVMKLGNVLFELLSEALGLNPNYLNDLKCNEGLAAICHYYPSCPQPELTLGTTKHADNDFITVLLQDHIGGLQVLHDDNWVDVSPLPGALVINIGDLLQLITNDRFKSVEHRVLANHVGPRISVACFFSTGYRSSSKLYGPIKELLSEDNPPKYRETTVAEYAAYFDTKGLDGTSALTHYRI >CAK8577156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540974993:540978016:-1 gene:gene-LATHSAT_LOCUS29286 transcript:rna-LATHSAT_LOCUS29286 gene_biotype:protein_coding transcript_biotype:protein_coding MIITKPFHFVHHFKLSSLIDSCKSMQQIKQTHAHLITTAQISHLVIANKFLKHVALACLSYAHKLFDQIPQPDLFIYNTMIKENSLSPRSCCDSFAVFRSLIQDSGLSPNRYSFVFAFGACGNGLCVREGEQVFSHAVRVGLDNNVFVVNALIGMYGKMGLVEDGRRVFESAMDKDLFSWNTMIAAYVGSGDIVVAKELFDEMHERDVVSWSTIIAGYVQAGCFMESLDFFHKMLQAGVKPNEYTMVSALAACSNLVALDQGKWIHVYIRRHKIRMNDRLLASLIDMYAKCGEIESALSVFYEHKVKRKVWPWNAMIGGLAMHGKPEEAINLFEQMKVEKVSPNKVTFIALLNACSHGYMVKEGKLYFELMTSDYGISPELEHYGCMVDLLSRSGLLMEAEDMILSMPMAPDVAIWGALLNACRIYKDMERGYRIGRIIKEIDPDHIGCHILLGNIYSTSGRFNEARTLRDSNDRKKIPGCSSIELKGLFHQFLVGDRSHPQSREIYLFLDEMISKLKIAGYVPELAEVLLDIDDEEDKETALSVHSEKLAIAFGLMNTEPGTPIRIVKNLRVCADCHQATKFISKVYDRVIIVRDRMRYHHFKDGVCSCKDYW >CAK8577157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540974993:540977779:-1 gene:gene-LATHSAT_LOCUS29286 transcript:rna-LATHSAT_LOCUS29286-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKENSLSPRSCCDSFAVFRSLIQDSGLSPNRYSFVFAFGACGNGLCVREGEQVFSHAVRVGLDNNVFVVNALIGMYGKMGLVEDGRRVFESAMDKDLFSWNTMIAAYVGSGDIVVAKELFDEMHERDVVSWSTIIAGYVQAGCFMESLDFFHKMLQAGVKPNEYTMVSALAACSNLVALDQGKWIHVYIRRHKIRMNDRLLASLIDMYAKCGEIESALSVFYEHKVKRKVWPWNAMIGGLAMHGKPEEAINLFEQMKVEKVSPNKVTFIALLNACSHGYMVKEGKLYFELMTSDYGISPELEHYGCMVDLLSRSGLLMEAEDMILSMPMAPDVAIWGALLNACRIYKDMERGYRIGRIIKEIDPDHIGCHILLGNIYSTSGRFNEARTLRDSNDRKKIPGCSSIELKGLFHQFLVGDRSHPQSREIYLFLDEMISKLKIAGYVPELAEVLLDIDDEEDKETALSVHSEKLAIAFGLMNTEPGTPIRIVKNLRVCADCHQATKFISKVYDRVIIVRDRMRYHHFKDGVCSCKDYW >CAK8538216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471381783:471382717:-1 gene:gene-LATHSAT_LOCUS7439 transcript:rna-LATHSAT_LOCUS7439 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDGVVAIFPDKKRPLLTTKSWDFIGFPMNVKRNSYESDVIIGVIDSGVCPQSESFNDKGFGPPPKKWKGVCESYNFTCNNKLVGAIGVLTQGQGFRDVADYFPLAGSYLQPKDASSIHKYIHSVRTPMATTFKSHELENALAPVVATFSSRGPNLVTPDILKLDLIAPGVDIIASWSPIIVIFLFLV >CAK8567513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519922015:519924376:-1 gene:gene-LATHSAT_LOCUS20559 transcript:rna-LATHSAT_LOCUS20559 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTESQKNPVTYMHTVSEAGRLLPSSSRWNSIALDFKLTPKSSISYDSIPSKYPKSVDCNLVITDKKHFHLFVSVTVTIFFAIIGLALLLHFLPQKHKHQDSSINLKLAINQALTFYDAQKSGSYPRNSSVKYRGDSGLQDGYSEKTNLIGGYYDSGNNIKFTFTTAYTMTLLSWTAMEYQTKFADIDELDHVRDIIRWGTDYLLKVGSTISTNSEPNDINCWERPEDMSYGRPVSVCDGSATDLAGEVVAALSAASMVFKDDKDYSGKLVQAAENVYEVVTKEDPKKQGTYTNVDACGKQARMLYNSSSYKDELAWGATWLFLATKNTDYLANATEFFLSAKSDETNLDKGVFYWNNKLNAVAVLLAGIRYFRDPGFPYEDVLKFSSNSTHSLMCSYLFKKFMSRTPGGLILPKPDNGPLLQYAATASFLSKLYSDYIDHLKISGASCETDEFSVATLRDFASSQVNYILGQNPMKMSYLVGYSDKFPVQVHHRSASIPWDKRRYNCNDGKTWLNSKNPNPQVLLGAMVGGPDTNDNFIDQRSNQRFTEPTIASNAGLVAALIALQDPSNNSHDLKNSLWEWT >CAK8567512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519922015:519924376:-1 gene:gene-LATHSAT_LOCUS20559 transcript:rna-LATHSAT_LOCUS20559-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTESQKNPVTYMHTVSEAGRLLPSSSRWNSIALDFKLTPKSSISYDSIPSKYPKSVDCNLVITDKKHFHLFVSVTVTIFFAIIGLALLLHFLPQKHKHQDSSINLKLAINQALTFYDAQKSGSYPRNSSVKYRGDSGLQDGYSEKTNLIGGYYDSGNNIKFTFTTAYTMTLLSWTAMEYQTKFADIDELDHVRDIIRWGTDYLLKVFITSTASNLTLYSQVGSTISTNSEPNDINCWERPEDMSYGRPVSVCDGSATDLAGEVVAALSAASMVFKDDKDYSGKLVQAAENVYEVVTKEDPKKQGTYTNVDACGKQARMLYNSSSYKDELAWGATWLFLATKNTDYLANATEFFLSAKSDETNLDKGVFYWNNKLNAVAVLLAGIRYFRDPGFPYEDVLKFSSNSTHSLMCSYLFKKFMSRTPGGLILPKPDNGPLLQYAATASFLSKLYSDYIDHLKISGASCETDEFSVATLRDFASSQVNYILGQNPMKMSYLVGYSDKFPVQVHHRSASIPWDKRRYNCNDGKTWLNSKNPNPQVLLGAMVGGPDTNDNFIDQRSNQRFTEPTIASNAGLVAALIALQDPSNNSHDLKNSLWEWT >CAK8535512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856453388:856453753:1 gene:gene-LATHSAT_LOCUS4967 transcript:rna-LATHSAT_LOCUS4967 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTRPTLPNHFHKFLKPGALARIRDSKITARSHRLNSLSQISIYRPPSSPPPPISTDQPQPNASDGFPFFSSGIYGPRCPQRKKLFAAKSVFFVPGSPAADSVDLVADSFGGGDIIAAN >CAK8566493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428889344:428889908:1 gene:gene-LATHSAT_LOCUS19619 transcript:rna-LATHSAT_LOCUS19619 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASEPVGYPGGPYETSVLVKYEHYVARRIWISEERGSKKELKVVGHGLKLIQRVPLQLPREMEGWIFRSGLASLERTSLTKIDTNLVSAFAKRWHLETSSFHMMFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWAVDYLGVS >CAK8540727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17426243:17427383:-1 gene:gene-LATHSAT_LOCUS9701 transcript:rna-LATHSAT_LOCUS9701 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNSRKPSEIFTAQAQLYKHMYAFIDSMCLKWCVKMNIPNIIHNHGQPISLSNLVSILQVPSTKFGNVQRLMRYLAHNGLIEIVKNQELEEAYALTVTSELLVKGTKFCLASVVESYLIPEAIDSFHQLNKWICEEDLSLFDVAVGSGFWEFIDTNPERHRSFNEAMASDTKIIKLAMKDCNLVFEGLETIVDVGGGNGTMGKIITETFPKLKCVVFDRPEVVENLSGNNNLTYVSGDMFVSIPRADAALLKFILHDWTDKDCIKILKKCKEAITSDEKRGKVIIIDAVINEKKDDIQITQIKLQMDIFISSINGKERNEEEWKKLFVEAGFKDYKISPLTGLLSLIEVYP >CAK8573168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:590821557:590822618:1 gene:gene-LATHSAT_LOCUS25646 transcript:rna-LATHSAT_LOCUS25646 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSIFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFCLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVVGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHEHNAHNFPLDLATVEAPSING >CAK8576155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416223700:416223930:1 gene:gene-LATHSAT_LOCUS28366 transcript:rna-LATHSAT_LOCUS28366 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDQASSHPLLLVFECWDPIFSRSIGRYLPIDRSHLRKSLRAQLALFVDVTRAGRGIARFDVGAAELPYLTNEA >CAK8533494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625778269:625781967:1 gene:gene-LATHSAT_LOCUS3117 transcript:rna-LATHSAT_LOCUS3117 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSYNVRGCCSSLKRRRISQTLQRGNADICLIQESKVTNMENGLACSIWKDSDVEWSALNSNGRSGGIITLWNKTEVSSLFSFCGAGFLGIQFLWKNQNLIVVNVYAPCSSVDRRKLWRDLIKIKSNLPGAGWIVAGDFNEIMNEEERKGSSVNSLKDMEVFREFIAEMNLTDLPAVGNKFTWFNSSGNCRSRLDRFLVDDTAISMLSLLNQLVGDRDVSDHKPVWLKSNFVNWGPKPFRSFNCWLSHKDFIPFVKKSWCSYHVSGSHCNILIKKFSTLKSDIRNWNRNVFGWLDLKIEENVSNLNSLEMNIDLISSSNLAELNKDRLRAQEEMWKNLRIKESMLAQKSRLKWLQDGDHNSKFFHDSLRARYRSNCISAIRMGVGLVEEPDAIKSEAVKYFKERFKSNSSPKFTFDFDHIVCLAEEDRNCLEADFSHAEVRSAVFSCDGNKCPGTDGFNFSFIKSCWEILGEDFSNCILEFFNTGYLLKSFASSFISLVPKTKNPQHFEDFRPITLVSCVLKVISKMLASRLRKVIHKIISPSQTAFIPERQIYDGVLLANEVADFAKRSKMGCFFFKVDFAKAYDCVDWFYLDALLVKMGFGTKWMKWIRGSVFNSFVSILINGSPSKDFRTGRGLKQGDPLAPFLFAIVAEGLSSLVKSAVDSNMLSQFLIHGQPTTSILQFADDTLLIGDGSAANIWAFKAVLRAFEIISGLKINYSKSCLYGIHTDLELLVAAEDFLHCKSGKLPFSFLGITVGGNHRRYSFWKPVLLNLKNKLSTWNGRNLSMGGRVTLINSILANLPIHYLAFFKAPIKVVKDIIAIQRRFLWAGNSSKSSIPWVSWNTVCKSKEDGGLGIKHVGRFNCALLAKWLWRFQTGDNEIWRNTLTFRYCNLSIKTQTYSVVVSSKSDSLWMKDIMNNASLNPNANFCKYTTCSVGEGSEAAFWLSVWIGEVPLQVRFNDLYQSCSLKLGSVRDMGYWEDGQWNWNLRNSLLDSDNPPEPDWSDCCKLLENTSVIPGTTDKWRWSLHESQSFKVSSFYSVLYPSLSDQDVGSDCASLIESIWKTDIPAKVKTFSWRLALDRLPTRSNLLKRRVLESNQDLDCVFCSSSMEDVSHLFFSCYKSNQVWIKICEWADIDIISEIFCFSHAKVWNSSLRGRCQVNRVNSLWFITCWTIWRSRNNCFFNNVVTEVDSIVFDIKLNSWKWLILGRKGSKPCCLYDWFKFPFDFL >CAK8564732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7812655:7814270:-1 gene:gene-LATHSAT_LOCUS18004 transcript:rna-LATHSAT_LOCUS18004 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRGIWEGIKPEVFMVFVQIAFATMAIIYKLAINDGMSMRVAAAYRLIFASAFTIPVAVIYDRKNREKITWSVIFKTFLCGLFGGSLYLNLYLEGLALTSATFMLVVVNLIPTITFIMAVCFRMDKFNLNVAEGKAKVIGTIMGMSGAMLMIFFKGAEIHIWSSNINLLHPHHKQNEHMTSHHTDLGKKLLGVSCALASSCSYSLWYIIQTKLNEEYSSPRSSAALISIMGAIQATVIALCLEKDWGQWKLENNLRILTVVFSGIVASGLVVIAMAWCMKMRGPVFTSLFTPFQLLIVVIAAYLILDEKLYLGSMLGAMVIVCGLYAVLWGQNKEMKKKMEISETTRMSENAERAVISMSVSHDRVIQNSTITNQ >CAK8572071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506973701:506975840:-1 gene:gene-LATHSAT_LOCUS24676 transcript:rna-LATHSAT_LOCUS24676 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDSDICVIKEPDHVVVYSDGISHDSVHETGTDSNHNIAEAYEHINETTEHHSSGESAKEYEVKECTTEVSVKAASDVPNIRISNEKLTSDFEGVLNEKNLKPHKTRGGDKPRDTAKHGARPSVGGIRGKCNGSVQIKPTVPQPFSLATERRATIVTRPTFEEDNKGSNGVKSVNKKKVLTSTMLKQNQLKPPSVTRKPLKPDNKKHSDEDDSCSVASITAASVHSYKSRATVASAPSFRSTERAQRRKEFYSKLEEKQQAMEAEKNQSEARSKEEKEEAIKQLRRSLKFKASPMPSFYHEGPPPKVELKKLPPTRAKSPKLGRRKSNSGANNSFEGVKEKGAVAQRKHRTSNANNGNLSNVNNDSDVSGLENKIEHTELIEEINMIKVTGQADMEISSQSSFQ >CAK8561903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:407676898:407677693:-1 gene:gene-LATHSAT_LOCUS15451 transcript:rna-LATHSAT_LOCUS15451 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPLPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNASIYCIGFVNRNHWVQVNMKEGFPLPSVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8575917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:361820542:361821411:1 gene:gene-LATHSAT_LOCUS28142 transcript:rna-LATHSAT_LOCUS28142 gene_biotype:protein_coding transcript_biotype:protein_coding METTTSDTCSVPFSHNSSTSSSNSPPQAVVVMSPCAACKILRRRCSPDKCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPEAQRADAVTSMVYEASARIRDPVYGCAGAICQLQNQVNELQAQLAKSQAEVVNMQLQQANLVALFCMSQSEQESPQQSIDGFIQSPSHSNAYQIGLNFHEDNNSLNSLWEPLWI >CAK8571831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482204726:482205448:1 gene:gene-LATHSAT_LOCUS24457 transcript:rna-LATHSAT_LOCUS24457 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSCSPPSFHKHRNNNKDKQQRSFEYDEKPHVYKCEFCDKVFTTGQALGGHKTVHRSKKQQIHDDDDKCHKTIKITFVLSSSPSYGNNHDVKQKRHSWTRVFKSTVHHPSTASEPCEQQELPAVDLLSLLPPRSYNTKKRSRRYLIPYGLSNNTPPIPLLDMSRESVVNLDANHPEYKRMKLSSNGNDNVLLTSVCNDETVASRVVLNERPTNYVETEVGPRVVRDFDLNELPSNDVAD >CAK8543670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630508622:630509287:-1 gene:gene-LATHSAT_LOCUS12414 transcript:rna-LATHSAT_LOCUS12414 gene_biotype:protein_coding transcript_biotype:protein_coding MALDHHSPSLQILVRRPEECSLWTGPPFPNGQPNIKLDKVNCLNAKFSDDGSLFLVVKSNSVVSIYDCKSAQEIRSFQVPNLVAASLSPRGTFLQTFQKPAPQEKNVTLWKTETGDSVYQLSQKSMTRVNWPAIQFTSDETTAFRWATNELQFFNTEDFSKGFVYRLRVHGVASAELSSSPASHVAAFVPESKEVPASVQIYACGIASRAPCFGASIIQQR >CAK8534961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:797040322:797043139:1 gene:gene-LATHSAT_LOCUS4451 transcript:rna-LATHSAT_LOCUS4451 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFNHFHLLFILFVYFFVATNSLSSPWFSPSSKSKTTMHTAPKFTLKEDFKTFFFKQTLDHFNYLPKSYTKFKQRYYVNFKYWGGANSSAPILAFLGDEAEMGMPDEDFMTDNAASFKALLVYIEHRYYGKSVPFGSKEKAYKNANRLGYLNSEQALADYAAVLIDLKNSLHAQESPVIVMGGSYGGMLAAWFRLKYPHVAVGALASSAPLLYFDNITPQNGYYDIVTRDFKEASETCYTFIRNSWSEIDKVASQRNGLSSLSQRFNTCYPLEQPDELKESLRIIYTYSAQFNYTLVTDICKGIDGAAFGSDILSRIHGGVVAYQGNKECTINTYKYTLGDTFSVYDWQRCTEIVMPIGITGNSTMFQPMPFSFKNYAKKCKKDFGVSPLPHWITTYYGGHNMKLVLRRTGSNIIFSNGLRDPYSSGGVLKNISDSLIALSTVHGTHCMDLMAASESDPHWLTEQRKKEVEIMHGWIKQYYADIDALE >CAK8564955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18766459:18767019:1 gene:gene-LATHSAT_LOCUS18204 transcript:rna-LATHSAT_LOCUS18204 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIFSFFILFTSYFMGVHGRVMSSEESHIMLPSENQQSFTHLHFYFHDILDGEKATTLKIINPPSESSHGPFGSTYIIDNPLSEEQDVSSKLIGRAQGTYALASQQGDFAFKMDINFVFIEGRYKGSTLTMLGRNVIVDDVREMPIVGGTGAFRFARGYALAKTVWYNSTSGNAIEEFNITICHF >CAK8572069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506672715:506675603:-1 gene:gene-LATHSAT_LOCUS24674 transcript:rna-LATHSAT_LOCUS24674 gene_biotype:protein_coding transcript_biotype:protein_coding MIISESNTQLQDGNANSVGNVMNNLAQVMAASIVGLIGENSAMVDQQKIVPYLHQTESGRVAKLEIFSHYVARQMGFVDASEVPELCRLAQDYLRNSEGCKESIYQYLADGEDANTLYVKLIDEFERCILSYFAFHWNRASFIISQVLSTQSQPKINLKNILLEATRKRRFERVTKKLKVARVFSTLVEEIKAIKGDSQSCDVKVSIVQSERSPVLLLMGGGMGSGKSTVLKDILREPFWSEAASNVVVVEADAFKESDIIYRALNSKGRHDEMLQSAELVHQTSTDAASSLLVTALNKGRDVIMDSTLAWEPFLEQTIAMARNVHKHTYRMGPGYREAKDGTITENYWEQVNEPVEEHQPEENYRAEQHTRKPYKIVLVGVVCDAYLAVIRGIRRAIVTKRAVRVKSQLESHKKFANAFPRYCKLVDSARLYCTNVVGGPPKIIEWKNDSKNLQVDPEVLKLLNTVSNVNTEADSIYELYNEYNYAVRPGSVWNDIVLSPSRSNDKKELREFIQKKEKFIRK >CAK8561378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:178781793:178782230:-1 gene:gene-LATHSAT_LOCUS14978 transcript:rna-LATHSAT_LOCUS14978 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQHLRIGSVSPEQISAWAKNLLPNGEIVGEVTKRYTLHYKTSKPKKGGLLCERIFGPIKSGICACGNYRVIRDKIDQPKFCEKNGVEFVDSRIRRCQMGYIQLACFVTHMWYLKCLPSYIASLLDKPLKELENLVYRDV >CAK8542352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:503324966:503326957:-1 gene:gene-LATHSAT_LOCUS11197 transcript:rna-LATHSAT_LOCUS11197 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKEEQGRKLFGISLSDKPRWQQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLFLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPIHEYISAILLVVGLILFTLADAHTSPNFSVVGVVMITGALVMDSFLGNLQEAIFTMNPDTTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWTSCSQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLILIAMGITLKMLPENKPTINRKTLDSSPRINTSKPTTGDDEEMGRVQDSVGEDDERRPLV >CAK8563482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608915004:608915381:1 gene:gene-LATHSAT_LOCUS16883 transcript:rna-LATHSAT_LOCUS16883 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPKPPPPNVVTEFNPNEIVRDPGRRKQINEYAPDIQDQVRRAYILKGPMQPELPSFPRTPFGSVKRAFSKSWYKNYTWLEYSEIKDAAYCFYCFLFKKPGRAEHFGFEVFTKSGYKD >CAK8538832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497300562:497301090:1 gene:gene-LATHSAT_LOCUS7988 transcript:rna-LATHSAT_LOCUS7988 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFALLSVELTVILILSFANPIRKLMVKVLDLLKRGRGPLITKTVATTVFVVFGSTIYTILKIHKRSMESGSVNPTEEVLMAHHLLEASLMGFSLFFGLIIDRQHYYVKEITSLRKNMEKVKKVRHNHESSKRKEIEEIEMKKIN >CAK8530324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14487485:14489134:1 gene:gene-LATHSAT_LOCUS195 transcript:rna-LATHSAT_LOCUS195 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNRGEAERWLYTANKLLSARDLHGARSFAIRARESDPRFEATELLLAVIDTLLAGEVQIKEHLDYYAILQILRYTQNIEYIAVQYRRLAVLLDPHRNPFAFAAHAFSLVHDAWSIFSNPHKKALYDEQLHFLTQPPAPQPPPPSQHIQPPQPPPQHIQPPPPPQPKPQPQPIQPPPPAQVNQNHGLQLRNNLRSSNEANVVAEGHNNGPRQSRRNVDERTRTSQSNVDKPTEPKPAETEAGSFWTACPYCYAMFEYPNVYQECTLRCQNCRKGFHAVVVRSPPELSEDGSLFCSFGYIPLGFSGNFRDISGSSSEWNPISTLFPCSMKGSSSKRGLQRGPFVYHDHDTSAAFAVLSDVSEDDTDDDDDDWWRGDDSEGSENVVMTGDDSEGTENVVMTRSRSKKRTIGGGGGDDGDGAGRGPVDTGRPKRGVQNGNAGDGGGGDDVDGGEAADPASAPAVEASKKAALGGSRRRGAANLGKLDLNVEFSNEVEEAAAATGAKERNVNGTGNPEDNIEGIGFFEGLDEFLSSLPILNVVGDEKVKGH >CAK8543466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609805484:609810089:1 gene:gene-LATHSAT_LOCUS12222 transcript:rna-LATHSAT_LOCUS12222 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEEVEIPIPSSSSSSSSMAKRPCPSQNPRMEVENLLDEFLSLSDRPSLSLDLSLENLLQSMPPDSDLIDRALRMGSLLLDAAKHSSRKRSSNHNSIVWPFPPDLTFKIFSMLDTQSLCYVSAACSFFSKCAKDPLCYQNIDLTTLVPKVNNAVVATMIQRAGKALRSLKLGVVPATVCSPGFCQPLVSTVRKAIVEAPNFSWNDKRSRQGRESSILTRCCLNPLSAEGGAPGALLRKLHLYNIERMDITSLCCALSACPSLLDLEIIGIHVELRQTLMSVSANCHLIERLFFESSRTGRDDSLKAQTCSELVNNCPHLTSLSLRGFKLHDCKVRILVKGFRKLKFVDFSTSYSITGNFLRNLGSSNGGNLLEVLILRDCMHLKEMEVARMLTAILEGDFKLLIHLDISNREGLACEADWYHRCYNSSILPIKQVLEARPDMRVVAEYPSEGSYVETFDTDMTSDISLLSQLSSPSSDGSIFMSTSDGSYNSDHGSGNEEGQDAVIYGESSDEDNNLSL >CAK8534367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720619015:720620673:1 gene:gene-LATHSAT_LOCUS3917 transcript:rna-LATHSAT_LOCUS3917 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVSETVQSVKSIKFREALTQVITLGLVVTSALVIWKGLMCITGTESPVVVVLSGSMEPGFQRGDILFLHMNNDPIRAGDIVVFNIDGRDIPIVHRVIKVHQRQDTQETYYLTKGDNNEMDDRVLYNHGQNWLEKKHIMGKAAGFLPYAGWATIIMSEKPIVKYILVGALGVLVLTSKE >CAK8565404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:170034117:170045777:-1 gene:gene-LATHSAT_LOCUS18622 transcript:rna-LATHSAT_LOCUS18622 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNEMPSFKSYQDQANLFVKDYILADSLIPYTSVIGGILACKLIYDLTHLIGTNYFKTYSSFSKIQRIEWNNRSVSTIHSIFITAMSLYLVFCSNLFSDNQSNDPITVRNSSLSTFALGVSVGYFIFDLAMILWFFPSLGGYEYVVHHMFSLVAVAYSMLSGEGQLYTYMVLISETTTPGVNLRWYLDVAGMKRSKAYLINGVVIFLAWMVARILLFVYMFYHVYLHFDQVERMHIIGQLLVIIVPMVLSVMNLVWFAKIVKGLRKTLAKRQ >CAK8531435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110201201:110202835:-1 gene:gene-LATHSAT_LOCUS1228 transcript:rna-LATHSAT_LOCUS1228 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPTSNLAILFLLISTISLAKSASLEENFVQCLSFYSDKAAPFYATIYTPNNASFNNILNSSAQNLRYLVPSAPKPEFIFTPLTDSHVKVGVVCSKKLGVHLRVRSGGHDYEGLSYVSEIETPFIIIDLAKLRDVNVDIEDNSAWIQAGATIGEVYYRIHEKSEVHGFPAGLCTSLGVGGHITGGAYGSMMRKYGLGADNVLDAKIVNANGDILDRKSMGEELFWAIRGGGGGSFGILLWWKIKLVPVPKTVTVFTVTKSLEQDGTKIAHRWQEVAPNIDENLFMRVIIQPASVANKTQRTITTSYNAQFLGDSEKLLQVMKESFPELGLTKQDCMETSWIKSVMYIAGFPNDTPPEVLLQGKSTFKNYFKAKSDFVRESIPETGLQGLWQRLLEEDSPLMIWNPYGGMMNNFSESDSPFPHRNGTLYKIQYLTLWQDGDKNASKHVDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGMNKKNDTGFIQATSWGSMYFKDNFNRLVKIKTKVDPENVFRHEQSIPPLQVSTMQLKDKSKSWE >CAK8563166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:579666616:579666765:1 gene:gene-LATHSAT_LOCUS16601 transcript:rna-LATHSAT_LOCUS16601 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKNERPASTRIRTEMDNVEKEKRRCEICREIGHMRRKYPNVVDPSR >CAK8570759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:109789762:109791033:1 gene:gene-LATHSAT_LOCUS23487 transcript:rna-LATHSAT_LOCUS23487 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEYLETLLTSAKPFIRNELNTINTNLPSLITILHSRGASECWHKHGTFLEHLVDIFRILHLWKSPYPVSLCGLFHSAYSNSYVNLAIFDPSTSREIVRGHVGVEAERLIHLFCVVPRQTIIHDDLLFHYTDKELCDDLEKSELSLRDAKEKGIFNGDESWRKKLQGLVPVDGVKVKHIRSGENVNVSRRVVAVFVMMTMADFSDQLFGFQDMLFENFDGKLEFKGNNFGAVWPGNGKPGLWLNSISRMGAVYNLILREEEIFLEEKKKSAGVGEGRINVVDCERDEDIELVLPPVFDKCSKVLDAGDQIVARDLYWEALNCEEGLGKIEELLVKSIEKNPFVGEPHVALSQVYLTKGRFEEGEREAERGLTLLLEWGCHWDKRVSWEGWISWTRVLLMKAKEKSWPNTSWGIVNLGLVK >CAK8534922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789824310:789831635:-1 gene:gene-LATHSAT_LOCUS4420 transcript:rna-LATHSAT_LOCUS4420 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFQSEHMSGNNKYNGNYNSSPPSRSSRVERLVRVRELRKSSKASASPLIESPDSVLINNDINNNNNNNSNHINNNCNNIIDNNNNNNKHLVAESFEHDICLKEYKNSGASSVERLLEGAAKVLSDGYVREDGKPFRQRLLVVANRLPVSAVRKGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTEALAEKRCIPVFLTEDIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRGFQSQFESYQVANQMFADVVNKVYEEGDIVWCHDYHLMFLPQCLKKFNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEATPEGVEDQGKLTRVAAFPIGIDSDRFIRALESTQVQNPIKDLQERFKGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENVEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRTLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGALLVNPWNITKVAEAISKALNMPSPEREKRHRHNFHHVTTHTAQEWAETFVSELNDTVVEAQLRTKQVPPRLPTDKAIQQYLTSTNRLLILGFNGTLTEPVERKGDQLKEMELSVHPELKLPLTKLCSDPNTTVVVLSGSGRTILDENFKEYDTWLAAENGMFLNSSNGEWMTTMPEQLNMEWVDSVKHIFEYFTERTPRSHFEEREASLVWNYRHADVEFGKLQARDMLQHLCTGPISNASVEVVQGSRSVEVRAAGVTKGAAIDRILGEIVHCKSMTTPIDYVLCIGHFLAKDEDIYDFFEPEPSCFGASLQRSKLTEAAKFANEKVSPLKNPSVKNGFKSTNQNKGQRPISNSPKKTSNLFSRAARKPAPEKITWNVLDLKKENYFSCAVGRTQTNARFTLPSSDEVAEFLKKLAHA >CAK8534923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789824310:789831635:-1 gene:gene-LATHSAT_LOCUS4420 transcript:rna-LATHSAT_LOCUS4420-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFQSEHMSGNNKYNGNYNSSPPSRSSRVERLVRVRELRKSSKASASPLIESPDSVLINNDINNNNNNNSNHINNNCNNIIDNNNNNNKHLVAESFEHDICLKEYKNSGASSVERLLEGAAKVLSDGYVREDGKPFRQRLLVVANRLPVSAVRKGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTEALAEKRCIPVFLTEDIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRGFQSQFESYQVANQMFADVVNKVYEEGDIVWCHDYHLMFLPQCLKKFNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEATPEGVEDQGKLTRVAAFPIGIDSDRFIRALESTQVQNPIKDLQERFKGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENVEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRTLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGALLVNPWNITKVAEAISKALNMPSPEREKRHRHNFHHVTTHTAQEWAETFVSELNDTVVEAQLRTKQVPPRLPTDKAIQQYLTSTNRLLILGFNGTLTEPVERKGDQLKEMELSVHPELKLPLTKLCSDPNTTVVVLSGSGRTILDENFKEYDTWLAAENGMFLNSSNGEWMTTMPEQLNMEWVDSVKHIFEYFTERTPRSHFEEREASLVWNYRHADVEFGKLQARDMLQHLCTGPISNASVEVVQGSRSVEVRAAGVTKGAAIDRILGEIVHCKSMTTPIDYVLCIGHFLAKQDEDIYDFFEPEPSCFGASLQRSKLTEAAKFANEKVSPLKNPSVKNGFKSTNQNKGQRPISNSPKKTSNLFSRAARKPAPEKITWNVLDLKKENYFSCAVGRTQTNARFTLPSSDEVAEFLKKLAHA >CAK8563500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610706460:610707668:-1 gene:gene-LATHSAT_LOCUS16900 transcript:rna-LATHSAT_LOCUS16900 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPLRKPNLASSAILPDELMEEIFSRLSVKNLIQLKCVSKFFKTLISNPYFVKIHLKKSARTPNLALMWQNNWKDDETNFVSQSVPRLLQNQFNSFHNDPSYLLSDFVRIDRVVGSCNGLLCLIGSYSSQDEWLCFWNPAMRTKSENFRLLFSDLFFRRREFKFSFGYDILNETYKVVAFMVEIELGGNLKSVVKVFSSGDNSWRDIQCLPVIPLYWFDVCNNKDVYLNGTINWLAYCNHQDFNLVGVIENYVILSLDLSTESYTQMTLPPGFDKGPHVRANIVVLMNFLCFCRDLERKHFVIWQMKEFGVQESWVQLFKISYQNFYSTTSDLFHTPLADLLPIHLCENGYTLILTNHHKEPAFICDCRDDTVERIRTIEMMGWFWAKDHIESLVPPCRK >CAK8576991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529972277:529974082:-1 gene:gene-LATHSAT_LOCUS29141 transcript:rna-LATHSAT_LOCUS29141 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLKEKVNAIGERLKLNGAEMGRKVSAGMSTMSFKMKEFFQEPNQADKLVSDATSESLDEPNWDIILHVCDLINAEKLQTCEAVRAIKKRVMVKSPRGQYLALVLLEALVKNCDKGFFEVATERVLDEMVKIVEDSDQSFGSSKDKALRMIQCWGESTTELRYLPVYEETYKSLKARGIRFPGCDNERLDHVFAPSGSGSASAPEAVRSLEHLIQHENHVTDYTSQQTKEAFDVARNITDLLSSVLSSSPQQNVLKLDLTITLVQQCRESQSTVHRIIETVGNNESLLFEALQVNDEIHKVLSKYEELKKKPPPKVSPLKPEPDMMIHVAIEPDESPHFREDALIRKRASSKPGVQGLSHDDMMDDLDEMIFGKKGGDASQWGQDPKNQRSSKYESISL >CAK8531206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88913296:88918368:-1 gene:gene-LATHSAT_LOCUS1013 transcript:rna-LATHSAT_LOCUS1013 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVLVFLLFLFCYFFVVVVTKTSNDDYLALSAIKYEWKNTPPSWERSQDPCGGRWEGIECFNSRVISISLSSMDLSGQLSSEIGSLSELQILVLSYNKNLTGPLPAEIGNLKKLKNLQLINCGFSGPIPDTIGNLQQLVFLSLNSNRFSGTIPASIGNLLSINWLDLAENQLQGPIPISSGTTPGLDMLHKTKHFHFGKNKLSGTIPAQLFSSEMSLIHVLFESNKFTGSIPSTLGLVQTLEVVRLDNNSLSGPVPQNINNLTNVRELFLSSNHLSGSLPNLSGMNVLSYLDMSYNQFDQSDFPSWLSNLQSLTTIMMEKTQLQGPVPVSLFSLVQLQTVMLKNNQLNGTLDIGTTISDQLGVIDLQTNFIEKFAPQIDVSKVEITLVENPYCDEGLPAAKQTYCSIAKNNDSYTTPLNNCVPVACDSNQILSPNCKCAYPYTGTLTLRAPSFSDLGNKTVFDMLGFTLMESFRNHDKPVDSVSLSNPRKNVYQYLDLSLEIFPSDQNSFNRTGISGIGFMLSNQTYKPRADIFGPFYFIADEYDHYLNDSVIEEGPVKSSKSSNIGIIAGAAIGGCVLVVLLLLAVVYGFRQKNKAKREAKKSSLFEQWGPDESNNSIPQLKGARRFSFEEIQNSTKKFSQLNYVGSGGYGKVYRGTLLNGQLIAVKRAQQESIQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQILVYEYVVNGTLTDALSGKSGIRLDWIRRLKIALGAARGLDYLHEHANPPIIHRDVKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKNAIDKTKELNGLTEILDPIIDFKASLNSFEKFIDLTMKCVEESSSNRPSMNYALKEIENMLLLAGTNPNAESASTSSSYNASGNSMHPYENEYFDSSVVLPRA >CAK8534586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:745891744:745892225:-1 gene:gene-LATHSAT_LOCUS4120 transcript:rna-LATHSAT_LOCUS4120 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKAQIKSRPTVLWCYKHKLELSSHVRKRQKQIKKMRHQGLWDPEKADAFSLFVESGNITYCLYKDSEKVLNNTFGMCILQVSYTSTNSISCPFEDVFSPQHKLIDFAIILLLGF >CAK8536286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:925857500:925859319:-1 gene:gene-LATHSAT_LOCUS5670 transcript:rna-LATHSAT_LOCUS5670 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVTLLIMLLILCHGVSMTMGSWGKETENIENGSNSTSNKMFLLQNYKRLVKTDAGEMSVLHSYGGRVSERQLHIGFITMEPSSLFVPQYLDSTLILFVRSGEAKVGFIHHDKLAERKLNNGDVYQIPAGSTFYLSNNGDGQPLHVICSIDPSESLGVGIFQSFYIGGGSDPMSVLTGFHPRILEAAFNVSVVELRRFFTRRHDGPIVHVGESHAKASSLWTKFLQLKEDEKLHHMKRMLQDQDDEEENDDDDEAKQKTSWSWRKLLETVLGNEMPKKNSDHVTHHHTPHSCNLYDRKPDFKNSYGSCVTVDGSDYSPLKSSGVGLYHVNLKPGSMMTPHVNPRATEYGIVVKGSGRIQILFPNGTNAMDTQIKEGDVFFVPRYFAFCQIASEDEPLDFFGFTTSSKKSKSQFLVGASSLMKTMMGPELAASFGVSEDTMDNILNAQHEAVILPTPWTKHEHDYKDRV >CAK8542252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:493948738:493957239:1 gene:gene-LATHSAT_LOCUS11102 transcript:rna-LATHSAT_LOCUS11102 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVSDLPQPFQSCFSFRYFNSLQSECFSTCYHSDVNMVISAPTGSGKTVLFELCILRLLAKSISSDGRLIHVKGSLKTIYIAPSKALVQEKVRDWNQKFGPWGIYCLELTGDNESYTPRNINEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAVVSRIKIVSCNPKMKLNPLSQVRFLALSATIPNIEDLAKWLLVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSKGKSALIFCSTRKGAQEAAQRLAQIVMNFGQSNPFIKSREQQDQLREASLSLSDKQMQSYILYGVGYHNGGLCLKDRSIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSTILQMSGRAGRPPFDDTGMVIIMTRRETVHLYENLLSGCELVESQLLSCVTEHLLAEIVQLTVTDITKAIEWMKCSYLYVRMKKNPTNYAIEKGISDGRIEKHVQEVCVQKVNELSQHQMVWVDEDGFLLRPLDPGRLMTKYYLRFNTMKQIMRTPENCSLEDALRVVCFAEEIAWIQLRRNEKKLLNDINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCFTGDPSVHDLSLIQDINSICSNGCRIAKCMKDYFVYKKNFKGAASSALLAKSFNQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAGADPRKIEIVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIESHTHGKSKLAVTLTRISESGQSAKRHYADMIIGLEEDNTILFHEKIRVDQFSSPYSTTVLVPISQGKQTIKANFIFEEYIGIDVHQKLFLMKESNSNMILRRNRKQTSFPPPEEIFVIQDDDINLTHIPQEEKSTWSKDKDIPSFDLFDEMFEEGGNTLEVEEEKVKIITEKTVFDHIREKAKNFSLLSSFENIRHPSVEVFLGRNHAREKGSYYGREKRSYHGHEVVVLDDDDNKVPGQTDVNFPTDLRKEEHKDINLCMTLNDHNLAESSYNMSSLDDDTGVFFAEPKARSYENVTEETVFDHIKRKSKDFPQISKLDCAQTAIPKTEFFSKNHPNSLKAALGVVPKTNFSDTVTGNMLMSDKEPADTENYASGIQVGNSVEQKKFEDSFCTDGAVNISKGFLTPSSVQSSNFVPIVGFPMSKEKNWSSDSGSFEQSSKKQRCLPMESKEEKLDPCEIIRQFCSWGTSGQMNQTEPCLGFKSVFSFL >CAK8568865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648649424:648651568:-1 gene:gene-LATHSAT_LOCUS21775 transcript:rna-LATHSAT_LOCUS21775 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVFSLFHLERKKLLCFIIIITFAIILAFQYFELPYGYILGPSKFASEKTSTLSNTTIIHQPADSPSASEIFESVALLNQTYSQHPFENVNETRLHEENDTMFNNSYPSFHSPSPSPAIAPTNLTPTPASPPTKMLSNDSEIADSMNDERFKPLQDDDVNVISSNQSSVTILPKEMSGSVIKVPETTSISDMNKLLLQSYASYRSMRPRWSSAVDQELLQARLEIENAPLVTDLGNLYAPLFRNVSMFKRSYELMEKTLKVYVYTEGSKPIMHSPYLLGIYASEGWFMRLMEVNKAFVTKDAKKAHLFYLPFSSRMLEETLYDQNSHSHRNLMQYLNNYVDMIAGKHRFWNRTGGADHFLVACHDWAPSETKQRMAKCIRSLCNADVKEGFMLGKDVSLPETYVRNAKNPTRDLGGKPLSRRKTLAFFAGGMHGYVRPILLQHWENKDPDMKIFGMMPKSKGNRNYIQYMKSSRYCICPKGYEVNSPRVVEAIVYECVPVIISDNFVPPFFEVLNWESFAVFVLERDIPNLKSILVSIPKKRYLEMQMRVKKVQQHFLWHRSPVKYDIFHMILHSIWYNRVFTARTR >CAK8537481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:369973898:369974638:-1 gene:gene-LATHSAT_LOCUS6771 transcript:rna-LATHSAT_LOCUS6771 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHKALTMTPKKESPKDFEASQKKRKWDHHSLSHQEFFKDHQTHDHPKTKSIFDIELHLNTPLPSDKWEQYLTFQSLCNTKMNMKTQESKREWLLPEKSKSSMDQISLDLELNLTSETLKKKEEINYENFEKKRKKDSTTTPSWLSSSDSDGDYKEMIATVCMKCHMLVMLCKSSPSCPNCKFMHPPPDQNPSKFLKRTRFSFLS >CAK8567409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512619894:512623275:1 gene:gene-LATHSAT_LOCUS20466 transcript:rna-LATHSAT_LOCUS20466 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTSFFFLTPPPLPPLSTFTQPSFFPAFKLKPLSFQTTPRRNPFLTRADDGDFDSAIPDNYDYEMDDDLMEELDNKVDFDIEYDRVPAGDGDGDIAAVQSTSFVSTQGWDPDTLVDYRINEDEFHKISLLDCDFFIRKAPDPDNDVYDFREMYVTPPDTDVYSIPKVLAPMPQKYIRCTQSDFGRDVVTEPPIDARRDPLYKHEREIMKVFLTKHYKNQRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTAPGGRTRDRKSDLLVVADKGNSFKIIHVSEKDDPTTVIQRQEWIQSREEMERHLRKLRDFDTSNWF >CAK8575382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:104083410:104085740:-1 gene:gene-LATHSAT_LOCUS27651 transcript:rna-LATHSAT_LOCUS27651 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSNPPIDLPPQNDTTSDYHNQSQTLIPENGINGNSSENDQAAAADNNPRPRITNPLLSENGLTGNTRSGTDKDQSGGEEETTSKRRRRSRWDPQPDSNDQTGGDTGTKKRKSRWADDEPKPVIQLPDFMGGIEFDPEIQSLNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLQKERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTADALEAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPTRTSTFKSEVVCKHCGDGGHPSIDCPVKGATGKKMDDEYQNFLAELGGTVPESATKQTSTLAIGAGSSGSNPPWANNSGSAGSTPHPGLGSGALKKEIDDTNLYIGYLPPTLEDDGLIQLFQQFGEIVMAKVIKDRMTGLSKGYGFVKYADITMANNAIVAMNGYRLEGRTIAVRVAGKPPQPVVPPGPPASAVPTYPVPSQPLGAYPSQQYTGGGPLGSAPPPPPGSYGGTPVPWGPPIPQYNPYAPPPPGSTMYPPMQGHPMPPYGVQYPPMPTGPPGATTQPATSGEMQNYPPGVQSDNNTSTQSVPTNMYGNTVPSMPPAAPPTYPASYGYPPPPYYNAVPPPPPPPAPTDQSHNIVNPPWASNHSAPPPPSSADQSQSIGNAAIPPPNSSAEKTSYGADSEYEKFMAEMK >CAK8576127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:410364320:410365210:1 gene:gene-LATHSAT_LOCUS28341 transcript:rna-LATHSAT_LOCUS28341 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKQSHLFSFSLAILVSLLCSTTTTLSQLSPANAPIQPTLPSATPPAASPKPLVPSLPESPSDSTPDTAGATVDIVGILRKAKSFNVLIRLMKTTQLINQLNSQLLTIKTGGLTILAPDDSAFSELKAGFLNSLSDGQKLELLQFHVISDYVSSSNFDILTNPVRTLAGVKPGKVELNVVSYGGSVNISTGEVNTTINGIIYTDKHLAIYKVGKVLLPTDFFSVAKAPGKGPALAPEPSALTPKAEKEKPLSPDSSDSSQAKPSKDNSGTVKINTYGKWISLFVGAVMLTSLSA >CAK8577473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567036507:567039808:1 gene:gene-LATHSAT_LOCUS29577 transcript:rna-LATHSAT_LOCUS29577 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKPWHWRKKSIEKIIFADETVVSPSQVIEQEAKNLSSDKERGSRRSSRSLNQKLAEVLLDSPAGVEVSAKTETVLPTNGTFQEPLQPLACVQEEQEEAPRVSISKISKEHEKVQKELEEKLKEANKRIDELTDKNTSLTNALFFKEESIGEILRCKQEADTEFKTLMTRLDSTEKENAFLRYEFHMLEKELEIRKEEIDYSRQYADASHKQYLESSHKASELESECQRLRLVIQRRRSETGVMRRETDMRRKNSNPTRVSEESNALMIKRLRDLDEENKALKRVLTKKNSELDSSRFMYGETASRLSQAEILLRKFSENHKSMKLARSNAASNELPLMSNFDISSDDEALSSGSWANALISELEHLRVSEAKIYENDKALEVHHDMYSMDDFVETDKRAIVSVDTPKGGGYLSDVTGREIVPVEQDFGIGERNRKPFDWLQIVLNAMLEEKGISNRSLDELFDDIKIALGCINHSTACKSDVTQKSTNTGEFDSSHVNSFSGFVEAVHRIIKLIEGIAPNSFICNNGQDCLAENQNSDVSSQSPKTKDYFVHVFQWKVSDLNPLLHQLVHTCKNLLTGRADFENFVEEVAFALDWSINNCANSTNASIARDKIKKHLNSFLSVNENQIDVDDKQSFRTPSVAYPDDRSDETSRYDFVEEIRKLKDDLTNTKSAKKDLEVKLLSVFEESKHLTKQCHEAQNSIGVLESEIAKLKELKAMVEEQVEKQMTINEDLDIQLSIAQAKLNNIYQKFSSLEFEFENKKSSCEELEATCLELQLQLESIARKDSPTNGKCEAEKIYQTGWEITSASSKLAECQESIINIGKQLKALASSSETAVLDKVASTTGSIDIPSQKKNMIKRASLRNQMLAEDDAKEGIQTSVENEESKSTEDAQRSSLVESEKESALQTPHVMVNCLEQNDRSNGSRYQAIVVHKKYGGFEFLRKLFTRRKKGRSKGSKLLGKA >CAK8574420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680994058:680994420:-1 gene:gene-LATHSAT_LOCUS26770 transcript:rna-LATHSAT_LOCUS26770 gene_biotype:protein_coding transcript_biotype:protein_coding MESVERSGSSEWEEKMTKKKEKGVLLEGFVDADLARTKSLTDEDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSDSSPSFANWKISSPGDHPEDVKARLKYWAQAVACTVKLCS >CAK8565231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:77362424:77365346:1 gene:gene-LATHSAT_LOCUS18462 transcript:rna-LATHSAT_LOCUS18462 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHEPLVGLPNITDLTKQHINIGFLDQHLRTKQHLFVESTQLLLSQSLTQQCSHLHSYLLNRITKRTVSWISRSFKSNSSFHHLTLSLQNLSLLTSPHGVGSKRFRWVLSDELPRLAKELNQLESIRTYLEVAVQLEALVGDVEDAALFVMACHTGNMFLSNLSISSVSDDNTRKHGKLLQAIKAMNDIEQVLVTIVKFHSQWQCLLRSVDVRVDKILAALRPQVFADHRALLVSLGWPPKLLQSESGSEQITGVPNPLVLMQENKRRNYSQSFIALCALQHLQDKREERQLNSNLIKRENQNLRLWAIDELVSPIASRMEYHFGKWIEQPEYIFALAYKVTRDFTTGVDDVLQPLIDKARLISCSAKEAWVFAMVRMLSGFLEKKVFSSLAQGYNIKHLKPDVLSSWLHLVDLIIAFDKKMQSLVNLDTCLLAESGNFEGPSRGISVLTIFCDRPDWLKIWAKLEFKNAWAKLNAELKEEKSWVVSSKCKSGIDTDLEHLLSTIEDHKAPPIAELFLKIIWEMIERCQTMPSILLRARFIRSAAGRFIWYFFKVLLLRFKSTELSPHNSDDGTIVRACGLINAARYIWIKLREWSDAVDFLEMKIAENDSSKKIQDDTMDNDCFFDEEVRSLSEMETNWLVEIIAVILRQFEILSWKYIQNNDNFEEDQDYTNLLISREAIDLAVSNDFVEALDALKSCLCIVKINLNRKDFLDLWRSIAEGLDHYISSSILTSEIRFPKIGINQFDADMQALMFIFKPFCARPHAFFPCINDILKLLKLKKEEANLIQARVTIQ >CAK8577759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586683391:586685514:-1 gene:gene-LATHSAT_LOCUS29833 transcript:rna-LATHSAT_LOCUS29833 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLAVVFVFDLIAFALAVAAEQRRSTANLAENIEGRKYCQYDSDIATGLGVGSLFILVASQVIIMVVTRCLCCGKPMAPSGSRSWAICLFITAWLTFFIAASCLLAGSVRNAYHTKYRDLMGERAPSCQTLRKGVFGAGAAFIVLTGIVSELYYVSFSKANNSGVPSYARDTGVTMSNL >CAK8562685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:525108508:525111771:1 gene:gene-LATHSAT_LOCUS16160 transcript:rna-LATHSAT_LOCUS16160 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLADNKVVSSETAKAFADEIGIPFMETSAKNANNVEQAFMAMAAEIKNRMASQPSNNARPPTVQIRGQPVNQKSGCCSS >CAK8571324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:376978834:376979484:-1 gene:gene-LATHSAT_LOCUS23996 transcript:rna-LATHSAT_LOCUS23996 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECDHHKGRKRILFQRIFWGIIVFLFLVLLTILLIWAILRPTKPTFILSDITVSAFNTTQPNLLTTNFQITLMSRNPNDHIGVYYDRLDTYVTYRSQQITYRTSIPPFYQGHKEVDVWSPAVYGTEVPVAPFNFQTLSQDQSNGNFFATVKIDGRVRWKVGTFISGHYHLYVRCPAYISVRNDGNGVSMGANGVYVGANVVKYQIDQRCSVSL >CAK8543722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:634317250:634335294:-1 gene:gene-LATHSAT_LOCUS12463 transcript:rna-LATHSAT_LOCUS12463 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELTRAKDTKERMAGVERLYQLLEASRKSLTSSEVTSLVDSCIDLLKDNNFRVSQGALQSLASAVVLSGEHFKLHFNALLPAVVDRLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSSAWTHKSWRVREEFTRTVTSAINLFSSTELPLQRAILPPVLQLLSDPNLAVREAAILCIEEMYKQAGSQFRDELHRYSLPSSLVKDINARLEGIQPNVRSSNGIPSGEIKPLNPKKSSPKAKSSSREASLFGAEGDVTEKPIDPIKVYSDKELTREVEKIASILVPEKDWSVRIAAMQRIEGLVLGGATDYPCFRGLLKQLGGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEAYAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVPRVLPRIADCAKNDRNAVLRARCCEYALLVLEHWPDAPEILRSAELYEDMIKCCVSDAMSEVRSTARMCYRMFAKTWPERCRRLFTSFDPVIQRLINEEDGGMHRRHASPSIRDRGTPMSLPSQASASSNPPGYGTSAIVAMDRSSSLSSGTSVSSGVLLSQAKSLGKGTERSLESVLHASKQKVTAIESMLRGLNISDKHNLSSLRSSSLDLEVDPPSSRDPPFPAAVSASNHLTSSSTTEPTAYGVYKGSNRNGGLGLSDIITQIQASKDSAKSSYPINVETEPLPSLSSYSTRRPSERLHERSSVDDISDIREARRFTNHNTDKQYLDAPYRDGNFRESHNSYVPNFQRPLVRKNVAGRMSAGRRRSFDDNQLSPGEMSGYTDGPASLHEALREGLRSGSDWSSRVAAFNYLHSLLQQGPKGTLEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKPFEGYMERILPHVFSRLIDPKEVVRQPCSTTLEIVGKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHTMNAEGAANIGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYTHFDSTAVLNFILSLSVEEQNSLRRALKQYTPRIEVELINYMQNKKERQRSKSSYDPSDVVGTSSEDGYVGFSRKTQYLGRYSVGSLDSDGGRKWSSQDSTLLKSSLGPAASVESEDHNHNHNHNLETDSNFDSLSSKPKDFAYSVNPMGQNFGPQSSQLGQVDSRMNFEGLSTPRLDVNGLMSLERLDVGEGYAHDEEFSSALEPNYHSTEAVKINSMTDTGPSIPQILHTICNPNDGSPVSSKHTALQQLIEASTTNDQSVWTKYFNQILTVVLEVLDDSDSSVRELALSLIVEMLKNQKDALENSVEIVIEKLLHVTKDIVPKVSNEAEHCLTIVLSQYDPFRCLSVIAPLLVTEDDKTLVTCINCLTKLVGRLTQEELMAQLPSFLPALFEAFGSQSADVRKTVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLVTIYANRISQARTGKTIDAVHD >CAK8530827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53692517:53693961:-1 gene:gene-LATHSAT_LOCUS663 transcript:rna-LATHSAT_LOCUS663 gene_biotype:protein_coding transcript_biotype:protein_coding MACETEKWLLLHLFLLVACYMQRSCVHGESPQAPCLFIFGDSLSDNGNNNNLVTLAKANYKPYGIDFPPTGQLGRFTNGLTTIDFITKLLGFEKFIPPNANTSGSDILMGVNYASGGAGIRDESGQQLGERIPFRSQIKNHKIIVSEIVKKLGSALEANKYLNKCLYYVNIGSDDYLNNYFMPEIYPTSRIYNPEQYAEVLINQYSIQILDLHNIWARKFVLVGLGLLGCAPYAIAKNGNNGTCVASQNADPFIFSQKLKSLVDKFNAKLHDSKFIFVNYTASPVESAPVFTVFNAPCCPTREDGMCIPDLVPCPNRDDYVFYDGFHPTTAYNNFSALYSYDSSVSPESTYPMDINELAES >CAK8532608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:321632067:321632945:-1 gene:gene-LATHSAT_LOCUS2296 transcript:rna-LATHSAT_LOCUS2296 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLKTSMIPFLLLLFLNHVESQTSMQPLPTDISHHKWEPSFAITVGSILCLLLFLGIIFFYIRNCVESHIIITRTNHAADCPCSCSHGINKELLNTFPILFYSAIKDLKTDKGPLECAVCLTDFKGNDTLRLLPKCNHVFHPQCIDSWLASHVTCPVCRANLNQDSCQVSIPIIIPTHFNNDHMCEESSQTETEPEPEREITFNNASNDPNPNQIGESINSCDAHASKPKLLRSNSTGHSLDEQGKCEEKYTLMLPEDVRRYILVNHGKSCQLSMNDEAKVERWVWCARRG >CAK8572214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521580792:521581106:-1 gene:gene-LATHSAT_LOCUS24804 transcript:rna-LATHSAT_LOCUS24804 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDCVKIYAYHNDCVLHWKEYENLKECLRCGESRYKNKDNGVEDDDDVTRKSVSSKVMWYLSIIQRFKKLFANLITQKVLDGMQMTHMLFVVIIGRGYRKTNK >CAK8571744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466786075:466787103:1 gene:gene-LATHSAT_LOCUS24378 transcript:rna-LATHSAT_LOCUS24378 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLEIAGFANISKINSLKVDSSLVVALLEKWRPETHTFHLPTGECTITLEDTCMLLCLRVDGKVINGPTEVTSVIYMDYLGKEPKEEDKMKGFVRITWLESEYENLKNKPNPTQEDVLLEAKFFILLVIATILFPDKTQNLLHSSWIPFVGDLIECGTYSWGSVCLAKLYREMCKAVEKDVRSMNGCALLLTSWAFTRIPLFAPVSMVEPSFPYAQRWAQRGMNYRATPRFHLQGYRNALDHMQERDFIWRPYVQYPVSRLEDSQIWSATTYLICFYIVEMHQTDRVRL >CAK8534203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704418129:704419722:1 gene:gene-LATHSAT_LOCUS3764 transcript:rna-LATHSAT_LOCUS3764 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDKCRNKALKTAAEVKGVTSVSLEGDDKDKVSVTGDNVNTICLANQLKKKFKNVTILSVEEVKKKTEEEKKKEEEKKKEEEKKKMMEACRAVLHGSCIKCHSMSCNGKCSCTKCSSPKCDGKLCFTICFKCENPKSCCECKPASCSNCDHKKCDGGCKSKKPPSPCSQQCPPWCTCQKCCARYQYQPCYNPYPPYCTVGYEPCPDNCSIM >CAK8534204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704419117:704419722:1 gene:gene-LATHSAT_LOCUS3764 transcript:rna-LATHSAT_LOCUS3764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHSCIGVTSVSLEGDDKDKVSVTGDNVNTICLANQLKKKFKNVTILSVEEVKKKTEEEKKKEEEKKKEEEKKKMMEACRAVLHGSCIKCHSMSCNGKCSCTKCSSPKCDGKLCFTICFKCENPKSCCECKPASCSNCDHKKCDGGCKSKKPPSPCSQQCPPWCTCQKCCARYQYQPCYNPYPPYCTVGYEPCPDNCSIM >CAK8576988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529918413:529922064:-1 gene:gene-LATHSAT_LOCUS29138 transcript:rna-LATHSAT_LOCUS29138 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSLKISRSSLSSTSDASEANKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSHDFMNYTVHLPPTPDNQPMDSTISQKVEEQYVSSSLFTGGFNSITRAHLMDKVTESEVNHPQMAGAKGSSCGVPGCDSKVMSDERGVDILPCECDYKICRDCYIDAVKTGDGMCPGCKEPYKNTELDEVAVDDGRPLPLPPPGGVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGDFGNGKGDDVSEPTELMSRPWRPLTRKLKIPAAVLSPYRLIIFIRMAALVLFLHWRITHKNTDAIWLWGMSIVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLREKFELPSPENPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKPDFVKDRRRLKREYDEFKVRINGLPESIRRRSDAFHAREEIKAMKVQRQNRGDEPVEPIKIPKATWMADGSHWPGTWLNTSSEHSKGDHAGIIQVMLKPPSDEPLIGNADDAKLIDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRAKEDHATFCSCCFGRNKKKHANTSEENRALKMGDSDDEEMNLSSFPKKFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRRPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAIMASTRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQNLNVTFLAYLLAITVTLCILAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDVDDEFADLYIVKWSSLMIPPITIMMVNLIAIAVGVSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAIIISLLWVAINPPAGTDQIGGSFQFP >CAK8544325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678587746:678588271:-1 gene:gene-LATHSAT_LOCUS13014 transcript:rna-LATHSAT_LOCUS13014 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKGVNEEAFKHLIKIPPRFWSKSRFQPSSCCDTLVNNMSEAFNYVLVAARSKPIVIMLKEIRVYILQRWESNRKKVTKYDGFVLSNIKKRMEKESQKTNHWIVRRVCEYDYEVRHTSLNGEKYIVNLYKKECSCRLRMLTGLPYCHAIS >CAK8540788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21222832:21224489:-1 gene:gene-LATHSAT_LOCUS9758 transcript:rna-LATHSAT_LOCUS9758 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGSYDTSLLVKYEQHIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLRVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGATALATLYRYLGDASMFSCKQLGGYLTLLQCWIHEYFPTLGKKGENWIPAYNVGLPRTMRWSYRQGALKVDELRPILNELTPADVIWRSFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNMIRPTAPATTPYETDDGYLEWYYRVSHPCLVPIPYHDAPAEMSVPVYEAGPSDLSWARVYSLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8530754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47135162:47135674:-1 gene:gene-LATHSAT_LOCUS597 transcript:rna-LATHSAT_LOCUS597 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPYCDFCNGVVEIVLHVFRDCWAANLWRNLRIHNSDFVLPVCLAADIKTSWLQYAKAMLLKKRMFHFNQNRERIKWQSTDINWVTIRMDGMVCHSGNNTDVSTHRSAGCGDMAQKAV >CAK8534089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692750516:692754890:1 gene:gene-LATHSAT_LOCUS3659 transcript:rna-LATHSAT_LOCUS3659 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHINIPMLISFFFFLLPQLSLSDYDYSICRDADHFYNCGHLSHISYPFWGHNRPFQCGAGTPFHLICNEKSVTTILISSQNFTVLEINTTAYTIKLKRTDFSLNLCSPQFNSNSLFSPLFQSVNNIIIFYNCTSSPILPAEDSLCGAPNRAFGHVAHSDYGYEHIEESMNCTGHITVPVGADFPIKNNIYGYFERHVLEKGLDKEFEVSYSVNGECLDCSKNQGDCEWNDDIEKQLDSSCYYCPYGSIAYSADCSSYYKNKNAKRRLVIVVGSVVLGAFAVIVAIYFFYKRRKNKTYAKSYVQSRSLSSELTSKDLESGSQYFGRSQNFGVQHFTYSELEEATNYFDPSKELGEGGFGTVYYGKLYDGRSVAVKRLFENNYKRVEQFKNEVGILASLVHPNLVSLYGCTSRHSRELLLVYEYVSNGTVADHLRGKHAKQGKLTWPVRMNIAVETASALKYLHISEIIHRDIKTNNILLDAHFHVKVADFGLSRLFPYDQTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVMIELISSLPAVDITRHRHEINLASMALNRIQNQALHEIVDPMLDFESDAKVKKMIVAMGELAFQCLQSSKDLRPTMDEVLESLKDIQNGGKHKGQPEVMDISSLSDDAVLLNHAPPPLSPDSNVRSNYTTPNTST >CAK8574113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663211754:663213163:-1 gene:gene-LATHSAT_LOCUS26488 transcript:rna-LATHSAT_LOCUS26488 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFLFNFIFLLSLWFSPLAHSRNTPHNAKTTLLDVVSSIQKTYQVLNFNPNLKQQQKGSSFSSSSSSFSMQLHSRASIHNKPSHADYKSLTLSRLARDSARVNSILTQLDPNFNPEKLSGPIISGTSQGSGEYFSRIGIGEPPSQAYVVLDTGSDISWVQCAPCADCYRQADPIFEPASSASYTPINCQANQCQWLDQSQCHNGNCLYEVSYGDGSYTVGDFVTETVTIGATSVRNIAIGCGHNNEGLFVGAAGLLGLGGGPLSFPAQLNSTSFSYCLVDRDSDLVSTLEFDSPFSRDAVTAPFKRNPELNTFYFVGLVGISVGGELLPIPEASFAVDPTESGGVIVDSGTAVTRLQSDVYKIVRDAFVRGTRDLPAAKDMSLFDTCYDLSLRSSVEVPTVAFHFGKGKVLVLPAKNYLVPVDSVGTFCFAFAPTTSSLSIIGNIQQQGTRVSFDLVNSVIGFSPNSC >CAK8541205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89328736:89330960:1 gene:gene-LATHSAT_LOCUS10141 transcript:rna-LATHSAT_LOCUS10141 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIEEVAGTDVLCSDKTGTLTLNKLSVDRNLIEVFYRGMDKDLVILLAARASRIENQDAIDAAIVGMLSDPQEARAGINEVHFLPFNLVDKRTALTYVDTERNWCRASKGAPEQILDLCNCKENVRRNVHAMINKFAERGLRSLGVAYQEVPKKTKESPGAAWQVVGLLPLFDPPIHDSADTIRQDLNLGVNVKMITGDRLAIGKETGRRLGMGTNMYPSYALLGHDKDASTSDIPIDELIEKADGFAGVFPKHKYEIVKRQQDRKHICGMTGDGVNDAPALKKVDIGIVVADATDPARSASDIVLTEPGFTSRAIFQRMKNYTIYVVSITICIVFGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLTDSWNEFCYFCFYLSFLLFL >CAK8541206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89328853:89330960:1 gene:gene-LATHSAT_LOCUS10141 transcript:rna-LATHSAT_LOCUS10141-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDLVILLAARASRIENQDAIDAAIVGMLSDPQEARAGINEVHFLPFNLVDKRTALTYVDTERNWCRASKGAPEQILDLCNCKENVRRNVHAMINKFAERGLRSLGVAYQEVPKKTKESPGAAWQVVGLLPLFDPPIHDSADTIRQDLNLGVNVKMITGDRLAIGKETGRRLGMGTNMYPSYALLGHDKDASTSDIPIDELIEKADGFAGVFPKHKYEIVKRQQDRKHICGMTGDGVNDAPALKKVDIGIVVADATDPARSASDIVLTEPGFTSRAIFQRMKNYTIYVVSITICIVFGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLTDSWNEFCYFCFYLSFLLFL >CAK8534900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788979586:788980299:1 gene:gene-LATHSAT_LOCUS4402 transcript:rna-LATHSAT_LOCUS4402 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCLCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNEYAETTNTHASSSRSHMEFDKKFNLIDEMVGNAFRVNVTYDEPQDFDGEELSNEEAQRLYQLLKEMNTPLFEGSTDSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTNYHDAKRLVSKLGLEVRKIDCSING >CAK8579039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668952691:668953026:-1 gene:gene-LATHSAT_LOCUS31014 transcript:rna-LATHSAT_LOCUS31014 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGCLAIQVGSEEHQSQQDAAVTDSQRFLIPISYLYHPLFNNLLDMAYESYGYSTHGPLKLPCSVDEFLHLRWQIEKESTQHHHHHQHNRHHHHHHHYLPYALYFNSC >CAK8579367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694385123:694385522:1 gene:gene-LATHSAT_LOCUS31318 transcript:rna-LATHSAT_LOCUS31318 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEHTGASVSARQPIGYSGGPYDTSLLVKYEHHIARHIWFSEERDTKKELKVAGHGLKLIKRVSLHLLREMEGWVSRSGISSLQRTRLTKIDTNLVSAFAR >CAK8535550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:862932633:862939710:-1 gene:gene-LATHSAT_LOCUS4999 transcript:rna-LATHSAT_LOCUS4999 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRMLPLPYAPFPHKIHRRFTVNNLFPKFRKAPKYDLEIHYYYYEDKSRTRKQRCRSGIGDDAVSDWELQEGRMAVSTFLQQMGVSVEESDSIASNSPSYLNMLVEAVRDLDQLSSMSSALDDGDAVFNLNSNYRDKILHVAALKGDNGKLAYFESLGFTLSSSMNLATYISSSSSVNLGHTLPSLMNKVASIKQIIFPPNHTTTQFLINNIRLLMRSLSISILDEDLQHTFSFFQKLQARRGGLNILASHHDAFRCFVETFPRLLFLSLNNHISPILNFLRHIGIPTDRIPNVVLAFPPILLWNLQLLQTRVKALNQIDGVDEDYAKLMLKYPWVLSTSIQKNYKEVLAFLYSAKIPKTWIDRAIKKQPQLLGCSTSKMKLVVDQFAELGVQRKKLDQVITKSPQLLLQKPEDFLQVVLFFENMGFDKENIGRLLARCPEIFASSISNTLQRKIDFLSRICLSKAYLPMVIKKYPELLVFDIDRTLPQRIVYLMKLGLSKKEVAYMVRTFPALLGYSINDVLRPKIEFLVNIMKRPLRDVVDYPRYFSYSLEKKIKPRYWVLKGRNIECSLKDMLAKNDEEFAAEFMGVGTLSSHDRL >CAK8568986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661748821:661750186:1 gene:gene-LATHSAT_LOCUS21886 transcript:rna-LATHSAT_LOCUS21886 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSCPNFDKTDGLDTILEVPIPEEMLANMGTNGFNRWQNLKTLMNSAQDKSSGLSAPSNNEFTALLKLVGAPLIPFQVQSDNTLTRPLRDCSIRDSIGKYIIQQYVAATGGQSALNSLKNMYAIGEVRIHGSDMRHGADDNSVNSRGKAEVGGFVLWQKNPDLWCLELVVSGFKITAGSNGKVSWNQSSSQPFHSNKGPPRPLRRFFQGLDPRCTANLFLEAECVGENKINEDLCFILKLQAEQHFLQAQSTSNTEIIMHTVLGYFSQRTGLLIKFEDTKLVRMKAVKGKDSVFWETNIESTIEDYRCIGGINIAHGGKTVSTLYRYGAAHNHKQMIEETWCIEEIDFNIVGLSMDCFLPPSDQEKEHDGAEHAVGVS >CAK8575436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119036627:119039396:-1 gene:gene-LATHSAT_LOCUS27703 transcript:rna-LATHSAT_LOCUS27703 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLVGEALLSVSQGWKDAVPNAVGWELNKGRKVPRCISLAQSMDPTRLAVSAADLNLKLMRWRALPSLNLSALSSLKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDNCLNGGEFKATAAVESLKRIFPAVEAEGIVMAIPMPGHPVNRQEQESILGDCRRLCDLIDAHDAIFLLTDTRESRWLPTLLCANANKITMTAALGFESFLVMRHGAGPLSSACDSSAETASSSSADLCVYDANGKHRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPQGIYAEADINNSVSGGAENPLGILPHQIRGSLSQFSQMSLIGHSSSSCTACCHTVISEYRNRGMEFILEAINHPTYLEDVTGLTELMKSATKFSLEIDSEDDEEDCFEI >CAK8561304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:142743735:142746244:-1 gene:gene-LATHSAT_LOCUS14911 transcript:rna-LATHSAT_LOCUS14911 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMDEEAPDVLCQLENVQGLVDALTSVRWKRQQDAVLELSEHGIVLIVEESGCLQAKVYLKKELFIRYDYSAEGRPRFGVSLGLFVDCLNAFSVPAHSNLIQIQYPGPDMQVILKSVDSLDASICAEIRTRIPDTIAWDYNFEPAGANPLTFTVKSAALKEAIEDLEWPGSSIQITMEPNPPRVTLRAEGHGDLQIDFMYSANSELLVAFQCDHQASFKYKYKFLRASTSNMPSSVIKENRGSKLSIGRGGMLKVQHLVSISKPASHSYADSAGYQQPGRIAHIEFFVKPEENED >CAK8573290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600940442:600942030:1 gene:gene-LATHSAT_LOCUS25757 transcript:rna-LATHSAT_LOCUS25757 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSYLVNLNSFHAGIAGPNPSIFKPSNFGFGIWKSLKHPTRRNVDGNSRFMQCCSCYTEVGAASAVKCLDLDTNLNSLILNIPETLHTSTDFMTRLMILADLDPATAKFAIGFLGPFLSAFGFLFIARIVMSWYPKLPVGKFPYVIAYAPTEPLLVPTRKVIPPLAGVDVTPVVWFGLLSFLNEILVGPQGLLVLLSQQVN >CAK8532607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:321105885:321107260:-1 gene:gene-LATHSAT_LOCUS2295 transcript:rna-LATHSAT_LOCUS2295 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSIDDKCDNRLYIGNLDLKITEAALLKMFSPYGKIVSEDFLWHTQGSKRGEPRGFAFIQYSAKEEAILATEKMHGRLACGRPLVVRLASERYALEVADSSMKAVDEGHKLHLTGGGMGQTSRGAKIAAIKNKLKSLEEDNSRNKKQKQSDNIS >CAK8576072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399287857:399290064:1 gene:gene-LATHSAT_LOCUS28289 transcript:rna-LATHSAT_LOCUS28289 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFPEANNFTKGYYHFDEEGSIVVSESDPNEYDNFLDADPNQYDSFLDADSLDFSSNTIPIQGSSIASGTDPSLEDTDFSDTLKFISQILMEEDVDKRPFYDPLLQITEKSFNDVLLHENKPLSPNQHPLDIHNSDGSTSTSTSNSNSNSNSSIDDSRESKPLSPETPVSDLRNNVFQFNSSAISHTSSQPQTTSVSDGLRDLDSSITNLLAQNIFSDADSVSLFRKGLEEANKFLPPQPKLVTGLESSNFNMVGEKENSLVLKGRKNHEREESDGKEEEEEEDRRRNKQSAISVVDEDELSEIFDKVLLSVEHLPLCNENDSLPNGQVKKEQSSPSNGGKARTKKQSKKNETIDLRNLLLLCSQSVYAYDNRNAYELLKQIRQHSSPFGDASQRLAHYFANGLEARLVGNGNKAQTFFFSESSKRISTAEFLKAYQVHLSTSPFKKFAYFFAIKAIIKAAANAETLHIIDFGILYGFQWPILIKFLSDTEGGPPKLKITGIEFPLPGFRPMERIEETGRRLANYCKRFNVPFEFNAIASRNWETIQVEDLKIKSNEVVVVNSLMRFKNLLDETIEANSPRNAVLHLIRKINPVIFVQSIVNGSYNSPFFATRFREALFHFSAIFDNYDTVIPRENRYRMMMEKETVAREVMNVVACEGLERVERPETYKQWQARNTRAGFKQLPLDPKLMDKFRTKLRKWYHKDFVFDEDNNWMLQGWKGRILYASTCWVPA >CAK8560690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35803907:35810501:-1 gene:gene-LATHSAT_LOCUS14346 transcript:rna-LATHSAT_LOCUS14346 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQSTEELQSSAQASHEPKIEPPNNHATDAPVADSGSASASSNDSKKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFTTLVWQKLEEENADFFRAYYVRLKLKKQILLFNHLLEHQYHLMKCPMPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQHPMPAAGQPHIDSMGISSCHVVNGVPAPSNFHPIRMNSGNDMVMDHSVPDMAPMIQQNGTMSSVSEMPVSPTSVASSGHFPFTASEISGMGTDASALDSAFTSDVVSSVGLQLAQDGGNGISRSLDQIQWNFSLSDLTADLPNLGDLGALGNYPGSPFLPSDSDMLLESPDQQDIVDDFFVNSEPPCSQSDEEKS >CAK8570097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23709415:23709744:-1 gene:gene-LATHSAT_LOCUS22880 transcript:rna-LATHSAT_LOCUS22880 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVFRLVENPQAEASEGRHGKRKMLVHLPTGEVVNSYTFLERILVGLGWERYYDGDPDLYQFHKHSSIDLISLPKDFSKFNSINMYDIVVKTPNVFHVRDK >CAK8566802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464113953:464116127:1 gene:gene-LATHSAT_LOCUS19909 transcript:rna-LATHSAT_LOCUS19909 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRIAATSASRIVKPYNPPSPLFSAPFHNLTLKFHLPHANAFPNLPIRKPGSQCYIRAISEKVVESSVSKKEEEEQQLPKDWKIKMLYDGDCPLCMREVNMLRERNKTYGTIKFVDISSDDYSPQENLGLDYQTAMGRIHAILSDGTVVTDVEAFRRLYEQVGLGWVYAITKYEPIGKIADSVYGFWAKYRLQVTGRQPIEEILEARKKKGEVCKDNNACKM >CAK8540916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31508141:31508890:1 gene:gene-LATHSAT_LOCUS9873 transcript:rna-LATHSAT_LOCUS9873 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPETHPPPPEPPITSLPEIVPATTAQPNGNIIVPPTLAPKRRRRPSVRLGEIGDQHGISRLHNHPPWSWQLSKESSRTFKPRTVANHANEIIDFGNHRRGKAKRGSTKRLRSDSAPKPIDKNGRQDFKNLDDDHDHDRVSPVHSVDENGNGNGYWHANQNNDNRIRVSENEGVESESREGRKNEGVWCWLFDLGLSRYAPMFEIHEVDVELLPMLTLEDLKDMGISAVGSRRKMYTAIQKLQKGFP >CAK8577489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568268523:568271776:-1 gene:gene-LATHSAT_LOCUS29593 transcript:rna-LATHSAT_LOCUS29593 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIIGRKANWISSNLLLKRELCLGALPNGGAMEHLITQLQSHVHNALAGGGPQAVKRNVSRNKLLPRERIDRILDPGSSFLELSQLAGHELYEEPLPSGGVVTGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAQCNLPCVYLVDSGGAFLPKQADVFPDRENFGRIFYNQAIMSAQGIPQIALVVGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGAAVHCKTSGVSDYFAQDELHALELGRDIVKNLHMAGRDVSTNGLQNMNYEYKEPLFDVNELRSIAPVDLKQQFDIREVISRIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKITIIVGGSFGAGNYAMCGRAYSPNFMFLWPNARISVMGGAQAAGVLAQVEKGSKKKQGIQWNKDEEEKFKTKVVEAYEREGSPYYSTARLWDDGIIDPADTRKIIGLCVSASLNRPTENTKYGVFRM >CAK8564795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9667283:9669250:1 gene:gene-LATHSAT_LOCUS18060 transcript:rna-LATHSAT_LOCUS18060 gene_biotype:protein_coding transcript_biotype:protein_coding MECKKNKILVFGGTGYIGKYMVKASISLGYPTFVYTQPFHAKTPSSKIQLCKDFYSLGVTLVEGELEHDQILKVIKQVDIVICTFPYPLVMEQLKIIDAIKVAGNIKRFLPSDFGVEEDRVHPLPPFQAFLDKKIKIRREIEAAGIPYTFVSANCFGAYFVNFLLRPHENNQDIAVYGNGETKAILNYEEDIAMYTIKVANDARAHNRIVVYRPSKNIITQNELILLWKKKTGQNFNKVFVPEENIIKLSQNLAPPEDIPISIVHSIFVKGEMNFELGEDDLEVSKLYPDYNYTSIDQLLDKFLIDPPLPASAAFE >CAK8543979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653131712:653132347:1 gene:gene-LATHSAT_LOCUS12696 transcript:rna-LATHSAT_LOCUS12696 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKPSTLIWRALLDGCKLHNNTMIGKWAVKNILALEPKDPSAYILVTNLHSSSGRWDCSEMTRENMRERGFHKYPAQSWIICQKKMHSFYARDRSHPQDKDIYSALEILISECLKVGYEPDTRFVLHQVEEEHQKKTFIFSHSSKLTATYGILMTKPREPIRIVKNILLCGDCHTFMKYVSIITKRDIFLRDSSGFHCFSNGQCSCKDQW >CAK8535368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844568592:844569755:-1 gene:gene-LATHSAT_LOCUS4833 transcript:rna-LATHSAT_LOCUS4833 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTDPLVIGRVIGDLVDNFTTTMKMSVTYNTKQVYNGHEFFPSSLTTKPKVQIHGGDMRSFFTLIMTDPDVPGPSDPYLKEHLHWIVTDIPGTTDATFGKEVMKYEMPRPQIGIHRFVFLLYKQKRRQTVMKIPTSRDLFNTQKFAEDNDLGPPVAAVFFNAQRETAARRR >CAK8542117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474820261:474822087:-1 gene:gene-LATHSAT_LOCUS10987 transcript:rna-LATHSAT_LOCUS10987 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSTTTTLQHTHNKICFSPLKLTTPFNNSFLTKHFNNHSIRCNAFFDIIPKEILLSSTFYLDKFQSVSEDLSDMQRLEIFLFVGLTWLYLTARPGVLFGAIDAYLLAPMQLVLDSLSGRRSMKRSDFLIGDKIGEGSFGVVYSAVLATKNVDAKERIQKNGRGKMDAKSKDKVILKKVKVGIEGAKEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKSNSQFTKGGKWLVWKFEGSRTLGDYMTDKNFPSNLESIMFGSVLQGVESSKRNSLIIKQIMRQIVTSLKKIHDTGIVHRDVKPSNLVVTKQGQIKLIDFGAATDLRIGKNFVPDYTPLDPDYCPPELYVLPQETQSLPPEPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAIPTLRSQAALKNFNLEMRTCGYDLNKWRDSTRMKSNFQILDSDSGRGWDLASKLISERRRRLSAASALRHPYFLLSADQAAAVLSKFSFSTK >CAK8578316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619655265:619658867:-1 gene:gene-LATHSAT_LOCUS30337 transcript:rna-LATHSAT_LOCUS30337 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNTNKITLVLVYAILEWILIIFLLLNSLFSYLIIKFADYFGLKRPCMWCTRIDHILESGKNMNPCRDLVCEAHAVEISKLGFCSNHHKLAELDGMCEDCSLSVSFLSKPNYVELSQSIDFFPWMKEIDRIEGGDGKVVEKDEEGLRCSCCAVNFDNRFYPPCIVVNPSVNILEDLEYEQNSEKVNLIKGDVFVSEDDRRLDQSRSDFSVVHHENQDKIEEKSGVHLLFEVEENCGCSVCDGVKETMVDDLYKLEIGLEKGKETAEEERLKLIVPKPKDDDDVDEVCDLSGVEVEKIEKIEKIEEIQTKHLDFFIHGDDCRLIPVELVDSGATENGNQSRYNKGLDEGFRDNEDFILDFDVNNTDAEAEPLIENWHVSGDIVAEFSCQEKKKFYRVNEVESGLQVEQNLEQNYQDVRFAQTDEDLSKDDNVEVEVNMERMMHGDGELGSYVSLASEDASQTQSEEYEAEVSIGTEIPDQEHVEEYQGQDMLFETNQRMQDDSSTSSVRFHVQHDSGHDKGEEEFVEFNTMSLEVRMPTSSNHIPSSFELNENEEEKFSDTPTSLENLHQLHKKLLFLERKESGTEDSLDGSVISDIECGEVTLEKLKSALKSERKALKTLYAELEEERNASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMDEQSEYDQEAMQLLNDLMLKREKEKHEVEKELEVYRKKVHEYEVREKMMLSRRDSSIRSRTSSLSCSNAEDSDGLSIDFNQETKEENGFHGNHQECSSNQNTPVEAVLYLEESLSSFEEERLSILEQLKVLEEKLIILNYEEDHCFDDDNTSIEHLREENGNGYHDGDHDHDDHQGQVNGFVNGNGKPHQGRKITAIKAKRLLPLFDAISTETEDIELSGDENENELDFSQLQNISAEKANLDKKKVGLEEEVDHVYERLQVLEADREFLKHCIGSLRKGDKGLDLLQEILQHLRDLRNVELRVKNTGDLAV >CAK8535398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845930592:845931884:-1 gene:gene-LATHSAT_LOCUS4863 transcript:rna-LATHSAT_LOCUS4863 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDDSAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8541050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:48225826:48228803:1 gene:gene-LATHSAT_LOCUS10002 transcript:rna-LATHSAT_LOCUS10002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIRIVFIVLVMIFAIFYSGEGQLVENFYISSCPNVELLVSQAVTNKFTQTITTGQATLRLFLHDCFVEGCDASIMIASPNGDAERDAKENLSLPGDGFDTIIKAKEAVEAFCPGVVSCADILALATRDVIVLLGGPSFSVELGRKDGLISKASNVEKNLPKPTFNLNDLNKIFSKHNLTQTDMIALSGAHTVGFSHCDQFTDRLYSSQVDPTLNPSYAQELMTGCPRNVDPQIVLPLDPQTQFVFDNIYYQNLVNGKGLLSSDQVLFTDDASKSKVVEFANDGAKFFDAFVVAIKKLGRVGVKIGKDGDIRRDCSKFN >CAK8541051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:48225865:48228803:1 gene:gene-LATHSAT_LOCUS10002 transcript:rna-LATHSAT_LOCUS10002-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAIFYSGEGQLVENFYISSCPNVELLVSQAVTNKFTQTITTGQATLRLFLHDCFVEGCDASIMIASPNGDAERDAKENLSLPGDGFDTIIKAKEAVEAFCPGVVSCADILALATRDVIVLLGGPSFSVELGRKDGLISKASNVEKNLPKPTFNLNDLNKIFSKHNLTQTDMIALSGAHTVGFSHCDQFTDRLYSSQVDPTLNPSYAQELMTGCPRNVDPQIVLPLDPQTQFVFDNIYYQNLVNGKGLLSSDQVLFTDDASKSKVVEFANDGAKFFDAFVVAIKKLGRVGVKIGKDGDIRRDCSKFN >CAK8534882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:786508938:786509261:-1 gene:gene-LATHSAT_LOCUS4386 transcript:rna-LATHSAT_LOCUS4386-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLDCSERYLILLNGHVAMISSYAIEVRVSIAILIELGVKESWTKLFDFIPSSNMYYPIGASKNGDLLYKHEDQFLNIDLNKDIKDVLGEKESRTHMLVYKKDILY >CAK8534881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:786508938:786509603:-1 gene:gene-LATHSAT_LOCUS4386 transcript:rna-LATHSAT_LOCUS4386 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEFRCYKEFHINTIIHGFGYDQIKDDYKIIQYVKGRGYWKDRLPYSLREIYSLKNKSWKRLYLDDMPTRRGMWDPLSFISVCHWCRYTRDETYVVSFYFNTETHVTTLLPKNMQDLDCSERYLILLNGHVAMISSYAIEVRVSIAILIELGVKESWTKLFDFIPSSNMYYPIGASKNGDLLYKHEDQFLNIDLNKDIKDVLGEKESRTHMLVYKKDILY >CAK8542581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527387377:527389442:1 gene:gene-LATHSAT_LOCUS11409 transcript:rna-LATHSAT_LOCUS11409 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLVSLISVFLLCITIAQGRKTLHTSHEFSTSSLVSHDAGICKTMVETQGYACEEHKVTTQDGFILSLQRLPARRSGEKVNKPPVILQHGLFSDAVIWLFNSPEESLGFILADSGFDVWLFNGRGTKYSSMHTSLTPNDMAYWDWSWDELASYDLPASVEYVYNYTGQKMHYAGHSQGTLMAFAALSQGKLVDMLRSVALLSPIAHMNQISSIGTKLAADLFIANDIYWLGIREFNPNVDVGAKFLEGICNTLHINCANLMSVFTGPNCCINSSRIDVYLDHEPQPTSTKNLIHFSQMIRTGNIAKYDYDDQAQNVQHYGQRVPPTYDLTKIPNELPLFLGYGGKDTLADVQDVKVLLNDLQDHDANKLVALFNEDYAHIDFIMGVTAKQVVYDPMIAFFNGY >CAK8541473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:194857267:194857599:1 gene:gene-LATHSAT_LOCUS10392 transcript:rna-LATHSAT_LOCUS10392 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFINPTLIQVASVQVSLQEQGRQFLFIMVQESSDKKYKGPTKTQATKHEEIPVRQNSKRSSNSKGINGWLQQSLRPTAEGLHFQTVLKTPLKPAGYQAIKIERQTHST >CAK8566606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441763176:441767782:-1 gene:gene-LATHSAT_LOCUS19726 transcript:rna-LATHSAT_LOCUS19726 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSEEDTDISDSEIGEYEEKCYEELKNGSQNVKALDEKFTCPYCPKKRKRDYLYKELLQHASGVGQSSSQRRKPREKATHLALVKYLENDLMNIDAPPEPVDDKSHTPIDSDEQFVWPWMGIIVNIPTTRKEGGRTVGASGSKIRDEYRSRGFNPVRVNPLWSYRGHSGTALVEFNKSWPGLDNALAFEKAYALEHHGKKDWLANTGQKSGLYGWVARADDYKVNNIIGEHLRKMADVKTIPELMEEEARRQDKLVSNLTNIIEKKNRHLHEIEARCTETTEKMNSAIEEKDLLIQSYNEEIKKIQASAKDHFQRIFNDHEKLKSQLESQKSELESRRIALEKREAHNESERKKLAEEIEENTTKNSSLQMASIEQLKADQNVLKLAEDQKKQKEELHAKIIQLEKQLDMKQKLELEIQQLRGSLSVLKHIEDDDDGEVLKKVDDLQKGLREKEQVLEDLDALNQTLIIKERKSNDELQDARKELINAIKEIATRAQIGVKRMGELDTEPFLEAMKQRYNEEEAEDKATELCSLWEEYLKDPDWHPFKVVESDGKHRELIQEDDEKLKGLREEVGEKAYDAVVAALTEINEYNPSGRYVTSELWNYKTGKRATLKEGVQFLLNTWRRKKGML >CAK8543333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600762096:600764012:-1 gene:gene-LATHSAT_LOCUS12097 transcript:rna-LATHSAT_LOCUS12097 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHWSRMYGTQWESYMGINSLVKVVGSEQVTFEPIKSPCNNNIVGSSFQGEEYYEEDEIEVPDWCFDNFPKITDPPLLNCQASGDNFTASQFTNSLYSVDESLVSNTEDSHNSEEEEYSNFQSGNMSFYNHFPQKHDDLMKNDAFLDEKKPLQISFQRTESVSCTKSQKHSPQLYGATCVTSSNSGSRKALTSKRRIRWTEELHELFMMIVEQLGGPEKAKPKAILDMMKSNSLSLSHVKSHLQKCRSTIRLHKALQENTMEGFRTDRVTELQHKISIMQIEESQKLQLEVRKSISQQLEMQRNLQALIEEHSKKLKVMQREQTNQRISLTQPEGQ >CAK8575026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22493575:22495354:-1 gene:gene-LATHSAT_LOCUS27319 transcript:rna-LATHSAT_LOCUS27319 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKEKIENVKKWLMPSKPLLSMLLVQIISTGMQLLSRVILVRGTFIFAFITYRYIVAAICVVPFALYFEREQVKMFDFNWKVWIWLFLNALVGMTMAIGLFYYGLRDTSATYSVNFLNLIPIFTFFISIILRMEDLNIKTWGGIAKCFGAILCVGGALSISLYKGKEIYIGNHSHHVERIVVGAQKSRMLRGTFFLIASCCCSTVWFIMQVRLAKVFPFRYWTTMISCFMTAIQSTIIGVGMDFSKEAWKLELNLQLVTIVYAGVLATAATFCLISWTITIKGPTYSSMFNPLALVFVAMSEAFILAEPLRIGMLIGMVLIIMGLYSFLWGKRNEMPHFHQSNVAILELSTSMRDDPTAPKYISVTN >CAK8572404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534453972:534457969:1 gene:gene-LATHSAT_LOCUS24975 transcript:rna-LATHSAT_LOCUS24975 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTPILEIEPRELKFVFELKKQSSCSVQVTNNTYHYVAFKVKTTSPKKYSVRPNVGVLAPKSSGEFVVTMQAQRVAPEDLVCKDKFLVQSTLVDADITSEDVTASLFVKDGVRYVEENKLKVALISPPDSPELGPVNGDSKNGFDHGKFQIYSKDEIEIQSPEPMVLKKPEHNKVHEVEREEDTELKVEYDMGLDAIKNVEEPGEEAGLKVSRDEELNPAKDVEELKPQIKKEVEVSKDLDSITVNSTEELKPGKEAEMKESKDLGPIRVKNAEELKPGKEAEIKESKDLGPIRVKNAEELKPGKEVEFRVSKDHLTTVNNAEAVKPRKERELEVSTDTMITTVKNVGELKPEKESELRVSKGIEELKLLEAIEEMKLKLDRLESKLNESGATISKLTEERQLSNQETKILQEKLADLINKGPTRKVQVGFPLLYVCMVALICVFLGYRLHS >CAK8531826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159429436:159430299:1 gene:gene-LATHSAT_LOCUS1591 transcript:rna-LATHSAT_LOCUS1591 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIQAVVWPIIFREYITDGLDALPANIINNNMGEFHFILGFATETYLEDGTGTGAFTRTWNFDDFSPSKLLTLKEEHPNVKVIMNIGGHGDEYVFNPRDKEEWIVNAKSSIKGLILDYQIQTIPVSVSAIDGIDINYENIKSNADDFAYCIGKVIQQLKEDPLVFNSTNVSISPTEVLRPHYLKLYLENKDNINWINYKFYNQSIESEIDFAKLFKILVFEYGAPYKLLPGVSTNTSSPPLMPIDVFVAGCKILLKTKSLAGVFVWDANESAPEYSLEEVLQKLLT >CAK8569989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19495721:19496188:-1 gene:gene-LATHSAT_LOCUS22781 transcript:rna-LATHSAT_LOCUS22781 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIPRQSSIKNKLKNTICCFTGNIHHHDSSFEEGEGFYNKLRIPKTPISPPGSTCSSSLWFKKSPPCNGSEFSRVRGRSIRALVGLKHGHHRQSHSADFSYDPLSYALNFENESAQDDVPMKAFSSRLPQSPPSSSSSGDYSDKLPKEIVGYS >CAK8539929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531444774:531447595:1 gene:gene-LATHSAT_LOCUS8981 transcript:rna-LATHSAT_LOCUS8981 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSPREMPSPSSIFSAYASMTASIMLLRSMAHELIPQPIRGYLFNTFRYLIKPRSPTLTLIIEESTGITRNQVYDAAESYLSTRVTPENERLKISKVPKEKKLTIRLEKGEKLTDTYNGFTLKWRFICAETEKNSDIHNSNNSISVRSEKRYFELSFHKKYKEIVLDSYLPFILDKAKEMKDEERVLKMHTLNTAYCYSGVKWDSINLEHPSTFETLAMEPEMKNAIIEDLNMFVKRKDFYKKVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIFDLQLGNIVRDSDLRKLLLATANRSILVIEDIDCSVDLPERRHGEGRKQTDIQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCSYEGFKVLASNYLEISHDNNPLFGEIEGLIEDIQITPAQVAEELMKNEDADATLEGFVKLLKRKKMEGDVCENNNKRENQEKQCKKRKVSCKQKRGGGNSKSNVGVTQRRTRGIIKRGSSL >CAK8539930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531444777:531447595:1 gene:gene-LATHSAT_LOCUS8981 transcript:rna-LATHSAT_LOCUS8981-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPREMPSPSSIFSAYASMTASIMLLRSMAHELIPQPIRGYLFNTFRYLIKPRSPTLTLIIEESTGITRNQVYDAAESYLSTRVTPENERLKISKVPKEKKLTIRLEKGEKLTDTYNGFTLKWRFICAETEKNSDIHNSNNSISVRSEKRYFELSFHKKYKEIVLDSYLPFILDKAKEMKDEERVLKMHTLNTAYCYSGVKWDSINLEHPSTFETLAMEPEMKNAIIEDLNMFVKRKDFYKKVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIFDLQLGNIVRDSDLRKLLLATANRSILVIEDIDCSVDLPERRHGEGRKQTDIQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCSYEGFKVLASNYLEISHDNNPLFGEIEGLIEDIQITPAQVAEELMKNEDADATLEGFVKLLKRKKMEGDVCENNNKRENQEKQCKKRKVSCKQKRGGGNSKSNVGVTQRRTRGIIKRGSSL >CAK8563911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638628385:638628615:1 gene:gene-LATHSAT_LOCUS17269 transcript:rna-LATHSAT_LOCUS17269 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQHNPWSNFMQNGGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQ >CAK8579515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705186094:705188792:-1 gene:gene-LATHSAT_LOCUS31457 transcript:rna-LATHSAT_LOCUS31457 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALTDGGPGKRAHLYEHKFTLYFAFTCLVGALGGSLFGYDLGVSGGVTSMDDFLKEFFPDVYRKKQAHLNETDYCKYDNQVLTLFTSSLYISALVITFFASYLTRNKGRKATIIVGALSFLVGAILNAAAQNIPMLIIGRVFLGGGIGFGNQAVPLYLSEMAPASSRGAVNQLFQFTTCAGILIANLVNYFTNKIHPHGWRISLGLAGIPAVLMLLGGIFCAETPNSLVEQGRLDEARKVLEKVRGTKNVDAEFEDLKDASELAQAVKSPFKILLKRKYRPQLIIGALGIPAFQQLTGNNSILFYAPVIFQSLGFGANASLFSSFITNGALLVATVISMFLVDKFGRRKFFLEAGLEMICCMIITAVVLALEFGHGKELSKGISTLLVIVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSIVVCVNMIFTALVAQLFLLSLCHLKYGIFLLFGGLIVFMSLFVYFLLPETKQVPIEEIYLLFENHWFWKNIVRDEKDQEQAYYQ >CAK8536188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915512014:915512370:1 gene:gene-LATHSAT_LOCUS5581 transcript:rna-LATHSAT_LOCUS5581 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMTNLINYVLSNLPIHYLSLFKALSKVIHKLVLIQMKFLWADSKDKKGISWVSWSKVCRPKVEGGLGNKNLGRFNNAQLPKWIWRFVTEKGKLWEGILVSRYGNLASTLWNGELSI >CAK8571817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478984997:478985905:1 gene:gene-LATHSAT_LOCUS24445 transcript:rna-LATHSAT_LOCUS24445 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVAVEPVSEPATAEPPVSEKVEEPKAEAVKTKKAKESKPKKASKPKNPASHPTYEEMIKDAIVSLKEKNGSSQYAIAKFIEEKQKQLPANFKKLLLQNLKKKVASGKLVKVKGSFKLSAAAKKPAVAKPKTKPAAKKSVKAKPVTKPKAKAAGKPKVASKAKSVTTKPKAAAAKPKTAAVKPKAAAKPKTVAKTKAGVKPKPKANAAKVAKTSTKTTPGKKVTVAKSAPKKVAVAKKAQVKSVKSKTVKSPAKKVSGVKRGGRK >CAK8569686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8138804:8139028:1 gene:gene-LATHSAT_LOCUS22510 transcript:rna-LATHSAT_LOCUS22510 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYARIEGEKVKIERKKVDAKIKKAGSAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8570881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:144403760:144404165:1 gene:gene-LATHSAT_LOCUS23593 transcript:rna-LATHSAT_LOCUS23593 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGHQPPYKWLTLPDIGYVIANRYNVVLVCLGIECWTFFPMTSSFSPKVAIYCIGFVNRNHWVNMKEGFLLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQ >CAK8571198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335896192:335896439:1 gene:gene-LATHSAT_LOCUS23884 transcript:rna-LATHSAT_LOCUS23884 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAMPPAMNISTLAQLFDVGNEECSVILLWTYSAAAITLTAWSTFLLWLFSY >CAK8564638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3816626:3816856:1 gene:gene-LATHSAT_LOCUS17923 transcript:rna-LATHSAT_LOCUS17923 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQEVDTEDGAEVDMTNAIDALWKRFRSLEVAGKRALTRKVCEIAYPTTTKMAPPSEKINTKGGVNKKGKKPVG >CAK8537826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439456639:439457463:1 gene:gene-LATHSAT_LOCUS7080 transcript:rna-LATHSAT_LOCUS7080 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKEIIIREVWAYNLEYEFNLIRQAIHQNHFIISMDTAFPGVIHSLKTDHFRLQPSDYYRYLKANVDDLKLIQVGLTLSDSRRNLPDFGSNNTYIWEFNFSDFDVNHDLCNQDSVDMLRRQGINFERNICHGVDSKRFADLMFSSILVFKESIVWVTFNSAYDFGYLVKILTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMTKFCNSLYGGLEQVATILNVSRAIGKSHEAASDSLLTWHAFLNMMKTYFKDDEARKHAGVLFGLEISAYNE >CAK8577782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588227865:588228338:-1 gene:gene-LATHSAT_LOCUS29855 transcript:rna-LATHSAT_LOCUS29855-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLICQIGVAIAIGAKFGIDGNPGELPKWYAIVVVMFICAYVAAFSWSWGPLAWLVTSEIFPLEIRSAAQSVNVAVNMLFTFLIAQVFLTMLCHMKFGLFIFFALFVVVMTFFVYFMLPETKGIPIEEISTVWKSHPYWSRFVENDDKRDGIEIGRRN >CAK8577781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588227865:588228926:-1 gene:gene-LATHSAT_LOCUS29855 transcript:rna-LATHSAT_LOCUS29855 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYKYRGALSVGFQLSITIGILIANLLNYFFAKLGGLGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGARESAKIHLKRIRGVEDVDQEFNDLVAASEASKQVKNPWGNLLRKKYRPQLSMAIFIPTFQQFTGINVIMFYAPVLFSSVGFKDDASLMSAVITGIVNVFGTIVSIIAVDRLGRRALFLQGGFQMLICQIGVAIAIGAKFGIDGNPGELPKWYAIVVVMFICAYVAAFSWSWGPLAWLVTSEIFPLEIRSAAQSVNVAVNMLFTFLIAQVFLTMLCHMKFGLFIFFALFVVVMTFFVYFMLPETKGIPIEEISTVWKSHPYWSRFVENDDKRDGIEIGRRN >CAK8534911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789364091:789366477:-1 gene:gene-LATHSAT_LOCUS4411 transcript:rna-LATHSAT_LOCUS4411 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSIAFSPFPNTLIKFRTQPSKPNPIFHRTKPSSPITLCNFQPQSPSIPSTLKTPQFRFSNAGPLRCGISSNSESANVGERSLRQWVELTGEVISTAFPLWVTIGCALGLVRPSSFNWVTPKLSIVGLSVIMLGMGMTLTLDDLRSALSMPKEVFSGFFLQYSVMPLSAFFISKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALSVVMTAASTLSAVIMTPFLTAKLAGKYVAVDAAGLLVSTLQVVLFPVLAGALLNQYFQPLVKLVSPLMPPLAVATVAILCGNAISQSASAILMSGRQVILAVCLLHASGFFFGYVLARILGLDVPSSRTISIEVGMQNSVLGVVLAAKHFGDPLTTVPCAVSSVCHSIFGSILAGMWRRSVPPEIKD >CAK8569368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696961718:696962140:-1 gene:gene-LATHSAT_LOCUS22226 transcript:rna-LATHSAT_LOCUS22226 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIIETLGNYKYSVVLHYHHKSLKQSNSYTISLHQEVQLFFFKTFEMGFLLPAAIRWSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHPMGGLTIPCKEDVFLDINSQFNSL >CAK8569369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696961718:696961999:-1 gene:gene-LATHSAT_LOCUS22226 transcript:rna-LATHSAT_LOCUS22226-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPAAIRWSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHPMGGLTIPCKEDVFLDINSQFNSL >CAK8542747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542370693:542376328:1 gene:gene-LATHSAT_LOCUS11557 transcript:rna-LATHSAT_LOCUS11557 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASDQRRKRLNGASMVGYGSLEQCRTKRKNFGPPVQSDLTMKSHISVEWDSNHQRVVAKREQIGISWRQMKPFARFDHNGHEVLADVLTIPGEIFDLDSLSGVLSNEVWNTHLLDNERDFLKQFLPGDLEPHQVVQELLSGDDFHFGNPFLKWGASLCSGELHPDMIVYQEQHLKSDKRAYFLQLRNYHKDMIGFLIKLKERWESCKDPEKEILPNTLRSKNDIEKRKLSNLNEFREDGHDGNITVTSDSYSWGAEEKAYGDSQISSKGQGDELQRRVLGKDFNKGNPRNMMASSDFMINVGGKPKKGGKLSKENIHASDGDRYMSYIKISKKQHELVKGLKLSCKSISASTLNCVLGDLDNFNAQPYDLFIKEEQKNLHEHWLQLVNKHLPASYANWTERLREKRAMKNSLLLEMKDKPNILVEDEDILSTGVRAQDKEDGGFNKQPSLEAKEDSIVSIPENPSLHNSYHSVDDELHHLHIDSENDILSKEDDALHNKTGLLSIMNSRQKGPIDEGASFSSDEDSIARFQESQSLLNSYHSGDKELRRLHIDLEQSILSKGDDASQNKAGHSRITHFQKDPIGEEASFSYNEDSISRFPENAPVNKSYSSGDEELHNLHIDLEKNILSKGDDASQNKTENSRIMHSRDDPMDEGDPFSYNEDYVARFPENLSVSKSYHSGDEELHCLHIDLEKNILSKVDVSQNKTEHSRIMNSRDDSIGEGSSNGHAWQAVEMPHSYYDLAATHNYSAGGLSLVNSQIHQDKQIQMIGPESNFHQEDTGKELLHRQSADGSFSSYQSPDQVGSIQSLVKDKGVNSYHHEQKRSGLIFQPSNDVPMVDGQFSGHFKESLQTSLTLDQGQRQAGNFYVPENVSGNIYSDAGRYSIPRQDPLSAGNISDWAVSAPRMVAPSQPHVNTGDFIGQPWFSSDHLVQGAWNGSSIGSLSSQSLDTGGNSDQNLFSLLSQCNQLRSGSPYESIRHTDQFLSPRTYGAVDASTHRINAVVQPSSHPLDYLTGRDAPGALVPDDMTWMSLPSQNHALNDQVAKAYLRSWNR >CAK8542748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542370699:542376328:1 gene:gene-LATHSAT_LOCUS11557 transcript:rna-LATHSAT_LOCUS11557-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQRRKRLNGASMVGYGSLEQCRTKRKNFGPPVQSDLTMKSHISVEWDSNHQRVVAKREQIGISWRQMKPFARFDHNGHEVLADVLTIPGEIFDLDSLSGVLSNEVWNTHLLDNERDFLKQFLPGDLEPHQVVQELLSGDDFHFGNPFLKWGASLCSGELHPDMIVYQEQHLKSDKRAYFLQLRNYHKDMIGFLIKLKERWESCKDPEKEILPNTLRSKNDIEKRKLSNLNEFREDGHDGNITVTSDSYSWGAEEKAYGDSQISSKGQGDELQRRVLGKDFNKGNPRNMMASSDFMINVGGKPKKGGKLSKENIHASDGDRYMSYIKISKKQHELVKGLKLSCKSISASTLNCVLGDLDNFNAQPYDLFIKEEQKNLHEHWLQLVNKHLPASYANWTERLREKRAMKNSLLLEMKDKPNILVEDEDILSTGVRAQDKEDGGFNKQPSLEAKEDSIVSIPENPSLHNSYHSVDDELHHLHIDSENDILSKEDDALHNKTGLLSIMNSRQKGPIDEGASFSSDEDSIARFQESQSLLNSYHSGDKELRRLHIDLEQSILSKGDDASQNKAGHSRITHFQKDPIGEEASFSYNEDSISRFPENAPVNKSYSSGDEELHNLHIDLEKNILSKGDDASQNKTENSRIMHSRDDPMDEGDPFSYNEDYVARFPENLSVSKSYHSGDEELHCLHIDLEKNILSKVDVSQNKTEHSRIMNSRDDSIGEGSSNGHAWQAVEMPHSYYDLAATHNYSAGGLSLVNSQIHQDKQIQMIGPESNFHQEDTGKELLHRQSADGSFSSYQSPDQVGSIQSLVKDKGVNSYHHEQKRSGLIFQPSNDVPMVDGQFSGHFKESLQTSLTLDQGQRQAGNFYVPENVSGNIYSDAGRYSIPRQDPLSAGNISDWAVSAPRMVAPSQPHVNTGDFIGQPWFSSDHLVQGAWNGSSIGSLSSQSLDTGGNSDQNLFSLLSQCNQLRSGSPYESIRHTDQFLSPRTYGAVDASTHRINAVVQPSSHPLDYLTGRDAPGALVPDDMTWMSLPSQNHALNDQVAKAYLRSWNR >CAK8534476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731195397:731200035:-1 gene:gene-LATHSAT_LOCUS4020 transcript:rna-LATHSAT_LOCUS4020-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHDHLSHEINFQPFTEDQQLNQSRDMQRLLPFTGAGAGSAPNWLNNAVNLRQQNFLHLQPDTSQNDDGRGIHMMEKSRDRNRTESSNIESEELSQYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQTQRVVDKYSSLANGVVDEKELDQFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDEDDQAESNANLYEGSLDGGDSLSFGPLVPTESERSLMERVRQELKHELKQGYKSKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSSSTGSKTKRKSSGAGETSNQSFM >CAK8534477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731195397:731200035:-1 gene:gene-LATHSAT_LOCUS4020 transcript:rna-LATHSAT_LOCUS4020 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHDHLSHEINFQPFTEDQQLNQSRDMQRLLPFTGAGAGSAPNWLNNAVNLRQQNFLHLQPDTSQNDDGRGIHMMEKSRDRNRTESSNIESEELSQYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQTQRVVDKYSSLANGVVDEKELDQFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDEDDQAESNANLYEGSLDGGDSLSFGPLVPTESERSLMERVRQELKHELKQGYKSKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSSSTGSKTKRKSGAGETSNQSFM >CAK8561619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:323422814:323451209:-1 gene:gene-LATHSAT_LOCUS15194 transcript:rna-LATHSAT_LOCUS15194 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSDAVSIHVPYQNLRKASPTAEVELIGLDEPRHRIDLNSPRSDQTPPKNNASIVHLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKFGRRRPFILAGSLMISLAVILIGFSADIGYILGDTKEHCSTFKGTRTRAAVVFILGFWMLDLANNTVQGPARALLADLSGPDQRNVSNAVFCSWMAVGNILGYSSGASGKWNKWFPFLTTDACCEACGNLKAAFLVAVVFLTLCTLVTLYFADEIPLTTASQHHRLSDSAPLLDEQQNDIEFSKSKPLSIINESNGETREDHSEEVVNLKHESFNAGEDHNENLMDGPGAVLVNLLTSLRHLPPAMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPKGTATEVGLYDQGVREGAFGLLLNSVVLGISSFLIEPMCKWMGARSVWAVSNFVVFVCMAGTAIISLISVHDYTGGIEHAIGASDGIKVASLVVFILLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVAPQMIISLGSGPWDALFGGGNIPAFVLASICALTGGIVAFLKLPNLSSNAFKSSGFHFG >CAK8539014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501760111:501761224:-1 gene:gene-LATHSAT_LOCUS8154 transcript:rna-LATHSAT_LOCUS8154 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEIPTKVLTNTSAQIKMPVVGMGSAPDFTCKKDTKEAIIEAIRQGYRHFDTAAAYGSEQALGEALNEAIQLGLVTREELFVTSKLWVTENHPHLVIPALQKSLKTLQLDYLDLYLIHWPLSSQPGKFSFPIDVADLLPFDVKGVWESMEESLKLGLTKAIGVSNFSVKKLQKLLSVATVLPAVNQVEMNLAWQQKKLREFCNENGIVLTAYSPLRKGASRGANEVMENDMLKQIADAHGKSIAQISLRWLYEQGITFVPKSYDKERMNQNLSIFDWPLTKEDHEKIDQIKQNRLIPGPTKPSLNDLWDDEI >CAK8533523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:631498439:631505778:1 gene:gene-LATHSAT_LOCUS3145 transcript:rna-LATHSAT_LOCUS3145 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASDVKASLPEAEKKKEQSLPFYQLFSFADKYDWILMISGSIGAIIHGSSMPVFFLLFGQMVNGFGKNQMDLKKMTDEVSKYALYFVYLGLVVCVSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQRPTIVEDQLEGKCLVEVNGNIEFKDVSFSYPSRPDVMIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATSILENILYGKSDATIDEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDTIAVIQQGVVVETGTHEELFAKGGTYATLIRFQEMVGNRDFSNPSTRRNRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDKKNPAPDGYFFRLLKMNAPEWPYSIMGAVGSILSGFIGPTFAIVMSNMIEVFYYSNYASMEKKTKEYVFIYIGAGIYAVGAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKMLSIFCHELRVPQSQSLRRSLTSGLLFGLSQLALYASEALILWYGAHLVSRGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRIDPDDPDAEMVESVRGEIELRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSSVIALIERFYDPFVGKVMIDGKDIRKLNLKSLRLKIGLVQQEPALFASSIFDNIAYGKEGTTEAEVIEAARAANVHGFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDCIGVVQDGRIVEQGSHSELISRPEGAYSRLLQLQHHHI >CAK8564961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19194205:19194648:1 gene:gene-LATHSAT_LOCUS18210 transcript:rna-LATHSAT_LOCUS18210 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAKFSKGFSTSFQETALTSSSATLMSLLMANSTSFMLSSTLAKQLDLLSKTPDLQPKTPNSLPELQKPPKPPNIPCKLVFKPSSLNSDSPPIFPPPPTKLADLPLNLSFTSPRPQPPPEPPPELSNFHFHNFNIFHCYDFVYFV >CAK8561743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:371512672:371513637:1 gene:gene-LATHSAT_LOCUS15304 transcript:rna-LATHSAT_LOCUS15304 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVLSIVFFVLLCLGVCSAARTLISIGLGHEISGGFHGDIGVSGGEGGVHAGVDGHGGGGGGAGGSENGGDVVAGGGGGGSGGGGGAVEGGGYGGGAGKGSGEGAAHGGGYAGGGGSGSGGGGGGATEGGGYGGGAGKGGGEGIGGGATHGGGYAGGGGGGSGGGGGGGAAEGGGYGGGAGKGGGEGFGGGAAQGGGYAGGGGGGSGGGGGGGAGGAGGGYGGGEGGGAGGGSGGEHGGGYGGGGGSGGGGGGGAGGAHGGGYGGGEGAGGGYGGGAAGGGGAGGGSGGGGGGGGAHGGGYGGGAGGGEGGGHGGYYP >CAK8566596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440124741:440127348:-1 gene:gene-LATHSAT_LOCUS19716 transcript:rna-LATHSAT_LOCUS19716 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLEPMPITSQKHDPAWKHCQLFKNGEKVQLKCIYCLKMFKGGGIHRFKEHLACQKGNASMCSSVPADVRAHMQQSLDGVVVKKRKRQKIEEEIMNVNPLATVLNGASNQMDVNHMVVQPVGVLDSVEQNSCHMLPSLGDGMSKNVERRKKIRATKNPAVVVYSNSEAETGVAAVEKNATFPKKVDNHIHMAIGRFLYDIGAPLDAVNSIYFEQMVEAIASGGSGFQRPSHHELRGWVLKNSVEDVKNDIDRCKMTWGRTGCSILADQWTTEAGRILISFLAYCPEGIVFLKSLDATEISTSADFLYDLIKQVVEEVGVGQVVQVITSGEEPYAVAGKMLTDAFPNIYWSPSAAHCIDLILEDFGNLEWISAVIEQAKSVTRFVYNYSAILNMVRRYTLGNDIVDPSSSRFTTNFTTLKRMVDLRHNLQAMVTSQEWMDCPYSKKTAGLEMLDILSNQTFWSSCEMIVRLTLPLLRVLRISSSEMRPAMGYTYAGMYRAKEAIKKALIKREDYMVYWNIIHQRWDRLWHHPLQAAGFFLNPKFFYSIQGDIHSEILSGMFDCIERLVPDTRVQDKIIKELNSYKSAAGDFGRKMAIRARDNLLPSEWWSTYGGGCPNLSRLAIRILSQTSSEIFCKRSQIPLEQIINTRNYIERQHLTDLVFVHYNLRLRQMFMNKEQESSDPLSFDNISNVEDWIRPRELYLEEYGNSDWMTLDSSSINTMLSRPLNDEPEEIYEGFDDHEIFISLKDDENVNPGDSFENP >CAK8531587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127783230:127785202:-1 gene:gene-LATHSAT_LOCUS1368 transcript:rna-LATHSAT_LOCUS1368 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNTSSEVETRAMLDDLCNFDRKGLFDLGHPLVNRIAESFVKAAGIGAVQAVSRDAYFSAIDGTRTDNNGVKASSDGSATGRRRLPGLRGETSSKSLEDMVISAGKESLQWGVAAGLYSGLTYGLKEARGAHDWKNSAVAGAITGATLALTTEDSTHEHVVQCAITGAAISTAANLLTGIF >CAK8575313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:76368793:76371789:1 gene:gene-LATHSAT_LOCUS27586 transcript:rna-LATHSAT_LOCUS27586 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPQKPTGEVVTTTSETPVDNPPPAEQVLTTTAAVAAAAADVSTDKDTVVVTEDPEKPAEAVAENAKPADETAAENKISQSVSFKEETNVVSELPELQKKALDELKQLIQEALNKHEFTAPPAKAPEVTAQDDKKPEEEKKTEEVAEEKKVEEAVVEEKKVEGEVEKKEEKASSSEEPKTETEAKTEPEAKKVEETVVEVVEKIASSTEEDGAKTVEAIQESIVSVAVTNGDGEEPVAEQPVAVDVEVPPTTPEEVDIWGIPLLADERSDVILLKFLRARDFKVKEAFTMIKQTVLWRKEFGIEALLQEDLGTDWDKVVFTDGYDKEGHPVYYNVFGEFENKELYQKSFSDEEKRNKFIRWRIQSLEKSVRKLDFAPSGIATIVQINDLKNSPGFVKKELRQATNQALQLLQDNYPEFVAKQIFINVPWWYLAFSRMISPFLTQRTKSKFVFAGSSKSADTLFKYIAPEQVPVQYGGLSREGEQEFTTADPATEVIIKPATKHAVEFPISEKSTLVWEIRVVDWNVSYGAEFVPSAEDGYTVIVQKNRKISPADETVINNTFKIGEPGKVVLTIDNQTSKKKKLLYRSKTIPISE >CAK8577970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599373528:599373875:1 gene:gene-LATHSAT_LOCUS30024 transcript:rna-LATHSAT_LOCUS30024-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPAPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8577969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599373528:599379760:1 gene:gene-LATHSAT_LOCUS30024 transcript:rna-LATHSAT_LOCUS30024 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPAPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8563242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585595822:585597420:1 gene:gene-LATHSAT_LOCUS16673 transcript:rna-LATHSAT_LOCUS16673 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNLQTNPPIQTRIHHLPLSPNIPIPLQNNRFKCITAKATNESVPLPTMSEILESSKSQNLDLHLQTLGPFFRITAKSLITDRELGKAEGLIRIWFGKGNILHLDSIKLQRETLGMEKSIFGLGLYIGAVAIRHGYDSGCKTAQLLAINDSDLYHSKLIRFYTRLGFNPVYEVTGSSIGDLTHMLVWGGVGTRMDASVEELMIKWCKRFKKTSVREIQN >CAK8572463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538549196:538573645:1 gene:gene-LATHSAT_LOCUS25028 transcript:rna-LATHSAT_LOCUS25028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAELGSPCDERISMLPALNSRKSFRVRVFSEDYARIATATSAVDRSDLINHHAESHVSGSERDSAMTRNLGHNFEVGDLVWGKVKSYPWWPGFIYNEAFASSSAQLTKKEGFVLVAFFGDSSYCWFDPSQLIPFDANFAEKSKQLSSKSFSNAVEDAVDEASRRSALGLMCRCRNPDNFAPTSVEGYFSVQVPDYDSGIYSEAQIRKAKNDFRPSETLAFIKLLAVAPHGGDDRSCNFARSKAVVFAFRRAVFGLHDETHAEAVGMQPLCHSNPQASPLVQPVMHFESQNMKERNGFGPLEILAFMKQLAIARHWVNRKSCNFVKNKAAALALRQSVFKMHKETNVEAVGAQPLHPSNPKANPIVQHLNHPANDYDPGIYSKAQTRKEKNGFGPAETVSFIKQLAIAPYGSGLQSCSFSKNKAAVFALRRAVFKLHDGTYVKAFEKQLLSPSSPQANRPVQPQCYSATGVSSNHSVAETETLCAENTSISVKDEASDSYQLAFGKEEIPDAGSAASAIESKVDFEDKIQTNDSGFVSEKITLDANHNLHEKGKESSKEKTKRFEPVAVANKRIVVMALPSLIDETSHRIHLQSKTCFDAQRVPKKINIQKRCADDLNSETSAVIKKRKSSNLQPTLDHVEKSSTSEKSAHPSSTVDVSASKPLLHELKAIALDHFHGINGRTPSFVIKFFLRFRSHAYQKSLVLSSPPTKNAVPEVHATNDHASASPVVKPVDQIIQPDDSSKVARKRTLPDPGEEINAKRLKNIKGLKAPDAEKKATTQVPPDIVKPNSTGEVKQLTERFEPTELAIYFPLMAPLPSIAELKARYGRFGRIHKSSLRVFSNLSACRVVFMHKDNAEAAYEFSVANQSLFGVAGVRYFLRELERTSHNISEVAKSSEDDETKHIKDPAPQPLSQPINQLKSILKKPTGNKLGRGTGKRHSGKEPQRVKFMLACEESSTAEQSVDGCSHSSVAMEFNTKNVQKVNSQPSLPILPSPSKFAKNTPHYLHKIDTASRNTTNFINTDVSATRTTVNISKKMMCLLTRCHDLVTNLTDLGHVPYRPL >CAK8572464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538571834:538573645:1 gene:gene-LATHSAT_LOCUS25028 transcript:rna-LATHSAT_LOCUS25028-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVILHCSPVSSNHSVAETETLCAENTSISVKDEASDSYQLAFGKEEIPDAGSAASAIESKVDFEDKIQTNDSGFVSEKITLDANHNLHEKGKESSKEKTKRFEPVAVANKRIVVMALPSLIDETSHRIHLQSKTCFDAQRVPKKINIQKRCADDLNSETSAVIKKRKSSNLQPTLDHVEKSSTSEKSAHPSSTVDVSASKPLLHELKAIALDHFHGINGRTPSFVIKFFLRFRSHAYQKSLVLSSPPTKNAVPEVHATNDHASASPVVKPVDQIIQPDDSSKVARKRTLPDPGEEINAKRLKNIKGLKAPDAEKKATTQVPPDIVKPNSTGEVKQLTERFEPTELAIYFPLMAPLPSIAELKARYGRFGRIHKSSLRVFSNLSACRVVFMHKDNAEAAYEFSVANQSLFGVAGVRYFLRELERTSHNISEVAKSSEDDETKHIKDPAPQPLSQPINQLKSILKKPTGNKLGRGTGKRHSGKEPQRVKFMLACEESSTAEQSVDGCSHSSVAMEFNTKNVQKVNSQPSLPILPSPSKFAKNTPHYLHKIDTASRNTTNFINTDVSATRTTVNISKKMMCLLTRCHDLVTNLTDLGHVPYRPL >CAK8572302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527652034:527653057:-1 gene:gene-LATHSAT_LOCUS24880 transcript:rna-LATHSAT_LOCUS24880 gene_biotype:protein_coding transcript_biotype:protein_coding MNASNSISTTIIFFTFTSLLFLPSSLQVSNHNTLVYKTCSTQTFNHQTYSQSLTSLFQLLITQSSPYKFFKTNQAIDDNTFLSGFFQCRNDINKQDCFTCVTSLLPHIISNTLCSDSTSARIQLGGCYVQYQTEQFQETTIHASKNNYMSHKICGGTAVEYYVEFKELMDEAFMILENGIINSDGFYATDYKKVKLMAQCEGDLSSCACSECVGDAVMVAREECGSSVSAEIYLDNCFISYAYMQKSGNGDDENSDQGANINNTKKVVAIIVGGAATLFLGLLFVSMLNCKKDDYE >CAK8534298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714077129:714078100:1 gene:gene-LATHSAT_LOCUS3853 transcript:rna-LATHSAT_LOCUS3853 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSQIFDSARNFAVMIRIRDPDPKGMKMRKHAFHHYRSGETTLSASGLLVPDSLCDTQVAKRLYGDKFEDRVLVVTVASVVEPFLSPQHRENIPQGRPDLISGVRIDIMTEKTNEESDQGTPSWLEGQLLSLVDIPASALCVQSLVEASLSLSEHEWEVGWSLASLNNESHPSKDNFQTQGKISEGGSGSASVMCKSLTRMAILSVSLSLKDSLNYQKPSMNKRGDFLLAVGSPFGVLSSTHFFNSLSVGCIANCYPPNSSDGSLLMADIRCLPGMEGSPVFSEHACLTGVLIRPLRQKTSGTEVQSLVTAGDSMGNHCEGF >CAK8530174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4198402:4199463:-1 gene:gene-LATHSAT_LOCUS62 transcript:rna-LATHSAT_LOCUS62 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSSINGFYNILNQGLHDLHQTFLSHNFMSFQFLSQVISSLQSFHSHLTLLVRKLRLPVGGKWLDEYMDESSRLWDSCHVLKSAISQMDNYYSSSTNILSSLDAYHHFTPDFSRQVARAINVCQREIIGMEEENKSLTETRIQQLSQCLNQNTNISVDSNSKLNGFSGFRGVLFAMRSVSSLLLMILLCGITYCWSSSCFHQGLGQGYHEGHMVFGSGFMVSMAMLQQKVAEEIDKNDGQHRILLFEFQQAKIAMEELKVEMEKIACYDNDEHGCEIQEKVENVKSCFGLLRCGVESITGQLDDFFDEIVEGRKKLLDMCSHR >CAK8562164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:449745231:449748013:-1 gene:gene-LATHSAT_LOCUS15685 transcript:rna-LATHSAT_LOCUS15685 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDNVVLGVEKKSTAKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPCTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGSPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNFKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPPKDT >CAK8578549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637114937:637115908:1 gene:gene-LATHSAT_LOCUS30550 transcript:rna-LATHSAT_LOCUS30550 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFCKWWNKMSA >CAK8560215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10718489:10724561:1 gene:gene-LATHSAT_LOCUS13909 transcript:rna-LATHSAT_LOCUS13909 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSSSSSSTPRWIHDVFINFRGEDTRKTFVSHLYAVLSNAGINTFLDNEKLEKGENIRNELVQSIGVSHISIVVFSKNYAESSWCLNELDKIMECRRTQGQVVLPVFYDIDPSVVRHQKGEFGKALEVSAKSRYIIEEVMQKVLTRWKKVLTEASNLSGWEGSAFRSDRELVKKIVEAILPKLDNTSLPITDFPVGLEFHVKQVVQLIKKQPSDVSMVGIWGMGGSGKTTVAKAIYNQIHRTFDCMSFIESIRETCEKDSRGHIHLQQQLLSDILKAKEKIHSIALGTTRIQKVLSGKKALIVLDDVTDFHQIKALCGNNKSFGSGSVLIVTTRDVHILKLLKVVSVYEMKEMHKNESLELFCWHAFRQASPRGGYNDLSRNVVAYCGGLPLALEVLGSYLFERSKQEWISVLSKLEKIPNDEVHEKLRISYDGLKDDMEKDIFLDICCFFIGKDRAYVTEILNGCGFYADIGIAVLIDRSLLKVEKNNKLGMHDLIRDMGREIVRGISAREPGKRSRLWFHEDVHDVLAKNTGTETVEALILNFQRTGRVNYSTDAFQDMQKLRLLQLDRVDLTGDFGQLSKQLRWVNWQRPAFNFVPNDFDQENLIVFELKHSSVNQVWKETKLLQKLKILNLSHSRHLRSTPDFSKLPNLEKLIMKDCPSLSNVHPSIGDLKSLLLLNLKDCTSLGYLPKEIYHLTSVTTLIFSGCSKIVKLEEDIGKMESLKTLIAANTGVKQVPFSIVRSKSIGYISLCGYEGLSRDIFPSIIWSWMSPTMNSLARIPPFGGLSMSLVSLDIDCKNMGLVHQSPILSSSSKLRSVCVQCDSESQLKEELRRFLYDLNELETSHASQSSDLSFKSILIGMGSCQIVNETLGKSLSQGLTTNKSSASCLPRNNLPAWLAYTSGGPSVCFQVPKDSDCGMKGITLCVVYSSTLESMAPECLASVLIINYTKFTIQIHKRDTVMSFNDEDWKGVVSNLGVGEDVEIYVAFAHGLTVKETTVYLIYGESTVMEIVPSITVEVEPIAEVEVQPSPDVKTEPSPPSPEVETQRPPDVQMEPPLTVKNEPLPKPNEKIFTKLAKRWGRCLCLNHN >CAK8560524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23269763:23270617:-1 gene:gene-LATHSAT_LOCUS14188 transcript:rna-LATHSAT_LOCUS14188 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHPQALGSSTSCNFTSKQETFTLWMKSLVLNGKGCTVFDSNGQIAYRVDNYNSNNRDQVHLMDQRGNTLFTILKKQYKLSRFWEGYKLPATRNDQKGPCFRVGKTYSIIRWDSNYEVELGLDKNQPYNYKIESSSCKPACKIFDKLGVIVAELRRKKSTCGIDLGDDVFTMVVEPNIDLSQIMGVVVAYSLIKSKM >CAK8532336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247972117:247972864:1 gene:gene-LATHSAT_LOCUS2054 transcript:rna-LATHSAT_LOCUS2054 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWNPPDISEALAVEWALDYLGVSHRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKMFTLVEAQYLSLFIDLDGLSGYSWAAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRATRWSYRQGTLKVDELRPILDELTPADVI >CAK8579696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717255657:717256762:-1 gene:gene-LATHSAT_LOCUS31622 transcript:rna-LATHSAT_LOCUS31622 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEELDYVLVPVGILVLLMYHVWLLYTILRQPSSTVIGLNAQTRYQWILFMMSDPLKNGVLAVQTIRNNIMASTLLATTAITLSSLIGVFASNDSETKLVYGNKTSLNSSIKRLSISLCFLVAFLCNMQSIRYYAHVSFLITTPAIKGKKDFTEYVARTLNRGSYSWSLGLRAFYLSIPLVLWIYGPIPMFVCSCFTSFLLYFLDTTTQITRDLHNKSFRDKESSTQEVDAAA >CAK8568240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584817874:584818347:-1 gene:gene-LATHSAT_LOCUS21218 transcript:rna-LATHSAT_LOCUS21218 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRGNDTSTIIMLLLCMFVFYSKMIYAETYIVGDEHGWTFNVVNWPAETTFNAGDILVFNYDPSKDSVVKVTEDNYNTCNVREIEFYNSGADRITLVKGGNYFISGEHGHCDFGQKIAIIAN >CAK8575933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365912151:365912677:-1 gene:gene-LATHSAT_LOCUS28158 transcript:rna-LATHSAT_LOCUS28158 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGGLIRMFTSYECTITKFAKTSEGKQIEEVVMDKEFWKNIVICCNRAYPLIKVLRLVDFGEIPAMGLIYEEMDKAKEKIQANFNGVQRSYKPLWDIIDER >CAK8577941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598237936:598244969:1 gene:gene-LATHSAT_LOCUS29999 transcript:rna-LATHSAT_LOCUS29999 gene_biotype:protein_coding transcript_biotype:protein_coding MALACNTLQSGSTPRMRWKYDVFVSFRGEDTRNNFTDHLFGAFHKKGIITFRDDTKLKKGGHISYELLQAIEGSQILIVIFSTNYASSTWCLQELAKIVECIDVPGQSVLPIFYDVSPSEVRKQSGDYEKSFQEHEERFKATLEEVQRWRRALTQVANLSGWDVRDKPQYAEIGKIIQEVTCLLGNKYSNLQSDLVGMHSRVKQLENLLILDSDDDDGVRVVGISGMGGIGKTTLATALYDRISNQFDACCFIDDVSKIYGDHGPIGVQKQLLCQTLNEENLQICNLPMASNLIQTRLCQIKSLVVLDNVDEVEQLDKLDMKREWLGTGSRIIIISRDGHILREHGVDEVYRVPLMDRKDALQLFCRKAFKSADIMSGYIYLTYKVLAYANDLPLAIKVLGSFLYGRDFSEWRSALSRLRENPRAEITNVLRISFDGLENTEKDIFLDILCFLCGCHETYVKKVLDFRGFHPEIGLKVLIDKSFITCRKRIICMHPLLRELGMSIVREISPKEPRKWNRLWDYKDAHSVISESMATENLEAIVLHPYPEKIKEIQTILRNFSGSLNFLSSELGYLHWVNYPFTSLPSNFHPYKLVELILHHSNIKKHWEGTKSLPNLTFIYLSHSKNLIMMPNFEEIPNLDVATAKIGAG >CAK8579398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695751694:695752550:-1 gene:gene-LATHSAT_LOCUS31347 transcript:rna-LATHSAT_LOCUS31347 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAEIGCWMYASGRQLSRLRLAYLKVVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFAEIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFTENMDKQYVISKGEALVKGVGTGMLSLVALSITSLATTTPSNSCLPGTKVD >CAK8565932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354964061:354967011:1 gene:gene-LATHSAT_LOCUS19105 transcript:rna-LATHSAT_LOCUS19105 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELYHHSHTSEAPSSCSNHEDIQHKHSNQQEQVNISNTKSFMILMWGNVWSCIVVLVLFWLIESVTLVFVGVRGSNLQLGPYSSHLIEINSMLVQSIEVQQNNKPKPGMMLYGFDVAPPLDVKINWIEMYDVSIPPRSQKEWIFYLNKDSHLDVFVSVKSVATPLIFVIAKGRESIDEWKDDPLSSDATMYWNDIHGSSNVTQKISESSTYYVAIGNMNHQNVKVQLSFNVNALLYDTTNSYKRCSLDNNSCTIRTALSANIALLTTPGPREGVTNQECFDVNVSYEPRWMIYFIGTGVMAVIIICVLEFYQLIQTNNEENARFRFQQVEVISERAPLLSRKESDVTSLSSSYDSFSSDDENFSEGKSILEGETSNNDLRCLCVICFDARRDCFFLPCGHFATCYACGIRIAAETCTCPICRRKMKKLRKIYIV >CAK8567968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559615641:559619601:-1 gene:gene-LATHSAT_LOCUS20972 transcript:rna-LATHSAT_LOCUS20972 gene_biotype:protein_coding transcript_biotype:protein_coding MVENISGTNLSYRGDTGGIEDAIDGEHLQTDSKCYDDDGHVKRTGTVWTTCSHIITGVIGSGVLSLAWSIAQMGWIAGPATMIFFSIITLYTSSFLADCYRCGDTEFGKRNYTFMDAVHNILGGPSVKICGIVQYLNLFGSAIGYNIAAAMSMMEIRKSYCVHYSRGEDSCHISGNAYMIAFGVAQLFFSQIPDFHNTWWLSIVAAAMSFFYSTICLALGISKVAETGTIMGSLTGISIGTVTPAQKVWGVFQALGNIAFAYSYSFILLEIQDTIRSPPSERKAMKKAAKLSIGVTTTFYLLCGCMGYAAFGDAVPGNLLAGFGVSKAYIIVDMANAAIVVHLFGAYQVYAQPLFAFVEKEAGKKWPKIDKGFKVKVPGLPVYNQNIFMLVWRTIFVIIATFIAMLIPFFNDVLGVIGALGFWPLTVYFPVEMYIIQKKIPKWSRKWICLEITNIFCLIVSIVAGLGSLVGVWIDLQKYKPFSLTN >CAK8566625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443656642:443657055:1 gene:gene-LATHSAT_LOCUS19743 transcript:rna-LATHSAT_LOCUS19743 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVRKIFNKFDKNGDGKISRAELKEMMLALGSKTTSEEVNRMMAEVDQNGDGYIDLKEFGEIHTGGGDTSELREAFEMYDLDKNGLISAKELHAVMRRLGEKCSLNDCRKMIGNVDADRDGNVNFEEFKKMMSGS >CAK8538757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495177714:495179142:-1 gene:gene-LATHSAT_LOCUS7922 transcript:rna-LATHSAT_LOCUS7922 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISRRKTKHAKRKTKPLNLPNQHSSATDQLQTKGPFVSENRSEMEGNFNGTLCDMQKVEEESSSEDKEVVVEASDDDVYDGKSKSVSDEHVEPCKVVEEEEDVSETVDESLESSDAIEGNRVENIEDLKTVELEFKEENKEEKGEDFISVEDDEVVEGTELATKENNEGLRESEVVETVRDLRVGEDENVLSTLPSLDVVSVSRESDDVVEKVVSPKGIEESLVKSTYEKDEEQSDIQESSSAYESAKESFQPSSNVPSVDEHEESSAYESAKESFQPSSNVHDSENINVNEQDRVEESRGIENQGSIFSVTQRQQSSWKNCCGLLEILRPGDR >CAK8538758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495177714:495178856:-1 gene:gene-LATHSAT_LOCUS7922 transcript:rna-LATHSAT_LOCUS7922-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFNGTLCDMQKVEEESSSEDKEVVVEASDDDVYDGKSKSVSDEHVEPCKVVEEEEDVSETVDESLESSDAIEGNRVENIEDLKTVELEFKEENKEEKGEDFISVEDDEVVEGTELATKENNEGLRESEVVETVRDLRVGEDENVLSTLPSLDVVSVSRESDDVVEKVVSPKGIEESLVKSTYEKDEEQSDIQESSSAYESAKESFQPSSNVPSVDEHEESSAYESAKESFQPSSNVHDSENINVNEQDRVEESRGIENQGSIFSVTQRQQSSWKNCCGLLEILRPGDR >CAK8531884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171610202:171615739:1 gene:gene-LATHSAT_LOCUS1645 transcript:rna-LATHSAT_LOCUS1645 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPHQNGTATATNPLRPDNSSAAQPLTTDADADATAVINSSSIINESAQELETVTETGTEPTVTPPEKRWSGWPGDCVFRLIVPVGKVGSIIGRKGELIKKLCEETKARVRVLDADLGTPDRVILISGKEELEAAISPAMDAAIRIFKRVSGLSEIDSAIKGSAGVTFCSIQLLVASTQAISLIGKQGSLIRSIQESSGAAVRVLSADEVQYFATAEERIVDLQGEALKVLKALEAVIGHLRKFLVDHSVLPLFEKTYNASIPQDRQAETWSDKPSQHTISQTSMFSDIPLPSKRDSVFADRESHLDSLLSSSSMSLYGQDSSLSGVRSSSLSRVGAPIVTTVIQTMQIPLSYAEDIIGIQGTNIDYIRRTSGAILTVQESRVPDEIVVEIKGTSSQVQTAQQLIQEVISSRREPVTNSYGRLDASRMDVGPRSYSQSSYSQLGNSSRIPPSSLSSKSYSSYGASGLGDYSTFRL >CAK8538212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471310952:471312197:-1 gene:gene-LATHSAT_LOCUS7436 transcript:rna-LATHSAT_LOCUS7436-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVAFIIVLLISFNAVAGSSSINVTVSKDVRSGNYTRVGEAIRNASDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGYNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDASAVVAVRNEANNNIFFQCSIQGFQDTLWAISGIQFYKNYDIYGTVDFIYGNAAAVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVERKSEVRATLGRPLRNYLSVAILQCYIDSMVDPRGWEEMSGQGADNVTYVEFENVGPRLNTDCRVESHGVRVLGNHNQALVFTASYFLDADSWIPTRGVPYDSEL >CAK8538211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471310952:471312203:-1 gene:gene-LATHSAT_LOCUS7436 transcript:rna-LATHSAT_LOCUS7436 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIAVAFIIVLLISFNAVAGSSSINVTVSKDVRSGNYTRVGEAIRNASDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGYNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDASAVVAVRNEANNNIFFQCSIQGFQDTLWAISGIQFYKNYDIYGTVDFIYGNAAAVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVERKSEVRATLGRPLRNYLSVAILQCYIDSMVDPRGWEEMSGQGADNVTYVEFENVGPRLNTDCRVESHGVRVLGNHNQALVFTASYFLDADSWIPTRGVPYDSEL >CAK8542995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564801561:564805741:1 gene:gene-LATHSAT_LOCUS11786 transcript:rna-LATHSAT_LOCUS11786 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCVFVLQLFILVSLLVQCFDAKVHADLNPTLVVDASQASGRPIPDTLFGLFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWSIIGNETNINVETDRTSIFERNKVALRLEVLCDNTCPADGVGVYNPGFWGMNIEQGKKYKVIFYTRSTGPINLVVSFTGPNGVGNLASTVITGSASDFSNWTKVEAVLEAKATSRNSRLQLTTTAKGVIWLDQVSAMPVDTYKGHGFRNDLFQMLLDMKPSFIRFPGGCFVEGEYLRNAFRWKASIGPWEERPGHFGDVWKYWTDDGIGYFEFLQLSEDLGALPIWVFNNGVSHTDEVDTSAVLPFVQEALDGLEFARGDPTSKWGSVRAAMGHPEPFNLKYVAVGNEDCGKKNYRGNYLRFYSAIRQAYPDIKIISNCDASATPLDHPADLYDYHIYTGADDMFSRSTVFNRVTRSGPKAFVSEYAVTGKDAGQGSLLAALAEAGFLIGLEKNSDIIDMASYAPLFVNANDRRWNPDAIVFNSFQLYGTPSYWMQLFFSESNGATLLNSSLQTNSSKTLIASAITWQNSVDKKSYIRIKAVNYGASAVNLKISINGLDPNSLQSSGSTKSVLTSANVMDENSFSQPKKVVPIQSLLQNVGKDMNVIVPPHSFTLLDLLKETNKLMMPESDSSTRSSI >CAK8578194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611860211:611875844:1 gene:gene-LATHSAT_LOCUS30228 transcript:rna-LATHSAT_LOCUS30228 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIKSSVPKMLNRNWVLKRKRRKLPIGLDQSSGKEQSNGKEDNSVASDSSRNASAKRMLKTEEGTSQHSSKKKGHDGYFYECVICDLGGNLLCCDSCPRTYHLQCLDPPLKRIPMGKWQCPSCFEENDQIKPLNNLDSISKRARTKTVPVKSKAGVNTLNLEKVSGIFGNKHISKKRSSKAKSISTMGGKFFGMKPLSSPVDATCSDKLTDPSHESCMEGTSSCMDADEKNLDLSPTVAPFDTMSASPDKEVLSPSKISNLDTNDDLLEEKPSLSGDKIPFRKTLVLAITVGGEETRKRKLKVISDNANQKRRRTEKGKQFAIASIKSKSGNNKTHKKQKSKTQKISTSISKGDVGEKKSDAQRKVKKYSQAMKVTSNEHDKVGSHLDGTLMHDDSTILESLQVDRVLGCRIQGENTNLVRHLSLKVGDDSPSDDLVMSENQTRLVEDNSACDNVVGIEIAEDLVDDPQNVKSSDEGRLKDTDRVENIHVNRRLTTKESKNGDLTNSLSKATDDLDSCVKDGTDQDDYAVSAEQLEKENDKLETGENLNAVLRGDGNNELPKNCEMHDSLKTEPKGMGSSVDNKVQDAIRVESACPNGERVSYEFLVKWVGKSHIHNSWISESHLKAIAKRKLENYKAKYGTATIDICEEQWKNPERLLAIRTSKHGVSEVYVKWAGNPYDECTWESLDEPVLQNSSHLITRFKMFETLTLERDASKENSTKKSNDRLSDVVSLVEQPKELKGGSLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTISACAFISSLYFEFKVSRPCLVLVPLVTMGNWLAEFSLWAPDVNVVQYHGCAKARAIIRQYEWHASDPSGPNKKTEAYKFNVLLTSYEMVLADYPHFRGVPWEVLVVDEGHRLKNSQSKLFSLLNSCSFQHRVLLTGTPLQNNLGEMYNLLNFLQPASFPSLSSFEERFNDLTSAEKVDELKKLVSPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQVLRNIGKGIAPTSMLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILYREGHRVLIFSQMTKLLDILEDYLNIEFGPRTFERVDGSVSVADRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFKGKSGSQKEVEDILKWGTEELFNDSCGLNGKDTSENNNSNKDEAVADIEHKHRKRTGGLGDVYEDKCTESSSKILWDENAILKLLDRSNLQDGSTDIAEGDSENDMLGSMKAPEWNDEPTEEHVEGESPPHGTDDMCTPNSEKKEDNAVIGGEENEWDRLLRLRWEKYQSEEEAVLGRGKRQRKAVSYREAYAPHPIQAVSESGGEEEKAPEPEREYTPAGRALKTKFAKLRARQKERLAQRDAVKESHSAEGLPGTESLMHPPLIAKDVDLGAEPIHSVQEGTSINIEDNKNSLLSEAQNINTDSLSRIEKLSKNKMRHPFNISGNNPGRPLPELLPSNYHNRGRINVTNSMPSNNLLPVLGLCAPNANQIESSEGNTSKLNWRQNRHGARQEFPFSLAPCTGTSMDAEARSKENAANTKLLDASTENLQSFRNSIPDNFLPFLPFPPPVQGKESDAFESPGARFSAFQEKMALPKLPFDERFLARFPLTTKNIPNSHPELLPNLSLGGRLEALNGSMQDLPTLPNFKMPPEDLFRYSQQDRDVPPTLGLGQKPTSLSSFSENHRKVLENIMMRTGSGPNSLLKKKSKSDGWSEDELDSLWIGVRRHGRGNWDAMLRDTKLKFSKYKTCEDLSVRWEEELVKVFQGPAFPVQRSSSKTTKSSKSSHFPISDAMMERALQGSKFILPPKFQNHLTDMKLGLGGPAASGLPHFRTMDRPSLQNDHFAPLPSWSHDTSRAKFLDDASAETTDRPGTSSNVLTERPFLLNSFGTASLSSLGLNCSGNINIQQQEDERGNIKRGKMPVLPDESPNDARDCSINVVKGESTCSGLLSNPIIPDHMNSKGEEVAGSSSSKDKLPHWLRQAVSSPAKLPDPELPPTVSAIAHSVRMLYGDDKPTIPPFVIPGPPPSLPKDPRSNIKKKRKRKSHKPKLFLPDFCPDTHSSHHGDNGASSSTPFPPPFPLHPPTGPQQLESDLNLPPLNLKGANPSHLSKKTSSGLSPSPEVLQLVASCIAPGPHLESKLPLPTVGRAKFKDSEGAFRNKKPRQISPENWCSSEEHKVEQAPDSGDSSKTQSDPSRVEQPHGGEASSEGTVSDHAVRDQETEL >CAK8574951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17371529:17372653:-1 gene:gene-LATHSAT_LOCUS27248 transcript:rna-LATHSAT_LOCUS27248 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNNKNDSSSSSSFVSELSCSKETHSSPSSSPSPNEGRDKASMEKWDSILGTPDVIFKACGGGSQKIETADKSSIYQDQRIEPCNLSSSIFYGGQDNIPHAQNTQNEGKNLWAKNVVEDDDLGIASRGDWWKGSLYY >CAK8542481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519622168:519626437:-1 gene:gene-LATHSAT_LOCUS11320 transcript:rna-LATHSAT_LOCUS11320 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLTLLHAPPFSIPTKNFHSKYTTIKALKSTTSFPSSSSSFFQCSSITAQRGSSSSLITCSSLNGRGPNDSVDDGVKSVEQLVEEKQRAELSARIASGEFTVKQESGLPSVLKKSLSNLGVSNEILEFLFGLYPKIPEAKGSIKAIRSEAFFIPLYELFITYGGIFRLNFGPKSFLIVSDPAIAKHILKDNAKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPALHLKFVAAMIGLFGQATDRLCQKLDTAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSNDTGIIEAVYTVLREAEDRSVSPIPIWDIPIWKDISPRQRKVAASLKLVNDTLNNLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDFSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVVLKLQEEVDSVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLENDVLGEYPIKRGEDIFISVWNLHRSPTLWDGADKFEPERWPLDGPNPNETNQNFKYLPFGGGPRKCIGDMFASYETIVALAMLVRRFNFQMAVGAPPVVMTTGATIHTTQGLNMTVTRRIKPPIVPSLQMSTLEVDPSANISEQEEIGQKGQVYQAQKS >CAK8539575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516767740:516768755:1 gene:gene-LATHSAT_LOCUS8658 transcript:rna-LATHSAT_LOCUS8658 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIPVNSFLNHPLLWRFTGFISSIIGLLCYALSSSFKHLFGEWNLPKIFVYVIVSFITCSVVLLAKKFQLSRSVILKAHIAFLVIMLTSVYSFFNDKNVNGKSDVLGLISCVAFAFMSLSLSRQIDIGFEVDLLNFFLGCLTVQLMNFNLMFAFIGGVFCYFVIVLRASLDSQQEGVGTVDATVSDHVAIEIDGAANQELTSLRKRHLVTCARDEMHPRKSLAAYDEFVDVAQRIRAAFREHTEKEIKEFQFFSQENEARKRVAAIKIQRAFHNYKARKKTKAAIRIQRTFRAGNVGKILTSSNIIKKEEN >CAK8574694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6755462:6756769:-1 gene:gene-LATHSAT_LOCUS27015 transcript:rna-LATHSAT_LOCUS27015-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMIRDESYELDSSSSEVDDDRYGLSWRLAVETNNNVRPWKTVPLRCYKHVENYMVGGQYELDMNIIVDEIVFYAKSQIPLPTSKDAWILDVDDTCISNIPYYKAKRFGCEPFDSTMFKAWINKGMCPANPVVLRLFKTLIQKGFKVFLVTGRYEETLAKITMDNLHSQGFIGYQRLILRSAEYRGMSAVKYKSSIRKEIEKEGYRIWGNVGDQWTDLQGDSLGNRTFKLPNPMYCIS >CAK8574693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6755462:6756883:-1 gene:gene-LATHSAT_LOCUS27015 transcript:rna-LATHSAT_LOCUS27015 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKINTVQTILAGLTFFLGLFSKLLWKLQRKPRPPLQMMMMMIRDESYELDSSSSEVDDDRYGLSWRLAVETNNNVRPWKTVPLRCYKHVENYMVGGQYELDMNIIVDEIVFYAKSQIPLPTSKDAWILDVDDTCISNIPYYKAKRFGCEPFDSTMFKAWINKGMCPANPVVLRLFKTLIQKGFKVFLVTGRYEETLAKITMDNLHSQGFIGYQRLILRSAEYRGMSAVKYKSSIRKEIEKEGYRIWGNVGDQWTDLQGDSLGNRTFKLPNPMYCIS >CAK8564977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19842057:19844309:1 gene:gene-LATHSAT_LOCUS18224 transcript:rna-LATHSAT_LOCUS18224 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLISNPISRTHTFTFPSSRAPPYLISPPLLHATYRRPRRATVVTRAGANANSYAFAIALPLSLLVVTVFTALRIGEKLDKDYYEEMAINEAIMEVDEEEEEDYDDDAEPYLQEEPVLPRGRNRPKREA >CAK8568964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659873317:659873838:-1 gene:gene-LATHSAT_LOCUS21868 transcript:rna-LATHSAT_LOCUS21868 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGRPKSTVPPSLETLTSLKTPEIESRTTSVVDKYMTITLENENKETLTETIQTQPEERKLWVDVINDNQNHAKGLAMEYVAPKVVNGVIEIDIEQEDIETEIQFWDNALILYVVGDDLSMNTVKNFMQRMWNFVKMPDLYYHDGEYFLLRFNSQEDKEADMMKGPYTI >CAK8532203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:220658016:220658435:-1 gene:gene-LATHSAT_LOCUS1934 transcript:rna-LATHSAT_LOCUS1934 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNSIRSTLNFSILLIAVIAFEVGDAVTVVIKNDIWPFHTELTVHCKSKNDDLGFHTLKFGETYMFSFTPLVFPPTGNTLFFCSFTWPGRPYRHYLDIYDQTKDACGTCNWKISQTGGCKSDNQGPETCQDWKSIEI >CAK8532848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:534718792:534723962:-1 gene:gene-LATHSAT_LOCUS2512 transcript:rna-LATHSAT_LOCUS2512 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIADAQRTDLMTITRFVLNEQSKHPESRGDFSILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNDVFIKALKSSGRTCILVSEEDEEAIFVDPSQRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPVIEDVLQPGKNMLAAGYCMYGSSCTLVISTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAAYVEKCKFPTDGSSAKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPSGKLRVLYEVFPMSFLLEQAGGQSFTGKQRALDLIPTKLHERSPIFLGSYDDIEEIKALYAAEEETKCEGY >CAK8560397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17245983:17249861:-1 gene:gene-LATHSAT_LOCUS14071 transcript:rna-LATHSAT_LOCUS14071 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRRRKGSEPEKGHSENSEPKIQKKEGGEEEEEREDDDNDKKKENRNKNKNRVDKLKKSKWSCIDSCCWFVGCICTLWWFLLFMYNVMPASFPQYVTEAITGPMPDPPGLKLKKEGLRVKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPSCWVEHMSLDNETGMDPPGIRVRPVTGLVAADYFAAGYFVWAVLIANLARIGYEEKTMYMAAYDWRISFQNTEVRDQTLSQIKSNIELMVSTNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKYIKAVVNIGGPFLGVPKAIAGLFSAEARDIAVARAIAPGFLDNDMFRIQTLQHVMKMTRTWDSTMSMIPKGGDIIWGGLDWSPEAGDHLTMRKQSRANTKSRDRKENKTNVVNYGRMISFGKDVAEADSPEIEILDFRGAIKGPNVANTSCRDVWTEYHDMGVEGVRAVADHKVYTASSIIDLLQFVAPKMMARGGTHFSYGVADNLDDPKYEHYKYWSNPLETKLPNAPDMEIFSLYGVGLPTERAYIYKLTPFAECYIPFEIDPTAKGGDEVSCLRDGVYTVNGDETVPVLSSGFMCAKGWRGKTRFNPSGINTYVREYDHSPPANLLEGRGTQSGAHVDIMGNFALIEDVMRIAAGAEGEELGGDKVYSDIFEWSDRIKLPL >CAK8535854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885783580:885784113:-1 gene:gene-LATHSAT_LOCUS5274 transcript:rna-LATHSAT_LOCUS5274 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVPVISKRVWSLVRAAFVMTRKGISKGKLMMNLNIILKRHRKLAGKAVANLISHHPNHGGSTTNSHSYDSRHQFTSSREYEFSCSNTPNHFFSIGKRRHIHSHNHNTQAPPTHDNEVTTMNEMKAVLEMLNNDQAIVEVSPDFPLRDDDEKDNQVDKAAEDFIKRFYSQLRNQD >CAK8539548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515936178:515947214:-1 gene:gene-LATHSAT_LOCUS8631 transcript:rna-LATHSAT_LOCUS8631 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQNNLFDTASQPDTGNDAYTFLEFNTQGEDFDYPEFRDPIRSPVAWPTPSDSLADPSERGGAGSDHQSDTSPVSAAPGSATKGRSGSGSGSSQMVDSLAAGMSGLNFEDTGDDDNYEFGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQSVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPSWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAALVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFYGGGPGIAANDNFGSGAGTSSDRRSGRGRGSYIPSGPPNGNHKPGLHPAGFPVQRVPLPPFHGGPQSQPYAIPSRGAVHGPVGAVPHVPAPGSRGFGAGRGNSGAPIGNHLPHQQGTQQPIGNLGSTFNFPALENPNSQPSVGGPLSQPGFANNMPVQGAGQSFRDQFSVPGMSQDFLGDDFKSQGSHVPYNVTDFSTQASQSGYAVDYATQGAQGGFPGNFLNQNSQAGYSRFGSGNDFMSQDYMGHGSQGLFTQVGFSDPSQDDATQNHFNVANSNPLQSQMNSLYSQPFAHYNTQPLNMQASQPQPQGQSSQNQKIHYNG >CAK8533089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576935923:576937627:-1 gene:gene-LATHSAT_LOCUS2739 transcript:rna-LATHSAT_LOCUS2739 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIYFLVILVFLSSFRFTFESNILESKYVSVFEQKSLDLKLREAESKKIQITISKDGNSNFTTITAALSSIQPPNNRRVILLIGPGVYREKIVIPQTLPFITFLGETRNEQTISWNDSSSIIGRDGHPLGTFNTPTVAVNADYFMAINITFENSASYFGKKVEQAVALRISGNKSAFYNCTFRGVQDTLYDHKGLHFFKNCFIEGSIDFIFGFGRSLYEECTLNSIAKNIGYITAQKRSSSSLDTGFSFKNCTVKGTGQVYLGRPWGEYSRVIYSYTNMKEIVLPKGWEDTMNGTHYPKTIYYGEYKCSGPGSNFSGRAPWARNLTDEEVQPFLEIHFIEGETWLINPN >CAK8563577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617308618:617310572:1 gene:gene-LATHSAT_LOCUS16972 transcript:rna-LATHSAT_LOCUS16972 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPCSSFSSIRSYYEVASNVRRLKDHGYTNDQISFIIANQNPRDYVSYNEPLNRKRKIQDDTHSYYQRLRHQDSSRGINLYNFADPDSHANLYIPISTKSRVPSLRELTLKALDMHSYPVALLAGVSNKQKQRLTNLLCYSGETNGRFLELLLGGFPTHIQLRDCSWLTKVEFLKYFETLVTSELEVLQLDKCGSIITDETVYHTLAKPVNSLSKLTSLSIAGAYDLNNEGLQLLISSVTALRYLNLTKCVRLTATGLDILADSFGSTLKELYIDGFNLINTKGVLQALKRLKELQVLSLAGIRDLSDEFIKDYIMACGCNLKGLVLKDCVNLTDVSMRFIADFSRRLNVLDISNLCKLTYSSLGYFKNRFRQLNTLKLYRNPFSDEAIAAFLEIAGKTLEEFSLNSIDKVGHLTAMSLAKNAINLHTLDLSHCRKLTNRDLALIVNRCLSLRTLKVVGCSQLTDVFLRGCSNSEIRIIGLKSTPIWSKIFFKN >CAK8569386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697935369:697939516:-1 gene:gene-LATHSAT_LOCUS22242 transcript:rna-LATHSAT_LOCUS22242 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSTAAYVARRAAQKEKVRILYRRALKDTLNWAVHRHLFYDDAANLRDRFEQNKHVEDPDTIDRLIVDAEASYNKWRHPDPYIVPWAPGGSKFTRNPPPPEGIEIIYDYGREDNN >CAK8571586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444656785:444656919:-1 gene:gene-LATHSAT_LOCUS24231 transcript:rna-LATHSAT_LOCUS24231 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMKLFASFVDEFAPAPMIPPKKVSHAPLLETIAEEKEPEDH >CAK8542221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490526040:490529412:-1 gene:gene-LATHSAT_LOCUS11077 transcript:rna-LATHSAT_LOCUS11077 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYHTLEESKHALGRNLTFAETLWFNYSAKKSDFVLHCHNTLFFCFFYSIAPIPFALIELSGCNKLNKYKIQPYVKRTFMEMFKCYKYVMKTFIIAVGPVQIFSYPTIKWLGIRTSLSLPSRWELFWQLLVYFLTEDYASYWIHRMLHCKWGYKNIHKVHHEYKTPIGFAGAYAHWVEIWIFGIPALLGPVLVPGHIVTFWLWFILRHLETIETHCGYEFPWSFTKYIPFYTGPAYHDYHHFVGEKTQGNFASIFTYCDYIYGTQKGYRYKKRMYEKKSPTNTFNKVE >CAK8562478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498733872:498735314:-1 gene:gene-LATHSAT_LOCUS15970 transcript:rna-LATHSAT_LOCUS15970 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVNLSVGIPYSTTLNLFPKNRTLIPTIKSLQSNPSPMDPPKKVVVCGGGVIGVCTAYFLAKKGAAVTLIEKSNIACAASGKAGGFLALDWCDGGPIEQLARASFILHRSLSQELNGSQSYGYRSLSALSLTVTEESKRTSSSSSTSDALPSWVDGPARGPKTIGTNETTAQVHPQLFTRTLIENAVEKHGVKIVIGKLERLEVGGGRVGSVVLEGGLVVDADAVVLALGPWSSKLELLSSRFRVSGLKAHSIVLEPREPNAITPHALFLSYNASNRRTPLDPEVYPRPTGEVYVCGMSKEEEVPDNPEEIRGDKESIEMLKRVAKTVSSHLGEEGVKVEQACFLPCTDDGVPIIGEITGVKGCYVATGHSCWGILNGPATGAAVAELVLDGHSTIVDLEHFSPARFAGRAKS >CAK8532060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197337982:197340027:-1 gene:gene-LATHSAT_LOCUS1797 transcript:rna-LATHSAT_LOCUS1797 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANHHHHHLLLLFSLSLLSLSTAQPPPSETQSLLKLKQSLTNSDKILASWIPNVSPCSGTWTGVICFNNVITGLHLSDLGLSGTIDVEALAEIRGLRTISFINNSFSGPMPLFSKLGTIKSLLLTQNQFSGPIPPDFFGHLTSLKKIWLSDNKFSGNIPASLTQLDLLIELHLERNQFSGRLPDLKQAIKSLDVSNNKLEGPIPEGLSKFSAASFSGNEGLCGKPLENPCDASSSSDSEYSLPDIPTENGSESGLVFKVIMILIVAVIAAAIFMLLKSRQRRRDDDFSVVSGDSSQDSSVEEVMQVNVPISRSSSASERVGRRNVGESSKRGGMGGGNRTGLGDLVMVNDEKGSFGLQDLMKAAAEVLGNGGLGSAYKAAMANGLSVVVKRMREMNKIGKDVFDAEMRQFGRIRHANILTPLAYHYRREEKLFVTEYMPKGSLLYVLHGDRGLSHAELTWPIRLKIAKGIARGLSFLYTEFCTYDLPHGNLKSSNVLISNEYEPLLSDYAFQPLINPSIAVQSMFAYKTPDYVQNQKLSQKADVYCLGIILLELMTGKFPSQYHSNGKGGTDVVQWVLTAISDRREAELIDPELQKSASNSMNSMLQLLLIGAACAESNPEQRVHMKEAIRRIEEIQL >CAK8561273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:133016981:133017217:-1 gene:gene-LATHSAT_LOCUS14881 transcript:rna-LATHSAT_LOCUS14881 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKITEEFCCRMTDTTKEWYHNLGAFKQDELHRLETIAIVLGVLHREFIGDMEIFDRKNRQESMLSICKNY >CAK8579416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697147916:697148371:-1 gene:gene-LATHSAT_LOCUS31364 transcript:rna-LATHSAT_LOCUS31364 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFGLGSEPVIGILNPSKKREYRVTNSHQEGKCHLYVVVFNFINSRYVNVFAIVGSKRVTIYHCLEGRIISVLQSHVKEDKDESFYIVSWTCNPNSSPYVVDGGTTGVIRVIGAGNKKMHKSLAGHGDSIKEIKTPNFEAITGNICRQR >CAK8574833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10904299:10907523:-1 gene:gene-LATHSAT_LOCUS27136 transcript:rna-LATHSAT_LOCUS27136 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKGRVIPPKQKRKMVLPHKVTQAAKNAHNVRHLELEQHDVKQMDVEQQDEIQVEVEQHDSGQVDAEIQDNENPKDPPITMVPTPDFRPHEAIETSLHVRDPSSSAQGSRHMSDPKTQSGLLHVQTSDDLVQESRHSCHEIHKTTDGRPYIFPYRRGWNPCRVASRALTKVIESQFRKAWISWREIPDKRVNRMFMKFGKIVAWRPEDEFELKSIFKSKGSKRLSEILMDARKKQERPSWMGEGAWKGLKIKWETPEYKVKAARNKKNQASAKGGSVHMGGSISTNEHIIRMHRELGREPTLDEVFLRTHTKKKDSSWVDDRSKKTYETFQQKLKHASQVGETSNSGHKEVDSATRLNFWAEAAGGKTRGRLYGAGDLSKHYKPGVSSLTQQSRVSTCSGQVSAEIAAQMATIEERANAAEEDARVAQEECCKANKRTQDLERQLRELAESVASIKGDKRRRRHSDYDDDSDSDDNSIGSI >CAK8534966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798002981:798003561:-1 gene:gene-LATHSAT_LOCUS4456 transcript:rna-LATHSAT_LOCUS4456 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLDALNLPKLSQSLHKTSSSSSKPSPPVKGHPRFVQPGELEVNKKRLRSATMCKSSIIPPPMKTTITLPPIQTKITPLQIETTITHLPIQTTKDVVVEDEDEDVVVGDETEDDVVGDEAEDVVVGDEAEDVVKVAKSVYWDVNVISK >CAK8579721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718779279:718780878:1 gene:gene-LATHSAT_LOCUS31645 transcript:rna-LATHSAT_LOCUS31645 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSADPLVVGRVIGDVVDMFIPSVAMSVYFGPKHVTNGCDIKPSIAINQPRITLTGNRNSLYTLVMTDPDAPSPSEPSLREFIHWIVVDIPGGTNPKRGHEILPYIGPKPPVGIHRFILVLFEQKGPIGLVEEPPSRVSFNTRYFASQLNLGLPVATVYFNSQREPQSKRR >CAK8534245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709520418:709521107:1 gene:gene-LATHSAT_LOCUS3805 transcript:rna-LATHSAT_LOCUS3805 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHSCTTNINRQDHGKLSSQLISQEILHLVGVDPSVKVSTIISHVVARFNYTPSFRKAWIGRIKAVEHVYGNWEKSYNQLPQYLLALQKYVPGTIVILESLPAYSPEGTCVDGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANDWHNPPYVHV >CAK8563480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608908154:608910582:-1 gene:gene-LATHSAT_LOCUS16882 transcript:rna-LATHSAT_LOCUS16882 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLMVRNPTFHTHQFTALSSFRAKSLSILNFAKMEGSEITEVAQRVGDDDLSLKKKIFVAGATGSTGKRIVEQLLAKGFSVKAGVRDLDKAKTTLSSTNPSLQFVRVDVTDGSDKLAEAIGDDTEAVVCATGFRPGWDLLAPWKVDNFGTVNLVEACRKVNVNRFILISSILVNGAAMGQLLNPAYIFLNVFGLTLVAKLQAENHIRKSGINYTIIRPGGLKNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVESLAYPESSYKVVEIVARPDAPKRAYHDLFGSIVQR >CAK8563481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608908154:608910379:-1 gene:gene-LATHSAT_LOCUS16882 transcript:rna-LATHSAT_LOCUS16882-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEITEVAQRVGDDDLSLKKKIFVAGATGSTGKRIVEQLLAKGFSVKAGVRDLDKAKTTLSSTNPSLQFVRVDVTDGSDKLAEAIGDDTEAVVCATGFRPGWDLLAPWKVDNFGTVNLVEACRKVNVNRFILISSILVNGAAMGQLLNPAYIFLNVFGLTLVAKLQAENHIRKSGINYTIIRPGGLKNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVESLAYPESSYKVVEIVARPDAPKRAYHDLFGSIVQR >CAK8568607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:623741551:623743619:-1 gene:gene-LATHSAT_LOCUS21549 transcript:rna-LATHSAT_LOCUS21549 gene_biotype:protein_coding transcript_biotype:protein_coding MNESIVSNESPRRSLSIQLSSLMIVDDSLSYGRLPSQNLTLSILKLDSSSFQVEVAKTATVAELKDAVEAVFRPEKISWPLVWGQFCLCYEAQKLVTETDYLREYGIKDGDQLHFVRHISNVCSIQRKSKKRIVNLNQHGRSSSQVNKFQQKENGDVNNISLDSIVIENGKVQNRNKCQQKENGDVNNISLDSIVIENEKVQNRNAEDNRLGMGKLTGYLGGMFSEARMAVVRRARKEGGISRGIVSSFRKVKGIVGQCRKPHKRNTWKEFSS >CAK8533608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641133277:641134398:1 gene:gene-LATHSAT_LOCUS3223 transcript:rna-LATHSAT_LOCUS3223 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSKLYLFVFIFFSYFFLLFQVNATRSLPNKVNEFESKVDSFMIFKEAPEYRNQQKCKVVDRKIDSPIDRFVCDSSLVHVSMTIDWDYLRGSMAAIHSVLKHTSCPKNLFFHFIASDSRLVKKDEFDRIVHSSFPSLRFKVYVFNESLVENLISPSIRQALENPLNYARSYLADLLEECVERVIYLDSDVIVVDDIQELWKISLSDSKVIGAPEYCHANFTRYFSYEFWSSYEFSEEFKGRKKKPCYFNTGVMVMDLVKWRDGEYTKKIEKWMEIQKERRVYKLGSLPPFLMVFGGEIEAIDHRWNQHGLGGDNVVDSCRSLHSGPVSLLHWSGKGKPWRRLDAKKPCSVDFLWKPYDLYAPHLRYEHSLV >CAK8536408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938749427:938749900:-1 gene:gene-LATHSAT_LOCUS5779 transcript:rna-LATHSAT_LOCUS5779 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIARLRVIARLRFHYNRPFESATTLQYNYDDKEEFEYNAPRGMLDTKGSAPECGVQWVMIGELGAKRNIFTERLSKLLEVPHISMASLLHQELNPQFFSVKAFNGRDYVYLEDWDSTLVVTARETRLAQEGVIANMKPSMDKKVKISKDGNWYRK >CAK8572274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526007745:526008092:1 gene:gene-LATHSAT_LOCUS24857 transcript:rna-LATHSAT_LOCUS24857 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSKVFELAFPTMTSMCPPPEKIKIKGGVKKKDKKSVGYDVYRDPSYHEYVDQASQSSQRQSQPSQTSKNLKLSQSS >CAK8532570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:298473418:298473828:1 gene:gene-LATHSAT_LOCUS2262 transcript:rna-LATHSAT_LOCUS2262 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEFVAPKVVEGEIEVDIEDSDVESEVKYLESALIMYALGRELSINAVKNFMSKFWNFVKLSNIFYHEKGYFLLKFHSFRERDMVLMRGIFTIRNPLMVLKEWSPEFDFKRDMLCTMPIWVKLPQLPLHCGEQGA >CAK8559931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1867623:1870263:-1 gene:gene-LATHSAT_LOCUS13663 transcript:rna-LATHSAT_LOCUS13663 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDALKLLFDVSNSNSLENSLQILIHISKSNSGRSNLASKTILPAVLTILHSQTPLLDHPNLSLCFKLLRNLCAGELLNQNLFLEFDGVDAVVSRILTLEVGSDHMLVRWGLQVLANICLAGKQHQRAIWEVLFPFGFLSLARHGTKEVCDPLCMVIYACCDGNPEWLQELYSDCGWPIVKELVRTASSASFGEDWIKLLLSRICLEESQLRLLFSKLRFKDIHEGEDSESKEDQFSSEQAFLLQTLSEILNERIGDVSVSKDVVLFVYSIFEKSIGVLEHAVRGKSGLPTGITAVDVLGYSLIILRDICAHDSVRGNAKDANNDVNVLLSHGLIESLLAVLGDLEPPAIIRKGISQSENQDGASCSLKPCPYKGFRRDIVALIGNCVHRRKHAQDKLRNRSGVLLLLQQCVTDEDNPFLREWGIWSVRNMLEGNEENQKVVSELQLQGSADTPEISALGLRVEVDKITRRAKLVNVP >CAK8565956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358663948:358665795:1 gene:gene-LATHSAT_LOCUS19129 transcript:rna-LATHSAT_LOCUS19129 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGTNKKPSQTDLIRNHECGRQEELQHEVSDLLVFSATDDVIAFKDAVEKDHHDVNEAGLWYGRRIGSKEMGYEERTPLMIASLFGSKAVLSYILETDRVDVNQACGSDRATALHCAVSGCSAASSEVIKLLLDASADVNSADANGNRCIDLILMMPNSISGSKKRLLQAILEGIDDDCDFFKEVCFQMEKQQQDIGTPRIEKKDYPIDISLPDINNGIYSTDEFRMFIFKVKPCSRAYSHDWTECPFVHPGENARRRDPMIYQYTCVPCPEFRKGSCSKGDSCEYAHGIFECWLHPAQYRTRLCKDETQCTRRVCFFAHKPEELRPLYASTGSALPSPISYSNSPSASSMDYFALSSPSSLTQSMPTPPLTPSASSSPAGGTMWPTQSHAAVPTLQLPRSRLKTAFNARDDTEFLELENRLMQKLMIEEMTGLSFPSDRLAGVNPANLDDILDSQIQSPTSNYVHPNVTQQLWGFSSDLTNSNVIGSPQITVDPSVNSEPNAMSKRSQSFIERSSTASFISELPSATSVAMESYTNFSDWGSPDGKLDWSISGDELNKMKNSYSFVIKNQSCNSTMVTQNGDDQDVLLRQESWVNSLVKDAPTTELDQYCVAV >CAK8542792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547028058:547030264:-1 gene:gene-LATHSAT_LOCUS11599 transcript:rna-LATHSAT_LOCUS11599 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFSVAKPAIKVNGKGFSEFSGLGNSSKYLPFSRKSSDDFHSVVSFQTNAVGSSGGSKKGLVVEAKQLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGTDGISVDGKVIKVVSDRNPANLPWKELGIDLVIEGTGVFVDREGAGRHITAGAKKVLITAPGKGDIPTYVVGVNADGYTHADDIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNEAFRESASKELAGILSVCDEPLVSVDFRCTDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >CAK8537923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447976849:447984770:1 gene:gene-LATHSAT_LOCUS7171 transcript:rna-LATHSAT_LOCUS7171 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTLKPSHTHPSLLLPAPSPLRTQRRRFRVSLPRCSSDTNNPTSSSPPQRPPKELNGIEILVDKLSSPARLATSAVIVAGAVAAGYGLGSRFGGSRNAALGGAVALGAAGGAAAYALNAAAPQVAAVNLHNYVAGFDDPSTVTKEDIELIANKYGVSKQDEAFKAEICDIYSEFVSSVIPPGGEELKGDEVDRIVKFKSSLGLDDPDAAAVHMEIGRKLFRQRLEVGDREGDVEQRRAFQKLIYVSNIVFGDASSFLLPWKRVFKVTESQVQVAIRDNAQRLYASKLKSVGRDFDLGKLVTLRETQRLCRLSDELAENSFREHVRKLVEENISVALGILKSRTRAVTGVSQVVEELEKVLAFNDLLISFKNHSDIDRLARGVGPVSLVGGEYDADRKIEDLKLLYRAYVSDALSSGRMEDNKFAALNQLKNIFGLGKREAEAILFDVTRKVYRKRLGQTVSSGELEMADSKAAFLQNLCDELHFDPQKASELHEEIYRQKLQQCVADGELNDKDVAALLKLRVMLCVPQQTVEAAHAEICGNLFEKIVTDAVASGVDGYDDEAKKSVRKAAHGLRLTKETAMSIASKAVRKVFIIYVKRARSAKSNEKSAQELKKLIAFNTLVVSELVEDIKGESPDVKIEEPVKEEIRETEEDEWDEWESLQTLEKTRPDKELIERMGKPGQTEITLKDDLPERDRTDLYKTYLIYCLTGDSVTVPFGFQIKKKKDDSEYVYLNQLGGILGLTGKEIVDVHRGLAEQAFRKQAEVLLADGQLTKARVEQLGKLQKDIGLSQEYAQKIIKNITTTKMASAIETAVTQGRLNMKQIRELKESNVDLDSMVSVNLRETVFKKTVGDIFSSGTGEFDEEEVYENIPLDLNINKEKARGIVRELAQNRLSNSLIQAVALLRQKNHKGVVSSLNNLLACDKAVPSQTLSWEVPEELSDLYTIYLKSDPSPEKLTRVQYLLGINDSTAAALRDSGDRLVETAEEENFVF >CAK8537876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444472166:444472945:-1 gene:gene-LATHSAT_LOCUS7128 transcript:rna-LATHSAT_LOCUS7128 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKMAFQKSPILLFYLPDDCWEHVFKFLIICDEGETENKHKLYFKSLSLVSKRFLSITNKLVFSITISDHSSRLLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKFLSIFNIELNDANVITSQYIDSLVTLKGLNILKFCYSHISDDLLYSIAREVLPLKNFVLKNCTGYSYDGIYCLLSKCPEIQHLDLHQADFLRDHHIHQLSLFLGSLLSIKLSKCLKLTKLALSFLIMNCHLLSEITMEDIKMETA >CAK8537877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444472166:444472930:-1 gene:gene-LATHSAT_LOCUS7128 transcript:rna-LATHSAT_LOCUS7128-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKSPILLFYLPDDCWEHVFKFLIICDEGETENKHKLYFKSLSLVSKRFLSITNKLVFSITISDHSSRLLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKFLSIFNIELNDANVITSQYIDSLVTLKGLNILKFCYSHISDDLLYSIAREVLPLKNFVLKNCTGYSYDGIYCLLSKCPEIQHLDLHQADFLRDHHIHQLSLFLGSLLSIKLSKCLKLTKLALSFLIMNCHLLSEITMEDIKMETA >CAK8579642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714290129:714296831:1 gene:gene-LATHSAT_LOCUS31572 transcript:rna-LATHSAT_LOCUS31572 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDHGGEGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTENGQEYAEFLHLPRKRFTDFAAVRKEIADETDRITGKSKQISNHPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKIAKEVDPSGERTFGVVTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMIAARRKEREYFETSPEYGHLAHKMGSEYLAKLLSQHLEQVIRQKIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDKVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKIVTEADGYQPHLIAPEQGYRRLIEGSISYFKGPAEASVDAVHFVLKELVRKSVAETEELRRFPTLSHDIATAANEALDRFRDESKKTVLRLVDMESSYLTADFFRKIHLEPEKNPNGPPNSNRNAPPNTDNFTDNHLRKIGSNVSAYINMVCDTLKNTIPKAVVYCQVREAKRSLLNYFYVQVGKKEKDRLGAMLDEDPALMERRNQIAKRLELYKQARDDIDSVAWK >CAK8530853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55876032:55877079:1 gene:gene-LATHSAT_LOCUS688 transcript:rna-LATHSAT_LOCUS688 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVAFNNKDLEISFFVFKPTIVIIDDLVHVLKLFSLSTESLGCVQSSIFRSIHGNMIIWYGAWQKQSNKEKELLTSTLKSMLAKLSAMAKLIEHSFLEAYAGESRDGSSSAKLTTGDIISINTADTASRKYLDDLSYAVLALFRSRFAKMEGVTSGLCLKGQSRAIVVCIHVWKSLHFCYSWILNSDHRKWMMPYLERFSIDMKYDIFKVVYVSGDNVVDVNYVSQHQKLENGKGSIERQIVQN >CAK8539215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506844959:506859765:-1 gene:gene-LATHSAT_LOCUS8331 transcript:rna-LATHSAT_LOCUS8331 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAASTSTSSLSVVGTNLSLPPHRHHRHSSISTPFRTNRFFLSSSLAYSSPRRVVHGGLGLRRNTPDVWKHYSAVLSQPTAPVRQSCTSCCLASAKKRRSNLPRFVPGAFFDNSSIGLSKDKLRHTSVKRVQLPHATVGPDEPHAASTTWQEGVAEKQDLSLFDSELERLEGFLSSELPSHPKLHRGQLKNGIRYLILPNKVPPSRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDSDDLLPSVLDALNEITFHPNFLASRIEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIGNIPKTVQQIEAVFGQTGVDNEKGSVATSSAFGAMASFLVPKLSVGLGGNSIERSNNTADQSKVFKKERHAVRPPVKHNWSLPGSGANLKPPQIFQHELLQNFSINMFCKIPVNKVQTYRDLRIVLMKRIFLSSLHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQNAIRVAVHEVRRLKEFGVTQGELTRYLDALLKDSEHLAAMIDNVSSVDNLDFIMESDALSHKVMDQSQGHESLLAVAGTVTLDEVNSVGAQVLEFIADFGKLSAPLPAAIVACVPKKVHIEGAGETEFKISSTEITDAIKAGLDEPIEPEPELEVPKELVQSSTLQELKNQRKPAFIPVSPEIEAKKLHDEETGITRLRLANGIPVNYKISKSETQSGVMRLIVSGGRAAESSDSRGSVIVGVRTLSEGGRVGNFSREQVELFCVNNQINCSLESTEEFISLEFRFTLRDNKMRAAFQLLHMVLEHSVWLDDAFDRARQVYLSYYRSIPKSLERSTAHKLMVAMLDGDERFTEPSPSSLENLTLQSVKDAVMNQFVGNNMEVSVVGDFTEEEIESCILDYLGTAQATGNFKNQQKIIPPTFRLSPSSLQSQEVFLNDTDERACAYIAGPAPNRWGFAADGNDLLETIANASSVNDNGTKSDALQTDGAPRRSLRSHPLFFGITMGLLSEIINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVVSVTSTPSKVHKAVDACKNVLRGLHSNGITVRELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQSSSVPRKDISCIKDLTSLYEAATIEDICLAYEHLKVDEDSLYSCIGVSGAQTAQDIAAPVEEEEAGEGYPGVLPMGRGLSTMTRPTT >CAK8539214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506844959:506859765:-1 gene:gene-LATHSAT_LOCUS8331 transcript:rna-LATHSAT_LOCUS8331-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAASTSTSSLSVVGTNLSLPPHRHHRHSSISTPFRTNRFFLSSSLAYSSPRDRRVVHGGLGLRRNTPDVWKHYSAVLSQPTAPVRQSCTSCCLASAKKRRSNLPRFVPGAFFDNSSIGLSKDKLRHTSVKRVQLPHATVGPDEPHAASTTWQEGVAEKQDLSLFDSELERLEGFLSSELPSHPKLHRGQLKNGIRYLILPNKVPPSRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDSDDLLPSVLDALNEITFHPNFLASRIEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIGNIPKTVQQIEAVFGQTGVDNEKGSVATSSAFGAMASFLVPKLSVGLGGNSIERSNNTADQSKVFKKERHAVRPPVKHNWSLPGSGANLKPPQIFQHELLQNFSINMFCKIPVNKVQTYRDLRIVLMKRIFLSSLHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQNAIRVAVHEVRRLKEFGVTQGELTRYLDALLKDSEHLAAMIDNVSSVDNLDFIMESDALSHKVMDQSQGHESLLAVAGTVTLDEVNSVGAQVLEFIADFGKLSAPLPAAIVACVPKKVHIEGAGETEFKISSTEITDAIKAGLDEPIEPEPELEVPKELVQSSTLQELKNQRKPAFIPVSPEIEAKKLHDEETGITRLRLANGIPVNYKISKSETQSGVMRLIVSGGRAAESSDSRGSVIVGVRTLSEGGRVGNFSREQVELFCVNNQINCSLESTEEFISLEFRFTLRDNKMRAAFQLLHMVLEHSVWLDDAFDRARQVYLSYYRSIPKSLERSTAHKLMVAMLDGDERFTEPSPSSLENLTLQSVKDAVMNQFVGNNMEVSVVGDFTEEEIESCILDYLGTAQATGNFKNQQKIIPPTFRLSPSSLQSQEVFLNDTDERACAYIAGPAPNRWGFAADGNDLLETIANASSVNDNGTKSDALQTDGAPRRSLRSHPLFFGITMGLLSEIINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVVSVTSTPSKVHKAVDACKNVLRGLHSNGITVRELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQSSSVPRKDISCIKDLTSLYEAATIEDICLAYEHLKVDEDSLYSCIGVSGAQTAQDIAAPVEEEEAGEGYPGVLPMGRGLSTMTRPTT >CAK8536310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930014070:930036392:1 gene:gene-LATHSAT_LOCUS5691 transcript:rna-LATHSAT_LOCUS5691 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPSEQGSSNVNVSWWPSDFVEKFGSVALDSQDETINNKESSRHSDKDVLSPMKASQILWRTGVLSEPIPNGFYSVIPETRLKELFDNIPTLDELHALGGEGYRADIILVDLEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPSVESPAKAAFDETSHIFENRGIQMLGQIKHGSCRPRAILFKVLADTVGLESRLMVGLPNDRAVECVDSYKHMSVIVVLNSAEMLVDLMRFPGQLLPKSTKAVFMSHISVAGESDSAENDSCDSPLEPNSPLFGVSESAEKEENLQFHKRFEASSNVTGLSLRNMMLRSNSNLSLSHSEPNIATAFGRRSRRKVIAEQRTASSSPEHPSFRARARSMLSGDKTEFRDFADDQATSRSSYRSDGASSSEVRRIRRRSISITPEIGDDIVRAVRAMSETLKQNRLMRERVDNNSLPHSTKNLQKKVPNFHHDGHDERPSLYSFQRDQVTSQKAISLPSSPHDYRSQSSERSELSRYGVNDETESTWNKVLESQMYNNKPLLPYEGWSIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMMRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMIESPIRDSSSAGTPEWMAPELIRNEPFNEKCDIFSLGVILWELYNLSRPWEGVPPERVVYSVAHEGSRLEVPEGPLGRLISDCWADAHERPSCDEILSRLVDLEYSPC >CAK8541266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99689560:99689919:1 gene:gene-LATHSAT_LOCUS10198 transcript:rna-LATHSAT_LOCUS10198 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKYFAIFLALLLSHSLCNASEVSINIRVNLADGIMLTCLSGGGIIGHLDPQKPYHWTYPADKSESCNANWNGLQAQFIAYDPQSDQGHFDIYWNVEKDGLYRSWDNKNFEKKVGWS >CAK8578085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605844715:605845518:1 gene:gene-LATHSAT_LOCUS30127 transcript:rna-LATHSAT_LOCUS30127 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDEYRCFVGGLAWSTSDRKLKDTFEKFGKLTEAKVVVDKFSGRSRGFGFVTFDEKKAMEDAIDSMNGIDLDGRTITVDKAQPQQGSARDDGDRYRERGRDRGDRDRDRGGRGSSDGGCFNCGKPGHFARECPSEGGRGGRYGGGRESRYGGSGGGGHYGPDRNGDRYSGGRSRDAGSRGDSGNDRYSRDRERDRAGPYERRGSGGFR >CAK8560475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21345285:21348487:1 gene:gene-LATHSAT_LOCUS14143 transcript:rna-LATHSAT_LOCUS14143 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDKKLLILLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGEMDLEREELPPHMTRVPTEEIRRAQKVERDASDLKGTMRKRMEFLDLD >CAK8572418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535251532:535253806:1 gene:gene-LATHSAT_LOCUS24989 transcript:rna-LATHSAT_LOCUS24989 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSTLPKLGIANSKSFISFTSKPINSTLNNPTSKRHLILRTSLLCIGISLTPQLPPALSSSQPPSSSTNSVLSSIEDTSSWFRFYGDGFAVRVPPEFQDVMEPEDFNAGTSLYGDRAKEKEVVARFVSSDGSEAVNVITRRASQVKITFLEVQDISGLGSLKEAEKLFIPGGSTVYSARSIKVQEEGGFRTYYFYEFGKDDIHLALMAGARGGKVIIAGATAPQDKWDTDDGVKLRSAVMSLTIL >CAK8570973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:210671522:210673095:-1 gene:gene-LATHSAT_LOCUS23677 transcript:rna-LATHSAT_LOCUS23677 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFDFFSCSAFSCAFRISLGTVREEDTLNILLEFVPGGSISSLLGKLGAFPEAVIRTYTKQILLGLEYLYKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATMSGAKSMKGTPYWMAPEVILQTGHSFSAAIWNVGCTVIEMATGKPPWNQQYQQEVAALFHIGTTKSHPPIPDHLSAVAKDFLLKCLQKEPILRLSASELLQVIL >CAK8575763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:313427043:313430122:-1 gene:gene-LATHSAT_LOCUS27999 transcript:rna-LATHSAT_LOCUS27999 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISEGSKHFHEDCSTLILPAVSIGNVGQLTADLLVSSLGSEKVGYLDDPYVLPCVGNDAYGPVPQGDLALPLEAYDSPSSGVTVIQQRSPVIKGMMLDFAKNMADFIAASGKKHIIILSSLDFGKWQKVDMSSGLQIYYLSSANSNGTDENCVQLGWKKLQEYDPSQKHWKYLSDIAEGNATPEDTISIEDELEEEDYYASLPFAALFSFLKAKGLKVTCLLCYCSEGDNTSDAFQLADATCKLLKPNHPNSGNEGGNWRVPLSWMSVYGPPPDVSIF >CAK8576724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509422896:509424417:-1 gene:gene-LATHSAT_LOCUS28897 transcript:rna-LATHSAT_LOCUS28897 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTSFYPQVESTNPDANSPFSHSSSSSSMYPSITTDNLSPEYPKTAPQQQPSEHVLVTVPDVILHLIEKDSSVHLASGDLTIVSLKEGNNVAAVIARIGDQIQWPLAKDVSTVKLDEAHYFFTLKVPQGNSKEDIESEVLNYGLTVETKGQEKGLVLKELDKVLEKYSFLSVEKVKGVKGWEVLEKKEALEESSAAAYWTTLAPNVEDYSGRFARWIAAGSGQMIRGILFCGDVTVERLKWGNDFMKKRLQPGSSQSQISPHAMAGMKRVKKLTKMSEKVALGVLSGVVKVSGFFTSSVVNSKPGKKLFSLLPGEIVLATLDGFNKVCDAAEVAGRNVMSTSSVVTTGLVSHKYGEQAGEVTNEGLGAAGHAFGTAWAVFKLTKALNPKSVIKPTTIAKAAAQASSTQLKAKK >CAK8575104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30588491:30590088:1 gene:gene-LATHSAT_LOCUS27393 transcript:rna-LATHSAT_LOCUS27393 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHILILCLIFPLVLLFLRRRKTMKAPHPPGPRGLPLIGNLHQLDNSILYLQFSKLSKIYGPLFSVKLGLRPAIVVSSAEIAKEIFKNNDLVFSNRPVLYGQQKLSYNGSEMAFSPYSDFWRDIRKLCVIHIFSAKRVSSYNSIRKFEVKQMIKKISNHAASSTVTNLSEMVTSLTCTIICRIAFGRRYEDEGIERSKFHGMLHEFEAMLTAFFVSDYIPFMGWIDKLSGLRARLERNLKEMDEFYQEVIDEHLDPNRKHGDEEVIVDVLLQLKKERLFSIDLTFDHIKGVLMDMLVGATDITSATAVWAMTALIKNPRVLKKLQEEIRNSKFEKDFLNEDDIQNFSYLKAVIKETLRLYLPGPLLVPRESREECIIGGYQIPAKTIVFVNAWAIHRDADVWKDPEEFFPERFLESSINFYGQDFELIPFGAGRRICPGISMAVASLELILANLLYSFDWELPHGLRKEDIDTERSPGLTQHKKNHLCLHAKIPI >CAK8538149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465717601:465717849:1 gene:gene-LATHSAT_LOCUS7380 transcript:rna-LATHSAT_LOCUS7380-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSAGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFLQWL >CAK8538148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465716874:465717849:1 gene:gene-LATHSAT_LOCUS7380 transcript:rna-LATHSAT_LOCUS7380 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKVMELIDKFIDLGRIGEWIKGIEMMIEIILRNRKIPYGYNSYLNEVKKMRSLLSNRTNTNTLIESVKIKSVYQSASPIAQDISFQLRKKTRSFRSIFSRIVKDIPLVMKKGVEGIRICCSGRSEGAEIARTECGKYGKTSRNVFNQKIDYASAEVSTRYRISGRCSRGCKPDGSKLGFGRYGTQSCRSGRLSYRAIEAARRAIIGHFHRAMSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSAGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFLQWL >CAK8564089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650756451:650760718:-1 gene:gene-LATHSAT_LOCUS17428 transcript:rna-LATHSAT_LOCUS17428 gene_biotype:protein_coding transcript_biotype:protein_coding MATADLIQNGTLQFQPPVEPISDAVNTSTADETDSEEKKWPGWPGHCVFRLIVPVLKVGIIIGRKGELIKKTCEETRARIRVLDAPLGTPDRIVLISGKEALEAPLSPAMDAVIKVFKRVSGLSETDDNNTTSGVAGAAFCSIRLLVASTQAINLIGKQGSSIKTIQENTGASVRVLAGEELPSYVGADERIVELQGETLKVLKALEAVVGHLRKFLVDHSVLPLFEKMSNATVSQDRQTDAWADKPSLHSASQPSTVADIPPPTKRDYFFADRESQLDSLLSSSTMSYGQDSSISGLRSSAFNRASASIVTTVIQTMQIPLSYAEDIIGVHGTNIDYIRRTSGAILTVQESRVPDEIIVEIKGTSSEVQTAQQLIQEVIANHNETIASSYGRLDSGLRSSYSHLNSSSYPPSSLPSQSYNGYGSSGLGDYSTFRL >CAK8565234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78302872:78303378:-1 gene:gene-LATHSAT_LOCUS18465 transcript:rna-LATHSAT_LOCUS18465 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWKNIQLSKEEEEAVTLVVDEVYGEEIFQRILAGKLWTDNNFNARAFMTTIISAWKLKKSYRTQEMSKNLFLFIFATRTQRGMEYVLRSGPWSFDRNLLILSHVFGEEQSSDLNMHYGVFWVMIYDLLMMLRSETMARKLGGILGTFEELDQKEAHRNNRWISNNL >CAK8565541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:264636446:264636832:-1 gene:gene-LATHSAT_LOCUS18744 transcript:rna-LATHSAT_LOCUS18744 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLEFNPSLAEYSTFVGLNLPLHFAASKGHNEIVALFLEKGADGNSRNYCGHTALMQAYRHGHWEVVQALVLYRCNVMKADYLSGRTALHFCRCHWACLMR >CAK8539034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502142233:502144227:1 gene:gene-LATHSAT_LOCUS8172 transcript:rna-LATHSAT_LOCUS8172 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGIFTTMRIMGKSLIGWICVFVVGFVQFSNAFYLPGSYMHTYSNGDPIYAKVNSLTSIETELPFSYYSLPYCQPLGGIKKSAENLGELLMGDQIDNSPYLFRMNVNQSIYLCTTAPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRFASQNGVKIQWTGFPIGYSPTDGSTDYIINHLKFRVLVHEYEGNDVEIIGTGEEGMGVIERDNKKESGFEIVGFHVVPCSVKRDPEVMTKLHMYDNVSSINCPAELDKYQPIKEQERISFTYEVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLARYEELDKETQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVGDGVQILGMAAVTIVFAALGFMSPASRGMLLTGMIILYLFLGIASGYVSVRLWRTMKGTSEGWRSISWFAACFFPGIAFTILTALNFVLWGSKSTGAIPISLYFELFFLWFCISVPLTLIGGFMGTKAEQIEYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLTIVCAEVSVVLTYMHLCVEDWRWWWKAFYASGSVSLYVFLYSINYLVFDLQSLSGPISAVLYIGYSLLMAIAIMLATGTIGFLVSFYFVHYLFSSVKID >CAK8568652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:628536161:628536773:1 gene:gene-LATHSAT_LOCUS21591 transcript:rna-LATHSAT_LOCUS21591 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPGDWNCRACNHLNFQRRDSCQRCGESKSVSSGGGDYGVAFGGGRGSSSPFPFTTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAPKDIDTFSSDSSDMPRLLRSPYGFGGGSAGGASTRPGWKSGDWICTRSGCNEHNFANRMECYRCNGPRDSSSGRSSYLS >CAK8560854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50933679:50933986:1 gene:gene-LATHSAT_LOCUS14498 transcript:rna-LATHSAT_LOCUS14498 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAMESQEGEEIHNCLIKHRDNPKRRRDKVYIGCGAGFGGDRPLDALQLLQRVEELNYLVLECLAERTLAD >CAK8564922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15646023:15649662:-1 gene:gene-LATHSAT_LOCUS18172 transcript:rna-LATHSAT_LOCUS18172 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSSCFTDSSSFSVPNTFIGIVLLNSKIRSSSSCNNSTLLFTRSQLLRDFAAREFNAFLWLFLLVITSLLLTRLFNVFTLLYKARTIPGPPASSFFGHFKLLSEENFTDVLSKSHEKYGPVVKLWLGPTKLLVSVKDPVLIQEMLIKAEDKLPAGKAFRLAFGQSSLFSPSYEKVQKRRELLETELNESLMKTADLNHRKVADFIVDQIENIRVRGSVSRELVSQHMAFKLMGVTFFGDGFLTWPKAAIYEELLMMIAKDAFFWASYNVTPFWNRGFWRYRRLCKKLICLTEGILHCRKCYEILDHIDQNVRSESSNPETKSANDQQNSKEEHYGNIMRVMLHGSQTTAALIANVLTNLIMNSEIQDKVYSEISMAGRNPSKYEHEDVYRMPLLLATIYESARLLPTGPMLQRCSTKQDLRFANGVRIPAGTVLVVPVQLVQKDDFNWGKNASDFNPYRFLSNVTERSGSEEQLDYKINSFVLNDPSENASFLPFESGKRSCVGQKFVIQAVATLLASLLKKYEIRFNSDSDGNSEPLQNLPLQHHPNSEILFVRRNQ >CAK8560756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40395572:40396484:-1 gene:gene-LATHSAT_LOCUS14407 transcript:rna-LATHSAT_LOCUS14407 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREEDKVAELIKEVGELALHFCECYKLMVLKISSKQGRLRKMIGVVAAKDLPAEPSEEEFTPYDKFCPFYKSVWMMCNIIHFYNLANQAVERGAGTDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGESALVAKFTQLHEDLTNGFHNLEDEAR >CAK8533809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661539193:661540563:-1 gene:gene-LATHSAT_LOCUS3405 transcript:rna-LATHSAT_LOCUS3405 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVPLPPRILIVSRRTVRKNKFVDFVGEYHLDLIVANGAVPVIVPRVSGIQKLLKSFEPIHGVLLCEGEDIEPSWYEEQDNTTTCVLSYEELEEIKNLHSSDTTVDKEKDSIELTLAKLCLERNIPCLGICRGSQVLNVASGGTLYRDITKEVSEKCESNFVMHINYDDYDGHRHVIKVVENTPLFDWFKDSLSENDEILVNSYHHQGVKKLAQGFVPMAFANDGLIEGFYDPYGYNPDEGRFIMGLQFHPERMRKPNSDDFDYNGCTFAYKEFVKAVVAYQKRHNILTSVPKCMKLNKEMKNKRKIVMRSFSVTGRGKCSFKEPEVLKVKQMEAKVRNAKSCVERLKVNEEREKMAKNVMEKMSIEQLSDLLSFYHSMGHICSQILETKLHDVVNDNS >CAK8530948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65933370:65935388:1 gene:gene-LATHSAT_LOCUS774 transcript:rna-LATHSAT_LOCUS774 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVIGGSQVKLGRSDFACRELGYVVLKNDCGFSKGGVCFVQSLRLKKSGNGMMSLKAVHAEPIREMKKKNKPSGSGTRSKPVDGVRLFVGLPLDTVSHDCNSINHSRAIAAGLKALKLLGVEGVELPIWWGIVEKEAMGKYDWSGYLSIAEMIQKVGLKLHVTLCFHGSKKPNIPLPKWVSEIGESQSGIYFTDRSGQCYKECLSLAVDNVNVLNGKTPVQVYRSFCESFKSSFAPFLKSTITGISMGLGPDGELRYPSHHELPNDGKTRGIGEFQCYDQNMLSLLKQHAESSGNPLWGLGGPHDVPTYNQSPYSNSFFKDGGSWESPYGDFFLSWYSSQLIEHGDSLLSLADSTFRDTGVSIYGKIPLMHSWYGTRSRPSEQTAGFYNTAKRDGYEQVAKMFAKNSCKIILPGMDLSDANQPNETHSSPELLLSQTMSAFRKHDVKVSGQNSSDFGVPGGFEQMKKNLSGEHVLDLFSYQRMGAYFFSPEHFPSFTELVRSLNQPKLHLDDLPTEDEEGAESAIMSQESSVSMQAV >CAK8564276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665178235:665182603:-1 gene:gene-LATHSAT_LOCUS17598 transcript:rna-LATHSAT_LOCUS17598 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDDVGLTVDGDLFVACNECGFPVCRPCYEYERREGRQLCPQCKTRYKRLKGSPRVEGDDDEEDVDDIEHEFNIEDKMNNHDHSAEAMLHGKMSYGRGPEDDENAHFPAVIAGGRSRPVSGEFPISSHGYGDQMLSSTLHKRVHPYSASDPRGAGWDEKREDGSYDRVDDWKLQQGNLGPEPDEDLDGAMSDEARQPLSRKVPIASSKINPYRMVIVARLVILAFFLRYRLMNPVHDAMGLWLTSIICEIWFAISWILDQFPKWYPIDRETYLDRLSIRYEREGEPNMLAPVDVFVSTVDPLKEPPLNTANTVLSILAMDYPIDKISCYISDDGASMCTFEALSETAEFARKWVPFCKKFLIEPRAPEMYFSEKIDYLKDKVQPTFVKERRSMKREYEEFKVRINALVAKAQKVPAGGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGHDSEGNQLPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQTGKKVCYVQFPQRFDGIDTNDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVSCDCCPCFGRRKKAKHAMNNANGEDASLRGMDDDKELLMSQMNFEKTFGQSSIFVTSTLMEEGGVPPSSSPASQLKEAIHVISCGYEDKTEWGLQLGWIYGSITEDILTGFKMHCRGWRSIYCMPKRVAFKGTAPINLSDRLNQVLRWALGSIEIFFSHHCPLWYGHKEGNLKWLERFAYANTTIYPFTSIPLVAYCILPAVCLLTDKFIMPPISTFASLYFVALFTSIMATGILELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTAKATDDEDFGELYAIKWTTLLIPPTTILIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTKLCGINC >CAK8577312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554906500:554907135:-1 gene:gene-LATHSAT_LOCUS29431 transcript:rna-LATHSAT_LOCUS29431 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNNTIVSIIILCLSLTFASTLVSSMGIPNTPPPHSKTPYPPSKLTPRHMSSSIPPPSMTIESPCPNTPPPTIPPSTLPPNPMTTPSTISPSTPPPSHSKTPPMNYPSTPPQRSLTPPPKITPTTPPTCQIGRLSVCANILNVVNVVIGQDTKPCCNLINGLIDLEASICLCAALKANILGIIIVDLKIPVQLILNRCGHQMPIDFTCSR >CAK8562904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555267556:555267912:1 gene:gene-LATHSAT_LOCUS16366 transcript:rna-LATHSAT_LOCUS16366 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISLLVSLILLGLPFPFASNSSTYPEKLSAYECGFDPFGDARSRFDIRFYLVSILFIIPDPEVTFFFPWAVPPNKIDPFGSWSMMAFLLILTIGSLYEWKRGASDRE >CAK8579052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670431549:670443524:1 gene:gene-LATHSAT_LOCUS31025 transcript:rna-LATHSAT_LOCUS31025 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPFALTEPPQDLKPEEHVYQVRFTKEIFRNYPDYLSRLNLYRQRVWACKVTGKTGLTYEEALVSEQRATEKFLQFPKEFMTPALKIIQYSMLPLKELANSIAEKLQERLFVGAELYGKKDDDVCPCRILKVIQEGVNKYRYEVAWLDKNKNISEKTEISAEDLVQKKPLFSRNILKSFIRESTYRNAPWVLHDKLAQNQGISTEVPEELRGKVFLKDGLIVCSKKRNNEETMEEADKLKKKKLDGTLVNGSAREKENVLCKEIPIKYPIDDLLVKPSPDDPVFTDRPPPSRDFNVPVHCVGDLLMVWDFCTSFGKQLHLWPYSLEDFENAICHKDSNVVLLVESHAALFRVLIKDDGEYTSVVEKRKLKKITMVNWREYLCDFLEMINIPRLRNYEATIKRGHYGLVDANAKLELLSELVNRVLETAVFREKLDMFIEQRHELRTSIREEALELSRKRREKKERLKADSESNGQHLVDSADILTNNNHIMQNGHMEKKINGEIESSRQDNSLGSSGIKHSSPASEKTPKNLDSELKEPPENGKEVSKKKSSKQLKAVKDSSDKNSIERRREYFEREIEKRTIRRSPLGKDRNYNRYWWLRRDGRIFVESCDSKEWGYYSSKEELDALMGSLNCKGERERELQNQLEKNYTSICSELQKRSKDLMQNIAIDESVLRRSTRVRAPPRENPANAFLKYVNKWKDE >CAK8539210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506688080:506688788:-1 gene:gene-LATHSAT_LOCUS8327 transcript:rna-LATHSAT_LOCUS8327 gene_biotype:protein_coding transcript_biotype:protein_coding MMYARWFIEKNFVKKEADFLKDKLELDLKTIFEEYNGGGTGGSQSDSAEPPVLVGRIGNPDYYYGVFLQSSGVKPSGVKSELTKYLEDGLEDDIPNFDILNWWKVYSSRLPILSNIARELLAIPVSTVASESAFSTGGRVLNEYRSRLTPKSVEALICTEDWLGGSPSPLPTQDDIEELEKIEREYFLQNQSNGGNSYDTFDED >CAK8570574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:62397873:62399066:1 gene:gene-LATHSAT_LOCUS23312 transcript:rna-LATHSAT_LOCUS23312 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRGVMAGQIGHGIMEEDVWRKGPWTSEEDRLLIEYVRLHGEGRWNCVARLTGLKRNGKSCRLRWVNYLRPDLKRGQITPQEENIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKNPSDAAEKAKNRILRKQLFHQQQQQLNYHQQQQQLQFNMDMKGLMSLFEENNNNSNNHRQEMFMCPNTTEEQQGNFMVNGNYCVPDSSSDDNLWDGLWNLDEVHGNFSNKTGLYNLVAPYC >CAK8561996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:423425364:423429796:1 gene:gene-LATHSAT_LOCUS15534 transcript:rna-LATHSAT_LOCUS15534 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIHRLNFIDFPHESYPDYEDFYFLPLFSLFFPSIRFLLDRFIFEKLARRLIFGKGNKMLDYQTDDKRKRINKFKESAWKCIYYLSAEILALYVTYDEPWFTNTRYFWVGPGNQVWPDQKIKLKLKVVYMYTAGFYSYSIFALIFWETRRSDFGVSMSHHVATFMLIVLSYICRFARVGSVVLAIHDASDVFLEIGKMSKYSGAEATASTAFILFVLSWVILRLIYYPFWILWSTSYEVLLTLDKEKHQVDGPIYYYMFNTLLFCLLVLHIYWWVLIYRMLVKQIQARGKLSEDVRSDSEDEHED >CAK8544845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708724484:708726650:1 gene:gene-LATHSAT_LOCUS13492 transcript:rna-LATHSAT_LOCUS13492-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIEEKTASELKERLWKIVKTIVDSDDYTLQNADDAIAALSSLKDFKSRSNSNSNRTNSFDDKLDQFAPPAEFRCPISTQLMIDPVILCTGQTYDRPFIQRWLNEGNRTCPQTQQVLSHTILTPNYLVRDMIAQWCKDRGLELPHPTRDTDEVVTNADRDRLNGLLHKLSLSVSDQKAAAKELRLLTKRTPSFRTLFKESGDVITQLLRPLSPGGACPHPDLQEDLITTVLNLSILDDNKKVFAEDPTLISLLIDALKWGTISTKSNAAAAIFTLSALDSNKLIIGKSGAIKHLLGLLEEGHTFAMKDAASAIYNLCLVHDNKERTVREGAVKVILNKIMNHILVDELLAILALLSSHPDAVEEMGNRNAVPFLMRNIRESTSERCKENCVAILYTICYSRRTKLKEIKEEEKANGTLSMLAQCGTSRAKRKANSILERLNRSQSLTHTA >CAK8544844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708724460:708726650:1 gene:gene-LATHSAT_LOCUS13492 transcript:rna-LATHSAT_LOCUS13492 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVYVTVMAAKIEEKTASELKERLWKIVKTIVDSDDYTLQNADDAIAALSSLKDFKSRSNSNSNRTNSFDDKLDQFAPPAEFRCPISTQLMIDPVILCTGQTYDRPFIQRWLNEGNRTCPQTQQVLSHTILTPNYLVRDMIAQWCKDRGLELPHPTRDTDEVVTNADRDRLNGLLHKLSLSVSDQKAAAKELRLLTKRTPSFRTLFKESGDVITQLLRPLSPGGACPHPDLQEDLITTVLNLSILDDNKKVFAEDPTLISLLIDALKWGTISTKSNAAAAIFTLSALDSNKLIIGKSGAIKHLLGLLEEGHTFAMKDAASAIYNLCLVHDNKERTVREGAVKVILNKIMNHILVDELLAILALLSSHPDAVEEMGNRNAVPFLMRNIRESTSERCKENCVAILYTICYSRRTKLKEIKEEEKANGTLSMLAQCGTSRAKRKANSILERLNRSQSLTHTA >CAK8560443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19692722:19693036:-1 gene:gene-LATHSAT_LOCUS14112 transcript:rna-LATHSAT_LOCUS14112 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISIQQQRAKRAENERKRRQNMNLEQRENYLSIRRDNYRWRKEQDKQAQTSHTMNSRRRVPFQNFTNMRSPIKHFQGTHDNEVGPSRITHVNDVALGW >CAK8566812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464663877:464665370:-1 gene:gene-LATHSAT_LOCUS19918 transcript:rna-LATHSAT_LOCUS19918 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQLLVKPVQQTWNCSHLLPPKPRFWLPRNPLTTVPESPPASEDATVAKLVLESDPSSLTETLSNHSFHWTPQLVNNVLKRLWNHGPKALQFFKHLERHPTYIHSSSSFEHGIDIAARLRDYNTAWAFMGRMRALRIGPTPRAFAILAERYATGGKAHRAVKVFLSMHEHGCHQDLNSFNTILDVLCKTRRVEMAHSLFKTFKGRFKCDSVSYNIMANGWCLIKRTPMALQVMKEMVERGITPTMVTYNTLLKGYFRCNQLREAWDFFLEMKKRKCEIDVVTYTTMVHGFGVAGEVKRSKRVFDAMVKEGLVPSVATYNALIQVLCKKDSVQNALLVFEEMVGKGCVPNLTTYNVVIRGLCHSGEMEKALEFMKRMEEHGCRPSVQTYNVVVRYYCDDGELEKGLELFEKMGNGTCLPNLDTYNILISAMFVRKKSEDLVVAGKLLMEMVGRGFLPRKFTFNRVLNGLVLMGNRDFANEIIRMQSRCGRVLRHMKL >CAK8532414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259081660:259089430:1 gene:gene-LATHSAT_LOCUS2123 transcript:rna-LATHSAT_LOCUS2123 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNFSVFEFWFCVICIVICARVCSPGRSDKETRDRFYGNMLNSSAPESNDGTLAKMFDRVLEKEFSENDTPEEPDKNSFNNSVADQQAVLETVAKITHDKAKRNDTREGNGTRSFQFQDVFSLESEDSDDVTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGIVFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVFGGLFQIVIFMFLCGILAMLCGGKLSEGVFVGSFLSMSSTAVVIKFLVERNSNNALHVQVTIGTLIFQDCAVGLLFALLPVLGGNSGLLQGIISMGKLLLVLSLYLTATSILTWSFVPRFLKLMMRLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVQFLWNHVDILLASVILVVVVKTAVVSIVTKAFGYSLKTAFVVGISLAQIGEFAFVLLGRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPAVMNLGVLMHWFPSENGSQNEGKASMIETNRML >CAK8540223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545913954:545916906:1 gene:gene-LATHSAT_LOCUS9249 transcript:rna-LATHSAT_LOCUS9249 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILIATIWIQAFTGTNFDFSSYSSTLKSVLKISQVELNYLATASDMGKVFGWSSGLALMYLPISIVMFIAAAMGLVGYGLQFLLINNFITLPYFLVFLLCLLSGCSICWFNTVCFVLCIKNFPVNRPLALSLTVSFNGVSAALYTLAANSINPSSDQLYLLLNALVPLFTSFAALLPILRQPPLSDTHSSHAARQNSVIFLTLNILAVFTGIYLLLFASSASDAAMSRLYFGGALVLLISPLCIPGVIYARDWFRHALHSSLRVESSSFILVHVNDLELHKELLTRQNSARSVSNGDGQHLLGENGRGFQRGKISDVNCEKFLGQDQLEMLGEEHSAADLVKRLDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGHSTRTSTLVTLYSSFSFFGRLLSATPDYIRNKFYFARTGWLTIALLPTPIAFILLASSDSAIALDIGTALIGLSSGFIFAAAVAVTSELFGPDSLSVNHNILITNIPIGSLLYGFMAATVYDANAISAPGNTNMIMSDSLVCMGRQCYFLTFIWWACISVIGLVSSMLLFLRTKHAYDCFERHRISAQPTLS >CAK8562981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563526706:563528493:1 gene:gene-LATHSAT_LOCUS16437 transcript:rna-LATHSAT_LOCUS16437 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPGSNSMLEDEKSLKEELLILILMGEQVMKMAHEAKSSKVECHELATKVEILCKSLRCVVRAVEANHKSLNDKPIRRMVREVTKNLEKTFALLRRCKKQGGVGVLKHMLTMRSNGDFLKVWNLLESCNGDMMWLLNIFESKGTDLSIPPIACNDPILAWVWTYVYALQLSNPIDRAEAATGLGSIARINDWNKFIIMEEGGVLPLLKVLKDSSFPDCQIAASNALVDIVSDDDQGRIVRFLISSHAIPVIVQALSDGVFRVRVSVANLVSAMADQDLLAREEFIVANVTKPLVSLLSIDTVMVEPVDARNGIHSLVLNMSDVGYDGSGCRRREANQSSELRNDVKVSCAKALWKLSKGCLLACRKITETKGLLCLAKIIESASDELKLNCLMAVMEIAAVAESNAALRRSAFRSTAPAAKAVLDQLVKVVREESDSKFLIPAIKSIGSLARNFPGKVPHVLGPIVSHLRNRDLNVAKEVVISMEKFVCDDNYNRFDHSKVILELDGIPKLMRLMQVNDHEQVYGLKLLCYLALNVGNSKVLEQEHVLISLEKFAHSVLPQNPDLKELFGKAIDHLTLFQPGVHYYRQPLGLLR >CAK8562982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563526730:563528493:1 gene:gene-LATHSAT_LOCUS16437 transcript:rna-LATHSAT_LOCUS16437-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDEKSLKEELLILILMGEQVMKMAHEAKSSKVECHELATKVEILCKSLRCVVRAVEANHKSLNDKPIRRMVREVTKNLEKTFALLRRCKKQGGVGVLKHMLTMRSNGDFLKVWNLLESCNGDMMWLLNIFESKGTDLSIPPIACNDPILAWVWTYVYALQLSNPIDRAEAATGLGSIARINDWNKFIIMEEGGVLPLLKVLKDSSFPDCQIAASNALVDIVSDDDQGRIVRFLISSHAIPVIVQALSDGVFRVRVSVANLVSAMADQDLLAREEFIVANVTKPLVSLLSIDTVMVEPVDARNGIHSLVLNMSDVGYDGSGCRRREANQSSELRNDVKVSCAKALWKLSKGCLLACRKITETKGLLCLAKIIESASDELKLNCLMAVMEIAAVAESNAALRRSAFRSTAPAAKAVLDQLVKVVREESDSKFLIPAIKSIGSLARNFPGKVPHVLGPIVSHLRNRDLNVAKEVVISMEKFVCDDNYNRFDHSKVILELDGIPKLMRLMQVNDHEQVYGLKLLCYLALNVGNSKVLEQEHVLISLEKFAHSVLPQNPDLKELFGKAIDHLTLFQPGVHYYRQPLGLLR >CAK8578340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621884142:621887699:-1 gene:gene-LATHSAT_LOCUS30357 transcript:rna-LATHSAT_LOCUS30357 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITNVSEYEAIAKEKLPKMIYDFYASGAEDQWTLKENRNAFSRIMFRPRVLIDVSKIDMTTSVLGFKISMPIMIAPTSMHKMAHPEGEYATARAASAAGTIMTLSTAASSSVEEVASTGPGIRFLQLYVFKDRNIVTQLVRRAENAGFKAILLTVDTAVGGHREANIKNRFTFPPHLRFKHFEAMVSERTKDPVSIVNGLYDRSLNWKDVKWLQTITSLPIILKGVLTAEDTRLAIQAGAAGVIVSNHGARQLDYVPATIMALEEVVQAAEGRVPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLVADGEAGVRKVLEMLHDELEITMALCGCPSLKDITRDHVVTERDHPRIAPRL >CAK8576877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521566934:521567311:1 gene:gene-LATHSAT_LOCUS29039 transcript:rna-LATHSAT_LOCUS29039 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVFKSFGLVVEVVIPPRRDKCSKRYGFVRFRKVENERIMAVKLNNIIIQGKKIYANVPRFQCDFTNAQSNEMRSRIMENRGRSINYQHGNDVRNLRSKKISYAQVVGGRNVGGGRNGAEPRQI >CAK8578386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625924860:625947956:1 gene:gene-LATHSAT_LOCUS30403 transcript:rna-LATHSAT_LOCUS30403 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTERTTARNRLRSFSPEADADSLHESSNRGTGRSHGNSIPLFKNVKIKLLIAVTFFFITLFLIRHFVDSVAEPHLPRVVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARTPQSLMAGLMWIGVKDGSNHLRHVCKHEDELSAYGWIKHNGRDYGHQVLVDHGLILTTEFLKSNGDGSGYGGDWAVRINVQIDKSKWNEEFEKGGQLFFYLADEGGNVLNVGREKLSIRESSLLASGSRTDIGDWQLHLKSTDDLKLHYSGFHTPHFHNLSDLVEKNLASQTRNHAQMQLSDSSDDSPNVLVFQIVGGFPFTTDIALISGTDSGSSSVEERVNSLTGASLSNELNYKEQAFDEKIEKTFNLAEKVDSESISVGKYAVGSLLGGIGYFYGQSKIAQSRTLNHREDDAYISYWPAELYTAVPCRPIFPRGFLWDEGFHQLVIWRWDIHISLDIIGHWLDLMNIDGWIPREQILGTEALSKIPEEYVPQHPTNANPPTLFLALSGIVNGLKNNEFNAKERSEISLFLECAFSRLEAWFQWLNKTQSGEQMSSYYWRGRDNGTTLELNLNSQTLSSGLDDYPRASHPSSDERHLDLRCWVLLAAQCMHSIEELLNKETKPEKNYGSTVKLLSDQESLNKMHFDYAYGAYFDFGNHTEKVRLEWKELKAENNHVTRQLVREVLESPVLRLVPHIGYVNFFPLMGKIIPSGSWILEKQLDHISNRSLLWSDYGLRSLATTSSLYMKYNNERDAPYWRGSIWINMNYRVLSALHHYSEENGPYQDRAKAMYKELRNNLIRNIVQNYQQTGFLWEQYDQNKGNGKGAHPFTGWTSLAVLIMAEAYDTI >CAK8535053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808712211:808716366:1 gene:gene-LATHSAT_LOCUS4536 transcript:rna-LATHSAT_LOCUS4536 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKQTQMNPNWAQLQQKLNLNGSKASRTYDSDDDTPNSILGKRKERLNDDSDDCEINPLDPVNDDASLTDAVAMDCEMVGVGQGNKSALARVSLVNKWGNIIYDEFVRPMERVVDYRTKISGIRPHDLRKAKDFCTAQKKVAELIHGRILVGHALSNDLKALLLSHPKKDIRDTSEYPPFLRSNGRVALRHLASEHLGAKIQTGEHCPVDDARAAMMLYQRNRREWEKSVKGNFRKQKKRKKNKDAKE >CAK8530759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47562010:47564188:1 gene:gene-LATHSAT_LOCUS602 transcript:rna-LATHSAT_LOCUS602 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKVHKTTTLISNLPFTTLFVDSTLPHGPYSNLSATHLQRQPNGYQRRRRREQMRLPCGSSMPLSRLFHSSLNCSFHYSCFQIPFPLSHPSSFMVLQEKMLISRLPLCSYQGKFMFLDNYRSFGSVSGVESDNEGGVNSESCSDPDEVNRVCKVIDELFALDRNMEAVLDECGVMLSHDLVVDVLHRFKHARKPAFRFFCWAGKMPGFEHDSRTYNSMMSILGKTRQFETMVALLEEMGEKGFLTMETFAIAIKAFASAKERKKAVGIFDMMKKYKIKVGVDAVNFLLDSLGAAKLVKEAQVVFEKLRDRFVPNLQTYTVLLNGWCKVRNLLEAGRVWNEMIDKGFPPDIVAHNIMLQGLLRCQKKSDSIKLFEVMKAKGPSPNVRSYTILIQDLCKRNMMREAVDYFSEMVDRGCQPDAALYTCLITGFGRQRKMDTVYDLLKEMRERGYPPDGRTYNALIKLMVSQHMPDDAVRVYKKMIQSGIEPSIHTYNMIMKSYFATKNYEMGRAVWDEMRHKGCCPDDNSYTVFIGGLIRQGRPDEACKYIEEMMQKGMKAPQLDYNKFGADFSKYGNPVILEELARKMNFAGALLKCMMHGLPMMSKSEKLLVCWKSQLPSILMIER >CAK8530760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47562010:47563863:1 gene:gene-LATHSAT_LOCUS602 transcript:rna-LATHSAT_LOCUS602-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKVHKTTTLISNLPFTTLFVDSTLPHGPYSNLSATHLQRQPNGYQRRRRREQMRLPCGSSMPLSRLFHSSLNCSFHYSCFQIPFPLSHPSSFMVLQEKMLISRLPLCSYQGKFMFLDNYRSFGSVSGVESDNEGGVNSESCSDPDEVNRVCKVIDELFALDRNMEAVLDECGVMLSHDLVVDVLHRFKHARKPAFRFFCWAGKMPGFEHDSRTYNSMMSILGKTRQFETMVALLEEMGEKGFLTMETFAIAIKAFASAKERKKAVGIFDMMKKYKIKVGVDAVNFLLDSLGAAKLVKEAQVVFEKLRDRFVPNLQTYTVLLNGWCKVRNLLEAGRVWNEMIDKGFPPDIVAHNIMLQGLLRCQKKSDSIKLFEVMKAKGPSPNVRSYTILIQDLCKRNMMREAVDYFSEMVDRGCQPDAALYTCLITGFGRQRKMDTVYDLLKEMRERGYPPDGRTYNALIKLMVSQHMPDDAVRVYKKMIQSGIEPSIHTYNMIMKSYFATKNYEMGRAVWDEMRHKGCCPDDNSYTVFIGGLIRQGRPDEACKYIEEMMQKGMKAPQLDYNKFGADFSKYGNPVILEELARKMNFAGKFEVSNVLASWVDMMKKNSKRTEAT >CAK8566094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379205853:379206746:1 gene:gene-LATHSAT_LOCUS19257 transcript:rna-LATHSAT_LOCUS19257 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIQESKISNMEDFVAYSMWSKMDVDFSFSNSIGFSGGLITLWKSDKVEALNSFKGEGFLGTKVIWKGKIYYVVNVYSSCVLSKKKDLWSNLLSLMDSHKDGEWIIGGDFNAVKDRSERKGRQDGENTNEMELFGNFINDSGLIDVPCKGKKFTWYNSNRNSMSRIDRFLISNSIVNDWGVTGQLVGPRDISDHCPIWLVSDKENWGPKPFKFNNEWFAKDDFLAFTEREWKDIHVEGRGDFVLKEKLKIFKDRLKWWNREVFGKIDLEVEERVGDINAGDALAETVAPGGLSLED >CAK8534571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743369912:743370751:1 gene:gene-LATHSAT_LOCUS4108 transcript:rna-LATHSAT_LOCUS4108 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNGEEEKVELGFNDVHETKTNKDWILLSLRVVAFFATTAATLVMALNKQTKSLVVGTVANNPITATLSAKFNQTPAFVFFVIANANASFHNLVMIALDVLGPQYDYKGLRLALVAILDMLTMALASAGDGAATFMSELGRNGNSHARWVKICDKFESYCNRGGGALIASFIGFILLLIITVMSIAKLLKPNRINHAISP >CAK8567949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558799037:558801292:1 gene:gene-LATHSAT_LOCUS20956 transcript:rna-LATHSAT_LOCUS20956 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDSNMLLYLPDDVFAIISRSFSPRDICNLSLCCKSLNSLVASEKIWLTQCEVLGIVPHKDLVEWRKGVSCYKALCRFLLKVQPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGMEDGPILWASVFEVVADFDGSTMFFLHGREKGVDYVYPGLAKSIDKYCNVLLLEVEPEKNKNVGSLLESKSFVHSSGLELSRKVGRSNSDICRSQIVMGNSEGNVSFSRLAFSDRRKLLEVTTSQVRQRVTDVVIGPLFPRLRDDEENFQKDLVLLRERILVLRQIHRLGCSETEMDDYKANSQGVVGATHLDFDDNCSRAVPDSLFEEDGSVQCVKRKGIRGYFWNSLKHILGRSNSVNDSHSASKKLTTHGEIRHARLQEFLRSSDTIRLTLNASNMKLSSYRAWPNMHDSRYALYKLPLRVPGADQEYAGLWGGAFGWPPGKPSEDKPGKALFFLLLSYEEFQGQQLLIATKILEGTHYVLHPNGSAMFIANINEPSSEPFPWNTDADSLPVNIKHAFTGEGIASGYGFRYPGSKPGSLFVFHNGVIAFIWKETRAVLTLQRLNLQELLKKGERIPSLLPIANFSYLTKSYSNVFAGIPTSSNSLSSPRKARR >CAK8539423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512398829:512399794:-1 gene:gene-LATHSAT_LOCUS8520 transcript:rna-LATHSAT_LOCUS8520 gene_biotype:protein_coding transcript_biotype:protein_coding MDQISSHGHSLPPPFHTARDLHLHHQHQQQQQQQQQHHQFHALQQQHTTDQDEQSGSSSGGGLNLTNREENSKFNADFNAKLDSGGGSGGDNDSMTRRPRGRPAGSKNKPKPPIIITRDSANALKTHVMEVADGCDVVESVNNFARRRQRGVCIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGALIASGPVVIMSASFSNAAYERLPLDEDDGSSLQQLQGGGGGGSPSGGGGGGVQQTQLLGDSTAPLFQAMPQPPNSNHNPNLLNSVMPSDNFWPTGRSPY >CAK8568882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650703123:650708356:1 gene:gene-LATHSAT_LOCUS21791 transcript:rna-LATHSAT_LOCUS21791 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVSSSCKDGSKIAMDNGKYVRYTPEQVEALERLYHECPKPTSLRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEAGRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSIFRHHTPNGAIATTDTSCESVVTSGQQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGSPGVAARACGLVGLEPARVAEILKDRLAWYRDCRTVDVLNVMSTGNGGTIELLYMQLYAPTTLAPGRDFWLLRYTSLLEDGSLVVCERSLNNIQNGPSMPPVPHFVRADVLPSGYLIRPCEGGGSIIHIVDHMLLEPWSVPEVLRPLYESSMLLAQRTTMAALRHLRQISQEVSQPSVTGWGRRPAALRSLSQRLSKGFNEAVNGFTDDGWSMLESDGIDDVTLLVNSSPSKMMGMNLGYNNSGFPSVTSSVLCAKASMLLQNVPPAILLRFLREHRSEWADTSIDAYSAAAIKAGPCSLPGTRPGSFGGQVILPLAHTIEHEEFMEVIKLENMGYYRDDMTMPGDIFLLQLCSGVDEHAVGTSAELIFAPIDASFSDDAPILPSGFRIIPLDSATDAASPNRTLDLASALEVGTTGNKAGGDNSGHSGSTKSVMTIAFQFAFDVHLQDNIAAMARQYVRSIVASVQRVSLALSPSRFGSQNTFHSPPGTPEAQTLTRWICNSYRFYLGVELLKSEGSEPILKSLWHHSDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGKKTLCTEFPQIMQQGFMCIQGGICLSSMGRPITYERAVAWKVLNEEESAHCICFMFINWSFV >CAK8541982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:447028662:447031023:1 gene:gene-LATHSAT_LOCUS10859 transcript:rna-LATHSAT_LOCUS10859 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLGSLDLSKPTSNDVVSCAKANSTCSIQPSIPSTPFSPADATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLIDEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISIGCNLPAIPHPTFSRDPVPFSLAPRLTNQIGLEAAVEAAAEFLNKAVKPVLVGGPNLRVAKASDAFVELADASGYALAVMPSAKGMVPEHHPHFIGTYWGAVSTAFCAEIVESADAYVFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIANGPAFGCVLMNDFLKALAKRLKHNNAAYENYHRIFVPDGKPLKSAPKEPLRVNVMFQHIQKMLSGETAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVFCEEELVEAIATATGPKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >CAK8543816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641232139:641232516:1 gene:gene-LATHSAT_LOCUS12548 transcript:rna-LATHSAT_LOCUS12548 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTDRTRASDQYPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSI >CAK8565399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:165427298:165427756:1 gene:gene-LATHSAT_LOCUS18617 transcript:rna-LATHSAT_LOCUS18617 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAEMFDILKKEEQLWFQCSRAKWLVKRDRNTKYHHFKVVQRRRQNHILMIKGEARKLDWEKTPYSFHSIELETKEELSKHLTRREIKQVLFYMSPWKAPGPDVFMQVSIKDLGILLAQVFVTLS >CAK8534197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703878554:703879415:1 gene:gene-LATHSAT_LOCUS3758 transcript:rna-LATHSAT_LOCUS3758 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIMHYHSSHKILLVGEGDFSFSLSLARAFGSAVKMVATSLDSRETLVLKYRSASSNLIELESLGCTIFHNVDAYNMTQHHYLKNECFDRIIFNFPHAGFVYRESNVFQILLHRRLVSGFLKSAKYMLTLLGEIHVSHKTSHPFCIWDVKGLAKNEGLMFIEEVDFQQSYYPGYRNKRGSGLQCDQSFPIRKSSTFKFSYMMYGEEEIVGL >CAK8535938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892320691:892322678:1 gene:gene-LATHSAT_LOCUS5352 transcript:rna-LATHSAT_LOCUS5352 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWFKSLLSHKKISSSTSTTTDQEKKINGDNKSGTTKKKWKLWKSSSEGSSSCSSMKKSGGGGTASVCDSSLTAAAVAVVVRAQPKDFNLIRQEWAAIRIQALFRAFLARRALRALRAVVRLQAIFRGRQVRKQAAVTLRCMQALVRVQARVRARNVRNSPEGKAVQQLLDDHRNQADSVKLIEQGWCEIPGTADEVKAKLRLRQEGAIKRDRAMAYSLSAQSRMSASPNSKSVTPLKHLHNRDNKSLGNNLLERWMANKPWESPMSNRKSEELVPTFQTRRNAMTTRISALKICQQTPSSSTISSEYMNDDSVISSSCTSGSPSIMPFSSTTVMAEAREEKDVPSYMSLTESTKAKLKAYRSSSQNSKRLTMDDCLSHNTNSTFLNGYNSISSSGSDPSVNIWKDRCATPLRASYYQKRYGRR >CAK8568968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660037782:660039335:-1 gene:gene-LATHSAT_LOCUS21871 transcript:rna-LATHSAT_LOCUS21871 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGDSCYFTLTKPRFLRPNTDSVSNVHKTRITKRVQCLRKCELIDFHQELIPYEVAWSLQKHIVKEKKSQIHTEGDCDDTLIVLQHPSVFTLGTASSDNNLNFDIKNPPFHVHRTERGGEVTYHGPGQLVMYPIINLRKHKMDLHWYLRKLEEVVIGVLSSTFSIRASRVEGLTGVWVGNEKVAAMGIRVAQWVTYHGLALNVTTDLSPFKWIVPCGIRDRQVGSIKGLLREARSSCNDHGTSDLHGLDDDSLIHITHKSLIEEFSKVFQLEYHYKTISVPMLCGSEGKEIAYQRNSKLEFL >CAK8536385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936914553:936917692:1 gene:gene-LATHSAT_LOCUS5760 transcript:rna-LATHSAT_LOCUS5760 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNDFVFDFQAKSSTDLKRKMKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHPKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKMKLNSTVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKSLLKCPTMPLTECLYKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKVEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEERQPIGPDEKTVSALSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKVVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKSSSKAFENVLGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEEADRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8541054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:49671075:49671284:-1 gene:gene-LATHSAT_LOCUS10005 transcript:rna-LATHSAT_LOCUS10005 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWAPPLIAAALFWLLSPGMIFQLPGKNAPFEFLNMKTTIASIFVHAVIYGLLLMLFFVVLNVHLYIT >CAK8539058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502650227:502653278:1 gene:gene-LATHSAT_LOCUS8192 transcript:rna-LATHSAT_LOCUS8192 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGIVDDGGVFATMVGGDQGDIIEELLGEGCWIEASENNMMAMQQTASPPQQHYMANNIPIGMGEGDHFNHHQVDQESGFVVGKRWWIGPRGSQGPSTSVKERLVVAVGYLKEYTKNSSNNVLIQIWVPALRRRSAIHYLQQDSSSSAPVSVNPNMNVHVRFFRSHEYPRHQQQQQQYGSLLALPVFERGSGTCLGVIEFVIANQNLINYRPQLDHLSNALEAVDFRSSHNMNIPPAVKVFEELYEAAVNEIVEVLASVCKTHNLPLALTWAPCIQQQGGGKGTAGGGGCSVSVTVPTDQMNNNNHMMMSCISTVDSACYVGDMEVLGFQEACSEYHLFNGQGIVGTAFTTTKPCFAIDITAFSKAEYPLAHHANMFGLHAAVAIPLRSVYTGSAADFVLEFFLPKDCRDTEQQKQMLNSLSLVVQQACRSLHLHVVMEDDNGNHHEDQDQFTFPTTTTNNYMPSSASDAATTASLSQVDAASGCSTKDTNSSSCSWIAHMMEAQNKGKGVSVSLEYLQEPKEEFKVTTCNWEREGNSVFSEFGQVMQHDQSSNSRASVEAGEESGGGGTGGGRRSSSSSSGRKSGDKRRTKAEKTISLPVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYASFPELSTAAAHGGGGGGGDHINNNSFYNSHGDLVTNLKSPPSACSQTHAAGNKSIINGDHHHHLVMTENLAAPSAVDALMQHASTINIQDYQQLQEDHDTKQLLLHFNNNSNNQTLPPRPTVTWNNNNSSSSGLLERGAFRVKATFEDEKIRFSLQAVWCFRDLQLEIARRFNLNDMNNLVLKYLDDEGEWVVLACDSDLEECKDLHTSSHTRTIRLSLFQASPLNNLANSFRNNSSSPSS >CAK8563035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568311865:568313058:-1 gene:gene-LATHSAT_LOCUS16484 transcript:rna-LATHSAT_LOCUS16484 gene_biotype:protein_coding transcript_biotype:protein_coding MRENIVLFGDSITEQSFENGWGSSLANHYSRKADVIVRGYDGYNTRWALCLLNHIFPLESRKPPVATTVFFGANDAALIGRTRERLHVPIPEYKQNLKKIVNHLKSISPTMLIVLLTPPPVCEEGRRAFAISLYGDNASIKLSERTNEVTGKYAKACVETAKEMGVPYIDIWSKMQETDGWKKKFLCDGLHLTVDGNAVVYEQVIKVFNEAGLSADKMPLDFPDYTEIDHKNPESSFQQKD >CAK8577384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559268547:559269014:-1 gene:gene-LATHSAT_LOCUS29497 transcript:rna-LATHSAT_LOCUS29497 gene_biotype:protein_coding transcript_biotype:protein_coding MAELWGLFEGIKLASMLGFKKVEVNVDSNLVVNAVERGGAYMRESLAIIRQIQRMTFFKEVRLVHSYKEANRCVNALVNTGCSFSPSFVVFDSMPDFLMSLLDADARDLLTARLMCVVRFFFGPKALSVTKKSLAFTFNWSLLKHLNPNHTHFSV >CAK8560525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23371537:23380380:1 gene:gene-LATHSAT_LOCUS14189 transcript:rna-LATHSAT_LOCUS14189 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVYFCLSMDAIDKAFSELVSIIKSWVPWQSEPANVSRDFWMPDHSCRVCYECDAQFSLFNRRHHCRLCGRIFCSKCTTNSVPAPFSGSQRNSWDECEKIRVCNYCYKQWEQGIVSFDSSGQVSNLERTMSASSVASSKTCATANSNITICSMPYSVGSYKQKQQSSFVNNLHQSPNRGKETDREGLSSIGVRSIDLVEDLGDPLTKQYGFSLSRSEDDEDEYDVYRSDSDIRQYPQVNSYYGQAVLDGINNIDGSQKVHPDGENVDAKLSSNYNFDARGLEGTPVIAKNEDEPDICDENEAPSSLYVSEDVDAEPVDFENNGLLWLPPEPEDEEDDREVIGYDDDDDNDGNSTGEWGYPRSSSSFGSGEYRQRDRSNEEHKKVMKNVVDGHFRALVSQLLQVENLPVEDNNKNSWLEIIISLSWEAANLLKPDMSKGGGMDLAGYSKVKCIACGSRIESVVVKGVVCKKNVAHRRMTSKVDKPRLLILGGALEYQRVTNLLSSVDTLLQQETDHLKMAVAKIASHQPSILLVEKSVSRYAQEYLLAKDITLVLNVKRPLLERLARCTGTQIVPSIDHLSSQKLGYCETFHVEKFLEDLIDAVQGAKKPMKTLMFFEGCPKPLGCTILLRGADMDELKKVKHVVQYAVFAAYHLAMETSFLADEGVSLPELPLNSLALPNKSSSIQRSISTVPGFSVLGIEKSQIHDPNTGPRRSKSVTVAELVSPVCNTGSLSNGYSLSLPSGTNLNHSAALYSSIVASGDDIPELHPRKLLSKQPLVKETSAVDNTLVVMDDTFVNGTKTSEQIYQGILAENSKNGHSQIYANQLSASESLSPNDAQNHTEKKLVTTNEEPVPQKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCDSCDMPSEAHVHCYTHRQGTLTISVKKLPEIILPGEREGKIWMWHRCLRCPRISGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPHKLNFDHGKQDWIQKESDEMVNRAELLFSEVLNGLSQIGKKKSNSVQVAELEGMLQREKLEFEETLKKILNQEKRNGQPGIDILEINRLWRQLLFQSYMWDHRLVYADSLANSNNETGLNNSISEDKEIPIDENLMTGVSLAGRGFGSADSINGLVDAKSSQSDAFHQEIDVAKNKQNKKEQPNLSISKSTNDQSDLLEPELGVRRALSEGPFPVVPSLSDTLDAKWTGENQSGIGIQKDSTSINPDISIADALTTTTQRETYYLGDRAEDQNGSKSIFSALKSHDNLEDSLSWLGMPFVNFYRQFNKGLFASSQKIETLVDYNPVFVSSYGKLGLQVGARMLLPDGVNDTIIPIYDDEPSSIIAHALMSSEYHFQLSDDSEKPKDGSELASSYFSDSGAFQSFSSADDTAFDSQKSFGSIEDMILSMSGSRNSSMLDPLMYTKAMHARVSFEEVGPLGKVKYSVTVYYAKRFEALRRVCCPSELDYIRSLSRCKKWRAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENLLFRRTVTRLYDLKGSSRSRYNPDSTGKNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTGFLASVDVMDYSLLVGVDEEKDELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFIMLPDQWSPSSIIPSHSQSDLVEEKENKAKEKKEKENSTQSRPLAE >CAK8562271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463764065:463771104:-1 gene:gene-LATHSAT_LOCUS15782 transcript:rna-LATHSAT_LOCUS15782 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDSFELLTDCPSKIESVESYGSKILLGCADGSLLVYAPKSTRSATVPEMRKESYTLETNLKGFAKKAVVSMQVAESRELLLSLSESIAFHKLSTFEIIAVITKAKGAHAFCWDEHRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVPDVVKSMSWCGDNICLGIRKEYVILNATNGAISEVFTSGRLAPPLVVSLPSGELLLGKENIGVIVDQNGKLRPEGRICWSEAPTEVVIQKPYALALLPRFVEIRSLRAPYPLIQTVVFRNVRHLRQSNNSVIIALENSVRGLFPVPLGAQIVQLTAAGNFEEALSLCKLLPSEESNLRAAKESSIHIRYAHYLFDNGSYEESMEHFLASQIDITHVLSLYSSIILPKTTIVHEADKLDFGDDLHLSRGSSSLSDDMEPSPASNMSELDDSAELESKKMSHNMLMALIKFLQKKRHSIIEKATAEGTEEVVFDAVGNNFESYNSNRFKKINKGRGSIPVSSGAREMASVLDTALLQALLLTGQPSMAENLLKGLNYCDLKICEEILQEGSYHVALVELYKCNSKHREALELINKLAKESKASQSNVAHRFKPEAIIEYLKPLCETDPTLALEYSMLVLESCPTQTIELFLSGNIPADMVNLYLKQHAPNLQSTYLELVLAMNEDAVSGTLQNEMVQIYLSEVLDWHGDLCSQQQWDEKVYSPKRKKLLSALESISGYNPETLLKLLPSDALYEERAILLGKMNQHELALSLYVHKLHVPELALSYCDHVYESTHKASGKSTSNIYLMLLQIYLNPRRTTKNYENKISSILSPRSKSLRKVRSKSFSKSKSLGSKKIAAIEVAEDTKASQSSDSGRSDVDTDEFIEEGDISIMLDEALDLLSRRWDRINGAQALKLLPKETKLQNLLPFLGPLVRKSSEMYRNCSVVRSLRQSENLQVKDELYNKRKAVIKISGDNMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAQGTPFKKPF >CAK8544975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715466959:715471381:1 gene:gene-LATHSAT_LOCUS13612 transcript:rna-LATHSAT_LOCUS13612 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVLVNTSMQSNTAKWDKFLPPTMLRVLLVEPDDSTRHIISALLRKCGYKVAAVRDGLKAWETLKNKSCDIDIVLTEVDVPSISGFSLLTSIMEHDNCKKVPVIMMSSHDSVSTVFKCMQNGAVDFLIKPVRRNELRNLWQHVWRRHTISMPPQNLTFQHDKLDVAEENNTASNNSSGSVASTQKNIECIEKNSEAQVEDVSQLKSSSSLSNTDQVKHENSTKYEWESTEYNNEAGEKSTLTAPKAAGCDKISTGLRLGQSYEYNETENQDEEGLRTELGKANPHVNTKIHQRDDELEEHSAGAIDLMATFENLPKSSYADCSFNGGNTAKFEYDTQLELSLQRDYPGSSSKPTTEERQILNHSHASPFARYGNSMMLQPLFPTNNSYESQKLSESTNNTYQCDGKNQKEDSNTYLVIGQSVQVDAKFPNSQHEFFPAATTGDTSDNKSMEHDNVFHSISNARSDNPTWTPKSMFQKESSPFPTSISSHSNPKSQNSEARQWSDDTTYTCDLNKNDQSNIDCAMHDSSSNGQNCGTSFYHDSENRNTSGEGTGSGSDGNARSSLVGKNHLESSISNDHYDELRGTNSYHTSQREAALIKFRLKRKDRCYDKKVRYESRKRQADKRPRVKGQFVRQVQSEVVVDIGGY >CAK8562090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439635670:439636488:1 gene:gene-LATHSAT_LOCUS15617 transcript:rna-LATHSAT_LOCUS15617 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSPSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSWSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVMCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGYLNGQLSVTRAIGDWHMEGMKGKDGGPLNATFTT >CAK8536727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11293038:11295058:-1 gene:gene-LATHSAT_LOCUS6061 transcript:rna-LATHSAT_LOCUS6061 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHIKHVHKPIRLLFLLFILANCGVSFPSQPQGKRQWHMTSDVEEVAGKSYDYIIVGGGTCGCPLAATLSHNFSVLLIERGGSPYGNPLVIDRRYYGFPLIQKDSQHMTVAQRFTSQDGVENVRGRVLGGSSAINGGFYSRASDEFVERVGWDKMLVKEAYEWVESKVVFHPYFLTPWQSVAEFSLLEAGILPYNGYSLEHLKGTKISGSVFDGFGQRHTSADLLEAANPKNLTVLVNATVKSIIFHHNGDKNETSAKGIKFIKSNGSLDETYEAYIKKPKSSTSRGDVILSAGALGSPQLLLLSGIGPKEQLKSFNIPLVHEMKEVGQGMQDNPCIGILVDSKPENRLPDPPQIVGITKDFKIIIQALILPLSANQSRVSIAAKIAVPFSKGYLELNNTDPRLNPSVKFNYLENENDMEECVKMTKLLNRIARSKSIALFLGESPKNKLTSTEFGLRKFCKKNVRTFYHYHGGCSVGSVVDKNYKVRGVRGLRVLDGSTFSESPGTNPMATLLMLGRYQGLKILQERETDSCLNVKENTYYDFLCYLRAKTRHHLGLRKFSTFVYIVNLSFRFSSQN >CAK8560712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37479643:37483183:-1 gene:gene-LATHSAT_LOCUS14367 transcript:rna-LATHSAT_LOCUS14367 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAKLRLVRCPKCQNVLHEQPSYSVYQCGGCGTVLRGKVNNGNGNGSLWEGSDEGQGGGVFGKSGNSFRKDVGFLSDGSDVDVKSNGGFSTEDRRDSERLNKERERIVNRSVDASEKGILERGFDVNKGKDNEGGKAIRMEQHEPDFQVGGSSFPRRMSNWPNEERVEEEGFRRNQSADMESVRFSTLNYPDEGTSRFSYNHGDQWSNYEEMDGMSRVRHLEQDRAELLRKLDELSKQLSNSSEMVNNAKEKGHPELRTVPPDPRSGSDIRFPDGPSGLDRATPGQFFDPSKHVTAPPYYNHHRDPYGYTSGREMAMHNFHPSMHNPGYIPGYGDPFGSQMTRGPHPLSHQFPQQLMHPYFPGQYADTGPDSYGQYAHNPIPHPPSCSCFHCYNNKRRGSMPAPPAMFLNNRFPHTSNDPMLYRNDIPGKVPQHAHNNSRTAIPAASSASSQEKQLHARLVSDFDSERGGFAASRSQNVMPANGSQRCRPIAGGSPFITCHNCFELLQLPKKVLVKVKSRKQKMRCGACSSEINVSVINKKLVTSHAEMEENTARIEDTSIEVVNNRVSYSHGHVNTNGVNFSSDDYSGYDFHSLDRGSPAVASDPSLNSRKLQEMQSFHSSSPSISEDENISEVMTAPSEVTKSIQPTKADVSPIPAAGSPLQEYLDYSTNSHAVNRFGKGNQSGRSEQEKVAKLEKNTSRQNSVKEVVLASEMDVIDYSNSGISQDYADTSREHDRARSSKGGDSFFANIFKKGSRGSSLTDKSDDSEKCVVTVNGQPLSDRVVKKAEKIAGPIQPGNYWYDSRAGFWGVIGGPCLGIIPPFIEEFNYPIPDKCAGGNTDVFVNGRELHQKDLDLLSRRGLPNENDRSYIVEISGRVLDVDTGEELDGLGKLAPTVEKAKHGFGMKVPRSAAA >CAK8533888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667729696:667730489:-1 gene:gene-LATHSAT_LOCUS3476 transcript:rna-LATHSAT_LOCUS3476 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRVQLFVMTILSLALAFVVPSINAQIPAPAPAPTSDGTTLDQGVACVLMLLALALTYIIH >CAK8564045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647778369:647782811:1 gene:gene-LATHSAT_LOCUS17389 transcript:rna-LATHSAT_LOCUS17389-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVFGRLNQLFKRVSSVNKSAGPFDALLCVGQFFPDSPELLDDFMSYIEGASHIPLPTYFIGDYGVAAPKVLMAATKDSANRGFKMDGFKVCDNLYWLKGSGKFNLFGLSVTYLSGRKSSNVQQFGTFSEDDVDALRAIAEEPGVVDFGVTNGAAASDIPAEFSESSGSDSIISELVQEIKPRYHIAGSKGIYYAREPYSNVDAVHITRFIGLASVGNRDKQKFIHAISPTPASTISSTEIAMKTTNTTLSPYTSTKEKASPNDTAKRPGDSDSQHWRYDVSQKRQKHDAGDKLCFKFVSSGSCLRGDTCNFRHDTDAREHCLRGVCFDFLNKGKCERGPDCRFRHSLQDEGDKHPSRKPGSENTRSSRSRECWFCLSSPNVESHLIISIGENYYLALAKGPLVEDHVLIVPVEHMPSTLSLSSESEAELIRFQNSLKRCFKNQEKEVIFFEWASIRGTHANLQVIPIPSSKAVMIEKAFNLAAQKLGFEFVVKKFDSISDGRKFLKTQTDGNSSLFYAEIPGGTILLHHVEEKDTFPAQFGREVLAGLLNMADNADWRNRKHNKDEEMKIVEDFKDRFQEYDPNR >CAK8564044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647778369:647782811:1 gene:gene-LATHSAT_LOCUS17389 transcript:rna-LATHSAT_LOCUS17389 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVFGRLNQLFKRVSSVNKSAGPFDALLCVGQFFPDSPELLDDFMSYIEGASHIPLPTYFIGDYGVAAPKVLMAATKDSANRGFKMDGFKVCDNLYWLKGSGKFNLFGLSVTYLSGRKSSNVQQFGTFSEDDVDALRAIAEEPGVVDLFLTNEWPSGVTNGAAASDIPAEFSESSGSDSIISELVQEIKPRYHIAGSKGIYYAREPYSNVDAVHITRFIGLASVGNRDKQKFIHAISPTPASTISSTEIAMKTTNTTLSPYTSTKEKASPNDTAKRPGDSDSQHWRYDVSQKRQKHDAGDKLCFKFVSSGSCLRGDTCNFRHDTDAREHCLRGVCFDFLNKGKCERGPDCRFRHSLQDEGDKHPSRKPGSENTRSSRSRECWFCLSSPNVESHLIISIGENYYLALAKGPLVEDHVLIVPVEHMPSTLSLSSESEAELIRFQNSLKRCFKNQEKEVIFFEWASIRGTHANLQVIPIPSSKAVMIEKAFNLAAQKLGFEFVVKKFDSISDGRKFLKTQTDGNSSLFYAEIPGGTILLHHVEEKDTFPAQFGREVLAGLLNMADNADWRNRKHNKDEEMKIVEDFKDRFQEYDPNR >CAK8575674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:246307411:246310814:-1 gene:gene-LATHSAT_LOCUS27917 transcript:rna-LATHSAT_LOCUS27917 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSGSNGDMITPSCLEVGFCGQKRARRTFSDHVISLHHLSSLPSRIFANGKSRGSCIFTQQGRKGINQDAMVVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSHESKQKELGKACFKRNVKPDGGDSEKDCSAEDKLNSTWREAFMKAYKAMDRELKSHSNLDCFCSGSTSVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKQCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARTLVNSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDNEEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETCGTVSVDVEDGTASADDQNWSGLEGVTRVNSLVQLPRFSTERSNS >CAK8562270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463749630:463750822:-1 gene:gene-LATHSAT_LOCUS15781 transcript:rna-LATHSAT_LOCUS15781 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVCELKGIKNRISKLCRGSKSVAIDVTPTEPNQQGQWATLPPELLSDIIRRLEESETSWPERTVLIFCGSVCKSWRSVTKEIIKTPQQRGQITFPISLKLSGPRVYAMRCFIKRNKQNSTFLLYLDSVPAENESSKLLLAAKRIRRTKFVISLAADDFSRARNKYVGKLRSNFWGMKFTIYDGHPSIFDETLSSSRELKGKRRMKDTFSVSLSEPLERKHNAPGWDEQRLLRFLDDKDHAVVSSIKNYELLAVLNGSRDVSPLEQENVILHFGKTPTKDIYIMDYYYPLSAFQAFAISLTAM >CAK8573425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611552585:611553478:1 gene:gene-LATHSAT_LOCUS25872 transcript:rna-LATHSAT_LOCUS25872 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSHTFLPLIFLSFLTLAASSHAGGIAIYWGQNGNEGTLSETCATGKYTHVIIAFLNVFGNGQTPEMNLAGHCNPSTNSCTKFSSEIKDCQSKGIKVLLSIGGGIGSYTLSSIEDARNVSTFLWNTFLGGKSSSRPLGDAVLDGIDFDIELGSTQNYQHLARFLKGYCRFGKKVYLGAAPQCPIPDKFLGTALQTGLFDFVWVQFYNNPPCQYNGSITNLVSSWNQWTKNVPARKIFLGLPAATAAAGSGFIPADVLSTEILPVIKKSRNYGGVMLWSRFYDLQTGYSSSIIGSV >CAK8569766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9950080:9951036:-1 gene:gene-LATHSAT_LOCUS22579 transcript:rna-LATHSAT_LOCUS22579-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSQSESVKKNRASKVWQNYSVRLVVIFLTAATVIGSIATGADAIYEQEETLSNIPQTLSGDSKKPKIQKPKSRKAESCTGKCVTTCIRGGEGSPGEGPLNVTRPLVVFKQGFRSRHYCLVECSDICNLMSNTDDMP >CAK8569765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9950080:9951114:-1 gene:gene-LATHSAT_LOCUS22579 transcript:rna-LATHSAT_LOCUS22579 gene_biotype:protein_coding transcript_biotype:protein_coding MLICGPRFGASLPFVRVGGFHKNKWSMSCKSQSESVKKNRASKVWQNYSVRLVVIFLTAATVIGSIATGADAIYEQEETLSNIPQTLSGDSKKPKIQKPKSRKAESCTGKCVTTCIRGGEGSPGEGPLNVTRPLVVFKQGFRSRHYCLVECSDICNLMSNTDDMP >CAK8531490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115157726:115160448:1 gene:gene-LATHSAT_LOCUS1278 transcript:rna-LATHSAT_LOCUS1278-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASSSSTLSTGLRLHSPTPQLCRRWKRIGPNFVRIMPTRSCLDDTSSLLTAAQYTVDTYVKSGMVVGLGSGHASGMAIQHLGRQLHLGNLKDIVGIPTSVASASEAAKAGIPLITYQGSSQIDFAFDDADGVEEGTFVTIIGRRKLQSEESIIQEKSILNAADKLAFIIEESQYKGGLEGSIPVLIQSLNWLAIAEEIDDMFLGDAEVWRRASIGQAGPLGGNFPLVTREGHNVLDVIFTSPIPSLAEVAKILDKVDGVVDHGVISKIPCTVVIASPNGLNVLEKLTADIVSY >CAK8531489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115157726:115163818:1 gene:gene-LATHSAT_LOCUS1278 transcript:rna-LATHSAT_LOCUS1278 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASSSSTLSTGLRLHSPTPQLCRRWKRIGPNFVRIMPTRSCLDDTSSLLTAAQYTVDTYVKSGMVVGLGSGHASGMAIQHLGRQLHLGNLKDIVGIPTSVASASEAAKAGIPLITYQGSSQIDFAFDDADGVEEGTFVTIIGRRKLQSEESIIQEKSILNAADKLAFIIEESQYKGGLEGSIPVLIQSLNWLAIAEEIDDMFLGDAEVWRRASIGQAGPLGGNFPLVTREGHNVLDVIFTSPIPSLAEVAKILDKVDGVVDHGVISKIPCTVVIASPNGLNVLEKLTADIMLKRLMSR >CAK8543057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572528703:572531129:1 gene:gene-LATHSAT_LOCUS11844 transcript:rna-LATHSAT_LOCUS11844 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKFIPVSKFSSLHQRAHQCFSSATPFLQKDADFSALFNSCANVNLTKQFHALLVVFGKSQNVVLSTKLINLYVTLGDISLSRSTFNHINKKNIYSWNSIISAYVRFGQYNEAMNCVNELFSMSDDLRPDFYTFPPILKACVDFVDGKKVHCCVFKMGFEHDVFVAASLISLYSRFGVLDVAYKVFVDMPVKDVGSWNAMISGFCQTGDAAGALGVLNRMKGEEVKMDTVTVSSILPVCAQSGDIVNGVLIHLYVLKHGLDTDVFVSNGLINMYSKFGWLRDAERVFDHMEVRDLVSWNSIIAAYEQNNDPNTALRFFKGMQLVGIWPDLLTVVSLTSIFSQLSDQRVSRSIHGFVMRRGWIEKDVVIGNALVNMYAKLGDMNYAHTVFDQLPSKDVISWNTLITGYTQNGLASEAIDAYNMMEECKNIIPNQGTWVSIIPAYSHVGALQQGMKIHGRLIRNSLYLDVFVATCLIDMYGKCGKLEDAMSLFYEIPQETSVPWNAIISSLGVHGHGEEALQLFKDMLAEGVEADHITFVSLLSACSHSGLVDEGQKCFDIMQKEYGIKPSLKHYGCMVDLLGRAGYLEKAYDLVKNMPVQPDASIWGALLSACRIHGNAELGTLASHRLLEVDSENVGYYVLLSNIYANTGKWEGVVKVRSLARDRGLRKTPGWSSIVAGSKVEVFYTGNQTHPKYAEIYKELRVLSAKMKNLGYVPDYSFVLQDVEEDEKEQILNSHSERLAIAFGTISTPPRSPIRIFKNLRVCGDCHNATKYMSRITEREIIVRDSNRFHHFKDGICSCGDYW >CAK8579655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714949828:714951024:-1 gene:gene-LATHSAT_LOCUS31585 transcript:rna-LATHSAT_LOCUS31585 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEHRLNLLNEHTEKHFTASHVVRDIIIGVSDGLTVPFALTAGLSGANITSSIVLTAGIAEVAAGAISMGLGGYLAAKSEVDHYNRELKREQEEIIDVPETEAAEVGEILAEYGIQEHVYEPVVKALTKNPQAWLDFMMKFELGLEKPDPKRALYSAMTIAIGYILGGLVPLLPYIFIQNTSQAVMFSVLVTLIALLIFGFVKGYFTGNKPIRSALETALIGAIASATAFGLAKAIHT >CAK8575581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:184660785:184661043:-1 gene:gene-LATHSAT_LOCUS27834 transcript:rna-LATHSAT_LOCUS27834 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLGLRGATIEEYCSIEHLR >CAK8561607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:318505690:318506427:-1 gene:gene-LATHSAT_LOCUS15184 transcript:rna-LATHSAT_LOCUS15184 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQTVDAAALVIQHPSGKIERLYWSVSASYVMKANPGHYVSLIMPLPQGENSSNNEEEKKKPVLFTRVKLLKPDDTLTLGHAYRLITTQEVEKVLKAKKKRTHGKTEEGEEMVELEKESSACESEGMLNTGKMYQAMRADKQRLKAAPVNPATPRPKSWRPSLQSISEFSI >CAK8578231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613651899:613653298:1 gene:gene-LATHSAT_LOCUS30261 transcript:rna-LATHSAT_LOCUS30261 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVWLLAVTVATLLSTTLTEAQTEANFNCSAEAATCRSLTDYTSTNTTTLKEIETLFGVKHFLDLLGANNLPSDTKNTYKVSPNTVIKVPFPCKCGKGTGKSNNLPRYKIKPGDTLSQIAMVRFAGLMTYQQIQTANKIPDANNITAGDTLWIPLPCSCDRVDDSSVMHYAHLVEPGSSIDIIAQKYGTTSQTLLSINGINDPKTLKAGQVLDVPLPVCNTNVRNNSVDFPLLVPKGTYFYTANNCVKCKCDSTGSGNNNSMLQCEESKLKSINQWSVCPSAKCSGNVLIGNTTVTDSCNRTFCDYAGYTSRNISTVLATQNTCAVAPSGSAGSGSGGSDSGASRSILNGWVWNKLLILIHFLLFFVYLL >CAK8566925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473087696:473090387:1 gene:gene-LATHSAT_LOCUS20021 transcript:rna-LATHSAT_LOCUS20021 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNLLRFLVLSFGLTLVLLLTWFHLPTSFLNKETLDCNIYSGWCTSKNRFQSSNPINKPTFSTHNQKPRHESDVPRHPLDPLTIKEFNEVRAILSEHPLFKSSNSYTLNSVVLDEPEKELVLKWRKGQPLLPRKVSVVALVKGVVHTLVIDLSTRQVTNETRSPSSGYPTMTVEDMQSVSDVPLKSKEFNRTIIKRGVNLSDLLCLPLAAGWYGTPVEENRRLIKVQCYSSKGTVNFYMKPIEGLTVLVDMDKKEVVSITDNGVNIPVANGIDTDYRYSVQKLNGESNYLINPISLEQPKGPSFTVDGHIVKWANWEFHLKPDPRAGIIISQAKVRDTDSSEMRNVIYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGLFASADGTPFVQPNMICIFESYAGDIAWRHAECPITDMKVKEVRPKVTLVVRMAAAVANYDYIIDWEFQTDGLIRSKVGLSGILMVKGTTYENMNQVPDQEYLYGTLLSENIIGVIHDHFITYYLDMDIDGSDNSFIKVNIKKQETSPGESPRKSYLKAVRKVAKTEKDAQIKLQLYDPSEFHVVNPLKKTRLGNPVGYKLVPGATAASLLDHEDKAQKRAAFTNNQIWVTPYNKSEQWAGGLLVYQSQGDDTLQVWSNRDRPIENKDIVLWYTVGFHHVPSQEDYPIMPTVSASFDLKPVNFFERNPILRMPPNFQEDLPVCKAQDSA >CAK8579330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692051786:692052058:-1 gene:gene-LATHSAT_LOCUS31283 transcript:rna-LATHSAT_LOCUS31283 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFLVLSRDKATSLANLEASETQHPSKSIKVVDYELLETDSGIRPLILSYHPDIQNEVRKAYLNIGRHQAPQNFVYPWSAQGKQRRRF >CAK8575062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25510390:25510719:1 gene:gene-LATHSAT_LOCUS27353 transcript:rna-LATHSAT_LOCUS27353 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVQIGSSDVKSNDQEHETLQFCTQVSIENINHVEEVASAPVVNMSKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRIGEAFTKHRDINYKERKSMALKGR >CAK8574285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673984283:673988680:1 gene:gene-LATHSAT_LOCUS26644 transcript:rna-LATHSAT_LOCUS26644 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLSSSTIINSSNLGFQLLKKQGWKEGTGLGISEQGRLEPVETHMKNNKRGLGADKVKKRIVKPDNCADSSKGDNKQEHLSKKKTKALSKRVRKMQELEKKMQEKEFERAFFREFWPDNV >CAK8567798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546157210:546157800:-1 gene:gene-LATHSAT_LOCUS20820 transcript:rna-LATHSAT_LOCUS20820 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSAEYENGVMKFLQFDERNAKKNLPPPKSNAEKSNHLLYLCSCVLCENQEPKLNKKEIMDRLIYEGICQSYTQWIWHGEVLENSNVSQRDNVSVEMDDCLEDMMRDIGQDSFKRAHAYDTLCSDKDKPLYPGCTNFTRLSAVLKLFNLKVNNGWTDKRFTELFNLKFYPGCTNFTHLEGRLHSNNI >CAK8571376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:393745001:393745390:-1 gene:gene-LATHSAT_LOCUS24043 transcript:rna-LATHSAT_LOCUS24043 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKMVSLKKLAKKVKSSSSGGGETNNDPPHQECLLKGYEEEFCTSTTPTGYFALYVGDEHQRYVVPTSYLSHPLFKMLLEKSYNEFGFQQRNGLVVPCSVDAFQEVVNAIECNNGKFHLGKIFHDFV >CAK8573262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598652274:598654391:-1 gene:gene-LATHSAT_LOCUS25732 transcript:rna-LATHSAT_LOCUS25732 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATLSTLSSSFQTHSSISQTSTKSHFSISPRFSSQSYNLSFQSTFSQRFSLLPIPKSTESSLAVVESESEVSPTEPESDSTQIVQSPPWEKGLFAVVMIGGRQYIVHPGRWLVVQRLKDVKVNDKIALHKVLLVGTDTSCYIGRPIVTNAAVYATVEDQGLDSKVVVFKYKRKKHYQRTIGHRQPNTRIRINSIMGYEDYPKVTMENINLESS >CAK8536025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899619392:899622682:-1 gene:gene-LATHSAT_LOCUS5428 transcript:rna-LATHSAT_LOCUS5428 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDRTDSPTRDQKVVSIECLRGSSKADEWTGDMLQTGDIVEELRIGTKSNSLVRFNSPFKGGKSGIQKILQEAYKKKETSIMIRVRRGLDEFAELQACIVPNDSSGKKQFVLRSICDPNYVVGFLDRTEVECFEIQGSRSSRMVNALTRTKLQDGYVSYPWERRMQEMLTVPNSSNFLSILLLPKASDRVASRYNDLEDTLARANAWINAGQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLADLSNLANASLYGFEDYHGIDIGVVRAVRLWYAPVGGELSIEIKLKEEDSKLGFAISRTEEGFIFISSVINQENVPASRSGLSSLYKLATDTCRLLVVSRVSNQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHTRVPILLHVFLWDRSLVNSSGGSNRFRALSPPAMQPLPSEVQIGRFSNETHTLPLPPEASDPTDITNEVSHSRLERDTAGEASFRFHDFSLSSNWV >CAK8577349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556456346:556456862:1 gene:gene-LATHSAT_LOCUS29463 transcript:rna-LATHSAT_LOCUS29463 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKKGVIILPDIYANAGGVTVSYFEWVQNTQGFMWDEDKVNLELKRYMTRAFKNIKGMCKTHNYDLRMGAFTLGLNRVARAMLLRDWEA >CAK8579647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714445971:714454184:-1 gene:gene-LATHSAT_LOCUS31577 transcript:rna-LATHSAT_LOCUS31577 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIVEKALESLGKGFDLTSDFRLKFCKGEERLILINEIEKRELTVPGFGSIQDVSVDIKCDKGDRTRYQSDILTFTQMSELFNRKSSIPGKIPSGYFNSVFGFDYGSWASEAAKTKCLGLDGYLIRLFNLHIDPFPLLLSKKIVQAVPSSWDPPALARFIENFGTHILVGLSIGGKDLLLVKQDVSSNLEPSELKNHLDELGDQLFSGTCNFLPKKKDQKHKIPPAFDVFGPQIAAFSGSTSVCAKDGITVICAKRGGDTQVSSHSEWLLTVPNKPDAVDFSFIPITSLLKGAPGRGFLSHAINLYLRYKPPVSDLAYFLDYQAHKLWAPVHNDLPLGPSTNITTVSPFLTLSMMGPKLYVNTDKVTVGKRPITGMRLFLEGMKCNRLAIHLEHLLNTPTMLINKIEDTTIWSEEISDNRFFESINGKKFSHVCTAPVKYNPDWSTEKNVTFIVTGAQLHVKKHDTKSVLHLRLLFSKVSNCFVVKSNWTQGSSRLSQKSGIFSALISTSISNKDQKKPTVVMDSSVFPTGPPVPVQTQKMLKFVDTSQLCKGPQDTPGHWLVTGARLVMDKGKICLWAKFSLLNTGL >CAK8563820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632838507:632839819:1 gene:gene-LATHSAT_LOCUS17191 transcript:rna-LATHSAT_LOCUS17191 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEIVMEKVEENEVVVLSDNDEEYESVEKVVDDGEDSEEEEDDDDGDEDEEDNDDEDDDDDDDDATGAGDEDDEEEEEGGAGEGACGGDPDDDDDNDDDEDDDDDEDDDEDPEEEEDLGTEYLVRPLGAAEEEEASSDFEPVENGVDEDEVEEDDVEEDEGDDDVEKKAGVVPPKRKRSGKDDSDDDDGGEDDVRPSKR >CAK8567017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480238353:480240129:1 gene:gene-LATHSAT_LOCUS20108 transcript:rna-LATHSAT_LOCUS20108 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKTDNPQYGDGASPGKIFIGGLAKDTTLETFVKYFERYGEITDSVIMKDRHTGRPRGFGFITYADPSVVDQVIQENHIINDKQVEIKRTIPKGASHTSDFKTKKIFVGGIPAAVSEDELKSFFSKHGNVVEHEIIRDHATKRSRGFGFVVFDNDKVVDTLLADGNMIDMDGTQVEIKKAEPKKSSSSASFPPFGSDSRSRSYNDGFGGFGDSYGGFPGGGYGPASYRSLGGYTARLSDYGGYGGGDDFSGGFGGYGGSGGAGGYAGYRGESSFGYSGRYGSYMGGLGGGYGGSGLGPYGRGGAGYGSYGGSGNGGGYESGPSAGFGGTGGLYSSRGGYGGGNRYHPYTR >CAK8543246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591830660:591839273:-1 gene:gene-LATHSAT_LOCUS12016 transcript:rna-LATHSAT_LOCUS12016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISGVVSRQVLPACGTLCFFCPSLRARSRQPVKRYKKLIADIFPRNQEDEPNDRAIGKLCDYAAKNPLRIPKIANALEQRCYKELRNENIRSTKIVMCIYKKFLFSCKEQMPLFASSLLTIIQTLLDQTRQDDMRVIGCNVLFDFLNNQVDGSYLFSLEGVIPKLCQLSQETGEDERARICRSAGLKALSSMVRFMGEHSHISVEFDNIVSAVLENYEVPKENSASLDHEEEGNETKLDHDTANEGQISPLLDVKKRNPSWSKVVNDKGEVNFAMEDDGKPSFWSGVCLHSMANLAKEGTTIRRVMESLFRYFDNGNLWSINHGLAFSVLKDILFLMDDSEKNTHVLLSMLIKHLDHKSVLKEPNMQLDIVEVVTSLAQYAKVQPSVSIIGAVSDMMRHLRKTIHCFLDNSNLETDVINWNKDFREVVDKCLVQLVNKVGEADPIIDVMAVMLENISTITMTSRTTVYAVYRTAQIVATLQNLSYQKKAFPEALFHQLLLAMVHPDHETRVVAHRIFSVVLVPTASNPKVMGVPRTLSRAVSFFTSSASIFEKLRLEKRSSSVRLSQYNKENVIGEIEPASSNVGIINRLKSSHSRFSSVNNPPLNNKMDEIAANSDKQNLDVVALKLSRHQINRLVSSIWAQSVSPENMPVNYEAIALTYSLVLLVSRAKSSFHELIVRTFQLSFSLWNISLKEGPLPPSRRRSLYILAVSMIMFSSKTYNIVPLVQSTKAVLTERQVDPFLHLVEDKLQAVSFTPDNLTISYGSKEDDERATHTLLELFSSIHQMQECFASEIIRSLDIFSKAELLSIREKLLEEFSPDDTCKIGSQLNMKIPRKDTSVVDDDFIYELFESQLKQTPRLSTEVPNLLSANQLLELVFDPSDPAGRVSVSTAFDTPYKDMADNCEVLMMGKNKMSRLVSTAIQTQESSANSTLPTQENETKNTDSSPHEDLPKVDNSLLDDNTFVELYQPTSTPPPLFCEADYQNQPQFFQLPTASPFDNFLKAAGC >CAK8531070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78261356:78263938:1 gene:gene-LATHSAT_LOCUS885 transcript:rna-LATHSAT_LOCUS885 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWCESMPKVELHAHLNGSIRVKTLLELAKALNETQTDAINFSQMKHVIMKKVLSLTEVFHMFDLIHNVITDHNILTRITKEVIEDFASDNVVYLELRTTPKRNDSIGMSKSSYIDAVIEGLKSVSSVDVDFIPKTEDSNSFINDKYNGNSRKRIIVRLILSIDRRETTEAAMETVKLALEMRHFGVVGIDLSGNPKTGDWTTYLPALKFAREQGLNVTLHCGEIRNPKEIKNMLDFHPQRIGHACFFEEEHWRRMKSSKIPVEICLTSNIRTFSVASIDVHHFGYLYKAKYPLVLCTDDTCVFSTSLSKEYKYAADSFGLGKWDMFELSRNAVEHIFADNGVKNDLRKYFNSVSKNMEV >CAK8561186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:115714592:115715132:-1 gene:gene-LATHSAT_LOCUS14798 transcript:rna-LATHSAT_LOCUS14798 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKSEAESKFHTFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPKNNMILEYK >CAK8577326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555506254:555508262:-1 gene:gene-LATHSAT_LOCUS29442 transcript:rna-LATHSAT_LOCUS29442 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAEKKPKTKIVCTLGPASRSVPMIEKLLQAGMNVARFNFSHGSYDYHQETLDNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEITISTDYTIKGDENTICMSYKKLAQDVKPGSVVLCADGTISFKVLSCDVKAGLVNCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDIMVWGVPNNIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTIDYGDVFKRIMEHSPVPMSPLESLASSAVKMANSAKAALILVLTRGGSTAKLVAKYRAGMPILSVVVPEIKTDTFDWSCSDEVPARHSLIFRGLIPVLSAGSARASHAETTEEALDFAIQYAKTKGLCNNGDSVVALHRVGVASVIKILTVK >CAK8571176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:322918390:322922301:1 gene:gene-LATHSAT_LOCUS23865 transcript:rna-LATHSAT_LOCUS23865 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEEDKENSVVVEKVGGRSSITSCLSRYPLKFITPKKVGPSNIDAVWVYVLNYGGGIVSGDRISCKFSVGDSCTMVLTTQGSTKVYKSVESKCSHQILEARVGSNALLAIIPDPVTCFSTARYYQKQVFTVSLDSNLVIVDWITSGRHESGEKWDFDLYRSTNNIFFDDGQPLFLDTMLLEKDSFGRVKEHMLDYQVIAMIVLLGPKMQYIQNLVQDNVKRIMTEQLQNPLAALSHQKSKADFFTTKPTLVASCSVFGPKKIGLIVRVASVTTESVYNFLQHQLAPLEPLIGVPPYR >CAK8568546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615338245:615338900:-1 gene:gene-LATHSAT_LOCUS21493 transcript:rna-LATHSAT_LOCUS21493 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRTVSAALLFLFFLFGFSAAKELLVGGNVNSWKIPSSEADSLNKWAEKSRFNVGDHLVWKYDGGKDSVLQVNKQDYANCNSSNPIQEYNDGNTKVKLDRPGPFYFISGAKGHCEKGQKLHVVVITPRRRYSAVSPAPSPAPSSAPSSAELEEGPAVAPTSSAQVLQTGFVLGLLATISVGFLM >CAK8566171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387115998:387116886:-1 gene:gene-LATHSAT_LOCUS19329 transcript:rna-LATHSAT_LOCUS19329 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNKIDRIQLKPGDHIYSWRKAYLFAHHGIYVNERTVVHFTTEAIQQQTGIPTFFNRLYTSSAPSFDANNIPCPICSDWGETMTNGVILSCLDCFLSGGELYRFLYGVNKLHFLAQARGGTCTLASSDPSEEVIYRAIYLLESGFGDYHVSKNNCEDFAIYCKTGLRVTRNISVGGGSGQAASYLAAAKSAAFASVRLTACYYSPALVGCILYSHKRLVSDIGYRKDVTQVPLKRISEMAIREN >CAK8573622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625679365:625682680:1 gene:gene-LATHSAT_LOCUS26051 transcript:rna-LATHSAT_LOCUS26051 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMESNSPTDTPPSPPKTIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRNFEDEAFIGYLKYLQYWNRPEYIKFIMYPHCLYFLELLQNANFRNAMAHPSNKELTHRQQFYFWKNYRNNRLKHILPKPLPEPNAALPASTQPQPPVPALPPVPATNVPVTASSTQAPSPMPYGVPPGSSLAKNDMRNPTVDKRKRK >CAK8536468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944311696:944325336:-1 gene:gene-LATHSAT_LOCUS5835 transcript:rna-LATHSAT_LOCUS5835 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYASKQKKMVDQSMLLCCKFFISEGRNIATLDSVERAARSNSETVIVNKFHDRTYNRARYNLVSYVLHDSTGNVIYSPLHQTVVAMAEAAFNAINLELQDGAHPRLGAVDDIVFHPLARASLDDAAWLAKAVAADIGNQFNVPVFLYAAAHPTGKQLDTIRRELGYYRPNFMGNQWAGWTIPDILPQTPDKGPMVVSKSKGISTIGAQSWVTLYNIPILSSDISAAKRIARKVSTRGGGLPMVQTLGIVCADSTEVACMLLEPNRIGADRVQSLVEMLAAQEGLDVEKGYYTDLSPEMIVEQYMNLTSAKKTSP >CAK8531667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137349202:137354329:1 gene:gene-LATHSAT_LOCUS1442 transcript:rna-LATHSAT_LOCUS1442 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDTSEPSGGAFHAVHAERDLQSNWEVDLAKKLEEYLLKICSGEITGEEEGNIHVNFAEAALLLQGSIQVYSRKVEYLYNLVLRALEFLSQKRQPDQVEGESVQPDDEAGPSAAAAAAVADEENDQFWGLDDIPVEEKNSLDSSTGKEVNLDLFIKPPANLVVLEGDCLDAGGDGGELESYLLSTTDLYQDFILLDTADAVAVNEYMKAGKAGTAYNGTNRATSTRKGFLSPRRSGGSAHKSAAKSQRANTMLSPKNISFEDKEARLSPPASAGFDNTNFGPNMDDGFDAPRDADNSDEDEEDPWKPLNPHETGNLRVKPFRKVKTSRKTRINVRHRVSMSTLFPPAKLHGPISPELTEMWEMRQCAQQRQKDSQSTLPLYEKLRQSLTTEGNETGLPFLNTEDDNDDNEFDNGYPDFDMPGNDFMDEDQHPFNKEHGGDDVHAKADEAGDPEFPDSQTSLEDLCRSHLNALLANIAESEKQTEMAARVSTWKQRIEQNLEEQDSHPPFEFRDYGERLLDKLSLEESSDCVLPFSDLVKGQEKYDISRSFSSLLQLVNNGEVELKRRDVPGESLCYTGANPFHVRLIKHDKKKEVGKQFGLAKKRAKSPTKNPPIKDERKKKIRREKSPISSSSSKHGRTELASPTNCKFSVNLGKVSAMKFSPESKRRRRSVEPVNLHSAG >CAK8571026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:270772941:270774560:1 gene:gene-LATHSAT_LOCUS23723 transcript:rna-LATHSAT_LOCUS23723 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISETNTRNRAQLNLMHRMGPKNFALTREKLREKEKTDPTQSEMFVETRKGSKGKELDVETGKVISQLQEMVEKEESDTKASKSVFGKERPGRVRCYGRNITKTSLKQKAEINALKQAHNEEVSTLRHEFQDQIDRLQNAFKTVIQQCNPQINLESIEDLLGLSHRDDNSSPKEMRPQIHSSTSTHAPCHGKQGINEDVEKDDINDEIQEDDVDDGFQEDVAGDEFQEDDIDVDDEFQEDDIDSEYQEDEVDEEFLEDDICDEFQEDDMDDEFQEDKLE >CAK8541544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:235152753:235154151:-1 gene:gene-LATHSAT_LOCUS10459 transcript:rna-LATHSAT_LOCUS10459 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVQWLFKKEQEQERKKSTFNEKYDKVKEINVLKHDGSYKRTKRFLCIKHGYKNLKIFSFLYKKDIPKAWFFRTLNIRRIGFNRRHFVYSMKTKEEAIVNKTDSTIHAGNKVLPITHETPLSSSSKRNEQGDICITKKKPTSRMKELLRWAASARTDKGGKFYGRKVLIMLRRRGNVKAVQDDDEALSDSPKISFRWDVESCSTTSSACSAFSMASSTRNGEKKVATSTISLPPSESGYTPCRKGSWITTDFEFVVLEL >CAK8578648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643579316:643580089:1 gene:gene-LATHSAT_LOCUS30640 transcript:rna-LATHSAT_LOCUS30640 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGSNRNPLSLNTSRKVMVVADPTRESAGALQYALCHAVMEQDELILLHVIENQTSWRNTLSTFLKMPSLGTSSTASIDIGGGGGSGGGGGSGGGGSSTEGQTTSVDFLEEMKNVCKVSQPKMKVRVMKVETDNGKDRANTILLHSINEEVDVVVIGQKRTLSSTLLGYKRPTGGSLKGARMLDTAEYLIHNTPGTCTCVAVQRKAQNGGYVLNTKTHRNFWLLA >CAK8574574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2740667:2743496:1 gene:gene-LATHSAT_LOCUS26905 transcript:rna-LATHSAT_LOCUS26905 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARLLRSLRKTLTVNPQIHIQQQRLCISPIPRVISRRTYISEMRKEAFEGNISRLLRNEIQFEIQSYFYSKSPTNKFGSFMVDGRPGERWITLNRQFADENIKVEVTMFDGAAPAPKASGGVANADEVQLHITLIVNISKGDGGVLEIVCSAWPDSIEIKRLFVRTDTNMPAEPYAGPDFEELDDELQDGLYEFLDARGIDNKLATYLHQYMKHKDKIELIRWMEKVKSFIERKQVQ >CAK8574575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2740673:2743496:1 gene:gene-LATHSAT_LOCUS26905 transcript:rna-LATHSAT_LOCUS26905-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSLRKTLTVNPQIHIQQQRLCISPIPRVISRRTYISEMRKEAFEGNISRLLRNEIQFEIQSYFYSKSPTNKFGSFMVDGRPGERWITLNRQFADENIKVEVTMFDGAAPAPKASGGVANADEVQLHITLIVNISKGDGGVLEIVCSAWPDSIEIKRLFVRTDTNMPAEPYAGPDFEELDDELQDGLYEFLDARGIDNKLATYLHQYMKHKDKIELIRWMEKVKSFIERKQVQ >CAK8532265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:233947709:233951191:-1 gene:gene-LATHSAT_LOCUS1992 transcript:rna-LATHSAT_LOCUS1992 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMKLLFIIAFAISSLISTVRSDSSDHRFKEADPVPLFANKVGPFHNPSETYRYFDLPFCLPEHLKEKKEALGEVLNGDRLVSAPYQLEFQRDKDSVLVCKKTLTKEDVGKFRAAVRKDYYFQMYYDDLPIWGFIGKVDKEGKDPSDYRYYLYKHIHFDIFYNKDRVIEINVRTDQNALVDVTEDNEVDVEFLYTVKWKETNTPFEKRMDKYAQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETAEDQEETGWKYIHGDVFRFPKFKSVFAAALGSGTQLFTLTVFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYNATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRGSIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKCE >CAK8534503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736507840:736510276:-1 gene:gene-LATHSAT_LOCUS4046 transcript:rna-LATHSAT_LOCUS4046 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKGGSRPPWVGLGASVWVQIASGNTFTFPLYSHSLKSVLGFNQRQVTLLGVANDIGENVGLLPGIACNRFPPWLILSVGALASFVGYGVLWLAVTQTLPNLPYLVLWFALVIASNSSAWLTTSVLVTNMRNFPVSRGKVAGILKGYGGLSAAVFTQIYSLLLNNDSSKFLMLLTIGIPVVCFSMMFLVRPCTPALDEDSTSSSHFIFIQSASVILGVYLLATTIYGNLLPLSGTASYILVAVMVLFLMAPIAVPVKMTLYPKRLSNSDSFEQPLGSSDSLGQGKDDKIEPLLGSSSTGALGSSNDDDSSEVAMLLAIGEGAIKQKKRKPKRGEDFKFTEAIVKADFWLMFFVYFVGVGTGVTVLNNLAQIGIAQGEEDTTTLLSIFSFCNFVGRLGGGVVSEHFVRTKLLPRTFWLTCTQTIMLLVYLLFAFAVNGSLYPAVAFLGVCYGVQVSIMIPTVSELFGLKNFGVLGNVMSLGNPLGATIFSALLAGSIYDKEAAKQHSLNLFAAEVSCIGADCFKLTFFILSGVCAAGIFLSIILTLRIRPVYQMLYAGGSFRIPQPSPPPSSST >CAK8532417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259327536:259328904:-1 gene:gene-LATHSAT_LOCUS2125 transcript:rna-LATHSAT_LOCUS2125 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGSPSPHSTNGLDGTRLTKHQPVTPSTASSSPVDAVENKQSVKKDDCGSEAKTKPFDICTPKQSGGAVALKPSLFAKNREKRKEMNQSGKEIVFLRPGMVHLKGYISITDQIKIVKVCRELGLGDGGFYQPGYEDGTKLHLKMMCLGKNWDPQTSKYVDQRPSDGSVPPKIPPEFLTLVHSALEDSHAVTKLSNSKQPFTFSPDICIVNFYSLNGQLGLHKDKDESKESLDAGLPVVSFSIGNSAEFLYRDEMEVEAKKVVLESGDVLIFGGKARNVFHGVSAIKPDTAPSSLINETNLRKPGRLNLTFRQY >CAK8568582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620253606:620255243:1 gene:gene-LATHSAT_LOCUS21526 transcript:rna-LATHSAT_LOCUS21526 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTYHFNDPYQTSIDLSFFDTSNPTLADLSITDNTPHQNHHGVFQQPHDTNFNNHFSNHNGILQQGHGTNDDFAMSQNDSFDYNVMSSHPNDFSFGRNDFEAGTSSQMHEDQQTQPLNQIPVPVNQTDAMTLDQWPPTPIPYFCSCCQVLREIIHANGIQFEKLEIHGRLGLITHAIHHQTPVNGDLPISQMIDFSRRSLDEVKNFLAQYCMQHILEGYFILQDPMSAYYETLCTGLDWIEDFNMEGPVDNNQNNSDEMVEQEQVGVDGTPTTETPDKKKLSEQREKAGKLTLNDLRDYFHLPIEEAAKKVDLCPTVLKKTCRKAGLARWPHRKVKSLLKQIALLGTQLEKQEAATRARTEEEISRLKQEMIDHCGGHIPTAMYNIADFLPPNYQKRR >CAK8564611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2504392:2505075:-1 gene:gene-LATHSAT_LOCUS17899 transcript:rna-LATHSAT_LOCUS17899 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNSVFKGTRNLPIIALVRATYYRLGSPFAARGKKWSAVLESGQIFNETCMKYMKDEIVKADSHRVRAFDRHDYNFIVDETKNHNEGRPMRHYRVEIHKNWCDCEKFQTFCMPCSHVIAACSSVRQNPFLQLSEVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENTRRNKKGRPKSTRIRTEMDTTKKMKRLCGIYRLPGHTRKHCPNVGTSSR >CAK8538802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496762295:496763866:-1 gene:gene-LATHSAT_LOCUS7960 transcript:rna-LATHSAT_LOCUS7960 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGAATSSVCSTASNSLQVFVSSSFSTPAKTNGRSSENLDSAIPQGPDDDIPAPGQQFAQFGAGCFWGVELVFQRVPGVSKTEVGYTQGLVHNPAYEDVCAGTTNHSEVVRVQYDPKQCAFESLLDTFWSKHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEQQEKQLGRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSAAKGCNDPIRCYG >CAK8538804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496762295:496763198:-1 gene:gene-LATHSAT_LOCUS7960 transcript:rna-LATHSAT_LOCUS7960-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLPATSSVCSTASNSLQVFVSSSFSTPAKTKFLPSLSRFSVKRSCFSSQTRPHSTVTKPSMNLLNKLGFGSGRSSENLDSAIPQGPDDDIPAPGQQFAQFGAGCFWGVELVFQRVPGVSKTEVGYTQGLVHNPAYEDVCAGTTNHSEVVRVQYDPKQCAFESLLDTFWSKHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEQQEKQLGRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSAAKGCNDPIRCYG >CAK8538803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496762295:496763866:-1 gene:gene-LATHSAT_LOCUS7960 transcript:rna-LATHSAT_LOCUS7960-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGAATSSVCSTASNSLQVFVSSSFSTPAKTKFLPSLSRFSVKRSCFSSQTRPHSTVTKPSMNLLNKLGFGSGRSSENLDSAIPQGPDDDIPAPGQQFAQFGAGCFWGVELVFQRVPGVSKTEVGYTQGLVHNPAYEDVCAGTTNHSEVVRVQYDPKQCAFESLLDTFWSKHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEQQEKQLGRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSAAKGCNDPIRCYG >CAK8578803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655229462:655232263:-1 gene:gene-LATHSAT_LOCUS30787 transcript:rna-LATHSAT_LOCUS30787 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSLRSKAVHLVSDLTTVLLNPISDHNKPSLPLSEEEEDDGELKGSESEVEGPDTSSFAAFLYSFLSSSSDSGDNSVIDDVCAVENDDLMNENLVVKKGLLSRGKQSLGKAIYLAAKMGGFRTQEPGRDGFESDGCGVEMKHIQPLKEVPGVPLVDRLVDVSEPSMLISNSLRNVVYDSLPSLIHGRKWLMMYSTWKHGISLSTLYRRSMLWPGPSLLVVGDRKGAVFGSLVEAPLRPSNKRKYQGTNSTFVFTNISGHPVVYRPTGVNRYFTLCTTDFLAFGGGGHFALYLDSDLLNGSSSVSETYNNPCLAHSPEFQVKEVELWGFVLPSKYEEIVKLSRTEAPGICHW >CAK8539083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503418424:503419207:1 gene:gene-LATHSAT_LOCUS8215 transcript:rna-LATHSAT_LOCUS8215 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQPEGLSEEDYDLIHGSESGWVDARTSCHHLHSLSTDLAHIPTPNTPCNRCHHPNENWLCLSCKDVLCGRFVNRHMLHHFRETNHSVALSFSDLSVWCFSCDAYLDAHVIQQLRPVHEVAYVLKFGELPPVGAS >CAK8573129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587884741:587886578:1 gene:gene-LATHSAT_LOCUS25612 transcript:rna-LATHSAT_LOCUS25612 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHSLFSFLTRCRRFFSQFIPHDLYDPPFSPSPKLQKINGDGSANLPLKSDLPFHFRYSYSETDPSIKPISFRESPKFSPFGPSRLDRKWTGTSAPVNHPVDLDRIQEERNRILGAPLEDHEVSQLVERYRHSDCSRQINLGKSGVTHNTLDDIHNHWKKAEAVRIKCLGVPTLDMDNICFHLEDKSGGKIIYRNINILLLYRGRHYDPAKRPLIPLMLWKPYAPIYPKLVNNVIQTLTHHQTKQLRNKGFNSHPLMKLTRNGVYVNVVERVREAFKTEEVVRLDCTHVGTSDCKKIGVKLRDLVPCVPILFKDEQIIIWRGNLDQEQCSDP >CAK8540346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553982147:553984633:1 gene:gene-LATHSAT_LOCUS9358 transcript:rna-LATHSAT_LOCUS9358 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSSLWRSSIFLLMLIMLYSCSAIDVTYDSNALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWNRHEPVRGEYNFSENLDFIKFFKLIQEAGLYVIMRIGPYVCAEWNYGGFPSWLHNMPGIESRTDNPIYKKEMQIFTTKIVNMAKEANLFASQGGLIILAQIENEYGDIMWNYKDAGKVYVKWCAQMALSQNIGVPWIMCQQPDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWVGWFQKWGERVPHRSTEDSAYSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKNLHAAIKLGENVLTSYSGRNDTDLGNGITLTTYTNSTGARFCFLSNDDNNKDANVDLHNDGKYFVPAWSVTVLNGCNKEIFNTAKVSSQTSIMVKMIDGDSSTKLSWKWIMEPKKDTMHGKGSFKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLRVYTMGHTLHGYVNKRYIGYQFSQQGNKFTYEQKVSLKNGTNNITLLSATVGLANYGAWFDEVKTGISGGPVQLIGNNNVTMDLSTNLWSYKVGLNGERKHLYDLQPHISVSWNNNSSYIPIGKPMTWYKSEFKSPFGKNPVVVDLQGLGKGHAWVNGHSIGRYWPSRITDANGCSNTCDYRGKYVPEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFQTVTTGTICANVHEGAQLELSCQNGQIISQIQFASFGNPEGQCGSFEKGSWEALNSQQVLEAACIGKNSCGFKVTKEMFGVTLSTMSVNNDISRLAVQVTC >CAK8572396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533955433:533956368:1 gene:gene-LATHSAT_LOCUS24967 transcript:rna-LATHSAT_LOCUS24967 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKKLIVKLSYPPGSRKRDSDSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTTFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRVQDTKECNMLL >CAK8530222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8273016:8273828:-1 gene:gene-LATHSAT_LOCUS106 transcript:rna-LATHSAT_LOCUS106 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIGRTLQYYLVSHPNVLNFTWNPPHTPFSSLQFLSLSILSYLSLTLLLLLPLPPLPPRFLKPFTAFHNLTLSILSLTMTIATSLTILTHTPNLRSTICFPPNTPPTGPLFFWAYIFYISKFLEFIDTLFIILSRSIKRLSFLHVYHHSTVPIMCYLWLNSSQSLFPIALLTNSSVHVIMYSYYFLTALGIRPPWKRAVTDCQIVQFLFSFAVSGVMLYYHFYGGGCSGMKAWCFNAVFNASLLALFLNFHIKSYANSKKNRNTDKDS >CAK8567856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551010843:551013968:-1 gene:gene-LATHSAT_LOCUS20873 transcript:rna-LATHSAT_LOCUS20873 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRWQNFVSMKNRIIPSLEHFSAFHSTPCSCHKWKSNPDIRGQQPSKNQIKFVTRQKRADAKKALKNLLYNSGSSRFAFEDKETKQKLDGNSNDRSNSHSRKGQPKSGQRFGGKPQKKTKQKIRRESFCEDVDDHGHPEQIFQATFGNRCYTWSYSNMRGSSSEHSTHGFEWRENTNRTNTNKWKSASDDEYDGNDKDDADSCFVGSSSDRTILGFPPKGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCVNAYKTLCNALSPA >CAK8575561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:170051139:170051546:1 gene:gene-LATHSAT_LOCUS27816 transcript:rna-LATHSAT_LOCUS27816 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFDSSVNLEETHLMEGYDEGYSDGLVAGKEEGKQVGLKVGFEVGEELGFYRGCIYIWTSAIQIDPTCFSSRAKTAITQMQDLIFKYPLMYPEDLQVQEIMDSLRLKFKMMCSSLHVKLHYKGYPAEANDTQF >CAK8531893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172750603:172765022:1 gene:gene-LATHSAT_LOCUS1653 transcript:rna-LATHSAT_LOCUS1653 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQTKFSVLCSLLTWTQRTKSPPKKRAKFRKFLGSFCPDRNYFPAIRLILPNLDRERGSYGLKESVLATSLIDALGMSKDSPDALRLINWRKGGSKTGANAGNFALVAAEVLQLRQGTASGGLTIKELNDLLDQLSSSENRGEKTLVLSTLIQKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKAVRPQLAKRVANAAEAWKKLHGKEVVAECKFDGDRIQIHKNGTEIHFFSRNFLDHSEYAHAMSEIIIQNILVDRCILDGEMLVWDTSLNRFAEFGSNQEIAKAARDGLDSNRQLCYIAFDILYFGDTSVIHQTLKERHEILRKVVRPLNGRLEILLPNNGGINSHRPSGEPCWSLIAQNADEVERFFKETIENRDEGIVVKDLSSKWEPSDRSGKWLKLKPEYVQAGSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPSPNTHPKRFVSFCRVGTGLSDDELEAVVNKLKPYFRKYEYPKKRPPSFYQVTNHSKERPDVWVDSPEKSIILSITSDIRTIESEVFSAPYCLRFPRIDRVRYDKAWHECLDVQSFIELVQSSNGTTQRDTEYGNKKGSKQKHMKSSIKGEKKNVLIVPSHLSQTDVSSVKGGSLMFSNMMFYFVNVPPSHSLESFHKLVAENGGTFSMNLNNSVTHCVAADSKGFKFEAAKRRGDIIHYTWVLDCYAQKKLIRLQPKYFLFLSEETKKKLQEEIDEFSDSYYLDLNLGDIKQLLGNVNRSEDVSTVDHYRKKYCPKDKWSVFNGCSIYFRNTMPSLKEDWRYLLELSSKRLKLEVLMGGGKYTSNLNFATHVVALFTPSYHTNYEEEIQSSFTSVERKLLRSKRLHVVNSKWLEDCLKSSQRLSEDTYSLKSYGIEESTAEDCEHDLAVDAHLVEDNVENQNESFSSKESKQSSAKAASQDSLALASQGGRGQRKRGRPASRGVKKIKTDGNQTRRSRSQIAKKRAKISEYESDESDSLEKRPYEQEVDITEGSSGFHNEQSELHETEKTRNVQGAEGVEISKQNKKVELEDFNYNQDENMLAPEIGLDDGRNDQSSQVIEKLEIMTDPMQAMLLDMIPSLAMNKVEQPTNRRVEEEKRREISQEEPSSTKKKKISFKAMAADLLKDW >CAK8577710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584591550:584592107:1 gene:gene-LATHSAT_LOCUS29791 transcript:rna-LATHSAT_LOCUS29791 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLATKSNWNVPDQCLEYFAKMMLDVTPTKGNLFTSYYDAKRLMSKLGLEVRKIDCCINRCMLFYENEFGINDEVLEECKFCKSPRYQVRSKAIDRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSNGEAWKHFDRVHSDFAAEPINVRIFGELIKWWKTKDF >CAK8535942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893051164:893056872:1 gene:gene-LATHSAT_LOCUS5356 transcript:rna-LATHSAT_LOCUS5356 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRIRARLRRSNLYTFGCLRPTTTEEVPHPLQGPGYSRTVYCNQPQVHEKKNLFYCKNNISTTKYNAIMFLPKALFEQFRRVANIYFLLAACLSASPISPFTSVSMIAPLAFVVGLSMAKEALEDSRRFLQDVKVNRRKASLHRGNGVFDLRSWQKIMVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEATLSLDNDGAFKDFSGTIRCEDPNPNLYTFVGNFEYERQVYPLDPGHILLRDSKLRNTDYVYGVVIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISFISSIGFVAKTKYQATEWWYIRPDDIEYQYDPAKIGLAGMSHLITALILYGYLIPISLYVSIEIVKVLQATFINQDLQMYDEETGTPAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTTYGVRSSEVEVAAAKQMASDLEEEDMDVSNFPMHKKGKVPRENVRRAEEIELEPVVTSKGGEDQRPTIKGFGFVDSRLMNGNWLKDPNADVISLFFRILAVCHTAIPELNEENDSCTYEAESPDEGAFLIAAREFGFEFYRRTQSSVVVREIISASGQVVEREYKILNLLDFSSKRKRMSVIVRDEEGTIILLCKGADSIIFDRLSKNGKKYLEATSRHLNEYGEVGLRTLALAYRILDEQEYSDWNSEFQKAKTTVGPDREAMLEKVSDSMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYSCSLLRQGMKQICISTTNSDSVINDGKEVIKGSILTQITNASQLMKLEKDPHAAHALIIDGKTLTYALEDDIKHQFLALAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLVIFFLVILIFYDQAFRLNGQTADMATVGTVMFTSIIWAVNCQIALTMSHFTWIQHLFVWGSIASWYLFLFLYGMLAPRLSKSAYQIFVEVLAPAPIYWTATILVTVTCNLPYLAHISFQRCFNPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFSARVEAKIRQLRGRLQKKQSSTGASPS >CAK8565629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:295006626:295007432:-1 gene:gene-LATHSAT_LOCUS18825 transcript:rna-LATHSAT_LOCUS18825 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDYFLDSAYTKGKPREKEILCPCANCYNSNWFTRNEKKPKLGDLNDNHMNEEEDQIDDIDGLLHERFRDVVQEDNDVNISLNEDAKKFYSLVEEAKQDLYPGCKNFSKLSFIIRLYLSKCLYGWSNVSFDALLELLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDACPNDCMIYWKDHENDTSCHVCGAPRWNEDIKGNDHVEKNHKSHKVPSKVLRHFPLIPRLQ >CAK8570209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28991080:28995607:-1 gene:gene-LATHSAT_LOCUS22982 transcript:rna-LATHSAT_LOCUS22982 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEHNQNDDGRKRTGNVWTATTHIITVVIGAGVLALAWAMAQLGWIIGVLSVLLFASISLFTYNLTADCYRFPDPVNGKRNYTYMQAVKCYLGGTMHVICGIILYSKLAGITVGYTITSSTSLAALGKSFCLRRKGKRADCTSSYNPYMIGFGTLQLLLSQIPNFHTLTWLSSIAACTSFGYVLIAIGLCLSVLISGKGAPTSLFGIKIGPELSVTDKIWRSCSSLGNIALACNYAMVIYDIMDTLRSPPSESKQMKKANLTGLSTMTIIFLLCSCLGYAAFGDKTPGNIFGGFYEPFWLVAIGDICIIIHMVGAYQVMAQPFFRIIEIGANTTWPESNFINKDYPISMCNITINMNLFRLIWRTIFVIIATVLAMAMPFFNEVLSLLGALGFGPLVVFFPVQMHIAQKNIKVLSLKWCALQLLNCLCLFISLVAIVASIHQISQNLYKFKIFAYKS >CAK8570515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:54653989:54658096:1 gene:gene-LATHSAT_LOCUS23258 transcript:rna-LATHSAT_LOCUS23258 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCRDHGVNFFDNAEIYANGRAEEIMGQSIRELGWKRSDIVVSTKIFWGGQGPNDKGLSRKHIVEGTRASLKRLDMEYVDVIYCHRPDVSTPIEETVRAMNHVIDNGWAFYWGTSEWSSQQITEAWSVANRLDLVGPIVEQPEYNLLNRHKVENEYLPLYSTYGLGLTTWSPLGSGVLTGKYKKGVIPADSRFALENYKNLASRSLVDDVLKKVDGLKPIADELGVPLAQLSIAWCAANPNVSSVICGATKESQIQENMKAINVIPLLTPAVMEKIEAVVQTKPKRPDSYR >CAK8535562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863538808:863566652:1 gene:gene-LATHSAT_LOCUS5009 transcript:rna-LATHSAT_LOCUS5009 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSMKNMASTASASRGSSSSSNGLLTVTIANTSPRRALASDDNDDEDELLIDPDDPFDVTQTKNAPPETLKRWRQAAFVLNASRRFRYTLDLKKEEEKEHKKSMIRAHAQVIRAALLFRLAGERQLVTGTTVASITPGGDYTVGLEQLVSMSKNQNISALQQYGGVKGLSDFLKSDLEKGISGNDDDLSKRKTAFGTNTYPRKKGKGLWRFLWEAWQDLTLIILIIAAAVSLVLGIKTEGLEEGWYDGGSIAFAVILVIVVTAVSDYRQSLQFQNLNAEKQNIQLEAMRGGRIIKISIFEIVVGDVLPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGVGSMLVTSVGINTEWGLLMATISEDTGEETPLQVRLNGVATFIGIVGLTVAAAVLAVLLGRYFTGHTKDLDGKVQFVAGETKISDAVDACIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVETYVGRNKLNPPDDSSKLHPEVLSLINEGIAQNTTGNIFVPKDGGETEVSGSPTEKAILSWATKLGMNFELIRSSSKVLHVFPFNSEKKRGGVAVKRADSGVHIHWKGAAEIVLGTCTQYIDSNGHPQSIEEEKAFFKNAIDDMATQSLRCVAIAYRSYELDKIPSKEEDLDQWTLPEHELVLLAIVGIKDPCRPGVKDAVRLCTEAGVKVRMVTGDNLQTAKAIALECGILVSLEDAVEPNIIEGKTFRQLSEMEREQVAKKITVMGRSSPNDKLLLVQALRKEGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALFINVVAAVSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMNRSPVGRREPLITNVMWRNLFVQALYQVIVLLVLNFGGESILRQDTRTHTLEVKNTIIFNTFVMCQIFNEFNARKPEGMNVFKGVTKNRLFVGIVGVTFILQIIIIEFLGKFTTTVRLNWKQWLSCVCIGLFSWPLAIIGKLIPVSKTPLSRSVVKAFRRLKKTRTQ >CAK8536175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914383441:914390320:-1 gene:gene-LATHSAT_LOCUS5568 transcript:rna-LATHSAT_LOCUS5568 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKKSPSSSSSSSMRPSFPRDPRGSLEVFNPTSNSSSPVRSPSNLKNWTETEEPRNEFSFSDEVTNTSWLAIKEGETGVAVQRAAEWGLVLTTDAETGKPQGVAVRHSGGDEPNVIKLETKRNSNNSVRTSGESSDGGDPRGFPRVSEDLKDALSAFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPNDVARIREALEGGKSFCGRLLNYKKNGTPFWNLLTISPIKDDDGNVLKLIGMLVEVNKHTEGSKEKKLRPNGLPESLIRYDARQKEKATSSVSELLEAMKRPRAMSESGHRPFIRKSGGGGGSEEDEEAVENKSRRKSDSVASFRPKPQGKIRNSMERISELPENKQKNSRRGSFMGFVRKSHSIDESIDNEVIVDVSSGSEDDERDNSFEVDDKEKLREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGKNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKTGKKFWNLFHLQPMRDHKGEVQYFIGVQLDGSQHVEPLHNRIAEDTAKEGELLVKETAENVGEAVKELPDANQKPDDLWKNHSKVVRPKPHRKDDDAWRAIQKVVENGEQVGLKHFRPIKPLGSGDTGSVHLVELEGTGQYFAMKAMDKGVMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYYPGGELFLLLDQQPTKVLKEDSVRFYAAEVVIALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTACKPQLILPATEEKKNRKKKKKKEQQKNQQVPMFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPKSKPVSPHGKQLIYWLLHRDPKNRLGSLEGANEIKNHPFFKNINWALVRCTKPPELDAPILLENDEKKEGKDIDPGLDDLQKNIF >CAK8560056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6248034:6249545:1 gene:gene-LATHSAT_LOCUS13771 transcript:rna-LATHSAT_LOCUS13771 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIFDKFQGEAWRKKQIRKITDRVFQKVRNKTPTETLSFEDLYIAVLLVYNDINKFIPGPHFDPPSKETVRQIMQECDINLDGMIDHDEFCDFIQKMTADTFTVVSQKLILTLVVAPSVAMATKRATEGVPGVGKVVQRLPNALYASLVTLAVVWFQKMGDQSGI >CAK8576529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:488263934:488264748:1 gene:gene-LATHSAT_LOCUS28710 transcript:rna-LATHSAT_LOCUS28710 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAEYRCFVGGLAWTTDSNGLANAFSTYGDVTDSKVILDRDTGRSRGFGFVTFAAEQSMRDAIEGMNGQDVDGRNITVNEAQSRSRGGGGGGGGGYGGGGGYGGGGRREGGYNRNGGGYGGGGGGYGGGGNDGGRDRGYGGGGGDRYGGGGGGGDRYGGSGGGGYSRGGGSGGNWRD >CAK8562354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476514204:476514518:1 gene:gene-LATHSAT_LOCUS15856 transcript:rna-LATHSAT_LOCUS15856 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLRVRRAENERKRRQNMNLEQRENYLSMRHDNYSRRKEKDKQAQTSRTMNSRKRVPFQNFTNMRSPMSHFQGTHDNEAGPSRITHVNDVALGW >CAK8532028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194671481:194672110:-1 gene:gene-LATHSAT_LOCUS1771 transcript:rna-LATHSAT_LOCUS1771 gene_biotype:protein_coding transcript_biotype:protein_coding MILTIFFFIFSLLSLSHASVLDFCVADYNAPNGPVGYSCKPPQRVTVDDFVFHGLGTSGNTANIFKSAITPAFNAQFPGINGLKISMARLDFAVDGVIPLHSHPGASELLFVVEGTLVAGFVSSNNVVYLKTLNQGDIMVFPQGLLHFQINNGRFNALAIVSFSSANPGLQILDFALFKSDFPTEIITATTFLDPVVVKRLKGVFGGSG >CAK8569604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6507871:6508802:-1 gene:gene-LATHSAT_LOCUS22438 transcript:rna-LATHSAT_LOCUS22438 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSKKGWKSIVPLHLKGKSVTRFSLFRKVNSASHGPGKTPVYLNVYDLTPMNGYVYWAGLGIYHSGIEVHGVEYAFGAHDYSTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPVQVREFMECESASYNGDTYHLIAKNCNHFCKDICYKLTGKSIPTWVNRLARLGSICNCVLPEALRISAVGHDPNYEAHDSEKRKLRSAFSSLSSISMRQKQLSKSSLFLQSPLRGCLSSKWPSWELSKSINRSVKER >CAK8575241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57726969:57729518:-1 gene:gene-LATHSAT_LOCUS27520 transcript:rna-LATHSAT_LOCUS27520 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTINLSLPLSSHLNLKNPNSSSSSHKQQNFNNLNPSQLHKAISTLNLTPTEPTPNKLITSSLLLKACIRTGNSHLGKLLHHRLTHSQLVLDSVLLNSLISLYSKCGDTETALSIFLNMGINKRDIVSYSSMISCYANNKSPTKAIHMFVELLYQDGIFPNEYCFTAVIRACACLDLRFFETGLSLFGFVLKTGYFDSHVCVGCELIDMFVKGGGGDFDSARKVFDRMREKNVVTWTLMITRLAQFGFDGDAIGLFLDMLVSSGYVPDRYTLTGLISVCAEIEFLSFGKELHSWVIRSGLASDLCVGCSLVDMYAKCGLIRDSRRVFDGMTDHNVMSWTALINGYVRGGGGQEWEAMRLFGDMMLQGCVAPNYFTFSGVLKACASLPDFGFGELIHGQTIKLGLSEIDCVGNGLVSLYARSGRMESAQKCFDVLFEKNLVLGSGVVDDASVKDSNSNSEQDVDRGIEYTGGGASSFTYASLLSGAACIGTIGKGEQIHAMVVKMGFGTDLNVNNALISMYSKCGNKEAALQVFNDMEDHNVITWTSIINGFAKHGFATKALELFSDMLLTGVKPNDVTYIAVLSACSHVGLIDEAWKHFTSMRKSHGIVPRMEHYACMVDLFGRSGLLSEAIEFINSMPFDADALVWRTFLGSCRVHQNTELGEYAAKMILEREPHDPATYILLSNLYASEGRWDDVAAIRKRMKQKQITKEAGSSWIEVENQVHKFHVGDTLHPKAQKIYEKLDELALKIKNVGYVPNTDFVLHDVEDEQKEQYLFQHSEKLAVAFALISTPNSKPIRVFKNLRVCGDCHSAIKYISTVTGREIVLRDSNRFHHIKDGKCSCNDYW >CAK8530730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:45343212:45346174:1 gene:gene-LATHSAT_LOCUS574 transcript:rna-LATHSAT_LOCUS574 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYMFMMNLLLFITLTESSSSSCNIENQNLISKAFQSVSGFNSSFFQTRGLFICSNGESENVINIINLPSKNLTGNISWRYLRNMTNLEILDLSENYLQGQVPNWFWKTTSSSLSTVNLSNNRFGGSIAFEMKPFSSSLQNLNLSNNRFTNQVHLSSFQNLKILDLSNNNLNTLPSGLQNLTKLNHLDLSNCNIKGNIKPISHLTSLSFLNLSNNTLNGTFPSDFPPLNNLKFLNISNNNFKSSSPLYKLIKRFGKASFIHAGNNFDSNNNLNASKTPNIHSKSNLSHHDHHRQQPQQQQLHVKKTKQKHKHKSKSKSKTMIIIVAVSSVSTFILVVLSILAIFGYRKKKQQAKKNKWAISISKPVIGLTTTLKSGPFEFETESGTSWVADLKEPSSAAVVMFEKPLMNITFVDLMTATSYFGKDSQLAEGRCGPVYRAVLPGDIHVAIKVLENARDVDHDDSVATFVDLSQIKHPNLLPLSGYCIAGKEKLVLYEFMSNGDLGRWLQELPTGETNVEDWSTDTWEIQNGLGSRTPSPEKMGWPTRHRIAIGVARGLAFLHHAGSKPVVHGHLVTSNVLLADDFEPRIADFGFRKFGQQCPPNCSTETDVYCFGVVLMELLTGKPGTAETVVWVRKLVREGHGVRALDDRIKLGGGDSESQMVESLRVAYLCTAESPGKRPTMQQVLGLLKDIHPRARLD >CAK8573342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604538108:604540239:-1 gene:gene-LATHSAT_LOCUS25802 transcript:rna-LATHSAT_LOCUS25802 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTIELLKGCASQEELMKVIASVASDLGDAIDDVSTLEVIPLKGAMTNEVFQINWPTRNGSDLRKVLVRLYGEGVDIFFNREEEIQTFESISKHGQGPRLLARFTTGRVEEFIHARTLSARDLRDPVISSLIASKMREFHKLDMPGTKKAHIWQRMRKWLGQAKSLCSPKDAKKFGLENLDDEINILEKALCEGYQEIGFCHNDLQYGNIMMDEEAQSITLIDYEYASYNPIAYDLANHFSEMAADYHTETPHVLDYSKYPELEERQRFISAYLSSEGKKPNNAKVNQVVTAVEKYTLANHLFWGLWGLISSYVNKIDFDYKEYARQRFQQYRLRKSTLLDTPTIVSQNETVNGSLPLASCV >CAK8532517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:275519308:275521986:-1 gene:gene-LATHSAT_LOCUS2215 transcript:rna-LATHSAT_LOCUS2215 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFHHHQHRPHRISVPPRTTATYDANPNSPFPYSSPTLTPGLTPSKSKHRSSFSFNSKPNSKSNSKSISFIFLLLLSLRSLYSLFPFLRSYSPSFSLFPFSFLVSLLSFFLTLSFSLFSSSRATFHKPKQPSLFNFSTSITNSQQRILISKSILLALVFLLRFSALRYCSTAAMIIAEFMGTVTARRFQRNRRNGWVEIRGFVLLFSGLFMLSFGWDRAECFPLSRIGVDNCVRVWQLLLPFLSGFLACYEPCVSVDSYGSFKQLDRKRVRLVTLFFTTVVLFVPAVISYFVYEAGEDSVSFGNLAWPLANTVVFGVLLSENCYSGDDLMSFKDSKREFLVMFTCTLILELFYYPDISLWGLLICGLLLYVAVRDLDSFNSDDIVFGDDSSQFLTEMVMKPIRHILSERKSRKIALFLLINAGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANNHYNYGRGRFEVLSGYTNAVFLVLVGALIVVESFERILDPQEITTNSLLVVSVGGLVVNVIGLVFFHEEHHHAHGMSGSCSHSHPHSHSELHNHDPHHHHHESNREIISVSSSCQDNSCSGDLGHHNHSSHERKVEFHTDSHRIQSIKHHNDDHHDHNSHADHHDHNHHAHIQKHDHHDHNHHADHHDHDHNHHADHHDHDHNHHADHHDHDHNHHTGHLDHNHNHHTGHLDHNHHASHHNHSECHDHNHHDHQHHHDADHHSHDMLVRQKQSHRHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLAADPVCSIFISVLIVSSVIPLLRNSAEVLLQRVPRAHEHDLKDSLASVLKIKGVYGIQKFHSWSFTNTDVVGTLHLHVSTDTDKISVKSQVSHLLHNAGIKDLTLQVECVG >CAK8577377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558388087:558388616:1 gene:gene-LATHSAT_LOCUS29491 transcript:rna-LATHSAT_LOCUS29491 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVETLYNQNGVDTEEEIYRVTYYGKGRMPGFGKECMPRGQCTFGARLEDEDIKILAEFVKLQADKGWPSVETEEK >CAK8567713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538581605:538583827:-1 gene:gene-LATHSAT_LOCUS20738 transcript:rna-LATHSAT_LOCUS20738 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTTNETQITPTHVSDEEANLFAMQLASASVLPMVLKTALELDLLEIIAKSGPGAHLSPLDIASQLPTKNPDAPVMLDRILRLLACYNILTCSLRTLEGGKVERLYGLATVAKYLVKNEDGVSISALNLMNQDKVLMESWYHLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMSDHSTITMKKILETYTGFQGLKSLVDVGGGTGAVINTIVSKYPTIKGINFDLPHVIEDAPSYPGVEHVGGDMFISVPKADAIFMKWICHDWSDEHCLKFLKNCYEALPENGKVIVAECILPVAPDTSLATKGVVHIDVIMLAHNPGGKERTQKEFEDLAKGAGFQGFKVHCSAFNTYIMEFLKV >CAK8565940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356486586:356488415:-1 gene:gene-LATHSAT_LOCUS19113 transcript:rna-LATHSAT_LOCUS19113 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGSNRKPSQVGLIRDHQYERQEELQHEVSDLLVFSATDDVIAFREAVEKDDHDVDEVGLWYGRRVGSKEMGYEERTPLMIASLFGSKAVLSYILETGCVDVNRACGLDRATALHCAVSGCSAASAEVVKLLLDASADVGSVDANGNRCSDLVVMMPNSISGSRNRKLQAILEGIDDLDDDDDFLDKVGFQKEKQQEDIGTPRIEKKDYPVDHSLPDINSGIYSTDEFRMFIFKVKPCSRAYSHDWTECPFVHPGENARRRDPTIYQYTCVPCPEFRKGSCGKGDACEYAHGIFECWLHPAQYRTRLCKDETECTRKVCFFAHKSEELRPMYASTGSALPSPTSYSNSPGASSMDSFTLSSPSGLTPSAASSPAVGTMWPTHSHAAIPTLQMPRSRFKTALNARDNTEFLEHQNRFMQNLMIEEMTGLSSPSNRLAGASPVILEDIFGSQIQSPTSIHVHPNVTQQLWSFSSDLTNSNVIGSPQVTVDPSKNSKNDVLAKRSQSFIERSSMASFSSKLSCATSVDMEPYTIFSGWGSPDGKLDWSIRGDELNKMKKSYSSGFKNQSCNSTMVAQNADDQDVLLRQESWVNSLVKDAPTMELDQYCVAV >CAK8573943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648927461:648927889:1 gene:gene-LATHSAT_LOCUS26337 transcript:rna-LATHSAT_LOCUS26337 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTSLVLLPIPPPCNIIRRSAPFKSFISASKRDSFGHHYDGKMVDENMIILRMRIREIEMLENKSKPPSHWTEWEKKYVEDYGSDVCDGVGSLQRMLMNTRPGLVVGILAMIMLSMSMSMSLLVFQLMELGNASMLAFSSV >CAK8542653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535187384:535187869:-1 gene:gene-LATHSAT_LOCUS11475 transcript:rna-LATHSAT_LOCUS11475 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLNADGVCKDNNRARCWGIIKDSAGNWVGGFVIPLGWCNTFVAEFWEVFEGPKYTKRLRLNKVEINLNSTVFVKVFRKGGSRCFVGHALMKQIRRLIELHGEIKVVHVYRESNRCVDKLVSVGNFLEVPYISYNVAPHHHRSMLKDDSKGVVTPRMMRV >CAK8542851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553833330:553834313:1 gene:gene-LATHSAT_LOCUS11655 transcript:rna-LATHSAT_LOCUS11655 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVQNKIEDKTPIDVKEEKVEIEKDLESTKCKGEKKHNDEEKKKDKEKKKEKKDKTDGEGKEDEIEGKDKKDKEKKKKEKDVKTDEDGDGNKEEKKKKDKKEKKGKEDEDKDGEEKKEKKKEKKEKEDGDKDGEEKKEKKKEKKGKEDGDKDGEEKKEKNEEGEDGDGDKKKKKEKKEKNEEGEDGDGDKKKKKKEKKEKNEEGEDGDGDKKKKNKDKKEKKKDKNEKEEGEVSVRDIGIEETVKESEKEDDKKEVKEKKKKKEDKGEKKKKASKTNDVGALKQKLEKVNGKIEALVEKKADIERQIKEAEDGGHEINVKDKGEI >CAK8542852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553833330:553834313:1 gene:gene-LATHSAT_LOCUS11655 transcript:rna-LATHSAT_LOCUS11655-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVQNKIEDKTPIDVKEEKVEIEKDLESTKCKGEKKHNDEEKKKDKEKKKEKKDKTDGEGKEDEIEGKDKKDKEKKKKEKDVKTDEDGDGNKEEKKKKDKKEKKGKEDEDKDGEEKKEKKKEKKEKEDGDKDGEEKKEKKKEKKGKEDGDKDGEEKKEKNEEGEDGDGDKKKKKEKKEKNEEGEDGDGDKKKKNKDKKEKKKDKNEKEEGEVSVRDIGIEETVKESEKEDDKKEVKEKKKKKEDKGEKKKKASKTNDVGALKQKLEKVNGKIEALVEKKADIERQIKEAEDGGHEINVKDKGEI >CAK8560613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28839887:28841483:1 gene:gene-LATHSAT_LOCUS14274 transcript:rna-LATHSAT_LOCUS14274 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNGKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTTTSDVNLVSNVIGDGGQEEGWVVCRIFKKKNHLKTLDSPLGSGDGRRNHHLYDNCDEGALEQILQQMGRGGCKEENYEANYNNNNYGRFTRPYETSLNNNGGYNNERFMKLPSLESPKSTSMENNENNNEGYHAIIQVDVANENEGSFTNHHHHHNHHSNMVNNPLEASSSMVIASCGDGGLANWVALDRLVASQLNGQTESSRQLACFNDPTMGYCTNDHDLQLPTLRSSSSTSSLSTHARATTSTTTATTTYISPPHEYASEIDLWNFARSTSSLLSSSEPLCHVSNTSA >CAK8531585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127673076:127700029:1 gene:gene-LATHSAT_LOCUS1366 transcript:rna-LATHSAT_LOCUS1366-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKCVPSGDAPAVNLKHLRISRKVPEKTHPDVENQNRNIDLDVDLGEVYFLIMHFLSGGPCHKTYLQFRNELVENQLLPRRYHAWYSRSGACSGVAHDDGQSFPIGYNKLVERYPHIEKDHLVKLLKQLLLNTASLSPDLSTGKAPNAADVPTLLGSGSFSLLSHDKDKVNEDAKPPPPYMRWPHMKANQVHGLNLREIGGGFPRHHRGPSIRAACYAIAKPSTMVQKMQNIKRVRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYSLASCRGHEGDITDLAVSSNNALVASSSNDCVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPNAVYQLLSSSDDGTCRIWDARHTQSRPRLYVPKPSDSVGRSSGQSSNTMPQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKLSMDDTDQPNHEIDVLSGHENDVNYVQFSGCAVASRFSTTETWKEENVPKFKNSWLNHDNIVTCSRDGSAIIWIPKSRRSHGKSGRWARAYHLKVPSPPMPQQPQRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTESTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQKDAKYDQFFLGDYRPLIQDTHGNVLDQETQLIPYRRNVQDSLCDSAMIPYPEPYQSEFQKRRLGALGIEWQPSSLKLAVGPDFSLDPEYHMLPLADLDMLTEPLPEFIDAMDWVPEIEVFADDTDSEYNLTEDSSSRGEKGYSSSSASGDPGCSTNNSDDEDTHMDCIRRSKRKKQKTGSEIMTSSGRRVKRRNLDECEGNVVSSSRNWKRKSEQKTSRRKSSKSKSSRPQRAAARNALHLFSRITGTPIEGEEDSLVDDSSDSDSSLQESNTDSDESGRASPIDQRNYSKGKEVLLYESEDIKSHKLADTHVNRRRLVLKLPIRDSSKTTHEFKNQAELVGTSSKTAQESPDFNKIGPSSTEPGYCFGNGSSSSIERIDQVKLDQVSKGIDLLEKIRWGEVRARSTKPLRAREAVPPAANPNSVRLPNHLNENENVSTGHEKEDKDFSSTPTPALEIQNDKVESLPEINDNCTGTTSQALNPTENGGPLISSSNYRDQDESIVSACMIPRDNTLVSVGHNVADQLPEQNIGFPSVSTKLRSKRGARVPESPCKLETKSSVLKNSASSCTADNNLKNEEHVVVVKDDNDTRVTSNLNENGCQKVDAPTKQVSTSHDSIEPHSNRDRMFKAVYRRSRSHKAVTNLADGSGLGESTSNGSNSNFNVAVDSNGTNEALHTNGSLELEQSTCVLNNERSNLKVQQGNGSSMVRIPQNVSPNIGKLSEEEMGSCSKLTVGLRSTRNRRSTYNIRETSPVNRRKSLQLAVKGSWLLLSTHEEGCRYIPQQGDEVVYLRQGHQEYIDYSRKRESGPWVSLKGHLRAVEYCRVQSLEYSHVPGSGDSCCKMTLQFVDPNSSVFGKTFKLTLPEVSGFPDFLVERTRFDAAIQRNWTRRDRCRVWWKNEDNSSGNWWEGRIQFVKAKSSEFPDSPWERYSVRYKSDLSDEHLHSPWELFDSDTQWEQPHIDNNTRNKLLSALTKLQQSSNKIQDRYGLHELEKISNKTKFTNRFPVPLPIELIQLRLENSYYRSLEALKHDVSILISNSTSFFEKDAEMTAKIKRLSEWFARTFSSL >CAK8531584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127669509:127700029:1 gene:gene-LATHSAT_LOCUS1366 transcript:rna-LATHSAT_LOCUS1366 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMALQKCVPSGDAPAVNLKHLRISRKVPEKTHPDVENQNRNIDLDVDLGEVYFLIMHFLSGGPCHKTYLQFRNELVENQLLPRRYHAWYSRSGACSGVAHDDGQSFPIGYNKLVERYPHIEKDHLVKLLKQLLLNTASLSPDLSTGKAPNAADVPTLLGSGSFSLLSHDKDKVNEDAKPPPPYMRWPHMKANQVHGLNLREIGGGFPRHHRGPSIRAACYAIAKPSTMVQKMQNIKRVRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYSLASCRGHEGDITDLAVSSNNALVASSSNDCVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPNAVYQLLSSSDDGTCRIWDARHTQSRPRLYVPKPSDSVGRSSGQSSNTMPQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKLSMDDTDQPNHEIDVLSGHENDVNYVQFSGCAVASRFSTTETWKEENVPKFKNSWLNHDNIVTCSRDGSAIIWIPKSRRSHGKSGRWARAYHLKVPSPPMPQQPQRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTESTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQKDAKYDQFFLGDYRPLIQDTHGNVLDQETQLIPYRRNVQDSLCDSAMIPYPEPYQSEFQKRRLGALGIEWQPSSLKLAVGPDFSLDPEYHMLPLADLDMLTEPLPEFIDAMDWVPEIEVFADDTDSEYNLTEDSSSRGEKGYSSSSASGDPGCSTNNSDDEDTHMDCIRRSKRKKQKTGSEIMTSSGRRVKRRNLDECEGNVVSSSRNWKRKSEQKTSRRKSSKSKSSRPQRAAARNALHLFSRITGTPIEGEEDSLVDDSSDSDSSLQESNTDSDESGRASPIDQRNYSKGKEVLLYESEDIKSHKLADTHVNRRRLVLKLPIRDSSKTTHEFKNQAELVGTSSKTAQESPDFNKIGPSSTEPGYCFGNGSSSSIERIDQVKLDQVSKGIDLLEKIRWGEVRARSTKPLRAREAVPPAANPNSVRLPNHLNENENVSTGHEKEDKDFSSTPTPALEIQNDKVESLPEINDNCTGTTSQALNPTENGGPLISSSNYRDQDESIVSACMIPRDNTLVSVGHNVADQLPEQNIGFPSVSTKLRSKRGARVPESPCKLETKSSVLKNSASSCTADNNLKNEEHVVVVKDDNDTRVTSNLNENGCQKVDAPTKQVSTSHDSIEPHSNRDRMFKAVYRRSRSHKAVTNLADGSGLGESTSNGSNSNFNVAVDSNGTNEALHTNGSLELEQSTCVLNNERSNLKVQQGNGSSMVRIPQNVSPNIGKLSEEEMGSCSKLTVGLRSTRNRRSTYNIRETSPVNRRKSLQLAVKGSWLLLSTHEEGCRYIPQQGDEVVYLRQGHQEYIDYSRKRESGPWVSLKGHLRAVEYCRVQSLEYSHVPGSGDSCCKMTLQFVDPNSSVFGKTFKLTLPEVSGFPDFLVERTRFDAAIQRNWTRRDRCRVWWKNEDNSSGNWWEGRIQFVKAKSSEFPDSPWERYSVRYKSDLSDEHLHSPWELFDSDTQWEQPHIDNNTRNKLLSALTKLQQSSNKIQDRYGLHELEKISNKTKFTNRFPVPLPIELIQLRLENSYYRSLEALKHDVSILISNSTSFFEKDAEMTAKIKRLSEWFARTFSSL >CAK8570161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26716917:26717512:1 gene:gene-LATHSAT_LOCUS22938 transcript:rna-LATHSAT_LOCUS22938 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQSNLCCDGYIKLQACIHKVPVSSSERGSQWPEKWPARLTKVPYWLSSSQVGVYGKPTPEDFAADDKHWKNVVSKSYLNGIGIQWSNVRNVMDMNSIYGGFAAALKDLKIWVMNVVSIESADTLPIIYERGLFGIYHDWCELFSTYPRLYDLLHADHLFSKVKKR >CAK8567249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497914474:497914749:-1 gene:gene-LATHSAT_LOCUS20318 transcript:rna-LATHSAT_LOCUS20318 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLVLKLMEDPKERDRRFREHVYKVKDRCAKTKEMWSYPMRPYGFWTFERHNSQLAWDAQISQVAGRRDPYDDILRHYPASSK >CAK8544095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662536820:662537389:-1 gene:gene-LATHSAT_LOCUS12801 transcript:rna-LATHSAT_LOCUS12801 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVTITSSSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVIC >CAK8532163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214225792:214227766:1 gene:gene-LATHSAT_LOCUS1894 transcript:rna-LATHSAT_LOCUS1894 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRNSKKSRSPLIESTFKLPADIPAWPPGDGFATGIIDLGGGLLVSQISSFNKIWTTYEGGPDDLGVTFFEPTGLSEGFFVLGHYCQPNNKPLHGWVLVGKDSSSTANQALKKPLDYKLVCNIKSLQNKQDEQGYIWLPIAPNGYKVVGHLVTTSQDKPSLDRITCVRSDLTDECVKYKSMKLWGAENKRLNVYDVRPIKRGIEAKGVCVGTFLAQSGRTKTDPKTLPIVCLKNTNANRFSSMPNLHQIETLIKAYSPYMYLHPMENYLPSSVEWFFINGALLCEKNKGVINESPIEPTGSNLPQGYSSIERFSHDYWLDLPMDETERERIKKGNLQSSKAYIHVKRMLGGTFTDIVMWIFYPFNGGARAKVAFLNIPLRSKGEHVGDWEHVTLRISNFSGELRSVYLSQHSKGEWVDSCELEFQNGNRPLVYSSLHGHALFPRPGCVMQGVRGFGIRNDACKSELVMDMVKGFEIVGAEYLGSEIREPSWLNYEMNWGPKEGPKGPKQKDFWKGDER >CAK8533386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611734531:611734920:1 gene:gene-LATHSAT_LOCUS3017 transcript:rna-LATHSAT_LOCUS3017 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKPSSSSSKSGLPTMEQDELPIYAEIVNVSEPEPELERKPERVWIHDVFLSFRGEDTRASFTSHLYTALQNAGINVFMDDNDLQRGDYISASLS >CAK8544114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664132074:664133369:1 gene:gene-LATHSAT_LOCUS12817 transcript:rna-LATHSAT_LOCUS12817 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVVCRKLYDYIRYDLKEIAFPSSLPNPPNLKKRRKLTWEQRIWVLKRATRLYAASWVRDIGPDLRPNDYKTDEVNDEPNAIKKTTEDKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDAMKSFIEGYQEGVQQVMEKKENSKTEEDADASKKST >CAK8576627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498536370:498541279:-1 gene:gene-LATHSAT_LOCUS28801 transcript:rna-LATHSAT_LOCUS28801 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHFNHTSLLQNRNLTSHSNRHLSLHFLAPSLLKNSTGFNPTYQYDTLSNHRHLGFIPARVAQNHEVIEESEDTDQISEGFDVDIDKEEVNELVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYSFMFLSIATSNMVATALAKQDREEVQHHISVLLFIGLSCGLAMLLFTRLFGATMLAAFTGPKNGHIVPAANTYVQIRGLAWPCLLVGSVSQSASLGMKDSWGPLKALAVASIINGIGDIILCRYLGYGIAGAAWATLASQVVAAYMMSQTLNEKGYNAFAFSIPSGKEFLSIFSLAAPVFVTLMLKVAFYSLIIYFATSMGTHKMAAHQVMLQIYMLCAICGEPLSQTAQSFMPELMYGVNRSLAKARSLLRSLVTIGAVFGLLLGIVGTFVPWLFPYIFTPDQMVIKEMHKILIPYFLALVVTPATVGLEGTLLAGRDLRFISLSMSGCFCLNGLVLLILIRYGLLGCWFALAGFQWARFSMALLRLLSPKGILYSEDTSQFELQKLKTA >CAK8579385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695123933:695124181:1 gene:gene-LATHSAT_LOCUS31336 transcript:rna-LATHSAT_LOCUS31336 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGSLIMREKIDQMATWLGSTVSSAFFFSLERFSCVNVATSDPDNDEDDDDYSVSTTATTTINNPNSSVQNTNDVANLPV >CAK8572859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567810457:567811698:1 gene:gene-LATHSAT_LOCUS25374 transcript:rna-LATHSAT_LOCUS25374 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKYSLVACMVLLSFLLVCQGSSLTKDFYQHSCPQAADIIKIKTLQHIAANPVLPAKLLRMHFHDCFVRGCDGSVLLNSTANNTAEKDAIPNLTLTGFDVIDEIKVAIEARCPKTVSCADILALATRDAVSAQFNKPMWEVLTGRRDGTVSKSSEVLDNIPGPNFTFTQLIQNFARKGLTLHDLVVLSGAHTIGIGHCNAFSNRLYNFMGKGDQDPSLNSTYAAFLKSKCKSLSDNTTRVEMDPGSSRTFDSDYYPNLLQKKGLFQSDAVLLTQDQATGIAKELVNQNKFFTEFAQSMKRMGAVGILTGSAGEIRIKCSIVN >CAK8561956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418256021:418258139:1 gene:gene-LATHSAT_LOCUS15500 transcript:rna-LATHSAT_LOCUS15500 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNHSCGFSLTKLTKTTTSSLFLRKSHIFLSKNTSPLFLRDSLHVKPLPLSPNITKSLRNCKLSHPFATLSSFAEGGEGQHQSGENNNNEVSGMAKAFNISSRTASAITICIAVAALIFPLFMSSLGQGLALKTRVLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGALLMGTHVTSTMQKGILVADVFQGKDSLLFAGLLSSLAAAGTWLQIASYYGWPVSTTHCIVGAMVGFGLVYGGSGAVFWGSLARVISSWIFSPLVGAAVSFLVYKCIRRFVYSASNPGQAAAAAAPIAVFLGVTGISFSAFPLSKTFPIALSQALACGSVGAFLVDRTIRKQLGHLLEKAKTPQPEPKDETSHQNIGFLSDIAGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAGALAILQGAAKGAEIVIPIDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLCASKLGLPISATHTLVGAVMGVGFARGLNSVRSETVKEICASWAVTIPVGATLSVIYTWILTKLLAYVL >CAK8568088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572567958:572568401:1 gene:gene-LATHSAT_LOCUS21084 transcript:rna-LATHSAT_LOCUS21084 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITYNIKGCGTSIKRQMVCQLILGGNVDVYFIQESKIQNMTDSLVKIIWGKYDYGWSSVEVIGRSRGILTIWMRHKFCSNFSFKGKGYLGVNGLWKGTNCYIVNVYSSCNNVEKRRLWSKLVQLKNNFPKGEWEVGGLQCHQAGG >CAK8541821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409069842:409070916:1 gene:gene-LATHSAT_LOCUS10715 transcript:rna-LATHSAT_LOCUS10715 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTYKTNKYRQPMFEIVGMTSTELTFAVAFAYIESGHTETFCWVLDKLKQLFVKKDLVPQVILTNRDLGLMKAIGTVFPRSINLLCRFHINKNVGAKQKHYVASDMQKKIDELWTDVVWASDEVEYVQRLNQLEQTCVDCNEFIDYVKDIWLTPHRQRFVRVWINRVLHLGNTATNRLKQMLGNSINGMVKCREAMNDNLKLQLSKIRASFQKSFDEVEHAHVSPFYNNLRGSVSRDALRCIAEELKRVDYVGTNKEICRCTLRTTYRLPCACELIGYRIDGIPIPIDAMHVHWRKLSMEVKLDEDVDDGSEVCCWKKDIKK >CAK8567999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562015161:562019588:-1 gene:gene-LATHSAT_LOCUS21002 transcript:rna-LATHSAT_LOCUS21002 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLIGFILAVVSGAFIGSSFIIKKKGLQRAGLNGTPASVGGYGYLLQPLWWIGMVTMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLGEKLQKMGMLGCLLCIVGSTEIVLHAPQEKSLTSVLEIWLLAVQPAFLLYIASAMAVSFFLIFYCAPRHGQTNIFVYIGICSVIGSLTVMSVKAIGIAIKLTFDGSNQFVYFQTWIFIMVGISCIITNLNYLNMALDTFNTTVVSPIYYALFTSFTILASAIMFKDYSGQSISSIASELCGFITVLSGTTVLHCNRVPDPPVSTDVYSPLSPKVSWYIQQGNGEPWKQKEEDGPPLNLIRIIQQDHFK >CAK8568000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562015193:562019588:-1 gene:gene-LATHSAT_LOCUS21002 transcript:rna-LATHSAT_LOCUS21002-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLIGFILAVVSGAFIGSSFIIKKKGLQRAGLNGTPASVGGYGYLLQPLWWIGMVTMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLGEKLQKMGMLGCLLCIVGSTEIVLHAPQEKSLTSVLEIWLLAVQPAFLLYIASAMAVSFFLIFYCAPRHGQTNIFVYIGICSVIGSLTVMSVKAIGIAIKLTFDGSNQFVYFQTWIFIMVGISCIITNLNYLNMALDTFNTTVVSPIYYALFTSFTILASAIMFKDYSGQSISSIASELCGFITVLSGTTVLHCNRVPDPPVSTVQMCTVPCLQKYHGISNKETANPGNRRKKMDRPLI >CAK8574976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19098841:19100274:1 gene:gene-LATHSAT_LOCUS27270 transcript:rna-LATHSAT_LOCUS27270 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFVKIRSFSCFQSLKIFPFSTQQMGMASIADTLYTHLNKNNGISIENSLSKTKPKLDSQCVIKVLSKCCPKQSQLGVRFFIWAGFQPGYRHSGYMYRKACNLLGIDKNSQVICNLIKSYESEGCVVNVNMFREVLKLCKEAQLADLSLWVLRKMEDFGLQPDTVMYNVVIRLFCKKGDVEMAEELMEELSLNDLCPDLITYMAMIEGLCNAGRLEEAYSMLEVMRVHGCSPNSVVFSAILDGLCRCGSMERGLELLDEMEKGGDCCPNVVTYTSLVQGFCKRRQWTEALSILDRMRAFGCLANHVTVFTLIESLCTEGRVDEAYKLVDKFVVEHCVSRGDCYSSLVISLIRIKKLEEAEKLFKEMLAGEIKPDTLASSLLLKEFCLEDKVLDGFYLLDTIENMGFLSSIDSDIYSILLIGLCRNNHLTEATKLVKIMLKKAVPLRPPYRDTAVDILRKYGEKDLVNQLTGINKKL >CAK8570714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:94137904:94138416:-1 gene:gene-LATHSAT_LOCUS23447 transcript:rna-LATHSAT_LOCUS23447 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVSTNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTAYAVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNQQDHYPATTHPKQQTIHPPLSQTLCT >CAK8561344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:159661354:159662742:-1 gene:gene-LATHSAT_LOCUS14945 transcript:rna-LATHSAT_LOCUS14945 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEVILTGSRDGPMVAFEASTGTILAQFTGSRSPCHGLAGIRNKLIATSHVSSDKGPGSIHIYNWDTPTVFQNIPLPEPIIPLTTSSNGDFLFAGGVSGSIHSMPLQSRDIITSFTPYSKPVSSLHLSNDGSLLISGYNDGTIVVIPSFMLLDGSSSCSDPILHKWKAHSDSVTSFNTGIGIHTCTFVSCSMDCTCKFWSLSNEITLIRTLTFPCSISGFVMDSTESGFYAAGLDGFIYKGLMKVGSIKMLEKGKGYELVNWGSKTKNHDGSIVSLVLVNKGRNLVSASKNGSVWMWDVEEGEVIMILGNAQILGSISDMIVVKRTNTIEKNEGGEGVNNGLISSSRLCDEEMIRTWIKIKELENVMDMAVHDQGRAIDMLESTISSYERLLKLILREVTKAIEEADHEDEGNDNKREKD >CAK8571875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488388200:488389603:-1 gene:gene-LATHSAT_LOCUS24498 transcript:rna-LATHSAT_LOCUS24498 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVKEEYMEPFSSSFQFGYENQALILPPKPIEGLHETRPQPFLTKTFDVVNDQSTNHVVSWTRGGTSFVIWDPHVFSNDLLPRYFKHSNFSSFVRQLNTYGFRKIDPHKWEFANEGFLRGHKHLLRNIRRKKGPSQPVDCFGLDAEIDRLRQEKQVLMKEVVSLRQKQYKATLYLLEMEQRLQGVEIYQKQMMTFLDRAMKNPAIIQKLLQQKEKKKELEETVAKKRRLIVGEERRSNVKVEPLELGDCEFSMSELELLATEMQVFGRGEMDKDNKPEALELQERMKRVLDDEGFWEELMFNVEYEGRFDIPAAEDNDENEDNSSELLNREFKISSFP >CAK8544718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701886224:701888450:-1 gene:gene-LATHSAT_LOCUS13373 transcript:rna-LATHSAT_LOCUS13373 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVSESCVDSLMTEMVACYCNRFYANKPELAARRIEAIGYQVGQQLSERYTMERPRFGDHLEAIKFICKDFWSEVFKKQIDNLKTNHRGTFVLQDNKFPWLARMSVDPSADNVSSVEDYSAPTAESKAAQAMSMHLYFPCGIIRGALSNLGIPCAVSADISSLPACSFVVRIKA >CAK8539297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508583839:508586395:1 gene:gene-LATHSAT_LOCUS8406 transcript:rna-LATHSAT_LOCUS8406 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLAQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLIVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVVGPSNRPNR >CAK8566796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463634246:463635001:-1 gene:gene-LATHSAT_LOCUS19903 transcript:rna-LATHSAT_LOCUS19903 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKLPPSSFSPNFKNEYLENVVAHTTTTNNNDTLLMPPPSPTQTFSNGHTDLPQDPREKTTKSSSKKRKGRPQGSRNKPKPRIIIEENKEALTEVVTIKICVGEDIVKTIINYAIQRQVDIVVSRGFGLVTNVTLLDPISCVPLLPIEGPLHMTSLFGTYVNPNCDCAPLQFIANPPCSSFTIYFSGINGHVFGGVVGGKVIAAGVTFINATLVKKITFSRAVSIKSNDRKIEEGEPIHDNGVIINTDV >CAK8567192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493441470:493450026:1 gene:gene-LATHSAT_LOCUS20263 transcript:rna-LATHSAT_LOCUS20263 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCQREIEKLQLHNAGFLAQKRLARGLKLNHTEAVALVATQIVEFVRNGDKTVAELMCIGRQLLGRRQVLASVPHLLETVQVEATFRDGTKLITVHDPIACENGNLELALFGSFLPVPSLDMFIENNEDDNIPGEIKSGDGSLILNDGREAVYLKVVNNGDRPIQVGSHYHFIEVNPYLIFDRRKAFGKRLNIASGTTTRFEPGESRSVILVSIGGDKVIRGGNNIVDGPVNDLKCIEAMEAVRTRGFGHKEDENAREGITGEDYSLTKVIPREEYANKYGPTTGDKIRLGDTNLFAEIEKDFAVYGDECVFGGGKVIRDGMGQSCGHPPDLSLDTVVTNAVIIDYTGIYKADIGIKDGLIASIGKAGNPDVMNSVFANMIIGANTEVIAGEGFIVTAGAIDCHVHFICPQLVYEAVSSGITTLVGGGTGPAFGTRATTCTPAPVQMKMMLQSTDDLPLNFGFTGKGNCAKPDELHEIVKAGAMGLKLHEDWGTTPAAIECCLAVAEQHDIQVNIHTDTLNESGFVEHTIAAFKGKTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDSPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKSQRGPLQPDDCDNDNFRIKRYVAKYTINPAIANGLSKYIGSVEVGKLADLVLWKPSFFGAKPEMVIKGGDIAWADMGDANASIPTPEPVIMRPMFGAYGKAGSANSIAFVSKAALDFGVKALYGLDKKVKAVDNVRKITKLDMKLNDALPEITVDPETYTVTADGEVLTCTPATTVPLSRNYYLF >CAK8574256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672498872:672499470:-1 gene:gene-LATHSAT_LOCUS26616 transcript:rna-LATHSAT_LOCUS26616 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSFLHELILGTPIIYDQFQKSDNRYLLDSASQQYQYPSKHQSICRASSSQKKRSKKADSNSEISGRLRTNISETIKRKLSLGARILQMGGVEKVFMKYFSVMEGERLLKVCHCYLSTTSGPLAGLLFISTEKVAFCSDRSIKVFNQKGQMCRIRYKVSCEQTYS >CAK8542019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455618301:455619053:-1 gene:gene-LATHSAT_LOCUS10894 transcript:rna-LATHSAT_LOCUS10894 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARIQAQRYSFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSILKQDYKDDITREEAVQLALKVLSKTMDTTSLTSDKLELAEVFLSPSGKVKYQVCSPENLTKLLVKSGVTQPATDTA >CAK8565421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:185447755:185448117:1 gene:gene-LATHSAT_LOCUS18639 transcript:rna-LATHSAT_LOCUS18639 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPIPLTAAQVGTYFVRQYYHILQNQPEFVHQFYSDASTMLRIDRNARETVAAMLQIHALVMSLSYTRIEIKTAHSLDSWSGGVIVMVSGPVQIKDNLRRKFMQTFFLAPQEKRQSC >CAK8534511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737554995:737569894:-1 gene:gene-LATHSAT_LOCUS4054 transcript:rna-LATHSAT_LOCUS4054 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAIVGAGISGLLACKYVLQIGFHPVVFEADDGIGGLWRHTIESTKLQNPKETFQFSDFHWDSSVKQDCPSSQQLLDYLNSYAQHFSIVPYIRFNSKVIDIDYVGESDEEMKSWELWNGDGTPFGSKGTWHITVQDTKSLSTEVHIAEFVILCIGKYSGFPNIPEFPPGKGPENFEGKVMHSMDYSALDNDIAAELIKNKRVTVIGSEKSALDIASECANANGVTHPCTIIQRTSHWFLQDFNIGGINLAFLYFNRFAELLVHKPGESFLLSLLATLLSPLRWGISRFVETYLRWKFPLKMYGVLPNHSFLQDISSCKTGVLPEFFFDKVKEGSIVIKKSQSFSFCNEGLIIDGDAKSIETDLVILATGYKGEQKLRSIFKSPMFQSYINGSVNSTVPLYRQIIHPRIPQLAIIGYAESLSNIFSNEMRCQWLAHLLDGNIELPSIREMEKDVKIWEDNMKQHTKNSNLRSCIVTCGIWYNDQLCRDMKCNPRRKNNIFAELFEPYGPSDYNGLVRK >CAK8566385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:417829691:417830651:1 gene:gene-LATHSAT_LOCUS19518 transcript:rna-LATHSAT_LOCUS19518 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNTDSFGVTRPPSESLPERGKLLKLESELQPNLEPESDLQPNLEPESELQPNLGSKPQPELELQPNLEPESDLQCKPKLEYLLSSKSMKKLKMSDLSIMYDDDPKPFQFMCPRFVYKNKARIKLDEEIKVAMDDYRERSRNLSPFDAICRPKVLMLENCGGGPRPIPITESKRLELTPLCKLALDKYNADKDTHFVFADIVKTTWRPGAMYYITFLAQDSSNNNNNTSLTTFQAQVSNMRPAPEIYSCAIKT >CAK8544516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689898067:689899821:-1 gene:gene-LATHSAT_LOCUS13187 transcript:rna-LATHSAT_LOCUS13187 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGGLADVHHEMDDDSKEEENYVSNIVIMGVSMLLLASVTIAVFANLSGGTKNDGNFKSVKSICAKTESPGTCLHVLNRVGEIASPLDYINAAINATLEELMIVNMPKPDSEGFLNPLQRASYRDCLELLSMGKDELERVYVMVNSSVDSKEIINSLSAIISYQQTCSNELLITNNFDILGYSLKLPLVLTRITLAIVDNFYEEPVIEERFKKLLTRANLQGVEVDHENKRIIVARDGRGHFSTITESLNACARNKESSCVIYVMKGVYEERVVIPKNLEHVFMYGDGPTQTVVTGINTKIVTTPFRSASFVVNGKGFICKDMGFIAPAHIADAPALVVFCDHAAFFNCKIDGGEGSLYAVANRQFYGNCEIHGSIEIIKGDSATMIQNSRIIVKPQNSSIVPRKKVVSFQSRIDKHERTGFVIQNCTIIAEQGETNENNHSLVGSTCLGIPYHEYSRTVVMESFLGDVIRPSGWCQCSDNYGVDTASFIEHNNRGIGAITSKRVRWESFREVSQNDKSEMMKFTADEFIQANQWLKSTGIPYEPGFFFHK >CAK8560864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51394911:51396898:1 gene:gene-LATHSAT_LOCUS14506 transcript:rna-LATHSAT_LOCUS14506-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAKRYAVVTGANKGIGFAVCKQLASKGLTVVLTARDQNRGLEAVEKLKHLSLPGLVLFHQLDVSDPPSITSFADFIKNQFGKLDILVNNAGIPGVHVNGEALAATNIMVNNAGIPGVHEDGIKTNYYGAKELTEALIPLLQLSSSPTIVNVSSSMGRLENVPNGWPKEVLSDVENLTEEKIDEVLNQFLKDFKEGSIVENKGWPINMSTYVISKAALSAYTRVLAKKFPSICINAVCPGFVKTDINSNTGYLTPDEGAESIVRLALSPDGSPSGCFFVRSEEKPF >CAK8560863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51394911:51396898:1 gene:gene-LATHSAT_LOCUS14506 transcript:rna-LATHSAT_LOCUS14506 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAKRYAVVTGANKGIGFAVCKQLASKGLTVVLTARDQNRGLEAVEKLKHLSLPGLVLFHQLDVSDPPSITSFADFIKNQFGKLDILVNNAGIPGVHVNGEALAATNIMVNNAGIPGVHEDGEAAKIVENLGQIDWSKILTESYEQTEAGIKTNYYGAKELTEALIPLLQLSSSPTIVNVSSSMGRLENVPNGWPKEVLSDVENLTEEKIDEVLNQFLKDFKEGSIVENKGWPINMSTYVISKAALSAYTRVLAKKFPSICINAVCPGFVKTDINSNTGYLTPDEGAESIVRLALSPDGSPSGCFFVRSEEKPF >CAK8539012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501714902:501719077:-1 gene:gene-LATHSAT_LOCUS8152 transcript:rna-LATHSAT_LOCUS8152 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAISWIPKGVFKAEPVFAEPPSKEEIEEIISNTITSVDEENDMEEDTNKQNDDEVAHALTVADAIGKPSKEINDDITLALQTLNMETYDEEDDKGFELFSSGTGDLYYQSNEVDPYIKDKNEEYDSEDLEDMIINPTDSVVVCARTEDDVNFLEVWILEDANTRDVNLYIHHDIIIPEFPLCTAWLDCPLKGGEKGNFLAVGSMGPSIEIWDLDVIDEVEPCVVLGGKEKSKKGKNGKKKSIKYREDSHTSSVLGLAWNKEFSNLLASASADKRVKIWDVVAGKCTLTMEHHTDKVQAVAWNHHRQHVLLSGSFDQTVALKDVRNPSHSGCTWSVGADVESLAWDPHAENLFTVSLEDGTVKCFDVRNAMSNATSEQSATFTLHAHDKSVTSVAYNMSAPNLLATGSTDKTVKLWDLSNNQPSCVATKEPKAGSVFSISFADDNPFLLAIGGSKGKLELWDTLANEGVSRRYEKYSRNQPQSGA >CAK8574114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663272279:663275361:1 gene:gene-LATHSAT_LOCUS26489 transcript:rna-LATHSAT_LOCUS26489 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLTGCLAALIVMTILSVLVGWAAPNLISRNWTHHITTFLFLGFGLWSLKEAIFEGGESEELAEVEAQLDKDWKAKNGATKDSRKDDDATKKHTRSFLSQFFSPILLQAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTTAAVIGGKSLASQISEKVVGLSGGILFIVFGIQSFLSPVE >CAK8539243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507493568:507495508:1 gene:gene-LATHSAT_LOCUS8356 transcript:rna-LATHSAT_LOCUS8356 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINSLWNFTKKFVTIGLITVTVSDRYVTVVPVRGGSMSPTLNPKTDSFTDDYVLVERFCLQKYKFSHGDVVIFRSPLNYKETHIKRILALPNEWFVTRHNNDVLRIPEGHCWVEGDNASSSMDSKSYGSVPLGLVRGRVTHVVWPPHRIGAVKNTKTEGLLPSL >CAK8569990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19535532:19537968:1 gene:gene-LATHSAT_LOCUS22782 transcript:rna-LATHSAT_LOCUS22782 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNMFFTILITFSVTLITYNIIISGNAPLKQDFPGGPSRRPSITIDPVIKMPLEKQKSSSSRRLFHTAVTASDSVYNTWQCRVMYYWFKKFKEDSDSDMGGFTRILHSGKADQFMDEIPTFVAQPLPSGMDQGYIVLNRPWAFVQWLQQADIKEDYILMSEPDHIIMKPIPNLARNGMGAAFPFFYIEPKKYENVLRKYFPEENGPVTNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVASALHGVRNILHKDFMIQPPWDKELGNKYIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYDGVAPPKNLTMPPPGVPESVVTLVKMVNEATANIPNWTS >CAK8577062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535165169:535166423:1 gene:gene-LATHSAT_LOCUS29204 transcript:rna-LATHSAT_LOCUS29204 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSEDHVTKMLQAQTKVFNHIFSFINSMSLKCAIELSIPDVIQNYGQPIPLSQLIAKLPIHPSKTGDIYRLMRVLTHSGFFNVIENNNDVEEVMYELNDASRLLLKDHPYSMTSLLHVILGPIMSKPWCQLSSWYKNEDLSPFHTENNGVAFWDYAGHDPKLNELFNEAMACETRMASSAVMGKFRKVFEEVGSLVDVGGGVGTMSMAIAKSFPNIDCVVFDLPHVVDGLQGSENLKYVGGDMFVAIPPTHSILLKGVLHDWNDEECLKILKKCKEAIMSKGKVIIIDAVMGNEKEENETIEAQLFYDLEMMVLVNGKERNEKEWSKLFFSAGFREYKITHGLGFKSLIEVFP >CAK8563629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621232690:621240936:1 gene:gene-LATHSAT_LOCUS17021 transcript:rna-LATHSAT_LOCUS17021 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSKSQPSSASNSEEEEEVENVNDQIDDDDDELETVARSASSDDDDNVPDEDEVVEYAEDDDNEDESNVIPEISKREKARLRELERLKKQKIQEILDAQNATIDASMNTKGKGRLKYLLQQTELFAHFAKSEEASSQKKTKGRGRHASKMTEEEEDEVYLKEEEGGLGNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPVLRAIKFLGSPDERKHIREDLLVAGKFDVVVTSFEMVIKEKTTLRRFSWRYVIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTSAGKMVLMDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGDDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEESTAELDAKMKKFTEDAIKFKMDDTADLLDFDDEKDENKVDFKKLVSDNWVEPSRRERKRNYSESEYFKQTMRQSAPTKPKEPRIPRMPQLHDFQFFNTHRLSELYEKEVRYLMQAHQKNQVKDSIDVDEPEEGGEPLTAEESEEKEQLLEQGFSSWSRKDFNTFIRACEKYGRNDITSIASEMEGKTEEEVERYAEVFKERYKELNDYDRIIKNIERGESRIARKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMIHKLGYGNWDELKGAFRMSPLFRFDWFVKSRTTQELTRRCDTLIRLVEKENQEYDERERQARKEKKLASKSSTPSKRVLPRQAESPSLKKRKQLTMDYYVSSGKKKK >CAK8568973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660721494:660723990:-1 gene:gene-LATHSAT_LOCUS21876 transcript:rna-LATHSAT_LOCUS21876 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMESSVNGGGFSHLQSCGGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFDNVPWNGSDMASDDTHKSHKSRHRMHRSLGSCHKTMSRSFSGDSQSKGSVTMPHGSMKVDLSKLEMAALWRYWRHFNLVDVVPNPSREQLLDVVQRHFMSQQILDELQVIKGFVNAAKRLKTVCK >CAK8562052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:431850013:431855391:-1 gene:gene-LATHSAT_LOCUS15585 transcript:rna-LATHSAT_LOCUS15585 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETRRMRRFVSHQRPNNTLLPLHAPPSSSTHLSNTVSFFYSDYKFTFFNKPIYLFGRRYAKFFRLWFSIGLGFALSVMLAVTLILLWELATALHLCGDSIKFRSVAGELLFGFPHLLSGLRLSLADAGYICISTVISVFVHEFGHALAATSEGVQIEYIAIFVAVLFPGALVAFNDELLQALQHLTSLRIYSAGIWHNAVCCAACGLTLFLLPLLLFPFYSSDHGPMVLDVPPTSPLFGYLAPGDIILSVDNVPIINAQEWLRLNTLTYDNQLKNINISQHIGDLGVLNNTKGYCVPSLVMEAGKMTELLESQHVCPGELTAFVKLSCSDNITLDDGQNETELSNGRWNTHCLNAKDVVKLDKCGDGWGLATSKRSSCLCSQDEFCLAPVQEPGMVWVEITYSSPFHECLHEENRFPVSKTSGLKETNCNATFIFVGDVISMARSIHLTSYQPRWGPKIVAYFPNFLERILIWTFHVSLALALLNGLPVYFLDGESILEETLSHFTSLSPRKRKKVLRLCLLGGLLVSLFGLFRLLL >CAK8572287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526723841:526727729:-1 gene:gene-LATHSAT_LOCUS24869 transcript:rna-LATHSAT_LOCUS24869 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSSSSSSQSQYAYSNAPYFPVPFHLQQPATTTHYAAPYVAAPTVQLPPPPVVGPVPPPSAYSVPQYQAQQLFERDAQIITPEALENVKAAIASSDVEHKAETKKKAVPRKAAGQAWEDPILAEWPEDDYRLFCGDLGNEVNDDVLSKAFTRFPSFNMARVVRDKRTGKTKGYGFISFANPADLAAALKEMNGKYVGNRPIKLRKSKWRERTDYDALEKQKNHIQKKPKMSRKSILHK >CAK8531440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110537580:110538740:1 gene:gene-LATHSAT_LOCUS1233 transcript:rna-LATHSAT_LOCUS1233 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVIDFLDLRGSDFPEFDIVYSLERTINSSCCFDDFDRSLIDKMKKQGVFLLQCAVKLFLSTGRRPLPLVVAILVLVAEINQVEVRMEDLAKEVHVVVSTCRTRYKELLETLVKIAQVLPWGKDITKKNIVKNAPFVIQYMEKKSMSKPVEKRKNLDQTSFDLEEVVVECLAQENGYEYGVDGLVSRKDSQYLSLPSNADREGIRDIDTSQISPECLSLIYEKFLNKNRGAMLSRTANVQKHKRVEFDFHECREWWDGESELSRKLILRKLLEKDIGAETMPPSFINGHLKCKIRRERIDAARKRIKRITHPLNADLDETVPLDILDSTCTEKRKKRKGVVVEGIDWEDLIIETLILHQVKEEEIEKGHYNTLLGLHVFNSGVV >CAK8536390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937382513:937383843:1 gene:gene-LATHSAT_LOCUS5764 transcript:rna-LATHSAT_LOCUS5764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGNTARSIFRSSSACRTAFRFGSEAKAARSPFRIASNKPTSQSAFRSPVELSFCVESMLPFHSITASALMTSKLVVSQRSYGWLPEGKEKTI >CAK8536389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937382513:937384369:1 gene:gene-LATHSAT_LOCUS5764 transcript:rna-LATHSAT_LOCUS5764 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGNTARSIFRSSSACRTAFRFGSEAKAARSPFRIASNKPTSQSAFRSPVELSFCVESMLPFHSITASALMTSKLVVSQRSYGWLPEDCNDDV >CAK8564616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2557916:2561641:-1 gene:gene-LATHSAT_LOCUS17903 transcript:rna-LATHSAT_LOCUS17903 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVEEKLMSASVKVLLEKLFSPEFIDYYQSTKLDDSVLINLKTTLFNLQSALVHHHDEEKQISDPTFNEWLDMVRYVVFELHTLFQQINTESETLTPSTKVRNFFPSSFKQFHEVINYEMQRLSLRLEYLILRQQQPGSGFSDCSNAYHGTPARSILRDESSIYGRETDKQKVKHLLLSSSDGDGKISVISIVGMGGIGKTALAKLLYNDYQVKEKFEFKVWVYISKDFDVHRVFKTILESITSQSISSDNLNFQTVESDNTRGSYTSGMTTYYPNLLFVLVKQILSTSKFLLVLDDVWDTKSVDWIYFMDIFNAVETDSRIIITTRDERVARSMQTFLFVHYLRPLGSEDCWSLLSRCAFGVRENPQRSYLEEIGRKIVKKCDGLPLVVIELGALLCFKLFPDDWNYVLESNIWDQVKHEVHASLESSYHHLSTPLKRCFAYCSIFPKKSILEKQMVVQLWIAENLVELCPGQESLEEVGEEYFDELVSRSLIQQRSTRDEESNFEMHNVIHDLATMVSSPYCMRLDEHNLHESVHYLSYNRGLYDPFNKFDKLSGLKRLRTILALPLQTQLPCCLLSNKVVHELLPTMKQLHVLSLSNYKSITEVPNSIGNLLYLQYLNLSHTKIERLPSETCKLYNLQFLLLAGCKRLVELPDDMGKLVNLRHLDVCDTALREMPVQIAKLENLQFLSDFIVSKHNDGLKVAELGKFPHLHGKLFISQLQNVNDAFEADQANIKMKEQIDELVLEWDYGSTFPDSQIKSVVLEHLQPSTNLKSLTIKGYGGINFPNWLGDILFNNMVYLKISNCDDCLWLPPLGQLVNLKELIIEGMQSVHTIGTEFYGSDNSSIQPFPSLEILHFADMHELEEWNLTGGTATKFSSLKTLSLSKCPKLIVGNIPENFPSLIELELRECALRVQLMPSPNNVFRQLMFPLNSLRQLTIDGFSSLMSFPVDSLPKTLKILIINNCENLEFLPCEYLSSYTSLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSILIAEGASQKSLSFLRSIKIWDCNVLESFPILDTQNLVYFALWKCDKLPLLPAAMHTLTNLQELEIDDLPSLRYCFIVDLPSSLQELTLGSVRGISWGARSTWEHFTCLSGLRINGDDTVSMLSKTLLPVSLVKLCICGLNDTNIGELWLQRLTSLQNLEIINAPKLKLLPKNGLPSSISVLCVTRCPLLEASLRKKRGINWRKVAHIPAIIINDELIT >CAK8536431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941013218:941013517:1 gene:gene-LATHSAT_LOCUS5800 transcript:rna-LATHSAT_LOCUS5800 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGLFAASVAAASATAVSVSSSTNNSHHQGGTAERRGRDEVSSSEKFAPRFDGLRFIETLITAHR >CAK8539752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524047187:524049143:-1 gene:gene-LATHSAT_LOCUS8820 transcript:rna-LATHSAT_LOCUS8820 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPVNFITVLSIDGGGVRGIIPGVILAYLESQLQEIDGADARLADYFDVIAGTSTGGLITAMLATPDPESNNRPLFAARQIVPFYLKNLPLIFPQKSGIFAPLMNMAKVLMGPKYNGEYLHKTIREMTGNTLLSQTVTNIVIPSFDVEKFQPTIFSSYQIDAEPALDVKLSDICIATSAAPTFLPAHYFEKKDEQGRVIQEYNLIDGSVCANNPTLVAIREVTKDMIRQPKGRSVNDAGVGLDRFLVISIGTGSNKKEKKYNAKMVAKWGALTWLFNSGATPVIDCFNEASTDMVDYHNSVLFTALQSQDNYLRIQDDTLEGELDSVDLSTTDNLNNLVKVGENLLKKKFTRVNLDSGVFETVPDKGTIQKELKRFANLLSEIRKQKKSKHQNGK >CAK8568699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633082879:633084987:1 gene:gene-LATHSAT_LOCUS21631 transcript:rna-LATHSAT_LOCUS21631 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSLCLSPCPSNNNLILPNNNNLIFRNNNNNTITTKVVILNQKRDFSTSSLKPTSQTRRDLMLLGLTSLSLTSLPFSATHAEEEQEQEQDPKMASFIDEINAYSYLYPLEIPSKNLVFKWVESRKPERYSSAAPLSPNARLRMVSERLDIFDNVIISVTIGPPNASLINLKDKSKWTAKDVADSVLADKFSLRVTSTERSAESSVLDAHTDEINGEPYWYYEYIIRKSPTRIPDETGTYRHYLATTAERDGYLYSISASTLSSQWEKMGPVFQQTVSSFRLVSPTENYVPPFKDPWRFW >CAK8573270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599302052:599309216:-1 gene:gene-LATHSAT_LOCUS25739 transcript:rna-LATHSAT_LOCUS25739 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILKTSLFAIPRLTPSFFPYTPPAILPLHCHRPAKTLRTITPSFHNSTCAPMSTHQVRDTIDLTTIEKNIFNRLLATLSHFQLQTQLRVAGGWVRDKLLGKDCYDIDIALDNMMGTEFVDKVREYLLTIGEDAQGVCVIESNPDQSKHLETARMRLFDMWIDFVNLRSEEYAENSRIPSKQTFGTAEEDAYRRDLTINSLFYNINTDVVEDFTKRGISDLKSGKIVTPLPPKATFLDDPLRVLRAIRFGARFDFILDEDLKEAAACDDVKNALAAKISRERIGTEIDLMISGNQPVKAMTYICELTLFWTVFTLPVEYEPVISDGYERLCISYLDNASNLIHLLGESTFTAEQRRLTLYAALFLPLRKTTYSEKKAKKIPVANRIIRESLKRKAKDAETVLDLHRSSYKFLSLIPYLASGEDIQAADLDWMRDLIDVPVSSRARVITGFLLRDLKDFWRVALLISIILHPIDISDSEDEHSQLEKRKDLFNAVENSITKLGLEKVWDVKPLVNGKDVMSVLQLKGGPLVKEWLDKTMAWQLTYPSGSAEECIEWLREANSKRVKLE >CAK8573271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599302052:599309057:-1 gene:gene-LATHSAT_LOCUS25739 transcript:rna-LATHSAT_LOCUS25739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQVRDTIDLTTIEKNIFNRLLATLSHFQLQTQLRVAGGWVRDKLLGKDCYDIDIALDNMMGTEFVDKVREYLLTIGEDAQGVCVIESNPDQSKHLETARMRLFDMWIDFVNLRSEEYAENSRIPSKQTFGTAEEDAYRRDLTINSLFYNINTDVVEDFTKRGISDLKSGKIVTPLPPKATFLDDPLRVLRAIRFGARFDFILDEDLKEAAACDDVKNALAAKISRERIGTEIDLMISGNQPVKAMTYICELTLFWTVFTLPVEYEPVISDGYERLCISYLDNASNLIHLLGESTFTAEQRRLTLYAALFLPLRKTTYSEKKAKKIPVANRIIRESLKRKAKDAETVLDLHRSSYKFLSLIPYLASGEDIQAADLDWMRDLIDVPVSSRARVITGFLLRDLKDFWRVALLISIILHPIDISDSEDEHSQLEKRKDLFNAVENSITKLGLEKVWDVKPLVNGKDVMSVLQLKGGPLVKEWLDKTMAWQLTYPSGSAEECIEWLREANSKRVKLE >CAK8577526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571143424:571148815:-1 gene:gene-LATHSAT_LOCUS29626 transcript:rna-LATHSAT_LOCUS29626 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDVCKIFISNNPSSIRNHELGQRHKDNVTKRLATMRKDNVAKEKEYKETANALEKIEARAQLSYQKDKAKFEEARESHELDAQEWEFDSASGYYYHKTNGFCYDQKSGFYYSDAIGKWVTHDEAYASPHFALNSGRNIPSGKKGSTPSQSKSIETKPIKHLDGLLPGPVLRVNPMRNAKAAPSSLAVGKRKRPNEKSKVVSQEEKEALKAREAARKRVEQREKPLLGLYNKPY >CAK8577527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571143424:571148815:-1 gene:gene-LATHSAT_LOCUS29626 transcript:rna-LATHSAT_LOCUS29626-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDVCKIFISNNPSSIRNHELGQRHKDNVTKRLATMRKDNVAKEKEYKETANALEKIEARAQLSYQKDKAKFEEARESHELDAQGEWEFDSASGYYYHKTNGFCYDQKSGFYYSDAIGKWVTHDEAYASPHFALNSGRNIPSGKKGSTPSQSKSIETKPIKHLDGLLPGPVLRVNPMRNAKAAPSSLAVGKRKRPNEKSKVVSQEEKEALKAREAARKRVEQREKPLLGLYNKPY >CAK8531343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:102655153:102660499:1 gene:gene-LATHSAT_LOCUS1143 transcript:rna-LATHSAT_LOCUS1143 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASIVSNGNGTPTVTTRFASVYSEVQNSRVDHKLRLPSVLQTPFAIVDGPKSSAAGNPDEIAKLFPFLFGQPSAALVPSDSVNALPHRKLKIGVVLSGGQAPGGHNVISGIYDYLQQRASGSTLYGFKGGPAGIMKCKYTELNSEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTQVLGCPKTIDGDLKSKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDVICKRAEVNYNYGVILIPEGLIDFIPEVQHLIAELNEILAHDTVDEAGLWKKKLTDQSLELFDFLPQAIQEQLLLERDPHGNVQVAKIETEKMLIEMAETELGKRKQEGKYKGEFKGQSHFFGYEGRCGLPTNFDANYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGEPFRKFASLRDEWALKNCYISPGPIQFSGPGSDAVSLTLLLESGFQI >CAK8574980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19522038:19522307:1 gene:gene-LATHSAT_LOCUS27274 transcript:rna-LATHSAT_LOCUS27274 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYKAIVQYKNGKERTNISSWFCDSPSYSYMKLPTGDSGRFQTVSSSSSSSNPTKVIISSSGDDRDDVRSPRRRSTGSCRVST >CAK8544671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698626865:698627179:1 gene:gene-LATHSAT_LOCUS13328 transcript:rna-LATHSAT_LOCUS13328 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPSVASPHVDDHRISTTGYRNAESLLRFKPIVEIRNTESTTCKHIDDKKEGLRQLVGNHYRDLIDSADSIVNMKSSCNAISANFTPSLFLINFLKTSFDC >CAK8577104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537205853:537206944:1 gene:gene-LATHSAT_LOCUS29237 transcript:rna-LATHSAT_LOCUS29237 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNHSIALLVLSLGLLALEVSCRSLGDKSIYERHQEWMSQYGKVYKDPQEMEKRLKIFTENVNYIEASNNAKSYKLGINEFADLTNEEFTTSRNKFKGHMCSSITRTTSFKYENASVISSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLTTEAQYPYQGVDGTCSANQASTQAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYDVSSDGTKYWLVKNSWGADWGEQGYIRMQRGIDAVEGLCGIAMQASYPTV >CAK8562752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533324291:533324671:1 gene:gene-LATHSAT_LOCUS16222 transcript:rna-LATHSAT_LOCUS16222 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLAMILALNILFFTAVTSDYVPCPPPLSEDHNHSHPKNTTCSRDTIKLGVCADILGLINVQLGKPPKTPCCSLINGLANLEAAVCLCTALKANVLGINLNLPINLSLILDFCGKGVPKKFVCA >CAK8563704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626280043:626285704:-1 gene:gene-LATHSAT_LOCUS17085 transcript:rna-LATHSAT_LOCUS17085 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRKGHTVMNVGADGVAIITIINPPVNSLSFDVLRSLKESFDQAVQRDDVKAIVVTGAKGKFSGGFDISAFGGVQEAKERPKPGWISIEIITDTIEAAKKPSVAAIDGLALGGGLEVAMACNARLSTATAQLGLPELQLGIIPGFGGTQRLPRLVGLTKALEMMLTSKSVKGKEAFNLGLVDGLVSPDELVNTARQWALDILNRKRPWIASLYKTAKLEPLGEAKEILKFARAQAQKQAPNLKHPLVCIDVIEAGIVSGPRAGLWKEVEAFDVLVQSDTCKSLVHVFFAQRGTTKVPGVTDRGLVPRQVKKVAILGGGLMGSGIATALVQSNYSVILKEVNQKFLDAGINRIKANLQSRVKKGTLTKERFEKSFSLVKGSLDYESFRDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILGSNTSTIDLNLIGEKTKSQDRIIGAHFFSPAHVMPLLEIVRTKQTSPQVIVDLLDISKKIKKTPVVVGNCTGFAVNRMFFPYTQAALLLIERGTDVYQIDKAITKFGMPMGPLRLADLVGFGVAVATGSQFVQNFPERTYKSMLIPLLQEDKRAGEATRKGFYVYDDRRKASPDPELKKFIEKARSISGVSVDPKLVKLQEKDIIEMIFFPVVNEACRVLDEGIAVKAADLDISALMGMGFPAYRGGIIFWADSLGSKYICSRLEKWSELYGPFFKPCAYLAARAAKGIPLGAPMEQAKSRM >CAK8563422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601842110:601842613:-1 gene:gene-LATHSAT_LOCUS16836 transcript:rna-LATHSAT_LOCUS16836 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCPKYPLNLSTDPTQTILTFLTTQGVGLVPASSRFDPVGFRKGLAYFIILDEKPFITVEGEGFKYFCKQMQPQFSISSRRTIARECFQLYLDEKVRLRAFFKSDCGRVAITTNCWTSVQNLNYLTLTAHFIDRDWNYQKRIISFTVIPNHRGRMVGKKVEEVLKE >CAK8566270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398615979:398618516:-1 gene:gene-LATHSAT_LOCUS19413 transcript:rna-LATHSAT_LOCUS19413 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDRRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8541557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:245510223:245521547:-1 gene:gene-LATHSAT_LOCUS10470 transcript:rna-LATHSAT_LOCUS10470 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLGQAQSNWEADKMLDVYIHDYFLKRKLHATAKTFMTEGKVSTDPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSEAAVSYIETQQSKAREQLQMQQLQLMQQRNQLQRRDSNHPALSGSLNAMNSEGMLGQPPASVLAMKMYEERMKHPHSMDSEASPALIDANRMALLKSATSHQGQLVHGNSGNMSSALQQMQARSSLNADIKEVNIGASPKNLPMDSSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLTGIDQLRPGLGVQVQKPNLTAQNQYLLASQQQQVLAQAQAQNNLGNSNYGDMDPRRLSGLPRGSLNAKDGQSNRNDGSICSQMQSGSPKMKMTQSQQSLSQQQEQLQQQHQLQQSNRKRKQASSSGAANSTGTGNTAGPSPNSPSSTHTPGDGLNTASSLQHVNNVQKSMMMYGTEATGGLASSSNLLDDIERFGDVGALDDNVESFLSNDGGDGGNLYGAIKQSPAEQQKESSKGFTFAEFGCIRTRNTVTCCHFSSDGKLLASAGDDKKVVLWNMDTLGTESTPEEHKLVITDVRFRPNSSQLATASCDKSVRLWDAANPTYCVQEYSGHSSAIMSLDFHPKKTDIFCFCDTVNEIRYWNITSSSCTRVSKGGSAKVRFQPRLGQLLAAASDKVVSIFDVETDRLIYSLPGHPEPINNICWDATGDMLASVSPNSVKIWNLTSGDCVQELNSTGNQFYSSVFHPSYSTLLVVGGFSSIELWNMAENKSMTIPAHENVISALAQSPITGMVASASRDNVVKLWK >CAK8541105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67088575:67089414:1 gene:gene-LATHSAT_LOCUS10056 transcript:rna-LATHSAT_LOCUS10056 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSFSNFFSFLSPQTKPQLPLLSQFPSHHHNHRNNKIIPLVLSRNETASPSITSSPPPPPPPKDVSSDFSDFNSSSLYTTNRFNVEVVLEDGEPEDRLLNRFRKDVLSAGILQEYRRRQYHENKQDEKKRKIREASVRNRRSRFPRRPMDGNSPGGGRYNNYWQDDPTPPEEEEDEDIWDLPEEDVLRF >CAK8577844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593687483:593688691:-1 gene:gene-LATHSAT_LOCUS29913 transcript:rna-LATHSAT_LOCUS29913 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISLSSHNILLLKHHSSSSSSSPQQHHPHHSLIHHRNNIKSFQNSTSKKPFFHQPNSLLSHNDTKPSSLPSLMLYYAENALFHQSQTTWEQLLNSSFNPSFNFISKLFKSYTKNQNVDQIINVLHSLNSKNSPLLPQFYSLAISSFGSAGNLKLMEETTNEMVSKGFLMDSKTGNEFLLCYAVYGSLKEMENAYGRFKRSRFLIEINVIRAMAYGYIKKRKFYELGEFVRDVGLGRRNVGNLLWNLLLLSYAANFKMKSLQKEFIRMVELGFRPDVTTFNIRALAFSRMSLFWDLHLSVEHMRSEKVVPDLVTYGSVVDAYLDRKLGRNLEFVLHKMDVDDRPRLSTDPFVFEVLGKGDFQLFSEAFLEYKREEWKWSYRVLIGKYVKKHYRRDHIFWNY >CAK8566465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426086232:426093518:-1 gene:gene-LATHSAT_LOCUS19594 transcript:rna-LATHSAT_LOCUS19594 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAFSLVAQQYKALLKKNVLLSWRSKRSILLQLLSPVIFIFLIFAIDKAIKAQTSTTSSYKSVTNPSSLPSPPIPPCESKFFVKLPCYDFVWSGDNNPKFHTIVNRIISNNPGRPIPISKVKSFRDKDEVDQWLFDNPMQCPGAIHFREKNATVISYGIQTNSTSVQKRGRFEDPTFSFQLPLQLAAEREIARFLIRDPNFKWNVFLKEFPHPAMSPFSAVGTIGPAFFLAIAMFNFVLQMSSLVTEKELKLRQAMTVMGLYDSAYWLSWLTWETFVTVLSSILIVLSGMAFQLDFFLKNNFAVLFFLFFLFELNMTGLAFMLSTFIRKSSSATTVGFSIFIVGFVTQLVVQAGFPYTNSISVTLRNLWSLFPPNPFAQGLSMLSNAVSNPEDNGLSWSKRGECADDDVDCVITINDIYKWLLATFFLWFVLALYFDNIIPNAMGVRKSVLYFLNPRYWMGSGGQKVKEGGVCGCLISFDHEDNSTADDEDVLEEENAVKLQQTQGAVDTNVAVQIHGIKKTYPGTYNIGCCCKCKKSEPYHALKGLWVNFTKDQLFCLLGPNGAGKTTAINCLTGITPVTDGDALIYGHSIRSSTGMSNIRKVIGVCPQFDILWDALSGQEHLELFASIKGISPASIKSITQTSLAEVRLMDAARVRSGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIENAKRGRAIVLTTHSMEEADILSDRIGIMAKGKLRCIGTSIRLKSRFGTGFITNISFYGNNNENSPVNGDAVSTRRHHEAVKQFFKNRLDVVPKEENNNFLTYVIPHERETLLTDFFSELQDREQEFGISDIQLGLTTLEEVFLNIAKQAELESATAEGSLVTFTLTSGESVEIPIGARFVGIPGTESSEYPTGFMVEVYWEQDDTGALCVAGHSQEAPIPQNIQLPSSTTARQSRSGSVPGVVIDPSQVSSANFQ >CAK8574358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677010230:677010619:1 gene:gene-LATHSAT_LOCUS26712 transcript:rna-LATHSAT_LOCUS26712 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDVQNKIKLIQEAIAEDQNNKVKEQSSQQMSMENLKNFFQRKYPNETEDEIMVRILDHMKNQFFSTFPTKPSKDEDLSMKTSSSMGSMDSHNFDGLAGEGQAEEPTAEDFWDAMISSMKEIRKAKN >CAK8567540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523510038:523511555:1 gene:gene-LATHSAT_LOCUS20586 transcript:rna-LATHSAT_LOCUS20586 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARDFRTYGPGLTRNRYEYINAGLYLFATVILCSGFAAQLSLEARSGLVLLLTALTIILAANIHDILAHFAGIDFQLALISFDLQLFFVEFAAPFVQIIGTFLLFLGVLLIFIEEENGHGFLKMEKQVVNMLVAGPVLWVVGSIHNSCQIYERADGHVQILQQFVYVPFLMGSLSFMLGAILNYHEQYKEIHHGIYLLGGTWIWLGIFGSLMFFIGGLTNLVKVFKMQQMNGIRLEKLRGGAQERLVNAREGRVPLILEQHQPIIINRQLPEETKVDMHNPIPTPYKDVLIGLTKS >CAK8538599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488569806:488571168:1 gene:gene-LATHSAT_LOCUS7780 transcript:rna-LATHSAT_LOCUS7780 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCPLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNKEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8572493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541062192:541064040:1 gene:gene-LATHSAT_LOCUS25054 transcript:rna-LATHSAT_LOCUS25054 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDAQKGRRIAIIGVSTLLLVAMVVAVTIGASRNESGADNDIEDNRRNHVSSTMRAVQSICQPTDYKKECVETLTAEAQAGNVTDPKELIKIAFNVTMKKIGEQIKATDLIHEVEKDPRSKDALETCKQLMDLSIGEFTRAIDGIDQFNLNDIDRILMNLKVWLNGAVTYMDTCVDGFENTTTDAGKKMKEILTSSMHMSSNALAIISDFADTFDNWNTSNLFGQRRLLDSDTPSWVSEHRMLFDAKTSSFKRKPNVTVALDGTGDVKTINEALLKVPEKSEKPFIIYIKEGVYNEYVEVNKKMTYVVFVGDGGQKSRITGNKNFIDGVNTYKTASVAIQGDHFTAINMGFENSAGAHKHQAVALRVQGDKSIFFNCSMDGYQDTLYVHTMRQFYRDCTISGTIDFIFGNALSVFQNCTFVVRKPMSNQQCIVTAQGRKERFQPSAIVIQGGSIVSDPEFYPVRFDHKAYLARPWKNFSRTIFMDTFIDDLIHPDGYMPWQTPEGFSGMDTCFYAEYHNYGPGSDKSKRVHWAGIWNLNSKAAHWFAPSKFFHGKDWIEETGVPFFSSIPKHHRHKKTVLKW >CAK8544217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672252781:672253874:-1 gene:gene-LATHSAT_LOCUS12916 transcript:rna-LATHSAT_LOCUS12916 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEIFEKFTWKVENFSRLKKVYYEYFVLGGYPWRICLNPVGPFREGLGIFLEAVETDNMSEGWNRDVKFKLLLFNQVNANITVTKEFHHEFNEKEKVKGAFGFMKTRQLRYPENFVVNDVCIVGAEVLVCKSTHEKQVYQTVNLISSLTFGSQVGHMEAEVIGQNPSTVSIEPTKDSNVESMFAGFRRVLYFLQTKKVKDMNQKGCKELRVLWDEVKKFKFDLTWLMAHVQYALGMQGFVEKAVEVEKLKDTLLVLELETTRIKEKLVRAEISLDVEREVLKAKGVKEIGLTCDLGCGTWKG >CAK8569107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671710221:671713105:-1 gene:gene-LATHSAT_LOCUS21994 transcript:rna-LATHSAT_LOCUS21994 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLNGAISVARALKANSTAVTPLLRPPRAAQYLTTCWTSSNPRNLIDHSGLSTALAIRSNAVGFRYYGSPTATSLAQQDLKATGSEQNHFNPKEVVLFQYEACPFCNKVKAFLDYYDIKYKVVEVNPMNKKEIKWSDYKKVPIVTVDGEQLVDSSDIIDKLVKRIHPDYDLNADEEKKWRGWVDNHLVHVLSPNIYRTVSEALESFDYITTKGNFSLYERLVAKYGGAAAMYFVSKKLKKKHNITDEREALYGAAEQWVDALKGRKFLGDLEPNLADLAVFGVLRPIRHLKSGRDMVEHTRIGKWFSEMEHAVGQAS >CAK8569836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13230325:13233387:1 gene:gene-LATHSAT_LOCUS22645 transcript:rna-LATHSAT_LOCUS22645 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRSLVLYGDGLARFINPSSSHNHHLHSLASLSSCGLLSLSHSPRPETEDQRIVREFALLLDAFQVDDPPKQTLPNRFMGMKASIVTNHSGLKSFGSNLGLSVIQLDELVKQRTSESQDNDVVAAELLNLLGFQEGKVQVNSQFDIVFLHVGAGEKVDGSEQNADVEWVDAFVGAIMRQVQPGSDVGSRLHLSVVVSYGKVLEEDESRFSVLKKADEKDSCFSTLYPLQSYAMKGGVPRKDVRHHLPMLIAQLQYGVTRKDNAHKFSFQDFTENGGNLTIPADRFLHEIAFKLWKAPKYGA >CAK8543956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651125740:651127342:-1 gene:gene-LATHSAT_LOCUS12676 transcript:rna-LATHSAT_LOCUS12676 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRFNRTLFWLSSFVIFSIIIVHYTMPLTSTSNTTINSSQGSFSFQLAILNKLSDVQDFVSLVVSSIAQHHHRDKRNEKCDRVERTSKLFLDCNATIVFTVDLKGRANFSSVQKAVDAVPESSSNTTLIIINSGIYREKVVVQANKTNLILQGQGYLNTIIEWSDTSNSTHGTPYSYSFAVFASKFSAYNISFKNTSPPPSPGEVGAQAVALRVTGDQAAFYGCGFYGGQDTLNDDMGRHYFKECFIQGSIDFIFGNARSLYEDCTINSIAKQDVGVGGSITAQGRQSLDEQTGFSFVNCNIVGSGKVWLGRAWGAFATVVFSTTNMSHVVAPEGWNDWNDPSRDQSVFFGEYHCYGHGANYTARVSYARQLRDYEAVTYMNVSYIDGNDWLLNYLT >CAK8543957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651125740:651127336:-1 gene:gene-LATHSAT_LOCUS12676 transcript:rna-LATHSAT_LOCUS12676-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNRTLFWLSSFVIFSIIIVHYTMPLTSTSNTTINSSQGSFSFQLAILNKLSDVQDFVSLVVSSIAQHHHRDKRNEKCDRVERTSKLFLDCNATIVFTVDLKGRANFSSVQKAVDAVPESSSNTTLIIINSGIYREKVVVQANKTNLILQGQGYLNTIIEWSDTSNSTHGTPYSYSFAVFASKFSAYNISFKNTSPPPSPGEVGAQAVALRVTGDQAAFYGCGFYGGQDTLNDDMGRHYFKECFIQGSIDFIFGNARSLYEDCTINSIAKQDVGVGGSITAQGRQSLDEQTGFSFVNCNIVGSGKVWLGRAWGAFATVVFSTTNMSHVVAPEGWNDWNDPSRDQSVFFGEYHCYGHGANYTARVSYARQLRDYEAVTYMNVSYIDGNDWLLNYLT >CAK8530459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22753633:22758440:1 gene:gene-LATHSAT_LOCUS322 transcript:rna-LATHSAT_LOCUS322 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAHQRPLFSDRVTVNGTVTPLALIADGRLWWSEGIQRCLSVEKEVLGFVASGPYVILKTLVEARDGCCTTGAAGRLVPHDVVFKPSSDETHSLWCQKLREFIDSLGRPKRLLVFVNPFGGKKSAVKIFDEQVKPLFEDAQIQLTIQETEHQLHAKEVACSLDIKKYDGIVCVSGDGILVEVVNGLLQREDWNTAIKMPLGVVPAGTGNGMAKSLLDSVGDPCAIANAVLAIIRGHKRQLDVATITQGETRFFSILMLAWGLIADIDIESEKYRWMGSARLDFYALCRLFNLRQYNGRVSFVPAPGFESYGESTSYPAKSTIKGDSNDPSEGAHVNLQTLYYQGPEINLENMNWRVINGPFISVWLHNVPWGAEDTMAAPDAKFSDGYLDLIITKNCPKLHLLSMLSGLSNGEHVKSPYVTYLKVKAFSLEPGSRTKDQEKEGIIDSDGEVLARGKGTYKCEQKALMAYDKLQITVDRGLATLFTPL >CAK8544357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679558607:679560189:1 gene:gene-LATHSAT_LOCUS13044 transcript:rna-LATHSAT_LOCUS13044 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRAPGNFNAVILKRLFMSKVNRPPLSLSRLIRFMKGKEGKIAVVVGAVTDDIRVYDVPAMKVTALRFTETARARIVKAGGECLTFDQLAIEAPLGQNTVLLRGPKNAREAVKHFGRAPGVPHSHTKPYVRSKGRKFEKARGKRKSRGFRV >CAK8560592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27068046:27068446:1 gene:gene-LATHSAT_LOCUS14253 transcript:rna-LATHSAT_LOCUS14253 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKVNIEEMLPPSYKRGPGRPKKLRRREPDEDPNKGRTQISYCCTNCGVHGHNARSCTVLVPDPKAQKRKPKKKATQTTQPEASTKQHNSEASTERQQPHHESST >CAK8537521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:383287947:383288486:-1 gene:gene-LATHSAT_LOCUS6810 transcript:rna-LATHSAT_LOCUS6810 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKKALKSRIFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPAQASNKLKLSQSSKSSKQFILQFPNHISSYIDDVVNVVSDGNCGFRVIASLYGYGADG >CAK8560038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5767984:5768199:1 gene:gene-LATHSAT_LOCUS13755 transcript:rna-LATHSAT_LOCUS13755 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKVVFYSKGCFVKDPNIRYEGGEVYAFSGQDPNYWSFFEACDLVKLIEPEFDICGVKMWWKHDEGSFE >CAK8542292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499030422:499031798:1 gene:gene-LATHSAT_LOCUS11139 transcript:rna-LATHSAT_LOCUS11139 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGTNLVTTVIGFGMSATFIVFVCTRIICGRLRGGVESRMMYEIESRYDIEQPEHHVNDPEPEPVLLEAIPTLKFNQEAFSSIEDTQCVICLAEYKEREILRIMPKCGHTFHLCCIDIWLRKQSTCPVCRLPLKNSSETKHVRPVTFTMSQPLDEPPHTSERNADIERHIEPTAVNSVQPTSEGPEARQ >CAK8543505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:612826710:612827345:-1 gene:gene-LATHSAT_LOCUS12259 transcript:rna-LATHSAT_LOCUS12259 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASENLTQFEDDYSPSSTVFKFDRPIPLLRGPLPASPSDDPSAGPYVLAFRDSQAWASAFIACERKILQQCEEGARIGCAINASSKCKPPWWKALSGPKLSDLKEREICEVREMEECFAVAKEKCVGLAWEKCLAPFRDARIKVGKGALSSKEAVKLIGWASMPMNKTSSKCLIGGQFGVTSQRASELLDYDDFVKRILDERQPGTKN >CAK8537534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:389770232:389771544:-1 gene:gene-LATHSAT_LOCUS6823 transcript:rna-LATHSAT_LOCUS6823 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNKIQALYDTCHVLFSPGNVPNFQQIHYLKNLLDKIEAIDVGIDEFGLCDSPTTDSKVDNGSRRLLCGQSFSEITYIHIHECDDVSIGVFCVPAGKEFPLHDHPGMTVLSKLLYGSVYVKAYDWINFNSTKGQTIGLAGRVKDEVMKAPNEPSILFPRSGGNIHSFRALTSCAILDVLSPPYSQDFGRPSTYYYDIPIPYLNGYSMLEERPLPDDLVVHGAPYIGSSIITNYDGYDFSDL >CAK8574255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672483617:672484473:-1 gene:gene-LATHSAT_LOCUS26615 transcript:rna-LATHSAT_LOCUS26615 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSLLHELVVGTPIIYDQFQKSDNRCLLDYASHQCQYPSKHQSICRASSNQKKRSRKADSNSEISVGLGSNISETIKRKLSLGARILQMGGVEKVLMKYFSVMEGERLLKVCHCYLSTTSGPLAGFLFISTEKVAFCSDRSIKVFNQKGQMCRIRYKVSIPVKKIKSVRQSENVEKPRHKYINIVTVDNFDFWLMGVSKYHKTYKYLEQTISQAEMIYSK >CAK8568620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624846977:624847423:-1 gene:gene-LATHSAT_LOCUS21562 transcript:rna-LATHSAT_LOCUS21562 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVGGDDVISNLADPVICHILSFLLIKQAAASSILSKRWIHLWRSVPVINFKGEESIELGDEEVFNDVVYSILLSRGSNSIESFSLKIHYGNHDLGNLGFPSVLLWINYVVQHNVESIDIHVDIENNFFPNCLYNTMLSPLIYMWT >CAK8573861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643017425:643018694:-1 gene:gene-LATHSAT_LOCUS26261 transcript:rna-LATHSAT_LOCUS26261 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISLSVLFLLFLQFPLQTISTNSEGNALHALRTRLSDPNNVLQSWDPTLVNPCTWFHVTCDSNNHVTRLDLGNSNVSGTLGTELGHLHHLQYLELYGNELRGKIPKELGKLKALISMDLYDNKLEGKIPKAFGKLKSLQFLRLNNNKLTGTIPRELTRLKNLKIFDVSNNDLCGTIPVDGNFGSFPIKSFENNRLGGPELKGLVPYDFGC >CAK8571907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492296061:492296275:1 gene:gene-LATHSAT_LOCUS24530 transcript:rna-LATHSAT_LOCUS24530 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSEGGCNKLQNMLQAAVQSVQWTYSLFWQICPQQLILV >CAK8560238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11488632:11489829:-1 gene:gene-LATHSAT_LOCUS13928 transcript:rna-LATHSAT_LOCUS13928 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDYFVGGYYGAGGIDQFSPEKRYGHGNHGYSDQKPCEPFAIDDLLDFSNADAIMSDGFFDSNVAGNSTDSSTVTAIDSCNSSGSAGDNRFAATIVPCGFAGDVQLTGELCVPYDEMAELEWLSNFVEDSYSAEEELKTLQLLSGAGAVKPQTPESSSSTDTLPPFSADESLRNASFLRPETPLPGKARSKRSRAAPGDWSTRLLHLPDAPVSQMKIMPVKKREDPNAECSGRKCLHCGTDKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVSAKHSNSHRKVLELRRQKEMQRSQHQQILTHSSIFGVSNGGDDFINYHHHHHCGPEFRHVI >CAK8564527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679889725:679890111:1 gene:gene-LATHSAT_LOCUS17826 transcript:rna-LATHSAT_LOCUS17826 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRYAGKKAVIVKNFDDGTRERAYGHALVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEVVTNDALLSKDKKVTALKEAKKRLEERFKIGKSRL >CAK8541222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:91319362:91322189:-1 gene:gene-LATHSAT_LOCUS10156 transcript:rna-LATHSAT_LOCUS10156 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGKLGAMQQLMKGGKMMPANVISDDSILVKKIVSDHNPEGLDYDVKPLLNIVEDILRRSTLTSSEHSSVSELSNVDHVEDRNNLPAYTNMLEALSVKIDRISCEISYKILSGVDAHSTTVSIFEMLTIYKWDVKLVLALAAFALNYGEFWLLAHIHDTNQLAKSMAILKQLPGIMQHSTSLKPRFDTLNDLVNVILEVTKCVIEFNDLPVHYISQDVSAYNIAANHIPVAAYWCLRSIVACAAQITSLTTLGYEIFTSNDAWELSTLAFKLKSIVDHLKNELRICRKHIDDEMDAEAYKMLRELFSRPHTDNMKIIKALIYSQEDILPLYDGVSKKRASLEALRRKNVLLLFSGLEFSTDELLILEQIYNESKAHTKRQDNRYELVWIPIVDQTSEWTDQKQIQFESLRDSMPWYSVYHPSLISKAVVWFIQSEWKYKNKPILVVLDAQGRVACPNAIHMMWIWGSAAFPFTSSKEENLWKDETWRLELLVDGIDSEILNWIKEGKYIFLYGGDDPEWVRRFVKEARKVAQATLTPLEMVYVGQSNKRDQVQRVFDTIIREKLYTHSWSEQSMIWFFWTRLQSMLFSKIQLKQVDDNDIVMQEIKKLLSYDKQGGWIVLAKGSRIVVNGHAATGLQALAEYDLMWKEQAANDGFETAYKDHYGKLHSVANPCCRFEFSHSMGRIPDRLTCPECRRNMHVLTTFQCCHDDNVEEDFFVSSVSPPTTI >CAK8537430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353269246:353275819:1 gene:gene-LATHSAT_LOCUS6722 transcript:rna-LATHSAT_LOCUS6722 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTNNCTICENSNQASICSICVNYKLNEYYTTLKSLKERRDLLYSKLSEVLVRKGKGDDQTNWRVLRHEKLARLREKLHHSQEQVTQGRAKNETISADLKHKYGVLDSALSMLEKNRVEQLEKFYPNLICTQSLGHGAITSERLHKQSVVIKQICKLFPQRRVVVNIQGKDGLYDQICNALLPRALDLKSVPPEDLSVSLGYTVQLLNLIVHNLAAPSLHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQHYCSTNGGENSWTDKSSTNFGVASMESDRRPCLDSSGSSSFNYSLASSHTVQTYNDIQQGISLLKKSVACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVRSVFSLKMVRPRTCKQVQQLNKSVWNMNSAILSSTSLESAHCAPTSRIENYIPSSAASFLYPTDSSDRKRECLIEGWDIVEHPTLPPPPSQTEDVEHWTRAMFIDAKRK >CAK8575845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:335191572:335195734:-1 gene:gene-LATHSAT_LOCUS28075 transcript:rna-LATHSAT_LOCUS28075 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQQSYVSNLRPNCLILHKPCLHGIGRNQTCFKVGSSRPILTKQKNHSKFRKNGCNKIKAVATSEEAIEQKTVKVKATVTVQPTVGGLFKELGEKGYDDIKDLLGKSILLEFASVELDPETNLEKERIKGYVHLTHRSAEEIKYEADFDVPATFGEIGAVLVENEHKRESFMKEIVLDGFLTGPIRFSCDSWVHSKFDNPDLRVFFSTKSYLPSETPEGLKRLRDAELITLRGNGQGERKAFERIYDYDLYNDLGNPDKDPDLKRPVLGGKEQPYPRRCRTGRPRCTTDPLSEKPSDNVYVPRDESFSEVKQVTFSVNTLKSGLHALVPVLRTSVIDKDLGFPIFSAIDDLFNEGFNLPPQQQKDLKTLLPRLVKLVQDIRNDILRFETPATMDKDRFFWFRDEEFGRQTIAGLNPCCIQLVTEWPLKSKLDPNVYGPAESAITTDIVEKQIRGFTTVEDAIKQKKLFVLDYYDFFMPLVEEVRKLEGTTLYGSRTLFYLNEDGTLRSLAIELARPAIGKKPFWRQVFTPSWHSTEVWLWRLAKAHVLAHDAGYHQLVSHWLRTHCCTEPYIIATNRQLSAMHPIYRLLLPHFRYTMEINALAREALINADGVIESSFTPKQLSILVSSIAYDKHWQFDLQALPNDLVHRGLAEKDPNAPHGLKLVIEDYPYANDGLVLWDAIKSWVTDYVNHYYNNDSSTVVSDKELQAWWEEIRTVGHGDKKDEPWWPNLKTNEDLIEIVTTIVWITSGHHAAVNFGQYTYAGYFPNRPAIARNNMPTEDPSDQELELFYDKPEVTLLKCFPSQLQAMTVMTVLDILSSHSPDEEYLGQTVEPSWEEEPMIKAAFEKFQGRLMELEGIVDERNADKNLRNRNGAGILPYELLKPTSEAGVTGKGVPYSISI >CAK8567341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506517086:506519156:-1 gene:gene-LATHSAT_LOCUS20405 transcript:rna-LATHSAT_LOCUS20405 gene_biotype:protein_coding transcript_biotype:protein_coding MDINADDREDSKPVVLVTGCSGGGIGHALARSFAANSCKVVATSRSRSTMADLDHDPKFFLQELDVQSDESVNRVVNTVVNKYGRIDILVNNAGVPCVGPLAEIPLSTVQNTFETNVFGSLRMVQAVVPHMAARKQGKIVNVGSVTGLASGPWSGAYSASKAALHALTDTLRLELGHFGIDVVNVVPGAVKSNIGNSGISIYNSMPEWKLFKPFEAAIRARALFSQRSRSTPTDEFAKHTVAAVLRKKPPAWFSYGHYSTVMAIMYHLPLCVRDFLFKKAMKL >CAK8572087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508583555:508585238:1 gene:gene-LATHSAT_LOCUS24689 transcript:rna-LATHSAT_LOCUS24689 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGGGIGVVLSAKEAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLLDVKQGQNKEGKTVLYLVFEYMDTDLKKFIRSFRQTGENIPSPTIKSLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTSMLKIADLGLARAFTVPLKKYTHEILTLWYRAPEVLLGATHYSMAVDIWSVACIFVELVTKQALFPGDSELQQLLHIFRLLGTPNEEVWPGVSKLMNWHEYPQWSPQNLAKAVPNLDESGLDLLSQMLKYEPSKRISAKKAMEHPYFDDLNKTNL >CAK8534790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769015798:769016039:-1 gene:gene-LATHSAT_LOCUS4304 transcript:rna-LATHSAT_LOCUS4304 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDAIAKVTATEILRSDAIVKVTATKILRSDAIAKVTATEILRSDAIAKVTASEILQLQLRLQTAI >CAK8573498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616163663:616166537:-1 gene:gene-LATHSAT_LOCUS25941 transcript:rna-LATHSAT_LOCUS25941 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWRLVYLLEPAPVTLITTAVAVTFGAAFRALNYGKEMERNRVMSEASITLDRSQALMIPVMSSCSLLLMFYLFTSVSQFLTIFTSIAAATSLFFCLSPYAVYLKSQFGLADPFLSRCCSKSYTRSQGLLLFTCTFTVVAWLVSGHWILNNLLGISICITFVSHVRLPNIKICAMLLFCLFVYDIFWVFYSERFFGANVMVSVATQQASNPMHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVIPGKSASDFMMLGLGDMAIPGMLLALVLCFDYRKSRDTINLSDLHSSKGHKYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPVVVISWMKNDLLELWEGNIPKLNDKNRGVEV >CAK8542061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:463735706:463735992:1 gene:gene-LATHSAT_LOCUS10934 transcript:rna-LATHSAT_LOCUS10934 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEHIRRSSLADLFLDTPLCNAHTTGTDILWASLPMVTLPLEKMATRVAGSLCLSTGQGDEMIVNR >CAK8540447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561483103:561483402:-1 gene:gene-LATHSAT_LOCUS9450 transcript:rna-LATHSAT_LOCUS9450 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWNEVKRKLSANGPKGIKFDQNLVDAFLYAMVKGGFFDAVMQVVEKSKEMKIFVDKWRYKQAFMEKHKKLKVARLRKKNFRKMEGLIAFKNWAGLNA >CAK8532938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:552637926:552639738:-1 gene:gene-LATHSAT_LOCUS2597 transcript:rna-LATHSAT_LOCUS2597 gene_biotype:protein_coding transcript_biotype:protein_coding MFTISSSTFTNTISSSFPSSISPSIFSQNPIFHTTLFTKPFLFHNPLSISLRKPITAIVFCKSSEASEESEEPSVPEDEWLQKLPEKTKPLYSHSLPCIEAWLKSLGFNQSKDDRALWFVNKPDWHAHLSLDATDIYIRYLKSGPGNLEKDMERRFSYALSREDIENAVLGGP >CAK8537231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:169471743:169471952:-1 gene:gene-LATHSAT_LOCUS6536 transcript:rna-LATHSAT_LOCUS6536 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLKKHLKRLNAPKHWMPEQLGGAFAPKPSSKPQNRISRQKEVMVASTFKRHGPFENVINQISHNKD >CAK8531199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88710877:88712877:-1 gene:gene-LATHSAT_LOCUS1006 transcript:rna-LATHSAT_LOCUS1006 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASLCCERLPDGECIEILKDEDDVLIGIDCQNDISEGRKEFLAPAVGMEFESYDDAYNYYICYAKEVGFCVRVKNSWFKRNSKEKYGAVLCCSSQGFKRIKDVNNLRKETRTGCTAMIRMRLVESQRWRIREVTLEHNHILGAKIHKSVRKIGTGTKNKLLPSSDVQVQTIKLYRALVIDAGDGNLNSNVKDGKTFSECSSELSLRKGDTQAIYNFVCRMQLTNPHFFYLMDFNDEGCLRNVLWVDARSRAACGYFSDVIYFDNTYLSNKYEIPLVAFVGINHHGQSVLLGCGLLAGETTESYTWLFRTWVMCMSGCSPQTIITDRCKALQSAIAENFPKSHHCFGLSLIMKKVPEKLGGLRNYDAIRKALVRAVYETLKVIEFEAAWGFIIQRFGLSNHEWLRSLYQDRVHWAPVFLKDKFFGGMAATRSGENLSPFFDKYVHKQTPLKEFLDKYELALHKKYMEESLADIESRSSSPLLKTKCSFELQLSKMYTREIFRKFQLEVGEMLSCFGTTQLHVDGPIIIFLVKERVLVEGNKREIKDFEVLYSRSVGEVRCICCCFNFYGYLCRHALCVLNFNGVEEIPPKYILSRWKKDYKRHYIPDHNSDSSDSIGSIQLCNKLFKSVLQVVEEGMISGDHYNVALQALEESLNKVHDVEQRHE >CAK8536919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39842902:39843940:-1 gene:gene-LATHSAT_LOCUS6244 transcript:rna-LATHSAT_LOCUS6244 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIDELWKRFKSLDVIGKRALKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHESVDQASQSSEMQSQPSQTSKKVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEFDPQPPHKWLTLPDMGYMIVNRYNVVLVCLGIECWTFFPMVTSFSPNVAIYCIGFVNKNNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHCQQLTPILPTHYKL >CAK8535560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863505254:863506491:-1 gene:gene-LATHSAT_LOCUS5007 transcript:rna-LATHSAT_LOCUS5007 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWVVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENRRVWRQFDELCLYRGCLRWGDTIVPYLLDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTTPATTPYETDDGYLEWYYRVSHPRLVPIPYHDAPVEMPAEMPVPVYEAGPSDPSWARVSLLIHCYLQQAGAEDDDPQFANLFEALHIARS >CAK8538959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500388149:500390710:1 gene:gene-LATHSAT_LOCUS8104 transcript:rna-LATHSAT_LOCUS8104 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFSSFNSKPLLLNTHHLLLYHSTTTTPTFSKQLCKTLIQSKLLHQQYIVHGHILYYTNITNLIANYISSNSITNAILLLENLTPSHSSVFWWNQLIRHALHHNSPYIVLSLFRRMKTLNWTPDHYTFPFVFKACGEISCFNLGASIHAFILRSGFDSNVFVCNAVVSMYGKCNALVHARKVFDELCHRGTCDLVSWNSIVSAYSHCFVPKVAVSLFREMTVSYGLMPDAVGVVNILPVCGFLGLGLLGKQVHGFGVRTGLVDDVFVGNALVDMYAKCGKMADANKVFERMGFKDVVTWNAMVTGYSQTSRFDDALSLFGKMREEKIELDVVTWSSVISGYAQKGFGCEAMDVFREMCGCGCRPNVVTLVSLLSGCASVGALLHGKETHCYAVKFILKGGDYDDDDLMVINALIDMYAKCRSLEVARAMFDEICPEDRDVVTWTVMIGGYAQHGDANHALQLFSEMFKINNCIVPNDFTISCVLMACARLAALRFGRQIHAYVLRRSRIDSDVLFVANCLIDMYSKSGDVDTARVVFDNMPKRNAVSWTSLLTGYGLHGRSEDALRVFDEMREVALVPDGITFLVLLYTCSHSGMTNCGIDLFYRMSKDFGVDPGAEHYACMVDLLGRAGRLAEAMRLINDMPMEPTPVVWVSLLSACRIHSNVELGEFAAKRLLELEADNDGSYTLLSNIYANAGRWKDVARVRYLMKRTGIKKRPGCSWVQGRKGMETFYVGDRTHSQSQEIYETLADLIQRIKAIGYVPQTSFALHDVDDEEKDDLLFEHSEKLALAYAILTLPRGAPIRITKNLRICGDCHSAITYISMIVEHEIILRDSSRFHHFKNGSCSCKGYW >CAK8572011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501649142:501650271:1 gene:gene-LATHSAT_LOCUS24620 transcript:rna-LATHSAT_LOCUS24620 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSKKDGVNRCVNNPVAVASLDCKKVDEDHVNGKNDSDSNDLLTLPRKGGMSRKLNKSKTSKRVQWNDMIGNKLVEVLEYEPSDDSDSEDDDSCICSIM >CAK8538488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484773305:484775230:1 gene:gene-LATHSAT_LOCUS7679 transcript:rna-LATHSAT_LOCUS7679 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLLTLIIFTIFIPKTLSQQEYVGIKQPDCGNIFNSTLGNICNSINSCQSYLTFKSNSLYNTSASISNLLNASPSLVSQSNNISTLQTIPTDTIITVPVNCSCSGNNKYYQHNSTYTLNTVGETYFSVANYTYQTLTSCQALMAQNTYAIRNLSVGLNLTIPLRCACPTKKQTDQGFKYLLTYLISQDETVASIADIFGVDPQSIFDANELSSSSTIYAFSPISVPLKTEPPKNIIRAASPPESPPRTPPADGGSSSSKKWVIVGVVVGVVVLLLVILALFLLCFYKRRRPPPKLPPPAVQKLWDSNAKKVSSTTQSSSLSSEGIRYAVDSLTKFKFEDLQSATKFFSEQNKIKGSVYRASLKGDDGAVKILKGDVSSEINILKRINHANIIRLSGFCVYKGNTYLVYEFAKNNSLDDWLHSENSNSTCLSWFQRVQIAHDVADALNYLHNYANPPHVHKNLKSENILIDEKFRGKVSNFGLARVMENEDNEGFQLTRHVIGTQGYMAPEYIENGLITPKMDVFAFGVVILELLSGREVVGGDKNNGLGDQLLSSTVNEVLEGENDREKLRGFMDPNLRDEYPLDLAYSMAEIAKRCVARDLNSRPNVSEVFMVLSKIQSSTLDWDPSSRSVSQVSDS >CAK8567087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485929082:485937755:1 gene:gene-LATHSAT_LOCUS20172 transcript:rna-LATHSAT_LOCUS20172 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSEIHSQISEIHKLCRYDDDDESNPSDSSDLLLDCALHVQNTVQQIVSEFSDFESLGISDFDTYVEHLQKELNNVEGETANVALEIEHLAKTNKDDSIQLEGKLEELEQMTADANEGNASPMLLDTDMNLGENLEQLELENKVDEMKSILEAVECLQCKVEWFNALEQIDDALAGLKVIAFDENYIRLSLQTYVPTAESISCLQRVEDTIDASVLNHELLIEVFEGTTKLKDIQVFPSDIYVDDIVDNAKSVSKSSLQWLIQKLQDRIILSTLRRLVVKDANKSRYSLEYLDKDETIVAHLVRGIDAYIKLSHGWPIFGSPLKLISIKGSDILKKRSPNFHCEVESLAKKLDTHNQQNILDFVDAVEKVIIEQLQLDPRAGAGSG >CAK8541699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:357953885:357957831:1 gene:gene-LATHSAT_LOCUS10597 transcript:rna-LATHSAT_LOCUS10597 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNSGGGEDELYGELWKACAGPHVEVPRAGQRVFYFPQGHMEQLEVSTNQELNQRIPLFKLPNKILCRVVNVHLLAEQETDEVYAQITLVPESNQTEPTSLDPCPVEPPKPRTHSFSKVLTNSDTSTHGGFSVLRKHATECLPSLDMSQSTPTQELVARDLHNYEWHFKHIFRGQPRRHLLTTGWSNFVTSKRLVAGDTFVFLRGDNGELRVGVRHLASPQSCMPSSVISGQSMHIGVLATASHAVATQTLFVVYYKPRMSQFIISVNKYMEAMNQKCSVGMRFKMSFDGDDAPETDKRFSGTIIGQEDISSHWLNSKWRSLKVQWDEPASIPRPDRISPWEIEPLMASVPSSVQPAAVKYKRPRLPSEVPDFGDATLCDSTYWEPGLTQSDVTQVNVMSERKRSESMHMWHHKQNDNSSCNGISRNLTDGSWLSSPHSSGPSHLCHDTTDTSKSVTVSAWPILKTHSEILNKNDNLIDQVDKENKIETATRCIVFGIDLNDFPNASGVTSERCIVTPLSRTDADRNKFDISKASKERKQEQSPNETLSKQINSRSCTKVQMQGVAVGRAVYLTALDGYDQLIDELEKLFDIKGQLQPRNKWEIAFTDDEGDMMLVGDDPWPEFCNMVKRIFICPSQDMHKMSSGTKLPISSMEETVISSDTAER >CAK8567126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489371603:489372714:-1 gene:gene-LATHSAT_LOCUS20205 transcript:rna-LATHSAT_LOCUS20205-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRNMNMLVGVMIISSLVATCFANFNQDFDLTWGDHRAKIFNNGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRLFKNAESVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSVSSNSFSDSTLQSNELDAYGRRRLRWVQKYFMIYNYCNDLKRFPQGIPAECMHSRF >CAK8567125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489371603:489372723:-1 gene:gene-LATHSAT_LOCUS20205 transcript:rna-LATHSAT_LOCUS20205 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMASSIRNMNMLVGVMIISSLVATCFANFNQDFDLTWGDHRAKIFNNGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRLFKNAESVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSVSSNSFSDSTLQSNELDAYGRRRLRWVQKYFMIYNYCNDLKRFPQGIPAECMHSRF >CAK8530988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67976593:67977192:1 gene:gene-LATHSAT_LOCUS810 transcript:rna-LATHSAT_LOCUS810 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRASTSKTSTNKGASTMTGKTFSGVGNLVKLLPTGTVFLFQFLSPVLTNTGHCTTINKYLSGILLVICGFNCAFTSFTDSYTGSDGQTHYGVVTAKGLWPSPASEGVDLSAYKLRFGDFVHALLSLIVFAVLGLLDTNIVRCFYPEFELGQKMLMQVFPPIIGVVSGTVFMIFPSYRHGIGYPTSSDTNGNSQKST >CAK8541619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:301725904:301726314:-1 gene:gene-LATHSAT_LOCUS10524 transcript:rna-LATHSAT_LOCUS10524 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKVARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRRERV >CAK8565779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:331790969:331794171:1 gene:gene-LATHSAT_LOCUS18963 transcript:rna-LATHSAT_LOCUS18963 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGIEDEIVDMSMSPPLNGSMNIARNNEFGDSKEFMSKDAYLRNRYSEIDIEVEDSSSNQNGPLPIFLKFEDIEFKVKNSQLGSSNPVKAMVTKVSTQHSVEQDKYKRILKGITGSIGPGEILALMGPSGSGKTTLLRVIGGRLLDNVKGNITYNDVKYTPAVKRRIGFVTQEDVLFPQLTVEETLAFSAFLRLPTNMNKQQKYAKVETTVKELGLERCRHTKIGGGFLKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLLVTLQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGYPIYYGTARETMEYFSSLRFSPEITMNPAEFLLDLATGQVHDITVPTDIFDDQESAHDSSKAVINYLQHKYKTLLEPKEKVNHRGVSTPEHLQVAIQVKKEWTLSWLDQFVILSRRTFRSRCKDYFDKLRLVQALGVALLLGLLWWKSSTNTEAQLRDQVGLAFYICIFWTSSCIFGAVYVFPFEKYYLIKERKADMYRLSVYYVCSTLCDMVAHVFYPTFFMIIVYFMAGFKRTVACFFLTLLVILLIAITSQGAGELFGAAVMSIKRAGMAASLILMLFLLTGGYYVQHIPKFMQWLKYLSFMYYGFRLLLKVQYSGDELYDCGSKGGCRPLQSSPTFATVNLKGGLKEVWVMLAMAMCFRLLAYLCLRRKIDV >CAK8570646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:81426677:81429184:-1 gene:gene-LATHSAT_LOCUS23382 transcript:rna-LATHSAT_LOCUS23382 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDVAKFGHSPVHKAVILKDYAELKRILGGLPKLCNVAEIRSEAVSNLEEAKADVISAVIDSRDVPNRDTPLHLAVKLGDEVAAEMLMVVGADWSLQNEQGWSALQEAICSREERIAKIIIKHYQPLAWAKWCRRLPRLVATMRRMRDFYMEITFNFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVQPGSLCMISHKEREVLNALDDAAFAANDEEVQQEVAAMSKTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGPWKAKVYDMHNVVVSIKSRGVPGAMTDDELFSTCNGNETESEELNDILTEDERRQLEDALKLDSSEQNNDSDEVIIPHRQSFRDIPIEDASGSTSGENKQEKKGWFGGWRKKDSKHEIASKKFVPARNSLCVEEKVSDLLGDSPSTNQIKPGRHSIEVVVRGDEQRRKKEAKASSANSDSRNRHKDGSRENEYKKGLRPILWLSPNFPLKIEELLPLLDIVANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPSASGEESPIATNLPASSWFQWIKAPYRPSSSAAGSSSRIENTPDPFAIPPDYTWVTAEEKKKKMLEKNKSKKGKSHKQ >CAK8565758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:324253395:324255728:1 gene:gene-LATHSAT_LOCUS18943 transcript:rna-LATHSAT_LOCUS18943 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGPPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGTTESPGKTTTEPQSKPASDPGKPQSKKWFCCIQNPPAES >CAK8536000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897028663:897038235:-1 gene:gene-LATHSAT_LOCUS5408 transcript:rna-LATHSAT_LOCUS5408-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHVCSSVDAIRAFLEHFVDPMLPEKPSIQDDPPLSQQQKIANQVHSVVLLYNYYHRKQNPDLSYVGFREFCKLIVDMRPALLPYMKFTAKPNETDLVDVEEQLSLTEKAITSSYDICTILNPSRSVPNVEGWPISKVAVLLVDSKENCFLRFCSTTGGVWSLIEKDVDTSGQISEVTRDVKSTYQKRRVIKKPSKDGLNEGRILEVGYSAVKEAAGVNSIDIMLLKSYTVYSQSKEKTASRFYIMKCSKLISEGFVQVPIMDLVKSFQGPLVKRSSSSWKVTPVVKHFHMLPYSEIISEWISSETFSNSLQDSKPAEKQLLTRGVTESRVSNEDMSFGLDNKTRSDPVEALNQKGNNGFCSNTRCSTVKKDQDMDMNNSLVFRSKIKEECQQHIANTLQVSEDQKIENPSTQHHSNECTSPSEVEKVVSTRLRITQSGIKDNISESIENCTLIANNSDADPEKVQICIDSKGKMEVTVVCPTVDAVRAFLEQLVDPMLQAKPSTRDDDPPLSQQQRVAKQVHSVVLLYNYYHRKQHPELAFVAFKEFCKLAVDLSPALLIYMKFTQKPNETDLVDVEQQLSLTERVIMSSCDICTSLDASENIPNIEGWPVSKVAVLLVDSKKEICFLLFSSITDGVWSVIEKDTDSSDQNSTVTNGIKHSCRKRRVIKKPTKHALNVNEDGFLQIGYSSVKEVTGVNSIDIMLLGSYTVYSQSKEKTAARFYIMKCSQSNAKGIIHVPIKDLIQSFRGPLVKRSSSSWTVTPVVEYFHMLPYSEIISEWFSRETFSNSLQDSKLVDKQFPKLEVTELYGSSEGISIGLDNKPCNDTIKAINRKENNGCGTIKWCESVKEAKDMDVDNSIVFPSKNKEESKHIVKTLHASKVQKVLNPSLQHHSNDSIEALNQKKNNDCGTIKRCGSVKEAKDMNVDNSVVFPSKNKEELYIVKTLHASEDPKIFNPSVQHHSNDTTEALNQKENIVFGKQRGSVKEAKDMDVDNSIVFPSKTKESKHIVETLHASEDQKVLNPSVQHHSNDTIEALNQKKNNGCGAIKWCGSVKEAKDMDVDNSTVFPSKNKELYIVKTLHAREDPKIFNPSVQHHPNDTIEALNQKKNNGCGTIKRLGSVKEATNMDVDNSIDFPAKHKKSKHIVKTLHASENQKVLNPSVKHLSNGCTSPLKAAKKVVSTRTHITEGGIKDASAFDKICANTTFGNESVEKCTLIENNSNIDLEKFQNFIASKGNILSETAIKVLIRKRNALTLQQRTIEDSIAVCNMKIKKWLIGEEDDMELKIESIIDGCNSTCLRNQGKACQYLEGHQCLLPSVKRKRLAEAVLSFQTPCQARHELDDICHVNNWILPTYKLSQSDGKFQANVRVKGLDFENSCDGYPCSFPHEARDSAAAQMLTNLRSMAKSAI >CAK8535999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897028663:897038235:-1 gene:gene-LATHSAT_LOCUS5408 transcript:rna-LATHSAT_LOCUS5408 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHVCSSVDAIRAFLEHFVDPMLPEKPSIQDDPPLSQQQKIANQVHSVVLLYNYYHRKQNPDLSYVGFREFCKLIVDMRPALLPYMKFTAKPNETDLVDVEEQLSLTEKAITSSYDICTILNPSRSVPNVEGWPISKVAVLLVDSKENCFLRFCSTTGGVWSLIEKDVDTSGQISEVTRDVKSTYQKRRVIKKPSKDGLNEGRILEVGYSAVKEAAGVNSIDIMLLKSYTVYSQSKEKTASRFYIMKCSKLISEGFVQVPIMDLVKSFQGPLVKRSSSSWKVTPVVKHFHMLPYSEIISEWISSETFSNSLQDSKPAEKQLLTRGVTESRVSNEDMSFGLDNKTRSDPVEALNQKGNNGFCSNTRCSTVKKDQDMDMNNSLVFRSKIKEECQQHIANTLQVSEDQKIENPSTQHHSNECTSPSEVEKVVSTRLRITQSGIKDNISESIENCTLIANNSDADPEKVQICIDSKGKMEVTVVCPTVDAVRAFLEQLVDPMLQAKPSTRDDDPPLSQQQRVAKQVHSVVLLYNYYHRKQHPELAFVAFKEFCKLAVDLSPALLIYMKFTQKPNETDLVDVEQQLSLTERVIMSSCDICTSLDASENIPNIEGWPVSKVAVLLVDSKKEICFLLFSSITDGVWSVIEKDTDSSDQNSTVTNGIKHSCRKRRVIKKPTKHALNVNEDGFLQIGYSSVKEVTGVNSIDIMLLGSYTVYSQSKEKTAARFYIMKCSQSNAKGIIHVPIKDLIQSFRGPLVKRSSSSWTVTPVVEYFHMLPYSEIISEWFSRETFSNSLQDSKLVDKQFPKLEVTELYGSSEGISIGLDNKPCNDTIKAINRKENNGCGTIKWCESVKEAKDMDVDNSIVFPSKNKEESKHIVKTLHASKVQKVLNPSLQHHSNDSIEALNQKKNNDCGTIKRCGSVKEAKDMNVDNSVVFPSKNKEELYIVKTLHASEDPKIFNPSVQHHSNDTTEALNQKENIVFGKQRGSVKEAKDMDVDNSIVFPSKTKESKHIVETLHASEDQKVLNPSVQHHSNDTIEALNQKKNNGCGAIKWCGSVKEAKDMDVDNSTVFPSKNKELYIVKTLHAREDPKIFNPSVQHHPNDTIEALNQKKNNGCGTIKRLGSVKEATNMDVDNSIDFPAKHKKSKHIVKTLHASENQKVLNPSVKHLSNGCTSPLKAAKKVVSTRTHITEGGIKDASAFDKICANTTFGNESVEKCTLIENNSNIDLEKFQNFIASKGNILSETAIKVLIRKRNALTLQQRTIEDSIAVCNMKIKKWLIGEEDDMELKIESIIDGCNSTCLRNQGKACQYLEGHQCLLPSVKRKRLAEAVLSFQTPCQELDDICHVNNWILPTYKLSQSDGKFQANVRVKGLDFENSCDGYPCSFPHEARDSAAAQMLTNLRSMAKSAI >CAK8566097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379488068:379488388:-1 gene:gene-LATHSAT_LOCUS19260 transcript:rna-LATHSAT_LOCUS19260 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGSECSSGCESGWTLYLDHSFHTSSQSHTPSLDQTKHIKVQYDEQEDSSMVSDASSGPPHRDYSPSKPAKLAKRSKKRQKVKENTLQPTVPPWWASHHYCPVL >CAK8563081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572295722:572296075:-1 gene:gene-LATHSAT_LOCUS16526 transcript:rna-LATHSAT_LOCUS16526 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNASVSPTIHIARALGVLPIEASLATSLACSLRWSTSCLTSAEELAGSPICVASANYCNSGMLGGLCARQSHHIAHPRYNAGKIKLRTSVTCCPCPDPACECSVAPSVPVALWS >CAK8570652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82387238:82387780:-1 gene:gene-LATHSAT_LOCUS23388 transcript:rna-LATHSAT_LOCUS23388 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLACRIEAKSFEFLGIPIGSNPRLVASWTNLVNKVWVMLADWKGKLLSFGGRITMLKYVIGSLSIFLMSFYKMSVTVWKELDRIQNRFLWGSSTKQPKTVWIAWNKVCRSTDLGGLGIKKMDLFNISLLQKWKWRILTEKADLWLDVLASRHGNIRLAVMGSFEVVPSNLVLIGGMT >CAK8533075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575365756:575366370:1 gene:gene-LATHSAT_LOCUS2726 transcript:rna-LATHSAT_LOCUS2726 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIQENTSPKKSAKESTSGCISHDHDSNFNEVTNNDKSCYVSSSSSFHATTPTTPSKSCSKSNFNIFVSLYKNIKASLSKPIALLRKTCSCFDKHSLQVKENNTSTPKASTSTTSSPTPQVQTLSQDQIAIKSVSHKTTSKGKLKIDDDDDDHNHGHEKEDEDVASDASSDLFEIESTQSYPIRPTMIECHETTTSNVLCDT >CAK8564891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14956469:14957781:-1 gene:gene-LATHSAT_LOCUS18147 transcript:rna-LATHSAT_LOCUS18147 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVATKKVEVSDNVHKDKDMLSNLPDCIILHILSFLITKEAVRTCILSSRWKDLWKHLPALLFHISDFRCFRTNKIFTEFVSKVLSLRDSSVSLHTLDFENRSSLLECHLLTSIVNYAISHNVQRLQLSVTTAIEQIPVALFSSQTLTHLTLSISICYGCENLFPKSLSLPALSTLELENFTFSVDDNDCAEPFSTFNRLTRLLISHCSVKGWGTLCISSATLVNFTMYNDSDDYYKIDFRTPSLCTFSFRGVPYQDISWSNISSLKHVDIDAEVFPYSCYGPQLFLFNWLSEFANIKSLTVTAATLQVLSLIPGLLKFKIPSLGKLKSLKVKIDEIQYGLCVALCNDKLQNVKSENESAMIQKAFDLGLELSPLVPDGIVDFLLQNSPSVEVDFVDCRKITS >CAK8569496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3099313:3104511:-1 gene:gene-LATHSAT_LOCUS22341 transcript:rna-LATHSAT_LOCUS22341 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYDGTVRLGAINMKYDRGEFDSGADVSVSSPVTKQKAAAAKQFIENHYKNYLQGLQDRKDRRRALQRRVQESQLPVEEQEVMMRNLERKETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRGKSSGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLHYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSENVARFYIAESILAIHSVHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKTLDDKYSTILLENEDFNGQESTSETEGYFVSPWLMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFCSDDPRMTCRKIVNWKACLKFPEEPKISAEAKDLICSLLCDVDTRLGTRGVDEIKAHPWFKGIQWDMLYESEAAYKPTVIGDLDTQNFEKFPDVST >CAK8540412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558810604:558811920:1 gene:gene-LATHSAT_LOCUS9417 transcript:rna-LATHSAT_LOCUS9417 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKNNRIIVFGGTGYIGKYVVKSSISLGYPTFVYTRPITSQTSPSKKQLCQEFKSIGVTLVEGNLEHKHLVEVIKQVDIVICTFGYPQVLEQLRIIDAIKVAGNIKRFLPSEFGVEEDRVNPLPPFQAFLDKKRKIRREIEAANIPYTYISASFCGAYFVNFLLRPYEKKNEIVVYGNGEIKGVLNYEEDIGIYTIKVANDPRTYNRIVTYRPSKNIISQNELISLWEEKTNQKFSKIIISEKEIVKLSQTLPPPQDIPISIIHSAYVRGDHVFELREDDLEASQLYPEHNYTSIDQLLDIFLVNPPPPASAAFG >CAK8542575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526854186:526854986:-1 gene:gene-LATHSAT_LOCUS11403 transcript:rna-LATHSAT_LOCUS11403 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLGSASQHFVHRLQRPDLEVHDESQDQDGNNNHEGLDLVSPNHGLGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVSSGCDVFDSVATYARKRQRGICVLSGSGTVTNVTLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLSVFLGGGQGQVVGGNVVGPLVASGPVIVIASSFTNVAYERLPLDEDESLQMQQGQSSAGGGGGGDGVNNSFPDPSSGLPFFNLPLNMPQLPVDGWAGNSGGRQSY >CAK8572266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525490773:525491504:-1 gene:gene-LATHSAT_LOCUS24850 transcript:rna-LATHSAT_LOCUS24850 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNISIKKKSKKKCAPLFSSSIQSLPRDLLLDMIINVTSQSFVDLYNMKLCCRDFLEVAEENYVFQKVSLNQFPLVQWFPNKKALSFLKRCKESGNIESLFREGLCEYFSYPNGNINGLEMLKIATQKGHKEATYMYGMILLCSEDYELREQGLVHMRSLRMSKCIMSSRKKVQCLANCLWKNNGVLSRNQIPLCNSKDTCKGWRLKNGRWLLFDDEDDDIESCEACRWDHELEFFYNLFNV >CAK8538900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499234866:499236800:-1 gene:gene-LATHSAT_LOCUS8049 transcript:rna-LATHSAT_LOCUS8049 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCCSLPSLTTAKSRNIVTKNSCSLTVRKTSDLSVKNSRTRRKSRTCAVSVSDVTTVLDPAPVEITWQIVVGTIAGVTPFVVAGIEFSKRIIAQKECETCGGSGLVFRENNYFRCPECDFGYYGEQTIMYGTSPSFRCIKGPYFSANYLNQW >CAK8538901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499236092:499236800:-1 gene:gene-LATHSAT_LOCUS8049 transcript:rna-LATHSAT_LOCUS8049-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCCSLPSLTTAKSRNIVTKNSCSLTVRKTSDLSVKNSRTRRKSRTCAVSVSDVTTVLDPAPVEITWQIVVGTIAGVTPFVVAGIEFSKRIIAQKECETCGGSGLVFRENNYFRCPECGGFLPWQSWKRFFSS >CAK8574048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655374943:655382387:-1 gene:gene-LATHSAT_LOCUS26431 transcript:rna-LATHSAT_LOCUS26431 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKLALIIQNPSNENEFLLVKQSRPPKFNDEEYDSLVDSDLWDLPSVQLNPLQQQSEPLVEVEISDSHSEEFDIREFDIRSALNEVFGQLGFGTVERVEWKLHKYVKEAAFGPGLPVNTVFIIGKLVDEVKELSDTYKWMSIQSCLNWLLEVIPHGDRVGPLIVVGLINDSSVSANRKVPPAINYQEYPTGVILIPMGSRTERPFQTTNLVVFAPENVQNASKDNKYIASGDALIVDPGCLSEFHGELKKIVTALPRRLVVFVTHHHRDHVDGLSVIQKCNPDAILLAHENTMRRISKDDWSLSYTSVSGDEDICVGGQKLKVIFAPGHTDGHMALLHVNTHSLIVGDHCVGQGSALLDISAGGNMSEYFQTTYKFLELSPHALIPMHGRINVWPKHMLCEYLKNRRSREANILKAIEGGAKTLFEIVAYVYSNVDRRAWIAASSNVRLHVDHLAQQHKLPKDFSIRNFKNTCGLHFLSRWIWGYTSCSLCSRKSSFLIAGVLVGVAVLVQCTTKTKFRK >CAK8574049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655375325:655382387:-1 gene:gene-LATHSAT_LOCUS26431 transcript:rna-LATHSAT_LOCUS26431-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKLALIIQNPSNENEFLLVKQSRPPKFNDEEYDSLVDSDLWDLPSVQLNPLQQQSEPLVEVEISDSHSEEFDIREFDIRSALNEVFGQLGFGTVERVEWKLHKYVKEAAFGPGLPVNTVFIIGKLVDEVKELSDTYKWMSIQSCLNWLLEVIPHGDRVGPLIVVGLINDSSVSANRKVPPAINYQEYPTGVILIPMGSRTERPFQTTNLVVFAPENVQNASKDNKYIASGDALIVDPGCLSEFHGELKKIVTALPRRLVVFVTHHHRDHVDGLSVIQKCNPDAILLAHENTMRRISKDDWSLSYTSVSGDEDICVGGQKLKVIFAPGHTDGHMALLHVNTHSLIVGDHCVGQGSALLDISAGGNMSEYFQTTYKFLELSPHALIPMHGRINVWPKHMLCEYLKNRRSREANILKAIEGGAKTLFEIVAYVYSNVDRRAWIAASSNVRLHVDHLAQQHKLPKDFSLETFYASVDEFGDMLGKL >CAK8573604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624416511:624417431:-1 gene:gene-LATHSAT_LOCUS26034 transcript:rna-LATHSAT_LOCUS26034 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQRCNELSFPLSNSLNHHPQNHNISQDLILEDCDSLVLDFSHKKLCSSRPPKNLFYTPGVDTTTHCSNHNSIKDNNKKMIHKEIEKQRRQEMTTLHASLRSLLPLEFIKGKRSISDQMNEGVNYINHLKKNIKELSAKRDELKSHSSCRFSIHKNNTTVGVEISTREEGVPLSKLLEQLLKEGLDVVSCFSIQVNGRLLHSVQCEVIDSKSVDLSELRKKISKINPSFSCSDHGFK >CAK8541053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:49459313:49463491:-1 gene:gene-LATHSAT_LOCUS10004 transcript:rna-LATHSAT_LOCUS10004 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFKEKLVTLYKSRWFVFVGAMWLQSWAGIGYLFGSISPVIKSSLGYNQKQLAILGVAKDLGDCVGFLTGILCEVLPIWGALLVGACLNLVGYGWVWLIVTGQVPTLPLWAICILIFIGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYVLIHSPDHASLIFMVAIGPSLVAIGLMFIVRPVGGHKQVRPSDGKSFTLVYGVCLLLAAYMMGVMIVQDLVDLSETVVTIFTGILFVILLAPIVIPLSLTFGPVEKPLEEEALLEPQGHSQLDSDEVILSELEDEKPKDMDLLPALERQKRIAQLQSRLLQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLLFISMVLGSGSGLTVIDNLGQMSQSLGYDNAHIFVSMISIWNFLGRVGGGYISEIVVKDHTYPRPAALAAFQLVMTIGHLFIGMGWPGSMYVGTLLVGLGYGAHWAIVPATASELFGLRNFGALYNFLTLANPVGTLVFSSLIASRIYDREAEKQAHGGHLNLGSIVSRALNSGEQLKCEGYICFFLTSSIMAGFCIVATALSMFLVFRTRIVYASLYGKSSMRNLL >CAK8534722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759288974:759290086:1 gene:gene-LATHSAT_LOCUS4242 transcript:rna-LATHSAT_LOCUS4242 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRGTGLDEIQQYVDARWICAPEALWKIFKFTLYELYPSVERLQIHLPNHHQVRFYKHQRITDVLNDNQNAVTMLTEFFALNQMDPHARNYLYREIPEHYCWLKGVKKWQRRQTKRKVIGRIYTVSPSEGEKFYLRVLLSHLKGPTSWEYLLTHNGACFFTFKKSAENWGLLESDNIIRECLLEVSNMRMPYALRRLFVTILIFCEPTDVRGLFNEFYPYMVEDYQMTNIVVGDNFENMLLRELRDLLLLHGKLIKNYDLPMLTTETNEVGGVPTIIQEELSVQIPNEEVQSVVKLNNDQMSAYNVIMNAILQKQGQIFFVDGPGGTGKTFLYRTIMANMRRNNEIVLATASSGITVTLLPGGRTAHS >CAK8564858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13598289:13598710:-1 gene:gene-LATHSAT_LOCUS18115 transcript:rna-LATHSAT_LOCUS18115 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPRLTDALSNCKVDAAQGLRGVLIRIRRFKSLESQYSKVHLKPIKQLWEDFESRERDNKSANEKNEMERMSSVGNFQSVSPTISFSNWLPSFYDEILLYLEQEWKWYHY >CAK8537076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:77908122:77909347:1 gene:gene-LATHSAT_LOCUS6392 transcript:rna-LATHSAT_LOCUS6392 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLDGVSCLLHLPIRGVFWSPEDISEALAVEWVVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFMLVEARYLSLFIDLDGLSGYSWGATVLATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPQAMRWSYRQGALKVDELRPILDELTPVDVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYHRASHPRLVPIPYHDAPAEMPAEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8531525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:120651959:120652972:-1 gene:gene-LATHSAT_LOCUS1309 transcript:rna-LATHSAT_LOCUS1309 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTDIISSTTENLSSLISNCVTAKSLKHAKALHSHLIKTALFFDVFLANGLIDLYSKCGCLESTHKAFDDLPNKTTRSWNTLLSLYCKRGVFNEAHKLFDKMPQKNLVSYNSFISGLTRHGSYEEAVKLFRVMQKGCGGFMLDEFTLGSIVGCYSCLGNVKWLRQVHGMAVILGFHSNVILNNALIDGYGKCGEPDASFHLFSSMFEKDVVSWTSMVVAYTRASIIDDAYKVFDEMPIKNTVSWTALITGFAKNGRCYEALEAFRGMIEEGVMPSAETFVSILDACASKALIGKGKQVHCQIIRGRNSGNLFNVYVCNALIDMYAKYNSIGVPNTV >CAK8542079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469801249:469801860:-1 gene:gene-LATHSAT_LOCUS10950 transcript:rna-LATHSAT_LOCUS10950 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPHPESNIPLIRDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKITPNNGWSIKTKYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFT >CAK8536146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911766264:911767175:1 gene:gene-LATHSAT_LOCUS5542 transcript:rna-LATHSAT_LOCUS5542 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCTAHPHMFSIDSDELCDNDSYINIEFDYAIISVLSDQMFPYSSETKFYDLYYVSKDKEIVNSSVIKWLSEIDVPEAAFAMVVTEISQCVCEMVNGEYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFVNGVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8534841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:775834920:775835672:-1 gene:gene-LATHSAT_LOCUS4350 transcript:rna-LATHSAT_LOCUS4350 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFGSWPSSKTFNFVLNLLVNNKLHDAVFNLYSSASKLGFQVDACCLNIMIKGLCKQGEMKAAFKVFDEFPKLGIQRNERTFCTLMHGLCEKGDVDEAFELLEIMKREKICVDVMVFNVLICGLRKKGRVGEAKEVLEDVMMRNGCFPNESSYQHVLYGLIDFKRFGEAKEVVEKMALKGFVPSFDSYKGLILGFCKEGLVEEVDWGVKGMVRMGFVPRMGMWRQIVKCLVVHRDVGCSFDIILDVDYD >CAK8566433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422983338:422984976:1 gene:gene-LATHSAT_LOCUS19564 transcript:rna-LATHSAT_LOCUS19564 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRNPTVAYSPSTPRRSQRLILLNENNNPTTPKPKSTKKSSIQSRTPAARVRKTPRSNDEVPSPRRSARFSHHKAHLNEKKIHVQHSEFGSSDSTRVKQGKMKESSGDEGIGAEVGGKVKRKHGGDEIVEESPKEHDESGMRGKRKQGGGEAAEGWTKEQELALQTAYFTAKPSPDFWKRVSELVPGKSKQDCFDRVHGDFQTPPPCQPRSRSKTINSSPLNQLSISASKLLKPTEKKVAKSNILKQKSIVTQKSIENMLQCHLKVDQVHKGDIFSVLEPNIDFSTNDFQPSQALCTPKQQKENHGFLQNFTDRSSSFSSHKKSLSRFSGSSGVQELATPPVLKQVKNKVQHEKYVNQLRFRELKRRAASTRTKNSIVGEGNHIKKNVVKAAKDALVSEARDAINKFQQSQVNIMDNTCSSDEDIDDDIGVECDSQ >CAK8560483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21849139:21867529:1 gene:gene-LATHSAT_LOCUS14151 transcript:rna-LATHSAT_LOCUS14151 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMSTASPFFDPQDLTAREFRRYGKRHLNSGDSVQPDYSASKLSESGLFYDGQNIHSPTNAALILENIKQEVESLDADYLDDKSLYSSKRRLSADIPGIPGMDDGFDSVRYSLKVCKQEGDSLGDDAENIFNLFASLFDSSLTGMMPIPDLILRFENECRNVSESIRYGLNIRHRVVEDKLMRQKAQLLLDEAATWSLLWFLYGKGNILLSLDALFLSINYVSILDSSMMSVTEELSKEQILVTGTSHVVACEFVAEDHTAQLCLRMVQWLEGLASKALDLEAKVRGSHVGSYLPSSGVWHHTQRYLQKATSDRNVVHHLDFDAPTRENANVLPDDKKQDESLLEDVWTLLRAGRLEEACGICQSAGQPWRASSLCPFEGLSLFPSAEALVKNGKSRTLQAVEFESGIGHQWHLWKWASYCASEKIAELGGKYEAAVYAAQCSNLKRMLPLCTDWESACWAMAKSWLDVQVDLEVTRALPGGVDQHRTLGDEIDRSPGQVDASFDPSNGPENWPIQVLNQQPRQLSSLLQKLHSGEMIHETVMRQCKEQQRQLQMTLMLGDIPRVLDLIWSWIAPLEDDQNVFRPHGDPQMIRFGAHLVLVLRYLLGDEMKGAFKDKIQSVGDHILHLYAQFLFSKEHEELVGTYASQLARHRCIDLFVHMMELRLHSSVRVKYKIFLSAMEYLPFSSMDESKGSFEDIIERVLLRSREIKNGKYDTLSDVAEQHRLQSLEKAKVIQWLCFTPPSTITNVKDVSKKLLLRALVHSNVLFREFALISMWRIPAMPIGAHTALGFLAEPLKQLAETLETSEDQNVFEDLREFEEWREYYSCDATYRNWLKTELENAEVPVSELSLEEKERAISAAKETLTASLSLLERSEAPWLASIDNVYESDEPVFLELHATAMLCLPSGDCLCPDATVCTTLTSAFYSSVGDEVVLNRQLLVKVSISSRDNYCIDIVLRCLAIDGDGLGPHDFNDGGILSTIMAAGFKGELPRFQAGVTMEISRLDAWYSDKNGILDFPATYIVKGLCRRCCLPEVILRCMQVYASLMGSGVLPDSHDNLIELVGSPETRFLDLFSQQQLQEFLLMEREYSICKMEHSQK >CAK8541376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:142706554:142706889:-1 gene:gene-LATHSAT_LOCUS10301 transcript:rna-LATHSAT_LOCUS10301 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRAEKNEALCMCKERKRFIKVAIDSRYDLAASHVSYIQSLRNVGIALKRYAEAEVLVESSLSISDKTPSQTSYPSPSSPLNVAEVEASDSPLHNESPFSKPPPSLS >CAK8567304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502365130:502367715:1 gene:gene-LATHSAT_LOCUS20368 transcript:rna-LATHSAT_LOCUS20368 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKQTPRHARENQERKKRRNKPGTVALREIRKFQKTFKLLIPYAPFVRCVREITSQVSSLVTRWTPEALLSLQEAAEDCLVRMFEAGWLCALHAKRVTLMKKDIELTRRLTGIGRPW >CAK8543000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564856204:564856757:-1 gene:gene-LATHSAT_LOCUS11791 transcript:rna-LATHSAT_LOCUS11791 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFNSFTITPVTSSSSLFPHPTPNPISRLRIGLPTNCLKGFQISAPIVEKPSKNAIFIASAAAAADSNVADEVQVSESKKESGGVSVEKLPLESKLKEREEKRLKMKLAKKIRLKRKRLVQKRRLRKKGNWPPSKMKKLEGV >CAK8534344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719341258:719341794:-1 gene:gene-LATHSAT_LOCUS3895 transcript:rna-LATHSAT_LOCUS3895 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIHFGNEREQILKKRKSCDEATTNMKTSKRRLCNNNNNEEVKNNKGSVSTTLKLYDDPWKIKKTLTDSDLGILSRLLLAADLVKKQILPMLDVDEARAAETEEGSPVHVFDMETNTMHELVLKRWSSSKSYVLIGKWNQDFVRRRELKKGDEIGFQWDPFNRTFNFCVLKRAMHML >CAK8565884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347115678:347116972:1 gene:gene-LATHSAT_LOCUS19061 transcript:rna-LATHSAT_LOCUS19061 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEMGILSSHAPFVENNWFMEDSYNINRRTKWTQSENKLFENALAVYDKDTPDRWVKVAEMIPGKSVVDVMNQYKELEVDVCNIEAGLVPIPGYSTSSTSSTSPFTLDWVSSSGYDGFRGINSKRSASGRSPDQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTETSKASPTSENTKTSTSPHHHNHSMSINKYSTANAASEMLFQPAMTMTSFNPSHEQVFMSPSNSYGFKMQGQNQSMHRNPLHESSYFGTQTQNMVFQMQPSQQNYSHA >CAK8574206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669635638:669637545:1 gene:gene-LATHSAT_LOCUS26570 transcript:rna-LATHSAT_LOCUS26570 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVDHYTVLGLPSGEEGSNLTEKDINKAYKLKALELHPDKRPDDPNAAANFQQLRTSYDILKDEKARKLFDDLLRVKRDNELRQSQRDGKRRKMVSDLERRERDAFSPDPAAKGREEEDRIAKQLKDEIARIRAMHAKKAAPGFDSKRGSDASGGVGDGVGGGGIDQEKALKVSWERNGEDYSAEKLRELFSKFGEVEDVVIKGRKKKGSALVVMATKQGAAATIGSVIGHLANPLLVLPLRPAMPADSWGSPKSVEPEVPSKLVGSGYQAFEDSVLMKLQKAAAKQRG >CAK8574772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8847032:8852860:1 gene:gene-LATHSAT_LOCUS27082 transcript:rna-LATHSAT_LOCUS27082 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQRVASRETITGHDSKDENSASVRVPSAKPCWRRSLRHVIVASLSSFLYGYHVGVVNETLESISIDLGFSGNTLAEGLVVSICLGGAFIGSLFSGWIADGVGRRRSFQLCALPMIIGAIMSATAKSLWGMLLGRLFVGTGMGLGPPVAALYVAEVSPPAVRGTFGGLTQIATCLGLMAALFIGIPAKEIVGWWRICFWVSVIPAAILTIFMEICAESPYWLFKRGRTIEAEAEFEKLLGGLHVKPAMGELSKSDRGDESGAVKLSELLFGCHARVMLIGSALFALQQLSGINAVFYFSSAVFESFGVPSKMGNTCIGICNLFGSVVSMILMDKLGRKVLLLGSFLGMAVTMGLQVIAASSYASGFGAMYLSVGGMLLYVLSFALGAGPVPCLLMSEILPGKIRAKAMAICLAVHWVINFFVGLFFLRLLEQMGAQLLYSIFGAFCLLAVAFVKKYVLETKGKSLQEIEIALLALEAT >CAK8576592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496288822:496302793:1 gene:gene-LATHSAT_LOCUS28768 transcript:rna-LATHSAT_LOCUS28768 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLQSSFSWFSSSRMLPPTRLKSSKLCCAMNPDSEESSEVINSIKAKKVVPVDRVKLAFEKAKAYKESMKSNSGFGIEETSGGEDNSVKENPNVVDGGEKDVPVWLKIAMETAEKNRQNKGVVVSETDQGLQGGSERKSNSGLGIEKSSGGEDSSVKENPNVAPVDRVKLAFEKAKAYKESMKSNPGLGIEESSGGEDNSVKENPNVVDGGEKDVPVWLKIAMEKAEKNRQNKGVVSETDQGLQGGRERKSNSGLGIEKSSGGEDNSVKENPKVVPVDRVKLAFEKAKAYKESMKSNSGLGIEKSSGDEDNLVKENPKVAPVDRVKLAFEKAKAYKESMKSNSGFGIEETSGGEDNSVKENPNVVDGGANDVPVWLKIAMETAEKNRQNKGVVVSETDQGLQGGSERKSNSGLGIEKSSGGEDSSVKENPIVAPVDRVKLAFEKAKAYKESMKSNSGLGIEESNGGEDNSVKENPKVAPVDRVKLAFEKAKAYKESLKSNSGLGIEESSGGEDNSVKENPNVVDGGEKDVPLWLKIAMETAEKNRLNKGVVSETDQGLQGGGEMKSNSGLGIEKSSGGKDNSVKENPNVVDGGEKDVPVWLKIAMETAEKNRQNKGAVASETDQGLQGGSERTWGENMNDSSVGKKEKLSVSKLDFVGLDFADKRKRRGLPPGLVPISDPYLDCDLPEVELIVGDKTKFGAKTTAPQPEQTAEDESDLYKPKVSTWGVFPRPNNISKTFGGGRVIRPGEVLETAEEKAAKEERTKQILAAYKKKHGLNIDPKLKAECQEELNKGDLLMEAGKLKAALPYYEKVIDKLPFESELHGLAALQWAICLDSLTRHNEARSMYEKLKSHPNGKVGKKARQFMYSFEAMEMLKVKIGSSSYSKDTSYQSYFDAFVEKKTNYTLKVKDEVVQESSMNQVILYILFLTSPIFVVLLLAVQKRI >CAK8564386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671543317:671545022:1 gene:gene-LATHSAT_LOCUS17697 transcript:rna-LATHSAT_LOCUS17697 gene_biotype:protein_coding transcript_biotype:protein_coding MLALANLPLFIGKTFVETKIPTITRSTVVCAAKGPRPRYPRVWKTNKKIGTISKAAKLVQSIKELSNVKEEVYGALDTYVAWELEFPLITVKKVVKTLEYEKEWKRIIQVTKWMLSKGQGKTMGSYFTLINALAEDDRLDEVEELWTKLLMQYTESLPRRFFDKMISIYCKKGMHDKMFEVFADMEELSVRPSNSVVSMVGDVFKELGMMEKYEKLHKKYPPAQWEYRYIKGKRVRIRVQGQSNRVDKYIRKRDNVQPNSGLRQDDSSEETSELIDDEQFEQDADVIFMETEQISNESSQSTEPSLDVEQEKDDIL >CAK8540796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21824849:21825474:1 gene:gene-LATHSAT_LOCUS9765 transcript:rna-LATHSAT_LOCUS9765 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLPKVIEEVNDLDVDNKISCIIVTFNMGWALEVGLKLGIKGVLLWTASATSLACCYRIPQLIHDGIIDSQVFNVEGFVISRGNCGLMWLQRPSHQQYYGRIYNCWPQFKTMI >CAK8572608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550985317:550985823:1 gene:gene-LATHSAT_LOCUS25158 transcript:rna-LATHSAT_LOCUS25158 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAMKSVLKATQNLTITALVQSTYYRMGSPFGKRGHKWTKMLAIDKVFMDGCNKGMVDEVAKVNKHNVMQFDRERFFFMVQEKINQDNGRLTDTFSVDLRNRWCDCGKFQAFHLPYSHIIATCSSIRQDYSIHIPEVFTVLNTFKVYKESFLGLFPRGELTKI >CAK8568537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614736311:614737066:-1 gene:gene-LATHSAT_LOCUS21484 transcript:rna-LATHSAT_LOCUS21484 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSACASSCCASAFIPKSTTRVSSVVGGNASFVNNRISRFRVRVSMVDSSSSSSDFTKRMEQAWLISQQPRPVVCSSCNSKGYIECKWCGGTGFLVLGDHMLCEVPSRNTSCIICTGKGSMCCSNCQGTGFRAKWLEEPPTS >CAK8540374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555504034:555504681:-1 gene:gene-LATHSAT_LOCUS9382 transcript:rna-LATHSAT_LOCUS9382 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAESSTASTSDQDSDEKHRTQHHLTVPSGLTQHEFDSLISFVVEHHTYLIGPGQCSTLLAQRIHAPPETVWSVVRSFDKPQIYKHFIKSCSLKEGFQMKVGCTRDVNVISGLPAATSTERLDVLDDERRVTGFSITGGEHRLRNYRSVTSVHGFDDGDGEIRTVVLESYIVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEGKNRNGDGKSH >CAK8579247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687419640:687420903:1 gene:gene-LATHSAT_LOCUS31208 transcript:rna-LATHSAT_LOCUS31208 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAFLMDWDLEAINETPTTNTNTYFSQLFSELQHDELLFANFPEFSETTNVLIDEFQELCKPFYPLSSQTVVTNSMIVPKQHEEVKEFKVSDEKVASQDPQVSAVSKCKKSKKNKNKSTVKKVTAMDGTLCDAWAWRKYGQKPIKGSPYPRSYYRCSSSKGCSAKKQVEKNHLDPRVYLVTYTAEHNHPQPTRRNSLAGSTRKNNLLATNSSTAPLVKIEDEVTVMASVQMMVKDEEDHHLLEWLEGAQICDDGWIPNKELDEYFIGVDKYQ >CAK8576290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:445327343:445328804:1 gene:gene-LATHSAT_LOCUS28491 transcript:rna-LATHSAT_LOCUS28491 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSVLSSIFFLLFLLIVSNNEKPRVPALFIFGDSILDVGNNNYLPTLVKANFVPYGRDFENHFPTGRFSNGKLISDFASEILGFTAYQPAYLSLYSKGKNILNGANFASAGSGYLDSTAKLYHSLSLSQQLEHYKEYQKELMKIAGRSDALSIIHGALYIVGFGSGDILLNYYINPLLRLAYTPDQFTDILVQNYADFIQNLYAQGARKIGVISVGAIGCLPAAITVFKSAYSNKCVVELNNIALSCNQKLNSTSMNLRKMLPDLNLALLDSYQPVYNLVTKPLEYDTKSIFS >CAK8563110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574284226:574285349:-1 gene:gene-LATHSAT_LOCUS16553 transcript:rna-LATHSAT_LOCUS16553 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSSRRRNTAPPESAPPSSTPAVPAKQPRPTIFSPSPSHYTKPNSDLIQATKSSFLVKPFQSLNLGSKKTKHSQTKHVDSRLQTKSMTTSAIFESSKPNKTHNTQSIVLTPKLEKESIFLTSKKTHKEKPKPQKPGSELERWKLQGLLKNEKKEACKGCVVEDVKDEKSRKVAVVEEGDVVKERVSVSMGQSGGGGRRKSLCGSMVDLGDFFAINGAKMVSADMPPFMQIHAVDCARKAFDSMEKFTSKTLASSLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYILLFKTAVQKAD >CAK8573810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639366344:639369452:1 gene:gene-LATHSAT_LOCUS26214 transcript:rna-LATHSAT_LOCUS26214 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSFCIDDEDIKRTGTWVTASAHIVTTAIGSGVLSLAWAVAQLGWIGGTAALITFSLITLLTSFLMADCYRYPDPVHGKRNPTYMTMVKTILGEVQSKCCGYSQYTNLMGCTIGYTLTAAISMVAMKKSNCFHKFGHQADCNTSNYIYMAMFGVVEIFLSQIPNFHKLSWLSILAAIMSYGYSFIGIVLSAATIAEKGHHVETSLTGVVIGVEVTRTEKVWHIFQAIANIAFAYSFSTVIVVIEDTLKPSPPENQAMKKASLIGITSCTIFYASCGLLGYAAFGNDAPGNFLTGFGFYEPFWLIDIGNLFIIIHLIGAYQVFAQPIYSAVESWCSEKWPQYKFMTKEYNVRIPMAGTWRMNMFKLIWRSTYVVFTTLIAMIFPFFNSIVGLLGALSFFPLTVFFPIEMYLTRAKVPKYSLKWIVMRLIVGLCFFVSLMGIIASIQSIVLKLKIYKPFKS >CAK8575506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:144275894:144289640:-1 gene:gene-LATHSAT_LOCUS27764 transcript:rna-LATHSAT_LOCUS27764 gene_biotype:protein_coding transcript_biotype:protein_coding MFERKQFKTRLCALYQKGRCNRLNCSFAHGNAELRRFSSSSYNGRKDYLGNDLRDKLDRRQLSPPRYSPARDARGRQTIREYSPLRSPDKRSDRRHKRKQAISGQSDISGSLKVSDRNNDQVKEGKMLSSGSRNTLEDQLKKVHSDIKTLENRKFQLSVYLDESVHEVDSLNSRIQDLEAELNKENEEYKRITSRIRKFVRMYNHNLELQDELKRSQVRLQRFGDHLFTDISTIGVSEEDLTVDIVSNGDNTGLPPITKLSLEQNGGSPCRKRLHVERDSVEELKQDKSKVGHLVETERSGKRSRWSLSAKTNDKDCEEAPGNGIEVTRHLDLEGKYKKGIWNSSNNIHSEKPKESRIEVPSTSMAAHVFDEEVDIEHDNGTDISENAKTENENGVEFHVKGISHMLTTDLIPHSNYSQFEGKRENVDVDGLNEEAAGVHVN >CAK8575507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:144275894:144289640:-1 gene:gene-LATHSAT_LOCUS27764 transcript:rna-LATHSAT_LOCUS27764-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERKQFKTRLCALYQKGRCNRLNCSFAHGNAELRRFSSSSYNGRKDYLGNDLRDKLDRRQLSPPRYSPARDARGRQTIREYSPLRSPDKRSDRRHKRKQAISGQSDISGSLKVSDRNNDQVKEGKMLSSGSRNTLEDQLKKVHSDIKTLENRKFQLSVYLDESVHEVDSLNSRIQDLEAELNKENEEYKRITSRIRKFVRMYNHNLELQDELKRSQVRLQRFGDHLFTDISTIGVSEEDLTVDIVSNGDNTGLPPITKLSLEQNGGSPCRKRLHVERDSVEELKQDKSKVGHLVETERSGKRSRWSLSAKTNDKDCEEAPGNGIEVTRHLDLEGKYKKGIWNSSNNIHSEKVTNSLNFRAALPLLPKESRIEVPSTSMAAHVFDEEVDIEHDNGTDISENAKTENENGVEFHVKGISHMLTTDLIPHSNYSQFEGKRENVDVDGLNEEAAGVHVN >CAK8579583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:709717664:709718232:1 gene:gene-LATHSAT_LOCUS31517 transcript:rna-LATHSAT_LOCUS31517 gene_biotype:protein_coding transcript_biotype:protein_coding MWIEPPEVKPVVKLLKQTLVGTGASLVTGVMLFIFATPVENFLRSTFTTEESKSTLQTTKVNRFNLKEKLLKLPAGVKADDKLAVAAADVANGRPVYLSYFEVGYQGGNSEASVVNEWWVEGKRRGL >CAK8565887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347352983:347353651:-1 gene:gene-LATHSAT_LOCUS19064 transcript:rna-LATHSAT_LOCUS19064 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLKATQNLSIIALVKSMFYRLGSLFGKRGYDWTKMLASGQIFTENCNKGMVVEASKSSSHNVIQFDRERFCFMVAERISQHDGRPLGTFSIDIRREWCDCGRFQAFHLPCSHVIASCASIRQDHNMHIPDVFKVLSVFKVYSESFLGLPHHENWPTYEGFTLCHDEIMQRNKKGRLNSTRIRTEMDDPEKEKRRCRICREIGHMRRKCPNVAGPSNRPV >CAK8543463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609609802:609611140:-1 gene:gene-LATHSAT_LOCUS12219 transcript:rna-LATHSAT_LOCUS12219 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVTLEIFTKLEQQWLSVSKCGATSKTRILSIDGGGTTSIVSGAALIHLEDQIRLQTNDPHAQITDYFDIITGTGIGAILAAMITADDGFGRPLYTARDAVSFIADKNHELYKPKSGGIFRRRRGFSSRSVESLLKQMFLRKENDGKSLTLKDTCKPLLIPCFDLKTSAPFVFSRADASESPSFNFELWKVCRATSSTPGLFKPFQFSSLDGKTSCSAVDGGLVMNNPAAAAVTHVLHNKRDFPLVNSVEDLMVLSIGNGAPANRVRDIRECSTSTVVDIALDGVSETIDQMLGNAFSWNRTDYARIQAFGLGEKGSWEEMEVLKERVLESLPFGGKRLLEETNGDRIERFVQRLVATGKSSLPPSPCKVTPLVSC >CAK8572321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529380682:529382566:1 gene:gene-LATHSAT_LOCUS24897 transcript:rna-LATHSAT_LOCUS24897 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTAMEVEENKLNGVSTVSNESVNDNGHESSEIADREVKKCTEVDLFVEDCRESKDILTAKAANCDTDLPIEENEKHEVQKTGDGKELSLTKASDPVTEKNGSYTRVVDTTEAGVTRLNLSPNANNMHSSPYSSKNSQQNSPFTSAKPLQNGDKKNYDDEDNWSVASSAVSMRTARSKVTQGSAPTFRSSERAEKRREFYLKLEEKNRALLEEKSQYEARQKEEQEAAIKQMRKNLVIRAKPVPSFYYEAPPPKTELKKLPLTRPKSPKLNMNRRRTFGDAVNSQPHEVCSRARHSTGSHHIKSGYNTNALTETTKDQITRRHSNGTYKTKERPKVDTETKTAPPNIIQHPNADISVQS >CAK8535693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875033252:875035728:1 gene:gene-LATHSAT_LOCUS5125 transcript:rna-LATHSAT_LOCUS5125 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRVVSRLRVVPLLVLSNGAGTSSSSSCNTEIPPLSTKCLRFCSSSSSEKTSLWWNKSSNKLPHIVKAGEPVLHEPARQVDPNEIKSDKIQNIIDDMIHVMRKAPGVGLAAPQIGIPLRIIVLEDKEEYISYNTEEETKSQDRRPFDLLVILNPKLQKKSNKTAFFFEGCLSVDRYQALVERYLDVEVAGFDRYGEPIKIKASGWQARILQHECDHLDGTLYVDKMVPKTFRNWKNMDQPLAPGCPKLGPRA >CAK8537080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78278173:78280529:1 gene:gene-LATHSAT_LOCUS6396 transcript:rna-LATHSAT_LOCUS6396 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATNGSSPSEQSNGTTIPLSNLSYNSFVNVDRGDPVAFKKFWEKLREEAKVEIKGDEVMSYFGDANLCWYMLPQMRSAILRLHKVVGNANTENKYIVLGNGSSQVYLATLYALSTEKEKPSDFPINVVAAAPHYSEYEVATDLLKSELFQWSGDACVYDKDEPYIEVVTSPNNPDGTLRTPVVQSDAEGKVVYDLAYYWPQYTPINHELNQDIMLFTFSKCTGHAGSRIGWALVKDIEIAKKMVTFLHLTSIGVSKESQVRAAKIIEVICDGHQNSKSIASDRLFFEYSKKMMKERWEKLKAAVQQSKVFTLPKYPTSYCHFTKEISEQYPAFAWLKSVEGIEDAESYLEKLKILTRGGKRFGVDAAYVRISIIGTDDEFIELCTRLANAKIE >CAK8578931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662584815:662588283:-1 gene:gene-LATHSAT_LOCUS30909 transcript:rna-LATHSAT_LOCUS30909 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFCSSGFFTCDERMSQASGKQDVEQLMKEVQEARRIKMIHQPSKVMDMEHELLALRAQLAEKTRYYLRLQKELTRTKKGEENVPHLYELEGNETLGSYH >CAK8531591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127857655:127859609:1 gene:gene-LATHSAT_LOCUS1370 transcript:rna-LATHSAT_LOCUS1370-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSSIQPPQNLHSASFLSSTPKRFCIRTQVPFSARVFFCQAKSGTNESLNVKFLPRMLLAAEKEEAKAVLTLFLKKQGLSNANAMKTINKSDPFIDHLISKLHSKHKTCYLSGRELTTLEIRDAIISYLELLFEEHGHILVDVVENYPNPPVKDKSDVPIPPPNPSPAKKRKAMSLVSGLDPAAGNLRPHFVYLMELGMEIGQIKTIIRKYPAFANYSLDGKIKPVVEFFLELGMPEEQILIILMKRPQLCGISLSENLKPTMKFLESLGVDKKQWAKVIYRFPAMLTYSRQKINESIDFLLELGISEESVGKILTRFPNIVCYNVEDNLRPTAMYFRSLGVDVGLLLFKCPQNFGLSIEAKLKPVTEFFLERGYTLEEIGTMISRYARLYTFSLAGSLMPKWDFFLTMDYPKSELVKFPNFFGYNLERRIKPRYARVKCSGVRLLLNQVLTLSSSKFEDVLKKKMKKLQIDGPKKKMKKLQIDGPKKK >CAK8531590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127857655:127859609:1 gene:gene-LATHSAT_LOCUS1370 transcript:rna-LATHSAT_LOCUS1370-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSSIQPPQNLHSASFLSSTPKRTQVPFSARVFFCQAKSGTNESLNVKFLPRMLLAAEKEEAKAVLTLFLKKQGLSNANAMKTINKSDPFIDHLISKLHSKHKTCYLSGRELTTLEIRDAIISYLELLFEEHGHILVDVVENYPNPPVKDKSDVPIPPPNPSPAKKRKAMSLVSGLDPAAGNLRPHFVYLMELGMEIGQIKTIIRKYPAFANYSLDGKIKPVVEFFLELGMPEEQILIILMKRPQLCGISLSENLKPTMKFLESLGVDKKQWAKVIYRFPAMLTYSRQKINESIDFLLELGISEESVGKILTRFPNIVCYNVEDNLRPTAMYFRSLGVDVGLLLFKCPQNFGLSIEAKLKPVTEFFLERGYTLEEIGTMISRYARLYTFSLAGSLMPKWDFFLTMDYPKSELVKFPNFFGYNLERRIKPRYARVKCSGVRLLLNQVLTLSSSKFEDVLKKKMKKLQIDGPKKKMKKLQIDGPKKK >CAK8531589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127857589:127859609:1 gene:gene-LATHSAT_LOCUS1370 transcript:rna-LATHSAT_LOCUS1370 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSFIFSDIPQTLQLSKPSKMKTFSSIQPPQNLHSASFLSSTPKRTQVPFSARVFFCQAKSGTNESLNVKFLPRMLLAAEKEEAKAVLTLFLKKQGLSNANAMKTINKSDPFIDHLISKLHSKHKTCYLSGRELTTLEIRDAIISYLELLFEEHGHILVDVVENYPNPPVKDKSDVPIPPPNPSPAKKRKAMSLVSGLDPAAGNLRPHFVYLMELGMEIGQIKTIIRKYPAFANYSLDGKIKPVVEFFLELGMPEEQILIILMKRPQLCGISLSENLKPTMKFLESLGVDKKQWAKVIYRFPAMLTYSRQKINESIDFLLELGISEESVGKILTRFPNIVCYNVEDNLRPTAMYFRSLGVDVGLLLFKCPQNFGLSIEAKLKPVTEFFLERGYTLEEIGTMISRYARLYTFSLAGSLMPKWDFFLTMDYPKSELVKFPNFFGYNLERRIKPRYARVKCSGVRLLLNQVLTLSSSKFEDVLKKKMKKLQIDGPKKKMKKLQIDGPKKK >CAK8533746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656529409:656530020:1 gene:gene-LATHSAT_LOCUS3346 transcript:rna-LATHSAT_LOCUS3346 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQIQVHSSATHYETGPYQAPPPQHLRKEIYKGGANYSDRHGHRYADDVDDFSFNLFEKSPSASQILATLGGIFVGGTLLLLASVSFFVSLVGLAIVTPLFILFSPILVPAVFTIGLAVAAVLTADACGLTGLISLSWVARYIRVVQETVPEQVDSVKGRLADVAGYVGQKTKDVGQKTKEVGQDIQNKAHEAKRSAENHN >CAK8538978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500847451:500857564:1 gene:gene-LATHSAT_LOCUS8121 transcript:rna-LATHSAT_LOCUS8121 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKYTLSRKLEDAVNAAVRAKTTDPILFISNHMKKGVQSVITKVKARQILDSRGIPTVEVDLYTNKAMFRASVPSGNSSGMYEAVELRDGDKGVYLGNGVAKAVKNINEKISEALIGMDPTLQSQIDHAMIDLDKTEKKGELGANAILAVSIAACKAGAAEKDVPLYKHIADLSGKSSPMLPVPAFTVISGGKHAGNNLAIEEIMILPIGASRFEEALRMGSETYHHLKAVITEKCGPHNCNVGEDGGFAPNVSSFREALDLVKEAISRTGYDEKIKIALDVAATNFCIGKRYDLDFQSPQKSGQNFKSAEDMIELYKELCAEYPIVSIEDPFDKEDWEHIKYISSLGICQVVGDDLLMSNTKRIEKAVTESACNALLLKVNQVGTVTEVIEVVKQAKEAHWGVVTSHRSGETVDSFIADLSVGLAVGQIKAGAPCRGERLEKYNQLLRIEEELGDQAVYAGEDWRQ >CAK8572674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554553238:554555388:-1 gene:gene-LATHSAT_LOCUS25207 transcript:rna-LATHSAT_LOCUS25207 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVVCQQSVGVLDVKYFPNKGSNIHEIGDADAILPSNFDRVRASESVSAELLTTKEDVKTADIISEAAVLQFVPCIRSGSFSDIGPRRYMEDEHIRIDDLTSHLGSLYKFPKPSAFYGVFDGHGGPEAAAYIRKNVIKFFFEDVNFPQTSEVDNMFLQEVENSLRKAFLLADSAMADDCSVNTSSGTTALTAMIFGRLLMVANAGDCRAVLSRKGEAIDMSQDHRPIYPLERRRVEELGGYVEDGYLNGVLSVTRALGDWDMKLPRGAPSPLIAEPEFRQMVLTDDDEFLIIGCDGIWDVMSSQHAVSLVRKGLRRHDDPDKCARDLVMEALRLNTFDNLTVIIICLSSIDHGESEPSPPPQRKLRCCSLSAEALCSLRNLLEGSANN >CAK8573762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635485712:635486291:1 gene:gene-LATHSAT_LOCUS26170 transcript:rna-LATHSAT_LOCUS26170 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVREIATMNFAAVMEAPVVFIYRNNGWAISTPAEEQFRSDGIVVKGQAYGIWSIRVDGNDVLVVYSAVHTARKISIKEQRPILIKALTYRVGHHSTSDDSTKY >CAK8536420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939857553:939858245:-1 gene:gene-LATHSAT_LOCUS5789 transcript:rna-LATHSAT_LOCUS5789 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFEPSKPVRFYGSSLPRPRIHVNSDGSDRVDPPLSVTGPLMSWAEEAHWSMGGLSFKRLRLMGKIEGNVEKLRTQREKEFNAYTQAHTKSPSSDLRRSKVSKGSASPSPSPPPAPFASKRRRLETLLEEEEEEVRVSGPVSRGRRLVKKLGDDFDRVASPVKKRATEVVVADPVAAPVKTPRRRLVKIGDAVKKVAEAKKVVVEEDKTPVSGIRVRTSSRFVKTRAN >CAK8573605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624650636:624651472:1 gene:gene-LATHSAT_LOCUS26035 transcript:rna-LATHSAT_LOCUS26035 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQNQHKILSTLVNDEKKIIRREIEKQRRMQMSILCSSLSSSLPFHLIKGKRSVSDHIGEAANYVQILKEKVNELEKKRDKLKEIISSSMIETGNIELSADPSNLVKCVNINLIPDGVEIVVSSGFEDRSSHLSEIMKIILEEGCDVVHCVTNQVNGKVFHTIKSQVEDMAHLDLARLQKKLDYAILLSR >CAK8576235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:433069195:433071742:1 gene:gene-LATHSAT_LOCUS28441 transcript:rna-LATHSAT_LOCUS28441 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSFVSLPSFFKPQLPTTNLPTSNASLFPCYATNSNISQRKSANYQPNIWNYDSLLSLKHDYAGAHYVNRSRRLKEEVRRMINDENVEILELLENVKRLGLSYHFEKEIIEALDRFLSLEMHGDIVIEENLHKTALKFKLLREYGYHVSPDIFEKFKDHDGNFKARLVKDIKGMLSLYEASFMSYEGEIILDEANSFTSFHMRRRLHDNISDFLFEQVNHSLELPIYRRIQRLEARWYINSYGKRKNANKVLLEFAKLDFNIEQSNIQLELKEMLRWWKGMGLAPKISFNRDRLMECFFWAIGMAPLGPKFSNLRKGLTKVGYLITLIDDIYDIYGTLDELELFTTAIESWDINAVKTLPEYMKIFFLALYNTVNELAYDALKEKGHDILRYLVKAWSDLLKAFLQEAKWCNNKHIPKFDDYLNNAWVSVSGVVLLTHSYFLLNHSITKEGLEYLENCHLLLQRPSIIFRLCNDLATSLAELQRGETCNSIMCYMKENGVSEMIAHKYIHNLLNETWKKMNKDQITYSAFSKYFLETLTNLARISHCTYQYGDGHRAPNTISKNRIKELILEPIN >CAK8573918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646974384:646976836:1 gene:gene-LATHSAT_LOCUS26313 transcript:rna-LATHSAT_LOCUS26313 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLNTLLRVSSFLVLLLLQYSVALNSDGIFLLKFRYSILSDPLSVFENWNYDDATPCSWHGVACSELGSPNTPDFFRVTSLILPNSQLLGSIAEDLGFIQNLHHIDLSNNFLNGSLPNSIFNSSQLQFLSLSNNVISGKLPQLVSLSTNLQILNLSDNAFVGSIPENLTSLQNLTIVSLKSNYFSGEIPNGFNSVVILDLSSNLLNGSLPSNFQGENLQYLNLSYNKLSGAIPQTFTRHIPEKVTIDLSFNNLTGPIPESLFNQKTESLSGNSDLCGKPLKNLCTIPSTMSTAPHITNSSSPAIAAIPITIDSTPGNNTNTTSTTSTSGGSQNSLKPATIAAIVVGDIAGMGILALIILFVYQQRKKRYPKSTTALQENKVSETVAKQDQQDVKTHSLQCSSCCLTAKQEETSEATTSDDSDRDIGNLPKEGTLVTVDGETKMDLETLLKASAYILGTSRASIVYKAVLQDGRVFAVRRIGECGVERMKEFENQIRVIAKIRHPNLVKIRGFCWGEDEKLVISDFVPNGSLSTIGYRRGGLSPMNLSLEMRLKIVKGVARGLAYIHEKKHVHGNVKPSNILLNSEMEPIIGDFGLDLLLLNDVNHRGNGSARLLVNQKTQQQQQQEFLIGSTPSPYTTMGSSSSTSGGGCCGGQVQQYQAPESFQNIKSNAKMDVYSFGVVLLELFSGRVFSDRELDQWSVPVGSVEEEKNRVLRLVDVAIKHEIQGRENVVFTCLKLGLNCVSLVPQKRPSMKEAFQTLEKIWTVGFN >CAK8535475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851488174:851497513:1 gene:gene-LATHSAT_LOCUS4936 transcript:rna-LATHSAT_LOCUS4936 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSTSERRRKFESRSPNKRRYNAFLSFCATEVGQFVPLLDSALSSEAGMIVFGEDERFQHGEQVESVLNVIGECKIAIVVFSMNYANSSLCIKEFEKIAECARTTDLIVFPVFYDGVHASYGSLEGDTFEEAFHNFLDRISMKEIPKNEDKLMTWVALIIKATKYLESSDLLHKPTLGYGYVYIKDIVERVTCVINNRYLFATSYSPSVKSSVQDVVQLLKQSKSPLLLGIWGMTGIGKSTIAEATFAQIGLYFEYNCFLGNIGEAWETKNGRVSLQDKLLNCIDRPTGEKMPVPKIKSMNVIIKRSVQHKRILLVLDNVDKLEQLHALCGSREWFGEGSKIIITTSDRHLLKEHGVDHIYPVKQLDESKSLEVLNWGAFGQAWNPREDFVEVSRQLVAYCGGLPLALESLGLLLRRKEVLEWKGVLRSFQIFSIPSPPLLEALEKSFSNLSDEEKHIFLDIAHFFIGMNQNNVLQTLNRSTQSATLQISLLEDKGLVTIDENNKLGMHVLLQAMARDIIKRESTNRTKQPKIYDVFLSFRGEDNRAKFVSHLYSSLQNAGIYVFKDDDEIQRGDRISISLLRAIGQSRISIIVLSTNYANSRWCMLELEKIMEVGRVKGLVVVPVFYDVDPSEVRHQKGLFGKAFENLVSTISVDKSTKMNWRRELLDIGGIGGFVLVDSRNESGDIKNIVEHVTRLLDRAELFVAEHPVGIESRVQAATKLLNIQKAEDVLLLGIWGMGGMGKTTVAKAIYNQIGSKFEGKSFLLNIREFWEMDTNHVSLQQHVLCDVYKTTSFKIRDIESGKNMLKQRLAQKRVLFVLDDVNELDQLKALCGSREWFGSGSRIIITTRDMHLLRSCGVDRVYKIEEMDESESLELFSRHAFKQPSPKKDFARHSSNAVAYSGRLPLALEVLGSYLSDCGKAEWHKVLEKLKCIPHDQVQKKLRVSFDGLKDVTEQQIFLDIACFFIGMDRNDVIQILNSCGYFADIGIKVLVERSLVTVDSRNKLRMHDLLRDMGRQIIYEESPFDPENRSRLWRREEVFDMLSKQKGTEAVKGLTLEFPDKNTVCLNTKAFKQMSKLRLLQLAGIQLNGDFKNPSGDLKWLYWHGFPSTYTPAGFQQGSLVVMVLKHSNLKQIWRKSQMLESLKILNLSHSRDLNETPDFSYLPNLEKLVLKDCPSLSRISHSIGSLRKLLLINLTDCTSLRKLPRSIYKLKSLETLILSGCSMINKLEEDMEQMESLTTLIADKTAITKVPFSIVRSKNIGYISLCGFEGFSRNVFPSIIQSWMSPSKNLISLVQTYVPMSSLGTFKDLPKLRSLCVECGSKQQLSRDVANILDVLKATNCHKLDARATTSQISDTFPLIDDCLGEVRTSGSKNYLKSFLIQMGTKCQVSNIAEDSILQTTDGTWDSFLPPCDNISDWSNFSCKGSSIIFVVRAMKGSILKSMMLFVLYYSSPDNIISEGCHGMLIINYTKTTIQVYKRDTLISFEDGDWQSITSNLEPGNEVEVMVVFGEGFIVEKTTISLLYDESINREMENCNAVGEEDVIVYGHDEEDVSVSSGYNTDVPVDNIATGLGQGENISGNVGDNKDVSVSGGCKNDVLGSKNVIGLAQDGNISEDKHLHPMEKNSGDDAMATDKKCACCFWWR >CAK8532003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188588218:188590818:-1 gene:gene-LATHSAT_LOCUS1748 transcript:rna-LATHSAT_LOCUS1748 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPGPQYNPRTVEAVFRDFKGRRAGLIKALTTDVEEFYQQCDPEKENLCLYAYPGGSWEVTLPAEEVPPELPEPALGINFARDGMHEKDWLSLVAVHSDAWLLSVSFYFAARFGFGRSERKRLFTLINDLPTIFEAVTGSAKKQETEKPSVSSHNNSKPGSKVRGSEPENQEEEEEEDEEEDDEVLDEEDEEQGECAACGESYVSASEEFWICCDVCEKWYHGKCVKITPARADHIKHYKCPACNNKRSRP >CAK8573735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633829160:633830064:1 gene:gene-LATHSAT_LOCUS26143 transcript:rna-LATHSAT_LOCUS26143 gene_biotype:protein_coding transcript_biotype:protein_coding MALAERSMVVSIVLVTMQISFSYAAVYKVGDSSGWTTLGNIDYKKWAATKNFQLGDTIIFEYSAKFHNVMRVTHAMYKSCNASSPIATFTTGNDTIKITNHGHHFFFCGVPGHCQAGQKVDINVLKVSPVASSPTPYSSALASPPTVPASNVPGPSPSNAAPLKFVALKMMMGFWAMPFLVHF >CAK8530319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14289072:14289401:1 gene:gene-LATHSAT_LOCUS192 transcript:rna-LATHSAT_LOCUS192 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFNLKLAFMLVAALLLTTTMAQSPASSPTKSKSPRKAISPSPAAVTQPPASSPANGGSSPEQSAVSPSSISGPPSEAPGPASTGVVLSRVSAAAGSALLICVTALIM >CAK8540280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548738273:548739139:1 gene:gene-LATHSAT_LOCUS9299 transcript:rna-LATHSAT_LOCUS9299 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLVNDDPMIDGETEDLDEMPSDSDSEEDVKLAEPSKNAVNNREAILDKLGDISWPENVDWKHKLSIDIDQEQEVDVNDDLARELSFYTQALEGTRQAFEKLESMGFPFLRPADYYAEMVKADSHMEKVKSRLLEEKRKMEEADERRKAREAKRLSKEIQSQKLKERAKQKKEDIESVKKWRKQRQQSGFADGGDGPDKALGFEDGKVFERSKKKRPGVSPGDRSGGKAKQAFGKGKMQKKRDTKNSKFGFGGRKGSKKQNTADTTNDFGGFGKGAAASGNKKRKR >CAK8578215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612951828:612952444:-1 gene:gene-LATHSAT_LOCUS30248 transcript:rna-LATHSAT_LOCUS30248 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERIRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKKMAYIYKAKVKKNGTHYRCLWGKVTRPHGNSGIVRAKFKSNLPPKSMGARVRVFMYPSNI >CAK8568667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629940036:629945053:1 gene:gene-LATHSAT_LOCUS21605 transcript:rna-LATHSAT_LOCUS21605 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMYKSVDNASFRERQMMNIIEDDNYYNNMFNDDGASQYSLSSSPLPPLGRLAPRKHKLRRLIISPNNYRYRLWQLFLAVLVFYSAWVSPFEFGFLNEPTQFLGIADNVVNGLFFVDIGLTFFVAYYDKSTYLLEDRFRLIAYRYVRLCFPLDVVSSTPYEVVIKVIPKGIKSYGYFSMLRLWRLHRIGAMFARLEKDRHYNYFWLRCLKLTCVTLFVTHIAACFYFFIADNYVEDRSTTWLGIVSDVNNQSTMSLYITSFYWSIATLSSVGYGDLHPVNTREMIYCFLYCIVNVGFGSYLIGNMTNLVVHSTRRTMKYRDTVQAATKFAHRNRVPVRLEEQMLSHLLMKYRTDLEGVQQQEIIDSLPKAIRSSISYYLFYRLMDGVYLFEGVSKDLIFQLVTEMKAEYIPPKQDVVMDDEAPSDFYLFVTGGAELIKLENGVEQVVGEVNAGDLVGEIGVLCYRPHPYTVRTKRLSQVLRLGRTAFLNLIYSSVGDGTVIMNNFLNHLRTSPIPWMDAILVETEAMLARGKTDLPIGTYFAAIRNDNKMLEWLLRNGSDLTEADRNGRTAMHVAAFNGNEHGVTLLLKFGADPNSKDLDGNIPLWEAMIGGHKSVEKILIKYGANLFCAQEGYLAYSAAEKNSIELLKKLIKLGVDVSKPNENGGSTALHAAVCEGNTEMVRLLLDQGADVDEKDSAGWTPRGLAEHQCHEEIKLIFQNIKEKKEEVPDIPIPENGKPNVPYRGKFQSESVKSAVLGSKESSLLRRPTPILGSQESLLSSATHEELPWLDSHRRRRANTFHNSIFGMISAANRNKKSFKVTESIVTNTENMNGLVARVTLSCPETGEHGGKLSFLPKSLKELLDIGAKKFNISPTKILNKEGALIDDINLIRDGDHLIIASDGRGKGKQ >CAK8569869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14013780:14041120:1 gene:gene-LATHSAT_LOCUS22674 transcript:rna-LATHSAT_LOCUS22674 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRKGSMVWVPDKELAWVAAEVVDSDGNSVQLVTDSGKKVFASPEKLCQRDVDEEEIGGFEDMTRLTYLNEPGVLYNIRRRYALNDIYTYTGSILIAVNPFTKLPHLYNNHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRACGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDRNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDAEEYKLGHPSHFHYLNQSKVYELNGVSNAEEYMKTRRAMDIVGISHKDQEAIFRVLAAILHLGNVEFSPGKEHDSSVIKDEKSRFHMKMAADLFMCDVDLLLATLCTRSIQTREGNIIKALDCNAAVAGRDTLAKTVYARLFDWLVVKINRSVGQDINSQMQIGVLDIYGFECFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFQHFRSHPRLEKEKFSQTDFIVSHYAGKVTYHTDAFLDKNRDYIVVEHCNLLSSSSCPFVSGLFALLPEESSRSSYKFSSVATRFKQQLQALMETLKSTEPHYIRCVKPNSLNQPQMFENASIIHQLRCGGVLEAIRISLAGYPTRRTYSEFVDRYGLISPEFFDGSYDDKAATEKILHKLKLGNFQLGRTKVFLRAGQIGVLDSKRAEVLDNAAKYIQRQLKTFITRKHFISVRAAAVSLQACCRGYVAQKMYAVKRETAAAISIQKNIRMWLTRRAYMKLYSSAIIIQSDVRGFITLQRFLHEKERRAAIFIQACWKMYNVRSAFKRHLVSIVAVQCLWRCRQAKRVFRRLKQEANESGALRLAKTKLEKQMEELTWRLHLEKKIRVSNEEAKQREISTLRKMLEALNLELDTAKLATINECNKNAVLQNQLELSAKEKSALKRELITVHELRAENAMLKVSLDAFEKKYKSLEAEHINAQKGQDKTIEKLREFEQKCSQLEQNVKSLEEKLLSSENENHLLRQKALSAPRKSNRLGLAKSFSEKYSTPIASRTERKPVFETPTPTKLAVPFTLAMSDSHRSKSTAERHQDNYEFLSRCIKENLGFKNGKPIAARIIYKCLLQWHAFESERTAIFDYIIEGINDVLKVREDDIVWPYWLSNTSALLCLLQRNLRSNGFLTANAQRYTGSSGLTGRTGHGTKSPFKFIGYEDGMSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLQLCIQTPKAGRVHGGKSSRSPVGLSQQSSGSQWDNIVKFLDSLMSKLRGNHVPPFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYMKSGLSELEKWIANAKEMYAGTAWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEAISVMREMVNKDNNNMTSNSFLLDDDLSIPFSAEDVDMAIPPIDLDEIDLPSFVSDYSCAEFLNSKQE >CAK8569868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14013780:14041120:1 gene:gene-LATHSAT_LOCUS22674 transcript:rna-LATHSAT_LOCUS22674-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRKGSMVWVPDKELAWVAAEVVDSDGNSVQLVTDSGKKVFASPEKLCQRDVDEEEIGGFEDMTRLTYLNEPGVLYNIRRRYALNDIYTYTGSILIAVNPFTKLPHLYNNHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRACGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDRNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDAEEYKLGHPSHFHYLNQSKVYELNGVSNAEEYMKTRRAMDIVGISHKDQEAIFRVLAAILHLGNVEFSPGKEHDSSVIKDEKSRFHMKMAADLFMCDVDLLLATLCTRSIQTREGNIIKALDCNAAVAGRDTLAKTVYARLFDWLVVKINRSVGQDINSQMQIGVLDIYGFECFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFQHFRSHPRLEKEKFSQTDFIVSHYAGKVTYHTDAFLDKNRDYIVVEHCNLLSSSSCPFVSGLFALLPEESSRSSYKFSSVATRFKQQLQALMETLKSTEPHYIRCVKPNSLNQPQMFENASIIHQLRCGGVLEAIRISLAGYPTRRTYSEFVDRYGLISPEFFDGSYDDKAATEKILHKLKLGNFQLGRTKVFLRAGQIGVLDSKRAEVLDNAAKYIQRQLKTFITRKHFISVRAAAVSLQACCRGYVAQKMYAVKRETAAAISIQKNIRMWLTRRAYMKLYSSAIIIQSDVRGFITLQRFLHEKERRAAIFIQACWKMYNVRSAFKRHLVSIVAVQCLWRCRQAKRVFRRLKQEANESGALRLAKTKLEKQMEELTWRLHLEKKIRVSNEEAKQREISTLRKMLEALNLELDTAKLATINECNKNAVLQNQLELSAKEKSALKRELITVHELRAENAMLKVSLDAFEKKYKSLEAEHINAQKGQDKTIEKLREFEQKCSQLEQNVKSLEEKLLSSENENHLLRQKALSAPRKSNRLGLAKSFSEKYSTPIASRTERKPVFETPTPTKLAVPFTLAMSDSHRSKSTAERHQDNYEFLSRCIKENLGFKNGKPIAARIIYKCLLQWHAFESERTAIFDYIIEGINDVLKVREDDIVWPYWLSNTSALLCLLQRNLRSNGFLTANAQRYTGSSGLTGRTGHGTKSPFKFIGYEDGMSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLQLCIQTPKAGRVHGGKSSRSPVGLSQQSSGSQWDNIVKFLDSLMSKLRGNHVPPFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYMKSGLSELEKWIANAKEMYAGTAWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVSVMREMVNKDNNNMTSNSFLLDDDLSIPFSAEDVDMAIPPIDLDEIDLPSFVSDYSCAEFLNSKQE >CAK8577859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594505253:594507494:-1 gene:gene-LATHSAT_LOCUS29928 transcript:rna-LATHSAT_LOCUS29928 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLHFVQKISPNKSSTILAIKEYEPVHAYCSFHNKTNCTLSFNQTHISKTVKAKEALHTRHVKALDEVKRLFASQNTDERLSMVDSIQRLGIEYLFEDEIEATLQRKHMMLRFQNIQGLSQVAFQFRMLRQQGYYIRPDIFNIFWDNKGKLKDEFCKDINGLVALFEASQLSIEGEDYLHSAGQFCSDYLNEWSSTFQDHFQVNFVTHTLMCPIHKTLSRFTPTIIQSQNVSWTNSLQRLSKIDTQMVSSLHLKEIFAVSKWWKELGLSKDLEFARDEPIKWYTWTMACLPDPHFSDERIEITKPLSLVYIIDDLFDIYGNIDQLTLFTEAVKRWDLAGIEQLPDCMKVCFKALYDTTNEFALKTHLKTGWNPISSLIKSWIKLLNAFLQEAKWFASGHVPTSEEYLKNAIVSTGVHVILVHAFFYMGQDITDKTVSIIDDFPTIISTTATILRLCDDLEGDKDVNYDGNDGSYSKCYMKDNPGVSIAQTREHMSKQISDAWKQLNKECLNTNELPSSFTKLCLNAARMVPIMYSYDGNTPSKLQKYVKSLLYDDCGYLQNIYSIVENKHYS >CAK8539949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532202674:532207993:-1 gene:gene-LATHSAT_LOCUS8997 transcript:rna-LATHSAT_LOCUS8997-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSIINQPHTAHRFKLHSPSSFTTLSFFKHKYKPPSSSSPRPLRLIAASRTFSVSNKSEEPSLRQFVVRASLTASQLQSHVVADTEVSSTGRIYHETYGCQMNVNDMEIVLSIMQNAGYNEIVTVPENAEIIFINTCAIRENAELKVWQRLNYFWFLKRNWKANVASGRSQSLRPPKVVVLGCMAERLKEKILDADKMVDVVCGPDAYRDLPRLLEEVEYGQKGINTLLSLEETYADINPVRVSKNSISAFVSIMRGCNNMCSFCIVPFTRGRERSRPVDSIAREVAELWKEGVKEVTLLGQNVNSYNDASVVEKEAESGSNWKLSEGFSSISKVRTTGLRFADLLDRLSSEFPEMRFRFTSPHPKDFPDELLYLMRERHNICKLIHLPAQSGSSTMIERMRRGYTREAYLSLVQKIRNIIPDVALSSDFICGFCGETEQDHSDTLSLVKEVGYDMAYMFAYSMREKTHAHRNYVDDVPEETKQRRLTELIETFRESTGKCYDEQVGTTQLVLVEGPNKRAPDTELIGKSDKGHRVLFVNLPISDREDVNTKRNPVVGDYVEVRITRSSRASLFGNALAITKLSSFYNNLEKEAIACSM >CAK8539948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532202674:532207996:-1 gene:gene-LATHSAT_LOCUS8997 transcript:rna-LATHSAT_LOCUS8997 gene_biotype:protein_coding transcript_biotype:protein_coding MMASALSSIINQPHTAHRFKLHSPSSFTTLSFFKHKYKPPSSSSPRPLRLIAASRTFSVSNKSEEPSLRQFVVRASLTASQLQSHVVADTEVSSTGRIYHETYGCQMNVNDMEIVLSIMQNAGYNEIVTVPENAEIIFINTCAIRENAELKVWQRLNYFWFLKRNWKANVASGRSQSLRPPKVVVLGCMAERLKEKILDADKMVDVVCGPDAYRDLPRLLEEVEYGQKGINTLLSLEETYADINPVRVSKNSISAFVSIMRGCNNMCSFCIVPFTRGRERSRPVDSIAREVAELWKEGVKEVTLLGQNVNSYNDASVVEKEAESGSNWKLSEGFSSISKVRTTGLRFADLLDRLSSEFPEMRFRFTSPHPKDFPDELLYLMRERHNICKLIHLPAQSGSSTMIERMRRGYTREAYLSLVQKIRNIIPDVALSSDFICGFCGETEQDHSDTLSLVKEVGYDMAYMFAYSMREKTHAHRNYVDDVPEETKQRRLTELIETFRESTGKCYDEQVGTTQLVLVEGPNKRAPDTELIGKSDKGHRVLFVNLPISDREDVNTKRNPVVGDYVEVRITRSSRASLFGNALAITKLSSFYNNLEKEAIACSM >CAK8561355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:167501215:167502075:-1 gene:gene-LATHSAT_LOCUS14956 transcript:rna-LATHSAT_LOCUS14956 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKQDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFC >CAK8539526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515022415:515024108:1 gene:gene-LATHSAT_LOCUS8611 transcript:rna-LATHSAT_LOCUS8611 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTSFFDSTNRWNFDTLKNFGQISPVVRNHLKQVYLTLCFAVAAAAVGAYLHVLFHLGGILTTIACVGTSVWLLSTPPYEERKRLSLLMAAAMFQGASIGPLIDLAIQVDPSLIFSSFVATALAFGCFSGAALVAKRREYLYLGGLVSSGLSILLWLHFASSIFGGSMALFKFELYFGLLVFVGYIVVDTQEIVEKAHFGDLDYVKHALTLFTDLAAIFIRILVIMLKNSGERTEKKKKRRD >CAK8559995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3577488:3578141:1 gene:gene-LATHSAT_LOCUS13719 transcript:rna-LATHSAT_LOCUS13719 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLNIESDDADDNFATSSTLTTKSSSSTSNKRHRSVSDGNNNIKHPSYRGVRKRNWGKYVCEIREPKKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPQLAKALPRPISTSPKDIQDAAAKAANNTSFDEAQVEARIEEEHASPSSSTFTNDDDDALFDLPDLFPEDGNNGFCSYSLRNSTSYLCAVESSVHRYNVEFSEDSSFWEKYL >CAK8575620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211986770:211988827:-1 gene:gene-LATHSAT_LOCUS27868 transcript:rna-LATHSAT_LOCUS27868-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSSASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDLSDTDYPYYMDGSDISDPLEMDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8575619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211986770:211988827:-1 gene:gene-LATHSAT_LOCUS27868 transcript:rna-LATHSAT_LOCUS27868 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSSASYRDMDFKSYICDRGQNTSESHNRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8530951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66045738:66058955:-1 gene:gene-LATHSAT_LOCUS776 transcript:rna-LATHSAT_LOCUS776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTGSGRMEAMPRPMAAGGSSQTVAEDDNAWQKSAAAYTYKQLREADEANLLSEEDMHVYGERPMTDPLKLVRCKTCKKPIKESQFAAHAELCRSLKLTEQTGLEFNRNTRNRKPPRNDKKKLSASSAAGKRKKSESLDNIDTVVSQSHMNSQIRGTSFSNDVKARKRRKSESLDNIDTVVSQSHLNSQIRRTSFSNDVKDAVASLLDDSGVSSGNRVLQASVMYPPTKHHKLKTSTHISVIDEHGTESGVSKAASLTNGTTHAAPTSMLDDTGVNSGNRVLQASVMYPPTKRHKLMASTHIAVLEERGTESGVLKAASLTNGTTRKDMTEEIVSEHGDPFHKNGQQVHMQHQHMKNNDFPAPLATKIYYSQRTNRLRAAIRHMYFENLSEEVRADVECPKTSNEEMVALQGLSQVDPSFEQMDNVVNKESHSGMMYTKNSDDILAKRSEVCLLKAGGVPSDGLSNQFFLDNVSRSAATHVGLTRGSFLPKAYSFPSNAGNRLETLQQPNGSVPVI >CAK8530950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66045738:66058955:-1 gene:gene-LATHSAT_LOCUS776 transcript:rna-LATHSAT_LOCUS776 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTGSGRMEAMPRPMAAGGSSQTVADDNAWQKSAAAYTYKQLREADEANLLSEEDMHVYGERPMTDPLKLVRCKTCKKPIKESQFAAHAELCRSLKLTEQTGLEFNRNTRNRKPPRNDKKKLSASSAAGKRKKSESLDNIDTVVSQSHMNSQIRGTSFSNDVKARKRRKSESLDNIDTVVSQSHLNSQIRRTSFSNDVKDAVASLLDDSGVSSGNRVLQASVMYPPTKHHKLKTSTHISVIDEHGTESGVSKAASLTNGTTHAAPTSMLDDTGVNSGNRVLQASVMYPPTKRHKLMASTHIAVLEERGTESGVLKAASLTNGTTRKDMTEEIVSEHGDPFHKNGQQVHMQHQHMKNNDFPAPLATKIYYSQRTNRLRAAIRHMYFENLSEEVRADVECPKTSNEEMVALQGLSQVDPSFEQMDNVVNKESHSGMMYTKNSDDILAKRSEVCLLKAGGVPSDGLSNQFFLDNVSRSAATHVGLTRGSFLPKAYSFPSNAGNRLETLQQPNGSVPVI >CAK8542645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534481920:534485873:-1 gene:gene-LATHSAT_LOCUS11467 transcript:rna-LATHSAT_LOCUS11467 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNNRESFSRNEARVHTVVELGGFYTESSEKQEKKTLRNSLSARQMKSLVALCDTLLPSINDRKVVASSDESVNNFYRTSASMAGTHEHLGVLLSEKLEHPSTWLFMISLWLLSTWFGTLILCGAACLSTNFPFFHSYPHLSPEKREKILQGWSQSYFRALRMLFRTIKLLTLFVFFTQIDEEENNLSWKAIGYSGPDPEFKAQKKNKKNMLHKTLKKEENGDNEDKDEEAFGPLYKGLVHMNNPRDIVTDSLRRIGFPVSVAPSQNKASTVSSPSLVIQCDAVVVGSGSGGGVIAGVLAKSGYKVLVLEKGNYFARNNLSLLEGQALEQMYLSNGWVASEDMSILILAGSTVGGGSAINWSASIKTPHHVRKEWCDRHELELFQSRLYKEALDVVCEKMGVQSDIEEEGFNNAVLRKGCHEMGYPVSTIPRNAPPDHYCGWCCLGCKDGKKKGTLETWLVDVVKSGNGAILPGCEAIRILHGENKGRHRKKAKGVAFEIDYNGKKEICVVESKVTIVACGALRTPPLLKRSGLKNENIGRNLHLHPVTMAWGYFPDSPSSPELWPEEHKKSYEGGIMTAMSTVVADFERTGYGAVIQTPSLHPGLFSLLMPWNSGLDMKDRMRKFSRTAHVFALARDQGSGTIDSHNCINYQIKDVDKDNLNQGIEKVLRILAAAGAEEIGTHNNKGRSLNVKKVSYNEFEKFVKEESSRPLTDLSTPICSAHQMGSCRMGVTPKESVVNQMGETWEVEGLYLADTSVFPTALGVNPMVTVQAIAYCTAQHVLGVLKMKK >CAK8579018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667702532:667703602:1 gene:gene-LATHSAT_LOCUS30994 transcript:rna-LATHSAT_LOCUS30994 gene_biotype:protein_coding transcript_biotype:protein_coding MQGALELPPGFRFHPTDDELVNHYLCRKCASLPISVPIIKEIDLYKFDPWHLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKPLGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSASKNNHNLRLDDWVLCRIYNKKGKIEKYSDNSTPTKESSSMFSEEVQFENETKPKIEKNGQDDFGILNEQLYTDTSDSVPRFHTDSSCSEHVVSPDVTCDKEVQSEPKWNEFVLGPDPVSAFDFQLNFMDDGEDDPFAPQVQYQMNQLSGWQDVFTYLPK >CAK8563095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573213483:573217478:-1 gene:gene-LATHSAT_LOCUS16539 transcript:rna-LATHSAT_LOCUS16539 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEKLTALKKAYADIILNTAKEAAARIMVSERKSTRFQQELASTKDEALRMVLRLKQMLDSKVKEAELTSLSQQKKIEELEAQLQEAEEIVRDLRAELRETQAELENVTKKHKMHPPVEQNMKDEVAANGSFLQENRLGPSDATVHSEPDLQFESVSISDTMCPTVNGIDNGSTFCVSRDHANSFYIHNPDFASIVIRRKEPELYRNGCTQRIRALERNLFDGNVSVSRDLDNARDETLVGVHEEDKEATVAANGKANIICENEKPDELEVVKEGADLVKDLVLKKTRRRFRKRKTHRSRLHSDQLKETTKESYYSPHVLDNNDASKVNSSIAHGNEALNDLMSHVAEAPTDATASVEQPDKLQVVKAGGDLIKDLVHRKRKRFRIRRTRRSRLHSDLVKEANKESNLTPAKDSDHVLGNNNNDSSTVNSSVAHENEAQKDRLSPFAKTPKNATTAAERLGSHTNTEKGEIFLKGCSSKNKIEDDKGSLNKSDLTRQESLSTESIKVPSCNDVVEAAGDGSPDKLDSKVSNKDEKVSSRFENDKLLKYTFQRKRKKGSVSSGDVGCTPDNSSSKKICGEKQNVHVEPQKSCTMTESSRESRRLAQVARQLISLSEKKWWQ >CAK8540924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32265711:32267865:-1 gene:gene-LATHSAT_LOCUS9881 transcript:rna-LATHSAT_LOCUS9881 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYMERFVVLPFSLRCASHSSVELGEPKESKEYSKDSIVSRRKEGQIVATKMEKKRSSGFFVLPKAHVAAGIQRLIKGIKNFSQLFFYKDPIEEIEQDMEIGYPTDVKHVTHIGLDGSTTTNNVKGWDNLKPPELLSLNPITLKQFELAMATQAQQPLIDDSFPKCD >CAK8577443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564263235:564264099:-1 gene:gene-LATHSAT_LOCUS29551 transcript:rna-LATHSAT_LOCUS29551 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTEGVLSSSYYSILGVSSDSSINEIRRAYRKLAMQWHPDRWTRTPSLLSEAKCKFQKIQEAYSVLSDSKKRTMYDAGLYDPQEEEDEEFSDFMDEMASLMAKVKQEEKVYGLDELQDMFMEMAEGFGAPSMCFGTPMRVDESCVSRGSVLTQY >CAK8539443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512908552:512915960:1 gene:gene-LATHSAT_LOCUS8538 transcript:rna-LATHSAT_LOCUS8538 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSGGKGISSSALPYRRTSPSWLKISSQDVDENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >CAK8539445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512914002:512915960:1 gene:gene-LATHSAT_LOCUS8538 transcript:rna-LATHSAT_LOCUS8538-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSGGKGISSSALPYRRTSPSWLKISSQDVDENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >CAK8539444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512908552:512915960:1 gene:gene-LATHSAT_LOCUS8538 transcript:rna-LATHSAT_LOCUS8538-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSGGKGISSSALPYRRTSPSWLKISSQDVDENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >CAK8568173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579952894:579953410:1 gene:gene-LATHSAT_LOCUS21162 transcript:rna-LATHSAT_LOCUS21162 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCAMTQFLFSISAAYQWRQLFQKSLVPSKSVSVIGNFSNVGYSRRSIECGIAKDIPLKNYRVEHQTSLNASEVNAFEAGSRNQNVGRVCQGGELLREALPILFYNYVPFRFYF >CAK8564405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672552610:672553966:-1 gene:gene-LATHSAT_LOCUS17714 transcript:rna-LATHSAT_LOCUS17714 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVVKPTIDIIVCASMNNHHTSAKNSDDSISNPKTKPSPFLTKIHAGYFFICLSFGAQALLWKSLSEHNNESQTLWHGFNLMPSVAYLLLWCLAVFVATILSFLYMLKCILHFNAVKDEFSHHIGVNYMYTPWISYLLMLQSSPSSIVPRTCYYDFLCLAFSFVIFLLDVKLFGQWFTTEKRFLSVVANPVNLVSVIGNLVAAQVATEIGWNECAISMFSLGMVHYLVLFVTLYQRLTSNNQFPIVLRPAYFLYFAAPSMASLAWKSISGSFLISSKMLFFLSLFLFLSQACRPGLFKKTIKRLNVTWWIYSFPLTFLGLACAEYAHEVKTSMASGLMLLICIVSVLVFVFLMLTTVLKIEKLMMHKNAPSK >CAK8530791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50411058:50411993:1 gene:gene-LATHSAT_LOCUS632 transcript:rna-LATHSAT_LOCUS632 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGVVTVYGNGALTETAKKSSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVLGDIRVLANMDDDEVFTFSKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPEVLAEVSCGLGEAMVGLNLTDHNVERFANRSE >CAK8542697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538312872:538314112:-1 gene:gene-LATHSAT_LOCUS11512 transcript:rna-LATHSAT_LOCUS11512-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDTSPDRHHFKGRFRHQNIIDSPIVNHNDNKFNYDIIDNDGGNQEATRKEEGLNVERLKEKNIVEDRRNQEERNEKNVFVNKERQGKLEENASNNKKDVGANCKEEENNNNRENWFIGPGSPSFREYCNDYDSVNRIPMGDSNDYFQSGESAKNSSDEDSTKPGNDYSKKERQKKERRERGGFRNALHRGK >CAK8542696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538312872:538314133:-1 gene:gene-LATHSAT_LOCUS11512 transcript:rna-LATHSAT_LOCUS11512 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSTMNGNDTSPDRHHFKGRFRHQNIIDSPIVNHNDNKFNYDIIDNDGGNQEATRKEEGLNVERLKEKNIVEDRRNQEERNEKNVFVNKERQGKLEENASNNKKDVGANCKEEENNNNRENWFIGPGSPSFREYCNDYDSVNRIPMGDSNDYFQSGESAKNSSDEDSTKPGNDYSKKERQKKERRERGGFRNALHRGK >CAK8572111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511307560:511310215:-1 gene:gene-LATHSAT_LOCUS24710 transcript:rna-LATHSAT_LOCUS24710 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTDGSQKKRLVASICAVTIFIGFLYVYGGSIFGSQNSGSSALEYGKTLRKLGSSYLGADDDSDGKQDESSSSFGQGDEEDNFVPKSFPVCDDRHSELIPCLDRHLIYQLRMKLDLSLMEHYERHCPPAERRYNCLIPPPSGYKVPVKWPKSRDEVWKANIPHTHLAHEKSDQNWMVEKGEKIVFPGGGTHFHYGADKYIASMANMLNFSNNNLNNEGRLRTVLDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEENLRIWKEMSDLVGRMCWRIASKKDQTVIWQKPLTNQCYKEREPGTRPPLCQSDADPDAVWGVNMEVCITPYSDHDNKAKGSGLAPWPARLTSPPPRLADFGYSNDMFEKDMELWRERVDKYWSLMSQKIKSNTIRNIMDMKANMGSFAAALKDKDVWVMNVVPQDGPNSLKLIYDRGLIGATHDWCEAFSTYPRTYDMLHAWSVLSDVAKKDCSPEDLLIEMDRILRPTGFIIIRDKQPMIDFVKKYLTALHWEAVATADDDDEVVFIIQKKLWLTSGSFRETE >CAK8576531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:488830158:488830917:1 gene:gene-LATHSAT_LOCUS28712 transcript:rna-LATHSAT_LOCUS28712 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDVEYRCFVGGLAWATDSDALEKAFSSYGDIVDSKIINDRETGRSRGFGFVTFANEKSMRDAIEGMNGQNMDGRNITVNEAQNRNSGGGGGGGGYGGRREGGGGGGGYGGRREGGGGGYGGGGGYGGGGGRDRGYGNDGGDRYSRGGGGGNWRE >CAK8576532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:488830158:488830917:1 gene:gene-LATHSAT_LOCUS28712 transcript:rna-LATHSAT_LOCUS28712-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDVEYRCFVGGLAWATDSDALEKAFSSYGDIVDSKIINDRETGRSRGFGFVTFANEKSMRDAIEGMNGQNMDGRNITVNEAQNRNSGGGGGGGGYGGRREGGYGGGGGRDRGYGNDGGDRYSRGGGGGNWRE >CAK8576951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527709593:527710159:1 gene:gene-LATHSAT_LOCUS29105 transcript:rna-LATHSAT_LOCUS29105 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHRLKDEFVCGVKKFVKKALNQPICKSEEGIRCSCINCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGEVELNIDTRGVSLLRKSAPAKQKSRHRALFIPKEGKETLRENLAKNGKGMVIATKTWIRESVTQGEGINTPHVHRTRWDPRLLVCLKDAKTIYLRLLSLNGRKLTR >CAK8540772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20474197:20477683:1 gene:gene-LATHSAT_LOCUS9742 transcript:rna-LATHSAT_LOCUS9742 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKTSQQVTFFKRRTGLLKKANEISVLCDAQVALIMFSTKGKLFEYSSAPSMEDILEKYERQNHTELTGAAATNETQGNWTFEYMKLTAKVQVLERNLRNFVGHDLDPLSVKELQSLEQQLDASLKRIRTRKNQVMNQSISELHKRARALQEQNSKLAKTKEKEKIVNELPPQRCLETIGTGQCSSTLNLGFQPQILPPQRLVPSLTLSGAIQARGSLEFEETGEAQTVPISNNNSLIPAWMLQHLAN >CAK8537690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421119105:421122920:-1 gene:gene-LATHSAT_LOCUS6955 transcript:rna-LATHSAT_LOCUS6955 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITRRTSFPKVLIEKDSDSEQSSSDDEEEEEILVEDENGVTDNAKIKKLELGFVANSKGKTPITLSLQKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKTPGHTTLNCPHRVSTEHGVVPAPRRKTTKPLEYVFERQLRHAIPLIKPKCVIPDQVHCAVIRYHSRRVTSLEFHPTKNNILLSGDKKGQIGVWDFEKVYEKVVYGTIHSCLVNTMKFNPRNDCMVYSASSDGTVSCTDLETGISSSPMNLNPDGWQGPNTWKMLYGMDVNCEKGLVLVADNFGYLHLVDMRSNDRNGDAVLIHKKGSKVVGIHCNPLQPDILLTCGNDHYARIWDLRRLEAGSSLCSLEHKRVVNSAYFSPISGNKILTTSQDNRLRIWDSIFGNMESPSREIVHSHDFNRHLTPFKAEWDPKDSSESLAVVGRYISENFNGAALHPIDFIDISTGQLVAEVMDPNITTISPVNKLHPRDDILATGSSRSLFIWKPRERSEPVEEKDERKIIVYGKAEKKRGKKKGDDSDDESDGDGFSKLKKPKSKQTEWKLARCSKKG >CAK8565646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:297484117:297485940:-1 gene:gene-LATHSAT_LOCUS18838 transcript:rna-LATHSAT_LOCUS18838 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVANNEEDKKPTDQGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSVV >CAK8579023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667767103:667768680:1 gene:gene-LATHSAT_LOCUS30999 transcript:rna-LATHSAT_LOCUS30999 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLRRLLLRSVRFRSISFISSSPTQPPPHSSHQIPSNFSSHFQHSTIFTPPSLFQTKFFSSVSESEPVSPTQTLPEESDPIAHAISSELLKEPESDPNSVAQRLQLSFSHITPTHSLVLQTLNLSLEAGRTVLGFHQWLASNPKFTHTDETLSYFVDYFGRRKDFKATNKVLASGGAGSKTLLAAIDRLVRAGRPSQVVQFFERMEKDYGLKRDRSSLKVVVEKLCLKGYASYAEKMTKDLAKEFFPDEAMCDLLVTGYCIDGKIEEARRLAGEMYRGGFELGVGAYNAMLDCVCKICRQKDPFKLNSESEKVLVEMDHHGVPRNVETFNVLITNLCKIRKTDAALGLFFSMQGWRCNPNETTFLVLIKSLYQAARLEEGDGMIDGMKSSGFGAFLDKKAYFDFLTILCGIERIDHALKIFAMMKADGCEPGVKTYDLLMKKLGAHDRVDKANALFNEARGRGLDVTPKEYVVDPRFVKKKEKKVKGEKKRETLPEKMARKRRRLKQIRLSFVKKPKRKMGR >CAK8536478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945210424:945211161:-1 gene:gene-LATHSAT_LOCUS5844 transcript:rna-LATHSAT_LOCUS5844 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIIIVTYKFNMNGTMSEILSAKRGIKKGDPISPFLFLLVMEYLHSGDEVSVKMMMGAFSRFTKSTGLVANPSKSKMLIGGLDSRDRERLRSLTNFQEGGLPVRYLGVPLTNKKITTHAYMPLVEKITGRMQHWTTRVLSYAGKIQLVRSISYVIAQYWVHCFPILKLVSKKIDAIFRSFIWIGNSEMSRKSPVAWNKVCSTAKQGGL >CAK8562730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531891718:531892150:1 gene:gene-LATHSAT_LOCUS16202 transcript:rna-LATHSAT_LOCUS16202 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >CAK8566485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428383568:428386552:-1 gene:gene-LATHSAT_LOCUS19612 transcript:rna-LATHSAT_LOCUS19612 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKILKLSKMLTKKGKVNKEEPPLDLIEAFFNFSNGENHMSKDKLLGFMVEYQGEQNCTLLDLEPIIEKVLQIGSSSSSIETKNVEGLSIDDFVNFLLLDDFNGPLKDEVHHDMNAPLSHYFMYTGHNSYLTGNQLTSVSSDVPIIEALKQGVRVIELDLWPSSTKDGGIKVVHGRTLTTPVALTKCLESIKKHAFIKSDFPVILTLEDHLTPKLQAKFAQMAIEIFGEMLYCPKIDYLTEFPSPASLRNMVVISTKPPKESPQSEETRHDVSNGSESSEDELWELQDSMAKLKTSDKNVSDEEKLEDIKTSDYKANQQSPRGYKHLITIHGGKSQGTMKDRLKVDGGKVRRLSLSEKKLKTASESHGPDLIRFTQKNILRIFPRGERVHSSNFKPHLGWMYGAQMVAFNMQGHGKSLRLMQGMFKANGGCGYVKKPEFLTQESAHNEAFDPKKTLPVKHILRVKVYKGVGWRSDFSRTHFDRFSPPDFYTKVCIVGVGADSVKKKTSVKTDNWYPVWDEEFEFRLTVPELALLRIEVKDKDKTTDDFAGQTCLPVSELKCGFRSVPLCDLKGKKFNSVKLLLRFQLET >CAK8535969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894542092:894543661:-1 gene:gene-LATHSAT_LOCUS5382 transcript:rna-LATHSAT_LOCUS5382 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLMYVAFPLILSFVVLSLRQGVAAAAAPPHPKLQWHYYQFNTTCRYAEEYVRHQVQLIYDHDKSITAKLLRLVYSDCFVTGCDASILLDEGPNPEKRAAQNRGLGGFVLIDKIKTVLESRCPGIVSCADILHLAARDAAKMAGGPGYPVFTGRKDGMKSDAASVDLPSPSISWQDALTYFKSRDLDVIDMTTLLGAHTMGQTHCSHIVDRLYNHSGTGNSDPSMDATFRDNMRKLCPPKTKKGQHDPLVFLNPESGSDYTFRESYYKRILRKEAVLEIDQQLINGDDTKEITEEFADGFEDFRRTFAESMYKMGNINILTGNQGQIRQNCRFTNKGNPN >CAK8571263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:356739672:356740037:-1 gene:gene-LATHSAT_LOCUS23941 transcript:rna-LATHSAT_LOCUS23941 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVARKGKGNMVSSFPGIEDEVANLEPGGVVDWRSLPDDTVIQLLSCLSYRDRASLSATCKTWRVLRNSPCLWTSLDLRSHKFDTNVASSLASRCVHLQKLRFRGAESADALLHL >CAK8570050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22238508:22238972:1 gene:gene-LATHSAT_LOCUS22836 transcript:rna-LATHSAT_LOCUS22836 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARWIAKLATHCLLDALGQYPDYEVKSELELEECEALKKELTEKLKAVCEKETLSKENLARAKDESAATSRIIGFAIAKVGRFLNCSMVDALI >CAK8563594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619342153:619344936:1 gene:gene-LATHSAT_LOCUS16988 transcript:rna-LATHSAT_LOCUS16988 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGCSVRAIWILNNLDAVAFSRRFPVVEKRWRTACKTNNENSDKVFSSLPTDSDLADAFLQRKLREGSARGFGIRKSNSTLGSDSWVDDPITRHIIGLYINKELEDDKNILWPLILHIRGHYSVLVLPMVEPRHVKAYEKLCKRPECGTSLGLDDGLSSLLLELPAITGAFMIAHAIGDIISGDIVEPEVIIAAAPSVGGLFDSLTGSIGISSRAKPVSPQVASSSPMGTASQGSVTADTPKMGSRPLDKDALRTFISSSMPFGTPLDLNYSNIFTIKANGFSASDLAPTDQKQPAWKPYLFKGKQRMLFTTHEIVHAALYDRDEIPDNISVSGQINCRADLEGLPDVSFPLTGLNTANLEVSSYHPCAQVSDQGPDKQGVVFSPPLGNFVLMRYQSTCALGPPVKGFYQLSMVSEDKGAFLFKLHLMGGYKSPLTMEFCTVTMPFPRRKIISLDGTPSMGTVSTSEHSVEWKIVTSGRGLSGKSIEVTFPGTVKFAPWKNQVVSSSGSVFGTIVDEDSDNEAENASNMVNEEHLMEKMNKDLPPVDLEEPFCWLAYNYAKVSFKIVGASVSGISIDSKSLSIYPAVKAPVEFSTQVTSGDYILWNTLGKCPYVATV >CAK8538878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498660980:498663265:-1 gene:gene-LATHSAT_LOCUS8028 transcript:rna-LATHSAT_LOCUS8028 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRISTLKFDHAELISLSKRLTALQSLLPFHAITVTTGNSTNPFIAARLISLYDTLNHPSSSSTLFHSLPFKDIFLWNSFLKTLFSRSLFPQLLSFYSLMRSSNVLPNHFTFPMVASSYAHLMLLPFGMNLHGLAYKVGFFPSSSAVGSSFVSLYSRCGEMNDAVKVFDEISVRDVVAWTALVIGYVQNGESEKGLKCISEMYAAGGDHDAQRPNSRTFEGGFLACGNLGDLFSGRCLHGLVVKNGIGCSLVIQSSILSMYSKCGVPQEAYQSFCEVINKDLLSWTSVIGVYARFGLMCDCVRFFWEMQESQVYPDGIVIGCILSGFGHSADVSGGKAFHGLVIRRHYVPDEMVDNSLLFMYCKFGMLSFAERLFYWCQGSTKCWNSMVVGYGRIGNNLKCIELFREMQYLGICSESVSAISAIASCGQLKEPNLGRSIHCNVIKGFMDETLSVTNSLIEMYGKCDKMTVAWRIFNRTERDVILWNTLISAHIHLKHHEEAISLFNTMIMEDQKPNTATLAVVLSACSHLASLEKGERVHDYINEKGFKLNLLLGTALVDMYAKCGQLEKSREVFDSMMEKDVICWNAMISGYGMNGYVESAVEIFNLMEESNIKPNEITFLSLLSACAHAGLVEEGKYLFAKMQSYSVKPNLKHYTCMVDLLGRSCNLEEAEELVLSMPVSPDGGVWGALLSACKTHNQIEMGIRIAKYAIDSEPENDGYYIMLANMYSSIGRWEEAENVRRTMKDRCLLGKKAGWSVL >CAK8534904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789092157:789093837:-1 gene:gene-LATHSAT_LOCUS4405 transcript:rna-LATHSAT_LOCUS4405 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFDQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLECYYRVSHPRLVPIPYHDAPSEMPTEMPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8566020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368347518:368348996:1 gene:gene-LATHSAT_LOCUS19188 transcript:rna-LATHSAT_LOCUS19188 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMAKHGFLRNILVRVFSFAVLIFAARFAIIVTVRGGSCDSSDFCFFSENLNVTAPSHLAGTGDPFSGKNWRRSVEYYAASFQDLVAEGSLSPNSKALCIDTPTGEDVLALKEIGVVDSVGIFKKPSPPLIVHGEGHRHPFPEDSFDFEFSGIGGLENTPKPAEFAAEISRTLRPGGFLVVHTAARDAYSFNSFLELFSFCELIRTREINGVDSSTILEILMKKKIPNFKTLNSPPIFNSVKKCSFPRYKREIVKTAETLILQEPLKPWIALKRNLKNIKYLTSLVDISFKNNYVYVDIGARSYGSSIGSWFKKQYPKQNKTFEVYAIEADKTFHEEYRTKKGVTLLPYAAWVRNETLFFEITRDPSKKIMMNGRGMGRINPVQTSSSHMGDKDKIQGFDFADWLKSVVTSKDFVVVKMDVEGTEFHLIPRLIQTGAICLIDELFLECHYNRWQRCCPGQRSAKFQKTYSECLDLFTSLRNIGVLVHQWW >CAK8540293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549412168:549416534:-1 gene:gene-LATHSAT_LOCUS9309 transcript:rna-LATHSAT_LOCUS9309 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNDAVLASEPVAEQQTHSNNTQLESSKKKNKKNKHKLQNEENTPKRKHEELNPQNDTESEKKSKKKKKHNKSKDNGEENGSGNDGNGADTVSASDEPIMVTGKNAGDEKYAAVKTFVGSGLPDNVLECCKGFEKPSPIQSRAWPFLLDGRDLIGIAATGSGKTLAFGLPAIMHVLNKRKSKVSSKGRNPLCLMLSPTRELAQQISDVLCDAGKSCGVESVCVYGGTSKGPQISALKSGIDIVIGTPGRIQDLVEMGICRLQEVSFVVLDEADRMLDMGFEQIVRSILGQTCSVRQMVMFSATWPLAVHHLAQEFMDPNPVKVVVGSEDLSANHDVMQIVEVLDERLRDKRLLALLEKYHKSQKNRVLVFVLYKWETTRVEKMLQQGGWKAVSISGDKSQHERTKALSLFKNGTCPLMIATDVAARGLDIPDVEVVINFSFPLTLEDYVHRIGRTGRAGKKGVAHTFFTNQNKGLAGELVNVLREAGQVVPDDLMKFGTHVKKKESKLYGAHFKEIPVDAPKSKKITFDNSDDED >CAK8566651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445309079:445310108:-1 gene:gene-LATHSAT_LOCUS19767 transcript:rna-LATHSAT_LOCUS19767 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRPTKVMKLEDLIQLVPNWLELPKDVTSKILQLLGPVELVRNARRVCPMWRNICREPSMWRSIEMIKGLNSPYNLDKICMYAVDQGCDQVEEFNVEYFATDELIKKIAERTANLRRIRVSKCFRVSDKVFSDAAKKFSLLEELELSFNDLSKESLEAIGRNCPLLKTLKFNRAYKGVKCTSYKGFKCNKEAFAIAKTMPGLKHLELWGNKLTNDGLVAILDGCPNLESLDLRMCYNLVMSENLAKRCYENIKYFRHPGEYIDEHDDDNDDHIFEFYCECRDRDSKKMKGTKISYMDFDMFH >CAK8539732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523466501:523471856:-1 gene:gene-LATHSAT_LOCUS8803 transcript:rna-LATHSAT_LOCUS8803 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQVVGSRARAYRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSGFRRVQEKSEEEEELEEFVNFERYRDLVKHRRRGFTDEDALQHVNLEMEAKATAPFASDRSNTAQPAPSKGSYSQVGFSYEANGKEESQISDDDEDEDEDDEDDEEFNSDDSNDEGMEMIAKEYGVKRYGWLVYMDKKAKEEQKRQKEIIKGDPAIRKLSRKERRKASQVERERERDATRTSGTRVLHHDPYRESRQSPTYEAYSRSRRSRSRSRSYSPSYAKRYSRSSHSDDILRSKPKTPKIEYITEFGGPGEADGTKREGFSPPRSPTSRVDTLNRSSSGCILEALHVDPASGVSVDKGAKVLKPSVSTSSGSALAKLKASGSGGLLKPQPGEKKETPQERLRRIMNKQLNKQIKKDTAAELAKKREQERQRQEKLAETSRLSRYRRRSRSRSYSRSPPRRYRRSSRSPSRSRGSRRYHSSSRSHSRSPSRTRSRSPSRTRSRSPSRIHSPTRSRSPYSRSPRVRNRSRY >CAK8539733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523466501:523471856:-1 gene:gene-LATHSAT_LOCUS8803 transcript:rna-LATHSAT_LOCUS8803-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQVVGSRARAYRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSGFRRVQEKSEEEEELEEFVNFERYRDLVKHRRRGFTDEDALQHVNLEMEAKATAPFASDRSNTAQPAPSKGSYSQVGFSYEANGKEESQISDDDEDEDEDDEDDEEFNSDDSNDEGMEMIAKEYGVKRYGWLVYMDKKAKEEQKRQKEIIKGDPAIRKLSRKERRKASQVERERERDATRTSGTRVLHHDPYRESRQSPTYEAYSRSRRSRSRSRSYSPSYAKRYSRSSHSDDILRSKPKTPKIEYITEFGGPGEADGTKREGFSPPRSPTSRVDTLNRSSSGCILEALHVDPASGVSVDKGAKVLKPSVSTSSGSALAKLKASGSGGLLKPQPGEKKETPQERLRRIMNKQLNKQIKKDTAAELAKKREQERQRQEKLAETSRLSRYRRRSRSRSYSRSPPSRRYRRSSRSPSRSRGSRRYHSSSRSHSRSPSRTRSRSPSRTRSRSPSRIHSPTRSRSPYSRSPRVRNRSRY >CAK8563465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607758726:607760481:-1 gene:gene-LATHSAT_LOCUS16871 transcript:rna-LATHSAT_LOCUS16871 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKSSVSTWIFLLFFLNFFLVFFTPSNEDHKIHHIHSTSLPQEKSPHEFLLISSNNGSYTNNTIQPWIKVGHGRKNKTSLQKIEQGLAQARASIHQAVRSKKYTSTIKQSFVPKGSIYLNPHTFHQSHIEMVKRFKIWVYNEGEQPLVHDGPVNNKYSIEGQFIDEMDTSNKSPFKATHPDQAHVFFLPFSVSKVIRYVYKPRRSRLDYDPQRLQVLVEDYINIVANKYPYWNRSQGADHFLLSCHDWGPRVSDANAKLFKYFIRALCNANTSEGFRPNRDVSIPQLNLPVGKLSQPKTSQQPENRTILAFFAGGAHGKIRKKLLKQWKEKDQEVQVNEYLPKGKDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICENYSLPFSDVLNWSQFSMEIEVGRIPEIKIILQNVTEDKYRLLYSNVRRVRKHFEMNRPAKPFDLIHMILHSVWLRRLNFKLII >CAK8535343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:840972853:840975333:1 gene:gene-LATHSAT_LOCUS4809 transcript:rna-LATHSAT_LOCUS4809 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLADSVLKAIPKIDKIRVSREERHHKNRMKGNKAKVQKEAEKEYEQSIHMVKAPSALQQEKVKVKVSQQQSEENPLMEE >CAK8537527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:386333418:386334821:1 gene:gene-LATHSAT_LOCUS6816 transcript:rna-LATHSAT_LOCUS6816 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKTHILVFPYPAQGHMLPLLDLTHQLALQNTFTITIIITPKNLPILNPLLSSHPNTIKTLVFPFPSHPKIPSGAENIRDVGNTGNYPFINALSNLQSPIIQWFNTHSNSPVALISDFFLGWTQQLATRLGIPRIVFYSSSTFLVAVINCCWSNPLLLQSKEVVEFPELPGKPSFKYEHLPSLVLRYRESDPESEFVRESFISNAASWGFVLSTCKALDGRYLDYMQAQFGNNRVYIVDPLGTIRVDVDLDGGSDVLSWLDRLEEEGSVVYVCFGSQKLLRREQMEALAFGLEKSGTQFVWVVKEPSTVEQIEKGYGLVPEGFEEQVSGRGIVVRGWAPQKAILGHRVVGGFLSHCGWNSVLEAVVAGVGILGWPMEADQFVNAKMLVEDMGVAVRVCEGADSVPDPDELGRVISGVMSESSRLKKRAKLMKEEAIGDVGKDDISPNELHEFVEALKQLGVKEES >CAK8569929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16354617:16354921:1 gene:gene-LATHSAT_LOCUS22728 transcript:rna-LATHSAT_LOCUS22728 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQEQDRPWFLYAMPLLVFTLIAFHLLALVYWIYRLSTDTKPQQQLLQQQLQQQQQSQRRKAH >CAK8572698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556816900:556823428:-1 gene:gene-LATHSAT_LOCUS25231 transcript:rna-LATHSAT_LOCUS25231 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTKQLKDVEFDIESGENTSEEDTSNDERDSKNGFPWSWNGVLHTDGLEKGKNGIESCSSSSNSDDFVGVDDYNLELLVENGKLGKQKNKFSNNPRKPQKPPLPPKGPSLHAGDHRFVKELAELALRKRARVKKMNAVKKMNAGKSSSTSSYTNLSAMVITIVFFLVIILQGIKSASSAAVELTDSPETTVVADESLISIQHPANFNSSDGYGPGSHFPRLQEG >CAK8538915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499485255:499487000:1 gene:gene-LATHSAT_LOCUS8063 transcript:rna-LATHSAT_LOCUS8063 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFMGLCSRIVVILFGLVFVMLIAEVDLAECGNGGDVRNYISWEDLMVDEQRLVFRKSNVYDHNKVRVIVVDQNGNGHSKTVQGAVDLVPDWNKHRVKIYIFPGIYRERVFVPITKPYVSFIGRRNQTAGPVITWNSKSSDRGPNGQTLGTYGSATVAIESDFFCATRVTFENTVVASAGGTGMQAVALRVDSDKAMFYRVKIKGTQDTLLDNTGTHYFYRCLIEGKVDFIFGSAKSLYEKCRLQSLAEKYGAIAAHHRDSPFQDTGFSFVGCRIRGTGSVYLGRAWADYSRVIYSNCYMDDIINPEGWSEWDHPEREKTAVFGEYKCYGKGADRTQRVPWSKSLSYGEAKPFLDINFINGNQWLRL >CAK8538916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499485273:499487000:1 gene:gene-LATHSAT_LOCUS8063 transcript:rna-LATHSAT_LOCUS8063-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSRIVVILFGLVFVMLIAEVDLAECGNGGDVRNYISWEDLMVDEQRLVFRKSNVYDHNKVRVIVVDQNGNGHSKTVQGAVDLVPDWNKHRVKIYIFPGIYRERVFVPITKPYVSFIGRRNQTAGPVITWNSKSSDRGPNGQTLGTYGSATVAIESDFFCATRVTFENTVVASAGGTGMQAVALRVDSDKAMFYRVKIKGTQDTLLDNTGTHYFYRCLIEGKVDFIFGSAKSLYEKCRLQSLAEKYGAIAAHHRDSPFQDTGFSFVGCRIRGTGSVYLGRAWADYSRVIYSNCYMDDIINPEGWSEWDHPEREKTAVFGEYKCYGKGADRTQRVPWSKSLSYGEAKPFLDINFINGNQWLRL >CAK8568248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585983884:585984299:1 gene:gene-LATHSAT_LOCUS21226 transcript:rna-LATHSAT_LOCUS21226 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNKSSSIGTSNGRQISRFGCNQVMKIWVSNTSKNPKRKFWRCRNWQKTRISCDLFIWDDELDEIEWKSGIKIVDNNLASDCNKGEDMIGSLREFGKEFAKDFGKEYAKES >CAK8576539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489513048:489514337:-1 gene:gene-LATHSAT_LOCUS28718 transcript:rna-LATHSAT_LOCUS28718 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKILIIGATGSLGYHLAESSLKFCHPTFALVRDSSFSDPIKAHKLQNLSHAGVTLLKGSLQDEASLVEAVKLVDVVICAVSAKQTLQQKLLIRVIKQLGSIKRFIPSEFGSDPTKAKVCELEDGYNFYAPKIEIRKLVESEGIPYTFISCNFFMKVLLPSLVQPGLKAPPRDKVTIFGDGDTKGVFMQESDVADFTINAVDDPRTLNKVLYLRPPGNVCSLNELVESWETKIGKKLERSRVSEEDLLEKIQATTFPENFEMIFIYSAFIKGDHTYFDIEPSSGVNGTELYPQLRYTTVSEFLDTLM >CAK8578132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609146274:609147872:1 gene:gene-LATHSAT_LOCUS30171 transcript:rna-LATHSAT_LOCUS30171 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISPLPIFVLLLSTLSLKVSSLLLQESFVQCLNMNSDRTYPFHTTIYTPNQPSFKTILDSLAMNLRCLAPSVPKPEFIFTPSQDSHVQASVICSKKLGIHLRVRSGGHDYEGLSYVSEIETPFIVMDLIKLRDINVDTKTNTAWVQSGATIGEVYYKIYEKSSVLGYPAGLCTSLGVGGHITGGAYGTLMRKYGLGVDNVLDALIVDANGKILDRKAMGEELFWAIRGGGGGSFGVLLWWKIKLVPVPPTVTVFTVTKSLEQGATKILHRWQEVAPFVDENLFVRVIIQPAASATNKTQRTITTSYNAVFLGEARTLLQVMKTSFPELGLTRKDCQETSWIKSVLYIAGFPSDTPPEVLLKGKPTFKNFFKAKSDFVREPIPETGIEGLWQRLLIEDIPLMIWTPYGGKMSEFSESDSPFPHRNGTLYKIQYLSIWQEGEKNVAKHVDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGINSKNSTSYIEASGWGYRYFKGNFDKLVKIKTRVDPENVFRHEQSVPPLPI >CAK8562888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553480165:553482772:-1 gene:gene-LATHSAT_LOCUS16350 transcript:rna-LATHSAT_LOCUS16350 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNPPKNTAAPPSFLGNSSIPVNPQAIHLLTQSQPQMQGASSSPFPGHFQLSQPQNHVIPQQQQPQFANPRAHPQTQQPMYQQQQQQQQKPFNQMSNYTNSNVASPATAATTTTTTTSAKRSHHKQSSRPQGSPSGNQTSAFKTMELTPAPLRKKRSLPDNLIPEKVAKLVPESALYTRLLELEAQIDAALNRKKIDVQEAVKSPPSFRKTLRVYVYNTFLNQTKLDGDVEEPSWSLRITGRILEEDGKDPVVAGITKREKHLYPKFSAFFKKVTVYLDQGFYPDNHVIVWDSARSSVQQDGFEVKRKGDKEFTAVIRLGVNYSPEKFMVSAPLSKVLGIEFDTRPRIMAALWNYVKFRKLQSPNDPSFFMCDASLQKVFGEEKMKFSMASQKISQHLSQPQPIHLEHKIKLSGNCPAGTACYDVQVDVPLPLEKDMSAFLTSMEKHKDIDAFDEVIRASVKKIRGHLKRRAFLLGFSQSPAEFINSLIASQSKDLKLVAGDASHNAENEQRSEFYNQPWVEDAVIRYLNRKSARTDAP >CAK8532188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:217298763:217299596:1 gene:gene-LATHSAT_LOCUS1919 transcript:rna-LATHSAT_LOCUS1919 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQFQIYQQTPRNYAAWSLCQPPYESENPASFSRPPTFNGHYPGRVKWNGNKPERRPDKRRVNPNDSSETNRFASKVRRFHFPKRRFTSGGGRFSAPFAPRNTTSFIIRAKKTGGMASLVSPCEMTPAILTTPTLSPSTEVVVDMAKEKWGVDGYGTMKGLIRVRSEKESSEDSENNDGEHLEVEKRLNSDLSRFEMIYPSESSLENRVDEQELHITRLEEQNLTLKERIIFIEDELDGLRRRVVWLEREGIRLQDFNGDVCSEKSVGDDGDSVER >CAK8575616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211790785:211791117:1 gene:gene-LATHSAT_LOCUS27865 transcript:rna-LATHSAT_LOCUS27865 gene_biotype:protein_coding transcript_biotype:protein_coding MNALIRNTTLTFSRRHKYELVSLQGKETTLKSISYRRRRAKQRKIFLTTYKLSSLNHFVEPKRPKIKRVAVKVKNIANSVLMFMKTGTFRSCRSKSAISETSPVPNRKIL >CAK8573822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640109913:640110254:-1 gene:gene-LATHSAT_LOCUS26225 transcript:rna-LATHSAT_LOCUS26225 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGRGRGRGKPHRKVPSSTENPTMVVKEKGDAGCVVQTKVVELNESQDDVSLSEEDEENVLHQETLGESSTTRIEASQEKKKLWVDDINENRNPAKGLTMEFVASTVIDGEI >CAK8577814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590267086:590268747:-1 gene:gene-LATHSAT_LOCUS29885 transcript:rna-LATHSAT_LOCUS29885 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSGEIVETLPRSFQVHSEICMELMRILDDIMRIFPDIEDARPRCSSGIESLVYLNKAIEKSKLLLQHCSECSKLYLAVTGDAVLSRCQRAKKSLEQSLIPIKDMVPVVLAVEVSRIIDDLGRATFVLDSAEEEAGKVLTELLQQGTSNLDSVEDFELKALQFAVPRLNITSQRALLVEGRPINKLLDKIGPNDQKKQSILRYLMHLSKKHRKSMVVREHMEKFYSRSKEPTTKDNNSSRHSLQNHRVEPDPSSEYDQYRTHTGELDKGIPPEEYKCLLSSRLMYDPVIIASGVTYERMWITKWFNEGKTTCPKKEDELPHMTLTPNVAMKNLISKWCKNNGVSIPDPSRHTEDFQLLDASITSMKSLGSYFNDLNLPLDLSIMSLGSLDTSFNSDVSRGKINHGLNLMMSKASEHSHNYGGHAPEIHDTMAYGCYCLYLCCIVFSY >CAK8563172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580026901:580027768:1 gene:gene-LATHSAT_LOCUS16606 transcript:rna-LATHSAT_LOCUS16606 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPILSRSHESRQAQSYRLQTKYSINLHRGLAPRAPIYIAVTQFEAKKEEKTYLKELSLDCMEFAARTKVDVKNATVMLCVTKMQLARRLIWLHLAKAS >CAK8564652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5046928:5047239:1 gene:gene-LATHSAT_LOCUS17935 transcript:rna-LATHSAT_LOCUS17935 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKYVAPSIMAGEIQIAIEDEDVETELKFWENSLIIYVLGGDLSMNTVKNFMERMWNFIKLPDIHYHEEGYFILKFHSHTDMDIVMLKGPYTIRNMPMLLRE >CAK8532410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:258371607:258375628:-1 gene:gene-LATHSAT_LOCUS2119 transcript:rna-LATHSAT_LOCUS2119 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSIPITDEAVAVSIDSPKQKSMKKKKEKLQNDVVSDQETAKKSSKKRKKSSDDDEEVKNEAGSEVKKEKKKKKAKVEDEDVEEEVVVKKDDPNAVSNFRISEPLKMKLKEKGIEALFPIQAMTFNTILDGSDLVGRARTGQGKTLAFVLPILESLTNGLAKSVRKTGYGRVPSVLVLLPTRELANQVYADFEVYGGSLGLAACAVYGGAPYGAQEAKLRRGVDIVIGTPGRIKDHIERGNIDLSHLKFRVLDEADEMLRMGFVDDVELILGKVQDVTKVQTLLFSATLPSWVQQISKKFLKKDKATADLVGNEKMKASTNVRHIILPCNSTARAQVIPDIIRCYSSGGRTIIFAEKKESASELAGLLPGARALHGDIQQSQREITLKGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNISKIEREAGIKFEHVSAPQPDEIAKAIGGEAAELISDVSDSVIPSFKAAAEELLNNSGLSAVELLAKALAKAVGYTEVKKRSLLTSMENYVTLLLEGGKPMFTPSFAFGTLRRFIPEDKVDGVQGLALTADGQGAVFDVPVKDLDAFLAGQKNAVNVSLKVVTELPALQQREEASRGRFGDRGFANRRGFGRFGRGRGGGGRGFGGGRGGDRW >CAK8561153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:106745840:106755799:-1 gene:gene-LATHSAT_LOCUS14766 transcript:rna-LATHSAT_LOCUS14766 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLSFSPSRTTCASLLRQLQMIWDEIGESDTDRDNMLLQLEQECLDIYHKKVEETRKHKADLNKLLADAESEVSNIASSFGDCVSFSRGKGTLKQQLANIRPVLEDLRSKKVERVKEFLKIKSQISQICAEIAGSGQSKDVTDQDLDQCDLTTKKLGELKLHLDELQNEKILRQLKVKNHISIISELSTVMSIDFKKTLNDVHPSLSDSSNDAPLSISNDTLATLTGVVHSLKQEKQQRLQKVQELTKFLVELWELMEMPIDEQKAFSHVTRLISASVDEVSIRGCLSADVIKQVEVEVQRLNVLKASKMKELVFKRQNELEEIYRGVHMDVDSEAARQILTSRIETGNIDMSELLQSMDDQIKMAKEQALSRRDILDRVEKWKFAAEEEKWLDEYEKDENRYSAVRGAHKNLKRAEKARILVSKIQSMVENLTAKVKAWETEKGIPFLYEKVPLLQSLDEYNVQRQLREEEKRKSREQKRLSEQLSVEQEAMFGSKSATKKPLSQSTHANTIAGTPTGRRVQTPSSRYGTSGAKDRRESGRMNNIIPVNYVALPKDDSASRGS >CAK8568907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653437938:653443432:1 gene:gene-LATHSAT_LOCUS21815 transcript:rna-LATHSAT_LOCUS21815 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESSSQMKIVNGDGGYVLEDVPHLSDYVPNLQTYPNPLRSNPAYSVVKQYFVHMDDTVPQKVVVHKDGPRGVHFRRAGPRQKVYFRSDDVRACIVTCGGLCPGLNTVIREIVCGLSYMYGVDKVLGIDGGYRGFYSKNTITLTPKVVNDIHKRGGTVLGTSRGGHDTGKIVDSIQDRGINQVYIIGGDGTQRGAAVIYEEVRKRGLKVVIAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFFLEGKGGLYEFIKKRLKESGHMVIVIAEGAGQDLLAESMQAMDQKDASGNKLLQDVGLWISHKIKDHFAKENKMAIVLKYIDPTYMIRAIPSNASDSVYCTLLAQSAVHGAMAGYTGFTSGLVNGRHTYIPFNRITERMNNVVITDRMWARLLSSTNQPSFLKHKDVHEVKKAEQPLTPPLLDGGNCNGGGEEAEKVEQIPSQLL >CAK8572276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526032600:526033239:-1 gene:gene-LATHSAT_LOCUS24859 transcript:rna-LATHSAT_LOCUS24859 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASVSASELVGYPGGPYDTSLLVKYEHHVARHIWFGEERGSKKELKVVGHGLKLIQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDISCLLPLPIKGVFWSPQDISEALVVEWAVDYLGVTRRVAQQQVCDCKGSYYKLEWLYD >CAK8579194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682885345:682885914:1 gene:gene-LATHSAT_LOCUS31160 transcript:rna-LATHSAT_LOCUS31160 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAQKQTSQLCKTSKATTKPYRQENMPLAKGLVGLLQEAKQEVPSWLIQYSQSSAPGGRGSSGSQRSPWIGNYGGRDFRTAAEPVKVESYNNYNSSYSNYGDNGFNNVQEQVQNYNYNSTYSNGRDHTANTYTDTSLDIQNSNNNATFDSTNTEIAGGYNYPDVGLCEGSDGINGPCGYASVVPTGWD >CAK8531211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89252462:89255423:1 gene:gene-LATHSAT_LOCUS1018 transcript:rna-LATHSAT_LOCUS1018 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELNQSLEYTPTWIVAVVCSVIVFISLCVERAIHSLGKYLKRKKQTALYSALTKLEEELMLLGFISLLLTVFQGLISHICIPPKYATQMLPCKRSHGSSQGSEHDLIYYDTIINRRRLLSTDTGSQHCRLEGKVPLLSLEGLHHLHIFIFVLAVVHVVFCVATMVLGGARIRQWKSWEDHARKKTINSSGETLRLEIDEFFNKHAQGYWRKAAVVGWFRSFFKQFFSSITKYDYLALRHGFIKEHYPNDPNFNFHNYMMQTLEVDFKKVVGISWYLWVFVVLFLLLNLDGWHTYFWLAFLPLIILLLVGAKLEHIITRLGQESVAKEYPTEQVKPSDEYFWFGRPAIVLDLLHFTLFQNAYEIAFFFWIWSTYGFDSCIMEKIAYIIPRIIMGVIVQVLCSYSTLPLYTLVTQMGSRCKIDKYDDKVESPPLFQRMTKESNQVSQIGEQAIIMMEDHAMSSTIELHPMNQSSLERDNVFNTQ >CAK8565336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:136999587:137002193:-1 gene:gene-LATHSAT_LOCUS18557 transcript:rna-LATHSAT_LOCUS18557-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKPINTLSSTARSFFLGGTRCNAPDANSCTCHEDETCVSIRQQRKNEGLLAPNPAYIVSNTPSQVEGNRESGTGSHKVQGAGSVQQVSSTSCRSSKSDSVKYASVADDFQNHVTHSPPLDSDQFYRAGIAAVNFISDLVHSKLPLSDGMGILSYSKNCMVEPVSAICNIRSSNVKQIRKEDFTSVHPKPPVSTQPGPSNNATSYHGSKGKGDKSKISKGFKHVASSITDETLSVPFNNHNSRPLPPQRTRTYSNRFVTKFGSNRPTSNSQMLGSFNESFNKHHRDLKTSAGIASTKTHYTKTGRRGVEVVKDILQQLKWGPATEEALHDLNFSIDAYQGNQVLKQLEDHSVALYFFYWLKRQPDFRHDGHTYTTMVGILGRAREFGAINKLLEQMVMDGCQPNVVTYNRLIHSYGRANYLKEALNVFKQMQEKRCEPDRVTYCTLIDIHAKAGFLDVAMSMYERMQQVGLSPDTFTYSVMINCLGKSGNLAAADRLFCEMVDQGCVPNIVTYNIMIALQAKARNYETALKLYRDMQNAGFKPDKVTYSIVMEVLGHCGYLEEAEAVFVEMKQMNWVPDEPVYGLLVDLWGKAGNVEKAWEWYGAMLRAGLLPNVPTCNSLLSAFLRVHRLADAYNLLQSMVALGLSPSLQTYTLLLSCCTDAQSQYDMGFCCELMKVSGHPAHAFLQSMPAAGPDGQNVRDHASKFLDLMHSEDREGKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQRNVYPDAVKEKSCCYWLINLHVMSDGTAVTALSRTLAGFRKQMLISGVSPSRIDIVTGWGRRSRVTGSSLVRQSVHELLHVFSFPFFTENGNSGCFVGCGEPLSQWLLHPCVERMHLL >CAK8565335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:136999587:137002826:-1 gene:gene-LATHSAT_LOCUS18557 transcript:rna-LATHSAT_LOCUS18557 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMLRSKPINTLSSTARSFFLGGTRCNAPDANSCTCHEDETCVSIRQQRKNEGLLAPNPAYIVSNTPSQVEGNRESGTGSHKVQGAGSVQQVSSTSCRSSKSDSVKYASVADDFQNHVTHSPPLDSDQFYRAGIAAVNFISDLVHSKLPLSDGMGILSYSKNCMVEPVSAICNIRSSNVKQIRKEDFTSVHPKPPVSTQPGPSNNATSYHGSKGKGDKSKISKGFKHVASSITDETLSVPFNNHNSRPLPPQRTRTYSNRFVTKFGSNRPTSNSQMLGSFNESFNKHHRDLKTSAGIASTKTHYTKTGRRGVEVVKDILQQLKWGPATEEALHDLNFSIDAYQGNQVLKQLEDHSVALYFFYWLKRQPDFRHDGHTYTTMVGILGRAREFGAINKLLEQMVMDGCQPNVVTYNRLIHSYGRANYLKEALNVFKQMQEKRCEPDRVTYCTLIDIHAKAGFLDVAMSMYERMQQVGLSPDTFTYSVMINCLGKSGNLAAADRLFCEMVDQGCVPNIVTYNIMIALQAKARNYETALKLYRDMQNAGFKPDKVTYSIVMEVLGHCGYLEEAEAVFVEMKQMNWVPDEPVYGLLVDLWGKAGNVEKAWEWYGAMLRAGLLPNVPTCNSLLSAFLRVHRLADAYNLLQSMVALGLSPSLQTYTLLLSCCTDAQSQYDMGFCCELMKVSGHPAHAFLQSMPAAGPDGQNVRDHASKFLDLMHSEDREGKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQRNVYPDAVKEKSCCYWLINLHVMSDGTAVTALSRTLAGFRKQMLISGVSPSRIDIVTGWGRRSRVTGSSLVRQSVHELLHVFSFPFFTENGNSGCFVGCGEPLSQWLLHPCVERMHLL >CAK8578151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610084024:610084827:1 gene:gene-LATHSAT_LOCUS30189 transcript:rna-LATHSAT_LOCUS30189 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSMALSSPTLAGKQLKLTPSSQELGGARFTMRKSANTKKAVSSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >CAK8578963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664400518:664401153:-1 gene:gene-LATHSAT_LOCUS30940 transcript:rna-LATHSAT_LOCUS30940 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPEFPSGGSLTPSPKKELQIQGTRPPPLRVSKESHTIRKPPLPPAAHHHPPAGASQHRQPLIIYSVSPKVLHVTENDFMDVVQRLTGPSAGDEANQQSGAVSPAARLASIERTSPTERERAQNVDDDLTWLLEGVEMGQFPGILSPAPATLPPISQGFFSPMNEPQTTPFWHDMSPFWYGSSFVGSPSGLLSAAVVSPLPSPDLFSIFD >CAK8563771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630204830:630205138:-1 gene:gene-LATHSAT_LOCUS17147 transcript:rna-LATHSAT_LOCUS17147 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRSLLQLLRLLDHGNDDNETETDIDDEPVETQPRHSPNSDVAATPQQRVKPQKQSPASFNNKGTQNMTGLINNTGYTKGNGNGAIIFGGFDSSNKRYYR >CAK8542934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560861230:560868881:1 gene:gene-LATHSAT_LOCUS11731 transcript:rna-LATHSAT_LOCUS11731 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKKNLLQHQNTSEEEEPLRKRVWQESKKMWIVAGPAIFNRFSTFGITVVSQSFIGYIGSTELAAYSIVMTVLVRFANGVLLGMASALETLCGQAYGAKQYDMLGVYLQRSWIVLFLTSILLLPIYIFTTPILEALGQDKNIAQVAGSISIWSIGIIFAFAVSFTCQMFLQAQSKNKIIAYLAAVSISIHVFMSWLLTVKFKFGLNGAMTSIILAHWIPNLGQLVFIMRKCPDTWKGFSFLAFSDLWPVIKLSLSSGAMLCLEIWYYTVLILLTGNMENAEISIDALAICLNINGWEMMIALGFFAAASVRVSNELGRGSSKAAKFSIVITVVTSFSIGFVLFLIFLFLKEKLAYIFTPNPDVAKAVGDLSPLLSFSILMNSVQPVLSGVSVGAGWQKVVAYVNIGSYYLIGIPIGLVLSNLLHLQVKGVWIGMLFGIFVQTVILIIITFKTDWDKQVEIARNRVNKWSVVENVESNDTSNISS >CAK8565607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:291729682:291730281:-1 gene:gene-LATHSAT_LOCUS18804 transcript:rna-LATHSAT_LOCUS18804 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRVFLFVLLGALVCSSIDARKLGSVKSLRDEKNFYHPGFGGGAGAGGGGGFGGGGGSGGGLGGGSGGGFGAGGGSGGGLGGGGGFGGGGGSGGGIGGGSGSGFGAGGGSGGGLGGGGGIGGGGGGGFGGGGGVGGGSGFGGGSGFGGGAGGGNAFGGGSGGGFGGGGGSGGGLGGAGSGGGFGGGAGGGVGTGFP >CAK8532377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:251674345:251677707:-1 gene:gene-LATHSAT_LOCUS2088 transcript:rna-LATHSAT_LOCUS2088 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSFLAPILFYLHLLFLFTLNLMWFGPNKTVAVTLGNQTDHLALLKFKESISSYPHGTLECWNTSIHFCKWNGVTCSPTDQRVIELNLGGYQLHGSLSPHVGNLSFLIKLNISSNNFIGKIPQELGQLLQLQQLQLFYNSFTGEIPTNLTYCSNLKGLFLGGNNLTGKIPIEIGSLKKLRAFNLWRNNLTGGIPSFIGNLSHLKVLVFDYNNLEGNIPQEVCRLTNVSILSWGVNRLSGTIPSCLYNISSLTLLLTAVNNIHGSLPPNIFHTLPNLRQFQIGENQISGTIPTSIINSSSLVYIDISQNSFVGKVPNLGRLDLQYLNLESNNFGGNSTNDLDFFKSLTNCSKLSVVSISYNNFGGILPSSIGNLSTELYRLHLGLNEISGKIPAELGHLVGLIALVMSFNHFEGIIPTTFGKLQKMQQLQLGGNKLLGNIPPFIGNLSQLYYLDLQLNMFGGNIPPSIGNCRKLQYLDFSQNKLRGTIPLEVFKLSSLSNLLNLSHNFLSGTLPREVGMLKNIGRLDVSENHLYGDLPTTIGECTSLEYLLLQANFFNGTIPSTLTSLKSLRYLDLSRNRLTGSILDGMQNISVLKHLNVSFNMLEGEIPIDGVFGDASEVAIIGNEKLCGGISQLHLPPCPLKGKIQAQHHKIKLIAVIISVISFLLILSLIITIYWMRRRYQKRSSDSPTIDQLAMVSYQDLHQGTNGFSTENLIGSGSFGSVYKGNIVSEDNFVAVKVLNLQKKGAHKSFIVECNALKNIRHRNVVKILTCCVSMDYKGQEFKALVFDYMKNGSLEQWLHPGILNVEHPTTLNLGHRLNIIIDVASALQYLHQDCGQVVIHSDLKPSNVLLDDDMVAHVSDFGISRLVSAIGGTSHKNTSTIGIKGTVGYTPPEYGMGSEVSTSGDMYSFGILLLEVLTGRRPTDEAFENGQNLHNFVAISFPGNVIKILDPHLVSRDEEVEVEDGNRENLAPNVEEVLVSLFRIGLTCSMESPKERMNIVDVTRELTIIKKSFFHWFNCSHS >CAK8560210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10626885:10627912:-1 gene:gene-LATHSAT_LOCUS13904 transcript:rna-LATHSAT_LOCUS13904 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNIKKIEAAGKKTEEGNALFKAGKYARASKRYKKAVKYIEYDTAFGEEEKKSAKALKVACNLNDAACKLKLKDYKQAAKLCTKLESTNVKALYRRTQAYIQLTDLDLAELDIKKALEIDPNNRVVKLEDKTLKEKVKEINKKDAKFYGNMFSKMKKLDSLDSNKSAPKDAEPMSIDSKA >CAK8575015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21687512:21688782:1 gene:gene-LATHSAT_LOCUS27308 transcript:rna-LATHSAT_LOCUS27308 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELQDHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAADVVTTIVQNNPRSQQLVMEANGFEPLVSNFSSDPDVNSRAKALGALSSLIRHNKPGVTAFRLANGYAALRDALNSENVRFQRKALNLIHYLLQENSSDCNIVKELGFPRIMMHLAATSEDVDVRESSLRGLLELARDAKDSSDSSSEDNEKIKQLLQERINCIGLMSVEDLEAIREERLLVDCLWSTCFDEPSSLRERGLLVLPGEDAPPPDVASKHFEPPLRASAGNPNSKKDSNNEKKKAPLLLGP >CAK8573631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626255852:626257496:-1 gene:gene-LATHSAT_LOCUS26060 transcript:rna-LATHSAT_LOCUS26060 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFDKSVAKSPEGLQTPESNSVSALKDGFLPQHFSSVYPGSVTVNLGPSGVLAYSLNQQNFLLPRLFAVVDDIFCLFQGHLDNVANLKQQYGLNKTANEVIIVIEAYRTLRDRGPYSAAQVVRDLHGKFTFILFDSGSKTTFISADADGSVPFFWGTDADGNLVLSDESETVTKSCGISSAPFPKGCFFTTSGGLSSFEHPLNELKPVPRVDSSGQVCGATFKVDADAKKEQTGMPRVGSAANWSNNI >CAK8567940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558358615:558360868:1 gene:gene-LATHSAT_LOCUS20947 transcript:rna-LATHSAT_LOCUS20947 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTESMSESQPEFYYQHPPASYDGSSVSNSYHQPSYDGSLDNDQPSYAATSSAITQQRRNQQPTYIADNFSSLEQVVSALREAGLESSNLILGIDFTKSNEWTGKYAFHRKSLHHIGSSPNPYEQAISIIGRTLSTFDEDNLIPCFGFGDASTHEKNVFSFYPGNRVCHGFEEVLARYRQIAPLLKLSGPTSFAPVIDAAIDIVESSNGQYHVLVIIADGQVSRSSGTPHGKLSPQEQATISSIIAASHFPLSIILVGVGDGPWDEMKHFDDNINGRLFDNFQFVNFTKIMSENTEASKKETAFALAALMEIPFQYRAAQNIQLSNEHVRRQHKRPLPPPKEVIDHDNAFIETPRLTNFDSVEPAAPATASAEPVCPICLTNPKDMAFGCGHTTCKECGATLSSCPMCRQQITTRLRLYT >CAK8537335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:277199995:277200899:1 gene:gene-LATHSAT_LOCUS6632 transcript:rna-LATHSAT_LOCUS6632 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVLEEEDEEGTEPLSTSPKRPKPLHNFNLPFLKWGTQRHLRCSYATIKDGAGPSTSADRRSSRPNSNESTATPNQSSGSEKRVVIGNDEEEGIAAVREKLTHDLKNHADRIKDTILREEQEETETLKSWKHRTRQIQSKPPVHSDHAKRDFPSPAKIDGGVNVASRLRRNINTNKTERPKFSVQLSRKEIDEDFMAMVGRQPRQRPTKRPKVVQKQLNSVFPGLWLREVTREMYEVLDTKENGKSVKKKGKGKCFNDDDDDESSA >CAK8565174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:56791445:56792606:1 gene:gene-LATHSAT_LOCUS18409 transcript:rna-LATHSAT_LOCUS18409 gene_biotype:protein_coding transcript_biotype:protein_coding MNTENHIDNKDRISDLPDSLILHILSFMDIKQAIHTCILSTRWNNFWKYIPNLTLVSSQFTSVEILTKFVSQILSLRDNSTELHTLVFRRHKVMEPSLLEKILKYAVSHNVQRLDICVTCDIPRLPTCLLSCRTLKSLDLYVSHPTVYGTTKLFPSSLNMPLLTSLDLRHFAFSVGNDGRVDPFSALTSLKSLRILYCEVMDEQNLCISSAKLVNLCIYMVHYAPKSYFGIELSAPNLCSFAFSGIPVQKLSWSKSKLFSIKKVRIHIIEFWKSEETSLVLLDWLFELANMESLIISSTALEVLSLVPNLSFELPSLCNLKSLGVEKKQIASIPYGIVDILIQNSPSPKVYIRD >CAK8538771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495974829:495978888:1 gene:gene-LATHSAT_LOCUS7934 transcript:rna-LATHSAT_LOCUS7934 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFNHLRTLNTHHRILHNRFHPLFNNTNFFSDASSPQQSTTPHVQQPSPPSSLNRNELAKFAAIAESWWDFDGPFKPLHVMNPTRVAFIRSALCRHFKKDPYSAKPLEGLKIADVGCGGGILSEPLARMGATVTGIDAVEKNINIARLHAESDPATSSIEFCCTTAEKLVEEGRKFDAVMALEVIEHVVEPAEFCKSLAALTIPDGATIISTINRSMRAYATAIVAAEYILRWLPMGTHEWSSFLTPEELVLILQRAGINVEEMAGFTYNPVTGRWSLSDDISVNFIAMGTKTNNTE >CAK8571034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272692767:272692910:1 gene:gene-LATHSAT_LOCUS23731 transcript:rna-LATHSAT_LOCUS23731 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMVA >CAK8564338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668704315:668704938:-1 gene:gene-LATHSAT_LOCUS17653 transcript:rna-LATHSAT_LOCUS17653 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIESVPEVMKGVDLKRYMGRWYEIASFPSFFQPANGVNTRATYTLNNDGTVHVLNETWNDGKRNSIEGTAYKADPNSDEAKLKVKFTVPPFLPIIPVLGNYWILYLDPYYHYALIGEPTRAFLWILCRENHLNDEIYNQVVQKAVLQGYDVSKLHKTPQSNPPPQ >CAK8533763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657933357:657933689:-1 gene:gene-LATHSAT_LOCUS3362 transcript:rna-LATHSAT_LOCUS3362-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLDKLKGANDQKLANGDDTHKIKKNVELESNNLSNNENVAGCCQGVNGVSCCRSASFEQNKVVDETVEENKKQGWSVLQQRNILTATSILGALAAVAIGYRFYRRSG >CAK8533762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657933357:657947560:-1 gene:gene-LATHSAT_LOCUS3362 transcript:rna-LATHSAT_LOCUS3362 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRFITSISHFNSKSNFLLLSSATMSSTTTADADAKHGFSRPEMYTETLAGTVQPYDRHVFLCYKNHRVWPPRVEASNDDPLVKRVATAFKARKNDIVVKTNITVCEAREEDGFSDGDLLIFPEMVKYRGLKESNVDSFFDDVMVGCKSWGDGVQNAVAGSYIFVCAHGSRDVRCGVCGPVLIDKLNEEIQLRGLKDQISVMACSHIGGHKYAGNLITFSPGPDGKIMGHWYGYVTPNDVPALLDQHIAKGEVIQKLWRGQMGPPLDKLKGANDQKLANGDDTHKIKKNVELESNNLSNNENVAGCCQGVNGVSCCRSASFEQNKVVDETVEENKKQGWSVLQQRNILTATSILGALAAVAIGYRFYRRSG >CAK8533986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679376638:679378764:1 gene:gene-LATHSAT_LOCUS3566 transcript:rna-LATHSAT_LOCUS3566 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTKSWSIHTRPEITSQYEILEHIGSGTYADVYRGRRIYDGRIVALKEVHDHQSASREIDALRILRGSENVVFMYEFFWREDEDAVIVLEFLKTDLATVIKEAAAGGGREGLAVEEVKGWMVQILSGVHACHRNMIVHRDLKPENFLISDDGVLKLADFGQARMLMESGFDASNSENSSQHPHDAIPLSDNSDQIGFENLEEEERISHEEYFRVLDELKIQSHTYDTDDKDTNTHDGNNSCLATCTISNDDDDDIWKNSLPYEADEEGVYEELGFLTSCVGTRWFKAPELLYGSTNYGLEVDLWSLGCVFAELFTLKPLFPGTGDIDQISRIISVLGNLNEEAWSGCSKLPDYGRISFPKVENPIGIEACMPNHLHGEVSLVKKLVCYDPARRATAAELLHDKYFSQL >CAK8539957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532359887:532361527:-1 gene:gene-LATHSAT_LOCUS9004 transcript:rna-LATHSAT_LOCUS9004 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLGISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFFKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATASNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8541439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:169417194:169418690:1 gene:gene-LATHSAT_LOCUS10359 transcript:rna-LATHSAT_LOCUS10359 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLILLFSIHFTDSSGFSSQPGICYGQLGNNLPTPSKSISLIKSLNAKRIKLYDTNPQILTSLKSTNLQVSIMLPNELITNISTNQTLSDQWIKSNVVPFYPQTLIRYLLVGNEIISSTPNTTWPHLVPAMRRIKHSLKKLRIKKIKVGTSSAMDVLETSFPPSNAAFRKDIAVRVIKPMLRFLNRTKSFFFLDVYPFFPWSSDPVNINLDYALFRDNHNVTVTDPGSGLVYTNLFHQMVDSVYFAMEKLGFPDIRIFIAETGWPNGGDIDQIGANVFNAATYNRNFVKKVMKKPVVGTPARPGSILPSFLFALYNENQKPGPGTERHFGLLYPNGSRVYEIDLSGETLESEFPVLPPPENNEPYKGKIWCVAVRGSNVTALGEALSYACSQGNQTCDPVRPGKECFKPDSVFWHASYAFSSYWAQFKKTGGTCYFNGLAIQTAKDPSYGSCMFPSVTL >CAK8562257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462906982:462907426:1 gene:gene-LATHSAT_LOCUS15769 transcript:rna-LATHSAT_LOCUS15769 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTI >CAK8571456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422547238:422547753:1 gene:gene-LATHSAT_LOCUS24121 transcript:rna-LATHSAT_LOCUS24121 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDYEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKVVESLYRNWETSFNDLPQWLLVLKAYLPGTVIQLETLPIITEDGTQLGDKRKFHRLFWAFEPCIRGFSHCKPIVQIDETWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETEDG >CAK8535582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864802908:864807661:-1 gene:gene-LATHSAT_LOCUS5027 transcript:rna-LATHSAT_LOCUS5027 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSAIHRLCLFHEEPRFSSSTLLRSQRGTAPCKYPFFNCASKTGFLGIPKQQRNVLRVSFCPKIDLSGSRYCYKLNLQNKLPRDVIVRSDITAAGSAGEDYSIPELKLEAKVRGVCFYAVTSFAAIFLFVLMLVGHPFVLLFDRYRRKFHYFVAKLWATLTVTPFFKIEYEGLENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPVIGWAMFLLGVIPLKRMDSRSQMECFKKCMDLIKKGASVFFFPEGTRSKDGKLGAFKKGAFSIAAKTKVPVVPITLIGTGQIMPAGREGIVNSGFVKVIIHKPIDGNDPDMLCKEARNKIASVLTQA >CAK8560861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51113718:51114353:-1 gene:gene-LATHSAT_LOCUS14504 transcript:rna-LATHSAT_LOCUS14504 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCIQLQFTDSHFQFVHDVSIGVEFGVRMINIDKKPIKLQIGDTAGQELFRSITRSYYRGAAGALLVYDITRRETFDHLTSWLEDARRHANSSMTIMLIGNKCDLIKQRVVSIEEGEKFAKENGLMFMEVSAKSAENVEEAFVKTAGKICKKIKDGEFDALNDSNGIKIGYGSKPSVARAHKLPCVSAGGCCT >CAK8567217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495544466:495544729:-1 gene:gene-LATHSAT_LOCUS20287 transcript:rna-LATHSAT_LOCUS20287 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLVSIREKIDQFPMKDVFDMDKTGLFYRLQDDHSLATKQLEGRKQDKERVTVVICCNEDGSEKNPSMDYWKICKASLLQECQHK >CAK8562731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531913924:531920190:-1 gene:gene-LATHSAT_LOCUS16203 transcript:rna-LATHSAT_LOCUS16203 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMATRPLRIAKPYSYAAYAYLSLHFNTSTPKPSFHRPFPLPSPPSSSQNRRLFCTATLPSTNTVPQFRKKLKVADVKAEQFDSLGNTLVLQGWVRTLRLQSSVTFLEINDGSCLSNMQCVLDSEVEGYDQVESGLITTGASVWVQGVVVKSQGTKQKVELKLSKIVVVGKSDPSFPIQKKRVSREFLRTKAHLRARTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPNSHETTDSPVDAIPKMKDGLIDWSQDFFGKPAFLTVSGQLNAETYATSLSDVYTFGPTFRAENSHTSRHLAEFWMIEPELAFADLNDDMACATAYLQFVIRHILDNCKEDMEFFNTWIDKGIIDRLSNVAEKDVLQITYTEAVDLLSRAKKKFEFPVKWGCDLQSEHERYITEEAFGGYPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPKIGELIGGSQREERLEHLEARLDDLKLNKDAYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDVIPFPRTPGSAEF >CAK8567184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493071192:493072456:1 gene:gene-LATHSAT_LOCUS20257 transcript:rna-LATHSAT_LOCUS20257 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFIVGKKRFIKSNMCFTNLVNQDKSTHKGSKSNHSLTAETLEKDYSPILPGLPDDVAKHCLALVPRSNFPAMGGVCKKWRLFIRSKEFVMVRKLAGLLEEWLYFLTMDSEGKESHWEVIDNLNHKCLSLPPMPGPGKASFGVVVLNGKLLIMAGYSGVEGTAVASSEVYQYDSYLNSWSRLSNMNVARYDFACAEVNGLVYIAGGYGVNGDSLSSVEMYDPDTDKWILIESLRRPRWGCFACGLEGKLYVMGGRSSFTIGNSKSVDIYNPEKHSWCNIKNGCVMVTAHAVLEKKLFCIEWKDQRKLSIFSPENNSWTMVPVPLTGSSSVGFRFGILDGKLLLFPVEKKPTNQTLLYDPNAAMGSEWQTSDIRPSGMCLCSVTIKA >CAK8578885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660864155:660864544:-1 gene:gene-LATHSAT_LOCUS30866 transcript:rna-LATHSAT_LOCUS30866 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPWLLVGGFNDIAGSNEKKGGAPASVHKCRVFRDRINDCKLINLETAEPKFTWRGLIFHGGYRIYEKLDRALSNEAWSLNFLDAQIKTLTHVEFSDHHPILISLTKVMKHNVPRQFRFESAWLAEAT >CAK8573571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621179411:621180178:1 gene:gene-LATHSAT_LOCUS26004 transcript:rna-LATHSAT_LOCUS26004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNPYNSPTVPPPPPIQLPKVVTSSSAPTTPSSSSSSTPVVTTGVVVGRYRGTRCRSGKWVSEIREPRKTKRIWLGTYPKAEMAAAAYDVAALALKGPDTTLNFPDSVLSYPIPASLSSTDIRAAAEAAAQAKVMRVKPPDQQEQGKQQHQQENASSFGFEGILQQQQQNPSGFGFEGSSSVSSGMQQQQQQQQGYEYIDEDELLNMPNLLDDMARGMQVSPPRMISFSNYSSDDHSPRNSDGGDDNLWSYTF >CAK8535456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850055214:850056743:-1 gene:gene-LATHSAT_LOCUS4919 transcript:rna-LATHSAT_LOCUS4919 gene_biotype:protein_coding transcript_biotype:protein_coding MILTMCTATPAQHSHPPKPHSRIHLPNQKPHSTFSPFNSNKNSTTTDLTVAWTSSISHHCKNGHLLEAASEFIRMREAQVEPNHITLITLLSACAHYPSQASISFGATIHAHAFKYGFAINDVKVGSALIDMYAKYGRLNSARLVFDQMGIRNLVSWNTMIDGYMKNGDIEDALKLFDKLPVKNAVSWTVLIAGFVKKDCYEQALECFREMQIAGVAPDYVTVIAIISSCANLGALGLGLWVHRLVMKKEFRDNVRVSNSLIDMYARCGCIELARQVFDGMTQRDLVSWNSIIVGFAQNGRADEALRFFHSMKKEGFEPNGVSYTGVLSACSHAGLIDNGLKIFADMKRARRVSPRIEHYGCLVDLYSRAGRLKEAWDVIKRMPMNPNEVVLGSLLAACRTLGDVELAEKVMKYIVKLHPGGDSNYVLLSNIYAAVGKWDGASKLRRKMKERGLQKKQGFSSIEIDSSIHKFVSGDKYQEENDNIYSTLELLSFELQLCDYVPDLYEED >CAK8566308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404924238:404924657:-1 gene:gene-LATHSAT_LOCUS19445 transcript:rna-LATHSAT_LOCUS19445 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKKLCEYKRDNFASTQKDLRRWLEGNFQLKVSQGTISNTLKQSDDYLSTEIERGRAEIKRHKPTKYPNMEKVVYEWFLQHQERVNITGELILKKARDTMKLVYPHDDSDFNFSIGWLGKFKN >CAK8531385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106687799:106690126:-1 gene:gene-LATHSAT_LOCUS1181 transcript:rna-LATHSAT_LOCUS1181 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYKPKPHSLLSLRAQKRFIVSPPHRSSPAPASATTHSYNAIINRHSTQGSHRQVLITYASMLNAHVLSDAYTFPSLLKACSSLNLFLPGISLHQRIIVNGLSTDSYIASSLINFYVKFRCSEVARKVFDFMPEKNVVPWTTVIGCYSRMGDVHEAFFLFHQMRYQGVQPSSVTLLSLLFGVSELSYVQCLHACATCYGFMLDLNLSNSLLNVYAKCGSIGHCRKLFDFMNQRDLVSWNSLLSVYAQIGDLCEVLLLLKTMKVQGFEPGLQTFGSVLSVAASRGDTRLGRLVHGQILRAGLDLDAHVETSLIVMYLKGGNIDVAFRMFERSLDKDVVLWTAMVSGLVQNENADKALAVFNQMLKFGMKPSTETMASVITACAQMGSYNIGTAIHGYILRQELSLDTAAHNSLVTMYAKCGHLDQSSIVFDNMSKRDLVSWNAIVAGYAQNGYVYKAFSLFNEMRSCHQTPDSITIVSLLQGCASTGQLHPGKWIQGFVIRNGLGPCILVDTSLVDMYCKCGDLDTAQRCFNQMQSHDLVSWSAIISGYGYHGRGETALRLYSEFLETRIKPNHVIFLSVLSSCSHNGLIDQGLNIYKSMTRDFGIAPNLEHHACVVDLLCRAGKVEESYNLYRKMFSEPALDVLGIILDACRANGNNELGDTIANDILKLRPMSAGNYVQLAHCYASTNKWEGVGEVWTYMRSLGLRKIPGWSFIDIHGIITTFFTDHNSHPQFLEIVDTMKILRKEMNKMEEVDMNFESNHTHIYNLYDEKLL >CAK8574764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8730670:8731335:1 gene:gene-LATHSAT_LOCUS27074 transcript:rna-LATHSAT_LOCUS27074 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTFYFFSILLVATQATIYTVTNTALSRPGGVRFRDQIGEQYTIQTLDSASQFIWTVLQENNQTDRKLVQNVTVFVDPDARGVVAYTTKLTHEIHVSASYIGSYKGDVRNEITGVLYHEMTHVRQWHGNGEAPTGLTEGIADYVRLKAGLVPGYWGKAGEGDRWDEGYEVTAWFLDFCDGLRNGFVGELNKMMRTGYSDQFFVKLLGKTVVELFAEYKAH >CAK8543247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591885720:591886706:-1 gene:gene-LATHSAT_LOCUS12017 transcript:rna-LATHSAT_LOCUS12017 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTKNYIVHTHFNGETFISEKSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFYNNQVKYFQEKIQDDSDVDQMFDSHEHSGFDYIEFYLLLCQTKHEVGETTDIDEIDVVDKEEEDPEAMVDQMVNLFGTGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQFSGDDTSSDYFYNPSQQIEGVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVIVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCATNINRQDHGKLSSQLISQEILHLVGVDPSVKVSTIISHVVARFNYTPSYRKA >CAK8573139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589013407:589014222:-1 gene:gene-LATHSAT_LOCUS25620 transcript:rna-LATHSAT_LOCUS25620 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRVMAIEKINGYMQKLEMVKKKFDPLPHFTLHRVLSQISPQFYSKDELTEIEKVTQILCQQTNLPLISQSKVEGVEVSNLKRCRSSNEGDNMFNGERRVKPKLVVKKKPNNHKKECKTLFSTDLSSYHNRLSMPISQIKSDFLTEIEKATLETRDQEGKPSCLKVVVLDSDFNEFSLSLKKWNMTSCITYNLVQDWTDVLSKNNFKDYQKIDIWSFRVNGKLYFILDTNEPEEIEKSGKQNNSIVISKTEDKRRSQRMKTANSRWKDFE >CAK8561541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:284725250:284728946:-1 gene:gene-LATHSAT_LOCUS15122 transcript:rna-LATHSAT_LOCUS15122 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYQQVIQLFHPPFRFLTFPCTKPPRLNIHLFLSLPAPTILCSSLNDGSFSTPKSTQFGYNPPEDLFGLEVEFKPRKANSHTREPRSWFGPNGQYIRELPCPSCRGRGYTPCKECGIERSRSDCPECNGKGLLTCHQCSGDCVIWEESIDERPWERAQSISPLKVKEDDEVDKLDIKLDAKKKSKRVYQSPSPEVGLKISRSLKSLNAKTGLFSKRMKIIHQDPILQAQRVVAIKKAKRTVLARKHASKTMKAFFSDPINRQNRSIAMKGVKFYCQNCGREGHRRHYCPELKDGLIDRRFTCRLCGEKGHNRRTCDKSRISHSDGRVVKHHRCKICRQYGHNRRTCSQVVSNKRIDTASRRVYVCRLCQIEGHNIRTCPRRIVDTAHSQE >CAK8563010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566384557:566385888:1 gene:gene-LATHSAT_LOCUS16460 transcript:rna-LATHSAT_LOCUS16460 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRVYPITTTLPRLASSLSLTTKFVVRSSNLHLNVQPNHSRKLVLEVKDKLEKEHHTLPIGRNGRDDEDMILWFLKDRKFSVEEAASKLTKAIKWRQDFEVSKLTEEAVKHVVKTGKAYIHDFLDVNGRPVLVVVAAKHFPKAQEPEDDERLCVFLIEKALSKLPPGKEQILGIFDLRGFGTENADLRYLTFLFDVFYCYYPKRLSEVLFVDAPFVFKPIWQLTKPLLKSYASLVRFCSAETVRKEYFTDETLPSNFRG >CAK8575921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:362203481:362207214:1 gene:gene-LATHSAT_LOCUS28146 transcript:rna-LATHSAT_LOCUS28146 gene_biotype:protein_coding transcript_biotype:protein_coding MNQREWVRFKICVREFMIGVSELTVEFGKGCRDIVKQSLVHQDSFVVRKFGKSWNRVREPCNEMFKKLSFFNQYLPEDKDPLHVWSVIFFVSLLAFSALYVNFEYDPSAPFVKQVFLHPASASRIVLPDGRYMAYKERGVSADRARFSIIAPHTFLSSRLAGIPGVKDSLLEEFGIHLLTYDLPGFGESDPHPKRTLESSAIDMSYLADALGVDKFWVIGYSSGSKHAWAALRYIPDRLAGAAMFAPMVNPYDPMMTKEERRRTWVKWTRKRKFMYFLAQSFPRLLGFFYQRSFLSGKHGQIDRWLSLSLGNRDKALLEDPIYEEFWQRDVEESIRQGNVKPFVEEAALQVSNWGFSLVDLKLQKRKYSGKNVLNWLKAIFTETQEYTGFLGPIHIWQGMDDTVVPPSMTDYVHRVLPGAAVHKLPYEGHFTYIYFCDECHRQIFTTLFGTPQGPLSISLEVDPITLEANIEQQEAVATSKQW >CAK8543592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624261361:624261840:-1 gene:gene-LATHSAT_LOCUS12340 transcript:rna-LATHSAT_LOCUS12340 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNKTTTMSPLSTTLFPLLFCLLLSPVSSQDDSHPWPGFIYTRTGGRCSAQFWSGRQETWPRMVPETSTVSNVFGWRVYKRYRSDLTLLEATSRDDEVENPFGALLKEGTAALINSYAREGFPFRSWQVKTLVMQGFVSEVAAASQAKRFSLANHACS >CAK8575701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262382454:262383740:1 gene:gene-LATHSAT_LOCUS27941 transcript:rna-LATHSAT_LOCUS27941 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLALRSLTRHCHFSVEDSKWLTLPPVNTTSVNTCSNQLSSSTSTTALKWVTRCCPQLPKTLVHKLFRLKQVRMLPPQEQEQDHKFKKVTPKDTLNAGDRIFLPHSVKQQTPAPPKRLDSPLTPTLTSKQINFMSALVIYKDAEILVLNKPPGMPVQGGINIKWSLDDVAASCLKYECPESPRLVHRLDRDCSGILVMGRTKTSTTVLHSIFRDKTSRASDDNGTEKRILQRKYWALVLGCPRRSSGLVTAPLGKVVVDNGKSDRITIVDNSTSLSPQHAITEYRVIASSSHGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWGQFDLSNTEDSTEELLKEEALPFGLNLNKGSISDKRPHLHLHCKQIVLPDISQALQNMQSLSALSYDLSAVKALELEADLPPFMKKSWDVT >CAK8531252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93417309:93419339:-1 gene:gene-LATHSAT_LOCUS1058 transcript:rna-LATHSAT_LOCUS1058 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNRETSPKDSGSPKGEREIDTRAPFQSVKAAVSLFGEVCVNKDKRNSIKRKSSENVLEKETQLMLAQRELNKIKKQLESAENTKTKALSELDKANVTLLELTKKLNNVRESKQSAIEESEIVRNQAKELERALSQKAIGYEAWKQELEHARKEYTTTVKELDASKQELNKIRQDFDAALEAKLAAFQTAGEAQRSAKLNSEKINELSKEIATMKASTEQFKVATAQAQEEQVKATREKEEKLNSYKASKEEIEKKLMEIKNEYDPEETQSLGAKLVETSDEIQVLQEKLKEFHDSEMDSVEVITLEIKEATKTLQEISEEETSLRNLVDSLKAELEQVKKEQEELKEKQKASEALATNLTGSLQCSKEEEDSFESKESNERHCHETEMKIKQLSFESENARKEEEEMRIKTQELTQQIEKSKALSEEIEGKLELLLKQAEEAKAEEKRAVEEMKLLSDLQGSISVSDASGKIILTVDEFAALSGKIKESEDLIERTEASAMAQVEAINARRNEVEKKVEANLKAIEEIKAATDLALRNAEMADSAKVAVESELKRKRNEEQNGISDSDHSSRPISLQS >CAK8531454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111500309:111500764:1 gene:gene-LATHSAT_LOCUS1245 transcript:rna-LATHSAT_LOCUS1245 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHAFYAQDQGTTFNLEYAWGLLKDEAKWGIIGESIGNSSKMTQTSASGASSEIPDTPSSYEFNSSSPMERPMGQKAAKRKGKAKEIPNATQDARNKRAETMERLAQSKEDVIELKVMQIMMKDTSTMKESQREIHEKYCTKMRKKYGL >CAK8535418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846561965:846563449:-1 gene:gene-LATHSAT_LOCUS4883 transcript:rna-LATHSAT_LOCUS4883 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCLKLFSPNPSIKTNQLFLHSSIPSIFPLFKSNIPSSRKHQARSHNTRMFETFLDLKPEYQPEALGFDIPWHSRSDHSQFDVIVIGAGPAGIRLAEQVSLYGMKVCCVDPDPLSVWPNNYGVWLDEFEGVGLEDCLDKIWPMASVYIDDNNTKYLDRCYGRASRRKLKEKLVKGCVFNGVRFYKSKVWEIKHHEIESIVVCDDGVELKGSLVIDASGFGNNFIEYDDNKVRNYGFQSGFGILAEVDAHPFDLDKVVLMDWRDSHLRDGNSISTFMHAMPFSSNLIFLEETSLVSRPAMSHMEVKRRMVARLRHLGINVKRVLEDEKALIPMGGPLPRIPQNVIAFGGNSGVVHPSTGYMLARTMALAPMVAASINECLGSNRMIRGKDLYANVWNSMWPIESRVAREFYTFGMETLLKLDLNETRDFFDAFFELKPYYLKGYLSSSLSLNDIVWFSMSLFANASNPSRLAVVKKCPLPLAKMMSNICLDFIR >CAK8535419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846561965:846563299:-1 gene:gene-LATHSAT_LOCUS4883 transcript:rna-LATHSAT_LOCUS4883-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFETFLDLKPEYQPEALGFDIPWHSRSDHSQFDVIVIGAGPAGIRLAEQVSLYGMKVCCVDPDPLSVWPNNYGVWLDEFEGVGLEDCLDKIWPMASVYIDDNNTKYLDRCYGRASRRKLKEKLVKGCVFNGVRFYKSKVWEIKHHEIESIVVCDDGVELKGSLVIDASGFGNNFIEYDDNKVRNYGFQSGFGILAEVDAHPFDLDKVVLMDWRDSHLRDGNSISTFMHAMPFSSNLIFLEETSLVSRPAMSHMEVKRRMVARLRHLGINVKRVLEDEKALIPMGGPLPRIPQNVIAFGGNSGVVHPSTGYMLARTMALAPMVAASINECLGSNRMIRGKDLYANVWNSMWPIESRVAREFYTFGMETLLKLDLNETRDFFDAFFELKPYYLKGYLSSSLSLNDIVWFSMSLFANASNPSRLAVVKKCPLPLAKMMSNICLDFIR >CAK8540424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559801259:559802415:1 gene:gene-LATHSAT_LOCUS9429 transcript:rna-LATHSAT_LOCUS9429 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMQGVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDASSAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEDMRRLEAENKAAMLAAEELHRKQVAEEREGEKEEIEIKDDPMARAEAEVVGN >CAK8578716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649133107:649134779:1 gene:gene-LATHSAT_LOCUS30704 transcript:rna-LATHSAT_LOCUS30704 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSDLASLQRTSLTKIDTNLVSAFAERWHLKTSLFHMPFGEMTITLDDVSCLLHLPIRGVFWNPPDISEAFAVEWAVDYLGVSQRITQQQVRECRGSYYKLEWLYDRFVEHRAASLWDYATRAYLLMLVGSTIFADKTFTLVETRYLSLFIDLDGLSGYNWAAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGALKVDDLRLILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYMPDRCLRQFGYRQYVPHPHLDSRTAGDNDVDWISYHQSIQNVIRPTASATTPYEIDDGYLEWYYRVSHPRLVPLSVDATTEMPVPVYEAGPSDPIVARMSSLIHCYLQQTGAEEDDPQFADLFEALHFGLSQ >CAK8569535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4368600:4375200:1 gene:gene-LATHSAT_LOCUS22377 transcript:rna-LATHSAT_LOCUS22377 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFCFRTQPLLRSYHPRKYISSSSSNNHLNSHSPEKPLENPQIAPQQTPKTLSPVTTSSSLSRTSVIALSAAVVASVAFIYDYGREHQSDATGETNPFYTTAVHRSADSANRIFHHVKRTGVAATVLWQSLRSVLSSANHEVRSGFEIRVAALLADIAAANSSRRAAIVGAGGGAVVDWLLDSVAVVKDAGGGTQAEAARALAYLIADPDVSAAVFARPQAVPNLLRFIFSCKPRRSKNKTKNSRRSGFDISDSLKGRSMLVAAIMDVVTSSCDNADKISFKPSLPGNAETRDIAAALQVIEEGGLHLDEPPDDDDDDGGIGRKGIGIKILEGTTVLGLSRTRDAMELDNTDFGHEEPLNHGISTTDFGKEKPLKRGTTTALMYHKFDDSLVKQNMSSAVVPGLWDDLHCEHVAVPFATWALANWATASQLNRSRIHELDQDGNAVLSALMAPERSVKWHASLVVRLLLEDRDMPLNESVSDWSSNLLSTISHACKHEDVSLARVAFSAFLLSVERSPGVQKTVMEKGLNSMRDIGKTTKHKQVQEAVAKALELLCTGDRHLSFEESQKWSGILIPWVFGTFSSDTIRSSSIKILSQILDDYGAKTVPLSQGWLVMLLNEVQNSIKKSIDKGASQPKSDKVKTLINNANIASAAQVANQLSSAVVNLAAKQLSSVSNSEDISPLADFLSLEPLAGPFKSLKKDSQPKFGAAESALATLKGIKALTEVCAEDSESQDKIVDFGILCLLRRFLLNDDYERLAAMEAYDASSRAHEGQERKSNEGEEPPRSDINDPASVRVPPTAHIRRHAARLLTILSLLPRVKKVIVADKTWCSWLDDCANGKIPGCSDLKLQSYARAALLNIFCNDEHNRRSDSAGPSGGVVNNYNMCPRYDDMIFLINSHLPHWKCSNGTYPQGSFSKDIYVSTAAVVEDGTCSSGSIDSTKSSLDADCPPLDVVFVHGLRGGPYKTWRISEDKSSTMSTLVEKIDEEAGKLGTFWPGEWLSSDFPHARLFTLRYKTNLTQWSGASLPLQEVSSMLMEKLVAAGIGNRPVVFVTHSMGGLVVKQILHTAKEEKHDNLVNNTRGIVFYSCPHFGSKLADMPWRMGFVLRPAPSIGELRSGSSRLVELNDYIRLLYKKSILDVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPVNRLDPSYTETLKFLQKLKACYT >CAK8538860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498299328:498301884:1 gene:gene-LATHSAT_LOCUS8014 transcript:rna-LATHSAT_LOCUS8014 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFFLGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCIISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKRRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8566413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420716264:420716937:-1 gene:gene-LATHSAT_LOCUS19545 transcript:rna-LATHSAT_LOCUS19545 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKRSALSDLTNSSHFSSSSVSSSATIEPPIKNNQINIRKTCLTPLHDVKPSTVHRTKNQNNTVALPMTLTVPCKKKQRVVVVSREQEDLEDYIEKQKAYFKQIDEYELEEEEVEEYIDE >CAK8562352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476483199:476489821:1 gene:gene-LATHSAT_LOCUS15854 transcript:rna-LATHSAT_LOCUS15854-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGELWWLCRCLDESLSPYTEPRAFVSTSKENEKEILIASSQVVRKIQLRIREYDSSNKEELFSSEKHCSVHQCLPKIVTKMVNLLAVKNEFVQHVAVKALVLISEFVFATGNDWDEFVCLLCCSLEMAFTRMLSCSSKNNNFDSPDVDFMLQHGRMSCDWSTVAGIVGVLRVICKHLKEDYDDELVKVYYDSVNSCLLKMPWNSLDECWSCDIVSPKKSLSANELHLSNLGAMDPGIRFLGTFLQLLCTLVDPNDSVETGGDSADKHPLFVTVMNLIPRLVKWCLRKQEDNSETCIIHYMKHKLLILMIRLGSLSCLDCSESFSRLEILHNYFQELLLQPLTQFLSAQGCLEDSPFLLSLSDGETYDPEFDHFRINKGSLELYKWIQEHLPSEVSINSENYSEICMNFMSSFLKLYLHEDDLLFDVLLQLLSISSRLQQLSGRKDVAYQDVKRDFHFDLSDIFNPVYLFHLFLSEIHYDHQVLLDYLISKDTGISCVKYLLRCMHLICNSWKLFVEFPLSGELSNQSSCKRRKLLGDDPQLVADETPSSVDNNGSIELHSKNFKEDSEYDFKHHNFEQFKKAAECLLSLNNSIGNLHQKSLFPYNPEVLLRRLRRFQELFDRQKLE >CAK8562351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476483199:476489821:1 gene:gene-LATHSAT_LOCUS15854 transcript:rna-LATHSAT_LOCUS15854 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGELWWLCRCLDESLSPYTEPRAFVSTSKENEKEILIASSQVVRKIQLRIREYDSSNKEELFSSEKHCSVHQCLPKIVTKMVNLLAVKNEFVQHVAVKALVLISEFVFATGNDWDEFVCLLCCSLEMAFTRMLSCSSKNNNFDSPDVDFMLQHGRMSCDWSTVAGIVGVLRVICKHLKEDYDDELVKVYYDSVNSCLLKMPWNSLDECWSCDIVSPKKSLSANELHLSNLGAMDPGIRFLGTFLQLLCTLVDPNDSVETGGDSADKHPLFVTVMNLIPRLVKWCLRKQEDNSETCIIHYMKHKLLILMIRLGSLSCLDCSESFSRLEILHNYFQELLLQPLTQFLSAQGCLEDSPFLLSLSDGETYGMSSSHLQRQAIFLLLDCSISLISQRGSKENHSDCSALISYFTNDPDPEFDHFRINKGSLELYKWIQEHLPSEVSINSENYSEICMNFMSSFLKLYLHEDDLLFDVLLQLLSISSRLQQLSGRKDVAYQDVKRDFHFDLSDIFNPVYLFHLFLSEIHYDHQVLLDYLISKDTGISCVKYLLRCMHLICNSWKLFVEFPLSGELSNQSSCKRRKLLGDDPQLVADETPSSVDNNGSIELHSKNFKEDSEYDFKHHNFEQFKKAAECLLSLNNSIGNLHQKSLFPYNPEVLLRRLRRFQELFDRQKLE >CAK8565694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:308308108:308308632:-1 gene:gene-LATHSAT_LOCUS18884 transcript:rna-LATHSAT_LOCUS18884 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVSLVIDQLLPLITKETKLLRGIHKEFADIKDELENIQAFLKDADKRSATTEGIKTWVKQVREAAFRIEDIIDEYMILVGQQPLHHGFLSLLHKLKAIISRRRIASEIQDIKSYVRGIKERSERYGFQRSLEQGPSNSREIRNSKWHDPRLAALYIKESEVVGFEEPRKR >CAK8574850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11652334:11653803:-1 gene:gene-LATHSAT_LOCUS27150 transcript:rna-LATHSAT_LOCUS27150 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTTTVSKCIIYPNQKSSIKPLKLSVSDLPMLSCHYIQKGILLSAPPYSSENLIHSLKNSLSIALSHFPPLAGRLTTDSDGYIYITCNDAGVDFVHSKAKHLTLNTVLSPSLIDVHPCFKEFFAYDLPVSYSGHKTPLAAVQVTELADGVFIGCTVNHCVTDGTSFWHFFNTFAAVTKNTTAAVKISKSPDFTRDTVFNSTAVLPIPAGGPAVTFDVDEPIRERVFHFSRESILKLKHRANSNCNSNSNGFTDPTELIGKQANDGWKNGNSNGHVNGNATVNGNATANGNGKTNYRNEISSFQSLSAQLWRSVTRARKLDLSKTSTFRMAVNCRHRLNPKMDAFYFGNAIQSIPTVASVKDIISNDLKFCAELLHKNVVVYDDATVRRGIEDWENNPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGKPLAIRSGRTNKFDGKVSAFPGREENGSVDLEIVLAPKTMAGLENDMEFMQYVTEVVV >CAK8570787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115021037:115022798:-1 gene:gene-LATHSAT_LOCUS23509 transcript:rna-LATHSAT_LOCUS23509 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVETSGVRHYEGKVTAFVLITCFVAAMGGLLFGYDLGITGGVTSMDEFLVKFFPSVYKKMKDETHQTSQYCKFDDQLLTLFTSSLYLAALVASFFAATTTRGWGRKTSMFLGGFFFLVGALLNGLAVNIEMLIIGRLLLGFGVGFCNQSVPVYLSEMAPANIRGALNIGFQMMITIGILAANLINYGTSKLKNGWRISLGLGAVPAIVLCIGALCLDETPNSLIERGDHEKAKSMLKKIRGTENVDEEFQDLVDASEEASKVDHPWKNISQPQYRPQLIFCSFIPFFQQLTGINVIMFYAPVLFKILGFGDDASLMSAVISGGVNVVATLVSVFTVDKFGRRILFLEGGTQMFICQVTIGILIAAKFGLTGQGSFTKGEADILLFFICAYVAAFAWSWGPLGWLVPSEICALEVRPAGQAINVAVNMFFTFIIAQVFLTMLCHLKFGLFFFFAGFVIIMTVFIALLLPETKNVPIEEMNRVWKSHWFWTKFVPDQVVVGGNNKKVSP >CAK8563302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590953189:590958214:-1 gene:gene-LATHSAT_LOCUS16727 transcript:rna-LATHSAT_LOCUS16727 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSSPNFATAFLAKKLPLREITRKPRTNSDVSFRTRCAVDTPYGGNVPKFPRVSVWDPFRRLGVTRDASEEEIWGSRNFLLQQYAGHERSVESIEAAFEKILMASFVQRRKTKINLKSKLKKKVEESPPWFKNVLNIVEFPPTEIILRRLFLFAFMGGWSIMNSAETGPAFQVAISLAACIYFLNEKTKSLARAFIIGFGALVAGWVSGSLLVPNIPTFLLRPTWTLELLTSLVVYFFLFVGCTFFK >CAK8565090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35321516:35321758:1 gene:gene-LATHSAT_LOCUS18329 transcript:rna-LATHSAT_LOCUS18329 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITVVIVGGGPSGLAISALLTQNSMSHIILEKEDCNASLCRKNAYDRLNLHLASELCSLPLMPHPSSGPTYPTKDQFL >CAK8530605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:34807339:34808166:-1 gene:gene-LATHSAT_LOCUS458 transcript:rna-LATHSAT_LOCUS458 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKVSEASLIVHIHPSKSNQVSKSVLRELSTMLFTYNEVFDGIVLAYDVNSLDKCAKILPGVYPYFGVNLKVNLLLFSPKPNMLLEGKVVKLTHEFIHVVMLGFASAIITEKDIRAEFVYKMKHGQEVYASNSHKRHVIKVGTTIKILVKR >CAK8541647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:326970317:326975478:1 gene:gene-LATHSAT_LOCUS10552 transcript:rna-LATHSAT_LOCUS10552 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKSEEYCLENKQSTVASCSSVSEGSGSVVHKSPGICSPPSPSHRRTTGPIRRAKGGWTAEEDETLRNAVAAFNGKHWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIVELVSKYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPGIKKDAWTLEEELALMNAHHIHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPIPKNNSLFAAKDTIRRTTAKMIPVSSNIELNVAVETSSETTAISKHDDSGKNQFESSGTVREVGDSSSVPGNESADSDCVECKPGPSNVDLCCGNSEHISRANFGTTYGPNDENSALNGNLITRHCLSNGKISNSSSKLIRTSAQENQNFGSLCYEPPVLAGSVPLDSLHLSILCMQNEYSSKLPPMGFITPPRARVNELCTETPESILRKAADTYPNTPFVNESCTETPESILRKAADTYPNTPFVNESCTETPESILRKAADTYPNTPSIYRRRRTGVQLLTSPSKVLKVNIDSHASNEPDRTKNNSGSEARMLPKSPASRGNKSVNKCVILDNKAFNASPPYRLRTKRTAVVKSVEKQLEFAFDKEKNDDCLHERKLVVT >CAK8567594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527588997:527589548:-1 gene:gene-LATHSAT_LOCUS20633 transcript:rna-LATHSAT_LOCUS20633 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDTLINKATPALSKLRHLGFNYTNAGNSRSPLINTLYLVTSSPRRFYSEQSKGNTAHFDDSTSPNKNPNLFPYHRTTGNSGYDVSGGGGGGASSGGDSGSENSKDGCWSGSNLGGSFPTPKEICKGLDKFVIGQEGAKKVLSVAVYNHFNSI >CAK8576792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515477893:515487622:-1 gene:gene-LATHSAT_LOCUS28960 transcript:rna-LATHSAT_LOCUS28960 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIMQEQHQPHHHQQNPSVLLPQLTTTSLRSPSSTSAASQSHLSSPIHIASHRQPLLPQLPAPVAAVLPSGEAVSTAPPNLQLARVRLSDIAPYDGAPAGPYVRAMETLCSSLLKHNAALIELGSEDTALMRCGLEGARLFFRSRAHLGVGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKASRVALSVIARHLRLRSDVFNQLLDDAPLPANEVSSSVLVGTYSHASLQNGKGAIGGGKPSINGENEKGLLTLISSDTPGFQVCDPNGRWYLADSGSGPGDLLLITGRALSHATAGLHPAASYRASPDCFLSPNSGVRTSLAYRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLAAEELIGSQCANDDVAQSNVNKDPSLRSVLSDPLSGSFLEDAMLVSCGHSFGGLTLRRVIETSRCTLCNVDIETGSLIPNLALRAAAAAVKHEDDRRLFRNAALRKRRKEMGEPMDPIRRMNRENGDFTSADGLQRGVQYPFSVNEKVIIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLRKLLNAPTMEDQSQLQLVQNSSS >CAK8570697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89343006:89351199:-1 gene:gene-LATHSAT_LOCUS23430 transcript:rna-LATHSAT_LOCUS23430 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQKLSFEESRSLYSLIRQDQRPLHEILSEFKSTVPHTRHFTFCSYLVMILQDNKVLNTTERLIAFSLLVEAYSSQNPASNPFISFIINASCHEGSKKVEKAFILQLLGVDGFHNGKEFLKQSASDYVTKFDLSLHEFPTLDQLKQQFSDKVHQEPYHHLFNDGFIKNVVPDPDIPPNCDTDSSEFDLRPGTQPKLGTGNKGEAVVGLLSNLSLEGLSPHWIRPHPPRLPILDGELVWVNPNGKPFPSAVNPFQLVGANPNDHRELIWDYGMCVDTSRGAAVRDLIAKALKGALAPVQQEQVLMEVAKDPKVLYHCGLTPRKLPELVENNPLIAVEILTNLIKSPDLSAEYFTVLVNMDMSLHSMEVVNRLTTAVELPSEFIHMYITNCISSCVNIKDKYMQNRLVRLVCVFLQSLIRNNIINVKDLFIEVQAFCIEFSRIREAATLFRLLKSLE >CAK8532278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:237777122:237777568:-1 gene:gene-LATHSAT_LOCUS2004 transcript:rna-LATHSAT_LOCUS2004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVKRFLLKTEPSEWSWEDQAANGGISKWDGVKNKQAQKYLKSMSINDLCFFYHSGTKARRIVGVVTVVKEWYTDNEDDGAVDVKAVGEMRRAVDLKEMKDLKDFVLLKQPRLSVVPVPDLIWDKICDLGGGYHGDGNDDESNPND >CAK8542318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501389171:501390685:1 gene:gene-LATHSAT_LOCUS11165 transcript:rna-LATHSAT_LOCUS11165 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKLLRSIATDIGTRNRSYYTSRTKKPSLYSKISPLGNPTTSVVPQLDDWVFNGNKVSVGEFQRIVRDLRKRSRFTQALQVSEWMNKNGVCIFSPVEHAVHLDLIGKVHGFVSAETYFNSLKEQDRNEKTYGALLNCYVRQRHVDKSLSHLKKMKELGYASSPLTYNNIMCLYTNIGQHENVAGVLSEMKENHVLPDNFSYRICINSYGVRSDIEGMKMILKEMENQPHIVMDWNTYSVVANFYIKAGLLGEAIDALSKCEAWLEDKDGEGYNHLISLYARLGKKNEVLRLWEMEKNACKRCINRDFITILESLVKLEEFDEAEKILKEWESSGNCYDLGVPNVVIVGYSEKDFLERAEAILEDLQNKGKATNPNTWTLVASRYLHKGEIEKAFGCLKLAVSLYLENKKWKPNPRVIAALHSWIGDNACVEDAEALVSLLENVQKNIHMYHALIKAYVRADKEVDGVLDRMKKDN >CAK8536316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930914036:930914275:1 gene:gene-LATHSAT_LOCUS5696 transcript:rna-LATHSAT_LOCUS5696 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRDYGKTFKKPCHLYEKERLDVELKLVGKYRLRCKLELWGVQNALSLIRNNARNLLSLNEKNPQRTFEGEAFL >CAK8562834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:545379220:545380506:1 gene:gene-LATHSAT_LOCUS16299 transcript:rna-LATHSAT_LOCUS16299 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDCIISHIFSKLSLKTLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCNPELPKAPHFPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGVNRIELLFAYPKFEKPMPFPYNESDFEIEPYNFLLSDSHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLLPVEQNMLQDMCLKCIHLHNLTLNECTFRSDLKITSTTLLHLNINCGDIFCGKINIDIIASNLSSVQYSSPVFLLHTLNIKSHKLSNFSYTCAQISKLVHFSGLKNVTTIVLDGLEEGDVITCGLMEGDVITRLFSKCLQLQHVTISKCWLTCECKIISAKLRHLSILNCFNTEVLDIASNGSLIEYRGPRSILSIHALNLSSFEFRGHSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8536608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2063557:2063931:-1 gene:gene-LATHSAT_LOCUS5962 transcript:rna-LATHSAT_LOCUS5962 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDSSCNLCHAEEETMNHLFFSCQVTSHIWKEVLDWFNISHDPQPWDVEMIWLTKLTKGKGWKAEILRMLAAETIYNIWGYKNDKTFGNTIDNTTTISNIIDCVIYRGWNNTRIRKHLVNFMM >CAK8579359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694053010:694054107:-1 gene:gene-LATHSAT_LOCUS31311 transcript:rna-LATHSAT_LOCUS31311 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKRIDGTEVAVYQPPPTSFAVFDINSIEEPWLKHLNNNTNTNTNTISQEYKPALPPPILHILDATEKSPQSWEDVSKTLQHLKPVVQQEKEIKPPQTPPLTSPPSSQPPPPRKVASFRTLEELDAKQKQSPENNKNESQAQSTTDGDPLRTIKPTLSSKLKNNIFIQKDMLEKQKEERESNFERLRRDPLSNYPEKVPPNGNDAVVIYTTSLRGVRKTFDNCNKARDLLENHRVIFDERDVALHGEFLKEVKELLLTEEESGVGVVLPRVFVKGRYLGGLEELTELNETGRLGRILNATRVERGVGRQGCGGCGGARFVPCLDCGGSCKLVISGVEISDSTLQRCPKCNENGLVHCPACL >CAK8539897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530566849:530567787:-1 gene:gene-LATHSAT_LOCUS8953 transcript:rna-LATHSAT_LOCUS8953 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQITELYIPRKCSATNRLITAKDHASVQINVGHLDESGVYNGHFSTFALCGFTRAQGDADSGIDRLWQKKKTELKQ >CAK8538443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482704963:482705364:-1 gene:gene-LATHSAT_LOCUS7636 transcript:rna-LATHSAT_LOCUS7636 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASKNVLVFCIGMLLVSLWCMKVNGHAHEHVLGHGHEHGHEHGHGHGHGHGHGHGHEDPAVGCNSKHSDAGEECTDEDDHLGLYSDIDDTFKSLRTAAGMKMGERHGNPFSGWADEESPNVAHNNVDVLGH >CAK8578882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660670561:660671861:-1 gene:gene-LATHSAT_LOCUS30863 transcript:rna-LATHSAT_LOCUS30863 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVINNLFEEHNPTQMGFIPFSTNLTFPSFGCNNQSLKAFTSIASSLTSEISSDSTSQTLLTTTNPPKPKEYLTSTFEAGTTQFLSLHRSTLNPWAILGGGVGDHYFNDNNDKRSGVVHDNYHLGVCSSMKMKKMKGRKKVREPRFCFKTLSADVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQENCRVKKRVERLGEDPRMVITTYEGRHVHTPSNDLEESQNNSVFDNFLW >CAK8532693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:484301266:484303252:1 gene:gene-LATHSAT_LOCUS2375 transcript:rna-LATHSAT_LOCUS2375 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPIPKVVLGSIAFAIFWILAVFPAVPFLPIGRTAGSLLGAMLMVIFRVISPDEAYAAIDLPILGLLFGTMVVSVYLERADMFKYIGKLLSWKSKGPKDLLCRICLISAFSSALFTNDTSCVVLTEFILKIAKQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVESKISFGKFLSGVLPAMLIGVVVNAMILIAMNWKLLTVHKDVEDPVAEVEAEEEVNSHQFSPATMSHYSSSHNSQELNGAYLVESSSIQNLRNRVISSDSEIRRAHVSSAIDSARNSNASKEETLNDLASQTKEETSPSKSVVEIDRTTEGHVLASSEGKDYISVEWKHIMWKSSVYMITLGMLIAMLLGLNMSWTAITAALALVVLDFKDARPSLEKVSYSLLIFFCGMFITVHGFNKTGIPSALWELMEPYSQVDRTSGIVILSLVILVLSNLASNVPTVLLLGARVAASAAAISPEDEKKAWLILAWVSTIAGNLSLLGSAANLIVCEQARRAPNISYTLTFWSHLKFGLPSTLIVTAIGLTLIR >CAK8565220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:74053792:74063462:1 gene:gene-LATHSAT_LOCUS18452 transcript:rna-LATHSAT_LOCUS18452 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTPSDSLAHSLPDVDDYVLANGPEGLEWDTFHYVCELVQKGNLAFRENRMEEAISFYSRAYNIKSSDPIILSNRSAAYIRISHYLIHRSSSFSEQRPLSGLDPTTLAELGLKDATRLIELQRNSVKAYLLKTNALILLEKYDVARDVILSGLQVDPFSNALRECLQIVERVSSSNSTGRSSHVQPDRNDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQSMDRGNRCPLCRTVLLLNPRTCSVSVTLKNIIQKNFAEEYAERKQEHDSLVNIGVDMLPLFVMDVVLPCQRFPLNIFEPRYRLMVRRIMEGNHRMGMVIIDSSTGSLAELGCEVEITECEPLPDGRFYIEIEGRRRFRNLRSWDQDGYRVAEVEWVQDIMPPEGTKEREDLQELINNAAESARSWIGRAKEAARHDQRRLEKFVNVEGMIPSLRDPERFSFWLATLSNRRPSERLDLLRIRDTKERIRRGLIFLRAEEQGCRIQ >CAK8577984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600682519:600691409:1 gene:gene-LATHSAT_LOCUS30038 transcript:rna-LATHSAT_LOCUS30038 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEGRRLLQTTTLSNPATPSLPKSSLLDSRDLSVTPSLPKGSLPPLDTSIPSLSKHTMPPFPNFPSTDPSFSIPPVSSPAPTPVSTTPKPASSFFSFPFFSQTLSIFKP >CAK8537355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:300487849:300488385:-1 gene:gene-LATHSAT_LOCUS6651 transcript:rna-LATHSAT_LOCUS6651 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSSARDYFNRILPDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELVDSISKSNEPMSHLKAIYFLRPTSENIQYMRHQLASPRFGEYHLFFSNIMKDHHIHLLADSDEQEVVQQVQEFYADFVAIDPYHFTFHVPSNYIYMLLAVIDPSALQRFSDRVVEGLAALFLALK >CAK8535447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849575275:849578481:1 gene:gene-LATHSAT_LOCUS4911 transcript:rna-LATHSAT_LOCUS4911 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEYNFIFIFETILMMIRFKRKKQVVLILWVWWWSTSICVKAGNDSLMAGERLDATSSICSMQGRYCLLFDGTLDSKNDYNYLVIEYYFSGRPSVVWMYGRNQPVDINSAVLSLNNSGVLKIEFQYQKEIIIYSSPQPINNTVATMLDTGNFVLEQLHPNGTKTLLWQSFDYPSDTLVPSMKLGVNRKSGHNWSLVSWLTPSLPYLGEFSLEWEPKEGELNIKRHGKVYWKSGKLGNNGLFDNIPVYVQQNYTYHVISNNDEDSFSFETKDRNYNMLTVWTLYSEGRLLCSGGELGNADICYGYNSDSGCQKWEDIPTCRMSGEVFDRMIGQPDTDNITVLYNTNIGYIDCKVSCWRNCGCNGFQENYGNETGCIFYSWNSTQDVDLESVNNFYILRKPTMSDSNHHGKRRWIWISAAIAAALLIIGSLILWIAIKKHKYGLKVKKDKRKENEMQELATSNELYNIKDLEDDFKGRDIKVFTYASILEATMNFSPENKLGQGGYGPVYKGILATGQEVAIKRLSRTSGQGITEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDPTKRMLLDWKKRFNIIEGISQALLYLHKYSRLKIIHRDLKASNILLDDNMNPKISDFGMARMFTQQESTINTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIVCGRKNNSFYDADRPLNLIGHAWELWNDGEYLRLMDPSLTDSFVPDEVKRCIHVGLLCVEQYANDRPTMSDVISMLTNNYEPVILPTRPAFYVRREILEDETTSKVMDSDTYSTTAISSSEVERQ >CAK8535448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849575320:849578481:1 gene:gene-LATHSAT_LOCUS4911 transcript:rna-LATHSAT_LOCUS4911-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRFKRKKQVVLILWVWWWSTSICVKAGNDSLMAGERLDATSSICSMQGRYCLLFDGTLDSKNDYNYLVIEYYFSGRPSVVWMYGRNQPVDINSAVLSLNNSGVLKIEFQYQKEIIIYSSPQPINNTVATMLDTGNFVLEQLHPNGTKTLLWQSFDYPSDTLVPSMKLGVNRKSGHNWSLVSWLTPSLPYLGEFSLEWEPKEGELNIKRHGKVYWKSGKLGNNGLFDNIPVYVQQNYTYHVISNNDEDSFSFETKDRNYNMLTVWTLYSEGRLLCSGGELGNADICYGYNSDSGCQKWEDIPTCRMSGEVFDRMIGQPDTDNITVLYNTNIGYIDCKVSCWRNCGCNGFQENYGNETGCIFYSWNSTQDVDLESVNNFYILRKPTMSDSNHHGKRRWIWISAAIAAALLIIGSLILWIAIKKHKYGLKVKKDKRKENEMQELATSNELYNIKDLEDDFKGRDIKVFTYASILEATMNFSPENKLGQGGYGPVYKGILATGQEVAIKRLSRTSGQGITEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDPTKRMLLDWKKRFNIIEGISQALLYLHKYSRLKIIHRDLKASNILLDDNMNPKISDFGMARMFTQQESTINTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIVCGRKNNSFYDADRPLNLIGHAWELWNDGEYLRLMDPSLTDSFVPDEVKRCIHVGLLCVEQYANDRPTMSDVISMLTNNYEPVILPTRPAFYVRREILEDETTSKVMDSDTYSTTAISSSEVERQ >CAK8570285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34094493:34098559:-1 gene:gene-LATHSAT_LOCUS23047 transcript:rna-LATHSAT_LOCUS23047 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENVRDAFLKKNYHENCPGCKVDRAKELKIDVSFRNLFNIWTVVLCSSLPVSSLFPYLYFMIRDFNIAEREEDISAYAGYLGSAFMFGRSLTSIFWGVVADRYGRKPIVILGVISVIIFNILFGLSTSFWMAVATRFFLGCLNGSLGPMKAYCTEIFREEKQGLGLSTLSAAWGIGLIIGPALGGYLAQPAVKYPHLFPKDSFWDKFPYFLPSLSISAFAFVVAIACIWLPETLHNHPHSNESIDDAEALETGNKSIQKDENLFLNWPLMSSIIVYCIFSLYDVSYQEVFSLWAVSPRRLGGLNFTTDNVGDVLAISGIGLIIYQLSLYPSMERVFGPIRFSCISGVLSIPLLQSYPFIAMLSGTTLYLVINIASLLKNVLSMTIVTCLFILQNRAVEQQQRGAANGISMTAMSIFKAIGPAGGGAVLAWSQKRMHASFLPGTHMVFFVLNVAGGLGVLLIVTPFLRQKKTTPSDQLVTLA >CAK8540827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23983651:23985312:1 gene:gene-LATHSAT_LOCUS9794 transcript:rna-LATHSAT_LOCUS9794 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKPLIENDSKTNFHELEWVIKIRASLDKELDDGDDDGFIVSIFNVPKPLMASDPYSYIPQQVAIGPYHFWSQELYEMERYKIASTKRFQNQLQSLKLEHIVDQLIRLEHNIRACYHKYLNFNVETLVWMMVVDASFLLEFLQVYTIEDETMILSVSSRMSHLIDYGGRKLGHNVILKDIVMLENQIPLFILRKMLEFKFASLELGDDMLFLMFIGLYKEISPFKLVERDYPNIVISERAHLLDFLYNMIVPSLNDESEIENLHNDNEQNEKSYVNYVKKIISEVWRLLLKLTTILISLFKKFKQCKAMKVITWLPWTVISNLPVVGIIKQPVEYFLFSKENEATKEGNENLNSNDITNKSLLIDEIAIPSVTELSKSGVSFVATKGDISSISFDVKSTTLYLPTISLDINTEVFMRNLVAYEASTSSGPLVFTRYTEVMNGIIDTEEDARILREKGIILNHLKSDQEVAKLWNGMSKSIKLTRVPFLDKVIEDVNKHYNSNVSIKIWKFMKVYVFASWQFLSFLAVIFLLCLMSLQVFCSFYKCNARNHF >CAK8561974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420300853:420301377:1 gene:gene-LATHSAT_LOCUS15515 transcript:rna-LATHSAT_LOCUS15515 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIFSFQGFHNWTLNPFHMMGVVGVLGAALLCAIHGATVENTLFEDGDGANTFCAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPATGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGICAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8561975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420300910:420301377:1 gene:gene-LATHSAT_LOCUS15515 transcript:rna-LATHSAT_LOCUS15515-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVVGVLGAALLCAIHGATVENTLFEDGDGANTFCAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPATGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGICAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8542279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497355555:497357828:1 gene:gene-LATHSAT_LOCUS11127 transcript:rna-LATHSAT_LOCUS11127 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTPTPSPPPDSTSGDTEPFLLRTRRSISRAPPPPLRTAARFFRRASSERMMLREPSLRVRERAAAEVEERQSEWAYSKPVVTIDVAWNLSFLVVSAVVLSGSRNEEPRVPLRVWILGYLLQGLVHSLFVVLEFRRRGRNNHFEDSSSSSFSSESDSDVASEQFSENRENSIFKCIESVNTVLSFVWWILGFYWVTAGGQSLTSDSPQLYWLCITFLAFDVVIVVICVTAACLIGIAVCCFLPCILAILYAVTDQEGATKEEIDLLPKYKFRMIKEFKKEGDAQEPSRGVMTECDSDSASEHIIALEDAECCICLSAYDDGAELRELPCNHHFHCTCIDKWLLINATCPLCKFNILSTDNLQV >CAK8537111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:99423830:99425602:1 gene:gene-LATHSAT_LOCUS6425 transcript:rna-LATHSAT_LOCUS6425 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKVVEPEFEHPKKAFGWAARDPSGVLSPFNFSRRETGEKDVAFKVLYCGVCHSDLHMARSEWGNSIYPLVPGHELVGVVTEVGTKVEKFKVGDKVGVGYMVDSCRSCQNCGDDLENYCLQYTVTGGGKYRDGTVTYGGYSDSMVADEHFVIRIPESLSLDVAGPLLCAGITVYSPLRYYGLDKPGMNIGVVGLGGLGHMAVKFAKALGANVTVISTSPNKEKEAIEHLGADSFLISRDPDQMQAAIDTLDGIIDTVSASHPILPLVSILKSHGKLVMVGGVSKPLELPVSSLLRGRKLVAGSLIGGIKETQEMIDFAAEHNVKPEIEIVPIDYINTAMERLVKADVKYRFVIDIGNTLKPSS >CAK8577915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597392222:597392664:-1 gene:gene-LATHSAT_LOCUS29978 transcript:rna-LATHSAT_LOCUS29978 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVISEMQLAFIPGRQILDGILITNEIVDEAKQKKKKVILFRANFEKAYDSVDFRMFEVVKSVDIGNVSPTKEFSVDRGLKKGDPHSPLLFLLVAEGFNLLMKRAPELGSYTGYKIDSDKEIYTL >CAK8533227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592781638:592782779:-1 gene:gene-LATHSAT_LOCUS2872 transcript:rna-LATHSAT_LOCUS2872 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSAAITTVNRASPVQANMVSPYTGLKSMSGFPVTKKNNDITSIASNGSRVNCMQVWPPIGKKKFETLSYLPPLTPEQLAKEVEYLLRKGWVPCLEFELEKGFVYRQYNSSPGYYDGRYWTMWKLPMFGTTDASQVLKELEECKTAYPSAFIRIIGFDNVRQVQCISFIAHTPETY >CAK8564445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674535152:674536808:-1 gene:gene-LATHSAT_LOCUS17750 transcript:rna-LATHSAT_LOCUS17750 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPSEVITEILSRVPAKPLLRLRIICKWWRSLIDSTDFVFFHLSKSRDSIIILRQHSRLFELDLNSMDRVKELDHPLMCYSNRIKVLGSCNGLLCICNIADDIAFWNPSIRKHRVIPSEPLIRKEPKENNAITTLLAARVYGFGYDSFSGEYKLVSISYFVDLHNRSFDSHVKIYTMRTDVWKTLTSMPYALCCARTMGVFLSGALHWVVTRDLEPDSLDLIVAFDLRFEVFREVKLPANVDGKFDMDVAVLRGMLCMIENRGSRGFDVWVMREYGLVESWCKLFTVEQQPDVKLIKSLRPLGYSKNGDKVLFEQDSKKLCWYNLVSTDLSWVRISGMPNNIEGTVCIGSLVPPSLQRRNVQSKNPKSGDEKNKKRDDFLSKGFKLTL >CAK8565692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307923766:307926468:-1 gene:gene-LATHSAT_LOCUS18882 transcript:rna-LATHSAT_LOCUS18882 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVSLVIDQLLPLLREEVKLFRGIHKEFADIKDELESIQAFLKDADKRASTTEGVKTWVKQVREAAFRIEDIVDEYTIHAGKQPRDPGFRSLLYKLKAMISRRRIASEIQDIKSYVRGIKDRSERYGFQRSLEQGPSNSREIRNSKWHDPRLAALYMEESEVVGFEEPRKRLIDWMVKGRDERTVVSVVGMGGQGKTTLAKKVFDSKDVVRHFDCRVWITVSQSYNVEELLKDMLIKFCKEKGDDAPRDISQMDRGSLTEEVRNYMKQKRYIVVFDDVWSVHFWDDIEFAAIDNKNGSRIFITTRNQNVVVSCKKSSFIEMLELQPLTQEQSLELFNKKAFKFDYDGCCPKELTGIANEIVQKCNGLPLAIVAIGGLLSTREKNVFEWQRFRENLSLELKKDTNLIGIKEILGLSYDDLPYYLKPCLLYFAIYPEDYVVRSKRVIRYWIAEGFVKEEREKTLEEVAEGYLLELIHRSLVQVSSLRYDGKVKCCRVHDLIRDMILEKNEDLNFCKHISDDGQSNLSGIVRRLLVTTELRTSLDDLNLHIEKLHVRSLFFFRNDASRFMYSWKIPTKFRLLKVLDYELVKLFHPPHEVGSLIHLKYLSFNYIRDGKIPKSIGMLQNLETLDARHAKIELPKEIGKLRKLRHLIGYRISLIHLKSGIGEMTSLQTIRNVDLNMDGAAEVIKELGKLKQMRDLGLVNVHEEDGSILSSSINEMQHLEKLNVELSFQSDKSIGLNLISVPMMLRKLSLLGRLKKLPKLIPELQNLVVLRLMYSKLTKDPMQSLKSLQHLLILSLTYGAFEGLCLHFEDGGFQKLKELYVVSLSELRDIIIEKGALPSLKKFQLLSLDLKNLPKGILHLEKLEVLNVEQDIYTEDLSWIMEHMPSVKINYCVT >CAK8531755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147485725:147489228:-1 gene:gene-LATHSAT_LOCUS1521 transcript:rna-LATHSAT_LOCUS1521 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNSEENPYNHSISIENLNRYKHFLNGLNTQVNQLEKQVKEVEQFYQSTDVQSNDCKNKGREKPPIGSKKSLQRASEEMQEDVMRHFSKILSEITQHKWAWPFLEPVDVEGLGLDDYFEIIEKPMDFSTIKRKMDVKDGSGYKNVSEIYADVRLIFNNAMKYNDEKSDIHVMAKTLLDKFEKKWQHLYPKVAKAESELSKEEARENLTKKLAQETAYANMTRKLNAELSKADMTLTNLKTTMIAKCRKLSPREKLLLAAEITKLSPDNLHNALEILNENNPNFQYSADNVTTEDVTLDLDYQSDYNAWRLYMFVKNALELQDATSAVTHDVNIEEKEPDAKRRRMV >CAK8543598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624648358:624650164:-1 gene:gene-LATHSAT_LOCUS12346 transcript:rna-LATHSAT_LOCUS12346 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLSFCFLLLGGCLALREQPQQNECQLERLNALEPDNRIESEGGLIETWNPNNRQFRCAGVALSRATLQRNALRRPYYSNAPQEIFIQQGNGYFGLVFPGCPETFEEPQESEQEEGRRYRDSHQKVNRFREGDIIAVPTGIVFWMYNDQDTPVIAVSLTDIRSSNNQLDQMPRRFYLAGNHEQEFLRYQHQQGGKEEQENEGNNIFSGFKRDFLEDAFNVNRHIVDRLQGRNEDEEKGAIVKVKGGLSIISPPERQSRHQRGSRQEEEEDEDEERQPRHQRSREEEEKEDEKERPRHHSQKGDSRRHGDNGLEETICTAKLRQNIGSSSSPDIYNPQAGRIKTVTSLDLPVLRWLKLSAEHGSLHKNAMFVPHYNLNANSIIYALKGRARLQVVNCNGNTVFDGELEAGRALTVPQNYAVAAKSLSDRFTYVAFKTNDRAGIARLAGTSSVINDMPLDVVAATFNLQRNEARQLKSNNPFKFLIPARESQNRASA >CAK8536058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903747239:903748764:-1 gene:gene-LATHSAT_LOCUS5460 transcript:rna-LATHSAT_LOCUS5460 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTLDSTHVPIPSEQDKEDDFITELTHHMSRFILQEDNDDSFDFTKPWDLTVSPDSTLWSPVSYNHGSSEGSSQEPSPPATPTPCWKTTTTYDHVVFENTINVTKSIYAGRELIQEQIKAIEVRKQQEKGNNGVNRVRPRPPRLAPLQTGLRAVFIGGPGLRNGTGVFLPRSGTVAPPESTKKKGKGCSTVLIPARVVQALQQHFDKTAAISGGPKVVGFPPLRDLVVSDKEGMYSLENQQSTKAPKDVQDDMILPQEWTY >CAK8569412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700264822:700267115:-1 gene:gene-LATHSAT_LOCUS22267 transcript:rna-LATHSAT_LOCUS22267-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNFKSACARTAHDVLSSFGSKWYSSLARNNNPHVGLFLCSFKIRACHPINNNIKDIYKQVGLFSLGRKIEDTVIRAELYASTALEMEEASWIKQEKMMRDSDLWDDPTKSNDILVNLANSAKVIDSLKDLRYKVEEAQLIKQLTEMNAIDYGLYKQAYDASVDVGNILDHYEISKLLKGPFDMAGACLVIKAGPAGIFPKLWPEQLLQMYLGWAKRQGREGRIVDRCQIENEGIDSATIEFEFEYAYGYLLGEKGVHHLIKGSPNESSHLETSSATVDVIPLFLENACDFEIDSVDLIISSPSTHGKRKKQIECTVCIQHVPTGICVQSSGERSQFANKMKALNRLKAKLEVIAIEQGVDSINSIVKDKILNLWKEETRRYVSHPYKLVHDVKTGIEMIDLNTVLDGNIRPFVAAHINTRE >CAK8569411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700263932:700267115:-1 gene:gene-LATHSAT_LOCUS22267 transcript:rna-LATHSAT_LOCUS22267 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNFKSACARTAHDVLSSFGSKWYSSLARNNNPHVGLFLCSFKIRACHPINNNIKDIYKQVGLFSLGRKIEDTVIRAELYASTALEMEEASWIKQEKMMRDSDLWDDPTKSNDILVNLANSAKVIDSLKDLRYKVEEAQLIKQLTEMNAIDYGLYKQAYDASVDVGNILDHYEISKLLKGPFDMAGACLVIKAGPAGIFPKLWPEQLLQMYLGWAKRQGREGRIVDRCQIENEGIDSATIEFEFEYAYGYLLGEKGVHHLIKGSPNESSHLETSSATVDVIPLFLENACDFEIDSVDLIISSPSTHGKRKKQIECTVCIQHVPTGICVQSSGERSQFANKMKALNRLKAKLEVIAIEQGVDSINSIVKDKILNLWKEETRRKYPRGVLVIFEA >CAK8563315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591991154:591992250:-1 gene:gene-LATHSAT_LOCUS16739 transcript:rna-LATHSAT_LOCUS16739 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNPRILRTSPQPFNLPTQTSTPSPSSFNILGTTPHFPILKQQCRLSRRELAIHSNSCLLLLLADGSRARAEDVTNSDQLGDSLTNTPSCTERKPTKQVFFDISVDREPVGRVTIELYGDDVPVGVERFSKIVSGAAGISYRRKEFVKILPNYVQHGGLRSYGVDAELANKTGSNLATENLVEEWERMYEKCPGTKNVAGSVGIIVRDPSKPPPKFKLVARLGKLQIDQEEVGIDPNGTEFVIATKDSPELDASSLVIGRVVGGMDVVQRISEVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVVVTNCGVVQ >CAK8559957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2467771:2473417:1 gene:gene-LATHSAT_LOCUS13685 transcript:rna-LATHSAT_LOCUS13685 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSNSHGNATDLLHQLEVILESDALIDELGFIHPSQFSLLNEESGISANLSDEAVHLSADRIASSEEYFKQERLYFWNRDHKLGISTDVLLPLYKAAKHAFMATFKQYKMCGNLSDKDGLCLRASASDDHLESILLRHSRSLLLLSCDFMTAWNCRKILVSKKKQVSMFVDELLLSELVLSYSAKSEQAWSHRRWVIKSISANCSNFKEILAKESELVEKIAERSKMNYRAWNHRCWLISYMTKEQVLSEMRKSRSWAALHVSDNCCFHYRRRLLQKIIEDQSFAEETASYGHNADIVQAMKDELEWNETLIKRYVGREALWLHRRFLSSCWINSFLTNSNDGSYHSKEATSMYHHDFGSFLQKELSLFHASTFVDDDYGDFQAQATHSASYILWLKVQLPKPLENEILGKIKDVDLKTLLDKTCPERSSLFNYFMS >CAK8539605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517964210:517967070:-1 gene:gene-LATHSAT_LOCUS8687 transcript:rna-LATHSAT_LOCUS8687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIENFDSINVNNQNSNMFGVSLSPTYAAAEGVPTSFYYHPTPLHNYGFYYGLESEHVGLCSALPFMADGSIYGFEALSRSQSQAMSVHPYECSSKEAMQLNMDGFLYNQTLCHKPNINPNNLNHVQENMNLLGSLAEHQENQISCYATLRNNNMMLELEGLMKNQVKGSVQLSNIVEDEVFDVKRWVSRDINAISSNSQSSCVTSSQETSPIDSVSIDTLKRKNQNQTLGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQARKGKQVYLGGYDMEEKAARAYDMAALKYWGPSTRINFPLENYQKELDVMKKMTRQEYVAHLRRKSSGFSRGASMYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAGEAYDIAAIKFRGENAVTNFDITRYDVEKIIANSNLLSSEEARRNKKVDDDGIISTEEAINPMQKRCKIQSFSIGLDDIYRQEIEEYSNRELHMSKASSVVTSLSNSREESPEYGRSLPMLFGMDSTALTPSYSNLPQMPLSVFAAWNDA >CAK8539606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517964210:517967070:-1 gene:gene-LATHSAT_LOCUS8687 transcript:rna-LATHSAT_LOCUS8687 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIENFDSINVNNQNSNMFGVSLSPTYAAAEGVPTSFYYHPTPLHNYGFYYGLESEHVGLCSALPFMADGSIYGFEALSRSQSQAMSVHPYECSSKEAMQLNMDGFLYNQTLCHKPNINPNNLNHVQENMNLLGSLAEHQENQISCYATLRNNNMMLELEGLMKNQVKGSVQLSNIVEDEVFDVKRWVSRDINAISSNSQSSCVTSSQETSPIDSVSIDTLKRKNQNQTLGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQARKGKQVYLGGYDMEEKAARAYDMAALKYWGPSTRINFPLENYQKELDVMKKMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAGEAYDIAAIKFRGENAVTNFDITRYDVEKIIANSNLLSSEEARRNKKVDDDGIISTEEAINPMQKRCKIQSFSIGLDDIYRQEIEEYSNRELHMSKASSVVTSLSNSREESPEYGRSLPMLFGMDSTALTPSYSNLPQMPLSVFAAWNDA >CAK8576892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522534561:522534992:1 gene:gene-LATHSAT_LOCUS29049 transcript:rna-LATHSAT_LOCUS29049 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKEEFVRGVKKFVKKALNQPICKSEGGIMCPCINCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGEVELNIDIRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHTNDNMEQEELLEDEFPNE >CAK8577927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597606248:597609300:-1 gene:gene-LATHSAT_LOCUS29990 transcript:rna-LATHSAT_LOCUS29990 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMAFSWFLKLSFKFIHHFAWPLVALVYPLCASIQAIETDSYAETKNLISYWILLSFIYLFEYAFINLLPWLRVWLYIKLMIIFFLAIPDFGRASYVYHNLIRPMKLQIFAWRFNNYWRKIFVEKDDFLIHAERYLRENGTEALEKLVASKNTVCRPDAEVINQIISTDNKEMLKTNEERLQTKHLDVKDLEAIEKRETPAATKQDIPVIPKVGPSQSASSATVVTKGTAENGRAGGEVPQSSTSTQKEMQKEWTCALCLVTTSSEMTLNSHLSGRRHRARMEALIAKKQSALQKKKDAEVTNEILATDDKETLKTNGDQRLQTEHKDIKGKKEILATKQRTYANTVASQKASSSDIVETRATAESDRAGGEIPQSSSRLKEVQKEWTCALCLVTTSSEATLFSHLNGKKHMASCEAALKAKKQTALQKLKINQPKEDVKQKNVSNKLDSKVKNGDGIVNKEEEVKQKNGNNMLNSKVKNGDGIVNKVLKAVLDSKVEKLQKNMSEPVSIQNSKLMCRACNVVLHCENNVVSHLNGKKHLANMQSKVDSLKNLRDIGIA >CAK8577928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597606248:597609291:-1 gene:gene-LATHSAT_LOCUS29990 transcript:rna-LATHSAT_LOCUS29990-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWFLKLSFKFIHHFAWPLVALVYPLCASIQAIETDSYAETKNLISYWILLSFIYLFEYAFINLLPWLRVWLYIKLMIIFFLAIPDFGRASYVYHNLIRPMKLQIFAWRFNNYWRKIFVEKDDFLIHAERYLRENGTEALEKLVASKNTVCRPDAEVINQIISTDNKEMLKTNEERLQTKHLDVKDLEAIEKRETPAATKQDIPVIPKVGPSQSASSATVVTKGTAENGRAGGEVPQSSTSTQKEMQKEWTCALCLVTTSSEMTLNSHLSGRRHRARMEALIAKKQSALQKKKDAEVTNEILATDDKETLKTNGDQRLQTEHKDIKGKKEILATKQRTYANTVASQKASSSDIVETRATAESDRAGGEIPQSSSRLKEVQKEWTCALCLVTTSSEATLFSHLNGKKHMASCEAALKAKKQTALQKLKINQPKEDVKQKNVSNKLDSKVKNGDGIVNKEEEVKQKNGNNMLNSKVKNGDGIVNKVLKAVLDSKVEKLQKNMSEPVSIQNSKLMCRACNVVLHCENNVVSHLNGKKHLANMQSKVDSLKNLRDIGIA >CAK8544473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687343064:687347027:-1 gene:gene-LATHSAT_LOCUS13149 transcript:rna-LATHSAT_LOCUS13149 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRDFIAKEVPDWNDEVIAVARFKAFSGQRSDWQPNFLFWRDLIIKIASHFRFILIRPSQVKNDWFNRGGLTPLCIDDVLSLMYNEGDITRPGNLIDPTSGRFSQLVRKVTNLISRPAATDIMAEESVVLTPLLKDKAAEVVKHLSESHWNSSCIITMKKFQEICGGPDEASVMLRYLSGRRTAQYLSVLKNEFVEGVKVLLSEAALSGVSNLDCDVLYLIWTIEKLQQQLDVIDRRCELSRKSAVASLHSGNRKIALRYARELKLATRSREKCSSLLNRVEEVLGVIVDAESTKKVSEAMKIGAHTMKENKISVEDVDHCLRDVQESIDSQKEIEKALEQTPSYTDIDDEDIEDELEELELALEKEAQVHTPEKTSTSEEATATLEASKLLSETLSNLKLSDNAVGKSRITQTTSKGEKTANLAM >CAK8573545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618914621:618914851:1 gene:gene-LATHSAT_LOCUS25981 transcript:rna-LATHSAT_LOCUS25981 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGSNFDYSYDNVDINNSTIEIFSGPHPNLAMRLQRRASIREKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8535836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884235978:884237636:1 gene:gene-LATHSAT_LOCUS5256 transcript:rna-LATHSAT_LOCUS5256 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKSGDKVCVTGASGFLASWLIKRLLLSGYHVIGTVRDLGKKKKVEHLWKLEGATERLELVQADLMEENSFDNAIMGCKGVFHIASPVLNHISDDPKSEILEPAVQGTLNVLRSCRKNPTLVRVVLASSSSAVRVRNDFDSKIPLDESSWSSLELCEKLKAWYPMSKIMAEKAAWEYCKENGIELVTILPSFIIGPSLPQDLCSTASDVLGLFKGETEKFQWHGRMGYVHIDDVALCHILLYENKASHGRYLCSSTIMENDDLVSMLATRYPGFPIPKRFKKLDRPHYELNTGKIESLGFKFKSVEEMFDDCIASFVEEGHLTLPHITRIAI >CAK8543387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604249871:604252098:1 gene:gene-LATHSAT_LOCUS12145 transcript:rna-LATHSAT_LOCUS12145 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPHHTATTFARDSTSDSSVFDSFRYHTTNVMTHKQRFKRVAKPILQFPRIKPARKTRISKSPKLTAPESNPPSSEPHISFEKTETLPREFFQIDSLDLAPRLLGKFLRRDDVVLQITEVEAYRSNDSASHARFGITPRTAPLFGPGGHAYVYLCYGLHVMLNVVADKEGSGAGILIRSCAPVCGLDVIQQRRGQITDKPILLTGPGKVGQALGLSTEWSNHPLYTPGGLELLDGPEPENILVGPRVGIQYALPEHVDALWRFAIAGSAWISAPRNTLKPPL >CAK8570719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:96360872:96361078:1 gene:gene-LATHSAT_LOCUS23452 transcript:rna-LATHSAT_LOCUS23452 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFNYYRGEIRRTDIEASRWIDNIPREKWARAYDGGQRWGHMTSNLAEAMNSLPKATRNLPITTLV >CAK8537405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:336125420:336125842:1 gene:gene-LATHSAT_LOCUS6698 transcript:rna-LATHSAT_LOCUS6698 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYTKFPGHRKKYRKGVGSFLDFAYIKGRPQGRKISCPCAHCANCKWERRHVVNDHLIAAGFIKGYDVWVNHGDNIPSPIKIDKDTKEQKKSLDDIGGLLYDTFRNVVETEESSEAPNEDARKFYKLINEAKQKLYPG >CAK8541827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:410110355:410110828:-1 gene:gene-LATHSAT_LOCUS10721 transcript:rna-LATHSAT_LOCUS10721 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIPSVAAYCCLAKGLCEIGEIDEAMMLVRDCLGNVASGPMEFKYCLTILHICKSNDAEKVINVLNEMMQQGCSLGNVVCSAIISGMCKYGTIEEARKVFSNLRERKLLTESDIIVYDKLLIDHMKKKTTDLVISGLKFFGLESKLKSKGCRLLPD >CAK8534114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696299344:696299793:-1 gene:gene-LATHSAT_LOCUS3683 transcript:rna-LATHSAT_LOCUS3683 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEWNDLQALFMKDCPYAYYVYCFAHRLQLALVTASREVKPMHQFFEKLTLIVNVVCSSTKRHNKLQTSQLDENEHLLEIGEIVTGKGENQIGTLKPVEDSRWGSHFSSIYSLMNMYEAACHVSRKLAKEGLSNASCGDANSAYNYLK >CAK8537598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407186785:407186928:1 gene:gene-LATHSAT_LOCUS6875 transcript:rna-LATHSAT_LOCUS6875-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNFVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8537597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407186785:407187274:1 gene:gene-LATHSAT_LOCUS6875 transcript:rna-LATHSAT_LOCUS6875 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNFVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHSPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8567139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490295949:490301779:1 gene:gene-LATHSAT_LOCUS20216 transcript:rna-LATHSAT_LOCUS20216 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFSATLGSFGGISFLSSSQSQPLDSCYSILNPLNSLKYPRKFRLCHWHRHYNHHTSKISVSINDDTQIDEVDTILLPRPYHPNSDESLYQALRSSDWKSAIAYKDSKLIYYGRVEGLNTGGLLIRFYSLMGFLPFPHLSPVYSCQEPDKPIQDIAEKMIGSILAVMVIKVEEEKNNLVLSEKQATWSKYLKYINIGDIFEARVGSVENYGAFVYLRFRDGLYHLTGLIHISEVSWDLVQDVRDFLKPGDEVIVKVIGIDSVRLRLKLSIKQLDEDPLLETLDKVIPQDGSADFGSFSGTSSRIDPLPGLDTILEELLQEDGIYDARISRQGLEKQVVSPDLQLWLSNEPPLYQRFTLLARAGRQVQEIVLKTSLDQEGIKKAIQRVLERVP >CAK8572320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529210393:529211297:-1 gene:gene-LATHSAT_LOCUS24896 transcript:rna-LATHSAT_LOCUS24896 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIAAPVTATATTPTPNESNKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAIATVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRLVQKAKIEIVLGKSEKFDNLMANSASTNKSEAATDDDKK >CAK8565111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:39012087:39012892:-1 gene:gene-LATHSAT_LOCUS18348 transcript:rna-LATHSAT_LOCUS18348 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEVSVPVVSDLIHISDKAYSRKEILQMEKLMLNTLQYNMSIPTAYVFMRRFLKAAQANKKLKMVAFFLVEMSLVDYGMLKFPPSLVAAAAVYTAQCTVSGFKHWNKTCEWHTNYSEDQLSECAKMMVGLHQKAGTGKLTGVHRKYCAAKFSFTAKCKPACFLLENNHP >CAK8571131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308869948:308870783:-1 gene:gene-LATHSAT_LOCUS23822 transcript:rna-LATHSAT_LOCUS23822 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYHQEKQKTRQNGNHIKTAEEKTKNRLAIQAFLVNLPSIGIDFQVCKGIGGGFMQHGGSRIWFKQC >CAK8570994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:243725267:243725779:1 gene:gene-LATHSAT_LOCUS23697 transcript:rna-LATHSAT_LOCUS23697 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTTYTVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNQQDHYPATTHPKQQTIHPPLSQTLCT >CAK8539468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513511737:513512976:1 gene:gene-LATHSAT_LOCUS8559 transcript:rna-LATHSAT_LOCUS8559 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKQKFQRMCDKSMIKRRYMYLTQEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKAARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPEIERPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPAIVSKNIDKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATRQVLSEYGNMSSACVLFILDEMRNKSIQNGFKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >CAK8530860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56597217:56598347:-1 gene:gene-LATHSAT_LOCUS695 transcript:rna-LATHSAT_LOCUS695 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIVIINIITKKRFLTLPLLHSTSLFSTSTTATTTTTTTTTIPTSQFPSKTPLEKQFETWVNHLKPGFTPSDVNLALTSQSDPDLALDIFRWTSQQRSYKHNHLTYLTIIKHLITSRRYQQAETLVEEVIAGACEPTLPLYNSIIRFCCSRKFLFNRAFDVYNKMLNSQDCKPNLETYTLLFNSLLRRFNKLNVCYVYLHSVRSLTKQMKTSGVIPDTFVLNMIIKAYSKCLELDEAIRVFREMGLYDCEPNGYSYSYIAKGLCEKGRVEQGFGFYKEMRVKGLVPSTSTYVIVVCSLALERRFEDAVEVMFDMLSNSRSPDHLTYKTVLEGLCREGRVDDAFELLDECKKRDVCMNEKMYKILFNDLQFVCRD >CAK8531457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111883833:111894974:-1 gene:gene-LATHSAT_LOCUS1248 transcript:rna-LATHSAT_LOCUS1248 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRYAHAVTPLLTFPSKLSTMNAQTLSNSTPFSNLNKPLFRRFQRKRGFFTVYCSKSTSKYPPLPLKINGYHATSIVKATRPVYLDESVLEANIDALKRKLEAIGMDAEICVPGQQYNHLICPECQGGDTGERTFAVIIAPDGGSAAWKCFRAKCGWKGSTQAFAGRSPYSTTMTQFIPAKKKREIKEEDLQLEPLCAELVGYFSERLISNKTLKRNGVKQKKYKDDQIAIAFPYRRNGALISCKYRDINKKFWQESDTEKIFYGLDDIVGESDVIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSSKELPTEDKDTKYQYLWNCKDELKQASRIIIATDGDPPGQALAEELARRIGKEKCWRVRWPKKGKLDDCKDANEVLMYLGPDALKEAIENAELYPIRGLFNFRDYFDELDAYYHRTLGYDIGLSTGWNNLNGLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNKIAGWKFALCSMENKVREHARKLLEKHVRKPFFNDRYAEDVERMSLEEYEQGKIWLNDTFHLIRCEDDALPNIKWVLDLAKAAVLRHGVRGLVIDPYNELDHQRSPHQTETEYVSHMLTLIKRFAQHHGCHVWFVAHPRQLYNWTGSPPNLYDISGSAHFINKCDNGIVIHRNRDPEVGPVDQVKVCVRKVRNKVAGTIGEAVLLYNRVTGEYVEDDTEYVRDDTKR >CAK8542271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496445089:496448443:1 gene:gene-LATHSAT_LOCUS11120 transcript:rna-LATHSAT_LOCUS11120 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMVLQKRTKFSPFLCLQLLLLVLLSIGSSVHGVDQKNFYIVFLGAKPTSRDIARKTHLNVLSSVKGSYHEAKESIVYSYTESFNAFAAKLSEHEADKLSDMSEVVLVFKNRYLKLHTTRSWNFIGLPLTAKRRLKLERDIVVGLLDTGITPESKSFKDDGLGPPPTRWKGSCGHYANFSGCNNKIIGAKYFKADKNPDPSDILSPVDVDGHGTHTASTAAGDLVPNANLFGLANGTSRGAVPSARLAIYKVCWSSSGCADMDILAAFDAAIHDGVDVISISIGGGRPDYVHDPISIGAFHAMRKGIITVASAGNDGPSMATVTNTAPWIVTVAASGIDRTFKSTIQLGSGKNVSGIGISCFNPKQNQYTIINGIDAAKDSKSKEDAKFCNSGSLGENKVKGKLVYCIGSWGIEANVKEIGGIGAVIEYDSYPDVAQIFMAPATIVNHSIGESVTSYIKSTRSPSAVIYKSHEEKVQAPFTATFSSRGPNPGSTHILKPDIAAPGIDILASYTLRKSLTGLEGDKQFSEFSIISGTSMACPHVAGVAAYVKSFHPNWTPAAIRSAIITTAKPMSKRINNEAEFAFGSGQLNPTRAVSPGLIYDMDDFGYIQFLCHEGYKDSSLSALVGSRINCSSILPGLGHDAINYPTMQLSLESKKDVTVFRRTVTNVGPVPIIYNATIRSPKGVEITVKPSFLSFDRKMQKRSFEVVVKVKSIASMEILSGSLIWRSPRYIVRSPIVIYKP >CAK8542272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496445098:496448443:1 gene:gene-LATHSAT_LOCUS11120 transcript:rna-LATHSAT_LOCUS11120-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRTKFSPFLCLQLLLLVLLSIGSSVHGVDQKNFYIVFLGAKPTSRDIARKTHLNVLSSVKGSYHEAKESIVYSYTESFNAFAAKLSEHEADKLSDMSEVVLVFKNRYLKLHTTRSWNFIGLPLTAKRRLKLERDIVVGLLDTGITPESKSFKDDGLGPPPTRWKGSCGHYANFSGCNNKIIGAKYFKADKNPDPSDILSPVDVDGHGTHTASTAAGDLVPNANLFGLANGTSRGAVPSARLAIYKVCWSSSGCADMDILAAFDAAIHDGVDVISISIGGGRPDYVHDPISIGAFHAMRKGIITVASAGNDGPSMATVTNTAPWIVTVAASGIDRTFKSTIQLGSGKNVSGIGISCFNPKQNQYTIINGIDAAKDSKSKEDAKFCNSGSLGENKVKGKLVYCIGSWGIEANVKEIGGIGAVIEYDSYPDVAQIFMAPATIVNHSIGESVTSYIKSTRSPSAVIYKSHEEKVQAPFTATFSSRGPNPGSTHILKPDIAAPGIDILASYTLRKSLTGLEGDKQFSEFSIISGTSMACPHVAGVAAYVKSFHPNWTPAAIRSAIITTAKPMSKRINNEAEFAFGSGQLNPTRAVSPGLIYDMDDFGYIQFLCHEGYKDSSLSALVGSRINCSSILPGLGHDAINYPTMQLSLESKKDVTVFRRTVTNVGPVPIIYNATIRSPKGVEITVKPSFLSFDRKMQKRSFEVVVKVKSIASMEILSGSLIWRSPRYIVRSPIVIYKP >CAK8533156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586000095:586004003:1 gene:gene-LATHSAT_LOCUS2803 transcript:rna-LATHSAT_LOCUS2803 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAVKLYSVFFKFLLKHRLQNRILTPIEESDPFGITSRPDESIASPNPSFTDGVATKDIHIDSNLSLSVRIFLPESALLNPEPNSKPKRKSEPKGRETTSSPRVNTRRNSYGTIGAVVKEEVRRNSVGGDAEGLNGGGYGGYSPTIDDRKRKLPVMVQFHGGGWVSGSNDSAANDYFCRRIAKLCDAMVVAVGYRLAPESRYPAAFEDGFKVLSWLGKQANLAECSKSLGIKKLDGGHRHIVDSFGASMVEPWLAAHGNLSRCVLIGVSCGANIANYVAQKAVETGKLLDPVKVVAQILMYPFFVGSVPTHSEIKLANSYFYDKAMCMLAWKLFLPEKEFSLDHPAANPLVLGHGPPLKQMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLRSPQAQVCAEDIAIWVKKYISLRGHEFSY >CAK8569106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671648398:671654528:-1 gene:gene-LATHSAT_LOCUS21993 transcript:rna-LATHSAT_LOCUS21993 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGDYTSAPYYQFPHMQNPNPTPPQSDPIPNHYASAPPFTPNYDYPNPAYSPYPPQNPDPVPASNPNFNPQFESNPPYQPPSQPYYPPYDQHQAPPNYPPPNPNPNPNPNSNPNSSLYNPAPYSHNHTASSVPPIPTYESQYENPVKSDYGVGGGAYFDDRYGGFNRSQSDLGSELYGKRHDGGLSRYESGGVSVGGGDEGYGDGVYAYQGGKVEPYGARGTGSKSSTWSNSPTFDDFGRPISFSSAKESSVASKIVKAVPKADTQEDVKSGVQKFRVKMLAESGGQSTMDVLCQVGLDGLRMLDPNTSRTLRIYPLENITKCDRVDSTIFAFWSKSPVDIEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGNRRPAESLKTNEQSTERKKGLGDWMNMIKPANEEKDHWVPDEAVTKCTSCGTDFGAFNRKHHCRNCGDIFCDKCTQGRIALTAEENAQPVRVCDRCLAEVTHRLISAKGSSSKPLLQSHEDLARKLQEELERNRKSSGSGSKSDGTGRRMKEVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSSY >CAK8575982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:379759851:379765878:-1 gene:gene-LATHSAT_LOCUS28203 transcript:rna-LATHSAT_LOCUS28203 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTVVNNVLCLLTHQDGAPLGSMYLPQNIGPQQLQLIVHQLLKNEEKLPYAFYISDEELLVPLETYLQKHKVSVEKALPIVCQPQAVFRIRPVSRCSATISGHGEAVLSVAFSPDGRQLASGSGDTTVRFWDLGTQTPMYTCTGHKNWVLCIGWSPDGKYLVSGSKSGELICWDPQTGKQSGNALTGHKKWITGISWEPVHLNAPCRRFVSSSKDGDARIWDVSLKKCIVLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTRKHFSSPEEMKKVALERYKSMRGNAPERLVSGSDDFTMFLWEPFINKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKIWNGTTGAFVTVFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVFSVDWSPDGEKVASGGKDKVLKLWMG >CAK8531606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:129834860:129835636:-1 gene:gene-LATHSAT_LOCUS1384 transcript:rna-LATHSAT_LOCUS1384 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTISYRFNIMGEYIDVLQAKRGIRQGDPVSPMLFVLIMEYMNRLLVKMQRGPNFNYHAKCENMKITNLTFVDDVLLLCKGDETSMQMILKTFRKFSKSTGLMMNPNKCKIYFRGLDTENKKALKELSGFQEGTLPFKYLGIPLSSKRLTINHFMPLVDKIVAIIHHWSSRLLSYARRIKLVKSIAYAMVQYWMHFLPMPKYVIKKVDSICRSITWIGKDAVSRKCLVAWKNTCFPTAQGGMNLLNLQVGTMCCF >CAK8531779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150943865:150946882:1 gene:gene-LATHSAT_LOCUS1545 transcript:rna-LATHSAT_LOCUS1545 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTVTPSFATELASSPEAKITGIFTYPIKSCRGISLPHAPLTPFGIRWDRQWVVVNSKGRACTQRVEPKLALVEVELPHEAFLQHWEPTTDSFMVLKAPGMEPLKVCLNKQYEVVDDITVWEWNGPAWDEGAEASQWFSDYLEKPSKLVRFNTVSGVRNVDPDYVEGQQRTFFSDGYPFLLLSQESLDALNKLLEEPIPMNRFRPNILVEGCEPNAEDLWRDIKISRFSFQGVKLCARCKVPTVNQETAIFGTEPTETLMKVRSGHVLRPNENNKNKVYFGQLIVWNWKNPSAKGDGNMLKLGDSVYINKKFSSPSQAAA >CAK8577255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549595580:549595894:-1 gene:gene-LATHSAT_LOCUS29376 transcript:rna-LATHSAT_LOCUS29376 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKINHFFKWKVCENASTSEPAKISRIEKVITFMPQLVEVPPIEKVTTTTPQRVEVPSIQKVTMTIPQRVEVSYDILNSLERDPGKPVSCEVTWLEVYALTL >CAK8543374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603552072:603553298:1 gene:gene-LATHSAT_LOCUS12134 transcript:rna-LATHSAT_LOCUS12134 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFSGMSVGGMCKPLLFPVNDLGTVTMDHVEMDFSDVFGPLTVEVNSNDNHSFDSVDELSELVYDDPEVIFARSHSLVGPSNCVSQSLKLSKLTIHESDSDNSLELVESVTEETIEDIKEVINEESLNDEDRSLLEIQRVSIDDFEVLKVVGQGAFAKVYQVRKKGTSEIFAMKVMRKDKIMEKNHAEYMKAEREILTKIEHPFIVQLRYSFQTKYKLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAVEIVSAVSHLHSKGIMHRDLKPENILMDVDGHVMLTDFGLAKQFEESTRSNSMCGTLEYMAPEIILGKGHDKAADWWSVGVLLFEMLTGKPPFCGGNREKIQQKIVKDKIKLPGYLSSEAHALLKGLLQKEAPKRLGCGAKGISEIKGHKWFKPIN >CAK8568167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579489482:579495507:1 gene:gene-LATHSAT_LOCUS21156 transcript:rna-LATHSAT_LOCUS21156 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRATYIFPRHFPERGFDESSKKLLDHDKDKIVNSIKSVTESDIQTSNQLSSSTPPSTKHDVVFSSRKHSNSDKLRIKQKQIAAFCDWFIDKQRNHSGYQTHHLCRRSSISDDDHDFLLHQPESVVKDTAIDRNFDRQVSLPRLSSDSSYAGSLFSSDIKEETPLSQVSTITTTIARRQKDEDENKEAIAKECNESYILQLKLAKRLTCFASLVTEPVLTHGTETWDAESVSYRLWASGCLSYTDKISDGFYHILGMNPYLWVMCNDEEEGKKIPTLLALKELEPSETSMEVVYVDRHLDSRLKMLHDKAQELYCSSENTLMFVEQLGKLVAIYMGGIFPVEQGDLHKRWRLVSKKLRNFHKCVVLPIGSLSSGLCRHRAILFKRLADYIGLPCRIARGCKYCAADHRSSCLVKIKDDKQLSREYVVDLVGEPGNVHGPDSSINGAYLSSIPSPFQISHFKELQSPYMDDEASSQPPISFNQSSCNPETYPYSCWGQNDQQVKETDLLKVHQGSICASADQPCEGANPLLIPFGLKENDEECAVLGSILPTIHEDVSKVFSPTKTSLHEYPRLNEEAVVLKENSSNEIIVTGCSVVKSTFKQCILSSSCQSELKQLDDKIENQDYVPAGSIPRYVNLEPSLAMDWLEISWDDLRIKERVGAGSFGTVHRAEWHGSDVAVKVLTDQDFHDDHLKEFLREVAIMKRVRHPNVVLFMGAVTTRPNLSIVTEYLPRGSLYRLIHRPASGEVLDSRRRLRMALDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFIPSKSVAGTPEWMAPEFLRGEPSDEKSDVYSFGVILWELVTMQQPWSGLSPPQVVGAVAFQNRKLAIPPNISPVFASLMESCWADDPAQRPSFCGIIDTLKKLLKSPTEMIKMGDTHNP >CAK8562520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506187187:506188032:-1 gene:gene-LATHSAT_LOCUS16011 transcript:rna-LATHSAT_LOCUS16011 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISPITVSIITLFLLFHPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRTDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECSDNNE >CAK8534988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800491749:800492485:1 gene:gene-LATHSAT_LOCUS4476 transcript:rna-LATHSAT_LOCUS4476 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTFAQFYDSWYDQFNRLIHQLTTPNETDTEELIRKVMSHHEDYFNAKSMAAEKDPLHVLASPWATTLERSLHWIAGWRPTTAFHLIYTESSLLFESHIIDILRGLRTGDLGDLSPTQFRRVSDLQCDTVKEENAITEELSEWQDGACDMMGSEAEINDKIQRLVSIIKKADGLRLRTLRNVVEFLSPQQAIEFLIASAELLVGIRGWGLNHDRSLPRI >CAK8578267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615808923:615811890:-1 gene:gene-LATHSAT_LOCUS30294 transcript:rna-LATHSAT_LOCUS30294 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINDDVPELEQCLLQDERSKQYTGDGSVDFRWKPANKHNTGNWRACPFILGNECCERLAFFGITTNLVTYLTTKLHEGNASAARNVGVWQGTCYLTPLIGAVLADGYWGRYWTIAVFSMIYFVGMCILSISASVPSLKPAECLGSVCPPASPVQYYIFYFGLYVIALGTGGVKACVSSFGADQFDDTDSKERGRKASFFNWYYFSIDLGAIVSCTFIVWVQDNAGWGLGFGIPALFMGLSIGSFFLGTSLYRFQKPRGSPITRMCQVVLASVRKKNLVVPEDSSLLYEMPDKKSGVEGSRKLMHRDDLRYFDRAAVVADSDNKSGDYSNPWRLCTVSQMSTLFVEQGTMMDTNISSFKLSPASLSTFDVVSVVLWVPVYDRILVPIARKFTGQKRGFSVFQRMGIGHFISGLCMLAASVVEIKRLRLARKLDLVDKPVAVPLSVFWQIPQYFLLGASEVFTFIGQLEFFYEESPDAMRTLCSALPLLCFSLGNYLSSFMLTIVTYFTTQGGRLGWIPDNLNNGHLDYFFLLLSGLSLLNMCIYIVAAKMYKQKKISQDFSSTYCS >CAK8578268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615808923:615811890:-1 gene:gene-LATHSAT_LOCUS30294 transcript:rna-LATHSAT_LOCUS30294-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINDDVPELEQCLLQDERSKQYTGDGSVDFRWKPANKHNTGNWRACPFILGNECCERLAFFGITTNLVTYLTTKLHEGNASAARNVGVWQGTCYLTPLIGAVLADGYWGRYWTIAVFSMIYFVGMCILSISASVPSLKPAECLGSVCPPASPVQYYIFYFGLYVIALGTGGVKACVSSFGADQFDDTDSKERGRKASFFNWYYFSIDLGAIVSCTFIVWVQDNAGWGLGFGIPALFMGLSIGSFFLGTSLYRFQKPRGSPITRMCQVVLASVRKKNLVVPEDSSLLYEMPDKKSGVEGSRKLMHRDDLRYFDRAAVVADSDNKSGDYSNPWRLCTVSQVEELKILIRMLPIWTTGIIFSAVYAQMSTLFVEQGTMMDTNISSFKLSPASLSTFDVVSVVLWVPVYDRILVPIARKFTGQKRGFSVFQRMGIGHFISGLCMLAASVVEIKRLRLARKLDLVDKPVAVPLSVFWQIPQYFLLGASEVFTFIGQLEFFYEESPDAMRTLCSALPLLCFSLGNYLSSFMLTIVTYFTTQGGRLGWIPDNLNNGHLDYFFLLLSGLSLLNMCIYIVAAKMYKQKKISQDFSSTYCS >CAK8562392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:483318460:483318792:-1 gene:gene-LATHSAT_LOCUS15892 transcript:rna-LATHSAT_LOCUS15892 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKSILSLIFLALIVFNQGFKSIEGRYLKSNEVNQSLMKNNEANNDDTIHVSISVSNASTLTTMSPPNVVVNGATGEPSLPPPPAHDVNDFRPTAPGHSPGIGHFVHN >CAK8540254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547112900:547114891:1 gene:gene-LATHSAT_LOCUS9277 transcript:rna-LATHSAT_LOCUS9277 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNPQKSGTGGDLHAAARSGDLISVQSILISNPLSVNSRDKHSRTPLHLAAFSGQTEVVSYLCKNKADVGASAMDDMAAIHFAAQKGHLEVVRALVSAGASFKAATRKGMTPLHFAAQGSHLELVKYLAKKGASLSVKTKVGKTPLDLATNDEVRTFLQGFVKSDKNGESRNGDKAEESDPKQNEGSNPKAAEESDPKEKEESNPKAAEESDSKASSSLGFKSDEPSTVAVDEEEGERGKRKVNENDATEDSKPKKARVKLSHLQSSDDAEEEDN >CAK8535781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:881040556:881041692:1 gene:gene-LATHSAT_LOCUS5204 transcript:rna-LATHSAT_LOCUS5204 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGNKIIQAKLVLLGDMGTGKTSLALRFVKGHFYQNQEPTIGAAFFTQILSLSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSIDTFVRAKKWVQELQRHGSQKLVMALVANKCDLEPKREVETEEGDQFAQENGMFYMETSAKTAENINELFYEIGRRLAKAFPSKPTGINLKSEIQGGGRKFFCCST >CAK8533540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634148186:634150219:1 gene:gene-LATHSAT_LOCUS3161 transcript:rna-LATHSAT_LOCUS3161 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMVESSTKIAFILLVIATIYIPCTEAGIGELDDFLKNESEKAHKLVLDSYVPIPGEVTDDLNFHVHLLMEGLNNTRRELGQKGKRKSGPCEATNPIDSCWRCQQNWAENRFQLAKCGKGFGRRAVGGLGGKIYVVTDPSDNDMVNPKVGTLRHAVLQKGPLWITFQRSMVIRLNQELMVTSDKTIDGRGANVQIIDGAGITMQFVNNVIIHGLHMKNIRSKNGGMIRDSFDHVGLRTRSDGDAISIFGSSNIWIDHLSLSECEDGLVDVIQGSTGITISNCHMTKHNDVMLFGASDSYNGDKIMQVTVAFNHFGQGLIQRMPRCRFGFVHVLNNDYTHWLMYAIGGSSGPTILSQGNRFIAPFNTAAKEVTHRDYASSSAWKNWQWTSEMDLFMNGATFVPSGSPINTGVFKKAFMMKPRPGSYASRLTRHAGALDCKVGKPC >CAK8573718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632752832:632760089:-1 gene:gene-LATHSAT_LOCUS26127 transcript:rna-LATHSAT_LOCUS26127 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTRITSPSGVVVKNRSSSGCLIVRKKGDGLGGVSSSSRKQYESKKVRKRVKEESSDSESSEELLMPPARRPGPETIRVCNSLSALERGGNVGSGEISRKRERMGQFDGDGVGLERRDKKVKLEVFDFDEYDGVGAERMRRRQLDGDGVSLGGGRFMGTMHSGRGSIDREFESGSSRRIVDKRKSTYHDRASGSYLGDSVDHSRIKVKREGTQHPLPLLKEKFNSDESIRVQGKNGVLKVMVNKKKTGGSVEHYEHRKPVESRPSGRAEGTSKRNVPVQPSLRLETKSAEKQGLLVRSEKKQITRKSLSSKEDSKGDEQDSDNNDTSMNMEVKNIKAHTSSKKITSENEQTPAHDKIPTTKSSEGKIRRGSGTEKQKLREQIREMLLNKGWTIDYRPRRNRDYLDAVYINPGGTAYWSIIKAYDALQKQLIEDDQEAKAKGASSSFAPIADDVLNQLTRKTRKKMEKDLKMKKKKQRADDNDSGKEQQIKRTAGKKHRMNGNDSDSNEDKLSSFIKQGGKSVKTKLPEISVTGGSSKSQNATTDKSFSESDPKLLHGRKSRKHGRCTLLVRSSNKGLNSESDDFVPYTGKRTVLSWLVDSGVVQVSQKVQYRRRKRVMLEGWITREGIHCGCCSKILTVSKFELHAGSKLPQPYQNIYLDSGVSLLQCQIDAWEKQENSGKISFHSVDVDGNDPNDDTCGICGDGGDLICCDGCPSTFHQSCLDIQMLPPGDWHCPNCTCKFCGLASGTIEKEDDATVYALHTCDLCEKKYHDCCTKDMSALLANSNMSGHSFCGKSCKELFEHLKKYLGTKHELDAGFTWCLVRRTDDESEAVSRGVTQRVECNSKLAVALTVMDECFLPVVDRRSGINLIHNSLYNSGSNFSRLNYTGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHVYRHQGMCRRLFSAIEHALCSLKVKKLVIPAISELVHTWTTAFGFTHLKESLRQEMRSLNMLVFPGIDMLQKLLVEQGKLDDAEQFENGSEVSVKPIVVNRLGIDSLALRDPHENEDASSNPANKINTECSDASQDISSQGLTGRTVCSKSLSEERLSDSVSENCASPSNSSHGVIKKKNKILTSSPINDMSPKCLLISPNGKSTNGLPSDPSDCHELPALCQATACSDLGTIKNLVEPVSDGKPHAFMNCGLPGLARNPVLDSRVVDNALSFKEFDMNDAQVEVLEAGLLVNSSQGNNIKENNENLNYSGSALNNAFTDMDSDSLELDQKSVLDSRVVDNAVSIKEFDMNDAPVEVLEGGPLVNSSEENNAKVNNENVDDSGSALNHAFTDMKSGSPGLDQNPVDSRVPDNVLSYKEVDMNDAHVEVLEAGSLVNSSQRNNTEENNKNVDVSDSVLNHTFTDMKTDSPGLDQNPVLDSRVAVNALSFKEFDMNDAHARVLEAGPFLNLTQGNNAGDNENVDVSGSALNHAFTDMNRDSPGIDQNPMLDSQVADNALSFKEFDMNDSRVEVLEAGPLVNSTQGNNTEESNDNVDVSGSILNHAGESSLQVRSDLNVEIACEVKKKSHLDSKVASNEMHFDETGLNASGNSTETDRALNG >CAK8535724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877047626:877048018:-1 gene:gene-LATHSAT_LOCUS5153 transcript:rna-LATHSAT_LOCUS5153 gene_biotype:protein_coding transcript_biotype:protein_coding MLTELRCCRVISCMKDQHLQVDDFVLDYYKKEYCETCYSPMIYPFNGESLWTKTNVVNLQPSPIKRMSGRPKKKKNKEVGEQVRNETQLKRENFGIKCSRCHKDGHNKATCKLPTTAMTSSQPQPSASSV >CAK8569045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666238873:666239766:1 gene:gene-LATHSAT_LOCUS21942 transcript:rna-LATHSAT_LOCUS21942 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIPHLISSPASSFLPYNPLLNLTPLLLNPKIPFSTPTPPRINVLRQFRRRKDTSLLRCSASSYPENNNANSPNQDDVTELPLFPLPLVLFPGAILPLQIFEFRYRVMMHTLLHTDLRFGVIYTDAVTGTAEVGCVGEVIKHERLVDDRFFLICKGQERFRVKKVVRTKPYLVASVAWLEDRPSPSADVDVDGLANEVETYMKDVIRLSNRLGGKAEKEVGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLAREKETLKNTLNYLSAASAVKDVFPSSSSSSSSPS >CAK8563353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594881550:594881915:-1 gene:gene-LATHSAT_LOCUS16774 transcript:rna-LATHSAT_LOCUS16774 gene_biotype:protein_coding transcript_biotype:protein_coding MALLANIYHKKPSSTSSTTKTKTFAETIHNVCDIPTSHLPKLVIKGDKIAITITKDEYLAGHEACKHNLHARIIWPKGTTPLRVSVLRNKLSPQWKDLGKWGITSLGKGFYEFSFSTIEDS >CAK8566692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453163137:453166745:1 gene:gene-LATHSAT_LOCUS19804 transcript:rna-LATHSAT_LOCUS19804 gene_biotype:protein_coding transcript_biotype:protein_coding MANPCISREEIANEAIKRTLRSLRKRHLSEEGAHASAFAALCRPIVSQGSEWKEKAESLEVELQQCYKAQSRVSEQLVVEIAESRTLKALVQEKETATADLQNDLTEARDECMQLKKDLEDKCKALEVVLSENAELKKQLEQMTVRAKNAETENKTLVDRMMLEKMKNAEQLNEANQLYDGMIKQLKASGLEKLARQQIDGIVRRSEQDADAFLQSNIPSTCKHRLQAHEGGCASILFENNSNKLITGGQDRTVKVWDTDSGSLISNLYGCLGSVLDLTITNDNRSVIAASSSNNLYAWDLSSGRVHHTLTGHTDKVCAVDVSKVSSRHVVSAAYDRTIKVWDLLKGYCINTIIFHSNCNALCFSSDGQTIFSGHVDGNLRLWDIKTGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNLHNLFDVRSLEVSSTLKAAGNRVASNWSRSCISPDDKHVAAGSSDGSVYVWSASNGETVSTLKEHNSSILCCAWSGLGRPLASADKNGVVCIWT >CAK8538139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465675195:465675530:1 gene:gene-LATHSAT_LOCUS7372 transcript:rna-LATHSAT_LOCUS7372 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGGFSHSVESGAGKRRVFTIGNYVNQRLLRPLQPRNEAIFLLYDHRLKLEDPPEIVLSLTYLDFSGSTNPRRDKSASDQASSQDRSNPPFKPTYKTSFH >CAK8534303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714136003:714136462:1 gene:gene-LATHSAT_LOCUS3858 transcript:rna-LATHSAT_LOCUS3858 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDGIIGKIKLGSVKLAKKYMKRVAMEVQTKSAFDKDPAMDYMVLQGVRFAFRIHQFAGGFDAEKMHAFEELRNLASLLNKT >CAK8539924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531244567:531246791:1 gene:gene-LATHSAT_LOCUS8976 transcript:rna-LATHSAT_LOCUS8976 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSAIALDRLLDTEASKPIDKPVPTSMPVPISRTLERTATAPAMKKKVPRPPLKPALYTTPEVKLLPEADSPSSFPPSPYVINHKRRGPRLLKSSSEASVLSKQNSEAIDDKSFDTVIGSSAGDLQFAFSNHEPVEEEHANGVCGGKSVRVNGAGPVNGHREPENSSLTNVLLRDNGPALNLERDTDIEDFFDPKDSMSFTSNTDVEENAGTDLPVKFSSPVGEFYDAWEELSSASATQNSAYDVEAELREMRLSLLMEIEKRKQAEESLNNMRSQWESIRQGLCLAGIVLPADLSTVAEAEQLNSDPVEDLCQQLYVARFISNTIGRATVRAEVEMEMEAQLESKNFEIARILERLHCYETMNREMSQRNQEAVEMARRERQRKSRRQKWVWGSITTVIALGTAAIALSYLPVDGGSSSVDDHQVPEHDDTAK >CAK8535489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853593050:853593493:-1 gene:gene-LATHSAT_LOCUS4947 transcript:rna-LATHSAT_LOCUS4947 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQQQLPNGRRRAGEVAGNVTADCAAVCCCVPCAVMDMVALAAYKVPACLWKKAAKKNKQKRLQNQQKKNKKNETALFDHNKPGGPGLGPDAVIVGPTLEEHLAKEEVPGGAKLEEEMWARFSASGFWRSDSMRQEPELQTGEKDR >CAK8561730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:367871368:367872468:-1 gene:gene-LATHSAT_LOCUS15291 transcript:rna-LATHSAT_LOCUS15291 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKSGIIGYALAPKKQNSFIRDSLLTLAKSRGIELVRVDSDRSLADQGPFDCVLHKVYGDDWKRQLQEFQTRNPNAVILDAPEAIERLHNRISMLQVVSELRVDDRTETFGIPKQIVIYDKETLSDGQAWESLKFPVIAKPLVADGSAKSHKMALVFSRGALNKLKPPIVLQEFVNHGGVIFKVYVVGDRVRCVKRKSLPDVSEEKVLGVSEDLLSFSQISNLANRDSVNDDEKFYQMMSLDDTTEMPPQAFIVDIASGLRKAMKLNLFNFDVIRDSRYGNRYLIIDINYFPGYAKMPGYERVLTDFFCDLIHKKDVDDKNVKETEVITDGDKSNVEVITDGDKSNVEVLTGEIDKSESVTVQK >CAK8561324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:149351709:149351840:1 gene:gene-LATHSAT_LOCUS14926 transcript:rna-LATHSAT_LOCUS14926 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGGLWLSRPRHIPKEAVRQVSRALEKKSRNEPVGVPKSKL >CAK8565841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342873958:342874308:1 gene:gene-LATHSAT_LOCUS19020 transcript:rna-LATHSAT_LOCUS19020 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKTSSPSTRNGALNSQVKSNSRNRLISGQHHCCKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDICGRIITIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGT >CAK8531083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78992122:78992505:1 gene:gene-LATHSAT_LOCUS898 transcript:rna-LATHSAT_LOCUS898 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATSSMEWAGDDWGSLTSKHNPRMNSSKVFDEVHGVSSENKEKEKLLGALRASSDANGKVKIKITKKELAVLLGERKKQGVGGTGHASAEQVLVGLLNAREHVNHDVHHRTWKPVLQSIPEVN >CAK8571222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:341134059:341134619:-1 gene:gene-LATHSAT_LOCUS23904 transcript:rna-LATHSAT_LOCUS23904-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGKVFTDGCNKGMADEVAKANTHNVMQFDRKRFCFMVQEKINYNDGRPTGIFRVDLRNCFCDCGKFQAFHLPYSHVIATCASIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMIRNKKGHPKSSRIRTEMDDGEKEKRRCGICREIGHMLRKCPNVAGPSNRPNR >CAK8571221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:341134059:341134754:-1 gene:gene-LATHSAT_LOCUS23904 transcript:rna-LATHSAT_LOCUS23904 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAIKSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMADEVAKANTHNVMQFDRKRFCFMVQEKINYNDGRPTGIFRVDLRNCFCDCGKFQAFHLPYSHVIATCASIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMIRNKKGHPKSSRIRTEMDDGEKEKRRCGICREIGHMLRKCPNVAGPSNRPNR >CAK8563600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619732203:619741696:1 gene:gene-LATHSAT_LOCUS16994 transcript:rna-LATHSAT_LOCUS16994 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVKGIILRTTPDNRLFNGRRSTRLQWQELQLRLRSPWKTRKTTYQTSIRSQAIVTPTTPPTTKKRVFTFGKGKSEGNKAMKSLLGGKGANLAEMATIGLSVPPGLTISTQACQEYQQNLKSLPHGLWDEILEGLNFVENEMGAFLGNPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGGVVLDIPHSLFEEKLEKLKYSKGVKHDTDLTANDLKDLVEQYKNVYLETKGEKFPSDPKKQLELAVKAVFNSWDSPRANKYRSINQITGLMGTAVNIQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLDTMKTCMPDAYKELVENCEILENHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAIKIAVDMVNEGLVDIRSSIKMVEPQHLDQLLHPQFEDPSKYKDKVVATGLPASPGAAVGQVVFTTDDAEEWHAQGKSVILVRTETSPEDVGGMHSAVGILTARGGMTSHAAVVARGWGKCCVSGCSDIQVNDHDKVVVIGDKVISEGEWISLNGSTGEVILGKQPLSPPALSDDMETFMSWADGIRNLKVLANADTPEDAITARRNGAQGIGLCRTEHMFFASDERIKAVRMMIMAITQEQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEHIVNELTSQTGMKEEEIFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAVFQAAVSVSSHGISVLPEIMVPLIGTPQELRHQVSLIRDVAEKVFSEMGSSLSYKVGTMIEVPRAALVADEIANEADFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSAGILQHDPFEVLDQKGVGQLIKLCTEKGRAAKPNLKIGICGEHGGEPSSVAFFAQLGLDYVSCSPFRVPIARLAAAQVAV >CAK8578793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655049349:655054572:1 gene:gene-LATHSAT_LOCUS30777 transcript:rna-LATHSAT_LOCUS30777 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYGNNKSIGDVEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQASKADPEIPAPSEKVANGFKNGHANGPQKEPLITWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVEDADNEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSPQEYSTNTDHGYILFYESLGSDNNN >CAK8530966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66607380:66608063:1 gene:gene-LATHSAT_LOCUS789 transcript:rna-LATHSAT_LOCUS789-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESMNAVFKGTRHLPITTLVRATYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNLRHDAYALLSDVYRVTNLFGVYSNSFLVMSYDEYWPVYEGDQIFHNPRMQRNKKGCPISTHITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNH >CAK8530965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66607341:66608063:1 gene:gene-LATHSAT_LOCUS789 transcript:rna-LATHSAT_LOCUS789 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTDGTIVEGKHMTTNLVESMNAVFKGTRHLPITTLVRATYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNLRHDAYALLSDVYRVTNLFGVYSNSFLVMSYDEYWPVYEGDQIFHNPRMQRNKKGCPISTHITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNH >CAK8537142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:108380472:108381755:-1 gene:gene-LATHSAT_LOCUS6454 transcript:rna-LATHSAT_LOCUS6454 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGACAKRVVVDARHHMLGRLASIVAKELLNGQKVVVVICEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTLRGMIPHKTKRGEAALARLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKYCHLGQLSSEVGWNYYDTIKELENKRKERSALAYERKKQLRRDPYEVHGINRNSTDQEIKSAYRKMALKFHPDKNANDPKAADMFKEATFSYNILVDPDKHRQYDSSGFEAVELDNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGLVTIRPLPLGEFISKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEDNGGFSLALQEDSAKNGKFTSAGMYFLGFPVYRLDQTMNSIAAAEDPDTPTPAVASDGTKRRSGRPPKNQQ >CAK8541478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:196492135:196493235:1 gene:gene-LATHSAT_LOCUS10397 transcript:rna-LATHSAT_LOCUS10397 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEAQVRYLLKRFLRRAFESVQVFMMTCLAQVWMKHETWRGNNLGMKAWIGSKLTMMENACATSK >CAK8540828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23985652:23988273:-1 gene:gene-LATHSAT_LOCUS9795 transcript:rna-LATHSAT_LOCUS9795 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSLAPKTEKKKSRKNKAVVNENAPLLPKSQANESDSGFDDFNGASFSGAVFNLATTVIGAGIMALPATVKQLGMIPGLLGILMMAFLTEKSIELLIRFTRAGKSVSYAGLMGDSFGKYGKALTQICVIVNNVGTLIVYMIIIGDVLSGTSSNVKHHYGILEGWFGVHWWTGRTFIVLLTTVAIFAPLACFKRIDSLRFTSALSVALAAVFLVIAVGISIVKIISGGISMPRLFPIVTDVSSIVDLFTVVPVFVTAYICHYNVHSIDNELEDNSQMQSVVRTALGLCSTVYMMISFFGFLLFGEGTLDDVLANFDTDLGIPFGSVLNDAVRISYAAHLMFVFPVVFFPLRLNIDGLLFPSSRPLVLDNFRFASITASLIGVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAITLKDRYNIATRSDKILCVVMIVLAVASNVVAIYSNAFGMITKSNTSRE >CAK8561529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:274186163:274187119:-1 gene:gene-LATHSAT_LOCUS15111 transcript:rna-LATHSAT_LOCUS15111 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPPNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPKSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8570526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55842527:55843567:-1 gene:gene-LATHSAT_LOCUS23267 transcript:rna-LATHSAT_LOCUS23267 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKDDASTSSSSSYHSFSILNGGDLASRSSGSKRKCPLQETNNSSSLSDLSSYPNETNVCLHLSLYFCNCIQIAKKQKLAHQETNVDAVVLVGTSSDPWKIKKLAHQKTNVDAVVTDTVETSSDPWKIKKLAHQKTNANAVVTDTVGTSSDPWKIKKLAHQETNVDAVVLVGTSSDPWKIKKQKLVHQETNADAAVTDTVGTSFHSSISSDAAVTDTVGTSFHSSISSDDPWKIKKVLTTSDLENNSRLLLKKEVARKWVVPFVDKDKAEKDGVQLSVFDVDTQTLRSLVFKIWPSNNSHVFNNTWIKEFVDKRNLKAGDEIGFKWDQDNKRFDFSVLHRGVNN >CAK8544488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688229838:688234007:-1 gene:gene-LATHSAT_LOCUS13162 transcript:rna-LATHSAT_LOCUS13162 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTILPLATLFLAFSASFLQIGEAEADQLTALKLNSHILQESIAKEVNENAGAGWKAAINPRFSNSTVGQFKRLLGVKQTPRNELSSIPVVTHPKSLNLPKEFDARTAWPQCNTIGRILVQGHCGSCWAFGAVESLSDRFCIHFGVDVPLSVNDLLACCGFLCGSGCDGGYPISAWRYLAHHGVVTEECDPYFDQTGCSHPGCEPAYQTPKCVRKCVKGNQLWKKSKHYSVKPYRVNSDPQNIMEEVYKNGPVEVAFSVYEDFAHYKSGVYKHITGSALGGHAVKLIGWGTSDEGEDYWLIANQWNTNWGDNGYFKIKRGTNECGIEEDVTAGLPSPKNVIREVADMDVDTAVSF >CAK8544487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688229838:688234007:-1 gene:gene-LATHSAT_LOCUS13162 transcript:rna-LATHSAT_LOCUS13162-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTILPLATLFLAFSASFLQIGEAEADQLTALKLNSHILQESIAKEVNENAGAGWKAAINPRFSNSTVGQFKRLLGVKQTPRNELSSIPVVTHPKSLNLPKEFDARTAWPQCNTIGRILDQGHCGSCWAFGAVESLSDRFCIHFGVDVPLSVNDLLACCGFLCGSGCDGGYPISAWRYLAHHGVVTEECDPYFDQTGCSHPGCEPAYQTPKCVRKCVKGNQLWKKSKHYSVKPYRVNSDPQNIMEEVYKNGPVEVAFSVYEDFAHYKSGVYKHITGSALGGHAVKLIGWGTSDEGEDYWLIANQWNTNWGDNGYFKIKRGTNECGIEEDVTAGLPSPKNVIREVADMDVDTAVSF >CAK8543633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628103481:628106588:1 gene:gene-LATHSAT_LOCUS12379 transcript:rna-LATHSAT_LOCUS12379 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLYRRLLPSPPCFDFASSHGKQLFVEGIQNGTMEGFYRLISYFQTQSEPAYCGLASLAMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEIVKARGITFGKLVCLAHCAGAKVEAFHASQSTINDFRKYVHKCSSSDDCHLISSYHRGALKQTGTGHFSPIGGYHVGKDMALILDVARFKYPPHWVPLSVLWEGMNYIDESTGKSRGFMLISRPHTEPGMLYTLSCKHESWNSIAKFLMDDVPFLLKSEDVQDIYKVLSIIFTSLPSNFEEFIKWIAEIRRHEDGDSSLSEEEKTRLAVKEEVLRHVHETSLFKHVSSFLSSSCGRQTLISGDGDTLPIIAASVCCQGAEILGGKLSSPAVYCCPETCVKCWKAEDDKSITMVSGTVVNGNTEQGVDVLIPSSSGKLCCTCSSKKNIRMHPASSDVLTVLLLSLPSTTWDGLTDEKLLKEIHDLVSHENLSTLLREEVLHLRRQLHILKRCQEGKVDEDLEAPLY >CAK8560144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8760427:8762532:-1 gene:gene-LATHSAT_LOCUS13849 transcript:rna-LATHSAT_LOCUS13849 gene_biotype:protein_coding transcript_biotype:protein_coding MKALMFCILILGFVSSCLCERWNINAAPTKNNIYNVVDYGARGDGVTDDTQAFLKAWSDTCGAEGASTLLIPPNKIYLVNNIEFSGDCKAKSILIQLKGKITAPPQEAFKDKSYWIKIQYINSLTIDGSDNGEITGQGFTWWPCRTCPRPRSLHFHSCNDLTVSNVRITNSPGGHISINGCNNVKFSHMNVQSPGDSPNTDGFDISVSKNILIEDSAIQVGDDCIAVNGGSSYINASRLACGPGHGISIGSLGKGNSHETVEEVHVQNCSFTNTTNGARIKTFPGGSGYARKISFEQIQLTDVKNAIIIDQRYGVKVAAEESAVQVSDVRFYGITGTSASDLAINLKCESCFNIELDQINIVSSQPKKEAQSYCKNFHGKIGSTIPKVNCN >CAK8535267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832046157:832050992:-1 gene:gene-LATHSAT_LOCUS4740 transcript:rna-LATHSAT_LOCUS4740 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLGPHHHQPLQPPSLPLPLPLPPSQLQEAKTVNEAPKTTAVSGVSGMDTDKEMSATVVDSNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETAEAVAIKKVLQDKRYKNRELQLMRVMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETMYRVLHHYNNINQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGTNQVDQLVEIIKVLGTPTREEIRCMNPDYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCSALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPEHIRRQTGLGFPYPG >CAK8575468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:129437228:129437839:-1 gene:gene-LATHSAT_LOCUS27728 transcript:rna-LATHSAT_LOCUS27728 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLHNLFKVTFTLLIISTLIQSTTSTSNTTTNSTSTTYKKFLKNQCNSTTYPNICYKSLSPYTSKIKTNTLTLTKISIYLALKSSRSASMTLKKLSSKKLTHAETLVIADCSENVDDTVDSLEQSADGLVHLNGTRTNDEKFQWDTIKTWMSSVITNAGTCTDEFDEMEVRSSVQKMIKTRVGNLASLTSNALAFVNRLSY >CAK8531196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88583827:88586463:-1 gene:gene-LATHSAT_LOCUS1003 transcript:rna-LATHSAT_LOCUS1003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSLELCPSVKNIILLDSDGRRVAVKYFTDDWPTNSAKETFEKFVFTKTQMTNARTEAEIAIFENNIVVYKFVQDLHFFVTGSDQENELILSSVLQAFFDSVGILLRGNVDKKAALENLDVILLCIDEIIDGGIILETDPNVIAGKAASNSIDSGAPLSEQTLGQALASAREHLTRSFLK >CAK8578658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:644597691:644598152:1 gene:gene-LATHSAT_LOCUS30650 transcript:rna-LATHSAT_LOCUS30650 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKPDLLSFLLTLMVVLLFVLVSQGLGLALGAAIMDAKQASTIAAVTMLAFVLTGGYYVHKVPSCVAWIKYISTTFYSYRLLTRIQYGDGKKIAYLLGCNHDSSNIDGANCKFLDEDVVGQMGPMGSIGVLFFMFVFYRLLAYLTLRRIKN >CAK8572358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531798563:531799465:-1 gene:gene-LATHSAT_LOCUS24931 transcript:rna-LATHSAT_LOCUS24931 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENAVQVSDSSSSSSSKTAQNLVICVYQCSIRGRSCLITITWSKSLMGQGFSVGIDDSSNQCLCKVDIKPWVFSKRKGCKSLEAYCCKIDVYWDLSSARFGVGPEPLEGFFVGVVVDKQMVLLLGDLRKEAFKKSNAVPLPLKAVFVAKKEHVFGKKFFGNKVVFCDNGKIHDLVIECDTSTAKDPCLIIRLDSKTVMQVKRLKWKFRGNYTILVDGFEVEVYWDVYNWLFGTSFGNAVFMFRTCLSHDKIWNAQPVSDASVLQWSFSQRFSESKLQQGLGFSHVLYAWKNE >CAK8564204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657386825:657387886:1 gene:gene-LATHSAT_LOCUS17527 transcript:rna-LATHSAT_LOCUS17527 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKLLLLLIPLLFINVAANFNQDFQITWGDGRAKILNNANLLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGGAWDEIDFEFLGNLSGDPYIVHTNVFSQGKGNREQQFHLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNLERIGVPFPKNQAMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANNACIWSSGKSSCKSSPTSASWLSQELDSTGLQRMRWVQKNYMIYNYCTDKKRFPQGLPLECTHS >CAK8538726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493982186:493984199:1 gene:gene-LATHSAT_LOCUS7897 transcript:rna-LATHSAT_LOCUS7897 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKFHQYQVVGRGLPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVETMYNEMASRHRVRFPCIQIIKTATIPASLCKRESTKQFHNSKIKFPLVFKKIRPPTRSLKTTYKANRPNLFM >CAK8576577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494587290:494588195:1 gene:gene-LATHSAT_LOCUS28753 transcript:rna-LATHSAT_LOCUS28753 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIPFSPTSHHQPRSKSLPSKPHPLILQCNQHLASLESLDEINTSSLFARKLTDLQALHECVENLVLLPLTQQVLVQARQEKWIDELLDGSLRLLDVCSVAKDSLIHTKECARELQSIMRRKRGGEMEVTAEVRKFLASRKIVKKAILKALENLKTNVKKGKGKSLSPSNNKDHEKVTLVSLLRDVEVITLSMMESLLIFISGPAQSKPSNYWSLVSKLMMQNKKVSSTQEGDQNEFSNVDAALQSFVFNVTRKSENVNHLQNQLENLESVVQEFVEALEVLFKRFIRIRVSLLNILNH >CAK8574898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14231114:14231470:-1 gene:gene-LATHSAT_LOCUS27197 transcript:rna-LATHSAT_LOCUS27197 gene_biotype:protein_coding transcript_biotype:protein_coding MRFESFVLLFLILLASSTINQVISDDWSPIENISDPYVISTANFAVIQYNKQKGETLEFEKLIKGESQVIKDKSQVVIKTYYRLTLSAKSGSSSNNYEAVVLEQPFEYLRNLTSFKRI >CAK8567700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537208490:537210437:-1 gene:gene-LATHSAT_LOCUS20727 transcript:rna-LATHSAT_LOCUS20727 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLIWGFSAFLNMLFTPMWLVFFLGVIVGWLWKPNWASLGKDKLASSLAKSWDFASPNSASPVFSPFKFFSSSSSPFVNSSITMQTPNPDSLGIKKDMNKKASSSSTPTKYDSSSSTPNSSEDTANGVTIDDLHHLYKLVEEKDGGLPWIHMMDRSTPTLRYQAWRREPKDGPPQYRSSTIFEDATPEVVRDLFWDDQFRPKWDDMLINSTTLEECPTTGTMKVHWVRKFPFFCKDRDYVIGRRIWECGRSYYCVTKGVDCPSIPRQEKPRRVDVYYSSWCIRAVESKRGNGQLTACEVLLFHHEEMGIPWELAKLGVRKGMWGTVQKIEPGLRAYQEAKASGAPLSRSAFMASVNTKISPEYLQSVGSSDDLSQIDSAITSDKPKGVNVPKMLVIGGAVALACSLDKGLLTKYLLFGVARRFANMGKR >CAK8532691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:483304880:483307252:1 gene:gene-LATHSAT_LOCUS2373 transcript:rna-LATHSAT_LOCUS2373 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTMGSLNNNSIDTVNAAATAIVTAESRVQPTTTPKKRWGSCFSLPSCFGSQKPSKRIGHAVLVPEPVAPTVPVANAAPNPSTTIVMPFIAPPSSPASFLQSDPPSSAHSPAAGLLSLSSLSVNAYSTSGPASIFTIGPYAYETQLVSPPVFSNFTTEPSTASFTPPPESVQMTTPSSPEVPFAQLLASSLDRARKSNGTHKFALYNYEFQPYQQYPGSPGAQLVSPGSVISTSGTSTPLPDRRSSLEFNRGEAPKILGFEHFSTRRWGSRMGSGSLTPDGAGQGSRLGSGSLTPDGVSHASRLGSGCTTPDGLGKDSRLGSASLTPDGAGPTTRDSIHVQNQISEGVSVANSEHGHQSNATTVDHRVSFELTGEDVARCLANKTGALLRNMSSSSQGILAKDPIDREKILKETNSCCDVCSGKTVGGEQCCQKRNSVSSSSSKEFNFDNRKGDASGASTNGSAWWTNKKIDGKEGRSVNSWAFFPMLQPDIS >CAK8533225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592608731:592609243:-1 gene:gene-LATHSAT_LOCUS2870 transcript:rna-LATHSAT_LOCUS2870 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCIKCECRPIISDPKEVERHLKRKGFIKNYWVWTYNGEQLPSNVYAETTNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEEMNTPLFEGSEDSKLSMCVRLLAAKSNWNVPDRCLEY >CAK8538746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494956031:494957989:1 gene:gene-LATHSAT_LOCUS7913 transcript:rna-LATHSAT_LOCUS7913 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLVGVTATTMAVPSLMLTTTRRKPICLCVSSTTINTEDLRSQLDQLHAEAHTTRTKANSARLRLLRLSESAEKLQKQAAISIQKGDEDYARDTLLQRKQVLQALEKSKIRIELLDELSAKLSEAISLKESQLIGNVTMNIEDTTQDDSSPVRIIAPKEEVLEDSLNDDSDSGKMKFNDIQDVKISLESQENPLDDKEIDNLLKSLSTDTGNENSVSSSLSKISSYEDFIEHIDQKLSEIESELVSVLNVSILVLDKEERPKNFRWQQTTELLESIHGIRLRIRNAKEAKVRI >CAK8573273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599349140:599351554:-1 gene:gene-LATHSAT_LOCUS25741 transcript:rna-LATHSAT_LOCUS25741 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPPKPINTITITIMLAFTFFLIFFSSGFLHFPSVSPSLPPIHHSFTLPSVNSSSEPFSDLLSSFRKWDSRVGCGKFREKTNGVLLNQSKVVSLQEFGGGCGGFKVNHVSVLVKGWTWIPDNLDNLYSCRCGLSCLWSKSNVLADKPDALLFETSTPPIQRRVGEPLRVYMDLEAGRKRSGREDIYISYHAEDDVQSTYAGSLFHNGRNYHVSNTKDSDILVYWSSSRCLPQRNELAKKLLGLLPNHSFGKCLNNVGGLDMALSFFPKCENDANTKPKWWDHLHCAMSHYKFVLAIENTFTESYVTEKLYYALDSGAVPIYFGAPNVMDFVPPHSIINGREFKSLEELATYVKAVANDPVAYAEYHAWRRCGVMGNYAKTRSVSLDTLPCRLCEAVSRKGGRSARS >CAK8575572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:176939063:176939717:-1 gene:gene-LATHSAT_LOCUS27825 transcript:rna-LATHSAT_LOCUS27825 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASRICHLLLRFLAFSATLSAVIVMVSSHEKATFFTVSFEAKYTNSPSFKYFVIANSIVTVYGFFILFLPAESLLWQLVVATDMVLTMLLISSISAALAIAQVAKRGNSYAAWLPICSSVPKFCNHMTGSLIASFVGVVIYMILLLLSIHRVLDPLLLRKT >CAK8569174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678910165:678913345:1 gene:gene-LATHSAT_LOCUS22058 transcript:rna-LATHSAT_LOCUS22058 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSAYNSPFWTTNSGAPVWNNNSSLTVGSRGPILLEDYHLVEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNYDLVGNNFPVFFVHDGMNFPDMVHALKPNPQTHIQENWRILDFFSHFPESLHMFSFLFDDVGVPQDYRHMDGFGVNTYTLINKAGKSVYVKFHWIPTCGVKCLLDAEAVQVGGSNHSHATKDLYDSIAAGNYPEWKLYIQTLDPAHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIYYSDDKMLQTRVFSYADSQRHRLGPNYLQIPVNAPKCAHHNNHHEGFMNAIHRDEEVNYFPSRHDAVRHAERVPIPTTHLSAKREKCNIPKENHFKQPGERYRTWAPDRQERFLRRWVEALSDPDPRITHEIRSIWVSYWSQADRSLGQKLASHLNMRPSI >CAK8563733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627560878:627561210:-1 gene:gene-LATHSAT_LOCUS17112 transcript:rna-LATHSAT_LOCUS17112 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLWNLSGKFDSLWVKWVHTYYFKHCDFMTAIPTSYQSWIMKKIMTSRDNICTVQHIWDEKLAKDKFSMKSMYMLIRYDVPKVSWYQLLRGNGARPRAAMTLWLACHG >CAK8535739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878882734:878884800:1 gene:gene-LATHSAT_LOCUS5167 transcript:rna-LATHSAT_LOCUS5167 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLNKFFKSSSDSTPLPAAATGDGDDDLANWNWENNQHPIINTYTRTRRNPNPIPSPASVIEKPIVVKNKKRSYAQFHLELGQSDFLLRACSTCRIQFTPGDVEDEKLHAQFHKRYTQGIQFRGWNNERIISSDKIGRVILVLDNDPSSHRNKVQEVVKMMEIEMGSGWIAHQLCKVYLFISLQRIVGCVVAEPIKEAFRVASCSDDSHSASARKREKKLRPTTLQFGNIVFQREVGKSVVNVSDSETLDDRAFSCESKPVAAVCGIRAIWVTPSNRRKHIASQLLDTVRKSFCTDELERAQLAFSLPTLVGKAFACSYSGTRSFLVYKAV >CAK8565640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296806396:296806644:1 gene:gene-LATHSAT_LOCUS18834 transcript:rna-LATHSAT_LOCUS18834 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTILSALLLLCILFLFVADQGKKKFQANAEDNSDELVDPPKVEDKIDVIPQGLSTDLDVAKKRSGVDLEEIATKQLGEV >CAK8537112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:100263101:100263436:-1 gene:gene-LATHSAT_LOCUS6426 transcript:rna-LATHSAT_LOCUS6426 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVTYVTYKFNINGYHTKTIQEKRGLRQGDPISPLLFVILMEYLNRCFQKMQKNPNFNHHAKYEKLHITNLSFVDDLLLFSIGDCMSVELIMEAFNGFSDSTGFKLNPAK >CAK8533962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675347236:675348427:-1 gene:gene-LATHSAT_LOCUS3544 transcript:rna-LATHSAT_LOCUS3544 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFEIIFTLFLFAIIPSIAQVDATFSKSMYITWGAQHASLQGDDLQLVLDKTSGSAAQTKIPFLFGTIESRIKLVSNNSAGTVTAYYLSSTGNQHDEIDFEFLGNISGQPYTIHTNVYTQGNGSKEQQFHLWFDPSTDFHNYTIHWNPTEIVWYIDSIPIRVFRNYENEGIAYPNKQGMKVYTSLWNADDWATRGGLVKTDWSNAPFTAKFNHFRARACKWNGMVSISQCSSNIAANWWTLPAYKQLGYARLGQMNWVRENYMIYDYCGDTKRFNGQMPPECFKAQF >CAK8576954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527899729:527903104:-1 gene:gene-LATHSAT_LOCUS29108 transcript:rna-LATHSAT_LOCUS29108 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKWIIPTSLFLLTFLILLKLTQKKQSNHKNLPPSPPSLPLIGHLHLIKQPLHRSLHNLANTYGHVFFIHVGTRNILVVSSPSAVEECLSNNDLTFANRSVTLAGKYLNYNNTTLGFSSYGDIWRKLRRLTTMELFSANRLAMFTKVREDEVKLLIKQIFEGCKGEIMSKVDLKTKTLELSFNIMLRVISGKRYYGEDDVALGGKEFQILMNEYIELLGSGNLNDFFAILEWIDFQGKKKKMVKLMKKMDSFLQKLVDEKRRNWSNDQRNMTLIDVMLDLQQKEPEFYTEEIVKGVILVMLVAGSETSATTMEWAFSLLLNHPEIMNKVREEINTCMHQDRLMNESGASKLTYLQNVIMETLRLYPVAPLLIPHESSNDCNVCGFDIPKGTMLLVNLWTLHRDPNLWVNPTRFVPERFEERELDSEIYTMIPFGVGRRACPGSVLAKRFMANAIASLIQCFEWKRIGNEEIDMAEGIGLTMPKVEPLVALCRPRQVMVKVLSDI >CAK8568070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569139375:569146069:1 gene:gene-LATHSAT_LOCUS21066 transcript:rna-LATHSAT_LOCUS21066 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTKLNDSPMFRQQLQGLEENAESLRSRCWKFFKGCRKYTEGLGEAYDGDIAFATALENFGGGQSDPLFVTLGGPVMTKFSIALREISTHKELLRSQVEHMLNDRLLNILNVEIIDVKEARRRFDKASLVYDQAREKFMSLRKSTKFDVAAVIEEELHNARTIFEEARFNLVGALHNIEAKKRFEFLEAVTGVMDAHLRYFQQGYQQLQELEPFIIEVLAYAQKARESYNEEQISLCERMVEYKKLSYQESRFSLNGPYGSPSGEGAQMHPFSRISNSVVDVVAESAASGKVLVIRQGYLSKRSSNLRGDWKRRFFVLDTRGMLYYYRKSLQANSTNQQSSQRNCATENSAGILSKLLSSQYHGVIPDEKSVARHTVNLLTSTIKIDAEQSDLRFCFRIISPSKMYTLQAENALDQMDWMEKINGVIASLLSVQTLGGKAISADSESAESDSSSNVDSLQSLLEYDQLVSGEFASKNSVTNKSSEDIQKNRPSVKIEQPIDILRKVSGNDKCADCGKPEPDWASLNLGILVCIECSGVHRNLGVHKSKVRSLKLDVKVWDSSVLTMFQSLGNLFANSVWEELLHKTSNPQTDETPYGCSPRATKNKLCHIKKPEHDGPISLKEKFIHAKYSEKVFVRRMPKSHHLLSVAQQVLECIYANDKKAVYRYIVKSDVDINSASGEALSSFFSNMSSSIDSNSSSTSKVQLMEDIQEGSSVLHLACLTSDAGMIELLLQYGADINAIDSRGRTPLHYCILRGKNAAAKLLITRGANPLTVDKEGNTPLKLAPESGTIGKDILALLTTSR >CAK8563289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590455475:590455858:-1 gene:gene-LATHSAT_LOCUS16715 transcript:rna-LATHSAT_LOCUS16715 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPCGLILPKPDNGPLLQYAATASFLSKLYSGYIDHLKISGASCETYEFSVATVRDFASSQVNYILG >CAK8540025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536288737:536292811:1 gene:gene-LATHSAT_LOCUS9064 transcript:rna-LATHSAT_LOCUS9064 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSRLEREETVTHCKSRKRYMKNLVQARHAFSAAHVMYIRSLRSTGSALFHFANAEKTTHLNHHLPPEPQPILPPPPPRAPSSMPPPPPPPMSPSLSSYTWTSGTGSSPLPPPPPPPPPPPVMSSGWDFWDPFMQQQQPPPPSSRSATEEEWEATTTTGSEVVVMAGGAAASMATPSSGVVGFSKETPSELAMVVSRNSKDLVEVIKELDDYFLKAADAGSHVSFLLQVPTSGFSDYSKSSKMYGNGWSLSPSMWAWGSSSPKLNGFGKLTQEVSVGSFRANNNGVGNGGHSSTVERLYAWEKKLYQEVKNAKLIKMEHEKKAAMVRKLEMRSADYLRTEKAKKEVEKLESQIMVSSQAIDSTSAEIVKLREIELYPQLIELVKGLMCMWRSMYECHQVQMHIVQQLEYLNTIPSNNPTSEIHRQSTLQLELEVQQWHQSFCNLFKAHRDYIESLSGWLRLSLYQFSRNPLSRTTEESKIYTLCEQWHLAVEHIPDKVASEGIKSLLTVIHAIVVQQTEEHKQKKKSDYAFKEFEKKVVQLRSLECKYGPYSMSERSGSVRRTKDPVVEKRAKVEAMRAKAEEEKTKHEKAVSVTRAMTLNNLQMGCPQVFQGIVGFSSVCMEAFESVYNKAKVAEQEREVKRILP >CAK8573226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594637921:594639342:1 gene:gene-LATHSAT_LOCUS25698 transcript:rna-LATHSAT_LOCUS25698 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEEDELLNLSLSVNRERKKKGKIITRENTNNHNHHNRNNTFSMICTNRNSYEGYEGKIFRLLQMREQMLRKTTINVEDSNGLPLIHLLLTTATSVDENNFDSSLENLTDLYQTVSLTGDSVQRVVAYFTDGLTAKLLTKKSPFYEMLMEEPTIDEEFLAFTDLYRVSPYYQFAHFTANQAILECFENEEERNNRSIHVIDFDVSYGFQWPSLIQSLSEKATSANRISLRITGFGKNLKELQETESRLISFSKSFVNIVFEFQGLLRGSRIINLRKKKNETVAVNLVSYLNKLTCLLKISDTLGFVQSLNPSIVVIVEQEGSKNPSRTFLSRFTDTLHYFAAMFDSLDDCLPLESIERLRIEKKLFGKEIKTMLNNYDIDDGGGGVDCAKYEKMETWKVRMENHAFVGMKMSSKCLIQAKLLLKMRTHYCPLQFEEEGGGGFRVSERDDGKAISLGWQNRFLLTVSAWKSL >CAK8531532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121800986:121802035:1 gene:gene-LATHSAT_LOCUS1316 transcript:rna-LATHSAT_LOCUS1316 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYISIVVVIASLIASSTANSEILLSDVNLLEFPLNLEYLEAEFFLFGSTGHGLDKIAPELAEGGPPPIGAKLAKFNDTVIRDIIYQFALQEVGHLRAIKNTVIGFPRPLLDLSQSSFAKVIDKAFGRPLRPSFDPYANDINYLIASYLIPYVGLTGYVGANPLLQNASSRQLVAGLLGVESGQDAVIRGLLYERHAWKVMPYGVTVAEFTDRISKLRNILGNEGVKDEGLDDTFSSGSILAGDKYSLAYSRTPKEILRIVYGSGNETVPGGFYPKGGNGHIARSYLK >CAK8567726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539365540:539366043:-1 gene:gene-LATHSAT_LOCUS20749 transcript:rna-LATHSAT_LOCUS20749 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLTYNICGSGSSIKRIRLRKNILAGKADICFIQETKNQSMMDEVVNSLWGNKACGWSTLDAIGQSGGILIVWRDKVICLTYNFKWTRFLGINAMWNGLNCYFVNVYSPCGVAEKCKLWSDLIEWKNKIPKGEWLTGGDFNAIKTLEERRGHGRVGGVLTFIKTTN >CAK8561160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108419597:108422112:-1 gene:gene-LATHSAT_LOCUS14773 transcript:rna-LATHSAT_LOCUS14773 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQQNMTCTRFLNFILLHIFLFAFTNAQLPYTKFSYCQNSTEKTNNTAYQSNINKVISWINSDSAAGTVSNQTTIHSSNNNNDDDVYGFYDCRGDVRGTFCQFCVNTATRDIGQRCPSSVSAIIWYELCVVGFSNHNIQGKVYTTPTWNFTGTKTVKDSTELGKAENYMRNLIGKVTSKEDNANWAFGEFDWSDNEKRYGWVQCNREISKDGCRQCLEAMLDIVPRCCRTKVKWAVLSPSCGIKIDDNKFYNLQTGSPSSPSPNPGKKKGGSNTKTLIIIIIVSVLVAVALLIYCVYYWRKNGVSKGELLMRRTINPISFRDRVQSEDSLNGDLPMIPLTLIQQSTDNFSESSKLGEGGFGPVYKGILPDGTQIAAKRLSETSDQGSEEFKNEVIFIAKLQHRNLVKLLGCCFEENEKILVYEYMSNSSLNFHLFDKEKHKHLDWKLRLSIINGIARGLLYLHEDSRLRVIHRDLKSSNVLLDDEMNPKISDFGLARTFEKDQCQTKTKRVIGTYGYMAPEYAMAGIFSVKSDVFSFGVLLLEIIYGKRNGDFFLSEHMQSLLLYTWKLWCEGKSLALIDPFHKNTYVESEVMKCIHIGLLCVQEDAADRPTMSTVVRMLGTDTVALPKPAQPAFSVGRMLKNEDQVSKYSKDNSVDEVTLTSVSAR >CAK8561161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108419597:108422091:-1 gene:gene-LATHSAT_LOCUS14773 transcript:rna-LATHSAT_LOCUS14773-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTRFLNFILLHIFLFAFTNAQLPYTKFSYCQNSTEKTNNTAYQSNINKVISWINSDSAAGTVSNQTTIHSSNNNNDDDVYGFYDCRGDVRGTFCQFCVNTATRDIGQRCPSSVSAIIWYELCVVGFSNHNIQGKVYTTPTWNFTGTKTVKDSTELGKAENYMRNLIGKVTSKEDNANWAFGEFDWSDNEKRYGWVQCNREISKDGCRQCLEAMLDIVPRCCRTKVKWAVLSPSCGIKIDDNKFYNLQTGSPSSPSPNPGKKKGGSNTKTLIIIIIVSVLVAVALLIYCVYYWRKNGVSKGELLMRRTINPISFRDRVQSEDSLNGDLPMIPLTLIQQSTDNFSESSKLGEGGFGPVYKGILPDGTQIAAKRLSETSDQGSEEFKNEVIFIAKLQHRNLVKLLGCCFEENEKILVYEYMSNSSLNFHLFDKEKHKHLDWKLRLSIINGIARGLLYLHEDSRLRVIHRDLKSSNVLLDDEMNPKISDFGLARTFEKDQCQTKTKRVIGTYGYMAPEYAMAGIFSVKSDVFSFGVLLLEIIYGKRNGDFFLSEHMQSLLLYTWKLWCEGKSLALIDPFHKNTYVESEVMKCIHIGLLCVQEDAADRPTMSTVVRMLGTDTVALPKPAQPAFSVGRMLKNEDQVSKYSKDNSVDEVTLTSVSAR >CAK8563483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608968061:608970058:1 gene:gene-LATHSAT_LOCUS16884 transcript:rna-LATHSAT_LOCUS16884 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDGRDPFKGVDWKAVGGEMQQNPNVQPSLAKRLPKKIRLVPEFYFLPKWPLPSALLFCSACIAGGVGAGMLVEAWIDKKVKDDGGVIWEFEK >CAK8561407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:201613095:201613748:1 gene:gene-LATHSAT_LOCUS15005 transcript:rna-LATHSAT_LOCUS15005 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAFDVGAVPFNPDGWGPMDSTTAANNNNDLPLNVPFAPFSRSDKLGRIADWTRNFNNQTRSKNPADSAFDFTLDDSFPGNADDDATFRLVDGKPPPRPKFGPKWRYQQQRQLPQRRDEEVEAKKREAEKERARRDRLYHQNRSNPNNPRREAAVCKSSVDIQPEWNMHDQIPFSTFTKLSYNVPEPEDLLLCGALENYNPSFSSFLFVLYFFIY >CAK8536407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938638173:938639178:-1 gene:gene-LATHSAT_LOCUS5778 transcript:rna-LATHSAT_LOCUS5778 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYQKAGQSCSSTKVERKIVEKNRRNKMKTLCSKLNSLCPNYNPKEALPLLEQVDNAINYIKSLEANLKSAKEKKESLMRNKRSHSGCSSSCGVKGSIKSPKIEIHENGSSLQVIVTCGVDDKFIFYEIIRILHEDHVEVISANSSIAGDLVIHVVHAENSQLLFQFGATKVGERLKRFLNGSEGEVQIEPQLWDSEVGTETWDLNSIVNKFLPNTL >CAK8569808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12178338:12178649:1 gene:gene-LATHSAT_LOCUS22617 transcript:rna-LATHSAT_LOCUS22617 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFIILLILSIHFGNQVEMLEAKECNKVVAGLCRKSGRSVQCKIQCKALCGSFSARGECGKDKDCHCSCC >CAK8567095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486480029:486484803:-1 gene:gene-LATHSAT_LOCUS20179 transcript:rna-LATHSAT_LOCUS20179 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEGKSRTDDVDLLKKERRREKKRKKEEKEKEKKERKENGEKEGRDRKHKDKKDKKEKKKKRDKDKDKDNDKDKSKISATDRKGFPAQAQGLNDGNNLHQKEIKQNDKAFLFDDRLTKQYASYNGEKARENNHQVDENRDSKFRMELDRRIRDSDGGAGNPLAQKFSTADRGKDEETVMLVANSIGAGSSTWLDGKEKFQNRGVNAKKIVGRGIQAETQSIGNATVQNHIVDGKKIGTWPDGKEKFQDKGVDTKKIDGRGIRIEARPIENVTVQNHVDGKKIGSWPDGKEKFQDKGVDSKKIDGRGIRIDARPIGNATVLNHVDGKKIGTWPDGKEKFQDKGADSKKNDGRGNRIEARPIGNATVQNHVDGKKIGTWPDGKEKFQDKGVDSNKIEGRGIWTEARPIGNATVQNHAGNCLPRVDEKPRPLENNFDKILVGTERAKEKKDEKRRDKRKDDKKGDKRKEKDKEKKGHGKDKDRDKEKKKEEKAKQKIEYRNGEQNKLKDSNKVSPVGPNSSTQVSKNYHENSIFEENLKKRKEIDSNGVLHANDSRPIKLPRPSSSHPFSENGRTLEPCQISLQSVSDGPRGPINGKLENKERKKNGIIVVSPNKIPTAIVPADPATKVSISVVSPNKIPTATVPDDPATKASTSVVSSNKVPTATVPDDPATKVSTSVVSSNKVPTATVLTDPATKVSTSVVSSNKVPTATMPTDPVTKVSTKPPHPDTKYISQVYSVPKANEWSDFVDQEWLFDNNHSQEQKPVVKSSEVADTLQVWAEAVHIEPADVFALPYVIPY >CAK8565617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:293161392:293162896:1 gene:gene-LATHSAT_LOCUS18814 transcript:rna-LATHSAT_LOCUS18814 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFQEPVVVSDPSQIEVKLFNRWSFDDIQVSDVSLSDYIGVSASKHATYVPHTAGRYSVKRFRKAQCPIVERLTNTLMMHGRNNGKKLKEVKIMRHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLVTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >CAK8571124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308246073:308263212:-1 gene:gene-LATHSAT_LOCUS23815 transcript:rna-LATHSAT_LOCUS23815 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFAIGSAFDSKSGQIIMAALLLMIVSFYAGTLFGNNAPLYISNLPSSSNTFSSNGTFRFTNKLALTYRKTPLLIPHTGVTVCPLRFNEYIPCHDVSYVTTLMPNLDVSRKQDLERHCPPLENRLFCLVPPPKNYKIPIKWPLSRDYVWRSNVNHTHLAEVKGGQNWVHPKDQLWWFPGGGTHFKNGASEYIERLGHMITNETGDLRSAGIVQVLDLGCGVASFSAYLLALDIRTMSFAPKDGHENQIQFALERGIGAMISAMSTKQLPFPTGSFEMIHCSRCRIDFHENDGILIKELDRLLRPNGYFVYSAPPAYRKDKDFPVIWDKLVNLTTAMCWRLIARKVQTAIWIKENSQPSCLLKNAKQKVIDVCDVDDESKPSWNIPLKNCIQVRNSNTELYKLPPSHERLSVFSERLNKIGINRDEFTSDSLFWQDQIRHYWKLMNVKETDIRNVMDMNAFYGGFAVALNNFPVWVMNVIPASMKNTLSGIYDRGLIGTFHDWCEPFSSYPRTYDLLHVNYLFSHYKNIGEGCALEDIMLEMDRLLRPLGFIIIRDEEHIMSRINELAPKFLWDVESHLLENKEKKMETVLICRKKFWAIL >CAK8542951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561790742:561794521:-1 gene:gene-LATHSAT_LOCUS11746 transcript:rna-LATHSAT_LOCUS11746 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSPDQESIGSGTKRSSVSSGSRSRNQKEFFYKFVESDNLNEKLVDWFESVTEKYTLKQQVFDVPFELIELQKFDYALEGIPFQQLTRMPNAVHASTSEAVEATSCLAIEDFLHASMKGLWEAFWSQDEPMPFSAACLYNANMKFYQAENAIANGRLGGLCGTGILLNNSRHPHGKWDHLLELTLLRTDIRGLAVGSDRQPSLPVLGEALFYAIRMLLARSLSRLSFFPDPSTVFVLLVDSQYGGVVKVEGDVSKLNFDVNNVYECAAEWVKNHSRISVSPIYRIWNKLGNANWGDIGALQVLFATFHCITQYAGMPKYSIEDLAADHSSRLQTRRIERQLGDTTRVNGNGLFRYQQRSVSPEIVEVQDDYVNVDSKELMKLEEGSLLWLEDSAGQKGYQIQEVINTGELTYYIASYVEDPGIDLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSNKYLPELIAFGRIVHPGHCRRPSSGGNCDHPWCGTPVLVTSPIGETVAEMVESGRFGSDEAIKCCHDCLSALSTATSAGLRHGDIRPENVICVRSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYACGGVFPDLDSVEGALQWRETSWSRRSIQQKLGDISTVLKAFADYVDSLCGTPYPMNYDIWLRRLTRNIPEDDHGKEIVRAC >CAK8577517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570531134:570532078:-1 gene:gene-LATHSAT_LOCUS29618 transcript:rna-LATHSAT_LOCUS29618 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIAFDLPPFMKVYKNGLVERLLGEEVVPASLDPTTNVESKDVIISKEDNISARLYIPKTNYPPTQKLPVFVYFHGGAFCIENPASPNYHNYLNSVTSLASVIGVSVHYRRAPEHPVPVAHEDSWLALKWVASHVGGKGSDEWLNQFADLEKVFLGGDSAGANIVHYLGIRAGKENLGGVKLEGGVYLHPYFWGVDPIGSESGRGEFVEKVHNLWRFSCPTTTGSDDPLINPAKDPNLGSLGFKRVLVCVAEKDLLKDRGWYYKELLEKIGWGGVVEVIETKDEDHVFHMFNPTCENATILLNQVVSFIKKA >CAK8573063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580485068:580490056:1 gene:gene-LATHSAT_LOCUS25554 transcript:rna-LATHSAT_LOCUS25554 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTEDLGAPESWEVADLDDSMNRLNLLISSNKDSKPHDHTDGASPHLPSSSSSTTGDKVFDDAVNQVDQFLREAIQNPRERLSVLRMEQDVLNFIQDPNQQQIEFNQLPTSYLRLAAHRVAQHYSLQSMVLLDNNSLPDGSGSRIIVRKTAECKRPIIRLADIPVKLPSENNAVMKVAIKSRPQKQTHVLNNANSNSGKKNNSKSVEERKEEYNRARARIFSSSNNGGTVVGKPECETRQLDNSLHGSSGFTRGEDRNASVSDISSSRGVVGSSTNTGRGLVESSTYTSRGLVESSTNTSRGLVESSTNTSRGLVDSSTNTSKARSRTEKEPVGRYRQSNRVAIFRDREIDRKDPDYDRSYDRYMQRFDPGFGFNGGSYPMQPMYAPVLNYNTEFPQLGSPHVPQRSAEHQPRPLPQHISGQHMSGQHISGTWVAQSTPAGIGYGHPETMMSPFNHNQVGAHSSSTMYLHSPQYPCQHPGMPFIHPEHIHQPFAQSHQPPPEANFGLARPR >CAK8564767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9018779:9019597:-1 gene:gene-LATHSAT_LOCUS18037 transcript:rna-LATHSAT_LOCUS18037 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGNYSVMKLCCSSNRAITLIQKVIAEVIGTYFLVFAGCGVVAVDKIYGSITFPGICITWGLIVTVMCYSVGHISGGLFNPAVTITWAIFRRIKFIEAPLYIAAELLGSTLASLTLSLMFDITPKSYFGTVPVGSSGQSLAVEFIISFLLMFVISAVTTDHRAVDDSASIAVGMTITLNLFIAGPVSGASMNPARSIGPAIVVHIYKGLWIYVVGPILGAIAGALAYNFLRSVYKPRAEKTVETPGSEITIEKPNSDLTAEESLRSISIG >CAK8534715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757329964:757332236:-1 gene:gene-LATHSAT_LOCUS4235 transcript:rna-LATHSAT_LOCUS4235 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKSQVKRGPWSPAEDLKLIAFVQKFGHENWRSLPKQAGLQRCGKSCRLRWINYLRPDLKRGNFTLEEEEIIIKLHKALGNKWSKIASYMPGRTDNEIKNVWNTHLKKKLVIKNSDSTSGDESKLESSISSPSSSESNLSNKASIKDSEKQFSSKELVIINEDPKGSSNSLSYSIESNKILNSAEIVDNKIEQQHLTCLGSYDIDKILEDVENLNSLIEIPWESDYDLWNFIENIESNDQSNVGEESVIQDVVENEFGVVGEIKESKKKEEVLPKNYEVEQDIDPHEAFDFNDIIMSDSELDFGNIQLWSSFSENNSPKV >CAK8579686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716520571:716523141:1 gene:gene-LATHSAT_LOCUS31613 transcript:rna-LATHSAT_LOCUS31613 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPIDGNLGPLPEAQLTQEEVEERNERSSIEEVAPTSVATHTRTIGIIHPPPDIRTIVDKTSQFVAKNGIDFEKRIVANNAGNAKFNFLNSSDPYHAYYQHRLAEFRAQNQSSTQLPGDSALPESATPAPATDSNSNDVVMTEKPDVSAQFRPVRKVLDPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFMKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSIPDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERIQMAMIDWHDFVVVESIDFADDEDEELPPPMTLEEVIRRSKMTPMEEDIVEPGKEVEMEMDEEEAQLVEEGMRAASLEDNNEVKKNEVRVTEDPEPPMRIVKNWKRPEDRLPADKDSTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKNDEQPKQVIWDGHSGSIGRTANQAMSQNIGIEDQNDASNNEFKNLPGPAAPPPRPGMPSIRPLPPPPGLALNLPRGPMNNMQYSNPNNSGFPMPPPRPPGMNMMQSLRPAPPPPMQMSSGQHSMMGGQPHPMHPSIPMNNQGIPIPPPPGSQFTPIPVPRPYAPLSHPPSGMPMMHPPPPPQGLPPPPPPEEAPPPLPEEPEPKRQKHDDSALIPEDKFLAQHPGPARISISVPNVDEGNLKGQVLEITVQSLSETVGGLKEKIAGEIQLPANKQKLSGKPGFLKDNLSLAHYNLSGGETLSLALRERGGRKR >CAK8530142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2776684:2782711:-1 gene:gene-LATHSAT_LOCUS32 transcript:rna-LATHSAT_LOCUS32-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGSPPQISHTSPQGTTVGADGDYADQQPDVSYTSYFQQPGLHKSEAMPYRSSVSPSVGMQYKPVSGSVFAKNYPKSSTLENQNSSQYAHRTGSLTSRLPKILTKTIKEMEIQKKAPHLHSNFTAAAPPRTYNQTRNVSSCANPRSHVFPHTNYRPSVSSNYRPNSSTNYRPNSSTNYRPNSSTNYRPNSSTNYRPNSSTNYRPNSSTNYRPTGGVSNVNDRFLLSEEFRSGVSEMSKEMTRGPRFHQKSSHPRSSVVKDEFAITICRDRYNLPDFQTKYENAKFYMIKSFNEDDIHKGIKHDVWTSTPHGNKKLNAAFQNAEAKLSETGTHCPVFLFFSVNASGQFVGVAEMLGPVDFKKDMKFWKLDKYNGFFPIKWHIIKDVPNRQFVHIILQNNENKCVTFSRDTQEIGLKEGLEMLKIFKSYQAKTCLLDDFDFYENREKLIRSDKITEHTGQKQEVYNNDSYYNTMKAREERIEMHSSGSNQESLVKLTKNLSLNPSVRQGFR >CAK8530141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2776684:2782711:-1 gene:gene-LATHSAT_LOCUS32 transcript:rna-LATHSAT_LOCUS32 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGSPPQISHTSPQGTTVGADGDYADQQPDVSYTSYFQQPGLHKSEAMPYRSSVSPSVGMQYKPVSGSVFAKNYPKSSTLENQNSSQYAHRTGSLTSRLPKILTKTIKEMEIQKKAPHLHSNFTAAAPPRTYNQTRNVSSCANPRSHVFPPNSSTNYRPTGGVSNVNDRFLLSEEFRSGVSEMSKEMTRGPRFHQKSSHPRSSVVKDEFAITICRDRYNLPDFQTKYENAKFYMIKSFNEDDIHKGIKHDVWTSTPHGNKKLNAAFQNAEAKLSETGTHCPVFLFFSVNASGQFVGVAEMLGPVDFKKDMKFWKLDKYNGFFPIKWHIIKDVPNRQFVHIILQNNENKCVTFSRDTQEIGLKEGLEMLKIFKSYQAKTCLLDDFDFYENREKLIRSDKITEHTGQKQEVYNNDSYYNTMKAREERIEMHSSGSNQESLVKLTKNLSLNPSVRQGFR >CAK8569513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3696326:3696634:1 gene:gene-LATHSAT_LOCUS22357 transcript:rna-LATHSAT_LOCUS22357 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMNAEYESHAHKTKGKSMTKEEFEAGKRIMGMLKKADMNKDGCYTKDEIKQALKSLGAYFPGWKAESCLQKLDGNNDGKISGDEIDDLINHLLDQGFGKK >CAK8564567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:238500:238855:1 gene:gene-LATHSAT_LOCUS17863 transcript:rna-LATHSAT_LOCUS17863 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKHQGTIPPQEVASATKTSWPELVGVSADEAEKKIKEDKPDAYIQVVPHDQPVVTPDFVFNRVRLFVDESNKVIRTPIIG >CAK8568111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574212845:574216510:-1 gene:gene-LATHSAT_LOCUS21106 transcript:rna-LATHSAT_LOCUS21106 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSVIGAMSISGKTTQDLAMEGHRYLEETIQYAYKILSSMNDELCNPAVWSAPSSAVTSHNAPSSNGDAASDSSGQHADGAASGGGTGGALDEARFRYKKAVAGLRSVLAAIPASQKTNTFGDGSAASPENEAEIEKLEEQASYLRKELGNKNLHLKILIDQLRELITDISTWQSPFST >CAK8579057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671042993:671043164:-1 gene:gene-LATHSAT_LOCUS31030 transcript:rna-LATHSAT_LOCUS31030 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVTNTFLQGKPFLPLPTKNFQQQ >CAK8566919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472485892:472490312:1 gene:gene-LATHSAT_LOCUS20015 transcript:rna-LATHSAT_LOCUS20015 gene_biotype:protein_coding transcript_biotype:protein_coding MADITHPPMEQLQDLEYCIDSNPPWAETILLAFQNYILMLGTSVMIPSLLVPAMGGSSGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPVAYIIGDSSLQRINDPHERFIHTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGIIQRGFPALGNCVEIGIPMLLLVVGLSQYLKHVRPFRDIPIFERFPVLVCVTIVWIYSVILTASGAYRHRPSMTQHNCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFATLGKFGAVFASIPFPIFAALYCVLFGLVGAVGISFLQFTNMNSMRNLIIIGLTLFLGISVPQFFDQYWTNSRHGPVHTNALWFNAFLNTIFSSPPTVGLIVAVILDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNKFFPPT >CAK8562407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486118435:486122218:-1 gene:gene-LATHSAT_LOCUS15905 transcript:rna-LATHSAT_LOCUS15905 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDAILSLPVQNPPSLEISSDDLVWSKVEGWHDKLDRVAIIPFARVADFVRGESNNKECPTRFHVEARRRRPPSFKQKVDGILEYILYWCSFGPDDHRKGGIVRPSRSTYVPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNDDKHVDKKGVPCHGPQDKKAAGTPAEFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLSQRYVRRQEREIRRSSYELDDDDSVSIDKWVGIHQNNVFFYEDFSESEPFILGIQTEWQLQQMIKFGNCALLASDSRFGTNKLKYPVHSLLVFNSEKKAIPVAWIITPRFSCLDAHRWMRALYNRVHTKDPNWKLAGFIIDDPHYDVLAIRDVFQCSVLISFWRVRHLWHRNIIKRLETGMQIKISKRLGWIMDSIFRRQGTMSLFEDFIEDFIDESNFMDYFKATWYPRMGAWVDGLRTLPLASQESCAAMEFYHNQLKIRLLNEKDINVYQRADWLVDKIGTKVHSYYWLDECSDKDDFARYWKNEWISGLTSWRKALKIPDADVVMEDGCAKVKDEHDQDKAYVVWNAGSMLSICDCYWAKEGNLCEHILKVFSICRNRGSVLPSISLLQYHQALKSMLHCPPFDSLIRDHAVSLAVSVQKQLNTLLEDSNQTAGGSNEKQIVIDIHQQNSRVASATQDETLVCERQVIKDILSRGAACGVSDNITGHTDMDVDETVSSNNALLEKKTTGLITLGNGISTSKNGAVFDVINEDICKDNNGMDVDPPTLDIASAMKASEAL >CAK8568443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606618721:606621369:-1 gene:gene-LATHSAT_LOCUS21399 transcript:rna-LATHSAT_LOCUS21399 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYYPYPTSAPSDGANFARNSYAGYIPSESPSLASPRPKSTEFPGYGTDYLHKDVSLFRTEPYGVDNTRGSRVHSEPNVVGYSPLEDPKLSTKRDTLPGVDPGIPDAIANNERSISKSSYDALPASAAESNILFVGGLPKDCTRREVGHLFRPFIGYKDIKVVHKEPRRSGDKAMIFCFVEFTEPKCALTAMEALQGYKFDDKKPDSPTLKIQFAHFPFRPPTDDRQ >CAK8575797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:327739574:327742486:1 gene:gene-LATHSAT_LOCUS28032 transcript:rna-LATHSAT_LOCUS28032 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLMQHMNTDIKVGGEHRSSLLQVVSIVPALAGGDLFTNQGFYVKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRLEAASPVPILRGVRPVPGRHACVGNPEDIVATNSLGFLSNGAQGECEVEVKVKKNGVCSKSPMKVLENHREQLDKKSMVFGRCKSQTTKDSVVDFVKKEKLARLKSLNSRTTVPSSPTSCYSLPSSFEKFSNGVKNQANINGVDRLIAKVGVVETGKGVRGVSPLGKRIVVGNSIRNLVQGIELGAKALRKSWEGNMEVKSKETSKSRGSSKFDSKPDFRSTTPRKSTSSEKFSSKDYESKTQTPTKSSKEENKIKKSIKKVIGDGTMEEQEKSSKPRNSFGKKSSEAGFPGNLVKVSINSKKVTDASVQWTSLPSSIAKLGREVMKHRDTALMAATEAMQEAAAAESLLQCLSVYAELSNSAKELNPQRTIDQFLTLHASLNSARMTADSLSKTIPEGSSSSPDNEIITTEEELKLKSDTQKLAASWVQAALSTNLSSFTIYNREPRSSKLQVSSTSNSQNQKNVLESKPVLVLENSREDASSKSQGKNRPTVANSKQTLPGTPRKQSDGLANGKKQLVQPLPDWIRGNGLDEAVNLADTLQLRSRDWFLLFVEKFLDSDGDIGLSNNGQIAGMLTQLKSVNDWLDEMVSSKNDGESCQIPVETIDRLRKKIYEYLLTHVESAAAALTCDPQSQSSEIKGKK >CAK8530161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3700042:3700449:-1 gene:gene-LATHSAT_LOCUS50 transcript:rna-LATHSAT_LOCUS50 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVILLQGRYAGKKAVIVKNFDDGTREKPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVVPDVLQSKDKKVTALKETKKRLEERFKTGKNRWFFTKLRF >CAK8578534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635782291:635791312:1 gene:gene-LATHSAT_LOCUS30536 transcript:rna-LATHSAT_LOCUS30536 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESTQLQQSQLAAILGADPSPFESLISQLMSSSNEERSQAEALFNLCKQTDPDGLVLKLGHLLHSSPHQEARAMSAILLRKQLTRDDSFLWPRLSPQTHSSLKSLLLSSIQTENSKSISKKLCDTISELASSILPDNAWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDSLTPHIKHLHDIFLQCLTSSAVNPDVRIAALNAVINFIQCLSGSADRDRFQDLLPAMMTTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQIVDVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKMPQFISRLFAILMKMLLDIEDDPAWHTAETEDEDAGETSNYSVGQECLDRLSISLGGNTIVPVASEQLPAYLAAPEWQKRHAALIALAQIAEGCSKVMVKNLEQVVAMVLNSFPDQHPRVRWAAINAIGQLSTDLGPDLQVQYHQGVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVIPYLKAILVNATDKSNRMLRAKSMECISLVGMAVGKEKFRADAKQVMEVLMSLQVSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMEFVMPPLLQSASLKPDVTITSADSDNDIDESDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAGTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQSQGRDVSYLKFLTDSIIPALVEALHKEPDTEICASMLDSLNECLQISGMLLDEKQVRSIVDEVKQVITASSSRKRERSERAQAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFEELSSYLTPMWGRDKTPEERRIAICIFDDVAEQCREAAIKYYDTYLPFLLEACNDETPDVRQAAVYGLGVCAEYGGSMFKPLVGEALSRLNAVIQHPNALHTDNVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCSMAERSDGELLGPNNQHLPKIVAVFAEVLCAGKDLATEQTAGRMVTLLRQLQQTLPPATLASTWSSLQPQQQIALQSILSS >CAK8539084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503434600:503436274:1 gene:gene-LATHSAT_LOCUS8216 transcript:rna-LATHSAT_LOCUS8216 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESDDSNKSNPKLTLLPLIALIFYEVSGGPFGVEDSIRAGAGPLLSLLGFLFFPLIWSIPEALVTAELATTFPHNGGYVLWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPVFNLFIARIPALLGITFSLTFLNYRGLHIVGFTAVFLTVFSLSPFLVMAILSVPKLRPTRWFDVDFSKVNWRGYFNNMFWNLNYWDKASTLAGEVENPSKTFPKALLGGIVLVVFSYLIPLLAGTGALSSSPTEWADGYFAEVGMLIGGFWLKLWIQAAAAMSNLGLFEAEMSSDAFQLLGMSKMGLLPAVFASRSKYGTPTVSILFSATGVIFLSWMSFQQIVEFLNFLYAIGMLLEFAAFITLRLKKPNLCRPYRVPLQTFWAAMLCLPPALLLILVMCLASLRTLFVSGAVVIVGFVLYPIMILAKDKNWLLFEAEQLSSPSNGWQECIPIVSELVDHENKDSELLVSSPLPIVDEELSLIQSDSNPS >CAK8577609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578223294:578225513:1 gene:gene-LATHSAT_LOCUS29700 transcript:rna-LATHSAT_LOCUS29700 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTCSFIFTFSFCVFFIFFILTENSSCLAILSKNTTRVPRQLRVDYYAKSCPQVEQLVGSVTSQQFKESPVSGPATIRLLFHDCFVEGCDASILIASKPGSKELAEKDAEDNKDLRVEGFETVKKAKEVVEKKCPNVVSCADILAIAARDFVHLAGGPYYQVKKGRWDGKISMASRVGSNIPRANSTIDELIKLFNTKGLTIQDMVALSGAHTIGFAHCKSFVTRLYNYRGKGQPDPDMNSKLLKALRMYCPNFGGNSDIVAPFDATTPFIFDHAYYSNLQNKMGLLASDQALASDTRTKSLVQDFAKDKQKFFQAFAAAIDKMSLVKVLRGKKHGEKRRDCSMHM >CAK8560315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14178494:14180567:1 gene:gene-LATHSAT_LOCUS13999 transcript:rna-LATHSAT_LOCUS13999 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLMMFQQQQQQVVEENMSNLTSASGEASASSGNRTEFGTSSSYQQQQQQQYLVPPTQSQPVKKKRNLPGNPDPDAEVIAMSPKSLLAKNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARVITNNTIPIIPSSQSPSSSHHHHHMINLQTQFNNHNQNQHQQQELHNNFPLLKKEPQQHQQQHQSFNLSEIPPWLGPQNVGEISSSIFSQTQQQQRQENPNPNLVHVHGPTLPPYQTVPSPHMSATALLQKAAQMGATMNRTASASSQAMNVRSHQVDSLNNVSSGNFGLNLLSSSSREHEHQQQQNTTAGTTTTTSSYLSNIHDVMFSSSSPSGFESTQFDEMFGGIMNPKKDHHETHSKTPTRDDGGGGGGGGNEGLTRDFLGLRPLSHSDILSIAEIGNCMNDEQNQSQKPLWQG >CAK8534752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763732759:763735681:-1 gene:gene-LATHSAT_LOCUS4268 transcript:rna-LATHSAT_LOCUS4268 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLTKLGVTMKSEEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEDGLAEAIDDGRIGPRDDPKIRSKILSEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALSEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAATLVTDIRKRKGLKEQMTPLSDFEDKI >CAK8541201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:88599247:88601220:1 gene:gene-LATHSAT_LOCUS10137 transcript:rna-LATHSAT_LOCUS10137 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVSFGRFTAESLAWEKWSTFSQNQNRYVEEAERFSKPGSVAQKKAFFEEHYKKLAAQKAAALLEQARIDEAESAKPEVVDTEENAAAVKEDKTVVGNSMQTQFEDVQNDDTSKDLGEKKGSNFGREVLQSMDKSTCPIILDRNNISTPMNNKASLRKKRSKAKSLPMSVKFALIRQISRLNSMLMKKFETTRVGSGSSIASKDIWVPPTTPTKACKNELQKHPSFSPLSEKKRNIMKSPTISSQLSLRTEERTPRRNKERSHKKNRNLRLCFCFKPRPIPEFNKERDDSNRGTEEDSLTLTPGRRVTSRVRSKGSLSSESIITYENMSPNIQHGIKNERND >CAK8578771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653532865:653552238:-1 gene:gene-LATHSAT_LOCUS30756 transcript:rna-LATHSAT_LOCUS30756 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTMGMVLLTLRYFAGPSVPLYVLFTVGYTWFVSLSIIILVPADIWLTISSLSDNGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVSERLKTSIHVNLVFYLILGSIGLVGLILLIMMQRHWSGSILGFAMACSNTFGLVTGAFLLGFGLSEIPKNVWRNADWTTRQKVLSHKIAKMAVELDDAHQELSNAIVVARATSNQMSRRDPLRRYMDVIDGMLTQMFREDPSFTTQGGRLGGRDMDYDTDEKSMATLRRHLRGAIEEYYRYKSEYMTYVLEALELEDTTKNYERRNIIGWKYMSSIRPVRTGKLGSLFDTLEFFWRCILRIQVEKGFAVILGIMSVAILLAEATLLPSVDLSLFSILIKSVGTQEMLVQAFAFVPLMYMCICTYFSLFKIGRLVFYSLTPRQTSPVNLLMICSMVARYAPPVSYNFLNLIRLGSDKTTIFEQRMGNIDNAVPFFGDKFNKIFPLIMVIYTLLVASNFFDRVFDFLGSWKRYIFKTEAEDLDGFNPSGLIILQKERYWLEQGRKVGEQVVPLARNFNSVVVEPSNNVMEENAVEMNGTSTLTNADSNGHLSKTLKEETRRYTSSRDAISSKYAAVRQQSGLASKSKAEERNLDSAKVSLLDKGNTHYGNTSGFVSTWQTLKKGFTSFKADVGDVNGFLPIRQTHQDRISSVSSSESLDDIFQRLKQPSMDQTSYSDSSSR >CAK8572048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505013382:505015862:-1 gene:gene-LATHSAT_LOCUS24656 transcript:rna-LATHSAT_LOCUS24656 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVLQMTYKNAVCFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFTQVQETQTSQVVNPSIIPYEDVEDGDGEEENEAQVDDLYTTLFEEGIEVNIDDQCVPLENVFIPPAHMTTLPLSIEGTSFDWPRNPRFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKIYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNSNIFPVAFALVEGETAEAWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWQDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNSVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMSCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8572027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502807352:502809277:1 gene:gene-LATHSAT_LOCUS24636 transcript:rna-LATHSAT_LOCUS24636 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFSSKKASCLPPPPTPTTTTAKSSSNRRQSPRSPLQDLYRISSSSNGSDASSSVSTEVPKGCLGFLASSSFKTPVNRPKNITKTPNSASHGLVLKQPKSNSSKENHPNGDNIRLQTKTVVPNKAKKNPPCLYQWQSGKKAGSRTGQKSKLSSALNENGKNLSTPKESKKKEDVNAVESARLKSSYRDPNSSPLTKRVSGSDLDVTVYTEVGDNLNRSISKTPPIHNSLSPEIQGSSSLVSTTTPACYGAGYIVSGVTDKRKCRPRGILTVDENYSGSARKVANSIDDDDDDEKKAKDVIKEDSPSLLPSPTEALVYWLSSPRKILNSKSEIGLMESITLGSSTSPSTSSKQFWNLCDSSKTFWNVSDSSDLSGAANNGTRRQMSSSISPGSLSEFQVPFDSILFSPKTSPNRRTDSSENLVDENSPFSLNSIGSGNVIRTPQSDSTSDLHVELSLAHADNQKEDDFNPYFNSFSDVLLSDNLVLDSSVPVEDSVNSSFQFDCLTMPYESIELGKLPKELNGQEPWLSSSTVENGSESQRISWREGLMSQVNEVDEFDSCRCLSDEEDLADNGCDSNRASSTQVNIEVADSKKPNYGIELAETVDEEMEIGRLGKVIFSGAESITMDEGGVDASRDDSE >CAK8539103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503922680:503928292:-1 gene:gene-LATHSAT_LOCUS8232 transcript:rna-LATHSAT_LOCUS8232 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGNSDAVSPHPWQSYNTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFIKQKIDNMRLQFAKLSQSDLSHYQKVAERRILELEASRDLSRIWLHVDMDAFYAAVETLSNPTLKGKPMAVGSMSMLSTANYEARKFGVRSAMPGFIARKLCPELILVPTDFKKYTHYSDLTRKVFQRYDTNFIAGSLDEAYLDITEVCRERNVKSEEIAQELRDSVHEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRLAVMTFISSLPIRKIGGIGKVTEHILKDVFGISTCEQMLDKGSYLCALFSQSTADFFYSVGLGLGKTDSPQVSFRKSISNERTFSATEDEVLLYKKLAELAEMLSTDMQKEGLHGRTLTLKLKTASFEVRNRAVTLQNYINSSEDILKHASKLLKAELPVSVRLIGLRVSQFNGDKCSATPDPTQKTITNFITSGEANRKKNSFSDVTDHDFVSDTETDPSIDVRHTSQLDSRDPFDGNHSLDVNDQSCTLWKNDGAEKVQTSGNEASSSHHSGFTELLGSTSFQGQFEGKNVNDGSNLLEEDRLNSCQETAMLWLNDYKCSLCGTELPPSFVEERLEHSDFHLAEKLQKEESSIRQTSIPIQSRDQKHRINRQSISKKQKLSQKEGRYTPIDYFFVKK >CAK8539104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503922680:503924962:-1 gene:gene-LATHSAT_LOCUS8232 transcript:rna-LATHSAT_LOCUS8232-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSYLCALFSQSTADFFYSVGLGLGKTDSPQVSFRKSISNERTFSATEDEVLLYKKLAELAEMLSTDMQKEGLHGRTLTLKLKTASFEVRNRAVTLQNYINSSEDILKHASKLLKAELPVSVRLIGLRVSQFNGDKCSATPDPTQKTITNFITSGEANRKKNSFSDVTDHDFVSDTETDPSIDVRHTSQLDSRDPFDGNHSLDVNDQSCTLWKNDGAEKVQTSGNEASSSHHSGFTELLGSTSFQGQFEGKNVNDGSNLLEEDRLNSCQETAMLWLNDYKCSLCGTELPPSFVEERLEHSDFHLAEKLQKEESSIRQTSIPIQSRDQKHRINRQSISKKQKLSQKEGRYTPIDYFFVKK >CAK8576201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:424172133:424176189:1 gene:gene-LATHSAT_LOCUS28407 transcript:rna-LATHSAT_LOCUS28407 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSYQHSQPQQRRLLSQFLHHNQLHQQFFNFITPQTSLSPSTSTTTATTTTSFSFFHNKHHQDEDEEEDEPPFPCCFNILSNSKRFKVHVSNNMDHHLQLDHFSSFKSPQIIGKKKSIGLQKREELEREVNMLQRLLEKEEKVHEILDMVNNRPNNSAISIPNFLPPKMRELLAELVMVESEIAKLESQISQLQAGLKLEQEITKESKSKTSNQGSLISNSNYNHLSASTIINPSSVQRSSSNIHEKMAFETKALHFISKAIKGDYNLNDFGLNEKRVFSKNSVEQKETSFQEELKFHERLIKKNAAAKPPSPMRDPRHPSPKLKERNLDMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPVSRSLHSSLSSRSFRVDPGSTPKPSFMLQKESRQQDPYGIFNTEESIPRDIGPYKNLVMFTSSSLDPKFISSPSSIPLLRKLRILMSNLQTVDLKGLTNQQKLAFWINLYNACIMHGFIQYGVPSTPEKLIALMNKATLNIGGNIINAQAIEHFILRKRDTSNIKEAQRKGEWEEKESIVRELYGLEFVDPNVTFALCNGTRSSPAVRIYTGDGVTTELEKSKLDYFQASILATSNKRIGFPELLLRNMLDFAVDIDSLVEWVCNQLPTSGTLRKSMVECFRGHSGNVKASAIVEKIPYDYEFQYLLTI >CAK8570712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:93946287:93947560:1 gene:gene-LATHSAT_LOCUS23445 transcript:rna-LATHSAT_LOCUS23445 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKIQPIDIDSEKVTGLVRNEPVSKWRLRRFFAFEKQFPKNNNNKDGGGGGAELEPSSVCLAKMVQSFMEEQPQPQPQPQPQPLRCGRNRCNCFNASSSDEDDFDLSGFTHETVTDSNDSLKSLIPCVTVEERNLLADAAKIVEKNSKVYKRKEDLIKIVAEALSSLGYDSSICKSKWEKTSSCPSGEYQFIDAIVEGEALIIDIDFRSEFEIARSTGTYKTILQSLPYIFVGKKERLKQIVSIVSEAAKQSLKKKGMHVPPWRKRDYMLAKWISPSAARSKQVADAAAQETPTQEANSDSGELELIFGEVKASPEEVVTVSPAWQLPAVKPKSVERGTKVVTGLASLLKEKP >CAK8571242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:351467527:351489650:1 gene:gene-LATHSAT_LOCUS23920 transcript:rna-LATHSAT_LOCUS23920 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTKVLDPAFHGVGQRLGTEIWRIENFQPVPLPKSEYGKFYMGDSYIVLQTTQGKGGAYFYDLYFWIGKDTSQDEAGTAAIKAVELDTALGGRAVQHREIQGHESDNFLSYFRPCIIPLEGGVASGFRKPEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTDNKIYQFNGANSNIQERAKALEIIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIAKKVISEDDIIPEEIPAQLYGIINGEVKSMEDELSKSLLENNRCYLLDCGSEIFIWFGRVTQVEERKAACQAAEEFVSSQNRPKSTRITRITQGYETRSFKSNFDSWPSVSAGPVADEGKGKVTALLKQQGMGVKGMTKSTLVNEEIPHLLEEGGKMEVWRINESAKTSLPKEDIGKFHSGDCYIVLYTYHSGERKEDYFLCCWFGKDSIEEDQTLALKLANTMSNSLKGRPVQGRIFEGKESPQFVALFQPMVVLKGGLSTGYKQFVADKGLSDETYTEESTALIRISGTSIHNNKAVQVGAVPSSLNSTECFVLQSGSTIFTWHGNQCSIEQQQLAAKVAEFLRPGVPLKHAKEGTENSAFWLPLGGKQSYTSKKVTNEVEEVYNFSQDDLLTEDIHILDTHAEVFVWIGQCVDPKEKQNAFEIGQKYIDVAASLEGLSPRVPLYKVTEGNEPFFFTTYFSWDWDHSRAKVHGNSFQKNVTLLFGTGHAVEEKSNGSSGPRQRAEALAALSSAFSSSSMKTSRERLNGLDHGGPRQRAEALAALNSAFNSSSTTKRVAPRPPGKGQGSQRAAALAALSSVLTAEKNKQSPDSSPEASRSPVPESVIFEVKSGSEYSEVDETTEAKEMEEVAPESGSSEGLESSQENVEDENNDQSSQRTFSYDQLKTTSGKNVSGIDLERREAYLSDEEFQTVFGMVKEAFYKLPKWKKDMLKKKFELF >CAK8544131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666015997:666016769:1 gene:gene-LATHSAT_LOCUS12834 transcript:rna-LATHSAT_LOCUS12834 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQKALSLSCIFHSSPKLSSPTPSYTISYPTQKGSLITTQIQKLNPPFLTSGKQRWKVKPLSASLSFPQPLDLTEDNVRQALVDARAELGQLFDTSVGMTGVVELVELDGPYVTISLKGRFWHKRSTVLARVANYLKQRIPEILEVEIENEKQLDDSPANF >CAK8575190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50080572:50082423:-1 gene:gene-LATHSAT_LOCUS27474 transcript:rna-LATHSAT_LOCUS27474 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIFFSMFFHTLLFATLATSTFSTLTPNYYDYTCPNALNTIQSVVKAAVQKENRMGASLLRLHFHDCFVNGCDGSILLDSTPSMDSEKNANPNINSARGFEVIDEIKQAVDKACGKPVVSCADILTVAARDSVVALGGPSWKVKLGRRDSKTASRADADANIPGPSFSHAQLLKNFKNHGLNEKDLVALSGAHTIGFSRCLLFRDRIYNENNINSNFAKELQNICPRNGGDSNLAPLDSVTPAKFDVVYFADLIEKKGVLHSDQVLLNGGYSGALVRKYSYDTRAFYKDFAKSMIKMGNIKPLVGNQGEVRYNCRKAN >CAK8575954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374214872:374215183:1 gene:gene-LATHSAT_LOCUS28178 transcript:rna-LATHSAT_LOCUS28178 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPTIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8568668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:630130595:630133609:-1 gene:gene-LATHSAT_LOCUS21606 transcript:rna-LATHSAT_LOCUS21606 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFEGISQDVKGRVKCYKQDWVGAICSGVSILAPTFYIFFASALPVIAFGEQLSRETNGSLSTVETLASTAICGVIQSIIGGQPLLILGVAEPTVIMYTYLYSFCKNTPNLGAELFLAWAGWVCFWTGFMLILLAIFNACNIITRFTRIAGELFSMLITVLFFQEAIKGLIGEFSNLKVEDPSPVQIQWQYTNGLLAVIFSLGLIVTALKSRKARKWRYGTRKLRGFIADYGVPMMVVLWTVLSYRKPSTVPHDVPRRLVCPLPWEPVSLYHWTVVKDMWKVPVVYIFGAIIPASMIAGLYFFDHCVASKMAQQKEFNLQKPSAYHYDVFLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRKQLIRKKAVKSAKECMEQHSTRSELYGKMQAVFVEMDAAPSVTDLENLKAAVMKPDTKGGATEPFDPEKYIDANLPVRVNEQRMTNLLQSLLVGLSIIGISVIKKIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFIPPSRRYKVLQESHASFVETVPFKTIAGFTALQLAYFLFCFGVTWIPVGGILFPLPFFLLIVLREHVLPKLFNPNDLQELDASEYEEVIGAPRGARNMSLKDTEPCGSDTDGSSEDYYDAEILDEMTTSRGELKLRTVSFNDRNRSNSFNDRNRSNSFNDRNQSNSFNEDRHI >CAK8559907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501928:503914:-1 gene:gene-LATHSAT_LOCUS13643 transcript:rna-LATHSAT_LOCUS13643 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNFLLFVFFFASQFIVGFNIELPPSNYENTITILSIDGGGIRGVIPAVILNHLEKALQVKDKEASLAKYFDVISGTSTGGLVTAMLAAPHPEDPTRPLFTAEQVINFYHQYGPSIFNKTSGWDATYPGTKYDGKFLHNLASELLKDTRLGETLTNVVIPTFDIKKFHPVTFSSFKLDAVSSLDAKLSDICIGTSAAPTYLPPYYFENDGAKFNLVDGGVAACNPAMAAVSEVMKQKGGRHTKILLLSIGCGKKEFTGFDADVATHFSAAFWASSGLAVGAYDTASKDMSEYYLANVLPHIRSSRNYLRIQEYKLDPSMEAMDNATIVNIENLAKVGERLLKKPVLKMNVDTYEPEEKTNQGTNGEALEKLAETLYKIKQFRQKKKMIEKFMGRPLLKNIPNPFATAN >CAK8571243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:352296499:352297035:-1 gene:gene-LATHSAT_LOCUS23921 transcript:rna-LATHSAT_LOCUS23921 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMYDVYHELEGLKAIAQRVHGLADVFALGLKKLGFEVQDLGFFDTMKVKTLNAKAISDAAIKSEINLRVVDGNTITAAFDETTTLEGVDKLFKVFDGGKPVSFTAASLAPEFQNAIPS >CAK8568341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597338094:597338840:1 gene:gene-LATHSAT_LOCUS21304 transcript:rna-LATHSAT_LOCUS21304 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGAPYGSSKRVLACLFEKEVEFETVDIDLFKGEHKQPDFLKLHPFGEVPLIQDGDFTLYESRAIIRYYAEKYKNQGTDLLGKTIEERGLVEQWLEVEGHNFHPAIFKLVINVVLAPLMGIPSDQKVIEESDEKLKKVLDVYEERLSKSKYLAGDFFSLADLSHLAFGHYLVNQTGRGNLVRERKHVSAWWDDISSRPSWKKVLDLYKYPV >CAK8574299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674476914:674477975:-1 gene:gene-LATHSAT_LOCUS26657 transcript:rna-LATHSAT_LOCUS26657 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAGAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGIAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8561564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:299122656:299124728:-1 gene:gene-LATHSAT_LOCUS15144 transcript:rna-LATHSAT_LOCUS15144 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSEVKLIGKWSSPYVTRVKIALNIKSLEYENFEENETFNPKSDLLLQSNPVYGKVPVLIHQNKPICESLIIVEYIDETWLTAVSILPTDAYDRALARFWAAYIDQKWFPSMQSIITVEGEEERKPYFEVMEEVVERMEDAFEKCSKGEPFYGGDRIGYLDVAFGSFLGWLSVIENEYNRKVLVEEKAPNLVKWAERFVADPAVVGLIPETERLVKLSKALQIKWRAALGEQWLKIKID >CAK8561565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:299123927:299124728:-1 gene:gene-LATHSAT_LOCUS15144 transcript:rna-LATHSAT_LOCUS15144-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSEVKLIGKWSSPYVTRVKIALNIKSLEYENFEENETFNPKSDLLLQSNPVYGKVPVLIHQNKPICESLIIVEYIDETWLTAVSILPTDAYDRALARFWAAYIDQKWFPSMQSIITVEGEEERKPYFEVMEEVVERMEDAFEKCSKGEPFYGGDRIGYLDVAFGSFLGWLSVIENEYNRKVLVEEKAPNLVKWAERFVADPAVVGLIPETERLVKLSKALQIKWRAALGKK >CAK8573563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620414854:620416767:-1 gene:gene-LATHSAT_LOCUS25997 transcript:rna-LATHSAT_LOCUS25997 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSCQFHSTNYLNSQKLKSRSKNFIISCSSQPLQSNIKVVINGAAKEIGRAAVLAVTKARGMEVAGAIDTHYVGEDIGQVCGMEEPLEIPILNDLTMVLGSISQSKASAVVVDFTDPSTVYDNVKQATAFGMKSVVYVPRLKSDTVAALSAFCEKASMGVLVAPTLSIGSILLQQAAISASFHYRNVEIVESKANANDLPSADANQIANNLSNLGQIYNREDSSTDVLARGQVLGDGIRVHSLVLPGLPSSTAVHFSGLGEIYTIKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFL >CAK8532558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:294622890:294623909:-1 gene:gene-LATHSAT_LOCUS2250 transcript:rna-LATHSAT_LOCUS2250 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDNSSNSSLISSKSFSSFDMSLPNLEAFHGMSVYNEEVRLAASTPKKPAGRKKFKETRHPVYRGVRKRNLDKWVCEMREPCKKTRIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSLWRLPIPATSDTKDIQKAATEAAEAFRPDKILMTNDVETVVAVVATKELSTFCVEVEKEEDALNIPDMWRNMALMSPTHSFGYDDEYQHIDVQDFQDDEEDFKIRFVTIIWVGTGIRMH >CAK8544070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661099371:661099832:1 gene:gene-LATHSAT_LOCUS12778 transcript:rna-LATHSAT_LOCUS12778 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSEDQKFRIRRLEITDKKKGFIELLQQLTVCDSVSDKDFEHRFREVDSLGDDHVIGVIEDEVTGKIIATGCVFIEKKFIRSCGKVGHIEDVVVDSSARGKQLGKKVVEFLTDHARSVGCYKVILDCTVKNTVFYEKCGFKQKDVQMAMYFG >CAK8532842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:530135636:530135986:-1 gene:gene-LATHSAT_LOCUS2506 transcript:rna-LATHSAT_LOCUS2506 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYERAKGGRLSFKGGTLATRQKSIDKKRKKKKNKIENPNPNIEEETLVEGEKNETVEGSESSEYTIDAAKKMKYDQLFPVEAKKFGYEPKSKAKSIEEALDDRVKKKADRYCK >CAK8541183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84406841:84409341:1 gene:gene-LATHSAT_LOCUS10121 transcript:rna-LATHSAT_LOCUS10121-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLTHFATIVFLLSWLLSIQAAELKTSQKPCDFQALFNFGDSNSDTGSMSAAFFPAILPYGQTFFHEAVGRASDGRLIIDFIAKHLGMPLLSAYINSIGTSYRHGANFAAGSSTIMRQNRTYFDGGTPFVLEIQIQQFNNFKTRTAKFFRQATHFPKPEEFGKAIYTFDIGQNDIAYVLSKVGKEDSQELISNIVEYFSKQLQNVYSYGARTFWIHNTGPIGCLPVSMPIHNTRRNITLLPGYLDQNGCVNYQNDLAKDFNKKLKDEVLKLRTLFPNASLTYVDMFSAKYELISNAKNSGFVEPSEICCGYHEDGNHVYCGNKATINGKEIVTESCNDPSKYISWDGVHYTEAANKWIANRILNGSFSDPPLPITHSCQITH >CAK8541182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84406832:84409341:1 gene:gene-LATHSAT_LOCUS10121 transcript:rna-LATHSAT_LOCUS10121 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMGPLTHFATIVFLLSWLLSIQAAELKTSQKPCDFQALFNFGDSNSDTGSMSAAFFPAILPYGQTFFHEAVGRASDGRLIIDFIAKHLGMPLLSAYINSIGTSYRHGANFAAGSSTIMRQNRTYFDGGTPFVLEIQIQQFNNFKTRTAKFFRQATHFPKPEEFGKAIYTFDIGQNDIAYVLSKVGKEDSQELISNIVEYFSKQLQNVYSYGARTFWIHNTGPIGCLPVSMPIHNTRRNITLLPGYLDQNGCVNYQNDLAKDFNKKLKDEVLKLRTLFPNASLTYVDMFSAKYELISNAKNSGFVEPSEICCGYHEDGNHVYCGNKATINGKEIVTESCNDPSKYISWDGVHYTEAANKWIANRILNGSFSDPPLPITHSCQITH >CAK8530411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19687319:19687735:1 gene:gene-LATHSAT_LOCUS278 transcript:rna-LATHSAT_LOCUS278 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSVDSYKIIEEFCCRMTGTMKEWYHNLGAFKRDELHCLETTTSFLGVLHREFISDMEIFDRKSRQEFFEIKCCSLKTKDLNKHYHRMAQRYYILNGYNDPSLKNTYASSLPQELQPEIHRMLATAQKDIKTMSLG >CAK8530412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19687373:19687735:1 gene:gene-LATHSAT_LOCUS278 transcript:rna-LATHSAT_LOCUS278-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKEWYHNLGAFKRDELHCLETTTSFLGVLHREFISDMEIFDRKSRQEFFEIKCCSLKTKDLNKHYHRMAQRYYILNGYNDPSLKNTYASSLPQELQPEIHRMLATAQKDIKTMSLG >CAK8532918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549653083:549653807:1 gene:gene-LATHSAT_LOCUS2578 transcript:rna-LATHSAT_LOCUS2578 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYCDDTDYHVFVQIPGLINVDFADVKVVMKDSGTAMLGVGVSSGKNRAEEAAEQATLAPLIGSSIQSATGIVYNITGGKDITLQEVNRVSQVVTSLSDPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKILLTDPRAAKLLDRLPVGKESNQASPTFKGSDFSSTVASKAPPRKLFF >CAK8565087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:34590157:34590459:1 gene:gene-LATHSAT_LOCUS18326 transcript:rna-LATHSAT_LOCUS18326 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSKLPKNLLQLISGKLNNELYSIRFQSVCSSCRSSSIPSYHHHHLSLKLPNSPTTLTSIPIFESECELLLVDYYAGDDVLIMEILLYSYFKIENITY >CAK8567880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553871742:553873148:-1 gene:gene-LATHSAT_LOCUS20895 transcript:rna-LATHSAT_LOCUS20895 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSIYRFKGRPVSAALALVVLVLFSTLLWTWETNPIGTTFRSAQEWYHLPSDFHLELPIDSMRTTKPKKVVEKSLTPSRENKTKLQLGIKGAAAVDSIHPQSSDFVHKKDLKSSSRSEACNYANGKWVADSRRPLYSGFNCKQWLSSMWSCRMTQRPDFSFEGYRWQPKNCDMQEFDRSQFLRKMKDKTIAFIGDSLGRQQFQSLMCMATGGEESPEVENVGWEYGLVKHRGAIRPDGWAYRFPKTNTTILYYWSASLCDLVPLNINDKNTNIAMHLDRPPAFMRQFLHRFNILVLNTGHHWNRGKLNGNRWVMHINGKPNEDKKIEEIANAKNLAIKSVVRWLDLQLVSHPQLKVFFRTLSPRHFFNGDWNTGGSCDNTIPLSNGSEVSQVGSSDPVIEGALKGTKIKILDITPLSQLRDEAHMSRYTLRGIVNAVTHLVPFSATVSEYTSYADTTTIPGHYVLY >CAK8533165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586422290:586424005:1 gene:gene-LATHSAT_LOCUS2812 transcript:rna-LATHSAT_LOCUS2812 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSREALVLIQKMVKVPPEKAFSFFISSTCQSFQHTSQSVSFILNHLFSSGMQTQAQSVLVRIISGQISSSMFSTSSLMDQLTQTHFTSSSTCCLLYESIVNAYVHSQSSDEALHFLHKMIHMGHTPISNTFNNLLNLLIRSNCFDKAWLVFNELKNKVVLDVYSFGIMIKGCCEAGDLMKSFQLLAMMEEAGLSPNVVIYTTLIDGCCKNSDVHLAKKLFFKMERLNLVANQHTYSVLINGFFKQGLQKEGFQMYENMKQSGIVPNVYTYSCVIGEYCNDGNIDKAFNAFEEMREKGIACSIMTYNLLINGLCQTKKLGEAVKLVYRVSRIGLRPNIFTYNTLIKGYCDVGKIDTAVRLYNELKSNGLSPTVVTYNTLIAGYSKVGNLAGALNVVKEMEERNIPPTKVTYTTLLNGFVRINYMEKAFEIHSLMEKSGLVSDVYTYGVLIHGLCMNGSMKEASKLFKSLEEINLEPSSVIYDIMIHGYCREGSSYRALRLLKEMIGKGMVPNVASFCSTIGLLCKDEKLKEAEIVLQHMVNLGLKPSISLYNMVHKDKSDVLDVGLESVI >CAK8563114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574733119:574736176:-1 gene:gene-LATHSAT_LOCUS16557 transcript:rna-LATHSAT_LOCUS16557 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLSIGFLFCCCLMFLLLDSSTSLPLCVDSRAPFSLNSTLKFCPYNGSTCCNSIQDQQIQKKFNLMNVSDTDCASLLKSILCAKCDPFSAELYTVQSTQRSVPVLCDSAVPVNSSSSKASLRGFCSEVWNTCQTVSIINSPFSPSLQGQGGGLPANTNATKLNELWQSKTDFCNAFGGASNNESVCFEGGPVVLNKTDTPVSPPHGLCLEKIGNGSYLNMVAHPDGTNRAFFSSQMGKVWLATIPEEGSGGQLELDQSSPFVDLTDQVYFDTQFGMMGMTFHPNFANNGRFFASFNCDKDKWSGCNGVCSCNSNVNCDPSKLGTSNGAQPCQYQTVIAEYTANGTASQPSSAESAKPTEVRRIFTMGLPYTSQHAGQILFGPDDGYLYFMMGDGGGTGDPYNFSQNKKSLLGKIMRLDVDNIPSASEVSKLGLWGSYSIPKDNPFSEDKDLEPEIWALGLRNPWRCSFDSEKPSYFFCADVGQDLYEEVDLITKGGNYGWRVNEGPYPFAITDSPGGNTSIKSINAISPIAGYNHSQINKNEGSASITGGYVYRSMTDPCMFGRYLYADLYAGAVWAATEDPENSGNFTTSRIPFGCAHDSPIPCDIVPSSSLPALGYIFSFGQDNKKDVYILASSGVYRVVPPSRCNYTCSQEKASTATNPRSPSPSHASHWSNSYGYIFLQISSLLLLLTSFM >CAK8569372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697071125:697071406:-1 gene:gene-LATHSAT_LOCUS22229 transcript:rna-LATHSAT_LOCUS22229 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLPAVIRCASLSRSRTSSKVLNMPKGYLAVYIGQEMKRFVIPMSYLNQTSFQELLSEAEEEFGYNHPMGGLTIPCTEDVFLHITSHFNGL >CAK8563411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601304503:601304904:1 gene:gene-LATHSAT_LOCUS16827 transcript:rna-LATHSAT_LOCUS16827 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITTAQGAVFATAMAVSGTVILLALRLQKSLPPTQFSSLHQIPPSRPPILRSCISSGRKKAKKKKKRVHFADDVMDTCGDGEEYRKQHSLKMNSRTKVSRNCNGGKGMPANRVALYNGILRDRVNQRLAYC >CAK8539274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508088447:508094872:1 gene:gene-LATHSAT_LOCUS8385 transcript:rna-LATHSAT_LOCUS8385 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRSSNIAARIFDRQICTPAPGTSVHHARRFYENLVPSYTVYEVEFPDHSFRKFTEDGQYLVSFSRNHQELIVYRPRWLSFSCNDEDCDKHDLPAKARRFDSFFTQLYCVPLVSCNELICKDFFLYMESNKFGLFATSTAQIHDAPAVGGAVQGVPSIEKITFHLLRMEDGEILDKKIFSNDFVNLTHNMGVFLYDDLLAIVSLRYQTIHILQIRDSGNFVHVRAIGEFCREDDELFLNSNAQGMPLSNKNKQHQVPENHVENHMHQGQPNVGNSFLSGIKQRLLSFIFQGLWNEETDDTLRIQRLRNKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGPQVSRNADHHPAFVAVYNMDTTEIVSFYQNSADELYLLFEKYGDHFHVTSRNLMYMNFISSHSNNIHALEQLRSIKDKASNSSQFVKKMLASLPFSCQSQSPSPYFDQSLFRFDDKLISATDRHRQSTDHPIKFILRNSPHTLKFKIKPGPEAGSLDGRAKKISSFLFHPFLPFALSIQQTLFLQPSVVNIHFRR >CAK8539275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508088447:508094872:1 gene:gene-LATHSAT_LOCUS8385 transcript:rna-LATHSAT_LOCUS8385-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRSSNIAARIFDRQICTPAPGTSVHHARRFYENLVPSYTVYEVEFPDHSFRKFTEDGQYLVSFSRNHQELIVYRPRWLSFSCNDEDCDKHDLPAKARRFDSFFTQLYCVPLVSCNELICKDFFLYMESNKFGLFATSTAQIHDAPAVGGAVQGVPSIEKITFHLLRMEDGEILDKKIFSNDFVNLTHNMGVFLYDDLLAIVSLRYQTIHILQIRDSGNFVHVRAIGEFCREDDELFLNSNAQGMPLSNKNKQHQVPENHVENHMHQGQPNVGNSFLSGIKQRLLSFIFQGLWNEETDDTLRIQRLRNKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGVSRNADHHPAFVAVYNMDTTEIVSFYQNSADELYLLFEKYGDHFHVTSRNLMYMNFISSHSNNIHALEQLRSIKDKASNSSQFVKKMLASLPFSCQSQSPSPYFDQSLFRFDDKLISATDRHRQSTDHPIKFILRNSPHTLKFKIKPGPEAGSLDGRAKKISSFLFHPFLPFALSIQQTLFLQPSVVNIHFRR >CAK8535018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:803603886:803604542:1 gene:gene-LATHSAT_LOCUS4504 transcript:rna-LATHSAT_LOCUS4504 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNGGSPPSIPNQQSNPYFRNTPFISNPHHNPKFQNSPFILNPQNTPYFGNYSYHPPPYLYPYQYQQFKSQTTNPTMPHGAQIGSSGVQSNDQEDETPQFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRVAEAYNKHRDINYKEKKSTQLKCRWHKINPSVQKFVGCYKQVVST >CAK8571576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442317125:442317949:-1 gene:gene-LATHSAT_LOCUS24221 transcript:rna-LATHSAT_LOCUS24221 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFNYYHGEIRRTNIEASNWIDNIPREKWVRAFDGGQHWGHMTSNLVETIKFVLKATKNLPITALVHSTYYRMGSLFGKRGHKWTKMLATGKVFTDGCNKGMADEVAKANTHNVMQFDRERFCFMVQEKINQNDGRSMGTFSVDLRNRWCDCGKFQAFHLPCSHVIVTYSSIRENYTIHIPEVFTVLNVFKVYKESFMGLPHEENWPKYEGFTPCHDDSMRRNKKGCPTSNRIRTEMDDSEKEKRRCGICREISHMRIKCSNVVGPSNRPPR >CAK8531465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112235934:112238385:1 gene:gene-LATHSAT_LOCUS1255 transcript:rna-LATHSAT_LOCUS1255 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEGDIGGGSNKSGGGGDKLMRKVLGLGFLVQGFRCFPWLVVSYFLKDGLNVNSSTLQILLSSASLPMVGKPIYGLVSDSVYISGQHRVPYIALGAFLQALSWLAIAISPSSISVFAISIYLLLGNLGASITEVANDAIVAEMGKQPPPSTTKHSQQPSSSGNLQSFVWIASSIGGVLGNLLGGIFIGRLSPQSMFMFFGFLVALQFFVTISVRESSLRLPKNPSAGIKKQLSELYSALRKPEIAYSISWFALSYAVIPLLNGTMFFYQTQYLKIDSSVLGISKVFGQATMLLWGVIYNRYLKSVSPRKLISAIQATMALLMISDFLFVHGFYRQMGVPDTIYVVIFSGFLEVLFFFKFLPFTVLIAQLCPQGCEGSIMAFLMSAVALAFIVSGYLGVALASYIKITGSDFSGFPFGLLVQAACTLLPIFWSSCIPEYVKTKDKRKE >CAK8575224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56490000:56490613:-1 gene:gene-LATHSAT_LOCUS27507 transcript:rna-LATHSAT_LOCUS27507-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNVNRFRPNLVVSGGRPYDEDGWRDITIGNKCFKSLGGCNRCHVINLSLNAGRVQKSKEPLATLASYRRVKGRILFGILLKYASVNGEQQQGDSWLHVGQEVHPD >CAK8575223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56490000:56490655:-1 gene:gene-LATHSAT_LOCUS27507 transcript:rna-LATHSAT_LOCUS27507 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLDVQKGVCVTAMKVNVNRFRPNLVVSGGRPYDEDGWRDITIGNKCFKSLGGCNRCHVINLSLNAGRVQKSKEPLATLASYRRVKGRILFGILLKYASVNGEQQQGDSWLHVGQEVHPD >CAK8570280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33975872:33976264:1 gene:gene-LATHSAT_LOCUS23043 transcript:rna-LATHSAT_LOCUS23043 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHVISHFETSKIKTISSTFDINQDQYMVEEVKKIGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8564600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2064236:2065868:-1 gene:gene-LATHSAT_LOCUS17890 transcript:rna-LATHSAT_LOCUS17890 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIAPSKHSPIEDAEALQRAVKGWGADEKAIISILGHRNGTQRTQIRQAYHDLYQEDLIKRLESELSGDFERAMYRWILEPAEREALVANIALKNANMNYHVIVEISCVSSPDELFIVRRAYHNRYRRSMEEDVATNTTGHLRQLLVGLVSSFRYGGSEVNARLAQSEADILHEAIKNKNHNHEEVIRILTTRSKTQLVATFNCYRHDHGIAITKKLLDEGSDDFHKALRIAISCINDHNKYYEKVLRNAMETVETDEDELTRVIVTRAEKDLEDIKKVYYKRNSVHLEHAVAKKTSGDYKKFLVTLMGKEE >CAK8562123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443069863:443076488:-1 gene:gene-LATHSAT_LOCUS15649 transcript:rna-LATHSAT_LOCUS15649 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRGNPDSKGFDFASDDILCSYEDFSNRDSNSNGNHNDSVIAPTSTTDFHKSRVARTSVFPVTAYNPPEDSLSQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLNRDHGEQDSKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSNEDRSPSADPKKTDNASDANNHQLALALPHQIAPQQQPAGPPAQAPPPNVNQPTQQPAYYMPPAPAASQLPQNQYMPSDQQYRTPQLQDMSRVAPQPTASQINPPTSVQQFSQYQQLPQQQWPQQGQQPSMQPQMRPPSSTVYPPYQPSQASNPLPTETQPTSMPMQMPYSGVPQPGSSRADSMQYGYGGTGRTVPQQPPPQQIKSSFPSQPGDVYGAGGTHQALPPPGNAYMMYDGGEGGRTHHPPPQPSHFAQSGYPPTSASLQNPNLMVRNPSQSQFVRNHPYNELIEKLVNMGFRGDHVASVIQRMEESGQTIDFNSVLDRLNVHGSVGPQRGWSG >CAK8562124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443069863:443070396:-1 gene:gene-LATHSAT_LOCUS15649 transcript:rna-LATHSAT_LOCUS15649-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQMPYSGVPQPGSSRADSMQYGYGGTGRTVPQQPPPQQIKSSFPSQPGDVYGAGGTHQALPPPGNAYMMYDGGEGGRTHHPPPQPSHFAQSGYPPTSASLQNPNLMVRNPSQSQFVRNHPYNELIEKLVNMGFRGDHVASVIQRMEESGQTIDFNSVLDRLNVHGSVGPQRGWSG >CAK8573711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632328446:632330634:1 gene:gene-LATHSAT_LOCUS26120 transcript:rna-LATHSAT_LOCUS26120 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHPQNLLGSLLESAVSTRCSILGRAVHAHIIRTHDTTLPSFLSNHLVNMYSKLDLLNSAQHVLSLTHLPTVVTWTSLISGCVYNRRFLAAILHFTNMRRDSVYPNDFTYPCVFKASASLNMPMTGKQVHALAFKGGQIYDVFVGCSAFDMYSKTGLRVDACNMFDEMPHRNLATWNAYISNAVQDGRSTDAIAAFKEFLCVHGEPNSITFCAFLNACVDMLRLNLGRQLHSFIVRCGYKEDVSVSNGLIDFYGKCGDVVSSEMVFSRIGRRKNVVSWCSMLAALVQNHEEERACMVFLQARKEVEPTDFMISSVLSACAELGGLELGRSVHALAVKACVEENIFVGSALVDLYGKCGSLENAEQVFSEMPERNLVTWNAMIGGYAHQGDVDMALRLFEEMTLGGRGIAPSYVTLVSVLSACSRAGAVKRGMKIFKSMRLNYGIEPGAEHYACVVDLLGRSGLVDHAYEFIQNMPIQPTISIWGALLGACRMHGKTKLGKIAAEKLFELDHVDSGNHVVLSNMLASAGRWEEATVVRKEMKDIGIKKNVGYSWIAVKNRTHVFQAKDSSHERNYEIQAMLGKLRGEMKEAGYVPDANLSLFDLEDEEKASEVWYHSEKIALAFGLIALPQGVPIRITKNLRICGDCHSAIKFISRIVGREIIVRDNHRFHRFKNGCCSCKDYW >CAK8575256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:63177668:63179122:1 gene:gene-LATHSAT_LOCUS27535 transcript:rna-LATHSAT_LOCUS27535 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESKPLKIYMLPFFAQGHLIPLVNLARLVASKNQQVTIITTPSNAQLFDKTIQQDQAAGHHIHTHIIQFPSTQLGLPPGVENLFAASDNQTAGKIAMAAHVLKQDIESFMKQNPPDVFIPDIMFTWSESTSKDLRIPRLVFNPISIFDVCLIEAIKSHPEAFVSDSGPYHIPGLPHPLTLPIKPSPGFARVTESLVEAEKGSHGVIVNSFAELDEGYTEYYENLTGRRVWHVGPTSLMVENTKEKKPVNNGNGNANDKHESLAWLDTKEVGSVVYISFGSLCRLSNEQLMEIAFGIEASKHSFLWVVHGKEGEDDDNWLPKGFEERTKDENRGLLIKGWVPQALILDHPSIGGFLTHCGWNATVEAISSGVPMITMPGFGDQYYNEKLVTEVHCIGVEVGAAEWSMSPYDAKKTVVSRERIEKGVKTLMDSDGDGGEIRKRAREMKEKAWRAVQEGGSSQNCLTKLVDYFQSVVDSKSVEQN >CAK8533149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585222233:585225548:-1 gene:gene-LATHSAT_LOCUS2796 transcript:rna-LATHSAT_LOCUS2796 gene_biotype:protein_coding transcript_biotype:protein_coding MASLESEQQNAFDPSSHPQIVEEKSEREKKIEEWLPINADRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGAGVTLLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQQAFGEKLGLYIVVPQQIIVEVGVDIVYMVTGGASIQKFHDTVCPGCKKIKLSFFIMIFASINFVLAHLPNFNSISGVSLVAAVMSVSYSIIAWAASVDKGVQEDVQYTSKATTTVGTVFNFFNALGTVAFAYAGHNVVLEIQATIPSTPEKPSKIPMWRGVVVAYIVVALCYFPVAIVGYWIFGNQVKDNILISLEKPAWLIAMANLFVVLHVIGSYQLYAMPVFDMIESLMVKKLKFTPSKPLRFIVRNLYVAFTMFVAITFPFFGSLLGFFGGFALAPTTYFLPCIMWLAVYKPKRFSLSWWTNWICIVLGLLIMILSPIGALRSILLDAKHYKFYQ >CAK8533486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:624224340:624224501:1 gene:gene-LATHSAT_LOCUS3109 transcript:rna-LATHSAT_LOCUS3109 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTKALVIGLIGAAFTLLAYSQTFVSPSTSITIGLLVLMLGFLVGEGFISL >CAK8571849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483126420:483126534:1 gene:gene-LATHSAT_LOCUS24473 transcript:rna-LATHSAT_LOCUS24473 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLI >CAK8573778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636360460:636369563:-1 gene:gene-LATHSAT_LOCUS26184 transcript:rna-LATHSAT_LOCUS26184 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTELKFFFSSITLFTIFHSFATNSYAQDPNFLYQVCSKYRITPNSTYQINLQTLLSSLSSKATQNTQFFNNTVASKNHSEAVYGLYMCRGDVPSSLCSSCVGNATRRLSTNTECSFSVAAVMYYDECMVRYSNHSFFSTVARVAGYVLSSPTNMTNQESFNRLLYETLNKTADEASSKKFATREAKINIFQNLYCLAQCTPDIDERECRSCLDGLINSDLPRCCAGTQGGRVLYPNCVIRFEISPFYGSLTLPPTPAPVPSAKSAENKNGRSRTAIIVVIAIVVLAIVVAICFYCLKRKARISRSNILLQENFGHESTTLEGLQFDLATIVAATNNFSHDNKIGKGGFGQVYKGTLRDGRDIAVKRLSTSSTQGSTEFKNEILLIAKLQHRNLVALIGFCLEEQEKILIYEYVPNGSLDYYLFGNQDQKLNWSERYKIIEGIALGVLYLHEYSRLKVIHRDLKPSNILLDERLNPKISDFGMARIVNIDQDRGKTNRVVGTYGYMSPEYAMLGDFSEKSDVFSFGVMVIEIITEKRNAESYESNHDGKGLLSYVWRQWHEETLLTAMDPYIKEKYSEIETMKCIQIGLLCVQENPNARPTMARVVSYLANHSVKLPSPQEPAYVAYGMDQISVAQQESSSGQSASSSKPYSVYNMSISTSIPR >CAK8576637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499436151:499437156:1 gene:gene-LATHSAT_LOCUS28811 transcript:rna-LATHSAT_LOCUS28811 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVAEALKPSLRTESVFSQTINEEILCLNANNVVVGEDFSVDDLLDFSNGEFQHGSVGKEIDNYEEEEEEHEKNSTTSGSEHDRTEDDGNSNSMTFSGTGESDSIFAGELAVPADDVADLEWVSHFVDDSLPELSLLYPVQARVEPEPRPGPCPTKTTSHLTLIRRKPRTNKTRRPNCNTWSFNPILCKAKKQRKKPEAQTGGAQLQRRCSHCQVQKTPQWRTGPLGPKTLCNACGVRYKSGRLFPEYRPASSPTFSGNIHSNSHRKVLEMRRRKETEEPVSGLNRNQMASNW >CAK8562193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:454136324:454151180:1 gene:gene-LATHSAT_LOCUS15712 transcript:rna-LATHSAT_LOCUS15712 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPKSEKNTIDVDSDDDASASAVMSDLHLPLQQNPPQSTSTPLVSESHSFWKAGDYVVGPTSKPSPSQGHLDHARVHPKFLHSNATSHKWAFGAIAELVDNAVDEIQNGATFVKVDKLKNKKDNSPVLGFLDDGGGMDPNSLRKCMSLGYSSKKSKTTIGQYGNGFKTSTMRLGADAIVFSRATHLGRSTQSVGLLSYTFLRKTGQDDVIIPMIDFDISGHWPEPIVYGSLEDWSSNLKTILDWSPFASKDELMLQFEDIGSHGTKILIYNLWLNDEGIYELSFDDDAEDIMLRDEAKHGNGQKLNKKVVQLQSHISYRIRYSLRAYVSLLYLRKFPNFQIILRGKPVDHVDITDELKHSEIISYKPQLAAANVATVETTIGFIKEFPAINVSGFNVYHKNRLIKPFWKVSPDGSSKGNGVVGVLEANFIEPAHDKQDFERSLLFIRLEGKLKQMINDYWKGHCELLGYQPIGYRPQIVQKVVDPQDICSTAKHQQVLNLDQPNEGFHATGKQSSTYEQHGISSGASVSRSIDEICEENIKLFTRCEEHRQKEAELRKTVEDLEKELKEIETKRSYIASFFEAKKKLKNVW >CAK8563381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598766977:598773034:1 gene:gene-LATHSAT_LOCUS16800 transcript:rna-LATHSAT_LOCUS16800 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRKVARATPISFGAHSKSSRTNFHLPFTAIAAISGGFSYLYYSSSPNLVHSDQIGDEEIKTKNIALIPDKWVEFKLQDTARVSQNTHLYRFSFDPTKKLGLDVASCILTRAPLGQDAEEKPKYVIRPYTPISDPESTGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKFKYTPNMKKNIGMIAGGSGITPMLQVIEAILKNSDDKTQISLLYANVSPDDILLKQKLDILAATHPNLKIFYTVDNPTKNWKGGAGYISKDMAVKGLPSPSDDTLILVCGPPGMMKHISGEKAKDWTQGELSGILKEAGYTEQMVYKF >CAK8539065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502754520:502755626:-1 gene:gene-LATHSAT_LOCUS8198 transcript:rna-LATHSAT_LOCUS8198 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAWFTVTPPSFSSKPFFTIRCNAHNPLALSFTKTQSEPLALYSSVKAFAPATVANLGSGFDFLGCAVHGLGDTVSAKVDRDVRPGEICISDISGQSPCVDKLSKNPLCNCAGIAAIEAMKMLGIRSVGLSISLEKGLPLGSGLGSSAASAAAAAVAVNEIFGNTLSVDELVLACLKSEEKVSGYHADNVAPSIMGGFVLIRNYEPLELVRLKFPSEKELYFVLVTPEFEAPTKLMRAALPLEIEMAHHVWNCSQAGALVAGVLQGDLFMLGKALSSDKIVEPKRAPFIPGMGAVKKAAIKAGAYGCTISGSGPTAVAVTDDEEKGYLIGEQMVRAFQKNGNLKASANVKQLDRLGARLLSGVPSN >CAK8541946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:440234630:440235325:-1 gene:gene-LATHSAT_LOCUS10832 transcript:rna-LATHSAT_LOCUS10832 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANVAEFEEFLQGWLNRQRNYLDELLSAQQLHQQMDGSDRMNLLNRVLSHYGQYYEEKSKIAHQNILVLFSPPWFSSLEKSYLWVAGFKPGLTFHLVNKTLEDLSEEQKESLNELKQETKIKERELNDEMAKVHESTAAPPLLDMIRSHGRVCLSRSFMAEEGTVPNTFKETLENMVTNADALRTETALRVVQILKPAQVLNFFVAVAELQLRVRSLGLDKDAESGNQG >CAK8567920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556898750:556901632:-1 gene:gene-LATHSAT_LOCUS20929 transcript:rna-LATHSAT_LOCUS20929 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSLSFTAQHLVAPLYSTLPTAATRGKSADSVAIEGRNLNFSFTARQSNDVQVLRDCSLRIPSGQFWMLLGPNGCGKSTLLKILAGLLTPSSGTVYVKEPKSFVFQNPDHQVVMPTVDSDVAFGLGKINLADDEVRSRVSQALHAVGLADYMKRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDETDQVGVIKAVRNCLDTSEEVTALWVTHRLEELEYADGAIYMEDGKVVMHGDAASIRRFIEARQSAYINQINS >CAK8579769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721699378:721700804:-1 gene:gene-LATHSAT_LOCUS31687 transcript:rna-LATHSAT_LOCUS31687 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRETGGKKKAWRKKRKYELGRQSANTKLSSNKTIRRIRVRGGNVKWRALRLDTGNFSWPSETVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGKKKKTAGKKESEEAEAVTEEVKKSSHVQRKLEQRQKDRQLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGRELEFYMKKLQKKKGKAAA >CAK8544420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683208986:683212758:1 gene:gene-LATHSAT_LOCUS13102 transcript:rna-LATHSAT_LOCUS13102 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQSTYSFERSQPPQRLYVPPSSRKTHDNYEDNDLDNIEYDDDAREEPSNNNGSDGGGGGGKEEEIDPLDAFMEGIHEEMKAAPAPKPKEKVEDRFKDDEEDPMESFLRAKKDLGLTLASDALHAGYDSDEEVYAAAKAVDAGLIDYDSDDNPIVIDKKKIEPIAPLDHTEIDYEPFTKDFYEETPSISGMSDQDVLEYRKSLAIRVSGFDVPKPVKTFEDCGFASQIMSAIKKQGYEKPTSIQCQALPVVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKAYGIRVSAVYGGMSKLEQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPTRVTVGEVGMANEDITQVVQVIPSDSEKLPWLLEKLPEMIDQGDTLVFASKKATVDEIESQLGQRGFKVAALHGDKDQASRMDILQKFKSGTYHVLIATDVAARGLDIKSIKTVVNFDIAKDMDMHVHRIGRTGRAGDKDGVAYTLITQKEARFAGELVNSLVAAGQNVSTELTDLAMKDGRFRSKRDARKGGGRKGKGRGGGAGGKGVRGVDFGLGIGYSSESSNTPSNSVPNRSAAVNSLRTGVMSQFRSSFVAATSNSPNGGLGNNSSMAVNKRPALAGFVSGGSIGGDINTHQQTSSYNPATSTGNSTSQTPGVNPSQNSTNSSSKPRERRRPSGWDR >CAK8565387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:160065913:160108658:1 gene:gene-LATHSAT_LOCUS18607 transcript:rna-LATHSAT_LOCUS18607 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEISRQPSKRMIRAPTRTVELPNEENIRDSEIVPSSLAVLVPILRAAIDIEVENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEREGEITEKHTKRTDARELQSYYQTFYETKIRDGEFTKKPEEMVRNVQIATVLYEVLKTLVTPQTIEEKTKRYAADVEKKKGQYEHYNILPLYAVGAKPVIMELPEIKAAIAALSKVDNLPLPLIHSRPDNDDSTMPMERVKNVNDILDWIASIFGFQKGNVANQREHLILLLANMDIRNRSASYEIREETVEKLMATTFKNYESWCQYVRCKSNIRYGVGHDKQQLDLIYIALYLLIWGEASNIRFMPECLCYIFHHMCNDVYGILYSNAIQVSGDEYQIVTRDDEHFLREVITPLYDVLMKEAKRSNKGKASHANWRNYDDLNEYFWSDKCFKLNWPMNLNSDFFRHNETQTANQGRGRGQTTTGAGKKKPKTNFVEVRTYLHLYRSFDRMWIFFILALQAMIIIAWSNLGLIGVLTDDDVFRNVSSIFISYAILNFFQVTIDIILVWNALRNMKFTQLLRYFLKFVVAAVWVVVLPVSFRSSLQNPSGLIEFVTHWAGDWGPGSLYYYVVAIYMIPNIVAAILFFLPPMRRTLERSNMRIVTLLMWWAQPKLYVGRGMHESMFSLIKYTLFWIMLLISKLAFSYYVEISPLVEPTKLIMAMHIDNYQWHEVFPENDIHNLSVVISIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPLAFSESFWTGRNRKNIQEESDDAYERDNIAYFSQVWNEFINSMREEDLIGNRDRDLLLVPYSSVDVSVIQWPPFLLASKDNYYEEAFKMRNVLEEFHAHKGQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGYNSTLRQGYITHHEYIQVGKGRDVGLNQISLFEAKVANGNGEQTLCRDVYRLGRRFDFFRMLSFYFTTVGFYFSSMITVLTVYVFLYGRVYMVLSGVEREIIQSPDIHQSKALEQALASQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFADNYRMYSRSHFVKGLEILILLIIYEIYGESYRSSTLYFFITMSMWFLAISWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPSDKSWESWWDEENEHLKFSNARGKILEILLACRFFIYQYGIVYQLNIARRSKSLLVFALSWGVLVIVLIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCALTVSDLFASILAFMPSGWAIILIAQTCRGLLKWAKLWASVKELSRAYEYVMGLIIFMPTAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYNKAE >CAK8565388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:160103567:160108658:1 gene:gene-LATHSAT_LOCUS18607 transcript:rna-LATHSAT_LOCUS18607-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVVECYETLKEIILSLLLDAEDRQVIQLICCKVEECIEHENFVKEFKMSGLPSLSEKLEKFLTLLRAEDSKSEPQIVNVLQDIVEIIIQDVMVDGHAILHAPQHHNVQSGQQQRFVNIDTSFTQKRSVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYYKENVQYSNDELKKENEDGISILFYLTMIYPDEWANFDERMKSENVEEEEDREEYVRQWASYRGQTLSRTVRGMMYYWQALLLQYLIENSGDYGISEGYRTVEINDRDKRLLEQAKALADLKFTYVVSCQLYGLQKKSKNTFDRSCFNNILNLMVTHSALRVAYIDETEDTKGGKKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFHAHKGQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGYNSTLRQGYITHHEYIQVGKGRDVGLNQISLFEAKVANGNGEQTLCRDVYRLGRRFDFFRMLSFYFTTVGFYFSSMITVLTVYVFLYGRVYMVLSGVEREIIQSPDIHQSKALEQALASQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFADNYRMYSRSHFVKGLEILILLIIYEIYGESYRSSTLYFFITMSMWFLAISWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPSDKSWESWWDEENEHLKFSNARGKILEILLACRFFIYQYGIVYQLNIARRSKSLLVFALSWGVLVIVLIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCALTVSDLFASILAFMPSGWAIILIAQTCRGLLKWAKLWASVKELSRAYEYVMGLIIFMPTAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYNKAE >CAK8534877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:780185335:780186074:-1 gene:gene-LATHSAT_LOCUS4382 transcript:rna-LATHSAT_LOCUS4382 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMEENDLLALLRDDETAEDKMIQKDISDEDLENLLDRGDLVVDGSTDVKAPVSTFPLNGPGWEVVIPTASGGMLSTLNS >CAK8579473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701571169:701573067:1 gene:gene-LATHSAT_LOCUS31417 transcript:rna-LATHSAT_LOCUS31417 gene_biotype:protein_coding transcript_biotype:protein_coding MTILVEQISFGSQFEDTKNVHSENNTNELVLDAGFHQPQNDDSQNSLFLAPEVNSFGHTFRNYDEESERQKGVEEFYRLQHINQTYDFVKKMREEYKKLDKAEMSIWECCELLNDVVDESDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILLLPKFGGLPQWAVVGDTFPVGCAFDESNVHHKYFKENPDVKNSAYNTKNGVYNEGCGLDNVMMSWGHDDYMCLVAKENGTTLPKAGLFIIRYHSFYPLHKENAYTHLMNEQDFENLKWLHVFNKYDLYSKSKVHVDVEEVKPYYLSLIEKYFPAKLRW >CAK8532270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:235024089:235028042:1 gene:gene-LATHSAT_LOCUS1997 transcript:rna-LATHSAT_LOCUS1997 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFDYDEVPIFEACSQRSDEPDESSFRRNISRTPSASISISMSSLDPPETQPKLVGYTDSLRIAAGNPFKNSLVMAGNKVGENRKDENHWDSDYTRKNEHLLMSGQLGMCNDPYCTTCPTYIKVSHQRKPIASIIFDPKFHNSLYGDAIGFGRKFLSFFSPCIPGVMNPHTYVVQQWNKLLAIFCLVAIFVDPLFFFSIYVNLNKNNISIDIDWKMAKILVLLRSITDVVYFLNILLQFRLAYVSPESTVVGAGDLVDQPKEIALNYLKRYFFFDLFVVLPLPQIIIYFVLPSSLVSSEANYAKNLLRLAILIQYIPRLFRFLPLLIGQSPTGFIFESVWASFILNLLIFMLSGHVVGACWYLFGLQRVNQCLRDKGTSDCLDSSSGAFSYGIYANAIPLYIETSVVNKYVYALFWGFQQISTLAGNQVPSYFEWEVLFTMSIIGLGLLLFALLIGNIQNFLQGLGRRRLEMQLRGRDVEKWMSHRRLSEELKRRVREAERYSWAATRGVPEKMVLENLPEDLLIDIRRHLFNFVTKVRIFSHLDEDEPILEAIRERLIQTTYLKGSRILSRGGLVQKMVFIVRGKLESIGEDGIPVPLSEGDACGEELLRWYLEQSSESKDGKNKLQGEGLTSDRTVKCLTNVEAFSLRAKDIEEVTTLFASFLRSPRVQGVIRYESPYWRSLAANRIQVAWRYMKKRLRNANTRQMIIKH >CAK8572360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531991745:531993115:-1 gene:gene-LATHSAT_LOCUS24933 transcript:rna-LATHSAT_LOCUS24933 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKEEWYEMAKICWFNRASPAPPLQFHSQVLNTNNSRKTCAIYNMAREKDKTPQVLKIAVSGVTELLRLFSPSQQTSILSGDIEKQNNEFTVSSVDDVLIIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFSGRELYARNLKLLVPFFDRASIRLLKIEKDVKSDTNFLRASWKLRTNLKLPWRPLIAIDGSTSYELDDNFKIIRHVESWNVSALEAVLQIFTFEFKKSGG >CAK8578979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665791085:665794416:1 gene:gene-LATHSAT_LOCUS30956 transcript:rna-LATHSAT_LOCUS30956 gene_biotype:protein_coding transcript_biotype:protein_coding MKPILAHLCISPNKRKANKKVNNVSSDETCLSNIHSDSLQNLFEIGLPCNESVEEKLCWIQSQIIGDDAEFDSPFGRRKLVYADHTASGRSLHCIENFITKHVLPFYGNTHTSESHVGSSTTKMLHEATEYIKKCLGGGEDEALMLCGSGATAAIKRLQEVMGIAVPSILRERMLRCLDEQERWVVFVGPYEHHSNLLSWRQSLAEVVEIGLDDKGLLDMEALKLQLEAYKDSNRPLLGSFSACSNVTGIYSDTRAIARLLHQYNGFACFDFAASGPYEEIEMRSGKIDGYDAVFISPHKFLGGPDSPGVLLMNKALYHLRSAPPSTCGGGTVNYVNGFNEKDTLYLEDIEERENGGTPPIIQTMRAALAFCVKEFINYKEIEKLEQFYINKALKRLMSNQNIEILGNMDTKRQAILSFVIYSTTKTKNKRGKILSGSFVATLLNDLFGIQSRGGCACAGPYGHHLLNINEPQSLEVRSIIQEGYVGAKPGWTRVSFPYYMKEEDFEFILTAIEFLSVYGQRFLPLYKFDLKNGSWKIRRHKLETLIKESKCCCKESKEKTISDYVKVGSEYNVGSKQIGVLRRKSYIDAKCIARRLPKFSSQSMLHLGVDVDPSVLHFIV >CAK8577572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575011390:575017288:1 gene:gene-LATHSAT_LOCUS29668 transcript:rna-LATHSAT_LOCUS29668 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGKNYQKKDVTNATTSSRRNLHVFSTQDMDDEIDAFHKQRDIVPLDINADSESDEDDEIPIFDSKDIDNDDSDDDEEEEEDDEDDDDDVEDEYDGNDKGYIAKLIRQKKYLESKHGGDEDGMQDDDEDEGDIKTITGGRKYSHGAENRNFELQASDDEAPKEEEKIATDMQREKAKGLTMEDFGLWDIDNDNLTSKDATDKGNRVIKPLDRDGTFKAEDLNALSKEEQMNVLHRSAPELVDWLSELNEAHTELECKINPFLSKVKKGETVLEGVVRYFELKQPLMLSYCQAITFYLLLKSEGQPVDDHPVIARLEEIKELINQIKQLDTELPVELEDILKGSSGLDHENVPMPADSITIHQEQPLVAAVSQEEAVPSNLVEKKKSDVSKDGVQKGRKVKDQKDYIGAQSLEMLKVRASLEEKLRQKGLYNQTTPKPTNSLKRSRPANGQLATYDDFDDDAVNVNGTAGLSNGLVSSKVSPFVNANLKKLKVASGDDDLPRRDDSHNRRWEHEERVLTGAGVKTEDDNGDADDDQMTDLGSHKLDDKKVTESRGDPENDVYKQAENLLAAKRAAKAAARLRKPAVPSLPEETVDGKRYITSQMAKNRGLTRSRNKNKKNPRKNYKLKHQKALKNRQGQVQSFRTQTAPYGGEASGINPTISRSVRFKS >CAK8577573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575011390:575017288:1 gene:gene-LATHSAT_LOCUS29668 transcript:rna-LATHSAT_LOCUS29668-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGKNYQKKDVTNATTSSRRNLHVFSTQDMDDEIDAFHKQRDIVPLDINADSESDEDDEIPIFDSKDIDNDDSDDDEEEEEDDEDDDDDVEDEYDGNDKGYIAKLIRQKKYLESKHGGDEDGMQDDDEDEGDIKTITGGRKYSHGAENRNFELQASDDEAPKEEEKIATDMQREKAKGLTMEDFGLWDIDNDNLTSKDATDKGNRVIKPLDRDGTFKAEDLNALSKEEQMNVLHRSAPELVDWLSELNEAHTELECKINPFLSKVKKGETVLEGVVRYFELKQPLMLSYCQAITFYLLLKSEGQPVDDHPVIARLEEIKELINQIKQLDTELPVELEDILKGSSGLDHENVPMPADSITIHQEQPLVAAVSQEEAVPSNLVEKKKSDVSKDGVQKGRKVKDQDYIGAQSLEMLKVRASLEEKLRQKGLYNQTTPKPTNSLKRSRPANGQLATYDDFDDDAVNVNGTAGLSNGLVSSKVSPFVNANLKKLKVASGDDDLPRRDDSHNRRWEHEERVLTGAGVKTEDDNGDADDDQMTDLGSHKLDDKKVTESRGDPENDVYKQAENLLAAKRAAKAAARLRKPAVPSLPEETVDGKRYITSQMAKNRGLTRSRNKNKKNPRKNYKLKHQKALKNRQGQVQSFRTQTAPYGGEASGINPTISRSVRFKS >CAK8568019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565031581:565032766:1 gene:gene-LATHSAT_LOCUS21021 transcript:rna-LATHSAT_LOCUS21021 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKQHTNKGAWTKEEDQRLINYIKTNGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEQEDDLIINLHNLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYNRGVDPQTHRPLKDYVVPPQARNNIIIKDVSIVSNSNKDNYANTKTNGTKLVCEDSNSNSSSGVSSDQEAYQHQQLNLDLSIGLPSSHPQIFPEKLKLQQPQDGDDQEETKVAPSHGVCLCYSLGFLRNKVCCCNKDIGNTATTAVTDNNMYRFYRPMNI >CAK8570679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:86717286:86717747:-1 gene:gene-LATHSAT_LOCUS23415 transcript:rna-LATHSAT_LOCUS23415 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNDTHRQVEDQIKRLAKYPQVFHALVLHLRIAKTPNVCQFVVVLLRKKITSHWSKLSPQDKQLVKDSLIQSITLGHNPPVWKVSVNVVSIVAKYVVLSDEWSELFSFLFHSSQSPQEDQRE >CAK8573786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636710536:636719590:1 gene:gene-LATHSAT_LOCUS26191 transcript:rna-LATHSAT_LOCUS26191 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLCCLFVLVVSQAKAIDPFSCDSNRGNYTANSTYDKNLKTLISTFSSHKEINYGFYNFSYGQDPDKAYVIGLCRGDLETNIQCLTNINNSFAYLKKQCPNQKEAIMWSGDVTLWYSNRSIFSLVETDPTMYLIFEFERIATNMDAYNKALSNLMAKLRIKAASGDTRRKYDADTGIDPVNYETIYGFVQCMPDLSGQECNDCLAEAISEIPNCCSRKMGGNILKPSCRLRFDPYPFYNSTVVLDSLPSTNNTSLGGNSKTPIVIAIAVPVAAVVLVLIFICIYLGLRKPKQNCEAYIGKYGDGDGDEEEMTNVESLRFNFNFILVATNGFSDSNKLGQGGFGVVYLGKLPNGHMIAVKRLSTNSSQGDEEFKNEVLLVAKLQHRNLVRLQGFTLEGRERLLIYEYVSNKSLDFFIFDATRKKQLNWEKRYNIINGIARGLLYLHEDSHLRIIHRDLKASNILLDDEMNPKISDFGLARLFIKGETQGSTNRIVGTYGYMAPEYVMHGEFSVKSDVFSFGVLVLEIISGHKNSASICHGENVEYLLSYAWRS >CAK8576178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:418698687:418701224:-1 gene:gene-LATHSAT_LOCUS28385 transcript:rna-LATHSAT_LOCUS28385 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGENLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8538815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496910957:496911838:-1 gene:gene-LATHSAT_LOCUS7971 transcript:rna-LATHSAT_LOCUS7971 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSYLPNVKSISFNHRRSDLQLKPTAVSMTMTQQTLSPRLYWDSLQADIEAHLKCSITIKQPLEVFEPMHHLVFSAPKTTVPALCLAACELVGGQRHQAISAASALLLMEAATYTHEHLPLTDRPRPGRNHVYGPNVELLTGDGIVPFGFELLARSDDGENSERILRVMVEISRAVGSTGVIDAQYMKTMDTRSDGEKICHVEEIMRVVEKYEGWLHSCGAVCGGVLGGGSEDEIEKLRKIGFYVGMIQGMAQRGFKEGKQVNEARNLALQELKFFKDKEVQAIKSLLNI >CAK8570660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83280688:83281927:1 gene:gene-LATHSAT_LOCUS23396 transcript:rna-LATHSAT_LOCUS23396 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRGVMAGQIGHGIMEEDVWRKGPWTSEEDRLLIEYVRLHGEGRWNCVARLTGLKRNGKSCRLRWVNYLRPDLKRGQITPQEENIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKNPSDAAEKAKNRILRKQLFHQQQQQLNYQQQQQLQFNMDMKGLMSLFEENNNNNSNNHRQEMFNNMCQNTTEEQQGNFMVNGNYCVPDSSADDNLWDGLWNLDEVHGNFSNKTGLYNLVAPYC >CAK8574129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664031286:664032041:-1 gene:gene-LATHSAT_LOCUS26503 transcript:rna-LATHSAT_LOCUS26503 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNLKLSSLFKTKETSPRNNHPWQFLPSCNHPPKTLSFRTPAGDDDIFKTVNSVFFDQVETPNSCFTTTSSDSASFSTESDEYYCYNDGELLETLVRGVKSERSSERLFFEAEDTSSILEKAKADGFPFKESVVLALESDDPYEDFKRSMVEMVESHGVKDWEGLEELLSWYLRVNGKKNHGFIVGAFVDLLISLTGSNDSSNSCSDLTLYSSAVSSFASSPTLYLSEGHNEITEIEDDKNNKNNVTGS >CAK8579464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701087330:701089620:1 gene:gene-LATHSAT_LOCUS31410 transcript:rna-LATHSAT_LOCUS31410 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRVFGKHKKQSSTVTTVNKLNETLDMLEKKENVLVKKAAAEVEKAKEFTKAKNKRAAIQCLKRKKLYEEQIEQLGNFQLRIHDQMIMLEGAKATTETIDALRTGASAMKAMQKETNINDVDKTMDEINEQTENMKQIQETLSAPIGAAADFDEDELEAELEELEGAELEEQLLKPATTAPAAQVHVQAGRQPTRLAHAKPTPEEDELAALQAEMTL >CAK8562913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:556232009:556232554:-1 gene:gene-LATHSAT_LOCUS16375 transcript:rna-LATHSAT_LOCUS16375 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNFSFSSTNQYGYGIRRRGNRCWCELESLLMTSWTYDNFGRRFHGCGNFKVMRKKVCNYFQWFDEDMSRCAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIMFMYYFVGLSMMFVFLIVFALVATHVLKLCNVKCCNFFGVYVFANEVVSNVAMVVYVSRRVMVVYVRFSKVYNST >CAK8571938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495135650:495136477:-1 gene:gene-LATHSAT_LOCUS24556 transcript:rna-LATHSAT_LOCUS24556 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIHGLWPSNRTYSQPRECSHDPLQLRAVSPIKVELENFWPNLEGMRVIDGFIFWSKQWKLHGTCSSMNAPEFFNLALQIYHKNKLKIILDLNGIKPGGTKKEKIRDIFNAIGKGIGKKPQILCKMCYLLEIRVCLNKVSVDYIDCTGQLTINCPVEVYFP >CAK8577574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575019022:575023932:-1 gene:gene-LATHSAT_LOCUS29669 transcript:rna-LATHSAT_LOCUS29669 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVKLCSAASAEFKSRVAVSDKGTVKTATMALDHHSPSLQILVRRPEECSLWTGPPFPNGQPNIKLDKVNCLNAKFSDDGSSFMVVKSNSIVSIYDCKSAQEIRSFQVPNLVAASLSPRGTFLQTFQKPAPQEKNVTLWKTETGDSVYQLSQKSMTRVNWPAIQFSSDEATAFRLATNELQFFNTGDFSKGFVYRLRVQGVASAELSSSPASHVAAFVPESKGVPASVQIYACGNASQSQPVARRSFFRCSTTQFKWNHGSTGLLAVAQSDVDKTNQSYYGESKLYYITTDGKYEGLVPLRKEGPVHEAQWSYSGLEFAVVYGFMPAKATLFDKNCNPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMVFWDYVEKKQLAATKAEWSVTSEWSPDGCYFMTATTAPRLQVDNGIKIFHYNGSLYFKKMFDKLYQADWKPESPNKFGDITELIKSLDSIKLEDKKPSGQGPKPAQASVKVSSANPTAQKPAAYRPPHAKIAASIKAELLGESSTETLSKNALKNKKKREKQKEKKAAADASS >CAK8577575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575019022:575023598:-1 gene:gene-LATHSAT_LOCUS29669 transcript:rna-LATHSAT_LOCUS29669-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDHHSPSLQILVRRPEECSLWTGPPFPNGQPNIKLDKVNCLNAKFSDDGSSFMVVKSNSIVSIYDCKSAQEIRSFQVPNLVAASLSPRGTFLQTFQKPAPQEKNVTLWKTETGDSVYQLSQKSMTRVNWPAIQFSSDEATAFRLATNELQFFNTGDFSKGFVYRLRVQGVASAELSSSPASHVAAFVPESKGVPASVQIYACGNASQSQPVARRSFFRCSTTQFKWNHGSTGLLAVAQSDVDKTNQSYYGESKLYYITTDGKYEGLVPLRKEGPVHEAQWSYSGLEFAVVYGFMPAKATLFDKNCNPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMVFWDYVEKKQLAATKAEWSVTSEWSPDGCYFMTATTAPRLQVDNGIKIFHYNGSLYFKKMFDKLYQADWKPESPNKFGDITELIKSLDSIKLEDKKPSGQGPKPAQASVKVSSANPTAQKPAAYRPPHAKIAASIKAELLGESSTETLSKNALKNKKKREKQKEKKAAADASS >CAK8563759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629427048:629429547:-1 gene:gene-LATHSAT_LOCUS17136 transcript:rna-LATHSAT_LOCUS17136 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLDIDDLPKNAANYTALTPLWFLQRAAQVHPTRKSVIHGSRHYTWHQTYQRCRRFASALSKLSIGLGNTVAVIAPNIPALYEAHFGIPMAGAVLNPVNIRLNAATIAFLLGHCTASVVIVDQEFFSLAEEALKIWSEKSETFKSPILIVIGDENCDPESLRYALSKGVVEYEDFLQSGDPEYDWKPPEDEWQSIALGYTSGTTASPKGVVLHHRGAYLMALSGALIWGMNEGAVYLWTLPMFHCNGWCYTWTLPAIFGTNICLRQVSAKAVYEAIAKYKVTHFCAAPVVLNSIVNAPAEETILPLPHVVHANTAGAAPPPSVLSGMHKIGFRVTHTYGLSETFGPSVYCAWKPEWDSLPPESQARLHSRQGVRYIALEGLDVVDTKTTQPVPADGKTVGEIVMRGNAVMKGYLKNPKANEESFANGWYHSGDLAVKHPDGYIEIKDRSKDIIISGAENISSVEVENALYSHPAILETAVVARPDEKWGESPCAFVTLKPGVDSSNEQRLVEDILKFCRSKIPAYWVPKSIVFGPLPKTATGKVQKHVLRAKAKEMGPIKNKISKL >CAK8563683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625011481:625012646:1 gene:gene-LATHSAT_LOCUS17067 transcript:rna-LATHSAT_LOCUS17067 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSQPLKYQTWFLKVSIHCEGCRRKVKKVLQNIDGVFTTAIDPQQKKVTVTGNVAVETLIRKLAKAGKHAEVLPENPPAKGKDSDKAKNSNKSEQRDKEQKKNNSLANSTESNHSSEVENAGEKNSSNKKTKGSPEKSSASGKGPEKDNKGGGDSGKKKIKKVQFSGETETRTRTRKNGLSSASNGAPAHTGSEIQCPGKVVGQVNLSPTRQQPYMMYPETCYPPLVQQHATYNRLCPMGTMDCPSYYVPPLPYMCSGLDRDSYQIQSAPLIPFEFFSDENANGCSIM >CAK8579266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689100951:689101958:1 gene:gene-LATHSAT_LOCUS31225 transcript:rna-LATHSAT_LOCUS31225 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHEPNPDDAAVWTSKTPIIRFTTVEMHQSDRVKLQFGMHQDISDAPIDLGQWHQKRVDAQWSVSDWKEFAKELRIHWKRRRQYVLTDRIVHGARPSLQYLTWFRSVTTSQSFLFQPTYLADPRERGSSSNPQQQFSVQTQPYENPYMPSNNPYQQRQPYVPPPTQSQPQPPYHYSPDTSFEPTPSTYSPDNSFDPPPSNYTSNYPSNDPQNYPLFNYHTLQQPTHIDQPNSMYTFGQPYRPYSTHPTRQSYENMGIELDYGSAAHSGPPGYWGQMMQTLSDMPGPSQPYPPPQLNTQRPDTPQQPLRRPRRNARPPQGGTGGHLNRAGH >CAK8579267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689101662:689101958:1 gene:gene-LATHSAT_LOCUS31225 transcript:rna-LATHSAT_LOCUS31225-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFGQPYRPYSTHPTRQSYENMGIELDYGSAAHSGPPGYWGQMMQTLSDMPGPSQPYPPPQLNTQRPDTPQQPLRRPRRNARPPQGGTGGHLNRAGH >CAK8569649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7250591:7252729:-1 gene:gene-LATHSAT_LOCUS22477 transcript:rna-LATHSAT_LOCUS22477 gene_biotype:protein_coding transcript_biotype:protein_coding METVETLHMNQGAGETSYAMNSFIQRKIISLTKEASEKAIMEILSSKRRPIMKMGIVDLGCSSGPNALRVMSEIVEAINAASNMLHQPAPKELMLYMNDLFTNDFNNVFASLPSFHKRIKQKKENNRYFGSNCFVSAVPGTFYGRLFPTKSIHFVHSSTSIHWLSRAPSGLMDKSGRWLNKGNLCISKNSPNCVLEAYSQQFQNDFSCFLESRSQEMVYGGRIVLSFFGRQSIDYTSSNCYCQWELLAQALMTMVSEGLVEEGKVDSFNAPYYACCYEELKIEIEKEGSFMVDSYEAYEVDWDDGTNLQSDHDLSLTSTRGERFSRALRAAVESIIEFHFGNHIMDELFGRYAILVEDHLSKTRATFINWIISLVKKP >CAK8564881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14894456:14895406:-1 gene:gene-LATHSAT_LOCUS18137 transcript:rna-LATHSAT_LOCUS18137 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSSIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQNEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTKNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8539973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533034841:533043363:-1 gene:gene-LATHSAT_LOCUS9019 transcript:rna-LATHSAT_LOCUS9019 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTPSSYLTPTQRYAAGALFGLALHQAQLHQTHPLGLSTDEYPSSSSSSSRAVFEDPDLWVHHTSGLLRPIFIFLDIDSGAWSGLEETSGSSLASSHVGPFLRLLSEEFDNDNSQRLDQELALSEAVEAMFLSLEKNSESSRSKREKLREYEHQCREKFSTADVQPNSEKVDTHLETQQEEDTLFFECEDPHGSSNSNTDEGPVEEVMMLSYQRKMTVLYQLLAACLSDIGERNTKYTRRRKGYDARHRVALRLLATWLDIKWTKMEAIEMIVSSSAMAILKEQMSNKETQSKESSWAKWKRGGIIGAAALTGGTLMAITGGLAAPAIAAGLGALAPTLGTLIPVIGAGGFAAAASAAGTVVGSVAVAASFGAAGAGLTGTKMARRVGSVDEFEFRAIGANHNQGILGVEILVSGFVFEEDDFVRPWEGQNDNLERYALQWESKNLMAVSTAIQDWLTSRLAMELMRQGAMLTVLSALLTALAWPAALLSATDFIDSTWSIAIDRSDKAGILLAEVLLGGLQGNRPVTLIGYSLGARVIFKCLECLAETENQAELVERVVLLGAPIAIKDVNWEAARKMVAGRFINAYSRTDWMLGVAFRASLLSQGLAGIQPIDIPGIQNVDVTNHIDGHSSYLWATQHILDELELETYYPVYNSILSKE >CAK8542448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515485122:515486354:-1 gene:gene-LATHSAT_LOCUS11287 transcript:rna-LATHSAT_LOCUS11287 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPPIFLPEDLITELLSFLPVKSLVRFKCVSNSWRTLISDSTFVKLHLKKSATQNPMFTLVTHHMKTFPGESPYVSYEENYSVVPYPIRTLLDNPAVSLFDDPYYYVKNKGSSKVIASCNGLILLTGDFFNGIYKEFWFRLWNPATKTISKEIGCFNFEKPFRFAFGCDDSTNTYKVVASRYIRNQRTTEVRILSLDDDVWRDIESFPVVPLHLDYAEYKIYDGVYSGVYLSGALNWLAIHNNIDYHRYIIKNITVEDFVIVSLDLRTEMYNQYLVPRGFDQVPPSEPTIGVLGGFLCFSYSYKKSDFVIWQMKKFGVEDSWTQFLKISYQNLQIDYDINDDTKYFLQLMPLLLSKDGDTLVLKSNKEDQAILYSWRDNRVERTKISKEGWICWQSFKAYVESLVPIY >CAK8572526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543494880:543497192:-1 gene:gene-LATHSAT_LOCUS25083 transcript:rna-LATHSAT_LOCUS25083-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFLGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIINVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKIFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYMGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDKIKTGISGGPVQLVGKNNVTMDLSTSLWSYKVGLNGERKRLYDLQPRSSVPWNTNSSHIPIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQNVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCASFSKGSWESTKSQSIVEAACIGKSNCGFLVTKEMFNVSVGVTNSTARLAVQATC >CAK8572525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543494880:543497303:-1 gene:gene-LATHSAT_LOCUS25083 transcript:rna-LATHSAT_LOCUS25083 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTMEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFLGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIINVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKIFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYMGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDKIKTGISGGPVQLVGKNNVTMDLSTSLWSYKVGLNGERKRLYDLQPRSSVPWNTNSSHIPIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQNVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCASFSKGSWESTKSQSIVEAACIGKSNCGFLVTKEMFNVSVGVTNSTARLAVQATC >CAK8564173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655053609:655054992:1 gene:gene-LATHSAT_LOCUS17502 transcript:rna-LATHSAT_LOCUS17502 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTDVGGRVISWSSAGSCGFKGTRSGTPFAATTATGNALRSVVDQGMQQADVSIKGLGRGRDAALRVIFRSGILLNVIRDVTPIPYNGCRAPKKRRWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQDIILPPSVEVIDNTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLEIWTNGKEENFNLENNQHKMTWPLFTFHDHDRFVKDKLRNTQKEITLKSILIDQLELPPRIYNCLKKSNRHTLFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8564174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655053985:655054992:1 gene:gene-LATHSAT_LOCUS17502 transcript:rna-LATHSAT_LOCUS17502-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLKVSTKTLQWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQDIILPPSVEVIDNTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLEIWTNGSFTPKEALYEASRNLIDLFIPFLHAEEENFNLENNQHKMTWPLFTFHDHDRFVKDKLRNTQKEITLKSILIDQLELPPRIYNCLKKSNRHTLFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8530221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8254374:8255444:1 gene:gene-LATHSAT_LOCUS105 transcript:rna-LATHSAT_LOCUS105 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRPRVIVNGVRRMRTFHYFWCLNCQRTIRIPSTNTFTDYGSFCPYCFHQLRYELDISRPRLLINDPNNMDPPTTTNQLMGSLAFILDPSFRRQNQNNTTLQWETEHEHDQNQNRNPQTWITLRFVRPIRPPRPIAPPPPPPPPPQNLVPRLNDNNSPSLDELFDGMIHNNSRPGPPPASPSAIEALPMVKVTESHLASDPNCPICKDEFEVDLEVKELPCKHFYHSDCILPWLRMHNTCPVCRHELQGIDNRNNANYYSFHNENEYDGFIGFEELTSSFIWIWSQIASIRPIRAVLDWTRSHFNNQARVRSNGNSWWRALLIS >CAK8566909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471856809:471858629:-1 gene:gene-LATHSAT_LOCUS20005 transcript:rna-LATHSAT_LOCUS20005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTLLLALILFTAVAIAETDHNLTSRQPSWSTAVEESDLLSPDVSVPDSDGGFSSMDSMLHWAISHSDPEKLKESAQVHEGLSPSELQKRQMEIKELMEKTKMLSDADLMKIAISDLNNVSISLEDRYRALLELLELVEPLDNANDLNKLGGIYALARELNHADPGIRALAAWVLGKASQNNPTVQQQVLEHGVLSKLIVMVKSDSIEEGIKALYAVSALIRNNLASQELFYAEDGGLMLQDILRNASIDIKLRRKAVLLLTDLAEYQLENVDKDEPSFFNGRDLLKSVVDLTASTELDLLEKALVAIKSLLQLKTTEARVFRDFCELGDALNRMKQLLNNLMRDEDQRDYVMDVENVRTEVENIFHRKLIK >CAK8540138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541317532:541320460:-1 gene:gene-LATHSAT_LOCUS9171 transcript:rna-LATHSAT_LOCUS9171 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIIALAATLGNLLIGWDSSTIAGGMTYIKQEFDLEKDPTLEGLIVSMSFITGTVVTIFSGTISDLVGRRPMLITSSVMFIIGGLVMFWAPNVTVVLLSRIIEGVAIALAVTFNPVYISEIAPADIRGQLNTLTQFACSGGMFLAYILVFSMSLLQSPSWRLMLSVISIPSVVYFLLTVFYLPESPRWLVSKGRILEAEKVLRRLRRVDDVSGELALLAEGLNPGGEDISIEEYVVAPASDILINQEAGKDYIKLYGPNEGVTMIAQPVDAQGSMLSRSMLSMHGSYASRSMLSQQGSFSSQAAANLKDPIVNLFGSLHESTLIENGRSNSMSINNANSIFSTGDPESSPFGTSDNLRTPLNPFHGGADRAHGSKDMLGIRSNSSLVHANDVETQRNTDIGGGWQLVYKSTDDAMGGKREGLQRVYLHADPSAAASVAQSSHVSFVSTSGYDIHVDGGEAFQAAGIVSRSVLGTSDALQLPEVAAKGPKWRAILEPGVKRALVVGIGLQILQQAAGINGFLYYAPQILEQAGVGGLLSNLGMSSISASFLVNIITTFCMLPCIAISIRLMDVAGRRSIMLYTIPVLIVSLLVLVLKQFLQLSSVLDASISAISVVVYESIFCMGLGIIPNIVCAEIFPTSVRGICISLTSLTYWVCTLAVTLSFPYLLQLLGLSGVFALFVGGCFISWIFVYLKVPETKGMPLEVIIEFFALGAKPGTDPAEIGMKD >CAK8572280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526121166:526128394:1 gene:gene-LATHSAT_LOCUS24862 transcript:rna-LATHSAT_LOCUS24862 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAVLDDIINRLLEVRSRPGKQVQLSEAEIRQLCAAAREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRVWKVFTECFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLQRPTDVPDTGLLCDLLWSDPSKEVQGWGMNDRGVSYTFGSDKVSEFLQKHDLDLVCRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKGRLTFGSTTTAKPGNSPAGVKSFLGAKV >CAK8569454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1353838:1355284:1 gene:gene-LATHSAT_LOCUS22303 transcript:rna-LATHSAT_LOCUS22303 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFGCFGGGDKRMAKEEERLASEEARARAAEAAQKRQEQFDNSAAGRAARAHQKGLAKQAANSNTGEPTLKWQMG >CAK8531359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104572354:104574847:-1 gene:gene-LATHSAT_LOCUS1156 transcript:rna-LATHSAT_LOCUS1156 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLEGGAAASLKAALDRVHQAADRSNRNLKEIRVVAASKTKPVSTLRQVYDAGHRFFGENYVQEIIDKAPQLPEDIEWHFIGNLQSNKVKPLLAGVPNLAYVETVDDEKIANLLDRAVAKIGRKPLKVFVEVNTSGETSKFGVEPALCLDLVKHIVTNCPNLEFCGLMTIGMLDYSSTPENFKTLSKCRTEVCAALGISEAQCELSMGMTADFEQAIEMGSTTVRIGTAIFGPREYPHKEEK >CAK8570338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37623563:37624896:-1 gene:gene-LATHSAT_LOCUS23092 transcript:rna-LATHSAT_LOCUS23092-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHNAVEMAKTVLEVADVAWTAVECGHHLCHHNPPATPDNNADNPSDHDLESLQSENRRLRSLLDQNLKLLHNLSESNSFINNCPPDLHVRLAATVRSDEYLTRLKCLQKETASGGNQFPFKEATEVDYRSADILINVDAKEPSWWVWVADETDPVNFEECSGIDDESYLIISEEHVVDGVANFMARCIMSNPKALVYTLTHSMHMPKWIIIIDICCILHCLLRYNCRSCHQRNYRKICQKHLLVQANWKRF >CAK8570337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37623293:37624896:-1 gene:gene-LATHSAT_LOCUS23092 transcript:rna-LATHSAT_LOCUS23092 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHNAVEMAKTVLEVADVAWTAVECGHHLCHHNPPATPDNNADNPSDHDLESLQSENRRLRSLLDQNLKLLHNLSESNSFINNCPPDLHVRLAATVRSDEYLTRLKCLQKETASGGNQFPFKEATEVDYRSADILINVDAKEPSWWVWVADETDPVNFEECSGIDDESYLIISEEHVVDGVANFMARCIMSNPKALKLSPEELQENLSKAFAGTSKLEKILDIWNAGKLFYTLSTWGLALAGLYQSRSLIKVAAKGVHSGGKLALKLKALKMAAP >CAK8575389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106217829:106219340:-1 gene:gene-LATHSAT_LOCUS27658 transcript:rna-LATHSAT_LOCUS27658 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAPTASETSVTIPQTTETVTVVDEALIHDERDKNGITINAAVESAAASEASALASASDGQKSRDMAVELMDKGNKAMKENDFDEAADNYSRALEIRVAHYGELAPECVHTYYKYGCALLYKAQEEADPLGAVPKKQDGSQHGSNKEGPVKSVVNVESSTASFPSNVEQDVTSNNQELEVDNVSGKNGQQDDGDSDAEGSAEGDEDESDLDLAWKMLDVARAIVEKQSVNTMEQVDILSTLADVALEREDFETSLSDYKKALSILEQLVEPDDRNIADLNFRICLCLEVGSRPEEAVAYLEKATSVCKARLLRLTNEVKSSSDSTSPASTLERDEQTCPGSESNNSIVDKQAEIETLTGLSSELEKKLDDLQQLISNPKSILAEILGLAAAKAGNGKEQSPGKVSSTQLATAHSGGGFDSPTFSTAHTNGSAGVTHLGVVGRGVKRSSNASVAEAGIPKKPALETTKDKRDGYAIINSQSGIVYVQPGTKQKLFGTHSQPV >CAK8571658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457016388:457018764:1 gene:gene-LATHSAT_LOCUS24299 transcript:rna-LATHSAT_LOCUS24299 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIETPNKVHSSNYTSPPPLNERILSSLTRRSVAAHPWHDLEIGPEAPKIFNCVVEIGKGNKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTICEDGDPIDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASSAYEAIEHSMTLYADYVVESLRR >CAK8565456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:220099763:220100242:-1 gene:gene-LATHSAT_LOCUS18673 transcript:rna-LATHSAT_LOCUS18673 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPGKGSKKKVAALERERDDLANVNTRKNEEINKMKEEIEKLRKDSEDSTVELEVETARLHKEVVESKVEAEKLRKILEEKENKIEMIEKEGKELRQENGEMEMKVRELERKIGVSEMKEAEKNSKRVRVEEEMKEKVDEKNKKVEELENVVRKRNF >CAK8571452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:421880722:421882600:-1 gene:gene-LATHSAT_LOCUS24117 transcript:rna-LATHSAT_LOCUS24117 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIFSLLLVFCISSLCMCSAFENRNQAGQSFNVVSYGAKGDGNTDDSNAFLKAWKDVCGTTRGNPTLIIPQDKQFMLQPLKFQGPCKSQTINVEIRGTIIAPKSRKSWKWVDSDSESWIVFSHVRGLVISGKGTIDGQGSSWWNDVGEGHRPTALRLLECENIKLSGLRHINSPKNHLSITSCTGALIFNLQMTAPKDSPNTDGIDISSSKHIVIQKSVISTGDDCVAINSGSQFINITDVYCGPGHGISVGSLGKGGCYATVEDVYVRNITFTGTTNGARIKTWVGGSGYARKITYEDIRLFGVKNPVIIDQHYDALQGLNKAVKVSDVTFRNIAGTADDEKAIELNCDRIGCTKIILENIKITGLHGKPISAACNNVQGSSSSCTPKVPCLT >CAK8575078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26850788:26851615:1 gene:gene-LATHSAT_LOCUS27368 transcript:rna-LATHSAT_LOCUS27368 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQTQMLSFYAIFLSILLTTILFFKVNSTETTSFLITKFGPDQQNLIFQGDGYTTKEKLTLTKAVKNTVGRALYSSPIHIWDSTTGNVANFVTSFTFVINAPNSYNVADGFTFFIAPVDTKPQTGGGYLGVFNSKDYDKTSQTVAVEFDTFYNAAWDPSNRDRHIGIDVNSIKSINTVSWKLQNGAEANVVIAFNAATNVLTVSLTYPNSLEEENVTSYTLNEVVPLKDVVPEWVRIGFSATTGAEFAAHEVLSWSFHSELGGTSASKQAADA >CAK8563296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590787264:590787618:-1 gene:gene-LATHSAT_LOCUS16721 transcript:rna-LATHSAT_LOCUS16721 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPRPPHKWLTLLDMGYVIANRYNVSPNVSIYCIGFINRNHWVQVSMKEGFPLPPVTVDWKKFRSPGRLQHWQQLTPILPTHYEL >CAK8573172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591118740:591120506:-1 gene:gene-LATHSAT_LOCUS25649 transcript:rna-LATHSAT_LOCUS25649 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPLGTSVSPTPIYTSKISSLNINFKKSSAFPSWVSPSSLELSILSPSQSGLCRASQVVDLFPTVSPEITVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRMDRLVAMLAGFSLPSGCKRTCLVAVIGNSLDQTFLFGSEDFKIAGLDGKLSLNKGYVAGILTVDTVADFLPRKGPLRQRRTGVAYISNVAVREKFRQKGIAKQLVAKAESQARSWGCRAIALHCDLRNPAATKLYEGRSFKSIKVPEGANWPQPKTSPDVKFNFMMKLLNKSAVS >CAK8569591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6301136:6302000:1 gene:gene-LATHSAT_LOCUS22428 transcript:rna-LATHSAT_LOCUS22428 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSNSKPFLLFNMLFLLGNLASAAVFTIQNQCSYTIWPGTLSGNGAAVLGRGGFLLAPGASVNLTAPSGWSGRFWARTGCKFDGAGNGQCITGNCPGGLNCIGGGTPPVTLAEFTIGSAGNGGKDFYDVSLVDGYNVGMGIWANGGTGDCQYAGCVTDLNSNCPTELRVGGGGGSGSVVACKSACLAFNTEEFCCTGEHSTPETCSPTRYSEIFKKACPTAYSYAYDDASSTCTCSGSSYLITFCPRGSS >CAK8574599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3455028:3459066:-1 gene:gene-LATHSAT_LOCUS26929 transcript:rna-LATHSAT_LOCUS26929 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGENWPSDPDLGLIDDATFDDEYHSNEKNLTEMMLELSATLPDSNKIMDDASILDKARSYVKQLQERVKKLEQNAKPNNSGTSTYILPEVKAKVSKMEVLITIHCEKQNGVMVKILTQLQKLHLIIKSSSVLQFGKSTFGITIVAQVHIN >CAK8570544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:58035116:58035691:-1 gene:gene-LATHSAT_LOCUS23284 transcript:rna-LATHSAT_LOCUS23284 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFKIHVSSSPSSSFFFSSITASTVDQGSRSCDTGTHKRKYRQQETNNNSSLLNLSSYPNETNVCLHLSLYFCNCIEIAKKQKLAHQDTNVDAVVPVGTSSDPWKIKKVLTLSDVGYNSRLLLKKELAKEWIVPFLNKAEAEKDGVKVAVFDVDTQSLRSLVFKIWPSNGSHVFIDTWIFCMQKEFTGWR >CAK8571414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:403418498:403423553:1 gene:gene-LATHSAT_LOCUS24081 transcript:rna-LATHSAT_LOCUS24081 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFLIHALIPSSNSVALLAGFFAYLAIVGSILPGKLVPGVVLSDSTRLHYRCNGLLSLLLLVGLLWISAKMEFLSPTAIADSGLELLSTTFIFSFLATLVLYFSGCKSRNKGSSLKPHISGNLIDDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLLINLSVLAKSIQDGTLSKSMILFQLFCALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLRNKVELTTAAAVANCFVFLIGYMVFRGANKQKHDFKKNPKAPIWGKPPKVVGGKLLVSGYWGVARHCNYLGDLLLALSFSLPCGISSPIPYFYPIYLLILLIWRERRDEARCSEKYKDIWLEYRKLVPWRILPYVY >CAK8532035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194975224:194986881:1 gene:gene-LATHSAT_LOCUS1776 transcript:rna-LATHSAT_LOCUS1776 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMATTKRIETYEDFAKVHAILLAASGLPEPLHRSLFQKLSTETFDGGEHFQIEPCEENRKRRLVLTSDSMAKDSNVFLIDHAWTFRLPDAYKQLLEVSGLAERMSSLMCVDTGINLDGETVLEDVGDEVSRDKLDVVEVVESEVQEAKKKGDGTLRWLELDGLDIDDDTLLSLDLPARFPDLVALSLYGNKLTRADLIVKEVIKFKHLKGLWLNNNPILNNRDSEFADIILKELPELEIYNSTFTSNFGEWALGFCAEIYGKDSPTNAGQADSPLLSVSNLDLSDRNIHNLINKEFSPICLPSLSYLNIRGNPLEQNSVANLLGLLRGFPCLCSLEVDIPGPLGGSAVEILESLPNISELNGISTSKILESGKHVIDSVLLPRLPEWTPDEPIHDRIISAMWQYLMTYRLADEEKLDETSVWYVMDEFGSALRHSDEPNFRVAPFLFMPEGNLASAVSYSILWPTQNVWKGDECTRDFLLGIGEDKQRSARLTAWFHTPENYFIQEYEKHNQKLQSTSLLPPTVQSSESKSIRPHDGRLLRVYTDIPHVEEYLTHPDFAIIKDPKDADIIWTCVQVDEELKKATGLTDQQYINQFPFEACLVMKHHLAETIQKAHGSPQWLQPTYNLETQLGQLIGDYYVRKREGLDNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEQPALFQGKKFDLRYVVLVRSMHPLEIFLSDCFWVRIANNQYSLDKSSLFEYETHFTVMNYRGRINHKNIKDFVREFEEEHQVKWLDIHTRVRSMIRSVFEAAAVAHPEMHSPTSRAIYGVDVMLDSSFQPKLLEVTYCPDCTRACKYDMDIAVGDGGVSKGSDFFNNVFKCLFLNEISQVSPL >CAK8532036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194975224:194986881:1 gene:gene-LATHSAT_LOCUS1776 transcript:rna-LATHSAT_LOCUS1776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMATTKRIETYEDFAKVHAILLAASGLPEPLHRSLFQKLSTETFDGGEHFQIEPCEENRKRRLVLTSDSMAKDSNVFLIDHAWTFRLPDAYKQLLEVSGLAERMSSLMCVDTGINLDGETVLEDVGDEVSRDKLDVVEVVESEVQEAKKKGDGTLRWLELDGLDIDDDTLLSLDLPARFPDLVALSLYGNKLTRADLIVKEVIKFKHLKGLWLNNNPILNNREFADIILKELPELEIYNSTFTSNFGEWALGFCAEIYGKDSPTNAGQADSPLLSVSNLDLSDRNIHNLINKEFSPICLPSLSYLNIRGNPLEQNSVANLLGLLRGFPCLCSLEVDIPGPLGGSAVEILESLPNISELNGISTSKILESGKHVIDSVLLPRLPEWTPDEPIHDRIISAMWQYLMTYRLADEEKLDETSVWYVMDEFGSALRHSDEPNFRVAPFLFMPEGNLASAVSYSILWPTQNVWKGDECTRDFLLGIGEDKQRSARLTAWFHTPENYFIQEYEKHNQKLQSTSLLPPTVQSSESKSIRPHDGRLLRVYTDIPHVEEYLTHPDFAIIKDPKDADIIWTCVQVDEELKKATGLTDQQYINQFPFEACLVMKHHLAETIQKAHGSPQWLQPTYNLETQLGQLIGDYYVRKREGLDNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEQPALFQGKKFDLRYVVLVRSMHPLEIFLSDCFWVRIANNQYSLDKSSLFEYETHFTVMNYRGRINHKNIKDFVREFEEEHQVKWLDIHTRVRSMIRSVFEAAAVAHPEMHSPTSRAIYGVDVMLDSSFQPKLLEVTYCPDCTRACKYDMDIAVGDGGVSKGSDFFNNVFKCLFLNEISQVSPL >CAK8534430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725952265:725954869:-1 gene:gene-LATHSAT_LOCUS3977 transcript:rna-LATHSAT_LOCUS3977 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFRSQKVHGDYKSLDVAIVKATHADNSLPKEKHIRYIFQSLSPSKPRSEVVYCIHTLARRLNQTNNWSIVLKTLIIIHRAMRELDNTVWEELVNYSTGQGHLIDLSRFHDTSMPNALDYSAWIRNYSLYLGERLQCFLILNHDVATYSSKSSGKLDTKELMEQLPALQSLLFRLLDSKPAGASAFNRLIQYALSMVAGESVKIYVAITVRVVELLDKFFEMNRDDAVSALKIYRKSGSQAERLSEFFVTCRGLDFGRGQKFVNIKQPPASFVATMEEYIKEAPNTLMLEYDVNGKEEEEGGTGNNVGNLMSLDESDPSPEGNEAAAPTPAADLMGLYDLLTGASEYDENPLALAIVPTENSISSSNDECEASPVTGWEVALFSEQEESCDQNIVAENIEGEEPGVLKLDGLYDEPVAAAQHDGVYEIGQVSSNPFDFQAIHDPAQYNMALEPPNGFYVDHNIPGQFVSMQPYQVSYNTPQQQQQEGEPYQMIKKSTNPFDEPNFLPPPSTSSVHQHPTETT >CAK8538511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485580850:485583935:-1 gene:gene-LATHSAT_LOCUS7700 transcript:rna-LATHSAT_LOCUS7700 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKIEKEQKERKEKARLKVQKEKKAKEDARIQREAIEAAQRSRRIDAAEAQLKADQQMQENLLAGRGIVFYRLLEAVPYQGSGDKIKLPPSCFTDLSDHGALDKGPMYFQLSLTHKDGSSGIQGTNEEKTGTTHSGVLEFTADEGSVGLPPHVWNNLFCEGSIMESPLVEVRYVWLPKGTYAKLQPERVGFSDLPNHKAILETSLRQHATLSQGDIFIVNYGELEYKLKVLELKPSSSVSVLETDIEVDIVDPIEFSEQTHQHVLIPVVFRTPQTGTVEEGKFVYYKFSIDNDTWEKISSGSSSIEVKLESDTGEGDTDLFISRHPLIFPTRHQHEWSSHDIGSKTLILSSKDKSLAAGTYSIGVYGYKGTTEYKLSVTIQDNLNQKLGQQASSSMSSMELDTEQCRNCRHYIPSRTIALHEAYCSRHNVTCQHEGCGVVLRIEESKNHIHCNRCGQAFQQVELEKHMKVFHEPLKCPCGIVLEKEQMVEHQASVCPLRLISCRFCGDMVQAGSSAMDVRDRLRGLSEHESVCGSRTAPCDSCGRSVMLKEMDIHQIAVHQKG >CAK8544305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677021515:677021955:-1 gene:gene-LATHSAT_LOCUS12997 transcript:rna-LATHSAT_LOCUS12997 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSGA >CAK8578919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662102942:662103352:-1 gene:gene-LATHSAT_LOCUS30897 transcript:rna-LATHSAT_LOCUS30897 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8561305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:143003789:143007853:-1 gene:gene-LATHSAT_LOCUS14912 transcript:rna-LATHSAT_LOCUS14912 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKESCRSELRIAIRQLSDRCLYSATKWASEQLVGIEQDPSKFTPSYTRFQRGSSSIRRKFRTHEVIATPPAGVSYVVTPVMEEDALVDTDFYLLAKSYFDCREYKRAAHVLRDQIGRKSLFLRCYALYLAGEKRKEEEMIELEGPLGKSNAGNQELVSLEKELSTLRKNGTIDPFCLYLYGIILKQKGNENLARTVLVESVNSYPWNWNAWTELQSLCNSVDTLNSLTLSSHWMKEFFLAGVYQELRMHSESMLKYEYLLGTFSFSNYIQAQIAKVQYSLKEFDQVEAIFEELQRNDPYRVEDMDMYSNVLYSKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKAVVYFKRALKLNKNYLSAWTLMGHEFVEMKNTPAAVDAYRRAVDVDPCDYRAWYGLGQAYEMMGMPFYALHYFKKSVFLQPTDSRLWIAMAQCYETDQLRMLDDAIKCYKRASECNDREAIALHQLAKLHSEMERPEEAAYYYKKDLERMENEEREGPNMVEALLYLASYYKTQKKFKEAEVYCTRLLDYTGPEKETAKNLLRVMRSSQSSFASTDVDHFPP >CAK8564789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9617571:9621245:1 gene:gene-LATHSAT_LOCUS18055 transcript:rna-LATHSAT_LOCUS18055 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQEGDENGKCSVVGLAIKGNKKSKYVVQWALDKFVAEGVLIFKLIHVRAVIKGVPTPMGDVLPISQVRNDVAMAFKREIEWQTNHMLLPFKSLCEQRKVHVDVVVIESDDVVNAIAEEVTKDAITKLVVGASSSGIFRSKHKGMSARISVCTPTFCTVYAVSKGKLSIRQSDTQIDRNISDNTSEISFSSSSSSNYTSTTQTESGSVASYAALHSSPLATRRVKALSGIEQTLSSLDLTNHSRGQSLDLGRKNAATSSSKNSDVDHDISQASSSGSISDTESRFYDQNLAKFVPQVTKPPSPNRQENLNLELQKLRIELRHAQGMHAVAQTENTDASQKLNELNKRRLEESMKMKEIIAMEEMAKELAYQEREKYEAAAREAEYLKQCAEREAAERKETELKAIHAAKEKEKLEDALSGSTPQYRVFTWDEIVTATSSFSENLRIGMGAYGMVYKCTLHHTTVAVKVIHSNGIRKSKQFEQELEILSRIRHPNLLLLLGACPDHGCLVYEYMENGNLEDRLLQKNGNIQIPWFVRFRIAWEIASALSFLHSTKPKPIIHRDLKPSNILLGGNLVSKIGDVGLSTILDSDNISAMYKDTAPVGTLSYIDPEYQRSGLISTKSDVYAFGLVMLQLLTAKPAIALTHIVETAIDDESLADILDPKAGSWPIQETLEIARLALSCAEMRRKDRSDLNDHVLPMLERLKEVADKAQHSASIVPIKSKPPNHFICPILQDVMDDPCVAADGYTYDRKAIKKWLLDHDKSPMTNMALPHKFLIPNYTLLSAILEWKT >CAK8570436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46285129:46285713:1 gene:gene-LATHSAT_LOCUS23183 transcript:rna-LATHSAT_LOCUS23183 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTSFISNSHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPIMPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASVRVVSTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKRIGEAYNKHRDINYKERKPTQLKC >CAK8568142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576175520:576178082:-1 gene:gene-LATHSAT_LOCUS21133 transcript:rna-LATHSAT_LOCUS21133 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPHNRNLIKQHQLRIYEESQSYLREQNLSLLRKLNQKEKLLQRYKSEQDMNARALKKFELENQKLASACQELLDRGNNLEKEIALYEHDREALMEFGNEADEREQQARSRVLELERNLQFVMDELKNYKHQKDLKGSSPYCSVEIKNLLDSLLETLVSKDDDSTHAFLKANLENGSCKRLLSMWNCLKPSTHRVLSLVAKIKSLQKDKEHLRINLHKAEEEVKLLFDENSILDKENKQLLKKYKERNHPSSGEKHTGSQSAKSNKRKSSSPRTNSPVEQKERNNSNSSEKHTTSPSAKSSKKMCSPRTSRSSAVERKIDFDDIDSARQPLSPLAYNSPDCRIHKK >CAK8573272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599341816:599344817:1 gene:gene-LATHSAT_LOCUS25740 transcript:rna-LATHSAT_LOCUS25740 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGSSDNDGARINFSNLAPVAPDQSGEGLPYAPENFPNPGDIWRWKAGKRISSNGNYRDRYLYLPCRLAASYRGGGFPSKLAAERYVRESFPHVNTVDFFASFSWTIPSGLPGNMNPVADGCLRQLELREQPESDFDIGGCKAGNKKCSSLILDEEKENSSVAPCDICCVEPKFCRECCCILCYKTVDSAYGGYSYIMCKVKLGDNICGHVCHLECALRSYSAGTVGGTIGLDAEYFCWRCDGRTELISHANKLLQTCEAIDTDDDDDDDTKEKILKLGICLLRGSEKDAAKELLSHITSAVSKLKHGTNTEDVLKVDDNLTANSSGSSGNGNAARDTTEDEAPLKYLNVQEGTKSFRYQSELLKLDAEFDKAMEDLEKSQKFEYKLAEESLHTHKKYLLNISQQLDNEKSELASESNTSRSSVLLQTIENRNEQLRQELKEFEEMKKVANGFGSTSKETLEKHFGL >CAK8579200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683196046:683202686:-1 gene:gene-LATHSAT_LOCUS31165 transcript:rna-LATHSAT_LOCUS31165 gene_biotype:protein_coding transcript_biotype:protein_coding MQWEPEGGGNVAGFAGGRLSSLIKWINAELPNFNLPLETSEEELRACLSDGLVLCSILDKLVPGSLEGSGSLNEPMDVERFLVALDELGLPGFELSDLEQGSMVPVLQCLENLKAHFVYNAARENVQSYPRKRWEQPDLTSLAETDSCLMDASNFQHVVDGSVVSDGIASTDHIGFKSNELLQLKQALHVDLSDAKLNALLKSNNLDSISTRFLFSILNRILSDIFERKNGDIPQAQHAACLLRKILQVIELRFSNQSESMKNQNNIFKAREGKYQTKLNAVETLAAGAAEENELVTGWVQKLKFSLQLEQTKFEEKKKLEEQDFSQLKKDKVRSDIEIAALKQDLEIAKRSHEEHVSQLKIQASESKAEYEKRIQELKFHLADARKQVKELEAFSETRYLNWKNKEHTYQSFLNQQSGAFKELKAVMKSVKDEVIKTKRSYLEEYKYFGIKLKGLAEAADNYHVLLTENRKLYNEVQDLKGNIRVYCRIRPFLSGQNQNHTTVEFIGEDGELIISNPLKQGKESRKLFKFNKVFGQAACQEEVFLDTRPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPNLSSKSDWGVNYRALHDLFHISQSRENSIGYEIGVQMVEIYNEQVRDLLSSSGPQKSGPQKRLGIWNTTLPNGLAVPDASMHSVKSMKDVLELMNTGLMNRATSATALNERSSRSHSVLSIHVRGTEVKTNTLLRGCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIYALSQKSAHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVASYSETISTLKFAERVSGVELGAARSNKEGRDVRELMEQMTSLKDAVARKDEEIARLQLLKSNHNGAKLETISPRHMPSSPRRHSIGTPRNSTRLSGTRSLGVNEKIASDMDNVSEYSDKHSEAGSHQSTDDFRNKASSLRLKLAREDADQNFNDDIELLGFGDADSSERLSDISDGGLSMGTETDGSISSIVEYSLFPDLDKAAETTPAKDITSNKLPSQSAEKSNMPSRIPKAPQIQSKPPTKSSRLSLNKSTSKVSSSIKKPTAGSSSAASARSSKRWQ >CAK8578423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628358569:628365616:-1 gene:gene-LATHSAT_LOCUS30436 transcript:rna-LATHSAT_LOCUS30436 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYEYDINDLYQEAQRRWLKPAEVMYILQNNDKYQFTQEPPQRPTSGSLFLFNRRVLRFFRKDGHAWRKKRDGRAVGEAHERLKVGNVEAINCYYAHGEQNPNFQRRSYWMLDPEFDHIVLVHYRDTGEGRVSSGPGTQLSPGSSSAFSQSPRSYNTQNRNSTSIVDDSCGPNQSFSSPGSAEVTSDIFILNNGMGHSEGTEAESGTSSELKITQALRRLEEQLSLNDESFEEIAPFYNENEATHDSKSQNHQGVIYKQEESAALSGPDSPGLLYDGYNGRQGDSGESYSELLDRDFPDGNEKALSWKNEYLPAGNRESSQSCSIREPVTNQGNCCWTTFNTDNAGNSVFSLPQGVGGGTLPPYSSMVETRDTRSGYYAPLFDQNQIGASHDACSSLTVSQKQKFTIKAVSPEWGYASETTKVFIIGSFLCHPSESSWACMLGDVEVPVEIIQDGVIYCEVPSHIPGNVTLCITSGNRESCSEVREFEYRDNTKSCTKCSPLEIEATRTLEELLLLVRFGQVLLSASPVSDDNNESGIFIKQKADDDSWSHIIDALLFGSGTASSTADWLLEELLKDKLQLWLTCRSRKVDEETGCSLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLIASGASAGAVTDPSAQDPIGKTAASIAASSGHKGLAGYLSEVAVTSHLSSLTLEESELSKSSAELQADLTVSNVSKENIAFSEDRASLKDSLAAVRNTTQAAARIQAAFRSHSFRKRRVKEAVGVMSGHDINANSIEDMPELYAISKLAFRNSREHDSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYRVLWAVGILDKVVLRWRRKGVGLRGFKPEMEVNENEDEDILKVFRKQKVDVEIKEAVSRVLSMVKSPDARDQYHRMLEKYRQAKAELASTSEEKLLTTSIEDYLNMEDDSY >CAK8537068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:76547364:76549536:1 gene:gene-LATHSAT_LOCUS6384 transcript:rna-LATHSAT_LOCUS6384 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALAPYPSSTFRPTSIRCANDRQALFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWTGAKMGDSVLDVCCGSGDLAFLLSNNVASNGKVIGLDFSKEQLMVAASRSFSKNCYKNIEWVQGDALDLPFDDGWFDAVTMGYGLRNVVDKRKAMQEILRVLKPGSTVSILDFNKSNELLTSSITEWMIDNIVVPVASVYGLSEDYKYLKSSIREFLTGKELEKLALEVGFSTARHYEIGGGLMGCLVAKH >CAK8568593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621428403:621429108:1 gene:gene-LATHSAT_LOCUS21535 transcript:rna-LATHSAT_LOCUS21535 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEVVAGVRLKYATEILGCRAFKAKRLARQIVEGDSSKKFSLLWSYGVDLRRASAGNTFKVNIHCRAPGLQPRFKSFYICFDGTKKALIKECRPFIGLDGCHLKHKYGGIFLIDVGSDPNDQYFLIAFEVVENEAKDSWSQFIKLLIEHIGESKWCFIFYQQKGLVLVFEEEYPGFEHRLCLRHLYANFKKKFGGGTLFRDLMLVA >CAK8537151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:109775160:109779104:-1 gene:gene-LATHSAT_LOCUS6461 transcript:rna-LATHSAT_LOCUS6461 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLELGKRIYMNFTRALATTSCKHVRGSSFTSIFAEQSVYASARCIPDFINRSFPGAAGFYIGSKESGTRCFHASSQVWARSDAAYGLKTPKKEKYVRKDSRNQPPVEAPYVPRNVTSAKSNPDKTIEIFEGMTLVELAKRSGKSVSSLQDILTNVGEKIESEFDPLTMDVAELAAMEVGVNVKRLHSTEGAELLPRPAVVTVMGHVDHGKTSLLDALRLTSVAAKEAGGITQHLGAFVVGMSSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAVSHAKLANVPIVVAINKCDKPGANPEKVKLQLASEGLLLEEMGGDIQVVEVSAVQKTGLDNLEVALLLQADMMDLKARFDGPAQAYVVEARLDKGRGPLVTTIVKAGTLVCGQHVVIGSQWGKIRAIKDTAGRLTQRATPAMPVEIEGLRGLPMAGDDVIVVHSEERARMLSSGRKRKSEEDRLRGKMVQNVPTTSDDSEEGVPIRVEMPVIVKADVQGTVQAVTDALTTLNSPQVSVNIVHVGVGPISQSDVDLAQACGACIVGFNVKSPPISLSQAATRASIKVILHRVIYHLLEDIANLIIEKAPGTSETQVAGQAEVLNIFEVKGSKSKGPDVKIAGCKVVDGFVNRSATMRLLRSGEVVFEGLCSSLKREKQDVDSVKKGTECGLVISNCSDFQIGDVVQCLEQVVRKPKFVKSESGAVRIEC >CAK8538166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466386666:466397192:-1 gene:gene-LATHSAT_LOCUS7395 transcript:rna-LATHSAT_LOCUS7395 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQFGATAESLSKASTAVFRIGTDAHLYDDPEDVNIAPLLDSRFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASPALEVKKLVYLYLLHYAEKRPNEALLSINYFQKDLGDTNPLVRAWALRAMAGIRLHAIAPLVLVAVGKCARDPSVYVRKCAANALPKLHDLRMEEHTSAVEEIVGLLLNDHSPGVVGAAASAFTSVCPNNFSLIGRNYKKLCEILPDVEEWGQIMLIGILLRYVIARHGLVKESFMFSLNNKDHRNLDEDEHYDTLKEDADYAVEKSVSELAQMIFQCYIEGPDEFLSRSSSTIKVTPKLDESQYTSFSHEVVKILLQCTSPLLWSHNSAVVLAAAGVHWIMAPTEDVKRIVKPLLFVLRSSYASRYVVLCNIQVFAKAMPSLFAPHYEDFFIYTADSYQIKALKLEILSIIASEASISFILKEFQDYIRDPDRRFAADTIASIGLCAQRLPKMATTCLEGLSTLIRQESLCGEIIRSLDGEEGVLIQAIMSIISIIKLEPPSYEKVIIQLVRSLDTIKVPAARAMIVWLLGEYGSLGEIIPRMLSTVLKYLAWCFTSEGLETKLQILNTITKLLLCIKGEDSQTLRKIWTYIIELAERDLNYDIRDRSRFLKKLLSSNLESQNVEEENDESLKKGQSCVLAECIFGGQTKTVTVPSEPINDRFYLPGSLSQLVFHAAPGYEPLPKPCSLPYIEQYDRAVKSDSSEVDDPGTSGSSDDENASDYSSEQSISGSSEGSGSNETVSGDEGENNHDPLIQISDTSNVNANQNGGDHSGTSGFSDLMSTKSLESWLDEPSKTSKGSETEQSQVRKSSARITIGNIGSRIKPKCYTLLDPANGNGLLVNYTFSSETSSISSHLVCLELLFENCSLEPMFDIVLIDEDSSQSADSTDQISQAAENALKSNIDKPALISMEAIPSLEPGQKGKRTLLVRFHHHLLPLKLALFCNDKKFPVKLRPDIGYFVKPLSVNIEDFRDKESHLPGMFEYVRSCTFNDHILKLNKESNSPTEDTFIVICETIALKMLSNANLSLVSVDLPVASNLDDASGLCLRFSSEILSNSMPCLITVTVEGKCFDPLTVSVKVNCEETVFGLNFLNRIVNFLAEPPVTNS >CAK8571503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432542233:432546147:-1 gene:gene-LATHSAT_LOCUS24163 transcript:rna-LATHSAT_LOCUS24163 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHLLLEEPIRMASILEPSKASFFSAMTKIVGTLGPKSRSVETISGCLKAGMSVARFDFSWCDPEYHQETLENLKIAIKSTKKLCAVMLDTVGAEMQVVNKSETTITLEIDGQVVLTPNQGQEASSEILPINFDGLAQAVKTGDTIFIGQYLFTGSETTSVWLEVSEVKGNDVVCIIKNSATLTGSLFTLHASQIHIDLPTLTEKDKEVISTWGAKNKIDFLSLSYTRHAQDVREAREFLSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALQKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICSEAEKVFNQDVYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASIIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESILKVALDHGKALGVIKSHDRVVVCQKLGDASVVKIIELED >CAK8573623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625694324:625696771:-1 gene:gene-LATHSAT_LOCUS26052 transcript:rna-LATHSAT_LOCUS26052 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATLFSKTSFPSHSLTNSFDTHFAPSTRINLAVKNKPKTNRKLRVSNGLIEPDGGKLVELVVEGGKRDLKKGEALSLPRVKLSRIDVEWVHVLSEGWATPLGGFMREREFLQTLHFNSLRLEDGSVVNMSVPIVLAIDDAQKHRIGDSKKVALFDSKGNPIAILNDIEIYKHPKEERIARTWGTTAPGLPYVEETITNAGDWLIGGDLEVIEPIKYHDGLDHFRLSPSELRDEFTRRNADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGYTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPQDFIFISGTKMRTLARNKESPPDGFMCPGGWKVLVDYYDSLVLSSNGKVPEAVPV >CAK8533337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:604552855:604553396:-1 gene:gene-LATHSAT_LOCUS2975 transcript:rna-LATHSAT_LOCUS2975 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFLAVSLSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVTIWDKHASPPGLVCLLFTIIGGVLYQQSVTGNVLPQRDTVVVTKQSDIESNHVGDGDLEDESEVKGIR >CAK8541315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:118149132:118149524:-1 gene:gene-LATHSAT_LOCUS10244 transcript:rna-LATHSAT_LOCUS10244 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGDESEHASAKVDSPIKSPFSSNKLEFHPNRVVTKIKKKVSFVLEIEKDQYTIWIELFEVHACAQKVVHHIICNQEKKILPPLMLILKCGQPLTPQCINRFISPSLLISLPLFWKRDPPPWLLESV >CAK8537603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407267489:407267632:1 gene:gene-LATHSAT_LOCUS6879 transcript:rna-LATHSAT_LOCUS6879-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMTA >CAK8537602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407267489:407267978:1 gene:gene-LATHSAT_LOCUS6879 transcript:rna-LATHSAT_LOCUS6879 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARGVVRSPLNLII >CAK8541891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:423456753:423456953:-1 gene:gene-LATHSAT_LOCUS10780 transcript:rna-LATHSAT_LOCUS10780 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKTLETTCKEIKSLIAKFWWGSKNGERKLHWLSWDKLARAKGEGGMGFRGISEFNTSVLGKQY >CAK8572237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523939433:523940932:-1 gene:gene-LATHSAT_LOCUS24823 transcript:rna-LATHSAT_LOCUS24823 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDNYRSFGSVSGVESDVNSSESCADPDEVDRVCKVIDELFALDRNMEAVLDECGVMLSHDLVVDVLHRFKHARKPAFRFFCWAGKRPGFEHDSRTYNSMMNILGKTRQFETMVALLEEMGEKGFLTMETFAIAIKAFASAKERKKAVGIFDMMKKFKIKVGVDAVNFLLDSLGAAKLVKEAQVVFEKLRDRFVPNLQTYTILLNGWCKVRNLLEAGRVWNEMIDKGFVPDIVAHNIMLQGLLRCQKKSDSIKLFEVMKAKGPSPNVRSYTILIQDLCKRNMMREAVEYFNEMVDHGCQPDAALYTCLITGFGRQRKMDTVYDLLKEMRERGYPPDGRTYNALIKLMVSQHMPDDAVRVYKKMIQSGIEPTIHTYNMIMKSYFATRNYEFGQAVWDEMRHKGCCPDDNSYTVFIGGLIRQGRPDEACKYIEEMMQKGMKAPQLDYNKFGADFSKYGNPVILEELARKMNFAGKFEVSNVLASWVDMMKKNSKRTDATL >CAK8543233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590823694:590826527:1 gene:gene-LATHSAT_LOCUS12004 transcript:rna-LATHSAT_LOCUS12004 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFDMADSLLGKLASYVCEEASRAFGVYEDLQGIKDTLSIVKGLLLDAEEKKKQPHALREWMRQIQNICSDAENVFDGFELEHKKKQVLEASSSTRLKVSHFLSSSNPFVFRCKMAHQIKEIKDRLDKVATDGTRFGLAATSVDPGFIPQRREMTFSHVDALDVIGRENDREEIIKLLMQPHPQGDGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSASESIPSAHENINNLDIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKGGEIGSKIIVTTRSNSIASMMGTFHSYVLDALSHESCLSLFVKWAFKEGEEDKYPNLMEIGKQIVIKCRGVPLAVRTLGCSLYSKFDLNKWKFARDSEIWNLKQNEDDILPALKLSYDQLPSHLRHCFTYFSLYPKGFTFNCVKMTNLWGALGLLQSQDGNQSLESIARDYIDELHSRSFLQDFKDFSHFYTFKVHDLVHDLALYVAKDECVVVDSHTRNISQQVRHFSMIDNGSLDSALFPKSKSVRTILFPIKGVGLDSESLLDAWILRYKYLRILDLSDSSFETLPNSIDKLKHLRVLYLSDNCNIKRLPCSICKLQCLQVLSFAGCMNLETLPKGLGKLISLRKLYISTKQSVLSLDEFASMSNLQTLYFRNCDNLEALLGEVQLASLEVLTFYRCGSLVSLPLCSFSKLEALQVTDCKRLQLRLPENPQSKTKRWRMKYLRLENFPELHTLPEWIERAAETLQTLIIINIPMLWKLPDCLTRMTCLKGLCIARCPLLDSFPSGMQHLTFLEALTIDGCPKLCRKCQPHYGEYWPMISHIKRVSIGEEEEKEEERVPQEGEMSNDDLLPYLSDEVEKEE >CAK8533677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649104668:649107384:-1 gene:gene-LATHSAT_LOCUS3282 transcript:rna-LATHSAT_LOCUS3282 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKLFSSGIEHASFVTSYEILKKTWNVISSSYEDIVSNVGVGLCWNVYKEQNSDLTIIAFEATSDCCNLKSGLVLSSDLKEKNFLQFEFLCSKSNPFFSLNGESVSLFCDNFQKLEQLKSEILSKNPVTPLIVTGKGLGGSIASLFTISLLDNIGSTTNRPLCVTFGSPLLGDKKLQQAISRSSYWNSCFLNIVSCNDPLPRLFIANHVPFGTFLFCSDSDSTCFENPDSNLEIIITLSKVHDQNQGFLSDEYGNIVEKLRRNAVFKDSSTLAGDRTRSDSLAIGINLQLRALGLTSQILQELETKIKKLEERFILLKRISFDPSKKLNQMKGHVAQLEWYKKETKNRDIGYYDSYKNMNSPFDHDVVEFHKKLTNYWEKMVEEVEMKPQKEGAAFRTRWIFAGTTYRRMVEPLAIAQYYKEGGRDYVNEKRSKHFKNLEEWLKEGSKKAKIELNSTSRKTVEVILTIDSCFWAHVEEAILACKELKEVKDKDKDEVVKKLVEFEDYVYGLLKDYAVSPEIFLRQSSFMSWWKDYRAIKGFSYTSKLADFMNDSRKIKQYGLGAYEFP >CAK8538811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496851589:496851921:-1 gene:gene-LATHSAT_LOCUS7967 transcript:rna-LATHSAT_LOCUS7967 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIQIPSTFDPFAEAKESDAPGTKEYVHIRIQQRNGKKSLTTVQGLKKEYSYEKILKDLKKEFCCNGNVVNCKDLGKIIQLQGDQRKNVSHFLVHAGLVRKDNIKIHGF >CAK8563435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603577214:603577564:-1 gene:gene-LATHSAT_LOCUS16847 transcript:rna-LATHSAT_LOCUS16847 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVRQVYYVPYPSIVPCKRGWCVVIKTKPLGHIETDKIVEDIGYQVDEISQINDVIEVERMTSLCDTMVEGHQVDASILLEENNVDGENDEFGYEDNIISDDENDMDEEHEESE >CAK8539477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513683690:513683983:-1 gene:gene-LATHSAT_LOCUS8567 transcript:rna-LATHSAT_LOCUS8567 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGHEFPFYVMEGVIFNEFLIEVYPWYKKITRQQFKLDCKTFYEAEKVKMKKSMSLINRTSLTTDLWWPGEHKICYMTVIGHFIFKMTASQKSFIF >CAK8572447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537579897:537581793:1 gene:gene-LATHSAT_LOCUS25017 transcript:rna-LATHSAT_LOCUS25017 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEDDGDILRHLMNSNLASPSSTQTPPPPTSTVISPMNSHFSALSSTDTLQIIFEKLPIPDLARSSCVCRVWNSVASQRDIVTRAFLAPWKLKDVVGNPLSRSFWRDNSLAKFAISHRIVRGDSVASLAVKYSVQVMDIKRLNNMMSDHGIYSRERLLIPISNPDILIKRTCFIELDVNAKREVAVLYPDDVPDIKSSYVSTRISSEETNKKVLDSLKRSMQVDSETAQYYWSVSNGDPRAALAEFSSDLQWGRQVGHS >CAK8565342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:140202753:140207648:-1 gene:gene-LATHSAT_LOCUS18563 transcript:rna-LATHSAT_LOCUS18563 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKLNFSKIYSFRCGQKPNFRTGNPQIGKQGFSRVVLCNEQDSFESDFRNSYADNSVRSTKYTVANFLPKSLFEQFRRVANFYFLVTGILAFTKLAPYTAVSAILPLCIITGATMVKEGVEDWRRKKQDIEVNNRRVIFHKGDENFEYTEWKNLKVGNIVKVKKDDFFPADLLLLSSSYDDAVCYVETMNLDGETNLKLKQGLEVTSSLNEDFKFRDFKAAVKCEDPNANLYSFVGSMEFDGQKYPLSPQQLLLRDSKLRNTDYVYGAVIFTGHDTKVIQNATDPPSKRSKIEKKMDRIIYFLFCVLFFIAFVGSILFGIVTKRDLHNGVMKRWYLRPDDSTIFFDPERVVAASVFHFLTALMLYNFFIPISLYFSIELVKVLQCIFINQDINMYYEELDKPALARTSNLNEELGQIDTILSDKTGTLTCNSMEFIKCSVAGVAYGRGVTEVEQAIGNISNNSPMIHQQINRSEPEPDDIREVRNRKEPIKGFNFMDERIMNGNWVNEPRADVIQKFFRLLSVCHTAIPEVDEDTGRVSYEAESPDEAAFVIAAREVGFKFYKRTQNSVSMKELDPVSGDEVNRTFKVLNVLEFNSSRKRMSVIVKDEEGRILLLSKGADSVMFERLVKNGREFEEKTLEHMNEYADAGLRTLILAYRELDEEEYNEFENKFSKVKNSVTVDRESLIEEVSDKIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFSCRLLRQGMKQIIIHLEIPDIQALEKDGDKKAIINASRESVYHQISEGSKLLSASKGPSQQTFALIIDGKSLVYALEDNTKNMFLELATRCASVICCRSSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMLQEADVGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRMSTMICYFFYKNITFGFTLFLYEVYASFSGQPAYNDWFLSFYSVLFSSLPAIALGVFDQDVSARYCHKFPILYQEGVQNVLFSWRRILSWMLNGFISAIIIFFFCTKAIGLQAFDENGQTAGKSMLGETMYTCVVWVVNLQMVLSVRYFTLVQHIAIWGSIALWYFFMFTYGSLPPSFSTNAYKVFVETLAPTPSYWIVTLFVMISSLIPYFSCSTIKMWFFPMHHERVQWMRYGGKKTNDPE >CAK8544297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676966193:676966927:-1 gene:gene-LATHSAT_LOCUS12989 transcript:rna-LATHSAT_LOCUS12989 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDSDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCSENYAIEEHTISRWSPEQCRERSI >CAK8577234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546227845:546230018:-1 gene:gene-LATHSAT_LOCUS29359 transcript:rna-LATHSAT_LOCUS29359 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSMKTASKFAGLGVARSRFRGTSATHPVEQQVRSASRTSSPTRLSTQGAKGADVKPLHTAVSGDLSDWEFADEGDLFMTGGEPTPRVVFGDVPTFKEAQEATAELKDAIDQIYLSSGNSQCEGSSPGSQLSVVSPPAKETGTKSCLVEAISSPSVPKHAIHAFQLLSTSPEAQTVVQSIACDPNIWNAVMKNPAVTSFFESQLAVESSNDAAFAGSETEETPKKEEGNAFDFMTILQNLKLTVTEMVGRMSNFFQNIFPTAEKDKSSADGGGANFMDYKNLMGGSFMGLAVMVIMVVLMKRV >CAK8577233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546227845:546230018:-1 gene:gene-LATHSAT_LOCUS29359 transcript:rna-LATHSAT_LOCUS29359-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSMKTASKFAGLGVARSRFRGTSATHPVEQQVRSASRTSSPTRLSTQGAKGADVKPLHTAVSGDLSDWEFADEGDLFMTGGEPTPRVVFGDVPTFKEAQEATAELKDAIDQIYLSSGNSQCEGSSPGSQLSVVSPPAKETGTKSCLVEAISSPSVPKHAIHAFQLLSTSPEAQTVVQSIACDPNIWNAVMKNPAVTSFFESQLAAVESSNDAAFAGSETEETPKKEEGNAFDFMTILQNLKLTVTEMVGRMSNFFQNIFPTAEKDKSSADGGGANFMDYKNLMGGSFMGLAVMVIMVVLMKRV >CAK8579781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722499602:722502608:1 gene:gene-LATHSAT_LOCUS31698 transcript:rna-LATHSAT_LOCUS31698 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTWTQTILLSFQIVGVVYGQLSTAPLYVFSTMRSEDLDSEEVVYELFSFIFWTLTTISFIKYALIVLKADDNREGGTFALYSLLCRNAKVGLLPCEVTTAPPSKINSDSRARRAIEKHKFCHYFILFLALFGSCMTIGAAVLTPALSVLSASYGVERSLSELSHLFTSSQHTRDSVSNALQKYVPVPAACVILIGLFVLQPCGTNRIGFMFAPIIAVWLLFVGVVGVYNIIRWDVEILYKISPLYLFKFMRNLDVSRWRLLGSAILCAAGSEAMFAGLGHFSKKSIKITFICLIYPLLVICYAGQAAFISKNLKTNNFNHLSQSMPSHSKHIFIVVSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTIHGQVYIPDVNWLLMIFSIAVTIGFRNMVKIGNATSLAIISGMLVTTSLMSLVIALYWEKNLLISACFLLCFGVVEVAYLSACMMQFHRGAWYLVVLLIVSMTVMLSWHYGTVKKYEFDLQNKVSTEWLIDVSPGLGISRVAGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIMVSFKSMPVPHVPESERYLIGRIGPRDYKIYRCIVRYGYCDNIRDTNDFEEKIIRAIGEFISIEQVTDIESMVSPDERMIIVGNSSDGNALVPLMNETSNQVSIINEAQVSPIAMDDNDHMASSSKSCKKRKKVRFMLPPASSVPKMDESVKKELLELIDARESGSAYFLGQSHLVVRGGSNYLKIFLIMVFRFFEKNGREPPVALKIPHAALVEVGMICTI >CAK8579782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722499778:722502608:1 gene:gene-LATHSAT_LOCUS31698 transcript:rna-LATHSAT_LOCUS31698-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEDLDSEEVVYELFSFIFWTLTTISFIKYALIVLKADDNREGGTFALYSLLCRNAKVGLLPCEVTTAPPSKINSDSRARRAIEKHKFCHYFILFLALFGSCMTIGAAVLTPALSVLSASYGVERSLSELSHLFTSSQHTRDSVSNALQKYVPVPAACVILIGLFVLQPCGTNRIGFMFAPIIAVWLLFVGVVGVYNIIRWDVEILYKISPLYLFKFMRNLDVSRWRLLGSAILCAAGSEAMFAGLGHFSKKSIKITFICLIYPLLVICYAGQAAFISKNLKTNNFNHLSQSMPSHSKHIFIVVSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTIHGQVYIPDVNWLLMIFSIAVTIGFRNMVKIGNATSLAIISGMLVTTSLMSLVIALYWEKNLLISACFLLCFGVVEVAYLSACMMQFHRGAWYLVVLLIVSMTVMLSWHYGTVKKYEFDLQNKVSTEWLIDVSPGLGISRVAGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIMVSFKSMPVPHVPESERYLIGRIGPRDYKIYRCIVRYGYCDNIRDTNDFEEKIIRAIGEFISIEQVTDIESMVSPDERMIIVGNSSDGNALVPLMNETSNQVSIINEAQVSPIAMDDNDHMASSSKSCKKRKKVRFMLPPASSVPKMDESVKKELLELIDARESGSAYFLGQSHLVVRGGSNYLKIFLIMVFRFFEKNGREPPVALKIPHAALVEVGMICTI >CAK8576365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466932998:466934071:1 gene:gene-LATHSAT_LOCUS28558 transcript:rna-LATHSAT_LOCUS28558 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSKLFHQFNHIRTFVNARVKWVRDPDLDTAVLKEKNLKHVISLKNHIVSSPSKSLSIYNASMLKSSLELPTTTIKFVEKYRHVFCQFQPSPGLPPRIKLTTQALSLHKEEMEVHNSPTNREETVRRLARLLMLAGMARLPIYVIEKLKWDMGLPHDYVTTLLAYYPDYFDVCVVKDPSSGEEVLALELVSWRKDLAVSELEKRVMSLNYGADKRRHDIAFPMFLPTSFALEKRVKTWVEGWQTLPYISPYENAFHLDSYSDQAEKWTVAILHELLSLLVSKKTERQNLIYYGECLGLGLRFKKALVHHPGIFYICNKIRTQTVVLREAYRNEFLVKKHPVMGMRYWYIHLMTKT >CAK8538695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493146563:493155082:1 gene:gene-LATHSAT_LOCUS7868 transcript:rna-LATHSAT_LOCUS7868 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKKKGRGNPNYGRNPKVEESTRIRLTQALEQFQTSNDEVYKFEAGLSNEDRRYVHQMAQKMGFKSKSQGNGKERRLSIRKVTKKGENDNQFGNLPLFTFSEESKRALGELFAHFPPGDGNLKDIVGENSGRTDNTRHKAADIFSRPSMTKDEITRKLDTLTSRRESASDLKEITELRSKLPIASYKDIITSTVDSHQVVLISGETGCGKTTQVPQYILDHMWGKGEACKILCTQPRRISAMSVSERISRERGEIAGENVGYKIRLESKGGKQSSIVLCTTGVLLRVLVSKGSRRSKMKHVKDEISDITHIIMDEIHERDRYSDFMLAILRDILPSYPHLRLILMSATIDTARFSQYFGGCPIIQVPGFTYPVKTYYLEDVLSAVKSNKGDSSTFSIPTSNHKLSEEHKHSFDEAINLAWSNDEWDLLLEFVSSEGTPELFNYQHSLTGLTPLMVFAGRGRVGEMCMLLSFGADCNLKAKDGTSALEIAERENQPEAAEILKKHLDGSNSTDEQSLLNKYLETVKPELVDVVLIEQLIKKICSESKDGGILVFLPGWDDINKAREKLHASSFFKNPSKFVVISLHSMVPSLEQKKVFKRPPPGCRKIVLSTNIAETAVTIDDIVYVIDSGRMKEKSYDPYNNVSTLQSSWISKASAKQREGRAGRCQPGVCYHLYSKLRAAALPDFQIPELKRMPIEELCLQVKMLDSSSKIEVFLAKTLDPPVSESIRNAIVVLRDIGALSADETLTDLGEKLGSLPVHPVISRMLFFAILMNCLDPALTLACASDYKDPFTLPMLPEDKKRAAEAKSELASLYGGCGDQLAVLAAFECWNNSKKMGLEARFCSQYYVSGGAMKMLSGMRMQLQTELIRIGFIPSDVSSYSMNAYDPGVLHAVLLSGMYPMVGRLCFPNKGAKRAIIETASGDKIRLHNRSTNFKLSFKRNLGQALVVFDEVTRGDMGINIRNCSLVGQLPLLLLSTEIAVAPGEEDGHRKEEEEEEGEDYDDEGSGDDGMELDTEPSEKNQDKFMSSPDNIVRIIVDRWLYFGSTAIDVSLLYCLRERLSAAILYKVTHPRNPLPPILGASMHTIACILSCDGCSGMTVPADGMDKLTSMVIATNLGKPPTLAQPTRSQRYGNRPKGSSAEFINHGGFQNGGPSKASTSTSSNIGNPSGINSQHLPHFT >CAK8574765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8747612:8758430:-1 gene:gene-LATHSAT_LOCUS27075 transcript:rna-LATHSAT_LOCUS27075 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPKPNPVAVRDLVEEAKKRIVILIVCVVGLSYLMSLTSSSVWVNLPAAASLIIIFRYLSLDYEMKRKAAAYNNKASSTNIQSSKKHTENPKAVAKFEWRAKVNSPVVEDAIDHFTRHLVSEWVTDLWYSRLTPDKEAPEELVQLINGVLGEISGRMRNINLIDFLIRDLVNLVCTHLELFRASISKIEKQHTGSLTIESRDTELKIVLAAENKLHPALFSSEAEHKVLQHLMNGLMSVTFKSEDLQCSFFRYTVRELLACTVIRPVLNLANPRFINERIESVVINKTKTNKGVDAAQGVSHTKADEPQTSSDHFSKCLDPSVTGVELTQLKNSQSRNAETPAERNASDNLARDPLLSIDTRSSRTWNSLPGNSQSNGDQGVQQHRSGGEWGDILDVVSRRKTQTLAPEHFENVWAKGKNYKKKDGENQSNERAPQHPPIEKSPKVNHIKAISGPKEKVTRLKLNSSTGCDTNSGYSRQLTVENPTFRTDKNGSTRSSVTSYKADEHNHINMHTSESESNTSYTSEDDETSNVTGLGSPGTKVWDGRSIRNQAVSYVHHPLENFDNHSTKKRNKNRSRYPRPFRSQSGSKRSRSSDHKTQMWQEVERSSFLSGDGQDILGSSKSHVNSDESSDEVDFESLGRIYSGAAASSSSSISKPESCSLVVNPLISSSVDSFYKLRCEVLGANIVKSGSRTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEFPEYNLHLPPKHFLSTGLDVAVIQERCESLDKYLKKLMQLPKVSESIELWDFLSVDSQTYIFSNSFSIMETLPVGLDSKSSEKTKISSPVSASGSDPFAFQRENYSSESKESVLRRRNNPVDNGLRPKLNSMPLSLPAKKNTQESRQSFDNSASNADILARKSAPSSNNPQKSVKGRDRSDEVSDVNRDTADTLPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRQAFWVAKQVLQLGMGDALDDWLLEKILLLRKGSVIASGVTRVEQILWPDGIFLTKHPNRRPPPTSPSQNSPKSHQPTPVSSPRMGDEQQEADRRAKFVYELMIDQAPPAIVGLVGKKEYEQCARDLYFFLQSSVCLKLLAFDLLEMLLLSAFPELDDVFKQLHEEKHKFGELVK >CAK8536583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:254259:257879:-1 gene:gene-LATHSAT_LOCUS5939 transcript:rna-LATHSAT_LOCUS5939 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSGSDGVKRKISYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVIKPTAAKDRDLCKFHADDYVAFLRGITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNQGVSDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKIHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGDVRDIGYGTGKYYSLNVPLDDGIDDETYHSLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIELDDKMPQHEYYEYFGPDYALHVAPSNMENKNSRPLLDDIRSKLLENLSRLQHAPSVPFQERPPNTELQERDEDDDDRDERWDPDFEMDVDSNSLTGRVKSEYAEAEHKDAESYHNHLDSRRDTVMAFKDIACSKVTVSRVDSMAVDEPFIKEEQDKLAEVSDQKPR >CAK8530171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4029343:4035209:-1 gene:gene-LATHSAT_LOCUS60 transcript:rna-LATHSAT_LOCUS60 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVELSEGKIGFVMEFPVGDDESLSSSPVRLPKRLRRRLLDTECKSPSSVEEIEAKLRDAEIRRQKYYEKLSSKARAKPRSPSRCSSQDEDLGQRLEAKLQAAEQKRLSLLTKAQMRLARQDQLRQAAKNGVEMRHANERVKLGTKVESRVQQAEANRMLILKAHRQRRASLRERSSQSLMRRMTRESKYKERVRAAIHQKRAAAETKRLRLLETEKKRAHAQVLQARHVAKSVTHQREIERRKKKDELEDRLQRAKSQRAEYLRHRGRLRGYAYDNWIRMSPQAEYLSRKLARCWRRFLRQNRTTFTLTKAYAVLGINEKSVKSLPFEQFAALIESASTLQTVKTLIDRFESRLRVLTTVVPSSYFSSLDNIDHLLKRVVSPKKRATPRSSARSPAKKADSVKKSNDSLSKLSRYQVRVVLCAYMILGHPDAVFSTMGEREIALAKSAQEFVKMFELLIKIILEGPMKSSDEEPASAVKKQCTFRSQLAAFDKAWCSYLNCFVVWKVKDARSLEEDLVRAACQLEASMIQTCKLTPEGVGISHDMKAIQHQVTEDQKLLREKVLHLSGDAGIERMESALSETRSRFSRVRDGGSPVGSPMTQYMSPSPTPLSTVASSSERNISNASNKTSRVVRSLFKETDTSPIESSFSSPITSSNTQPITTSEKLIAPNEAIVNEFLHEHHLSFADGFDFSDHIQNSIEGKIKQTMEKAFWDSVKESVEQDQPNYDQIIQLMEEVRDEICEMAPISWKDDIIAAIDLDILSQVLKSGKLDVDYLGKILEFSLVSLQKLSAPANEKIIKAKHKALLCELSEICQSRDESNNACVVALVKGLQFVLEQIQILKKEISKARIRLMEPLVKGPAGLEYLRNAFANKYGSPSGASASLPSTLRWISSTSNFKDQEWVEYKNSSSALTDNSSQEGLPSTTLRTGGNIMLKRTGSPMVFSPDGSNTKGDQQPECKGEPIDLVVRLGLLKLVSGISGLTQDDLPETLSLNFSRLRSLQAQIQKIIVISASVLICRQIILSEKAVASSTDMENAVSKCAEQLLELLERVENAGIDDIVGVICNLPSVDGEEDAGKVQSRKAVAARMLGKSLQAGDAVFERVFNAVYSALRGVVLGGTGAQGKKLAEMALLKVGAGALTERVVEAARVLIVAATVSVGVHGPWYKYLTDNNI >CAK8561272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:133011625:133015726:-1 gene:gene-LATHSAT_LOCUS14880 transcript:rna-LATHSAT_LOCUS14880 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDQEIKQVLITNEDASRSDDENSPIEQVALTVPVIDDPSLPVFTFRTWILGTLACVFLSFLNQFFGFRREPLSVTAISAQIAVVPLGHLMAATLTDRVFMKGKKWEFTLNPGKFNVKEHVLITIFASSGAASVYAIHFVSAVKVFYRKEITVLVALLVVLTTQVLGFGWAGVFRRYLVEPAAMWWPQNLVQVSLFRALHETEERQKGGLTRNQFFLITFICSFAYYVFPGYLFPMLTSLSWVCWVFPNSVIAQQLGSGLNGLGLGAVGFDWSSICSYLGTPLASPWFATANIAAGFGIFMYIVVPIAYGLNLYHARRFPIFSDGLFSSNGKKYNISAITDSNFHLDLEAYQREGPLYLSTMFAMSYGIGFACLSATLVHVLLFHGSDILQLSKSAFQGKKIDIHTKIMRKNYKQVPEWWFLCILLFSITAAMFVCEYYNDQLQLPWWGVMLACVVAVSFTLPVGIIRATTNQAPALNVITEYIIGYIYPGYPIAVMLFKVYGNMSMKQAIFFLQDFKLGHYMKIPPRAMFLAQVLGTIIAAIVHLLTAWWLIETVPDICHRELLPAGSPWTCPGDHVFYDASVIWGLIGPRRIFGNLGHYSAINWFFLAGATAPLAVWLAHKAFPDKPWIKLITMPVLLGALSEMPPATPVNYTSWVLVGFASGFVAYRYYRGWWTRHNYLLSGALDAGLAFMGVFLYLCLGMQHISLDWWGSNSDRCPLASCPTDSGVISKGCPIF >CAK8531862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:167441917:167444900:-1 gene:gene-LATHSAT_LOCUS1625 transcript:rna-LATHSAT_LOCUS1625 gene_biotype:protein_coding transcript_biotype:protein_coding MQENNKVDVSDNPSPPLPPPPPPQQQQHNRRPRTREVSSRFMSPSIPSTQRRQQRDDSDDENSESSFPIGYSFQKKLQQQRTVKLFKETTNRVFDNIPNPSSSSHHPSKQPPSRIATPCPSRPDTPTHTMSISSRYRQTPHQQHHRSINARSSAASKLLQSTAMSSSRSSRDDIDIHCSTQSLPELRPETGDVLVQQPKVPTGSVAEKFGNNLSRSVSLSSSGVDRVKVGGLPPVPPQFAKSAADSRKGKKGSQQDDVTSLKLLHNRYLQWRFANAKAENANKVQQKECEKALLSRAMKISEMRDSVNKKRIELERLRRLQTLSIVLEAQTPYLDEWSALEEDFSVSITEAIQALLNASVQLPTGGNVRVDVKEVGESLNSALKMMETIISNTQKLMPKAEEIDTSISELARVVGGERALIDECGGLLSKTHKSQVEESSLRAQLIQLYSFWHKNKSKE >CAK8539223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507045417:507052104:1 gene:gene-LATHSAT_LOCUS8337 transcript:rna-LATHSAT_LOCUS8337 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKSRNFRRRADTNDDDDLSSTPTTLPSKPPAPKPKKPQVPKLLSFADDEENDTNETLRPRSSKPHHRVSKSSSHKITTHKDRISHSPSPSNVQPQAGTYTKEALRELQKNTRTLVTPTTSSRPISTNSDAKPSSEPVIVLKGLLKPVTSERESDSEDREEVEAKFASVGIQNVKDALIPDEETIKAIRAKRERLRQARPPAPDYISLDGGSNHGAAEGLSDEEPEFRGRIAMFGEKGEEGKKGVFEDVDERGVDERFKGVGDVVVEVEEEDEEERMWEEEQFRKGLGKRMDEGPSRVAGGGDVPAVQVAQQPNFVGPSAATVYGAVPNVAAYANTSIGGAIPATPALDVIPISQQAEIAKKALLDNVRRLKESHGRTMSSLNQTDENLSASLLKITDLENSLVVADEKYKFMQKLRNYVSNICDFLQHKAFYIEELEDQMKKLHEDRASAIFEKRATNNDDEMIEVEAAVKAAMSVLSRKGDNVEAARSAAQDAFAAVRKQRDLPVKLDEFGRDLNREKRMKMIVMAKACQRRRSKAFDSKKLASMEIDDHKVEGESSTDESDSESQAYQSQRDLVLQAADEIFSDASEEYSQLSLVKKRMEEWKREYSSSYNDAYISLSLPLVFSPYVRLELLRWDPLHKGLDFQDMKWYKLLFTYGLPEDGKSFVHDDGDADLELVPNLVEKVALPILHYEVSHCWDMLSQHETMNAIAATKLIVQHVSHESEALAELLVLIRTRLADAVTSLTVPTWSPLVLAAVPDAAQVAAYRFGVSVRLLRNICLWKDIFAMPVLEKLALDELLYAKVLPHCRSISENVQDAITRTERIIASLSGVWAGPSVTADRNRKLQPLVAYVLSLGRILERRNMPENDLARRLKKILVDLDEYDHARNMARTFHLKEAL >CAK8537725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:424214798:424223735:-1 gene:gene-LATHSAT_LOCUS6987 transcript:rna-LATHSAT_LOCUS6987-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGFRASIPNSVKKTIQNIKEITGNHSDEDIYAMLKECSMDPNETTQKLLLQDTFHEVKRKRDKRKENLNNREHVEPRGRPVTHGRGPRGGRGNFSSHTISHDASGRKTSVTGKDSGARLPSEKIMPQPSASQQIISKGKSSGTSSVPITANGPTNVASGTTRSASPSPPPAGNKDILVQASVNNNNNNNVDSASSSDKSNQVATSASETGPASSSAHFSSSDPVLVPTDNSWLPGVVGAIRCEVGSQHSLGESNAVNSAKNKLTAASETGSPSVQEKIQGKSPRVAKNYGNETPSPSASVTLGSPSVSRPSSNYNNQSQQLNGPQKAAVSNKEWKPKPTNTYNQNSRPATAPEAPPVSAEATRQLQSASSALDTEEATSKLQGKLENSHIPQHQHVILPNHIIVPDSEKNKFCFGTLGVNFGVDTRSCVSGPNSEKSSNSTPHSEISQNIEETVEEQDSSQNGALTSDVEDYPDHPLSPTNTPVDLELGEVDGSSSAIQESNESKQDTALPSEGHQYPGVHISPNYSYGFVPPMLGPQLTPFDNSESQTRDISRLPSFIVHPQLDPAGYYAQFYRPGTDSDGRLSPFASAAATTKYNGNITVLPAPNSQSPQEGILSTAGQTPLTTQAAGLMQSTIAITQQPVPVFRPSAVHLSHYPPNYIPYGHYFSPFYVPPPAIHQFLGNGAFPQQPQATTVYPPPPTVAAPGIKYSLPQFKPGTNPANTTHLVMPNAFGLYASSPAGYNHNSGTTAGNSNSNDDLGSSQFKESNVYITGQQSEASAVWVAAPGRDMTNLPTSSFYNLPPQGPHVTFAPTQAGHGTFASIYHPAQAVTAATVHPLLQQSQTIAGAVDMVGPGGNVYQQPQHAQINWPSNY >CAK8537724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:424214798:424223735:-1 gene:gene-LATHSAT_LOCUS6987 transcript:rna-LATHSAT_LOCUS6987 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGFRASIPNSVKKTIQNIKEITGNHSDEDIYAMLKECSMDPNETTQKLLLQDTFHEVKRKRDKRKENLNNREHVEPRGRPVTHGRGPRGGRGNFSSHTISHDASGRKTSVTGKDSGARLPSEKIMPQPSASQQIISKGKSSGTSSVPITANGPTNVASGTTRSASPSPPPAGNKDILVQASVNNNNNNNVDSASSSDKSNQVATSASETGPASSSAHFSSSDPVLVPTDNSWLPGVVGAIRCEVGSQHSLGESNAVNSAKNKLTAASETGSPSVQEKIQGKSPRVAKNYGNETPSPSASVTLGSPSVSRPSSNYNNQSQQLNGPQKAVSNKEWKPKPTNTYNQNSRPATAPEAPPVSAEATRQLQSASSALDTEEATSKLQGKLENSHIPQHQHVILPNHIIVPDSEKNKFCFGTLGVNFGVDTRSCVSGPNSEKSSNSTPHSEISQNIEETVEEQDSSQNGALTSDVEDYPDHPLSPTNTPVDLELGEVDGSSSAIQESNESKQDTALPSEGHQYPGVHISPNYSYGFVPPMLGPQLTPFDNSESQTRDISRLPSFIVHPQLDPAGYYAQFYRPGTDSDGRLSPFASAAATTKYNGNITVLPAPNSQSPQEGILSTAGQTPLTTQAAGLMQSTIAITQQPVPVFRPSAVHLSHYPPNYIPYGHYFSPFYVPPPAIHQFLGNGAFPQQPQATTVYPPPPTVAAPGIKYSLPQFKPGTNPANTTHLVMPNAFGLYASSPAGYNHNSGTTAGNSNSNDDLGSSQFKESNVYITGQQSEASAVWVAAPGRDMTNLPTSSFYNLPPQGPHVTFAPTQAGHGTFASIYHPAQAVTAATVHPLLQQSQTIAGAVDMVGPGGNVYQQPQHAQINWPSNY >CAK8562946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560262822:560271023:1 gene:gene-LATHSAT_LOCUS16406 transcript:rna-LATHSAT_LOCUS16406 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGRTSNANDNSLTLNPEQGQEKDIPNDSYDLSTAHTIDRDSWQQVGLMLVTGFNCGWIFSFSNLIMVPLGWTWGVILLFVVGLYTAYANWLLAAFHFIDGRRFIRYRDLMGFVYGKKMYHITWISQFLTLLLENMGFILLGGKALKEINSEFSDSPLRLQYYIAITGAAYLIFSFSIPTLSSMRNWLGASAVVTLAYIVFLLIVVVKDGKSNTNKDFNITGSKVNKVFNSFGAISAIIVTNTSGMLPEIQSTLRKPAVKNMRKALYSQFTVGILFYYGVTIMGYWAYGSMVSSYLPENLSGPRWINVIVNIIVFLQSIVSQHMFVAPIHEALDTRFLEIGKGMHSGKNFKRLFLLRICFYTGNTFVAAAFPFMGDFVNLLGSFSLVPLTFMFPSMLFLKIKGKGARIEKKAWHWINIIISFLLTIATTISALRFIINNIQKYQFFADA >CAK8533675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648892144:648893364:-1 gene:gene-LATHSAT_LOCUS3280 transcript:rna-LATHSAT_LOCUS3280 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNNISISGGLLWESVSRWDVRSRGFKVRDRIVSVTPINVCFALGLSIVGKSLVVEENQQSQTLDLFKGTEVTIYNIRKQLHYQKKKLVNFVRLYILLAFAEFYFPKIGNKVFTEFIKQLDDLDSLDTFSWGLAVYNFIVSSLCESSVVLKEGKNKAQRHLNGCAAILQIWGFNHLSLGKAPVVTMFSFPRVLNWSVITMQKKNIEKAFDKNMIIDRVVATEKELNYDIVNTALFEQGQQFGNLYDYQRLVDNNKDFKERIAALEEEVRMRKEARVNTPFQDEDVQDDRQLINFVTEYAVETSAGDVGHNTPLNDDTIVEENLSKSRSNIATRMRKKPRKHGKRTRMNL >CAK8540490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9038000:9038422:-1 gene:gene-LATHSAT_LOCUS9492 transcript:rna-LATHSAT_LOCUS9492 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHIFKVFVASPNKPRDMRNILGKNQEKLLGLLHNLSPGKRDDFLYAL >CAK8540885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29183860:29188998:1 gene:gene-LATHSAT_LOCUS9844 transcript:rna-LATHSAT_LOCUS9844 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRLWPGRSITPNKSGARTGTGSGLDSGEGAGSKGKGVAFAENGGNLDREVLVERVSSLEKELYEYQFNMGLLLIEKKEWNSKFSELNQDLVEVKDAFEREKAAHLFALSEAEKREENLRKAFGVEKECVLDLEKALREMRSEQAKTRFAADSKLSEANALIASVEEKSLEVEAKLRSADAKLAEISRKSSEIDRKSHDLEAQESALRRERLSFIAEQESHELNLSKQREDLREWEKKLQDGEERLAKGQRILNEREQRANEVDRICRQKEKDLEEAQKNIDAAYVVLRSKEDDANSRLATITLNEKECESMRSNLDLKEKELSAWEEKLNAREKVEIQKLVDEHNAILDVKKQEFEVELDEKRKSFEDGLKNRLIEVEKKEGEVNHMEEKVTKREQALEKRAEKLKEKEKEYEVKIKALKEREKSIKSEEKDLGKERGEIASEREELLSLKAEIEKLKANNEEELLKLKEETSRLQVTEEERSEYIRLQSQLKHEIDQYRLQKELLMKEADDLRQQKETFEREWDELDLKRADVEKELKILLQQKEEILKLQQNEEEKLKNEKQATQDYLQRELEDLKLAKESFAAEMELEKSSLAEKAQNEKNQMLLDFEMRKKELEADMQNQLEQKEKDLLETKKLFEETRESELSNINFLREVANREMEEMKHQRSKLDKEKQDADENRKHVERQRIEMQEDIDVLVDLNKKLKNQREQFIVERRRFIDVVEKLQSCQNCGETISEFVLSNLHSSADIENLEVPSLPKLAGSNVNLDTGVSPSAVRESPVPGGTVSWLRKCTSKIFKISPIKKIDSDVGSLRDVDALPVDATNEDSLAKIPGAENEAELSFAIANDSFDAPRLQSGHDITEVEADHDPSIDNQGNNETEAPDNNDTKAPDDLEPTDSKVEQQKPRRGRGRARVVKRTQTVKAVIKEAEAFLGESKADEAVPGESVDDRETGFPNGNAEDSANMDSESQKPAEKRTANLRKRNRVQTTSQVTASASEGHSDIPGQRRRTRQKAAPPPVQTAGETRYNLRRPKTGATTSSVRGVSGGGKESEGEVGRAKAAAEASHSHSVGITNEIGGSIDLDQSQKVVETHDDDYDNTTRTFASNMALSEEVNGTADDAEDHDAEYRSESRGVDDDEENEIDEDYQQPGETSVGKKLWKFLTT >CAK8560684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35733293:35744010:1 gene:gene-LATHSAT_LOCUS14341 transcript:rna-LATHSAT_LOCUS14341 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRTAKIEMPKLKRCKLEEPDSEGHGVCSGIEKKRKVNDFYSLGDAEDFSSGSASWNSEVSHSACDGGGEVEFNSNSVSLNGKKLKERGSGEVRPPLLRSSRGRLQTLPSRFNDSVVLDTWKDQGMKMEDGDGDSSFEDDKSFVENDQVKYSDTNCLKNPVCFPRAEMKVDEMEGGNFSSVGRKTMKTDGNASGSGLSFEDADQKTNGNDGKRREVYRPEDFALGDIVWAKCGKRYPAWPAVVIDPLLEAPKSVLNCCVPYALCVMFFGYSKNGTQRDYAWVKQGMIFPFSEFMNRFQGQTQLFKCKPSDFRMALEEAKLAKDGILESHLRADEVTNVEAQPDRVEEVMISNIDQEYYFQDQDTRCCDSCGLILPCKTMKKVKGSSYAPQFHCKHCFKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDKISTEHFKDLENTDYYCPDCKKSINSKLPESTAFKSKIKSVEYSQNGVVPEKLMVVCNGMEGIYFPKLHLIMCKCVSCDSKKRTPSEWERHTGSRAKKWKHSVKVKSTMLPLEKWITEHISQDGVPQELNQQQVLAFLQEKYEPIRAKWTTERCAVCRWVEDWEDNKFIICNRCQIAVHQECYGAKHVQDFTSWVCRVCETPDVERECCLCPVKGGALKPTDVEMLWVHVICAWFQREVVFKNPLAMEPALGILRIPPNSFVKTCVICKQSHGSCTSCCKCATYFHVMCASRAGYTMELHSRVKDGIQITKKLIYCAVHRVPSPDSVLVAHSSLGIFSPRTSIQNHKGYFRGSRLVSSKNIELNECSTTENDRVEPFSTARCRVHRKSPIKRADAPIIHLPRGPSLHSISEIIQLNNYKDAKVFTSFKERLHHLQKTEKLRICFGKSGIHGWGLFARRDIQEGDMVVEYRGEHVRRSIADLREAKYRSEGKDCYLFKISEEVVIDATHRGNIARLINHSCMPNCYARILSLGDQGSRIVLIAKTNVSAGQELTYDYLFDPDEQEELKVPCLCKSLNCRKFMN >CAK8561518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:265815954:265816259:-1 gene:gene-LATHSAT_LOCUS15102 transcript:rna-LATHSAT_LOCUS15102 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRKLSSEVISHNIRELVNRDASLKVKVIITHIVEKCRYIISYRKAWIAKCKAIESLYKNWETSYNDPPQWILVMKTYIPGRIFPIAFALVEGETKEA >CAK8566749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459133536:459134345:-1 gene:gene-LATHSAT_LOCUS19860 transcript:rna-LATHSAT_LOCUS19860 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTITIRSDTKFNQTIFRYYIHEIPPKHEIPFDNFRHIDIILSFASEKGDGRFHPTWIVDRFGIEWLKRFKQEHPNARVVISIGGVGSEFPFNPAQKDGWIFNAIETIKNIILLYKDIIDGIDIHYDVIKSSEDDFSFCIGQVIKQLKNNIDLSIKVVSIAPTELVEPYYLKLYKDNKDIIDLVDYQFYNQKFSSKEEILELYKKLVTDYYPAKVLVGISIPVDPILHAAICYLVYQKLLPGIFVWNMFDSIDGPNNFSLEKILKDLI >CAK8535278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833747941:833748444:1 gene:gene-LATHSAT_LOCUS4750 transcript:rna-LATHSAT_LOCUS4750 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNSHVASAQDYPEDYLNLHNEARSALSGWNMSDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLYGENIAVSTGNMSGKEAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8565257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:84145357:84146493:-1 gene:gene-LATHSAT_LOCUS18485 transcript:rna-LATHSAT_LOCUS18485 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAVATATATATATATETLTTSPLHASPLPTLPFELVVEILYRLPVKLLVQLRCLCKSLNTLISDPKFIRKHLSMSTDCHRLLLFSGVDSHVLSYPLHSSFSVIADNATRHVYPFTFKEQFHYIFGSCHGMFCLEVNGSVILWNPSIQKFKALPYLGGYPSYGFGFDALSDNYKVVSILRNMIPGSNGNHEAKIKVLTLGTNSWRMIPGEFPVPNTRTLKLASGTLNWFASNDSSIVSFDLVNESCQKLLLPKYGGEEVDKVILDVLRDCLCIFAHSNTYSSVWMMKEYGNAESWTQLFRVPRMEDPFYDRYTTPIWISDDDLVLMKKECMSLSSWIMNLALCDFKNGAFKIQMIQNINDWITPQVYIESLLSPCF >CAK8542415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512154905:512161441:1 gene:gene-LATHSAT_LOCUS11256 transcript:rna-LATHSAT_LOCUS11256-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNPNKPPQDLEDINLLKSEIASFASSIGLASSGSNSGFNDVDFRKAKPNKPPKKQQEKTLEKSTPQNNQKPINKTFSKKNEPIDKSKSKSEPKPKPEVKPKPPVLSLNDASKEKGFNKFKNLPKLPLIKASALGVWFEDAAELEGKVIGEGKKVEMKNLEEWKGFVEKKREIGERLMVQLAQDYESTRGRSSDIKMLISTQRSGTAADKVSAFSVLIGDNPVANLRSLDALLGMVTSKVGKRHALSGFEALQELFIASLLPDRKLKTLIQRPLNHLPETKDGYSLLLFWYWEECLKQRYERFVVALEEASRDMLPALKNKSLKTIYVLLSRKSEQERRLLSALVNKLGDPDNKAASNADYHLTNLLSQHPNMKAVVVNEVDTFLFRPKLGPRSQYHAVNFLSQIRLTNKGDGPKVAKRLIDVYFGLFKVLITGPSSNEKVDKSNKENPKEKNSEGMSESQVEMDSRLLSALLTGVNRAFPFVSSNEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAVMNTSKAEMFIALILRAMKRDVNIKRVAAFSKRLLQVALQQPPQYACACLFLLSELFKARPPLWNTALQNESFDDDELEHFEDVIEETDKEPVTVSDKKIDEPVTISDKKSDEIVPVQNGEVVHSDSDSSGSDDDDDDDQPASSEEDDDFDDALEDEDFSLAKSKKNHNKSKSESDNEGQKLQEPTKKPLLPGGYDPRHREPSYCNADRVSWWELLVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPVKQLDVNNLLIGPEILSLAEADVPPEDLVFHKFYTNKKSSSSKPKKKKKKTADEEDAEDYFDVGDDDVDGGDESDNEEIEDLLDSADPSLGADGDFDYDDLDKVANEDDDDLIGDVSDGEIDIDIPSDMEEDDADDGSDDNNDLQIGDIDDEEDVVEDQVDKRKRKRKVGGKSGASPFASYEEFEHILEDDSTEDKKKSKKRKKKEDDESTEKKPSEDKRKSKKRKKKFGK >CAK8542416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512154905:512161441:1 gene:gene-LATHSAT_LOCUS11256 transcript:rna-LATHSAT_LOCUS11256 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNPNKPPQDLEDINLLKSEIASFASSIGLASSGSNSGFNDVDFRKAKPNKPPKKQQEKTLEKSTPQNNQKPINKTFSKKNEPIDKSKSKSEPKPKPEVKPKPPVLSLNDASKEKGFNKFKNLPKLPLIKASALGVWFEDAAELEGKVIGEGKKVEMKNLEEWKGFVEKKREIGERLMVQLAQDYESTRGRSSDIKMLISTQRSGTAADKVSAFSVLIGDNPVANLRSLDALLGMVTSKVGKRHALSGFEALQELFIASLLPDRKLKTLIQRPLNHLPETKDGYSLLLFWYWEECLKQRYERFVVALEEASRDMLPALKNKSLKTIYVLLSRKSEQERRLLSALVNKLGDPDNKAASNADYHLTNLLSQHPNMKAVVVNEVDTFLFRPKLGPRSQYHAVNFLSQIRLTNKGDGPKVAKRLIDVYFGLFKVLITGPSSNEKVDKSNKENPKEKNSEGMSESQVEMDSRLLSALLTGVNRAFPFVSSNEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAVMNTSKAEMFIALILRAMKRDVNIKRVAAFSKRLLQVALQQPPQYACACLFLLSELFKARPPLWNTALQNESFDDDELEHFEDVIEETDKEPVTVSDKKIDEPVTISDKKSDEIVPVQNGEVVHSDSDSSGSDDDDDDDQPASSEEDDDFDDALEDEDFSLAKSKKNHNKSKSESDNEGQKLQEPTKKPLLPGGYDPRHREPSYCNADRVSWWELLVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPVKQLDVNNLLIGPEILSLAEADVPPEDLVFHKFYTNKKSSSSKPKKKKKKTADEEDAEDYFDVGDDDVDGGDESDNEEIEDLLDSADPSLGADGDFDYDDLDKVANEDDDDLIGDVSDGEIDIDIPSDMEEDDADDGSDDNNDLQIGDIDDDGGGSDDGKNDLEIGDIDDEEDVVEDQVDKRKRKRKVGGKSGASPFASYEEFEHILEDDSTEDKKKSKKRKKKEDDESTEKKPSEDKRKSKKRKKKFGK >CAK8577723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585275191:585278293:-1 gene:gene-LATHSAT_LOCUS29804 transcript:rna-LATHSAT_LOCUS29804 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTCNLFIPLNKPPIPRLSFKPRFCHSSDSISVQADSLKTLEWNSICKQLSAFTSTSMGSSAANNARLPIGRTPHDSQKLLDQTSAARLIILQQQLDFSEIHDLTDILSVAVSGQLLTIPELCTVRRTLTASRELFHTLKHLASQANHSHRYSPLLEILQNCNFLVGLERKIEFCVDCNLSVILDRASEDLEIIRSERRRNIEILDSLLKDVSSQIFRAGGIDRPLITKRRSRMCVGIRVSHRQLLPGGVVLNASSSGATYFMEPKEAIDLNNMEVRLSNFEAAEERAILSMLAFEIANSESEINCLLDKILEIDLAFARAAYAQWMNGVCPIFSLGTLESRDSVEEDNDISIVQEDDDLTVNIEGMRHPLLLESSLENISDNLTLRSGNSAELVNGNGTVDFTYTPQGLTDFPVPVDFKIRNGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKTTPKLPWYDLILADIGDHQSLEQNLSTFSGHISRIRKFLEVASKRSLVLIDEIGGGTDPSEGVALSASILQYLRDHVNLAVVTTHYADLSTMKEKDNRFENAAMEFSLETLQPTYRVLWGCIGDSNALSIAQSIGFDKNIIDHAQTWVEKLKPEQQQERRGMLYQSLQEEKTRLKAQAEKAASVHAEIMKVYSEIQSEAEDLDRREKVLMAKEAQQVQEELEGARSQMETVIKKFEKKLKNLGSDQLNSLIKESEAAIASIVKDHTPAVGFPISDADRTASYTPQFGDQVRVKGLGGKLARVVESPGDDGTILVQYGKVKVRVKKNSIIAHVK >CAK8571805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:477239574:477240554:1 gene:gene-LATHSAT_LOCUS24434 transcript:rna-LATHSAT_LOCUS24434 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGKGNQDLDNGNCNVDMEDDITGVENGKTKDGVEALENLVTSESADEVSVFPLEHCMRILPHDQNIGAFFIVVLQKVSPLPAITEKPSKQIDEQNVEPPNQSLENAQAPQINSSDNTIEEVVKAVPEENMIDNVSNTEDLEVSPLTREEQNSEETEVPHNAQDMEKKAPGKRKLQLQGQWRGVDPVVFFKDAIRDGVHIISLSLGPQSPQGDYFNDAISVASFHAARHGVLVVASTGNEGTPGFATNLAPWIITIAASSTDMDLTSDIILGNGANIKVNTNTVFKHKF >CAK8533730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654737183:654739465:-1 gene:gene-LATHSAT_LOCUS3331 transcript:rna-LATHSAT_LOCUS3331-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLAHTKLVNLFRFSSFSSTSRFSHFTTTGLKSDAVTRTILKLKVKTLKLSQSQRAFIFQPPLNLTANYLRNFRYSGIGCILGASVATASTIAYSMDVVEDTLWDDRRNNSQDLLKDEENMQDLWKVVGKFWLPILFFLTVLTNLDNPVTVLFIKLTIFLLSTKPNPFSVYVFVDQLCQQSIREDTSFFNKKSVYASKVEVQDYKLLCLADVEVRDHKFTLIGILGTWWTLPHLPEEASYCQGMNKEILC >CAK8533729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654737183:654739465:-1 gene:gene-LATHSAT_LOCUS3331 transcript:rna-LATHSAT_LOCUS3331 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLAHTKLVNLFRFSSFSSTSRFSHFTTTGLKSDAVTRTILKLKVKTLKLSQSQRAFIFQPPLNLTANYLRNFRYSGIGCILGASVATASTIAYSMDVEDTLWDDRRNNSQDLLKDEENMQDLWKVVGKFWLPILFFLTVLTNLDNPVTVLFIKLTIFLLSTKPNPFSVYVFVDQLCQQSIREDTSFFNKKSVYASKVEVQDYKLLCLADVEVRDHKFTLIGILGTWWTLPHLPEEASYCQGMNKEILC >CAK8539170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505924426:505924884:-1 gene:gene-LATHSAT_LOCUS8290 transcript:rna-LATHSAT_LOCUS8290 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIRKWNISIQYEMMTMNPLSQAETLVLSGFSNNKLRRLPHVFSRILQLPLRSDADVAIEESPDCFRFMAVTDSSLGHVETHALHIHPGVTRIVVRASQSLHFSVDDLHPDIWRFRLPDSVIPELATAAVVDGVLVVTVPKAAAAALVVVQ >CAK8543984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653570807:653609435:1 gene:gene-LATHSAT_LOCUS12700 transcript:rna-LATHSAT_LOCUS12700 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVASKSQKSGKGNVQKGGLPKGPKLGGGGGKR >CAK8543983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653570807:653609435:1 gene:gene-LATHSAT_LOCUS12700 transcript:rna-LATHSAT_LOCUS12700-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVASKSQKSGKGNVQKGGLPKGPKLGGGGGKR >CAK8543985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653607699:653609435:1 gene:gene-LATHSAT_LOCUS12700 transcript:rna-LATHSAT_LOCUS12700-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVASKSQKSGKGNVQKGGLPKGPKLGGGGGKR >CAK8570386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42041428:42052185:-1 gene:gene-LATHSAT_LOCUS23136 transcript:rna-LATHSAT_LOCUS23136 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKEKLQEESVIRRFYRIILSWNCVTLLTESEKHNGKTKGSALKLVKVKDKYKDVDDYISTYEPLIFEEAKSQIIRGIEEEEDGVDWKFGVVNSYTESDDFHFLEFPCELEEGESISQNDLLLISKEKYVDDKTTHAFALVENVKKFSEPRLVRVRLYLAGEFSHFNTNKVKSSPRLFNMRSYICETGRQLYFMKACNLSTIAREYVAIQTISILPFKDLILNATGEDFGTETEGWKIPSALKEYVEGSFNQYQRQAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKSGTYELKQGLQLPIEEKHRHWRLASPWLHSINPRDSLMPKDGDDGFFPTTGNELKPEAITSTRKYRVRVLVCAPSNSALDEIVLRVLSGGIHDENDRAYCPKIVRIGLKAHHSIKAVSLDELVKKKRASANKSSTDKQSNASAGSNEDSIRAAILDEATIVFSTLSFSGSHIFSKLSRNFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMQAGYPIKMLKTQYRMHPEIRSFPSEEFYENSLEDGDGVKLQTVRDWHEYRCFGPFSFFDIHEGEEAKPTGSGSWINVAEVDFVLLLYQKLVTLYPGLKSGNQVAIISPYSQQVKLFQQRFEETFGVSAEKLVDICTVDGCQGREKDVAIFSCVRASKDRGIGFLEDIRRMNVGITRAKSAVLVVGSGSTLRRSVQWNKLVESAEKRNCLFKVSKPYPSFLSDGNLASMKARMDKPHQVTDVVNNDLPIDNYAQQIDQVQPEDNDYGDADADMGYGGDDD >CAK8571232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:345118155:345119858:-1 gene:gene-LATHSAT_LOCUS23911 transcript:rna-LATHSAT_LOCUS23911 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGKHGWEDTKVFTLQVLTGRWFMVFSSFMIMSVSGASYMFGLYSREIKLVLGYDQSTLTLLSFFKDLGSNIGILSGLLNEVTPPWVVLSIGGVLNFFGYFMIWLAVTRKIPKPAIWNMCLYIFIGANSHCSTNTGALVTSVKNFPGSRGVVIGLLSGYLGLSGAIITQLYYAFYGDDSKSLILLMAWLPTAVTFVFMPVIKHHNRAEQPNDSKAFYNFLYMTLILAGFLMIMIIVQKCFNFTKSEYYITTTVMLLLLILPLVIVIMEEQRIWKNKKENINPPKPLNIITQTDKASEESTQNQTMRRGEDHTILEAIFSLDMMTLFVATICGLGGTLTVVNNLSQIGLSLGYPAHSITTFVSLMAIWIYLGKVTQGVISEFVITKLKLPRPLMLTSILILSCFGHLLIAFNVPNGLYVASIIIGFSFGANWPVLFSIISELFGLKYYSTLYNVGSIASPIGSYLLSVRVAGYLYDKEATKQMAALGLKRKKGDELNCNGSECYRLAFIIITAVSLFGALVSLTLVLRTREFYKGDIYKKFREEARNNENELVVTQNKVGPVSNDG >CAK8573761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635462024:635462581:1 gene:gene-LATHSAT_LOCUS26169 transcript:rna-LATHSAT_LOCUS26169 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRRERGVRCLCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLSSNVYAETTNTHASINRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELSNEEAQRFYQLLKEMNTPLFEGSTDSKLSMCVRLLAAESN >CAK8573988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652584220:652588375:-1 gene:gene-LATHSAT_LOCUS26381 transcript:rna-LATHSAT_LOCUS26381 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDSNKSHTTDSGHARLHELGYKQELKRDLSVLSNFAFSFSIISVLTGVTTLYNTGLNYGGPVSLVYGWLIASAFTMLVALSMAEICSSYPTSGGLYYWSAKLAGPNWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIVLLSTGGKNGGGYEGSKYVTIALHCGILVLHGIINSLPISWLSFLGQLAAFWNVLGVFVLMIVIPCVAPERASAKFVFTNFNSDNGAGISSKPYIFLLGLLMSQYTLTGYDASAHMTEETKDADINGPKGIISAVGISVIAGWGYILGITFAVTDIPYLLDENNEAGGYAIAEVFYLAFKRRFGHGVGGIICLGIVAVAIFFCGMSSVTANSRMAYAFSRDGAMPLSSLWHKVNKQEIPVNAVWLSVLISFCMALPSLGSIVAFEAMVSIAVIGLYIAYAIPIFLRVTLAQKRFIAGPFNLGHYGVIVGWIAVIWVATISILFSLPVSYPITIKTLNYTPVAVGCLLIIVLAYWLFSGRHWFKGPITNIQK >CAK8564424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673450501:673451148:1 gene:gene-LATHSAT_LOCUS17730 transcript:rna-LATHSAT_LOCUS17730 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGLILHESLRPQREARTLLGLLTEQMDAADSSTTRRRSLKERFRFTGIGGCCGATWVFRPIIRHEHHHQQQQLQQRQREEAREGEANVEQQELQVQDPNPDPSSSGMNLAAALAAERQMRGPEESSGGERGTPWRVSLMKLLEETEVESESTTTTVMEGSDSVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGNCPLCNRSILEILDIF >CAK8537783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:432618320:432620740:1 gene:gene-LATHSAT_LOCUS7037 transcript:rna-LATHSAT_LOCUS7037 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAGPSLYPLHHSKTIHLVRHAQGVHNVEGEKNHDAYLSYDYFDANLTPLGWKQVENLQKHVKANGLPKKIELVVVSPLLRTMQTAVGVFGGEA >CAK8575989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380427257:380427703:-1 gene:gene-LATHSAT_LOCUS28209 transcript:rna-LATHSAT_LOCUS28209 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTVAEKAPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8578163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610568781:610569509:1 gene:gene-LATHSAT_LOCUS30201 transcript:rna-LATHSAT_LOCUS30201 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHEYFHRGKVKLPLVDEAIGTYMNAPHTSAFGAVLPTGARQITPFERFNLHPSEVCPENFIVFDQTNQQNRVLFNPATTYKFNSPSFNFNTHAYTQDFEKDKINQMERELSSSFEEDSRDIDALMSLDSDELEDYDEEEVSTARTHHEKDESTSDTCSSYCTKSRKKRLLSSSVQNSSGTKGYCSSSEKKQHREMKRMVKILRNIVPGSGNEMDTITVLDEAVKYLKSLKVEVEQFGVGQ >CAK8543514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613780389:613782408:-1 gene:gene-LATHSAT_LOCUS12268 transcript:rna-LATHSAT_LOCUS12268 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVKKLKSESTELPDCVISHIFSMLTLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDYNTMPELPKTLPLLQEAQSQFATILDNFMQKYPGDTISSVRVNFPLGVDHTYAIDGLIHNGVLKGANRIELLFAYKTKIQIEPYKFLFPFLSGPNSLTYLHLQNCHIAATMEFSGLKNLRTLVLTLVPVEQNMLQDLCFSCIHLENFTLNQCLFLSDLKITSPTLLHLNIHCGGIRSRTMARKIDIIASNLLSIEYSSKWNYSYRLHILNIESHTLSQFNYRCNKISNLVNLSGLNNVTSIVLDDVSDGLCEDLKSDVITNLFSKCLQLEDVTFKNCYFKCDMKIMSAKLRHLSIIDCYYPKEGSYKIDIDALNLSSFEYRIRTCMRPIISVEAPKLLKVFWDTGFREINNFGTFARLDHVENLTMNVGRSQISALKKGLVRLQNLRQLELFIIGAYNPTMDYFWILDIAMASQHLQKLSLRIRNGHKKISHNVGSQRQRRRKYVRFFHNGLKYVELHGCACSTNVIELATHLLRRATLLKQITFSSRQNYYIGAGTWTKASDDGCCWFDRNLIHESLKDEVNEQCRLVIL >CAK8540229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545993684:546001730:-1 gene:gene-LATHSAT_LOCUS9255 transcript:rna-LATHSAT_LOCUS9255 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGQWLWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSIAKLYNLKKFNVSTNFLVGPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRVSLAVDVGPGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVGGFGTVYKLAMDDGNVFALKRIVKMNEGFDRFFERELAILGSIKHRYLVNLRGYCNSPTSKLLIYDYLPGGSLDEVLHERSEQLDWDSRLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGKLDARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLTLEVLSGKRPTDASFIEKGLNIVGWLNFLITENRPREIVDLLCDGVQVESLDALLSVAIQCVSSSPEDRPTMHRVVQLLESEVVTPCPSDFYDSE >CAK8537249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:176601199:176601942:1 gene:gene-LATHSAT_LOCUS6552 transcript:rna-LATHSAT_LOCUS6552 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNRFYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFVGTLFLFIFVSNWLGALLPWKIIKLPHGELAAPTNDINTTAALALLTSVAYFYAGISKKGLAYFGKYIQPMPILLPINILEDFTKPLLLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLVAAYIGESMEGHH >CAK8536800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22224191:22226555:1 gene:gene-LATHSAT_LOCUS6132 transcript:rna-LATHSAT_LOCUS6132 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLFSLYFLFPIIFTIILMLLTPIPSAQLTTTETKILLQIQTLLEYPQLLHKWKKNLTNFCNLSPSPSFIIVCSKNHVTELTIIGNKTRPVSERFSADSFFTVLTKLSNMKVLSLVSLGLRGPLPSKITRFKSLEVFNISSNFIYGEIPLSVSSLKNLKSLVLADNFFNGNVPNLKRLASLQEINLANNRLGPDFPSSLFSLPSLHNLNLASNRLTGSIPANLSCSPSLKFLDISYNFLQGKLPWCIDSNSLNRTIFYSGNCLSTRNFSDQHPSTYCKKSTVLNIKPRSERPNGSNTQLGLRVLIGIIGGFVGASVLLILLFLFILRKSNAQKVSVTAYSKPNVYPRRNIPQLVRIASNGLPPYRIFRIKEIEDATDNFDSTNLIGEGSQGQQYKGRLKDGSMVMVNQKSLSKISDQNLKVLPYLRHRHLVSVIGHCAINHDEDNPKMKSTIFTVFEHISNMSLRIHLTDRRKSEMLKWQQRMAIFIGIARGIKFLHTGVTPGIFGNNIKIENILLDNSLNPKVSGYSIPFPCKKGSERKVKQKNVHNHISSINSAEKEDIYQFGLILLELITGKLVTSSMDVEVLKYELQRGLCESVSPNTLKSAIDPWLHGTYAHESLKTAVLITINCLSKVPGNRPSIEDVLWNLQYSMQVQEGRSSKAST >CAK8535061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809471692:809474601:-1 gene:gene-LATHSAT_LOCUS4544 transcript:rna-LATHSAT_LOCUS4544 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSTSLKKKHSKTSSKSKSSSRSKNRKYKSKKVCRHEDSLSSSDYDDSKNMDTSVSSSSEDRSKRKRDRSRTRKDVKSRKKRFRRRSCSSDSSKDSLFARKRKKLKRKNKYDETKEKSRRKKKVKTEVSVSSVSSGSRSCSICPAGIDSNDNVEYEHSRGRTETKEKDKRRLRGRSGSAKSSRYRARSCSPCSSPHGECNFEGTEEKYVPGNKTRWLRSVITVVNEGDESRQLSGNETKEEIVDDLDYPCRSNDSNDRGSNRELDHHQSHRAPEEELGAKDDTGDMNGDVNFTEPKLSDMSSLELCAGTNESIKKDDVSGVLNDVDLELILRQRALENLRKFRGQVQSHAKASEQENKIVSQMKQPVTNKEELVQDKPNVSNVAILATKFGNQTPVEETNLPVGRRNLVTYPKNNGRNLNADKEMSGSAKIQMARAPEKVIDADSHIEVVTESTNNKKSNLESTPSESCHDSLQSRSSLKQTAVSGLPREKLVLAESIKNTGTFEAARITSHCGSDDVKDIRGIPSAGPKPSILEPKFKHNNLDKGKDAANDLSQFKSKQTSDSREPSDAKLPVSEADEERNVAKITQSSIQNIDSNVATLESVENNSGKLQDGSNQGSQFEQKTMTVMRGGELVQVSYKVYIPKKTPALARRQLKR >CAK8532082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200708511:200709065:-1 gene:gene-LATHSAT_LOCUS1819 transcript:rna-LATHSAT_LOCUS1819 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHLTLTTLFLYFFLTLSTSIFAHDQPQPQDLVRSSCLHARYPKLCLRTLSTYTGPAKSPLDIAQAALRVSLAHAKRVSKYIGASNVGAQRSSLSKRQQADLSDCKEQIADSVDELRKSLEELQHMRPETFRWQMSNALTWVSAALTDGDTCLDGNVMPDVKKRVTDLARVTSNALYLINRVG >CAK8578706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648206371:648209679:1 gene:gene-LATHSAT_LOCUS30694 transcript:rna-LATHSAT_LOCUS30694 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSHSLLHIFALLMTLIIMPFDFNNLLVGVSSTTLSITTDNLLVGVSSTTLSITTDKEALISLKSQLSINNDTSSSSSHPFSSWSHNSSPCNWTGVLCDKHNQRVTALDLSGFGLSGNLSPYIGNMSSLQSLQLQDNQLTGFIPNQITNLYNLRVLNMSSNRFEGMLLSNLTYLTELNTLDLSSNKIVSRIPEDISSLKKLQVLKLGRNSFYGSIPATLGNISSLRNISFGTNSLSGWIPSDLGRLHNLIELDLTLNNLTGTVPPVVYNLSSLVTLALASNSLWGDIPYDVGVKLPKLLVFNFCFNRFTGRIPGSLHNLTNIRIIRMASNHLEGTVPPGLGNLPSLHMYNIGYNRIVNSGVDGLDFITSLTNSTHLNFLAIDGNMLEGVIPETIGNFSKELSKLYMGENRFNGTIPSSIGRLSGLSLLNLSYNSISGEIPKELGQLEELQVLDLARNNLSGPIPNSLGNLVKLNKIDLSRNQLVSRIPNNFGNYQSLLYMDLSSNKLNGSIPMEILNLPTLSNVLNLSNNLLSGAIPQVGKLTTIATIDFSNNQLNGNIPSSFSSCLSLEKLFLARNMLSGSIPKALENVKGLETLDLSSNLLSGSIPIELQNLRVLKLLNLSFNDLEGVIPSGGVFQNLSDVHLEGNTKLCLNFACAPRVHRRTHVRFYIIIVVVLISVLCLATGLIIYMKYTNVKVAATSEQLKPQTLMVSYDELRLATEGFSQENLIGTGSFGSVYKGYLSQENSTVAVKVLDTQRTGSLKSFFAECEAMKNSRHRNLVKLITSCSSVDFRNNDFLALIYEYLSNGSLEDWIKERRKHGNGNCLNLMERLNIAIDVASALDYLHNDSETPVVHCDIKPSNILLNEDMTAKVGDFGLARLLIQRSNDQFSISSTHVLRGSIGYIPPDYGWGEKASAAGDVYSFGIVILELFSGKSPQDDCFSGGLSIAKWVQSAFTNKTVQVIDPKLLSLIYDDDSARDTNVQHQCVDAIMGVGISCTADNPDDRIGIRAAVRQLKAARDCLLKKI >CAK8561229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124408386:124409189:-1 gene:gene-LATHSAT_LOCUS14838 transcript:rna-LATHSAT_LOCUS14838 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEHAYSIRSLSAASDMGSSFMLESGFYITSFSTAIFIAGFAALGLLLITLLVSMAMMLQSCQNNSAGIQELRNVNDDYSYCKVHSLHAKFNHLEEHNVPEICKDLAVQYIKGGRYARDLDLTKSVIEEYFTGVKPSHDGFYVVLIDIDGIFPLCPLTDNLESRYVGHFMLLRLISPRI >CAK8541689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356031911:356032870:1 gene:gene-LATHSAT_LOCUS10588 transcript:rna-LATHSAT_LOCUS10588 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLWKKIINMGYALNQPTFHYYRSEIDMANADALRWIDSIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLGSLFAERGGKWSVVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCEKFQAYRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGGYSASFLVMSCDEYWPVYEGDQICHNPRMRRNKKGRTVSTRITTEMDNFDKFERKCSMCRQTGHNRTRCANVGTSSR >CAK8541690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356032367:356032870:1 gene:gene-LATHSAT_LOCUS10588 transcript:rna-LATHSAT_LOCUS10588-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETTKSSTHQVRIFDYRNNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCEKFQAYRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGGYSASFLVMSCDEYWPVYEGDQICHNPRMRRNKKGRTVSTRITTEMDNFDKFERKCSMCRQTGHNRTRCANVGTSSR >CAK8563196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582313887:582318675:1 gene:gene-LATHSAT_LOCUS16630 transcript:rna-LATHSAT_LOCUS16630 gene_biotype:protein_coding transcript_biotype:protein_coding METEEQKLIDLNSNESNCGGNNSKNGDGFIDRSKVRILLCDNDSKSSEEVFTLLLNCSYQVTSVKSARQVIDALNAEGQYIDIILAEVDLPIKKGMKMLKYIARDKELRRIPIIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRKLGLIENNIVNYDFDLVASDPSDANTNSTTLFSDDTDERSKRSTNPEPGISIQQEHEASIATTVAVIEELSYANLSEYQPDVPGISDRRTGSSGQHPPCNRVTDGGFDGPKKSELRIGESSAFFTYVKATATKNNFEEIVHVEDNSTSQGVEDMNQAYSQQGGNDLQRHEIGEMIESCSQDNLPRSNSIPDSFSIERSCTPVSMEVSQQNDHYKEEHPRGVVHPRSGNYGSELNPPGMPSQHAYQYYIPGVVNHVMMPSSAQLYQNNIQDLHNHASSSMIAQYSHLPQCPPHATGMAPYPYFPMSICLQTGQVSTTPSWPSLGSSTSCEAKLSKVDRREAALMKFRQKRKERCFDKKIRYVNRKQLAERRPRVRGQFVRKLNGINVDLNGQPASTDYDNDDDDEEEENNGARDTSPKDA >CAK8565069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32840939:32841943:1 gene:gene-LATHSAT_LOCUS18309 transcript:rna-LATHSAT_LOCUS18309 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCTAHPHMFSIDSDELCDNDPYINIEFDYTNINVSSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFPMVVTEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNDNVNGDTRSYNWEEDMEIEDNRFINDVESDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTI >CAK8562608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:515073192:515074016:1 gene:gene-LATHSAT_LOCUS16093 transcript:rna-LATHSAT_LOCUS16093 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTAADSGMINIAASAQKLDVDNRIALRFYYRVADNILRQADIFRAEKNIIDLYVLLLRFSSLASETIPRHREYRSSPQTKKQSLKKRLNELEKLKPLAQQKINEIKSRNAHRQNGRGNFHSNNNVDFSSVQKQTLASNGQIKPVRATAMEFAYQGSSGQHFSHVKPVEEHVRRLSLTLPPPKEETLSRHSILGPNGLNGQWRSPTIDTGVRYPSNVDLSPVELPSLQRHLKDVSPSNKDSSIAELHKLDLNSIPTESEISNPALPKRAY >CAK8568223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583434878:583435150:1 gene:gene-LATHSAT_LOCUS21202 transcript:rna-LATHSAT_LOCUS21202 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQLIDKVKDCTKDFLRLGNIEEQDLKQKSKVDWLRLGDGNNAYFYAALMSKRSQNQISNLKDEEGNILYQQNDIEHEITKYYKKLIDC >CAK8566636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444569705:444570626:-1 gene:gene-LATHSAT_LOCUS19753 transcript:rna-LATHSAT_LOCUS19753 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEKTNGESTIKPNWLELPLDLTKNILQRLDSVEIITSARNVCPMWWNICKDPFMWRSIDMSNIKLVPFDFRCLDKICRCGHLEDIAIESFGTDDLLKHVAHRASNLKRLKISCCNGITDEGLIKFVTMFSLLEDLQISFVYLSKDSLEVIGQNCPLLNSLYLEVQSGYCILFKLFADQVFAIAKTMFVLRHLAISGIWIHDRELLAILDGCPLLGSLDIPTNIWVNISESVKKRWQEQIKDLQLLKLNYCEEVDDHDVAYKAFFLGTFANDDSEY >CAK8566409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420389037:420390329:1 gene:gene-LATHSAT_LOCUS19541 transcript:rna-LATHSAT_LOCUS19541 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGKVLMSKYEFGKLLGQGNFAKVYLARDLRTGDNVAVKVIDKEKVVKLGFEVQTQREVLTMRQVKHPNILRLYEVSATKTKIYLIIEYAKGGELFEKIEKGRLSENQARKYFQQLIIALDFCHKQGVYHRDLKPENLLLDENGDLKIADFGMSTFLEAHQRNSLLKTACGTPAYVAPEIVSEKGYYGAKSDVWSCGVILYTLLAGYLPFYDNNLMVLYGKIYRGEYSCPNWFPLDIRRLLARILDPNPDKRITTAKIMEYPWFRRGLYSKAVQMKKVITDVADDEIAAVKPIQALAQPTYLNAFYILSLSAGLDLSVLFATDNSEREDFKFTSMSSPSSIVRKIEDVAHILQMEIVKTNGGMLKLEWPRERREKPLIISAEIFEFAPSFYLVEMKKSCGDALEYQKIMKGHIRPALKDIVWIWQGEK >CAK8566877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468837399:468839138:1 gene:gene-LATHSAT_LOCUS19977 transcript:rna-LATHSAT_LOCUS19977 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTQFSAISRAFHKLTLALKSCKNTSEIRQLHCYMIKTSLTNLPFTLSKLLAASIIDMDYASTIFSHIQNPNLFMFNTMLRGYSVSSFSNKALPIFNELRNRGIELDQFSFIAVVKACGRSLEVGFGRGIHGVAVKSGNRMFVDLNNTLLQFYCVCRRIEDARKVFDEFPERNDLVSWNALMGGCVLVSQHCLVFELFLKMCCIGIKASVATTLSLLCAAGDVGDFVLGKSLHGYCIKIGFGYNLNVVTALIDMYAKMGHIYLARKVFDGLVEKDVVLWNCLIRIYARSCLVEEAVTLLQKMRHEGVRPNSSTFVGLLSVYPASGSMLGVRYVTSLIEEEKLELDVVLGTALVDVYAKCGFLGEAMDIFERMESKDVKSWTAVISGHGIHGQPMEAIRLYNRMENEGFRPNEVTFLAILTACSHGGLVNEGIEFFKCMVQEYGFSPRVEHYGCLIDLLGRAGMLHEAFELIKSLPIKGDATSWRTLLSACRVHGDVKLGECVKDVLNYFYSAHPTDSLLISSTYAVAGRISDLTRIKQTNVSLGNYGVLETEGENMLKEAGFSRVEIVTRENMFLAED >CAK8541290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:105838682:105841203:-1 gene:gene-LATHSAT_LOCUS10220 transcript:rna-LATHSAT_LOCUS10220 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYNLKIDYVFKVVLIGDSAVGKTQLLARFARNEFSLDSKATIGVEFQTKTLIIDNKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMIVYDMTKRQSFDHLARWLEELRGHADKNIIVMLIGNKCDLSSLRAVPTEDAEEFAQRENLFFMETSALESTNVETCFLTILTEIYRINAKKTLSSNGEPDQNTAGLMKGSRIIVPNNEMNGAKKGCCFAT >CAK8538388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480728895:480731214:-1 gene:gene-LATHSAT_LOCUS7597 transcript:rna-LATHSAT_LOCUS7597 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISLKGHIQSFPSFSSKSRTTIPPTQVNTYRKNSLFTFSLRAQVNHNEGSTTIATSSESVVEALKVKQWELGMFQNEVAANQGIRIRRKPPTGPPSHYEGPFQFRLQNEENTPRNILEEIVWNKDKEVAQLKERKPLGLVKKAVENAPPVRDFIGALRAANGRTGLPGLIAEVKKASPSRGILRENFDPVEIARSYEKGGAACLSVLTDEKYFKGSFENLELIRNAGVKCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDLDLEYMVKICKLLGLAALVEVHDEREFDRVLGIEGVQLIGINNRNLETFELDISTTKKLLEGERGRIIRERNIIMVGESGLFTPDDIAYVQEAGVRAVLVGESIVKQSDPAKGISNLFGKDISV >CAK8531215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:90352215:90352703:1 gene:gene-LATHSAT_LOCUS1021 transcript:rna-LATHSAT_LOCUS1021 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENEISPADALKAPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRKKIYIIPEASASLLIGLIVGVLANISDTETNIRAWFNFHEEFFFLFLLPPIILYPY >CAK8562009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424882351:424882841:-1 gene:gene-LATHSAT_LOCUS15547 transcript:rna-LATHSAT_LOCUS15547 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKAKPEGSIAEGYHFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQIGSHVAEIFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLK >CAK8574047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655371219:655373503:1 gene:gene-LATHSAT_LOCUS26430 transcript:rna-LATHSAT_LOCUS26430 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSHCLLFLSFFTIIQLLLASPNCPSSISCGYLGKISFPFTTTQHPNCGMLVIHGCDDSEPQSKKTIQNNKTWFDITRIDPFTITIKDNDLHDILLKRSCDILNYNSMFTVNTPLVSSRLANYITVYGCNSNNTVDLQQYYSVSNSTSICRNENDSLNAVSDSDIKSNKAIVVITDSIPANSNHLRGCSRAMISTSFEVKRLDPDDLFNSLSDEITIKLEVSQNCSTCHDLDGGQCRLDNYGQFYCQQGKSKKTRLAVAVAPSTGAVVVLAILGWFLRRRFIRNKNSPYQIIELFLKNHGHLAAKRYSYAEIKKATNSFKNKLGQGGYGSVYKGKLQDGSLVAVKVLSESNGNGEEFINEVASISVTSHVNIVSLLGFYLEGSKRALIYDYMPNGSLEKFIYEDKDPLKLNLQLSCKTIYNIAVGVARGLEYLHKGCNTKILHFDIKPHNILLDDDFCPKVSDFGLAKVCPRKESIISLLGARGTAGYIAPEVFSRNFGGVSHKSDVYSYGMMVLEMVGGKQNNNVVEVERSSEIYFPHWVYKRLELNQEPRLRSIKNEFDKQIVQKMIIVSLWCIQTDPSHRPAMSKVVDMMEGSLESLQIPPKPCLFSPPRSPSRSSDYNTHTSQDLYHSGSLQYTDSEPLIITP >CAK8541111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67669986:67672769:-1 gene:gene-LATHSAT_LOCUS10062 transcript:rna-LATHSAT_LOCUS10062 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8531241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92169794:92171734:-1 gene:gene-LATHSAT_LOCUS1047 transcript:rna-LATHSAT_LOCUS1047 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLDGLKGFFTAVTNWCNTELSGSKQQPKGLKNPELIANETLFSVSEIEALYELFKKISSAVDDDGLITKEEFQLSLFKTSDRRSLFAERVFDLFDTNHHGVLDFKEFASALSVFHPIASMDDKIDFLFRLYDLKQQGHIERQELRQMVVATLTESGMNLSDAMINGIIDKTFNEVDTNEDGKIDKEEWRNLVMQHPSLLKNMTLHYLTEITTTFPSFIFHSTVDDS >CAK8537656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:416424995:416434722:-1 gene:gene-LATHSAT_LOCUS6922 transcript:rna-LATHSAT_LOCUS6922 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKSMTEALETKVKSKVSIKGHLHTYRFCDNVWTFILQDALLKNEDSQENVGRVKIVACDSKLLSQ >CAK8569069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668036731:668040762:-1 gene:gene-LATHSAT_LOCUS21960 transcript:rna-LATHSAT_LOCUS21960 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIRQNDVASSSKSRSFWSALRWIPSSTDHIIAAEKRLLSLIKTGYVQERVNIGSGPPGSKVRWFRSSSNEPRFINTVTFDSKPDSPTLVMVHGYAASQGFFFRNFDALASRFRIIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVASKYALKHPEHVQHLILVGPAGFTSESDAKTDFIAKFRATWKGAVLNHLWESNFTPQKIVRGLGPWGPSMVRKYTSARFGTHSTGLKLFEEESSLLTDYVYHTLAAKASGELCLKYIFAFGAFARMPLRHSAPEWKVPTTFIYGFEDWMDYEGAQKARAQMKVPCEIIRVPQAGHFVFIDNPSAFHSAVFHACRRFLTPDPDNESLPEGLFSV >CAK8575441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119705811:119706856:-1 gene:gene-LATHSAT_LOCUS27707 transcript:rna-LATHSAT_LOCUS27707 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEFERLDAEKVEKSGEENHMMEMMSKLMKNVNTDLYVEIFKHIDIFESTFAAARFLEAWGKANNELWQTLDFSMLKSDFVRTGSAPFVWVHSGFDNVLYNLLFIALNSSRGNIKNLIFHHDLYLADDQFMYTAKRCPLVRRLVFLSWNRVKKISMRMAIRGWKDLESMTMPSIADPKYVFEEISENCKNFRELKVMGRFHLGFAKSLTMYLPKLRVLSIRCSELDKEALILILDKLEHLQVLNISHSCFVNDSNKSYEGYRFISESDCSISDKVSRLREFLMCSKESCIMCQRTKIDGGRPRWFKYEEGSWKDDEVSSLAL >CAK8570198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28388780:28391548:-1 gene:gene-LATHSAT_LOCUS22971 transcript:rna-LATHSAT_LOCUS22971 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGKEMMKKVLKKVGEKNLTPRVKESLEKCLPRSKVVMNRAKRGLFAGKHIQFGNSVSEDGGNRTRRTWKPNVQDKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLRTPYHKMDTELGLLWKAKIEKLYEELGTKEVVFFLPEDEEKFEQGFKDLRLAEREARKEVRKMVYAGMNKHKPIEVESKDDQSSDEGAAKVEGEISHDPSKRLVPVSYVLAADKLKVGSYITN >CAK8566728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456329087:456330748:1 gene:gene-LATHSAT_LOCUS19840 transcript:rna-LATHSAT_LOCUS19840 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQFPWLHSELTNLCKSLFRVKQLHACLLKTHLSQDPFYATQIIRLYAFNNHINYAYHVFDKTSTRSVYLWNSMIRAFAKTRRFTNAISLFRTMLEADIRPDNYTYACVIRACSDNFDFGVLRLVHGSAVSAGLGLDPICCSALVSAYSKLGLVHQMCRVFDGIDEPDLVLWNSLISAYVCSGMWDIGIQMFSSMRLSGKKPDGYTFAGLLVGIADSSLLSIGQGLHGLSQKSGLDSDSHVGSLLVSMYLRCKCMDSAYRVFCSISNPDLVTWSSLISGYSQCGEYQKALLYFKKLNMECKKLDSVLIATVLASIAHTANVVPGCEVHGYVLRHGLESDVKVSSALIDMYSKCGFLNLGTRVFKMMPERNIISYNSIVLALGLHGCASKAFLMFNEILEKGLVPDEATFSALLCACCHAGLVKDGREIFQRMKDEFNIKARPEHYVYMVKLLGSAGELEEAHYLTQSLPEPVDKAILGALLSCCDSYGNSELAETIAHQIFKSNPTDNVYKVMLSNIYAGDGRWDDAKKLRDKITGGPKKMPGVSWTEGNYY >CAK8561317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144811911:144812986:-1 gene:gene-LATHSAT_LOCUS14919 transcript:rna-LATHSAT_LOCUS14919 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVCNLTLSLFPQSKSIIRGKPFHQTLSSSKPISQCSLIFTSSSPSLQTSTAQVAQVNTPLATQDKQNQHQKDDFYLNLGLAVRTLREDMPLIFVKDLNYDIYRDDVTFLDPLNTFTGIEKYKLIFWALRFHGRILFREIGLDVYRIWQPSENVILIRWNLRGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQKMMKPVSVLDLVTACPASPNPTFFWGPLDSNSCSWIEFYQAVKNTLDQERQLLPQDGLATCS >CAK8570851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:129824004:129824348:-1 gene:gene-LATHSAT_LOCUS23564 transcript:rna-LATHSAT_LOCUS23564-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNFKLRAIGKGNAAGSKKSRPRDRCGRGIAVPEVNAELQENINVCKRNINGCKRASSRDLVLVSSRTSQENRNRKKDIVQQIGSMLLHHSLLRILLLGHMLVAEVKTHLLVL >CAK8570850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:129824004:129824996:-1 gene:gene-LATHSAT_LOCUS23564 transcript:rna-LATHSAT_LOCUS23564 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRTEVEQLHKIFKLCGSPSEEYWRRAKLPHATIFKPRQSYKWCIVEKFEEFPISSLLLIETLLAIDPAERQTATAALHNEFFTTQPYACEPSNVSKYPPSKEMDTKLRDKEARRAIGKGNAAGSKKSRPRDRCGRGIAVPEVNAELQENINVCKRNINGCKRASSRDLVLVSSRTSQENRNRKKDIVQQIGSMLLHHSLLRILLLGHMLVAEVKTHLLVL >CAK8569111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672361342:672361719:-1 gene:gene-LATHSAT_LOCUS21997 transcript:rna-LATHSAT_LOCUS21997 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGKGAIDELWKRFKSLDVVGKRALKSRVFELVFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPLYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSK >CAK8579283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689630019:689630930:-1 gene:gene-LATHSAT_LOCUS31238 transcript:rna-LATHSAT_LOCUS31238 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPSLTICSQKYLIKIRTSCYLHFRYNSFLASSLLALRVPHNNSFLNSSNPNPSIISTPLPLSSFLLSSPTLLPPADLFSLSSTVCGLNKPYLFNLPSNKSSLLNSKPVCLPSISRTRLLK >CAK8537177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124329809:124334193:-1 gene:gene-LATHSAT_LOCUS6487 transcript:rna-LATHSAT_LOCUS6487 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQKKTTPDPSQDPTRNTTSLDSFPPKTTPTTKGIPVMVRAQTCHPLDPLSAAEISVAVSSVRAAGATPEVRDSMRFVEVVLVEPVKQVVALADAYFFPPFQPSLLPRTKGGGPLIPAKLPNRKARLVVYNKRSNETSVWIVELREVHAATRGGQHRGKVISSEVVPNVQPPMDAVEYAECEAVVKDFPPFREAMKRRGIEDMDLVMVDAWCVGYHSEADAPNRRLAKPLIFCRCESDCPMENGYARPVEGIYVLVDMQNMVILEFEDRKVIPLPPIDPLRNYSSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIQWQKWNFRIGFTPREGLVIYSVAYIDGSQGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAQSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWYFYQDGKIEAEVKITGILSLGVMQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVDVKVEDPGQNNVHNNAFYAEEKLLKSELEAMRDCNPLSARHWIVRNTRTVNRTGQLTGYRLVPGSNCLPLAGPEAMFLRRAAFLKHNLWVTPYVHNEMYPGGEFPNQNPRVGEGLATWVKENRSLEEADIVLWYVFGVTFIPRLEDWPVMPVERIGFMLMPHGFFNCSPAIDVPPSAGDLDDKEIGMPAKSIQNELIAKL >CAK8537398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:330968654:330969058:1 gene:gene-LATHSAT_LOCUS6691 transcript:rna-LATHSAT_LOCUS6691 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNLSFSSTNHSGSGIRRRGNRCWCELESLLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSLMVVFLIVFALVATHVLK >CAK8543294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596422496:596423017:-1 gene:gene-LATHSAT_LOCUS12060 transcript:rna-LATHSAT_LOCUS12060 gene_biotype:protein_coding transcript_biotype:protein_coding MGITISHTNPPLIPFPILQTINLSLQHHNLTLHLHSPPTFLTLTSSSSLSLRVPIPNVLLDAELPPTVRSFTDHIEVKLLLLLPIDHPALSALHQTSSLPQPLLIEYDVDKLSLAREVEFVCRSCRYHLTNKPIRYFVYSLFTSIVID >CAK8575856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:338243440:338246784:-1 gene:gene-LATHSAT_LOCUS28084 transcript:rna-LATHSAT_LOCUS28084 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLTTATTATTLPSLRLRSSPPLRRFQSNNTFKSLKAIRHSSISSFSPLPLTNLISKRHSLTVKASSASITPAPSPAPAPVPAQPWQGAAIKPLLASIATGVILWFVPTPEGVSRNAWQLLAIFLGTIVGIITQPLPLGAVAILGLGVSVLTKTLPFVAAFSGFGDPIPWLICLAFFFAKGFIKTGLGNRVAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTENKLGSWLMLTCFQTSCISSAMFLTAMAANPLCATLTLNSINQTIGWLDWAKAAIVPGLVSLVLVPLILYIIYPPTMKSSPDAPKLARERLAKMGPMSANEKIMTATLFLTVGLWVFGGLLNVDAVTAAILGLAVLLITGVVTWKECLAEGVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVATALGTPPFFGAMVLSFLSNLMGGLTHYGIGSAPVFFGANYVPLAKWWGYGFVISIVNIIIWLGLGSIWWKAIGLW >CAK8539189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506410161:506411959:1 gene:gene-LATHSAT_LOCUS8309 transcript:rna-LATHSAT_LOCUS8309 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVESDPIPLLTPYKMGKFNLSHRVVLAPLTRQRSYKNVPQPHAILYYSQRAIGSNGGLLITEATGVSDTAQGYPDTPGIWTKEHVEAWKPIVEAVHAKGATFFCQIWHVGRVSDSVYQPNGQAPISSTDKPLTPQIRSNGIDEVKFTPPRRLRTDEIPGIVNDFRLAARNAIEAGFDGVELHGAHGYLLEQFMKDKVNDRTDEYGGSLENRCRFALEVVEAVANEIGAERVGIRLSPFADYAESGDSNPKELGLYMANALNKYGILYCHVVEPRMKTVHEIIECPHTLVPMRKAFKGTFLVAGGYTRPDGIKAIAENRADLVVYGRWFLANPDLPKRFALDAPLNKYNRETFYISDPVVGYTDYPFLNEETSAVTS >CAK8573488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615783965:615784802:1 gene:gene-LATHSAT_LOCUS25933 transcript:rna-LATHSAT_LOCUS25933 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPSTGLFVGLNKGHIVTKKELAPRPSARKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGAGDKKK >CAK8574647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5061521:5064147:-1 gene:gene-LATHSAT_LOCUS26974 transcript:rna-LATHSAT_LOCUS26974 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFSVKPLFTIFVVSLTLLVVFTLISPRYPFSQYQISGEPSLGEVDIWSVRRLMEWRPCKWWLQGHQTALPLETNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVASYWNETSGFADVYDLDYFIQEMNGFVKVAKTLPPEIATKEPVQVDCSKRKGQFDYVESVLPSLLKHKYISITPAMSQRRDRYPLYAKAALCQACYKALRLAKPLEMKASKLLDAIPKPFLSLHLRFEPDMVAYSQCQYPGLSPSSIKAVEAAVALVERKPWTGELARVWRQRGKCPLTPNETALILQSLSIPSTTNIYLAAGDGLMEIEGLTNIYTNIFTKSNLLNEEDFTNMHGNTKAALDYHISINSDSYIATYFGNMDKMVAAMRAFKGLYKTLFLSRRGFAELTSQGLREKELMQALWKVHRDEFVAGRGSALPDCFCEFKL >CAK8560769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41239488:41242759:-1 gene:gene-LATHSAT_LOCUS14419 transcript:rna-LATHSAT_LOCUS14419 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLIRPLITRRGISSSSEKMVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYQRRYPDEFLDRSDARGKGDYQIDYVPAPRITEADKNKDLRSLQRALDKRLYLLLYGNAYGAPSGKPVWHFPEKVYESEETMRKCAESALKSVLGDLSNTYFVGNAPMAHMVVQPKEEESGSTPFKKFFFKSQVIAKNKFDIGQCEKYVWVTKDELMEYFPEQAEFFNKMIIS >CAK8565707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:310946801:310949075:-1 gene:gene-LATHSAT_LOCUS18896 transcript:rna-LATHSAT_LOCUS18896 gene_biotype:protein_coding transcript_biotype:protein_coding MEISESTRILFEKIQRFEPEYALRIIHNLLMQERGEQEIANLASLPDHVIHEFSVRARIGLEMLAIRSGNPSPASFQVHSPFHNMHDGNTSSDFMPLGYMDSVTEHQTRTALLGSENLHPNIQYVDSVTSTAANFNPYNNINYYLEYVPRVAGLNGKNSRRSSNTTEFPFKTCHYFSKGYCRHGNSCKFYHNGQTVSELYGNNDIAVNNEQVISLGSLAKLESEIVELLKQRGNPISIASLPTAYYEKYKKALQAEGYLAESQRHGKSGCNLTRLLIRLRNSIRLIDRPHGQHAVVLAEDAPKFKGKADCQNISASQQLYITFPADSTFSEDDVSNYFRTFGCVIDVRIPHQQERMFGFVTFVYPETVKTILEEGNPHYVRGSQVLVKPYKERSKLVDRKYQHRSEHHVRYSPQYVDFDAEIASSPISCGNARYQTRLRIDEQYRFFELQRRHLAMLQVAQNTQSSPPHYDINMNGPRVSDDNLNVQLQPTESLSYARNFIADNSDKDSRKGVHQADSAFSFRIDTAISTIM >CAK8541322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:120223609:120223923:1 gene:gene-LATHSAT_LOCUS10251 transcript:rna-LATHSAT_LOCUS10251 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRFHHLSLLCILSVAMILLPAASANFQDPPGRTCIHKCKDVTDGVCYKTCYAIGFKSGGDCFSDNPDNSVCCCIKNN >CAK8578961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664344914:664347612:1 gene:gene-LATHSAT_LOCUS30938 transcript:rna-LATHSAT_LOCUS30938 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDDDGDFDVFYDSLDSLSGQESVLAEEEFGFERRYDEIWVNEPVSVKERREVFLQGMDLAYSSSKILSQEKIMRFNERIMECSGAVSNACIFPSDKVSEKTVFSGWNATSEAEVLLEGLKGRREDEDEVDASFQWKMDEKVDATSTAPEHREREDEAQEEFWDFDNSKTSRNKWWKRFVNVGKGEEGKVRSKLSTGMNKTSRIKVRRNKKRWLEFSEVYVGQEIKAHKGLVWTMKFSPNGEYLASGGEDGVVRVWRVMSRDKSRPFNFLPNDIFQIEESPLQELFGHSSDILDLAWSNSDILLSSSMDKTVRAWKIGCDQCLRVFPHKDFVTCIQFNPVDENYFISGSIDGKVRIWGICEERVIDWADIRDVISAISYQQDGKGFVVGSVTGTCRFYAASGKYFKLEAQIDICGKKKASGNKITSIQFFQNNCQRIMITSEDSKIRIFDRTEMVQKYKGLPWSGSQMSGSFTSSGKHIISVGEDSRVYIWNFNDFESASSEKKKSQHSCEYFSFKGVTVAIPWCGMKAEYTTNNIPRRISETKGKLEFGSEVRDTDRFSLGNWFFIDGTCRGSMTWPEEKLPTWDLPLAEDEYDHRKLYKKDSSHGKNKSETRGLTIVAAGSDGTIKTFHNFSLPVRL >CAK8575106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30691610:30693296:-1 gene:gene-LATHSAT_LOCUS27395 transcript:rna-LATHSAT_LOCUS27395 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLILLVLFLSTFPFLLFFLKRKRTNNAPYPPGPRGLPIVGNLHQLDNSNLYLQFSKLSKIYGPLFSLQLGLRQAIVVSSAEIAKEIFKNNDLLFSNRPILYGQQKLSYNGSEIVFSPYGEFWRDIRKTCVVHIFSAKRVLSYSSVRTFEVKQMIKKISNHAAASAVTNLSELLTSLSSTIICRIAFGRKYENEGTERSKFHGMLHEFEAMLTAFFVSDYIPFMGWIDILSGLRARLERNFKEMDEFYQEVIDERLDPNREHGDADVIVDVLLQLMKQRLFSIDLTFDHIKGVLMNMLVAATDTTSATIVWAMTALIKNPRVMKKVQQEIRNSRTKNEFLDEDDIQNFTYLNAVIKETLRLYLPAPLLVPRESRDKCTIGGYEIPAKTIVFVNAWEIQRDANVWKDPEEFYPERFLESSINFLGQDFELIPFGTGRRICPGMPMAVASLELILANILYSFDWELPDGLLKEDIDTERLPGLTQHKKNHLCLAAKFPV >CAK8561991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422644957:422648682:-1 gene:gene-LATHSAT_LOCUS15530 transcript:rna-LATHSAT_LOCUS15530 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVALSSSLPGRTNLFSSLPPHDPIPSSSPTPRPPIPIPKYPPPRKSQTPPPPSNPALKYHRNSKYYKPIKHDTVITSEGDRSVIIGESGVSYLLPGAPFEFQFSYSETPKVKPLAIREPAFLPFEPPTMPRPWTGKAPLKKSKKNIPLFDSFNPPPPGTKGVKHVEMPGPFPIGKFPEEGMTRKEILGDPLKKWEIKMLVKPMISDNRQVNLGRDGLTHNMLELIHSHWKRRRVCKVRCKGVPTVDMNNVCRHIEEKAGGKIIHRAGGTVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIQDAPEGLTKAEADKLRMKGKSLLPICKLAKNGVYTSLVKDVRDAFEGSHLVKINCKGLDPSDYKKIGAKLKDLVPCVLLSFDDEQVLIWRGKNWKSMYPKVPVFTPAKADITRNSDNTGMVNDNQSKHMGNMVNSSPKMLSLWKSAIESNKALLLEEFNLGPDALLEKVEEFEGVSHATEHSHPAFISSSEDAFEGSIGYPQDGADDNYSNEEGLDDVDDYDDSNDDEDDYDYYNDDEDNELNDIVVTSAQPGSLPIDLLVDKLKPRQKK >CAK8533232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594507950:594511688:-1 gene:gene-LATHSAT_LOCUS2877 transcript:rna-LATHSAT_LOCUS2877 gene_biotype:protein_coding transcript_biotype:protein_coding MMILTTLLVILVTLMIKVFYDAISYYWLNPMRIKKIMEKQGVFCPKPRFLSGNLKDISSFVSEATSQDMKSINHDVVGRLLPHYVAWSKQYGKRFFYWNGIEPRLCLIETELIKEFLSKYNTISGKSWQQQQGSKNFIGKGLLMANGDDWYHQRHLVSPAFMGEKLKSYGVHMMECTKEMLESLQNATLECDKKEIEIGEYLTKLTADIISRTEFGTNYKEGKQIFHLLTQLQALCAQATRNLWFPGSRFFPSTYNREIKSLKMEVERLLMEIIQSKKDCVEMGRSNSHENDLLGMLLDEYQKSGNLNLQLVMDECKTFFFAGHETTALLLTWTAMLLASNPTWQEKVRSEVKEIFNQGMPSVDQLSKLNVMHMVINESMRLYPPATLLPRMVFQDIVLGDLFIPKGLSVWIPVLAIHHSEELWGKDANEFNPQRFASKSFMPGRFLPFASGPRNCVGQSFAMMEAKIILAMLVSRFSFTISENYKHAPITVLTIKPKYGVQIHLKPLD >CAK8562527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506378466:506398672:-1 gene:gene-LATHSAT_LOCUS16017 transcript:rna-LATHSAT_LOCUS16017-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGSGKWRTILTDPDFCAILRTRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNLPVPKLDNNQLALSKVVQREDILDIKPLTISSGAVQSSNSKEQLSRLGDSHVLEAIVNMKDPKGSDKAAIASYIEEKHKYKVMPSPTVSEKRRSSSLILMDARPKDSLEVEKSSNVNIVSKSQIDAELSKVRSMTAQEAAAAAAKAVAEAEVAIAQAEAAAREAEAAEAEAEAAQVFAKAAKKALKCKMLHIW >CAK8562526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506378242:506398672:-1 gene:gene-LATHSAT_LOCUS16017 transcript:rna-LATHSAT_LOCUS16017 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGSGKWRTILTDPDFCAILRTRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNLPVPKLDNNQLALSKVVQREDILDIKPLTISSGAVQSSNSKEQLSRLGDSHVLEAIVNMKDPKGSDKAAIASYIEEKHKYKVMPSPTVSEKRRSSSLILMDARPKDSLEVEKSSNVNIVSKSQIDAELSKVRSMTAQEAAAAAAKAVAEAEVAIAQAEAAAREAEAAEAEAEAAQVFAKAAKKALKCKMLHI >CAK8572443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537360728:537363082:1 gene:gene-LATHSAT_LOCUS25013 transcript:rna-LATHSAT_LOCUS25013 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLAPFQLLELNVISAQDLASVGRNMRTYAVAWVHPDRKLSTRVDTEGHTNPTWNDKFVFRVDDEFLYSDTSAIMIEIYALHWFKDIHVGTVRVLVGNLIPPPARPFHTDRAPLGMRFVALQARRPSGRPQGILNIGVTVLDSSMRSMPLYTLNASAVGYRHLMGEKDAYDSHNHLSPLVLAAGGGGGGGGGGGGAGKPELRRTKSDTSSVMACEAVLRHQRAIINKERASSAISGSEVSYNKVNKNKKKKKKNKKKKSSNDEASSIISSVLSDAVVPWIIKNGKASYTPDSHMEPPQPPPRYNDDEHHNNDENDIDHHHHYHDDNEKDVSFVNTISQATRDTDINDKQNIAYQVKATPSRHYPKSPMVEFKSSPKPKFMKSPMPEYNNSPKPQFKNSPMPEFKNSPKPQFHKSPMPEYMNSPKPQFHKSPKPEYMNSPKPRHMRSPTLPEYDNSPKPKYMRSPTMPEYDNSPNPQFKNSPMPEFKNSPMPQFRNSPMPEYRNTPMQQVRNSPMPEYKNSPMQQIRNSPMPEYKNSPMHQVRNSPMPEYMNSPMHQVRNSPMPEYKNSPMQHVRNSPMPQFRNSPMPQFRNSAAAPHFRNSPAVSKFNPAMGFGGSHRGTPMHPFGKMNGGMEYATPMRSNLANVRPVTMTESELGPSPSEVAAAMAKKPVIDEDTSTVGGWSLDESVEGLGSKLDRWRSELPPVIDQGEMSSIPTTSTTKTKTSRHSRRHTDGGNGLFSCFSNICGVECSIVCGGDSKSKSKANKNSRRLTSSADGSSSLL >CAK8532140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211836634:211838272:1 gene:gene-LATHSAT_LOCUS1873 transcript:rna-LATHSAT_LOCUS1873 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8533649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645980526:645983560:-1 gene:gene-LATHSAT_LOCUS3260 transcript:rna-LATHSAT_LOCUS3260 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSRGVVTRLRHFSVAPPPSLRYFSSDVETLRTIEAKPSVMTPHSQRTGVIAVKCGMTALWDKWGARIPISILWVDDNIVSQVKTPEKEGYCSLQIGCGQKKEKHLTKPEVGHFRAQGVPMKRKLIEFRVTEDALLPVGTSLNVRHFVPGQYVDIAGITKGKGFQGVMKRYGFKGGSASHGNSKAHRTMGSTGQHDSPGRVIKGKKMPGRMGGKQRTVKNVWIYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDTSILPFPTYFVPEDENTDDMKPLVADLGDVDPFLITD >CAK8570785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:114908419:114908908:-1 gene:gene-LATHSAT_LOCUS23508 transcript:rna-LATHSAT_LOCUS23508 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCIPFFQQLTGINVIMFYAPTLFKILGFGDDASLMSAVISGGVNVVVTLVSVFTIDKFRRRILFLEDGTQMFIFQVIIGIMIATMFGLTRQGSFTKGEADILLFFNCAYVAAFAWS >CAK8570786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:114908419:114908854:-1 gene:gene-LATHSAT_LOCUS23508 transcript:rna-LATHSAT_LOCUS23508-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAPTLFKILGFGDDASLMSAVISGGVNVVVTLVSVFTIDKFRRRILFLEDGTQMFIFQVIIGIMIATMFGLTRQGSFTKGEADILLFFNCAYVAAFAWS >CAK8569530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4266593:4266958:1 gene:gene-LATHSAT_LOCUS22372 transcript:rna-LATHSAT_LOCUS22372 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREERKSHWSVFDGVKIIAATPEALMTEIDSAISNLEYTRATAILDVDRLHDARTADESYRAGCAALAGGKLEEALDCFNVSLSKCPPDKIAAVAKLRSLISLTSQHLQSSSSSSSSSN >CAK8566966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476791069:476791616:-1 gene:gene-LATHSAT_LOCUS20060 transcript:rna-LATHSAT_LOCUS20060 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNCYLDLLSQKSMNEKSKVNKKLVAISPEEFQVRVIIWLANQEMEGRSGRKKLPPTLSLSLRPQVLLMHASHGFSIKRSLENFLHKRKKKIQSHVSVVTSHDSNSTN >CAK8543871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645733178:645734841:1 gene:gene-LATHSAT_LOCUS12596 transcript:rna-LATHSAT_LOCUS12596 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSFQSGGKKDEPKGLQSVSGRSDSSAYVDVEDRRCGSELNSRDVSDSGSAESLRRNAPPNLSQRPSDLRVFTVSELKSATKSFSRSVMLGEGGFGCVYLGVIRCVDDPSRRIEVAVKQLSKRGVQGHREWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLIYEYMPNKSVEHHLSHRAEAPLPWSRRLRIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYAAPEYLQTGRLTSKNDVWSFGVFLYELITGRRPLDRNRPKGEQKLLEWIKPYLSDTKKFQLILDPRLDNKQVIKSAQRLATIANRCLVKNPKNRPKMSEVLGMMNGIVESPSSDSNSQLPLKNVSGVEVSQDIEMNNTKKRSVDQKSRESNWFFKILRPKLLRT >CAK8575047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24231308:24238415:-1 gene:gene-LATHSAT_LOCUS27339 transcript:rna-LATHSAT_LOCUS27339 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPYDSSGTDDDLPPTHQNRIPRGGRLAGNGRSAVGSIPYPRVYSEIDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDTIRRIREWRQTGGHQSGVMNTGQALHDSIPSPSVSASRKKQKITPPVPPRTFAGPSPPFHPQTVTASHQPSSSAAKRGSVPGSKGKKQKSGPVLPGVSSKQFPPSGPGGRNQVQNQVPNRAVMGEHAEGGSFGPMIGRRVRTRWPDDNNFYEAVISDYNPIDGRHNLVYDMGSTNETWEWVKLSEISPEDIQWVPEDPGINHRGGFGGSGHGMNRSVGRDSVPGVGRGRGVPKGQSRKDFLSSQNGSGKKAPDDIQILHTDTLIQEVERVFSANHPDPLEIEKAKKVLREHEQSLVDALARLADLSDGESDGAGHHFPQRRSMDRE >CAK8572074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507404596:507412663:1 gene:gene-LATHSAT_LOCUS24678 transcript:rna-LATHSAT_LOCUS24678 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKRHTCLKLHINGVEEKIFVKGTWFDTHFNLSITDGSTAWYCHASEEELKQRAAQWDQPVSEYVKLSERYLGFQQPGSVYAFADAGDGHKRLSWTFEKGGMTLYWRWKCMQSPDSKKTTAEILNFLMDANIRLSEEVVIKTELFEKTKVEAEKCVAQSERIANERVDFESEIYTKFLGVLNSKKAKLRELRDQLSKLNNTDKHPQEEEDAEKTESFDEESDYDKSDEDEGPQTCITSSSRGVVANTPPRRRGSKLS >CAK8576987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529665892:529668322:1 gene:gene-LATHSAT_LOCUS29137 transcript:rna-LATHSAT_LOCUS29137 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNTITYPHWYSSKTGIYNSIHSPINLPTDPFIDIVSFLFSHSHDGVLALTDSSSGSSISYSKLLPLVKTVASGLNKMGVKQGDVVLLLLPNSIYYPVILFAVLYLGAVFTPLNPLSSVSEIQKQVNQCGVSFAFTVPENIKKLEILAFPIIAVPENDKDLKNECFSCFFSLIYGNFDFPQRPIVKQEDTAGVLYSSGTTGVSKGVVLTHRNLISMVQHFVRFEASQYEYSCSKTVYLAVLPMFHVYGLSLFAVGLLSLGSTIVVMRKFDIDEVIKVIVKYNVTHFPVVPPMLSALTMKVKGVNGSKLQSLRQVSCGAASLGMEVINGFVRAFPNVDFIQGYGMTESTAVGTRGFNTEKFHNYSSIGLLAPNMEAKVVDWINGAFLPPGSSGELWLRGPSIMKGYLNNKEATMSTINKDGWLRTGDIVYFDQDGYLHLSDRLKDIIKYKGFQIAPADLEAVLILHPEIVDVAVTAAKDEEIGEIPVAFVVKKVGSLLSPKHVIDYVAKEVAPYKKVRKVFFTNKIPRSPTGKILRRELTHCLNSKL >CAK8564361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669792020:669809261:1 gene:gene-LATHSAT_LOCUS17674 transcript:rna-LATHSAT_LOCUS17674 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSMSRPKFISLEEGCDYLHKGITKLLNILEGFPEPNFTPEHHIMLYTTVYNMCHQKAPQDYARKLYDMYKKTCEEYIISKVLPSMRETKDELLLRELLRRWSNYIAMTRRLSKFFCPLEKCDIPRLKLPSLEETSFLSFYHMVYEEMNKEIMDAVFAMVDRERAGERIDQTFALNTLDLYLELKECTRKIKEKEEKMNRSPDVLSKKINLVSSDGVVFEVDFGLALMSKRFKETIDTIPIGDVDTISVHEVSSKMLIKVVEYCKKHNIRQKRVNNLKDWEAKFIDVDTKTLLDLQTYASYLKIDSLQMLAWNKEYGLIKGMTREEMAEFYAAQEDSNSCY >CAK8564362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669792032:669809261:1 gene:gene-LATHSAT_LOCUS17674 transcript:rna-LATHSAT_LOCUS17674-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPKFISLEEGCDYLHKGITKLLNILEGFPEPNFTPEHHIMLYTTVYNMCHQKAPQDYARKLYDMYKKTCEEYIISKVLPSMRETKDELLLRELLRRWSNYIAMTRRLSKFFCPLEKCDIPRLKLPSLEETSFLSFYHMVYEEMNKEIMDAVFAMVDRERAGERIDQTFALNTLDLYLELKECTRKIKEKEEKMNRSPDVLSKKINLVSSDGVVFEVDFGLALMSKRFKETIDTIPIGDVDTISVHEVSSKMLIKVVEYCKKHNIRQKRVNNLKDWEAKFIDVDTKTLLDLQTYASYLKIDSLQMLAWNKEYGLIKGMTREEMAEFYAAQEDSNSCY >CAK8535290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834529246:834534312:1 gene:gene-LATHSAT_LOCUS4761 transcript:rna-LATHSAT_LOCUS4761-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYVQRLYRLCRTSFSPNGPVSEEAISKVCEKLEKMKPSDVGLEQEAQVVRNWNGQMPETNGNHQPPPIKYLHLHECDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLIYGTVHVKSYDWIDFPGPNDPTEARAAKLVKDKDMTAPTSTTVLYPTVGGNIHCFKAITPCAIFDILSPPYSPEHERHCSYFQQSQRKDLPGNVEVDGVTFSDVTWLEEFQPSDEFAIRRGLYKGPVIRTY >CAK8535289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834528456:834534312:1 gene:gene-LATHSAT_LOCUS4761 transcript:rna-LATHSAT_LOCUS4761 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTIAIDKRLYRLCRTSFSPNGPVSEEAISKVCEKLEKMKPSDVGLEQEAQVVRNWNGQMPETNGNHQPPPIKYLHLHECDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLIYGTVHVKSYDWIDFPGPNDPTEARAAKLVKDKDMTAPTSTTVLYPTVGGNIHCFKAITPCAIFDILSPPYSPEHERHCSYFQQSQRKDLPGNVEVDGVTFSDVTWLEEFQPSDEFAIRRGLYKGPVIRTY >CAK8578016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601935144:601938851:-1 gene:gene-LATHSAT_LOCUS30063 transcript:rna-LATHSAT_LOCUS30063 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHPSEGFEIKSSSESSVVDIEATTNTPVSEHGICLAWKDIWVNTIAKGKNGRRSILQGLTGYAKPGELLAIMGPSGCGKSTLLDTLAGRLATNTRQTGEILLNGHKQELSYGTSAYVTQDDILLTTLTVKEAVYYSAQLQLPNTMSKEEKKQRADFTIKEMGLQDAINTRIGGWGVKGISGGQKRRVSICIEILTRPRLLFLDEPTSGLDSAASYYVMKRIASLDQKDGIQRTIITSIHQPSSEVFQLFDNLCLLSSGKTVYFGPASAACEFFGLNGFPCSPLQNPSDHLLKTINKDFDQDTETEISAEEAISILVSSYKSSEMNKDVHNEVALLSKKQMRSMDKNKGHAGFFNQCLALTKRSSLNMFRDLGYYWLRLGIYIALALSLGTVFYDFGTSYTSIKDRGSLLAYVSGFLTFMSIGGFPSFVEDMKVFQRERQNGHYGVVAYVIGNTFSSVPFILVISIIPAVITYYLCGLQKGYEHFFFFACVLFSSLTLVESLMMIVSSIVPNFLMGIVTGAGIQGVMLLVGGFFKLPHDIPNIFWRYPFHYVAFHTYVTEGLFKNEYEGLRFDKPNVQGVNGYITGEAVLTGREKMKPVVGSMLSRMGESSKTIMHVEKPDATPLNGHVV >CAK8578015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601935144:601938851:-1 gene:gene-LATHSAT_LOCUS30063 transcript:rna-LATHSAT_LOCUS30063-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHPSEGFEIKSSSESSVVDIEATTNTPVSEHGICLAWKDIWVNTIAKGKNGRRSILQGLTGYAKPGELLAIMGPSGCGKSTLLDTLAGRLATNTRQTGEILLNGHKQELSYGTSAYVTQDDILLTTLTVKEAVYYSAQLQLPNTMSKEEKKQRADFTIKEMGLQDAINTRIGGWGVKGISGGQKRRVSICIEILTRPRLLFLDEPTSGLDSAASYYVMKRIASLDQKDGIQRTIITSIHQPSSEVFQLFDNLCLLSSGKTVYFGPASAACEFFGLNGFPCSPLQNPSDHLLKTINKDFDQDTETEISAEEAISILVSSYKSSEMNKDVHNEVALLSKKQMRSMDKNKGHAGFFNQCLALTKRSSLNMFRDLGYYWLRLGIYIALALSLGTVFYDFGTSYTSIKDRGSLLAYVSGFLTFMSIGGFPSFVEDMKVFQRERQNGHYGVVAYVIGNTFSSVPFILVISIIPAVITYYLCGLQKGYEHFFFFACVLFSSLTLVESLMMIVSSIVPNFLMGIVTGAGIQGVMLLVGGFFKLPHDIPNIFWRYPFHYVAFHTYVTEGLFKNEYEGLRFDKPNVQGVNGYITGEAVLTGIWQIDMSYSKWVDLAILFGMIVLYRVLFLFIIKGREKMKPVVGSMLSRMGESSKTIMHVEKPDATPLNGHVV >CAK8563512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611911395:611912267:1 gene:gene-LATHSAT_LOCUS16912 transcript:rna-LATHSAT_LOCUS16912 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFVLVSLLIFSLLLTKTQGIRLAKESLTFEKPKHHVEEEEAILCNDQQKCTGNIKNRKLVSTSISTTKSLSKVVKNKDEAKDVKVKSMATSSKYLPEDFVDITEMDYSPARKKSPIHN >CAK8536962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45931495:45931782:1 gene:gene-LATHSAT_LOCUS6285 transcript:rna-LATHSAT_LOCUS6285 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKEIGLFLGFHQDFNSLSSFLTTIKPTLEDAEEKQFTDRAIKDWLLKLKDAAHVLDDILDECSTQVLEMESKGLSHNV >CAK8564049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647811601:647811939:1 gene:gene-LATHSAT_LOCUS17392 transcript:rna-LATHSAT_LOCUS17392 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTAVQIHPTADAKPQRSPSHIEYDNNNDDELFNLLEQDCESTVTFINDPYSTLLVFQSSSSYVTINGNEESCGSSFSDFGTSLMASVDINSFSFPSEYHQVFPFQTLNP >CAK8576359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466573735:466574703:1 gene:gene-LATHSAT_LOCUS28552 transcript:rna-LATHSAT_LOCUS28552 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWFKSLLSHKKISSSTTTTTDQEKKINGDNKSGPTKKKWKLWKSSSEGSSSCSSMKKSGGGGTASVCDSSLTAAAVAVMVRAQPKDFNLIRQEWAAIRIQALFRAFLARRALRALRVVVRLQAIFRGRQVRKQAAVTLRCMQALVRVQARVRARNVRNSPEGKAVQQLLDDHRNQVDSVKLIEQGWCEIPGTADEVKAKLRLRQEGAVKRDRAMAYSLSAQSRMSASPNSKSVTPLKHLHNRDNKSLGNNLLERWMANKPWESPMSNRKSEELVPTFQTRRNAMTTRISALKICQQTPSFSTISQYLQSHRSFVMQQ >CAK8544368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680144876:680147681:1 gene:gene-LATHSAT_LOCUS13054 transcript:rna-LATHSAT_LOCUS13054 gene_biotype:protein_coding transcript_biotype:protein_coding MREKTALLALIPILSTIFVLLFSVTNFSKLATKHQTEHLHFQNHLQVANSACQETLYPNLCVSTLSTFPDLTSKTIPQMISSILNFTIKEVKQSSSNVTGLRVRTKSLKKTLDQRALEDCANLFDTTIEELKTTISDLSQAPTTIASNNKRDCQTLLSGAMTNMYTCLDGFAYSKSNVRHKIENRLYDISKHVSNSLAMLKKVPGVKSKTSNSEAFPEYGEVKDGFPSWLTTKDRKLLQVAVNQTKFNLVVAKDGTGNFTTIGEALSAAPNASATRFVIYIKTGAYFENVEVIRKKSNLMLVGDGIGKTVVKASRNVVDGWTTFQSATFAVVGDRFIAKGITFENSAGASKHQAVAVRSGADFSAFYQCSFVAYQDTLYVHSLRQFYRECDVYGTVDFIFGNAAVVFQNCNLYARKPDPNQKNLFTAQGREDPNQNTGISILNCKVAAAADLIPVKSTFKSYLGRPWKKYSRTVYLNSVIEDLIDPAGWLEWNGTFALDTLYYGEYKNRGPGSNTSARVNWPGYRVITNATEASQFTVRQFIQGTEWLNSTGIPFFLDLS >CAK8577166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542260677:542261807:1 gene:gene-LATHSAT_LOCUS29295 transcript:rna-LATHSAT_LOCUS29295 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIQKQYMCVLFLILALGITNVMSRKLQQSTSSPSLEERHEHWITEYGKVYKDDAEKDKRFIIFKENVEFIESFNAANKKPYKLSVNHLADLTIDEFKASRNGYKRRSASTELTSTSFKYKAVTSIPSSVDWRVKGAVTPIKDQGQCGSCWAFSTVAATEGINQITTGKLVSLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKATDGSCNNATTVPVAKIKGYEKVPVNSEVALLKAVANQPISVSIDASDSSFMFYSHGIYTGECGTELDHGVTAVGYGSANGSDYWLVKNSWGTVWGEKGYIRMQRGIAAKEGLCGIAMDSSYPTA >CAK8567996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561998024:561999370:-1 gene:gene-LATHSAT_LOCUS20999 transcript:rna-LATHSAT_LOCUS20999 gene_biotype:protein_coding transcript_biotype:protein_coding MFMATQNCSKCIMLVTLLVTIFFIIPTSSTSRGISPHALRVALRHVDSGKNLTKFERIQHGINRGHSRLQRLNAMVLSSSSSTRDSSQFEAPIRAGNGEYLMELSIGTPPVSYPAVLDTGSDLIWTQCKPCSQCYKQPTPIFDPKKSSTFSKLSCSSSLCNALPSSSCNKNGCNYVYSYGDNSVTQGILGSETFTFGDENNKKNKVSVQNIGFGCGEDNEGEGFEQASGLVGLGRGSLSLVSQLKEQKFSYCLTSMDDTKESVLFLGSVANVHVTKHVTTPLITNPSQPSFYYLSLEGISVGDTRLSIEKSTFEVSDDGSGGMIIDSGTTITYIEENAFLSLKKEFISQTNLPVDESGSTGLDVCFSLPSGKTQVEIPKLVFHFNGGDLELAAENYMIGDSSLGVACLAMGASNGMSIFGNVQQQNILVNHDLEKETISFVPTQCNKL >CAK8540295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549753386:549760351:1 gene:gene-LATHSAT_LOCUS9311 transcript:rna-LATHSAT_LOCUS9311 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHHDPNPFEEEEEVNPFSNGAGSKSRVPPSSEPLGFGQRHDATVDIPLETSNGDSKKRSQELAAWEADLKRKEKEIKRREDSVAKAGVPVDDKNWPPFFPMIHHDIANEIPVHAQRLQYSAFASWLGIVLCLIFNVVAVTVCWIRGGGVKIFFLAVIYALLGVPLSYVLWYRPLYRAMRTDSALKFSWFFMVYLLHIAFCIFAAIAPPVVFHGQSLTGILAAIDVFSNHVLVGIFYLIGFGLFCLEALLSLWVIQKIYMFFRGHK >CAK8563160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578738603:578739887:-1 gene:gene-LATHSAT_LOCUS16595 transcript:rna-LATHSAT_LOCUS16595 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRKNLKAAAKKASGGDGDEEDED >CAK8544240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673697837:673699137:-1 gene:gene-LATHSAT_LOCUS12939 transcript:rna-LATHSAT_LOCUS12939 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYANKWSVPEMNYQRCPRHSIIQYRNLIDHLGPDDFVWMPYEGLDHEPNPDNTAVWTSKTPIIRFTMVDMHQSDRVKLQFGMHQDIPDAPVDLGQWHQKQVDAQWSVSDWKEFAKELRIHWKRRRQYVLTDHIVHGAKPSLQYMTWFRSVTISQLFLSQPTYLADPRERGSSSNPQQQFSAQTQPYENPYMPTNTPYQQRQPYMPPTQSQPQPPYHYNPDTSFEPTPSTYSPDNSFDPTPSNYTSNYTSNYPSNDPSNYPLFDYHTPRQPTHLDQPNSMYTFGQPYRPYSTQPPRQSFENMGIGLDYGSAIDSGPPGYWGQMMQTLSDTPGPSQPYPPPQLNTQRLDTPQQPRRRPRRNARPPQCGTGGHLDRAGH >CAK8544241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673697837:673698769:-1 gene:gene-LATHSAT_LOCUS12939 transcript:rna-LATHSAT_LOCUS12939-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMHQSDRVKLQFGMHQDIPDAPVDLGQWHQKQVDAQWSVSDWKEFAKELRIHWKRRRQYVLTDHIVHGAKPSLQYMTWFRSVTISQLFLSQPTYLADPRERGSSSNPQQQFSAQTQPYENPYMPTNTPYQQRQPYMPPTQSQPQPPYHYNPDTSFEPTPSTYSPDNSFDPTPSNYTSNYTSNYPSNDPSNYPLFDYHTPRQPTHLDQPNSMYTFGQPYRPYSTQPPRQSFENMGIGLDYGSAIDSGPPGYWGQMMQTLSDTPGPSQPYPPPQLNTQRLDTPQQPRRRPRRNARPPQCGTGGHLDRAGH >CAK8576176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:418363308:418365847:1 gene:gene-LATHSAT_LOCUS28383 transcript:rna-LATHSAT_LOCUS28383 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKTTLSFFLLFILVSSSYATSRITHQQRFSTTKFSSNRRAEQLIRSFNLFPKDPANIHGEYSIDDFVPGKIVEKTFSFLASSNGTSVEDLGHHAGYYSLPRSKSARMFYFFFESRNSAKDAPVVIWLTGGPGCASELALFYENGPFIINNDLSLSLNDYGWDKGSNIIFVDQPIGTGFSYSSDENDIPTDGSGVSNDLYAFLQAFFKEHPELVKNEFYITGESYAGHYIPALASRVHKGNKNKEGIIINFKGFAIGNGLTNPEIQYQAYTQFAVDNKLITKEDQANINKLIPNCVAATKNCESKGGEGCETALQQCQPIFTNILDIAGNINYYDIRKKCEGELCYDFSNLETFLNKKTVRDALGVGEIEFVSCSSAVYSALVQDWMKNYEKDIPALLEDGIKVLIYVGEFDLICNWLGNSQWVHGMKWSGQKQFGASKTVPFLVDGKNAGSLNSYGPLSFLKVNDAGHMVPMDQPKASLQMLVNWIGGNLNGTKI >CAK8543789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640008857:640009603:-1 gene:gene-LATHSAT_LOCUS12525 transcript:rna-LATHSAT_LOCUS12525 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHDDDDVSSWLTLSLPCSSSLGPFNTTYHGVPHAAALSYKEQDMVNSSSCLTLSLSPPQPQQLEYAPLTPSLSPPNAITGSNSVVLESPQTIQPPFPWATLKPATVHTINHLLYDLNINTISGTLECKFCKFQQTDVRFDLLEKFEKVANFIEEKKSEMFERAPDAWMKPVLPNCRNCGPKSKMRPLIGKNEEINWLFLFLGEMIGCCNLVHLKYFCQHANIHRSGAKDRLLYQTYLSLYKQLQPH >CAK8572858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567782240:567782653:1 gene:gene-LATHSAT_LOCUS25373 transcript:rna-LATHSAT_LOCUS25373 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQSGSLSSTTEDIKNFSDWILQIGDGTILEPNDGYVDITISHEFLISNFMDPIEAIVTSTYPDLINNYKDSNYIQSRAILTSTIEVVDDINDYITKLISGEEKE >CAK8563086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572512772:572513902:-1 gene:gene-LATHSAT_LOCUS16531 transcript:rna-LATHSAT_LOCUS16531 gene_biotype:protein_coding transcript_biotype:protein_coding METNEVGGVPTIIQEELSVQIPNEDVQSVVKLNNDQMSAYNIIMNAIHQKQCQIFFVDGPGGTGKTFLYRTIMANLRRNNEIVLATASSGIAATLLLGGRTAHSRFGIPIDIEPHSICKIANIYDLDKLIRITSAIIWDEAPMINKYCVEALDRSLQDIMNNNAPFGGKIMIMGGDFRQVLPVIEKGNRGQMISACIIRSRLWATAKVLHLRQNMRSIHDHDFAQFLMRIGDGNEPTKEDDMVRMPAEIVIPWEGESSIQKLIQHTFPQLENHGWDASYMVERVILTPKNCDVHMLNDMIIDKFPGDENILLSFDEVEGDTHNLYQQEYLHTIAPRALPPHILKIKIGAPLMLLRNIDPKFGLLMRPQVHGNIELI >CAK8567116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488700315:488701635:1 gene:gene-LATHSAT_LOCUS20198 transcript:rna-LATHSAT_LOCUS20198 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKRKKKPSSLILLVSLCDDPSFYRCNPLLRHRNPLLQSPIARTFFSTIPFYLSFTRANIISAINHLDSLAQIHSSQLNGIQHDDIIETKFMKFIEELRCGSFDVGDNYDGTTRTRHSQNSAREE >CAK8561899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:407138223:407139704:1 gene:gene-LATHSAT_LOCUS15447 transcript:rna-LATHSAT_LOCUS15447 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHKPAHVVVLSSPGLGHLIPTIELGRHFVIHHNFKLTILAITSQTSHTESQILQSATNPSLYTIIQIPSPNISSLLPSSAAVCTRLFLTMRYSLPSIKSTLTNLDIPPSALIVDIFGTEALTLAEQLNIPKFVYVASHAWFLSLFAYSPVLDKQIQGQYIDQKEPFKIPGCKPLRPEDLVDPMLDRNDLQYKEFLVAANNLPKSDAILVNTWDDLQHRDLKALNGELSGVMKVPVFAVGPLVRQTESEMSQDTESMFQWLNKQPKESVIYVSFGSGGTMSCEQMREIAFGLELSKQRFIWVVRAPTGEAADAAFFTTGSSNGFDENEIGKQLPEGFVERIKNVGLLVSEWAPQVTVLKHPSIGGFVSHCGWGSVLESLTNGVPMVAWPLYAEQRMNATFLAEELGVGVKTTASLTKNLVGREEIARLVTNLVGQNGKNNHRMRDKVREIKVSAEKALCQGGSSCTAMSKVAKIIETGELITLKKNVQTNEG >CAK8579621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713130041:713133393:-1 gene:gene-LATHSAT_LOCUS31552 transcript:rna-LATHSAT_LOCUS31552 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSATSNGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQSKIEEEEKEKLQKQLQEEEELQLQKRKKRKIKGNSRLSFSEDIIDNDAQEEEEPHQSNNIETNGGVRCGKLGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQDQIRNEPLQITYSYWDGTGHRRAIQVRKGDTIGEFLRAIQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >CAK8543231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590693729:590696571:1 gene:gene-LATHSAT_LOCUS12002 transcript:rna-LATHSAT_LOCUS12002 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFDIADSLLGKLASYVCEEASRAYGVYEDLQGIKDTLSIVKGLLLDAEEKKKQQHALREWTRQIQNICSDAENVFDGFELEHKKKQVLEASSSTRLKVSHFLSSSNPLVFRSKMAHQIKEIKDRLDKVATDGTRFGLAATSVDPGFILQRREMTFSHVDALDVIGRENDREEIIKLLMQPHPQGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSASESIPSAPLAYQENINNLDIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKGGAIGSKIIVTTRSNSIASMMGTFHSYVLQALSHESCLSLFVKWAFKEGEEDKYPNLMEFGKQIVIKCRGVPLAVRTLGCSLYSKFDLNKWKFARDSEIWNLKQNEDDILPALKLSYDQLPSHLRHCFTYFSLYPKGFLFSGVKITNLWMALGLLQSQDGNQSLESIARDYIDELHSMSFLQDFKDFGHFYSFKVHDLVHDLALYVAKDECVVVDSHTRDISQQVRHFSMIDNGSLDSALFPKSKSVRTILFPIQGVGLDSESLLDAWILRYKYLRILDLSDSSFETLPNSIDKLKHLRVLNLSDNHEIKRLPLSICKLPFLQALILRGCVNLETLPKGLGNLISLRKLYITTKQSVLSLDEFASMSNLQTLCFEDCDNLEALLGEVQLASLEVLTFYRCGSLVSLPLCSFSKLEVLQVTDCKRLQLRLPENPQSKTKRWRMKSLCLENFPELHTLPEWIERAAETLQTLIIINIPMLWKLPDCLTRMTCLKVLYITDCPLLDSLPSGMQHLTFLEALTIDGCPKLCQKCQPHYGEYWPMISHIKHVSIGGEEEKEEEERVPQEGEMRNDDLLPYLSDEVEKEE >CAK8579445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699695599:699698211:1 gene:gene-LATHSAT_LOCUS31392 transcript:rna-LATHSAT_LOCUS31392 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNDSVSVDMEKIYLGGKEHHIQTGCGSVSVIVYGDYDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAISSDNPVPSTEDLADQIVEILNYFRLGAVMCMGVTAGAYILTLFAMKYRERVVGLILVSPLCKAPSWTEWFYNKVMLNFLHFYGMCGLLKECLLQRYFSKEVRGNAEVPESEIVQACRKLLDERKRTNVMRFLHAINQRPDITEGLKGLNCRTLIFVGDSSPFHSEAIHMTSKLDRKFSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYRPCQFSNSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLND >CAK8571101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:296703433:296703771:1 gene:gene-LATHSAT_LOCUS23794 transcript:rna-LATHSAT_LOCUS23794 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFGTAAGFSLFKVLDEGKLSKVEDLQESFSSAATARKVVKLKAFPKFENTSKALKSANLLIDGKASKDLRKFLSFHCQNETLGVADTKLVSIIKEKLQLDCVHTLVSWS >CAK8578933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662704747:662705439:-1 gene:gene-LATHSAT_LOCUS30911 transcript:rna-LATHSAT_LOCUS30911 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQHVHELLVESHSRRLLFQNPIDHQSLKINSHFFINNDNSTDTSFRAREFDSNVVMIIAVLLCVFICLLALNSIIRCVCALQFSNVAINSNESSSSSSSSTSSQLAQKGIKKKALKKFLKVSYSTELKLPGLDTECVICLSEFTNGEKVRVLPKCNHGFHVCCIDKWLREHSSCPKCRQCLLETCRKIGGSQVQPIVLPVPEIIIQIQPLEPEALECNYREI >CAK8578490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632358570:632359985:-1 gene:gene-LATHSAT_LOCUS30496 transcript:rna-LATHSAT_LOCUS30496 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHRPSTLTSGVVATDVAVTEIHVVGLPPLGKKRKPNANGPRKSSPAWDHFIKLPNETEPVAACKHCHKKYLCDPKSHGTSNMLAHTKICTKRPQNDPTQTALSFSSGEGGGLVAASQRFNLAACRKAIALFVILDEHSFRVVEGEGFKLLCKQLQPQLTIPSRRTMARDCFQLFVDEKVRLKGYFKSDCNRVALTTDCWTSIQNLSYMTLTAHFINNDWKYENRILSFCLVPNHKGETIGRQVEEILKEWGIRNVSTITVDNATSNDVAVAYLKKRINNMGGLMSDGSFFHLRCCAHILNPVVRDGLKQNELSICAIRNVVRFVRSSPQRSSKFKECIEFARINCKKLLCLDVPTRWNSCYLMLDAAEKYQAAFEKMEGEDFSYLEFFGLVGPPTLNDWDNVRCLVSFFKIFYEATMDFSSSKQVSLHKAFHQLASIHCELKRSSMNLNTILASMGYEMKQKYDKY >CAK8563514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612004659:612006639:1 gene:gene-LATHSAT_LOCUS16914 transcript:rna-LATHSAT_LOCUS16914 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAYLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPKEATEGTYIDKKCPFTGNVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAKKAFGGI >CAK8539356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510042073:510043607:1 gene:gene-LATHSAT_LOCUS8459 transcript:rna-LATHSAT_LOCUS8459 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSKDNNVTDSIKKVFTHKGRYVQYSLYGNLFEVSSKYVPPLRPIGRGAYGIVCAAVNSETREEVAIKKIANTFDNIIDAKRTLREIKLLLHMDHENIIAIKDIIRPPQKDAFNDVYIVYELMDTDLHQIIRSNQPLNLDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNGNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCIFAEIMTRQPLFPGKDYVHQLRLITELIGSPDESSLGFLRSENAKRYLRQLPQFGKQNLSAKIPLMSAEPLDLLEKMLIFDPDKRITVDEALCHPYLSSLHDINDEPVTPMPFSFDFEQPSCTVDHIKELIWRESVKFNPDPLSQ >CAK8542745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542343154:542345636:1 gene:gene-LATHSAT_LOCUS11555 transcript:rna-LATHSAT_LOCUS11555 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVASKRLSSLSWRANHAASAFVSKTPIAPPPSSSDDERRSDPFSIHPQFFLPFRGFASESLVHAKENSILPDIPATVTAVKNPSSKIVYDEHNHERFPPGDPSKRAFAYFVLTGGRFAYASVIRLLVLKLVLSMSASKDVLAMASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTDDDVQLANSVDVGSLRDPQQDSDRVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEDNKLLIG >CAK8532254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231742744:231744730:1 gene:gene-LATHSAT_LOCUS1983 transcript:rna-LATHSAT_LOCUS1983 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSIPKVLLNSGHKMPMLGFGTGTVPLPPSYELIPAFINAIKVGYRHFDTAAYYGSEESLGQAITQALEQNLIKDRNELFVTTKLWCSDAHPGLVLPALESSLKRLGLEYVDLYLIHFPVRLRQGVEGANFNNGDILPFDMKGTWEDMEKCATLGLTKSIGLSNFGVKRISEILQYATIPPALVTVEMNAAWTQENLRNFCKEKGIHVSAWSPLGANGAAWGSLAVMDSPILKEIATSLGKSVAQVALRWIIEQGSTPIVRSFNKERMKGNLEVFDWKLSEDDLEKIKKIPQYKAFKGGRFITENGPNKTVEDLWG >CAK8566834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466243251:466246557:-1 gene:gene-LATHSAT_LOCUS19939 transcript:rna-LATHSAT_LOCUS19939 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKLDDDKALQLCRERKKFVRQALDGRCSLAAAYVSYVQSLKIAGTALRKFTEPEVPIESSLYTSTNATLEPLAFNEKTPSQFSFSSPSASQRIDPHENFSPTPSPPSSTKFQANHMRFSSSSSKKVEEKPPAPVIGTVTSSSAPQNVAPFSTERSETAAFEDSSLPNGTQPWDFFGLFHPMDHQFSFQEGKGTHRNMGIADDITRLREEEGVPELEDDEEKVSSQGSEVSRDSEDEFDDEPATDTLVRKFENFNRVNDHVQANGFHGTDKPQAEESVVNEEESFVSPNVSPLKTATTVSALPAETNKSVEKENHSENKVVPKDFFASMKEIEYLFVRASESGKEVPRMLEANKLHFRPIFPGKENASMGSSFLKACFSCGEDPSQVPEEPAQNSVKYLTWHRTMSSRSDSSRNPLGANSKDGIDNHTNNLFDNFCMNSGSHASTLDRLFAWERKLYDEVKASGVIRKEYDTKCKILQHLESKGEKTSTIDKTRAVVKDLHSRIRVAILRIDSISKRIEELRDKELQPQLEELIEGLSRMWETMFDCHKLQFQILSTAYYNNHARITLHSETRKQIASYLESELHFLASSFTKWVGAQKSYLEAINGWLNKCVSLQQKTAKKKRRPQPPLLRMYGPPIYATCGIWLDKLGELPTQEVVDSIRSLASETSRFLPRQEKSHVTKVAKHPHVASSWNTDIGNESSDNLLGDDASEDWMSGFDQFRASFIRFLGQLNNFSGSSVKMYTELRQAIQHSKTHYHHRSNSQTQDDPSKPESQVDYQSSKQ >CAK8576720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508875939:508881770:1 gene:gene-LATHSAT_LOCUS28893 transcript:rna-LATHSAT_LOCUS28893 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTIFGVFGFGFGISIGLTVGYILFIYFQPTDVEDPEIKPLVDQDAESLQRMLPEIPNWIKNPDFDRVDWLNKFIELMWPYLEKAICKTAKNIAKPIIEEQIPKYKIDSVEFQTLTLGSLPPTFQGMKVYVTDEKELIMEPSIKWAGNPNVTIAVKAFGLKATVQVVDLQVFLLPRITLKPLVPSFPCFANIFVSLMEKPHVDFGLKLMGADLMSIPGVYGIVQELIKDQVANMYLWPKNLEVQILDPAKALRRPVGILHVKVLHAMKLKKKDLLGASDPYVKLKFTDDKMPSKKTTVKHKNLNPEWNEEFNLVVKDPESQVLELNVYDWEQVGKHDKMGMNVITLKEVSPEETKRFTLDLLKTLDPNDPQNEKSRGQIVVELTYKPFNEEEMGKGFDETQTIPKAPEGTPAGGGLLVVIIHEAQDVEGKYHTNPHVRIIFRGEEKKTKRIKKNRDPRWEDEFQFPVEEPPTNDKLHVEVVSTSSRNLLHQKETLGYVNINLGDVVSNKRINEKYHLIDSKNGRIQVELQWRTS >CAK8562366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478979200:478982000:1 gene:gene-LATHSAT_LOCUS15868 transcript:rna-LATHSAT_LOCUS15868 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTHILSLTTRFHDILNKISHIAEKARNNKRTRRLLRSTLKDLTPLVKDINQYNQHLNQPRVEISSLIRENVVQQTACKCSSENNLWNKFLSWFGNGSYVFDDSESLTVKDVKETLYQVKEILELINNENFEQKLNEDGPPIKSPFGVPENPEFTAGLDKPFTKLKMELLKDGSSTLVLTGLGGLGKTTLATNLWWDERVKAKFKENMIFVTFSKTPMLKIIIERLFEHCGYYPVPEFQTEEDAVNRLGLLLKKVEGSPLLLVLDDVWPNSETLIDKLQFQISDFKILVTSRVAFPKYRTTCVLEPLVHEDAVILFSHYSQMEKDNSNILDKDLVEKVVKNCKGLPLAIKVTATSLRNRPYDFWRKIAKELSQGHSILDSNTELLSLLQKILDVLEDNPILKECFKDLALFPEDHRIPVVALIYMWTELYELDDNGIEAMEIINKLSSMNLAHVLIARKNASDADDYNYNNHFITLHDLVRDLGIYQSTKEPIEQRKRMIVDMNVNTWERCIGEKQQGLMIRIVSKFLNLCVKQSRRQLHARILSVSTDDTCATDWSEIETAQAEVLILNLHTKQYLFPESIEKMSKLKVLIITNYGFHPSELNNFELLDSLHSLTRIRLERISVPSFGILKNLKKLSLYMCNTSLAFEKGSILISDAFPNLEELNIDYCKDLVVLPNAVCDITPLKKLSVTNCHKLFALPQDIGKLENLELLRLSSCTDLEVIPKSIGQLLNLRHLDISNCISLLSLPEEFGNLCNLRNLYMASCGSCELPFSVMNLLNLKEITCDEETAASWEAFEPMLPNMKIEIPQVDVNLNWLQ >CAK8531336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101832781:101836771:1 gene:gene-LATHSAT_LOCUS1136 transcript:rna-LATHSAT_LOCUS1136 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMLSSSLFPTTHLNKPLTSQFRPLSLRPSFSRIRASLLQDKEDKVILQDSFPSKTTSPLDSDTGSNSSGDSVSSSSAWEKGVIKVEQSVNIFLTDSVIKILDALYHDRNYARFFVLETIARVPYFAFMSILHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYFMTALMYAISPRMAYHFSECVENHAFETYDKFIKEQGEELKKMPASEVAVNYYTGDDLYLFDEFQTSRVPNTRRPKIENLYDVFLNIRDDEAEHCKTMRACQTHGNLRSPHSYVEDNDESVCTLEAGCEGIVDCIKKSVTSNPVKVK >CAK8574482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494708:496761:1 gene:gene-LATHSAT_LOCUS26826 transcript:rna-LATHSAT_LOCUS26826 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIINRKIIRNWDHEATAIGIMYLTNHPHFLKKAKEFSLEEVSSMKHPVELLKEVKKVSFTAIVHVFMCSCNHNVVKKIESLFEDLVNGLNSLPINVPGFTFHQALKAQEKIVKILEPVVSERRMKIKNRQHMGEKKDFMDILLDMKDVNGRKMKDGDISDLLIGLLAAGHESTATGIMWTIIYLTNHPHFLKKVKFCSPVCLTLVEDQGDAWLPP >CAK8573942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648919551:648926362:1 gene:gene-LATHSAT_LOCUS26336 transcript:rna-LATHSAT_LOCUS26336 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFGGKKLNQIVTGFGGSGLGQIVAAVAVSFLVRIFSAPSPALLPENDDDDVVPSNDSPDGETHTPSGKVTPVTIRWNNINCSLSDKSSKSVRFLLKNVSGEAKPGKLLAIMGPSGSGKTTLLNVLAGQLAASPRLHLSGLLEFNGKPSSRNSYKFAYVRQEDLFFSQLTVRETLSLAIELQLPNISSVEERDEYVNKLLFKLGLVSCADTNVGDAKVRGISGGEKKRLSLACELLASPSVIFADEPTTGLDAFQAEKVMETLRQLALDGHTVICSIHQPRGSVYSKFDDIVLLTEGSLVYAGPARDEPLTYFSKFGYHCPDHVNPAEFLADLISIDYSSSDSVRSSKKRINGLVESLSQKLPTIIYATPVTLEDLSKSRKRISKRTIAKRKGGWWKQFWLLLRRAWMQASRDAPTNKVRARMSIASAVIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTGGVFPKERAIVDRERAKGSYSLGPYLFSKLLAEIPIGAAFPLMFGAILYPMARLHPTLMRFGKFCGIVTAESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNPENTPIIFRWIPSVSLIRWAFQGLCINEFRGLQFDHQHSFDIQTGEQALERLSFGKTTIRETLAAQNRILLFWYCTTYLLLEKNKPKYQQLETTPSDHDKPHLKLEEFNSEQADLTYEAPEVSQVGSDKPLESPEVDPVGSFILEGAQ >CAK8541291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:105860035:105861563:-1 gene:gene-LATHSAT_LOCUS10221 transcript:rna-LATHSAT_LOCUS10221 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAFSYTLILLSSLSIFIVTPSYSFNPRKLVNVTSYSSPDSDWSPSVATWYGSPDGDGSEGGACGYGNAVGQSPFSSLISAGSPAIYDSGKGCGSCYEVRCTGNSACSGNPVRVVVTDECAGCGSDAEYHFDLSGTSFGSMAISGQDQELRNAGIIKMEHRRVECNYAGRSIAFRVDSGSNHEYFATLIEYEEGDGDLSKVEVKEALDSSSWDTMQQSWGAVWKFNKGAPLHAPFSIRLTTLESGKTIVAENVIPAGWKPGQTYRSIVNF >CAK8534480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731555123:731558915:1 gene:gene-LATHSAT_LOCUS4023 transcript:rna-LATHSAT_LOCUS4023 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTLPSTSSSIHSTILLPQSHSPSLLSPSSYQPCSLLNHHFHTQPRHFTNFTRKIHSPLRPQVLKKVINCSTSEPLKVMISGAPASGKGTQCELIVTKYGLVHISTGDLLRQEVAAGTEIGNKAKEFMNSGRLVPDEIVTAMVAARLSLEDAKQKGWLLDGYPRSLAQAESLEKMQIRPDVYIVLDVPDEILINRCVGRRLDPVTGKIYHQKFFPPETEEIKARLITRPDDTQEKVLSRLSIYKQNAEAVSSSYSNITNKIDGSRHKEEVFKDIESLLTQLQQNKVKIVNSGENTIRDTKKGQVSLIQDQWRGVPTKLHNIPHSQEIRKYFYDDVLLATQRAINDGKTRLKVDINIPELNPETDVYRFGTLMELIRSLALSFADDGKRVKVCVQGSMGEGARTGMPLQLAGSRKILEFMDWGDYGAKGTFINIGSIGAAEVEEQDDMYILVTPQNAVGNCIIDDLKAMTSAAKHRPVILINAKLKDLPASSGIMQTMGRDKRLEYAASFESCYLFRLLYNVATQYPIIGAIRMSYPYPYELYRRVDESTGKEKYVILSTFPQMPTNEEVYDSLEGKPRNGTRKASGFWGFLSEIF >CAK8561019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:74923216:74929020:1 gene:gene-LATHSAT_LOCUS14647 transcript:rna-LATHSAT_LOCUS14647 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIDCSDVRTFKANFTAEGVTVLREKLNEKLKELMGNYTDETLVDYVIVLLRNGRGKDHAKNKLNVFLGEDSDSFVSWLWDHLALNIDLYVESKGLQDEAPKSKLISKVQAGDDGFQNLNSKSERVKSRSRRNKDWKGLVCREAEAPPLQSFVVDSMHLDKKVQSKGNRAPRPRPPSSAPPVQRKRGCAFEEKKTKRGSVSQITDDAPRRLLQFAVRDAVATSRPSNLGPSVEPSLKRLRSVVSSSSVESSMVEHPHRMQTISRVANPMAALFKAVEEAAEDVVKLKSSRSVFDRIGCDMYPSYGNMQHEDNQYQDQSPLLYHKEKDSGDQYAANMTMLEHETGFSSNSSSDNEGFDDVNFTDNRVGRVSQLSSSSGKRGEDSLMVHYNVAKNENCRMLLKKNRNQEQSAAAPNTSKIVNISVNVNAWNPSVPAQYLKPREAAELSGYKTLNSGIGAPRSDLRMVNENVKTQKIDNGKVKSTLNLLKETQKAQPSTPGTGSSAASCPLFDADSRTIFASNVHFAATKDAICRHFNKFGEVLKAVIVTNSITGQPKGAAYVEFMHKEAADNALSLDGTSFMSRILKVVRKSTGQHQDYAPAVPWPRGVKRPSYPSASFSRSPIPTGIPGAFRPRPPIKFGARSLQWKRGVQGTSSGNGATLNNSSISAPVSRGLTYVRAES >CAK8541021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44623347:44625851:1 gene:gene-LATHSAT_LOCUS9974 transcript:rna-LATHSAT_LOCUS9974 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIDERGSFAVTSPFPGRLGVILKSLKKLPARVALSGDVLPLKEDKAKSLAEKLNEIILSEQKSTEEFSYSVSGVLSSSGSSTSRSDNLQQLLGNTERYSVYRFKTRSCTFIDGHGSTFDVDVEDLGTSKADLLAPFSAKLIDGINQSETRRRALVLLCFVYMNANAKDAYVTSVDRKGFDVLAKVTGPVLKDGVGQYQWKEFRFMFEQDANDVEMFCNQLVEMEEEVVNKVSVSSGLN >CAK8533577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:638226082:638228289:1 gene:gene-LATHSAT_LOCUS3196 transcript:rna-LATHSAT_LOCUS3196 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKNYYMHFKTHHHHHHQSPERKWIFPLAIGSILSLFLLFIATLTSPQGTRILPFYRSVSASYSAFVESKLHPLPISTFPPPPRLAYLISGSAGDGGSVKRVLLAMYHPHNRYVVHLDLESSPKERADLFEFVKNHDLFKRFENVKMITKANLITYRGPTMVANTLHAAAILLKECGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSDIGWKDHQRARPIIVDPGLYMNKKQDVFWVTQRRSRPTAFKLFTGSAWMVLSRPFVDYVIWGWDNLPRTVLMYYSNFISSPEGYFHTVICNSQEFKNTTVNSDLHFISWDNPPKQHPHYLTVGDMKLMINSNAPFARKFHREDPVLDKIDAELLSRNPGMPVPGGWCIGSRENGTDPCSIVGNTTVLRPNSGSKRLETLISKMLSNENFRPKQCV >CAK8534976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799046856:799051122:1 gene:gene-LATHSAT_LOCUS4466 transcript:rna-LATHSAT_LOCUS4466 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTETLSLIHEIESLVSDQLQVVSYKWLSRSYLISSDEAKRLLQEFVQKHKGELEVVYALSGWLKSTHPSYHIRLVAEPKLAEAQQEFDGHCSVQVYSVQASIPKDPAVLWNAEFIQAEELFKQPPSADNCLRDNRFCGISNSFVRRNVEGQPAVFATPQIKSLAGLEPTKSNIVHQPPKNTTHSSVANVDHKPQNVVKDVKTENNGTGNTGVHDNTNKPTAEKEKSLPVPTGKKKGQGDKSSSGTEGSLASFWGRPSTKPKPCPVPAGNSNLISNPAEVTENAQTCAHEAVDRDSDDDDNQGVILRRSSNRKRRVVFDFSDEDEDIISLASPDVPIKQPPQDSRQNDKKSLEKTTLNFDLQVGHKPLVKEEKATEKKVFQPPREDLSIMTKCTSNGKSSTEKLQSSAPEISVNKDSVKKVSPGSPKRRKVMKTRIDEKGREVTEVVWEGEETEPKKVDTGAAKKVDTDAAKKADNKASTNAINSAPATKKPPATSNATGKGGSKKAGNSKDPKQGNILSFFKKV >CAK8569012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662839792:662843197:1 gene:gene-LATHSAT_LOCUS21910 transcript:rna-LATHSAT_LOCUS21910 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGSWIRGTGQAIDRLGSRFHGGYHIEEQLSRHRTLLNIFDKAPVLDKDVFVAPSAAVIGDVQIGKGSSIWYGSVLRGDVNSIRVGSGTNIQDNSLVHVAKLNVSEKALPTIIGDNVTVGHSAVLHGCTVEDDAFVGMGAILLDGVVVEKNAMVAAGALVKQNTKIPSGEVWAGNPAKFLRKVTDEEKAFISQSATNYTNLAQVHAAENSKSYDEIAFEKVLRKKYATKDEEYDSMLGVVREIPPELILPDNILPDKANKALQN >CAK8575421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:115953415:115956157:1 gene:gene-LATHSAT_LOCUS27690 transcript:rna-LATHSAT_LOCUS27690 gene_biotype:protein_coding transcript_biotype:protein_coding MANTFYVSPSHLQIQHLCQHQQHKYLTSSPRPRPRHNLCFLSTQYRLFAFPQPRRPYFTLNALHSDPPHQRSVNFGRRNEIYEQWDSLTSKLSGAANFPFLLLQMPQILLNARNLMSANSSALFAIPWLGMLTSLLGNLSLLSYFAKKREKEAMLVQTLGVLSTYVVILQLALAQSMPFTYFLATSLVVVSGLFLNFMNYFGLLNAPIWRFWEDFITIGGLSVLPQIMWSTFVPYLPNSILPGALSFVIAVFAVTMARSGKLSEEGVKFVGGISGWTATLLFMWMPVSQMWTNFLNPENMKGLSAFSMLLAMLGNGLMLPRALFIRDFMWFTGSTWATLFYGYGNLACLFLLNIISKEFFLAATAGLVSWIGMAFWRDSVVHGHSSPLASLGDLVFGSRK >CAK8572727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558502514:558504781:1 gene:gene-LATHSAT_LOCUS25257 transcript:rna-LATHSAT_LOCUS25257 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTPCLNATEKKHWWLTNRKIVEKYIKDARTLIATQERSEIVSALTLLDAALTISPSSDQALELKARSLLYLRRFKDVANMLQDYIPSLKMANDDSGSVSSDGSSQQLSREGVKLLSSSDSSDPDQSFFKCFSVSDLKKRVMAGLCKSSDNEGYWRYLVLGKACCHLGLMEDAMVLLQTGKRLASDAFRRESVCWSDDSFSLWNTPFSGDAISMPIATTPPRSPLSESETVNQLIAHIKFLLRRRAAAIAAMDAGLHTEAIRHFSKIVDGRRGAPQGFLAECYMHRATAFRSAGRIADSIADCNRTLSLDPTCIQALEARASILETIRSYQDCLHDLEHLKLLYNTILRDRKLAGPLWKRHNVRYNEIPGKLCTLAAKIQQLKQKMASGETHNVDYYALIGLRRGCGRSELQRAHLLLCLKHKPEKATCFIERCELADEHDLESVKDRTRMASMLLYRLLQKGYSSVMNSIVEDETAEKEKQKKMAFQLQQTSSNANPNVNANVKANVNVNANVHSVEVFEEKSRAEKMEEKFAMSSPSIANPTVFQGVFCRDLTVVGNLLSQRFSSRSIPVKYEALSC >CAK8534231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:708456686:708457742:-1 gene:gene-LATHSAT_LOCUS3791 transcript:rna-LATHSAT_LOCUS3791 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGQERVSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDISCLLHLPIRGVFWSPEDISEALDVEWAVDYLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHKVASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLRKKRRELDTNS >CAK8570323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36875616:36875945:1 gene:gene-LATHSAT_LOCUS23081 transcript:rna-LATHSAT_LOCUS23081 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFAGGLEQQVRQVVKSGVGKCINCGSRADLVEYDKVLKLFFVPVWRWPGKDNLLYCQDCKFLFPQSYSLPPPSNGGSTLPDALKCRYCDRKVGADFTFCPYCGTQL >CAK8544647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697445579:697456517:-1 gene:gene-LATHSAT_LOCUS13305 transcript:rna-LATHSAT_LOCUS13305 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMARISSGLQYPERFYAAASYVGFDGSTSPTKSLTSKFPKSTALLLYSLYQQASVGPCNIPEPSSWKIVEHSKWASWNQLGNMSSTEAMRLFVKILEEEDPGWYSRASNSVAEPVIDVQMNYNSKVEPVIENGNTYPETKTISSENGSQVGTQDKDVVVEGFASVGVYDQWIAPPVSGQRPKARYEHGAAAVQDKLYIYGGNHNGRYLSDLHVLDLRRWTWSKLEAKTGDGPSTTLDPCAGHSLIAWGNKLLSIAGHTKDPSENIQVKEFDLQTATWSSLKTYGKAPISRGGQSVSLVGNTLVIFGGQDAKRALLNDLHILDLETMTWDEIDAVGVPPSPRSDHAAAVHVERYLLIFGGGSHATCYNDLHVLDLQTMEWSRPTQQGEIPTPRAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLAWSAVTSVQGRVSVASEGLSLVVSSNDGEDVLVSFGGYNGRYNNEVYVLKPSHKSTLQSKINENSIPDSVSAVPNATNATRDSEPELGAGQEGKIWEIAVDNAYPTKSKGDLLSVLKAERDELESSLGKERLHTLRLKQELAEAEASNSDLYKELQSVRGQLAAEQSRCFKLEVEVAELGQKLQNFGTLQKELELLQRQKAASEQAALNARQRQGSGGVWGWLAGSPPDPNADDE >CAK8561193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117184913:117185855:1 gene:gene-LATHSAT_LOCUS14805 transcript:rna-LATHSAT_LOCUS14805 gene_biotype:protein_coding transcript_biotype:protein_coding MNISNSFSISCGLIWELVSMWDVRSRGFRVRDKIVLFTPIDVCFALGLSIVGKSLVVEEDQQSQTLDLFKGVEVTIHNIRKQLRSHKKILVNFVRLYILLAFAEFYFSKIGYKVFTRFIKKLDDLDSLDITLWFLVYVSLRVLKERKNKAHKHLNGFAAILQIWAFNHLSLEKAPAVSRFSSPVITMQKKNIEKSFDKNMIIDRIVATEEELEYDIVNAALFEQGQQFVNVYDYQRLVDENKDFKERITILEEEMRMMK >CAK8571881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488995902:488999813:-1 gene:gene-LATHSAT_LOCUS24504 transcript:rna-LATHSAT_LOCUS24504 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFASALLVRHKHENKRYVLKKIRLARQTERVRRSAHQEMELISKVRNPFIVDYKDSWVEKGCFVCIVIGYCEGGDMAEAIKKANCVHFSEERLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCVYEMAAHKPAFKALDMQALINKINKSLVSPLPTVYSGTFRGMVKSMLRKNPELRPSAAELLNHPHLQPYIVKVHLKLNNPRRSTFPFQWTDSNHARRSRFVEPESVSTLSGRVKRLSFSNDRALNPSISGTEVGSLCSTQRAQGFSTYSKHYELSIGCVREEQNANKSKDTKFSIVRQMERRAAKEFATPRRQITPSKIAHTSSKRDSLPSSSIPAGKFTPPSRRASLPLPTRSTTTTTPYRANVDFLRNVDSPNISVNAPRIDKIAEFSLAPGEDHPLFPVPGTSSTSAQCSSSSPRSAADYTITKDKCTIQIVDKAKVPTRGTLVSCGNECSEHVTNAVSSNSSTDSRQRKFDTSSYEQRAEALEGLLEFSARLLQQQRFEELEVLLKPFGPEKVSPRETAIWLTKSFKETLV >CAK8531183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87330960:87332362:1 gene:gene-LATHSAT_LOCUS991 transcript:rna-LATHSAT_LOCUS991 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGSIGLDLNNEMRSHQVAIAELNALPSSRTVYQKNGNLFFRTTVQTATSMEQKQLDSAKAKLKNLNSSV >CAK8532620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332093146:332095304:-1 gene:gene-LATHSAT_LOCUS2307 transcript:rna-LATHSAT_LOCUS2307 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPNQIDETEKDHLRKNKIVRKLSFGNNIHPEANSIWRSMKDTGEVDHHAEPDFGKNAFPLDFNPHGNIDSTPVRNLGGLTFEEGISYFSETPLSRVRRSATPLKHSPWTTEFINKVKEKSKLRQKSVLYGNSSSYFGYQGNVSKASKKRSPSILDSFRYQPSKTPGNIQEQKRQKQSGQSSSSAKKGRYPVPISSWTPNDKRSTMALTFGKSANGAQTKLVWSDKRKFPNQAQ >CAK8565714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311506535:311512328:1 gene:gene-LATHSAT_LOCUS18902 transcript:rna-LATHSAT_LOCUS18902 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDALQESLLNGLSNKSSEENEILTGYSKAGFFSFLTFSWMSPLITLGSKKTLNHQDLPLLSPNDTAYGSFSTFTKNLELECGNVRMVTPIKLVKVLFLSTWKGILISGYFAFLYVCATYVGPYLIDNLVQYLNDENKVKSEGYVLATAFIVAKLVECLSQRHWMFKFQQVGVRMQAMLVSMIYAKGLTLSGQSKEGHGSGEIINLMNVDAQRIGEFCWYMHDIWMAVLQISLALLILQRSVGVASVAAFAATVVVMLLNLPVTSLQERSQAKLMEFKDKRMKATSEILMNMRILKLQAWEMKFLSKIIQLRKFEETWLKKFLIGNAIVRFLFFNAPTFVAVVTFGACVLLGIPLESGKILSALATFRILQMPIYNIPDTISMIAQTKVSLDRIVAFLRLNDLQTDVVEKLPQGSSDIAVEIVDGNFSWDLSSVNTTLKNINLRVCHGMRVAVCGTVGSGKSSLLSCIIGEIPKISGNLKVCGTKAYVAQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRVQIARALYQDADIYLLDDPFSAVDAHTGSHIFKECLLGLLKTKSVIYITHQVEFLPDADLILVMKEGRITQSGKYNDILTLGTNFMELVGAHTAALSSVKSLEKRATFKTLSITGEDTGSLSDFELEQETEKVDDQNDKLDETVVPKGQLVQDEEREKGSVGFKVFWKYITTAYGGALVPFLLLTQILTVVLQIASNYWMALATPVSATEEPEIGNLTLMIVYVSLAIGSSFATLGRAVLAAIAGYKTATMLFNQMHLSFIRAPMSFFDSTPSGRILNRASSDQSTVDMNISNLAWGFIYNMVQLLGTIAVISQAAWQVFVVLIPVMAACIWYQRYYSASARELARLTGVCQAPVIQHFSETISGSTTIRSFEQESRFTELNMQLIDKYSQPKLYSASAIEWLSFRLDLLSSTIFAFCLVLLVSFPSSIADPSIAGLAVTYGINLNAIQTNLIWFLCNLENKIISVERILQYTSIPSEAPLVIKDNQPDHSWPSFGEVHIQDLQVQYAPHLPLVLRGLKCTFTAGAKTGIVGRTGSGKSTLVQALFRLVEPVAGQILIDNINISLIGIHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDMCQLGDEVRKKEGKLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKQHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAQLVAEYTRRSNTGFGS >CAK8542276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496843472:496845462:-1 gene:gene-LATHSAT_LOCUS11124 transcript:rna-LATHSAT_LOCUS11124 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDALKLLFDVSNSNTLENSLDILIQTAKSESGRSHLASKRILPAVLSILHSQTPFLDNNILSLCFKLLRNLCAGELVNQNLFLEFDGVVIVVSRILRLEGVSDHMLVRWGLQVLANVCLAGKEHQRAVWEELFPLGFVSLARLGIKEVSDPLCMVIYTCCDGNPDWFGELCSDIGWPVVVESVRTASSAGFGEDWIKLILSRVSLDESQLPVLFSKLWFKDIHEGEDTESKDDQFSSEQVFLLQIVSEILNERIEDVSVSKDVASCVYGIFKKSMEVLEHAVRGKSGLPTSITAVDVLGYSLTILRDICAHDSARGKAEDANDVVNVLLSNGLIELLLIVLGDLEPPAIIRKGIKQSENQDGASSSSKPCPYKGFRRDIVALIGNCVYRRKHAQDELRNRNGVLLLLQQCVTDEDNPFLREWGIWSVRNMLEGNEENQKVVSELQLQGSADIPEISALGLRVEVDQNTRRAKLVNVS >CAK8544703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700651630:700652306:1 gene:gene-LATHSAT_LOCUS13358 transcript:rna-LATHSAT_LOCUS13358 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLHEECGFCANSKSEFLPGACLAGEKIVKGMCREQKRVWFSQGCPSKIGLLAVVILGVYIIAYAPGIGTVPWVLNSEIYPLRFRGLGGGIAAVFNWCANLIVSQSFLSMIKSLGTSGTFLLFAGFSLIGFVAIYLLVPETKGLQFEEVEKLLQKGFRPFPFNRKKDEDVKGKGKEEMHDLP >CAK8564304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667240477:667247269:-1 gene:gene-LATHSAT_LOCUS17624 transcript:rna-LATHSAT_LOCUS17624 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIIAEAEKQKQYDPFQRKIDFVPVKRPFQGFSNDFNIETLNPTTSDHSQSAPKKHDGSEFSECGLDPEFSFGITSRRIGAGLHNLGNTCFLNSVLQCLTYTEPLAAYLQSGNHQSSCRIAGFCALCAIQNHVSRALQSTGKILSPANLVVNLRSISRNFRKCRQEDAHEYMVNLLESMHKCCLPSGVPSESPGAFDKSFVHKIFGGRLRSQVKCMQCSHSSNKFDPFLDLSLEILKADSLQKALANFTAAELLDGGEKQYQCQHCKQKVKALKQLTINKAPNVLAVHLKRFYAHDPNLKIKKNVRFGTALDLEPYVSGSHDGGVHYSLYGVLVHSGYTTHSGHYYCYVRTSNNMWYTLDDNWVKHASEREVLNQQAYMLFYVRDRKSIAPKKPDVIAKEENVTTNVIGNRCPLTSNDALNDYPNGQVENMFCGDSSLTADTQKNLINVDSSRVSCENDALVQQKDSDILVENLMNSKTPVSELTSKEQTQKTSSDEPSVAISELECLSSLDHSRKDNDPCIQKSLDAPVVDRPNQFNENAISKVCVDSPTIEPTVSSPLNFPGRPASDKTSQSQEKNSPSEADAVAAQDSVTNFSESNGLVGTSNGSVNEKACSILCENAVVSQGLVLKDSSNVSSRDLSLDQKQVKKSKKKFPKYQGSNLQLRPIIHFIANLGLRRKNHKKSKRRLLGMKHCSKEKQNKHAILSEVGPSTSGKTHLLPSSESKPTKPSHIPVDNIKSNDAPLMENNAEGEFKKRMDQNFGVLASVAKVENMSQCLVANEFKAGQSFSLQDDTGDQMHNSVMRMLTRGLEETVVASWDDMELPSCQPLESKSGQIAHIGYVGDEWDEDYDSGRRKKVRGLKERFEGPNIFQQVANEKYNRRPKLTHFSAKNSSKRAKLNHSSSEKSNKRAKSNHSSSGNLPFRI >CAK8577616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578519421:578521033:1 gene:gene-LATHSAT_LOCUS29706 transcript:rna-LATHSAT_LOCUS29706 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDTNIVVMLVGNKADLRHLRAVSTEDSTSFAERENTFFMETSALESLNVESAFTEVLTQIYRVVSKKALEIGDDPAALPKGQTINVGSRDDVSAVKKGGCCSA >CAK8565142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:45585196:45589089:-1 gene:gene-LATHSAT_LOCUS18378 transcript:rna-LATHSAT_LOCUS18378 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLVGSAFLSATVEDLLLRLASPDFTDYITSSKLNILKLTVFETSLLTLHSVLPDAEQKQFFNPDVKQWMDELYDAISVADDLIDEIGYYSLQCKVENTQPDHEIDCISNCRLNFMNLSLQRFVRWIDFIGLQSVSRRVSCRIRLNSVLNESFIVGREDDKEKLMNMLLSDSGTNLGVVAILGDGGVGKTTLAELVYNDKEVNEHFDLKMWICVSEGFDILRVIKTLHAYVDPLTCQDNDLDYLRLKLKLKLKCRRFLFVLDDLWNVGYNDWHELVAPLVNGKLGSRVIITTRQEKVAEVVHTFPVHKLEPLSYEDCWSLLSKHAFGSKDYGGNRYPNLEAIGRKISRKCRGLPLAAKTLGGLLSSNVDAKEWIAILISNIWNISDYNILPSLFLSYQYLPSHLKRCFAYCSVFPKGYSFDRKQLILLWMAEGFLEHSQDEKAPEEVGDDYFVELLSRSFIQQLNGDTERKKFVLHDLLYELAKVVSGKSCCKFECGGIISKKVHHLSYIQDEYDTFKKFEIFYDFESLRSFLPICIRWRSNYLSRKVVDDLLPTLGCLRVLSLSHHRNLTVLPKSIYNLLHLRYLNLSHTNIKSLPESICDLYYLQTLNLSSCSLLTELPVDIGKLINLRHLDIRDSRISKMPIQIVGLENLQTLSAFIVGKQEVGLSVRELGRFPNIRGKLYIGNLCNVINVNEACDANLKNKELIEELELCWDEQTHDSELCWDKQTRDSQTDEAVLDGLQPSINLKKLTISIYGGTSFPSWLGDSSFSNMVYLCICFCDYCVTLPSLGQLPFLKDLTIKGMPILETIGPEFYGMSGGGFNSSFQPFPSLEHLKFSYMSNWKEWLSFGGSKFPFPRLKTLKLDDCPKLKGHLPSHLPSIEEITIFKCDGMLATPYTLHWLSSVKSLDVHPLKPTEWSLFESNSACLLQRVIMWNFRMMLSLPKMFTSSTCLQHLELHSIPSLLSFPDDGLPNSLQSLHISDCENLAFLPPETWSKYTSLLSLKLVQSCNALTSFPLNGFPVLQSLLIIDCENLQCFFISEIYSHCPSTLQSLHVYNGNAFISLTQRMGTPNRNALISLPQRMGTLIALESLHLTLPSLPCYEGASLPPNLRSIFIQSYGTKTFATGWGLQNLSALSDLDIRGDGIVYTLLKEQLLPVSLVSLSIHSFTKRKSLPRNGLQHLSSLENLRFNNCLKLGSLPENMLPSSLKLLEFSYCPKLKSLPDRLPSSLEILELDYCKRLGSLPKDGLPSLKRLSISNCRLLKAKYENQSGEHWSKIAHIPVIKINHELII >CAK8576314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:455591261:455591875:-1 gene:gene-LATHSAT_LOCUS28512 transcript:rna-LATHSAT_LOCUS28512 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYNPHIRSHSKSQMYLFKILHIISSIPPSIQQQSSPNNNKGVGIDLNLAFSSITDTEESESSNNNNNSERQEEPKVVDVDVDDVASELENEGDTKTTTFRGDSNCIDLLIEAARVLSEKNESEEEKQIETESPSHEESVKKQKERWVVVDLYETEPVVRSKRGRNQTLPFRFRDSVVEPLKRKGRNQRMSSTTNSKKRLLR >CAK8541119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68701689:68702361:-1 gene:gene-LATHSAT_LOCUS10069 transcript:rna-LATHSAT_LOCUS10069 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTDDTLAEGKHIFHRLFWVFQPRIRGFAYCKPILQINGTWLYDKYKGTLLMAVAQDDNNNIFHVAFALVEGYALNQPTFHYYRSEIGMANANALRCIDSIPAEKWTRAFDGGRR >CAK8537782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:432368237:432371191:-1 gene:gene-LATHSAT_LOCUS7036 transcript:rna-LATHSAT_LOCUS7036 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSMLIIIANLIFVISQISFATDTITQSTPFLDGSTLISKDGTFELGFFGNFSNRYIGIWYKNIPVRRVVWVANRDNPTKDVSSKLIINQDGNLVLLDHNESLVWSINATRKILSPVVLQLLDNGNLVLRYENSNSNLGLNLGDEENFLWQSFDYPCDTILPEMKFGWNKKTGFEKRLVAWRTANDPSSGDLTITMMHTSNPEGIIWKGLTKYYRSGPWNAQTSGVVGLKTNPLYNFEFINNKDEAYYRYTLKNKFVISIMIINQTLLSRQRLIWVPKSKTWSVYQSLPLDACDFYNVCGVNGHCIIDGSPMCQCLDGFKPKSYQQWNAMDWSQGCVRSGNWSCGVKKRDGFHKFVGMKFPDTTNSWIDLSMTLENCKMKCLQNCSCTAYTYLNPTRVVSGCSLWFEDLTDLRLSQSSGQDLYVRTDTSSDIDVKHGLEKKVTLAVSITLSMTLFTLFVISYIYITKAKHKGKNLQWGHEDFELPLFDVSSILKATNNFSFENKLGEGGFGPVYKGTMVDGQIIAVKRLSESSEQGLIEFKNEVILCAKLQHRNLVRVLGCCIEGEEKILLYEYMPKKSLDLFIFDSVQSKLLDWSMRFNILNGVARGLQYLHQDSRLRIIHRDLKASNILLDNEMNPKISDFGLAKMFGGDQIEGKTRRIVGTYGYMAPEYVINGFFSIKSDVFSFGVLLLEVISGKKNRPLTYHEHDHNLIWQAWRLWREGVPHELIDIGLKDTCVQNEALRCIQIGLLCVQHVPDYRPNMPHIIMMLGSESTLPQPKEPGFLNEMISTKEKFISKRKTSSVNEITLSILSSR >CAK8574232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671336980:671338758:-1 gene:gene-LATHSAT_LOCUS26592 transcript:rna-LATHSAT_LOCUS26592 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITSTSASLTLSLPAVPVRTVSSSISVSSSLLFPRSRLFSSRHRLYSEKRRFSNSPSAVPKFTVSCGITEIDESQFEETVLKSDRPVLVEFVATWCGPCRLITPAMEALAKEYEDRLTVVKIDHDANPQLIKEYKVYGLPTLILFRNGQVVPESRKEGAITKAKLKDHVDILLESISVT >CAK8572907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570713064:570717864:-1 gene:gene-LATHSAT_LOCUS25413 transcript:rna-LATHSAT_LOCUS25413 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFATSIASKARIARNNAHQIGSRVAWSRNYAAKDIKFGVEARALMLKGVEELAEAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDVAGDGTTCATILTRAIFAEGCKSVAAGMNAMDLRRGINMAVDAVVTSLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLLNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLIIIHEKKISNINAIVKVLELALKKQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGQLITEDLGHNLEKVDLEMFGSCKKITISKDDTVILDGAGDKKAIEERCEQIRSAVENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASNELSKLVTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVSELPSDDKDGSAMPGGMGGMGGMGGY >CAK8543523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614953658:614954029:1 gene:gene-LATHSAT_LOCUS12275 transcript:rna-LATHSAT_LOCUS12275 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKSRLKWDNEGDMNNRFFHSVMKDRRKKNFIGSIQFENGLIKGVEEIKNEIFRFFVDYFSETDQCRPILDNVPLNCLSGDDNNLLEAKFTELDIKEDFWECEGLKSPGPDEYNSFFLRKC >CAK8570492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50543715:50552780:-1 gene:gene-LATHSAT_LOCUS23236 transcript:rna-LATHSAT_LOCUS23236 gene_biotype:protein_coding transcript_biotype:protein_coding MATAREENRYEGGGGYGKFRKRPLRKSQPTPYDRPPTALRNPNQNNGWLSKLIDPAQRFITYSAHKLFSSVFRKRLPPPPPSETVQEASDKRQKAATFVANELSGKQQGVVGESSDQTNLSDRVCLPELEKLLKQKTFTRSETDHLIELMRSRSVDTAVGEEGKMTEVVPLESMLHCNQKEEHPQTPALENRIENHLVSPPHATSSIPVEDVASPAQLAKAYMESRPSKVSPSVLSLRSPTGEDSTLLKGHFAQKSPVMSIVPRATNHARVYENGFLTPRSRGRSAIYTMAQTPYPKVYPASTLKGAGVGVEDGPSSSTQHALSNGILSGTTKQGGLKRRSSVLGNDIRSFGRIRRIRHKSNLISSKGLTLTHSDGPLSITSHGVASNTAQQPSSFIQKPILSDEVNYSHRKSPPENVGDTMPSSNFPPLPSKSSEMASKILQQLDNMVSPKEKSSALRLPNVNDKSPTKLSSSMLRGQALRSMETVDSSKLLDNVKDNKLDGNLKSLSASSQKLASKINKVENGLKPVSSNGGLTTEVTGSVSTVPSNQVISIGKSGDSSDPPSKKWAFRMSAHEDYLELDDEAYPNEAVSPFSTSEKETKGSTAAAIKTVSALEKPVQKIPGSSVVTPSKSFIADGKLSTADVPIVAKVDTPTSITSSIATDPTVKPSMGEVKSSAVTILGSDKSSSPNGSAANLPLFNFGNNFVSSTESTKTGPVFGLDKADKAALSKETSPDAKSVKFGFNKNIDSVPQASFTFSPSAGGESTFLKFGGASDSKLSSISSITAAGIVDSVPKVLESNSADVKASMVSKVSAQSSEPSAASTSLSTSPANIFTFGNSSSQNNGSAASSPTLSSPFLPVVSNSLTSQNMLSSSSLATSSSSINVTATSTTSMTTSTSVVNASSNSSSSTSVMTSLSPTTSLFKFGSTPLPSTSLPVSSSRSEPVETKDGQNAGIGKIESTSFGSSSAAAANAGNGIFGLSSLATSANSQSQTQGSIFGTVGGSTIGTLAPSATSGFATSTQSQSVAFGSSTPSPLFGLAGSSSLPSSSPVTNIFNSGLKAGQSTPASSLEANPVSSNNGTNSTLFGVPSWQPSKSSPFGTPFSSSSSSSSTSVFSFGTSAPSVVSTSSPMIFGSSTGASGSQFSFTSAAATTNTQPAFGNSSPVFAFGSASVNNDQMSMEDSMAEDTVQATQPATPVFGQQPAQAQSNFVFGAPTPTGASPFQFGGQQNIAPQNPSPFQASGSLEFNAGGSFSLGTGGVDKSGRKHIKIKHRQRKK >CAK8577455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565384350:565385282:-1 gene:gene-LATHSAT_LOCUS29562 transcript:rna-LATHSAT_LOCUS29562 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSNTKLILLHPSLHKQPPTPSFLSHRLCLSFFLITFFTTLFILSLITTISTSAVNSAASSASSPLPLSVTKALLHYASLSNSTTKSMSITEINTIATTLHLTPNPNFLIFGLTHESLLWSALNHNGRTVFVDENEYVISKFEQSNPGIEAYDVQFTTKVSDYPKLLSQARQESKRECKPVQNLLFSECKLGINDLPNHIYQISWDVILVDGPRGYFAAAPGRMSAIFTAGVLARSKKVGKTHVFVHDFGREVEKIFSYEFLCEENLVNNVDQLGHFVVKSENDNDGSPEFCRNSSSPLSVSASKDVD >CAK8568872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:649599736:649603712:-1 gene:gene-LATHSAT_LOCUS21781 transcript:rna-LATHSAT_LOCUS21781-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASRVAHHLHAFSSRVSSTRPISSLFLHRNVYNTSPLLDAANVKFTDYDSSCRARKIWTFTPVCMGRRSSKIAGRKEANNAKKAKLYSRIGKEVVSAVKKGGPNVMSNSALAAVLEKVKELDVPKDIVERNIKRATEKGQEAYIEKIYEVYGYGGVSMVVEVSTDKINRSIAKIRDVVKECGGKMADSGSVLFKFTRARVVNIKVSDADKDQLLDIALDAGAEDVIDPPSYEDDTEEDRSERYYKIVGSSENYSSIVSKLREEGIDFEPDNGSELLPNTTIEVDDEAMDLNRELMNKLLELDDVDAVYTDQK >CAK8568871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:649599736:649603814:-1 gene:gene-LATHSAT_LOCUS21781 transcript:rna-LATHSAT_LOCUS21781 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPQWRAASTLHGSPSLALHLEKYNTGITYYTIMSRASRVAHHLHAFSSRVSSTRPISSLFLHRNVYNTSPLLDAANVKFTDYDSSCRARKIWTFTPVCMGRRSSKIAGRKEANNAKKAKLYSRIGKEVVSAVKKGGPNVMSNSALAAVLEKVKELDVPKDIVERNIKRATEKGQEAYIEKIYEVYGYGGVSMVVEVSTDKINRSIAKIRDVVKECGGKMADSGSVLFKFTRARVVNIKVSDADKDQLLDIALDAGAEDVIDPPSYEDDTEEDRSERYYKIVGSSENYSSIVSKLREEGIDFEPDNGSELLPNTTIEVDDEAMDLNRELMNKLLELDDVDAVYTDQK >CAK8575160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:42318858:42319381:-1 gene:gene-LATHSAT_LOCUS27446 transcript:rna-LATHSAT_LOCUS27446 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMLLMKVTCLTILLCIILGQPQSLASALTCGQIEAKLAPCIPYVTGIVGAVPQPCCDGVRAINKQAAAKDRQAACRCIKKAANAVPGLNVIALAGVPGKCGVNLPYNLGPSTDCNKIE >CAK8570279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33974358:33975768:1 gene:gene-LATHSAT_LOCUS23042 transcript:rna-LATHSAT_LOCUS23042 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLTLFCLAFVRTGAIPSGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQDDLYPGKKIVLGNTQSVAKTTHRTNTRCD >CAK8572213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521549576:521557093:-1 gene:gene-LATHSAT_LOCUS24803 transcript:rna-LATHSAT_LOCUS24803 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSNRPQNMDPPPDEWVDGSWTVDCICGVTFDDGEEMVKCDECDVWVHTRCSRYVKGDDMFACDKCKAKNNRAGADNNTEETEVAQLLIDLPTKTISLERRNNNKSSSLSSRVASCSRRPFKLWTDIPMEERVHVQGIPGGDPSLFAGKTVSSIFGPQLWKCTGYVPKKFNFQYREFPSWYENENDSDKGAQENDTDIKDKDNGAGVLLSFSKVTNNMLPTSPVAALVDMKSSQGKKGFKENGTGKIGKDHMPRVHNAVKKERTSHSPFVVHSSKRRKEEFSKDRSGKKKRVKTSDREDLDPKRKTSDREDVDPKKRTSDREDVDPKKRTSDREDVDPKRRTSHSSKAAFTSTSDAKQLPFSGGRGPKVFKDDIRNIKNKNLKDSVARDHIPNDSFAGGIIMEASNNNNVTTTEESSEALYPNKARQSHSAGDVLVEGKTGHKVLEMSSKTDDAVTSVLKHTYLEIASAKKKGGDCLEANHVDDTLVRSHASPQTEDHYGSAPEFMGKQVSQDIDCNHKPSSGQCKVKVKREEDDNDKFKLSNFHSSPISGLKNNGKPSNPTSVIDKVNDAAVVSLPSCEGKVGNVGISSEVLPDNHTNKLNELPGDFCPGKEEVEGSEGSLETQKGFSKTKDGLGPAKNPSKSEALECPSKMLASVGKLSPTSCTMNSKSLSQDFKSEDTEIANPFTKHGAKSDRNNYIKSESCMNDASMDEIPRKSVRERPKSSLNHNSKGLHSSRSIQSSVSKQVNPDARDSVYCSSTKPSTGQQTPNVFGSSETNASIHHQKGPQVQNKISSSVPQKVEKLNQTNTHPSSKLNQNNTPSSNPSPTLNSSMLSDEELALLLHQELNSSPRVPRVPRARQTGSLPQLTSTSATNMLMKRASVGGKDNYLVCKRKYKDATRDGVCSSREPEDETKRIEKEKVQSSSDHRKQDMAYVEDASVKEEGSHACVTAANSITNNVVSTTPATENSSPPSPREDCNLSSMRNSPRNISDDDTTTPGRPVHHTLPGLINDIMSKGRRMTYEELCGAVLPHWPNLRKHNGERYAYASHSQAVLDCLRNRHEWARLVDRGPKTNTTRKRRSKLDAEESDDNEYDKGKTAKDAEGKNLELQKEEFPKGKRKARKRRRLALQGKAVKDVRRKQKADSLTGEDVGPFSNTSEESLFSEDGIQVDRTCPAGSTSDEAGSA >CAK8540709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16821165:16823195:-1 gene:gene-LATHSAT_LOCUS9687 transcript:rna-LATHSAT_LOCUS9687 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPLPRPHFLVIPFPVQGHINPLMQLSQALAKHGCKVTFLLTEFSHNRSRTGGSASGLDKIKVVTLPDGLEHEGDRSDISKVMLSIKSTMPSRLPKLIEDVNKNNKINCIVVTFNMGWALEVGHKLGIKGALFHPASATSLACVVSIPKLLEDGIIDYEGNPTKKEEIQISHNIPKMDTRNIPWHGLDKIFFDHILQEIQTINLAEWWLCNTTHDLEPGVFSISQKFLPIGPLIETNDNKSSFWQEDTTCLEWLDKQKPQSVIYVSFGSLVEMEQNQFNELALGLDLLDEPFLWVVRPSNDDKVNYEYPNDFHGSKGKIVGWARQRKILNHSAIACFISHCGWNSTMEGVHGGVPFLCWPFFTDQFLNKSYICDVWKIGVELEKDDKGFISRQEIRKKVDQVVHDDIIKKMCLKIQKMSIDNIEQGGQSSKNLQNFISRVNN >CAK8573145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589238865:589239133:1 gene:gene-LATHSAT_LOCUS25625 transcript:rna-LATHSAT_LOCUS25625 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDQTRALDMIQSDPELSTLSVIQAPLVDVEIRGVPALKFLGDIIWK >CAK8539742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523789261:523790319:1 gene:gene-LATHSAT_LOCUS8812 transcript:rna-LATHSAT_LOCUS8812 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSQIHTITQNFKTLRLSQSTNPTIPHGTQIGSSGAQSNDEERETPQFCTQDSLETINLGEEVASVSVVNTHKQRFQQKEDEVLIQSWLNVSKDSIVGVNQKGDSFWKRIGEAYNKHRDINYNERKPTQLKGRWHKINPSVQKFVGCYKQAMSTQQSGSSESNIMQVAYKIYFQDEGEKFSFEAAWRLLKDEPKWLAGSSEASSKRTKNSTSGAYSSSSNPQISSEHDPSSPTLSRRPIGQKAAKRKEKEKLVEMSSTPNVKYDSLKDDFKFFFDLMSMFARDYARIEGEKVEIERKKVEAKIKKTESAEERLKMNDLQILSKDKSNMDTRQLQAHEMLCDMIREKYGVN >CAK8542479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519158379:519160653:-1 gene:gene-LATHSAT_LOCUS11318 transcript:rna-LATHSAT_LOCUS11318 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTIKLLMLLAIAFLASVCVSSRSDQENPFIFKSNRFQTLYENENGHIRLLQKFDTRSKRFENLQNYRLLEYKSKPHTLFLPQYTDADFILVVLSGKAILTVLNSNDRNSFSLERGDTIKIPAGTIAYLANRDDNEDLRVLDLAIPVNKPGQLQPFLLSGTQNQPSLLSGFSKKVLEAAFNTNYEEIEKVLLEQQEQEPQHRRSLKDRRQEINEENVIVKVSREQIEELSKHAKSSSKKSVSSESEPFNLRSRNPIYSNKFGKFFEITPEKNQQLQDLDIFVNSVEIKEGSLLLPNYNSRAIVIVTVNEGKGDFELLGIRNENQREESDEEEEQEEETSKQVQRYRAKLSPGDVFVIPAGHPVAINASSNLNLIGFGINAENNQRNFLAGGEDNVISQIQRPVKELVFPGSSHEVDKLLKNQRQSYFANAQPLQRE >CAK8574780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9137615:9139112:1 gene:gene-LATHSAT_LOCUS27090 transcript:rna-LATHSAT_LOCUS27090 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSCQVSTVDKCHAVEYNESNTKDIEFTCENGEAKVILNGSSKYVSMYSQKGTKGTNQDAMTVWEGFGGEKDTIFCGVLDGHGPLGHKFSKHIRDNLPSKLSTTIQMSQQNVSKDNGEKNTEKTTYGDTWEEHFFSSFNEMDQDLAKNVDTDGFNGGSTAITLIKKGDQLIIGNLGDSRAVLCTKADDNHRVPVQLTVDLVPNVPSEANRVIKCGGRVFPAKEDPDVNRIWMPESDCPGLAMTRAFGDFCLKEYGLSSVPEMFYRKLSKQDEFVVLATDGIWNVLSNNEVITIASLAPKRSLAAKYIVRRAVQVWKRKFPSYHIDDCSAICLFFNDDHSVNMKEKKRHHHKNLH >CAK8561491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:246123392:246123925:1 gene:gene-LATHSAT_LOCUS15078 transcript:rna-LATHSAT_LOCUS15078 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPNTQPITTTSSLSLPKLYKKTNSHKIENLIEYSHVPEDAQISERIPPLLSPYNIFKRQRSVTRSIRNLISTNRPHMKEYVQSSRLDQCSLRAKNQEQYVDLEIPQYLINHWKTEGYTALHFGAVRLILSLHGRKNQPVFCKIALLDSSYLHYKNANSSNYTSCRKCRPHHLP >CAK8561261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:130455454:130455663:-1 gene:gene-LATHSAT_LOCUS14870 transcript:rna-LATHSAT_LOCUS14870 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKRFLEESCKPKCVRPLLEYQACIKRINGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTQLK >CAK8578040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603406932:603410277:-1 gene:gene-LATHSAT_LOCUS30084 transcript:rna-LATHSAT_LOCUS30084 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIQGYSSAEEDESHQPPIHDSDSDEQEYANENAGEPSAAAHPSLGDRSIFDHLPPPPSASGLPSAFDAFSEIPGPPQFLNNSVDEFNPAQEADQRGSRRHRREKKDLPTGAVVEAKAQLVGIHERVRSDINGGQPATPAALSTSEVVKRVPTATNPNAEDAAELLRMCLQCGIPKTYSSARGMVCPVCGDRPPPDPNAESKKKGSTIKDKEKSKRMRGQSSHASWKSETEMQLRQHFD >CAK8564966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19626350:19629724:-1 gene:gene-LATHSAT_LOCUS18214 transcript:rna-LATHSAT_LOCUS18214 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMVAGAFLTPVIQVIVERVASGDYKDIFSKRLVNKLQITLNSINQVLDDAERKQYQNRNVKIWLDHIKHEVYEVDQLLDEIATSAQRKSKVKHLFSSLTNQFESRIKDLLDKLEYLLKQNDVLGLKEGTHARNELEVGPESSKRVPTTSLVDESRICGRQGEKDEIINFLLCDNGSSSNQAPIISIVGLGGMGKTTLAQLVYNDQKVQKSFELKAWVYVSESFDVIRLTKAILESFDSSPNSENLEILQRQLQRKVMDKKYLLVLDDIWNANEKFWEQLLIPFYKGSSESKIVVTTRNKDDALAIGSAKLLELKQLEESDCWSLFMRHAFHDRNVNEYPDLESIGKMIVDKCGGLPLAVKTMGSLLRRKFSRSEWDQILKTDMWCLSERDCDINPVLRLSYHNLPSNLKCCFAYCSIFPKGYEFDKNKLIKLWMANGLLNSYKSDKSKEELGSELFNDLESISFFQRSRNFHFDGCFVMHDLVNDLAKSESQEFCLQVEGDKVQDISERTRHIWCSLDLNDGEIKLSHICRIKGLHSLLVEPPRDYGECFRISNIVQCDLFSKLKYLRMLSFNGYTYELKELEDGIGNLKLLRYLDLSWTSIKRLPDSICKLYNLETLILRSCCSLAELPVDFYKLERLRHINLEKTPIKKMPKNVRKLNNLQTLTNFVVGEPSGSDIEELDSLNLLQGKLHLSGLNNVSNPAHAVKARLQDKKSLEKIRMTFDLGGEEMDGSTVENNESVLKALQPNNNLKRLTIKNYNGNMFPIWLRDCDLPNLISLKLHNCEGIKILGNNSTNVPFKFLEVLDFYRMSEWEEWLCIEGFPRLKELSIRYCPKLKRALPQHLPSLQKLMIIGCEMLDVSIPNCENIIELYLHNCNKILINELSSSLKRFILDKNQYVEFPMVHLINNSILKVLKLDFKDFVECPSLDLCCHNSLDTLTITGWQSFSLPFSLHLFTNLASLVLYDCPELESFPMGGLPSNLSNLEICNCPKLIASREEWGLFQLNSLYRFFVSDDFENVESFPEENLLPPNLNILLLHECSKLRIVNYKGLLHLKSLRCISVHNCPSLETLPEEGLPNCLNALIIDRCPLLEEKYKVDGGERWHTISHIPFVRIDLINQH >CAK8569351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695976182:695980530:1 gene:gene-LATHSAT_LOCUS22212 transcript:rna-LATHSAT_LOCUS22212 gene_biotype:protein_coding transcript_biotype:protein_coding METVKVVTVKPIEATPSTFQDYGQVIEVSPDGEGFGPRDAQLDLSKGIPKFYIMHLENRPLKFSNITHHASVTQCLGSIGGNVWFLGMAKPSIVDSNEIKDGEGKKIVQSCSGHSYVPPAVEDVQVFKVSGSKFLKLNRGTWHAGPLFESDAMDFYNLELSNTNVIDHTTHSFENDNGVVFSIDE >CAK8578834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657607112:657608467:-1 gene:gene-LATHSAT_LOCUS30817 transcript:rna-LATHSAT_LOCUS30817 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSFSSLRFSLIVAIFYAALLCGPKVSATEGLEGSFTPSMTPPPPPNDLQEHSFFSHTALLPPILSHLGFHELATAAPSLSDASTTASSTWSGPSTIFAPSDGSLRTCFSCSVPNLLREHIVPGLFTIEYLRRLAFGTKIETLSPGRCITVTSDSVHESNTTGAAAKVFIGGVEITQPDLFNNGMIVVHGLQGFVSTLSPFSCDVERMTSLSFPFHPDHRSGAHAHAHAHTHGTTVLPAVMRLMLRDAMLRLRNNGFSILSLAMKVKYAELITLHNMTIFAVDDLSVFSGSQSYISNIRFHIVPNHYLSITDLEKLPVGTALPTLERGQPLLITTSGGGVTLAPMRINYVRVKVADVIRNVKIVVHSVYLPFPHINPVAAAYDSILGGEGHGASEGAATVSDASGLVSDGTCSAFDGRVGCVTGFTTAGMSPMPQVKPMVEIEDHHGL >CAK8577576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575126623:575127135:-1 gene:gene-LATHSAT_LOCUS29670 transcript:rna-LATHSAT_LOCUS29670 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAIKSSSHNVIQFNRERFCFMVAESINQRDGRPLGTFSVDLRRGWCDCRRFQAFHLPCSHGIATCASIRQDHNMHIPDVFKVLSVFKVYSQSFLRLPHQQNWPTYEGFTLCHYETMRRNKKERPNSTRITTEMDDFEKEKRRCEICREIGHMRRKCPNVAGPSNRPV >CAK8570649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:81542799:81544027:1 gene:gene-LATHSAT_LOCUS23385 transcript:rna-LATHSAT_LOCUS23385 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDYLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWNYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSRYSWGAAALVTLYKYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHLPIDHRMAGDIDVDWISYHQSIQNVIRPTAPTTTPYETDDGYLEWYYRVSHPRLVPTPYHDAPAEMPVPVYEAGPSDPSWARVSLLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8576810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516208731:516210341:-1 gene:gene-LATHSAT_LOCUS28976 transcript:rna-LATHSAT_LOCUS28976 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFCRVTCMVNKLSSMLELKQLQAIITKSGLQSHIDFTAKLIFFSALSPMGNLSHAYSLFQQSSSIVKHNPFISNIMIRAFSRSCFPLQAVYIYNQMQVTDCFTYNFVIKACSRAYKFMHESGSGACDDDVLVVVYSKGIEIHCRVIKVGFENDTCIQNSLLNLYAQCGLVSVARRLFDQIKDTSLVSWNIMISAYNRISDFESGDKLLELMPHKNIVSWNTLTGRYIRLGNVEAARRVFDCMPERDAVSWNSMIAGCVSVRDYAGALELFSEMQNDGVNPTEVTLISILGACAETGALEIGHTIYESLKVCDQKVEGYLGNALLNMYCKCGNLSLAWEVFNGMKMKTVSCWNAMIIGLAVHGYCEEVFHLFSEMEESLEGSIRPNGLTFIGVLVACSHKGLVDKARWYYHHMVKKYEIMPNIKHYGCMVDLLSRWGLLEEAYQMIKNAPYQNSAVLWNTLLGACRTQGNMELAEISFQHLAKVEKLTDGAYMLLSNMYAEAERWDEVERLRSEMHYLHVPTQAGYSQIDMNAQ >CAK8564828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11301474:11302010:-1 gene:gene-LATHSAT_LOCUS18090 transcript:rna-LATHSAT_LOCUS18090 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDNYDTEETHYEVLNIKEDADYEEIRASYRSAVLSLHPDKLLKTFDTSGSNQTSTDKFLRVQKAWEILSDSSSRLFYDKQLQCSRRDVLAAEVAEDLSLHDMEAEDADEALELFYQCRCGDYFSVDSLELLKMGYSLSRDGSRISILNSDTLPGSVILPCGSCSLKARLVLSMDNH >CAK8576038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389547562:389548484:1 gene:gene-LATHSAT_LOCUS28256 transcript:rna-LATHSAT_LOCUS28256 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHLPITALVRATYYRLGSLFAERGSKWSVVLNSGETFTNNCLKVMKEETTKSSTHQVIIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVITAFSNVRHDAYALLSDVYRVTNLFGVYNNSFPVMPYNEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8540468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8445011:8445232:-1 gene:gene-LATHSAT_LOCUS9471 transcript:rna-LATHSAT_LOCUS9471 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLGLGLPIPIGPHKGTGAVERFHIAEPKGSTSVRDRSMSSRPRCIEKYLCTISGSLIRKIELGWGSTM >CAK8562955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561287516:561289323:-1 gene:gene-LATHSAT_LOCUS16415 transcript:rna-LATHSAT_LOCUS16415 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKWSHEQITEASSSLFFPSLFFLISLLIVFKFAFRKTKSKTSLNQLPYPPKLPFIGNLHQLGTLPHRSLRDLSLKYGNMMMLQLGQKQTPTLVISSGEVAMEIMKNHDIVFSNRPQHIAPKILLYGCTDVGFGNYGENWRQKRKICVLELLSVKSVKSFQVIREEEVEEMVSMLREASLNNACVNLSEMFVSVTNNIVCKSALGRKCEGEVKELARKVMIYITTFVVGDYFPSLGWIDVLSGKIREFKDTFQALDDLFDKVIEERLALKKMENDQFKKKGFVDILLELQEDGMLGFELTNNDVKGILMDMFVGGTDTTSATLEWTMSELMRHPSTMKKAQEEVRRVVSNKSKVEESDVNQMHYLKCVVKEILRLHPATPLMAPRETISSVNLKGYDIPEKTMVYVNNWAIQRDPKNWENPEEFMPERFEHCQVDFRGQDFQFIPFGFGRRGCPGMNYGITAVEYILANILYWFDWEKKSGKEDIDMSEAFGLVVSMKEPLQLKPIACSF >CAK8534143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698622149:698626319:1 gene:gene-LATHSAT_LOCUS3710 transcript:rna-LATHSAT_LOCUS3710 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNSTLKLSPELHFSNSSSPFSLFNVSRSSYNLFLSHPKSSFPTITFSSLKTTHNSSPNPNTNQTPPWLTKTNSPKRVSESTIKQESSQVQSHQPTTPVERIVLRLRNLGLAEEEDEQQEEQREEEVKLSDLTVTGDEKLSELLKRKWVRPDVLLDEENEDGEEKLVVPWKREEEREMGGGGGEVEEEGLKKRTLKAPSLAELTLEDELLRRLRREGMRVRVRVSVPKAGLTQEVMEKIHERWRKEELVRLKFHEELAKNMRIAHQIVERRTGGLVTWKAGSVMMVYRGKNYQGPASPKELDVREGDGFFVPDVLSVGLSKTKDSKVTPSLENSESVRRTIKQPENMTKEEAEYNALLDGLGPRFVEWWGTGIPPVDADLLPRVVPGYKTPYRLLPVGMRSRLTSAEMTDLRQIAKSLPSHFALGRNRNHQGLACAILKLWEKSLIAKIAVKPGIQNTNNKLMADELRTLTGGTLLLRNKFYIVIYRGKDFVPTDVAAVLAERQELTKQVQDVEENMRCRAVVATPSGQGETTAPAGSLAEFYEAQARWGRDVSSEEQERMVEEDSKAKNVKLVKQIERRVSLAGTKLHRAEKLLAKIESSMVTAGPDYDQEIITDEERVVLRRIGLRMKPYLPLGIRGVFDGVIENMHLHWKHRELVKLITKQKTLAFVEDTARLLEYESGGILVAIERVSKGFALIYYRGKNYKRPITLRPRNLLTKAKALKRSVAMQRHQALSNHITELQTTIEQMKQELGLSEDGWSMKEGHENQLDQISELADSEDDEDSDGFDDEEDADWDEDEDLSFQNSTSTGILED >CAK8567620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529337046:529337663:-1 gene:gene-LATHSAT_LOCUS20657 transcript:rna-LATHSAT_LOCUS20657 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHSCTTNINRQDHGKLSSQLISQEILHLVGVDPSVKVSTIISHVVARFNYTPSYRKACIGRIKAVEHVYGNWEKSYNQLPQFLLALQKYVPGTVVILESLPAYTPKGTCVDGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFPIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISD >CAK8561356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:167513285:167513632:-1 gene:gene-LATHSAT_LOCUS14957 transcript:rna-LATHSAT_LOCUS14957 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDLPTQPENYLRRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSSVADLL >CAK8544013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655952701:655961429:1 gene:gene-LATHSAT_LOCUS12724 transcript:rna-LATHSAT_LOCUS12724 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGETEEKKKVNSEVPWIPTTPFKPIAQRPVQICTPGERNQLNSHFNGEFASLESLAGGDVTNRSEYVAGTSNIGHDNARTRVQVSFDDVPGPSNSFAELLAQAGAPSAYCNSRYDFLENQFVPGVWNSQFDQSYALLGNHNLYQQQPYTNFIHDNYQDPQGTSCMAYCGQTNSQVALPPENVVTAKDKQVVETQICMEQTNQREDEDNASATMCDKSQNKLYDPAVVDLTAIPTPCKEDNNHNKEIHHVIDLNEIPQTKPKRRKHRPKVIKEGKPKNTRKPATPKPDQSKENSTQKRKYVRKKDLNTTPAEVTGECTEPLIPESAKKTCRRPLYFEIPEQPTDENSACREENATMRFGGETGIEVQETQALNNCMLSREDAQASTSNGKFLSNGSQVGSKRKPYGAIKQADNGSVNLIGAQYNLLQAYQSRYWVQFPNVQKKRRSEKGKFSNTSNASSMTATKDVQLATCSEENARSYQDASTSNGWTSASASEYETAKLLTMLRATERATCDKSQSLEYNLFSGQSRPTKKRSRVTNRAHDYTSLTIVRNCDAKLTNIANQSSSDRKTFEDAQRPQTGIDALVAEMRASLTKKKRSKKRTAPISSVYSSRDEMQQHLPLHGSLGVARGESWKSIYTVDTLTQQFSQLNIYREARELVLYGQNALVSYKQKTEKRKGRRVHEYGTMIPYEGVFDPIKKQRPRPKVDLDEETNKVWKLLMLDINSHGVDGTDEDKAKWWENERNVFRGRAESFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPVKPSSKYDTCHDESASMIVNSPEVQIVEPEENAKLEEILNQSVHELSSMTKDIIEHSEERETVDSNSIDSCGTTGSLKDESNCKLLEPAQRNISEHSTMEFVSPVTGEGQENSCNGGVRKELNALFSSHCSIVTSQLSEDLSIDQNPEKIGSVSDSNTEVEDRLSTAEYNVSNRTSFSNLLGMASSTQLHEVNSQGNNPTENLRDSYGQPVAMKHDNLEENLEKSNGNQSYIEAITAQCNDYNLKMTPDSGVLEVNCYNPINVEASSSGSSKNKSEDNICLSSPAESHSMLSQAHLQQHSDHMQHKALHISGQSQDLMPKSKESDSCDHSYAITNENSKLDSAPVKSKGKKEKKDSINWDGLRVQALATAGKREKTESTKDSLDWDAVRRANVNEIADAIKERGMNNMLAERIQSFLNLLVDKHGGIDLEWLRDVPPDQAKEFLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEQKNLVIATGNNATDQNPSVIIDHSPLPLPEPEQKNIDIDMTTGNNTTDQNPSVIIDQLPLPLPEPEPKNIDIDMTTGNNTTDQNPSVIMDQLPLPLPLPEPGQKNIDMTTGNNTTDQNPSVIINQLPLSLPENTNQAEELLQTDVLRQHETNSEINICQPIIEEPASPEPECSHVSENDIEDAFYEESDEIPTIKLDLEEFTVNLQNYMQTNMELQEGEMSKALVALNQEAAYIPTPKLKNVSRLRTEHSVYELPDSHCLLEGWEVREPDDPGKYLLAIWTPGETANSIQPPERKCGTQGSGQLCNEEECFSCNSFREASSQMVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSWIWNLNRRTVHFGTSIPSIFKGLSTQEIQQCFWRGFVCVRGFDRQTRAPRPLKARLHFPASKLAKNKEQAKKDAKAANSEGLNLKSNTEQPECLASIPNLQQNGGS >CAK8570068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22880337:22883403:1 gene:gene-LATHSAT_LOCUS22852 transcript:rna-LATHSAT_LOCUS22852 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIETESEEQEQEQSNSQQASTSSQDRHNWITVQDNLREKLITEDNFTWKLPTISQIGAEELRFLGGFDISFSKDDPSLACGTLVVLDFHTLQVVYEDFSFVTLSVPYVAGFLAFREAPVLLDLLEKMRSDNPFYPQLLMVDGNGILHPRGFGLACHIGVIANLPTIGIGKSLHHVDGLHHSRVRKLLEAKENSSKDFIPLIGCSGKTWGVAMRSSQSSIKPIYISIGHRISLQTATAIVQMTCKYRIPEPIRQADIRSRDHIRKFEIDARLKQID >CAK8561479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241114143:241116863:-1 gene:gene-LATHSAT_LOCUS15067 transcript:rna-LATHSAT_LOCUS15067 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKARIWARVSRKQKISILVLSSAMGSNLSEILANVCYPEIFLSCLTEEEEENIGSKENAILEFYRQFVCVGGDPIFSESLYTELQKKKFKQKCELGGIGRRNMNRRLNMDIPENNTFLLPRDILTAADHLIGMKFGMGTLDNMNHLKNKRIRSVADLLQDQFGLALVRLENIIREKMCRAIRDKLIPTTENLVTSTPLTTTYESFFGLHPLSQVFDQTNPLSQIVHGRKWSSLGPGGLTARTASFRIRDIHPSNYGRICPIDTSEGINVELIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPHRDEYYIVATRNFLALTRGIQEEQIVPARYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANKALMSSNMQRQAVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDVVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGFVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLARSMLNRHYRITPFDERYEQEASRKLVFSELYEASKQTLNPWIFEPEYPGKSRIFDGRTGNPFEQLVIIGKPYILKLIHQVDDEIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHTKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8537349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:296180345:296180842:-1 gene:gene-LATHSAT_LOCUS6645 transcript:rna-LATHSAT_LOCUS6645 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQQYIVDAHFNGSIVVSDEVGLIFEITDVTRFSVNKRSSFQHFKDRVQMKVQVGPVSQITYKNVVHFGDHHFKFVPLKVRDDEDVETMFSNHEHFGFQHLELYVTFSQCQETQISQVINLSIIPHEDVEEDGDEEENEAHVDDLFTTLFEEGNRVNEVNRDE >CAK8579120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677036666:677037094:1 gene:gene-LATHSAT_LOCUS31088 transcript:rna-LATHSAT_LOCUS31088 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVKKCKRVLGSSKRLCDPQDSTCSGCSYGKLSKKCHKKQKDSHKKPPNGCLCVYVGPERQRFIIKIKIFNHPLFKILLEDVESEYGYRNDGPLWLPCDVDMFCEALVEIEGHKHSAVSQYSPLSCRSNLSSCDDNYEVLV >CAK8542090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471794736:471796160:-1 gene:gene-LATHSAT_LOCUS10961 transcript:rna-LATHSAT_LOCUS10961 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQDNNALSSSSFLDDKSAKVFVSGHRGLVGSAIVRKLTQLGFSNLILRSHAELDLTRQSDVEAFFASTKPEFVIVAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAYRNGTKKLLFLGSSCIYPKFAPQPIPEDALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQHKWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNNAKEVVVWGTGSPLREFLHVDDLADAVVFMMEKYSGLEHLNVGSGKEVTIKELAESMKEVVGFEGNLVWDSTKPDGTPRKLMDSSKLAALGWTPKVSLKDGLVDTYKWYLENVKQ >CAK8540305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:550300134:550303219:-1 gene:gene-LATHSAT_LOCUS9320 transcript:rna-LATHSAT_LOCUS9320 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRLLPKLRSFAVHSRQALQPLPSSRRFLHHSLPQPLHSASINPTPRPLFNFSSLPIHALGPSPFSPSLIQVRHVSSRERKKRRKPMTPVNSKIKKTKMKSYSSYKSRFRLMNDGSFRRWREGKRHNAHLKSKISKRRLRIPSTVPAAYAKVMKKLGFCA >CAK8576692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:506693495:506697712:1 gene:gene-LATHSAT_LOCUS28865 transcript:rna-LATHSAT_LOCUS28865 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDEMLENRLREISRPVDIYHYSRPKIQKVANNLRERTDYKSHYLPKIVSMGPIHHGIPELQIGEHYKFIWAKHYIESTGLSSKDLYQTIADNFAELKDDYADNVFTMARNTDPKSFGSFDEKLAWMLFVDGCSLLYILDTDNHFDNKETIMKLVSRDVLLLENQLPFKVLRLLSGDRFNLIERVQQFLEFNHIAKIGDQAECPSDIETTHLLDLLRQTLFLWSLRQIRIVRAAYLFMMAGSGNSLVTDLAHNSERPKNSIISNSVIRYMNIQELKSNGIKIKSSKSSRIDMSFSHRWLNGELKLPEIVLDDITVSIFLNLIAYEMSPDFNNDYGFSTFLVFINSLIRQPEDVKDLRLAGILFSNYGTDEELIKLFHYITTDAVSNAKPYSDLFEKINKHMTNKWKTKTVRFLRYIRHPWTNFAVHSAELALAFTVIQTWFAVHPAKS >CAK8575646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:228842045:228844009:-1 gene:gene-LATHSAT_LOCUS27889 transcript:rna-LATHSAT_LOCUS27889 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLSSNLSLFCFGLALKLVFLPTAVAQLQHHSLDMHWYPGTATWYGDPNGDGSTGGACGYGMLVDVKPLRARVGAVGSVLFMKGEGCGACYKVKCLDNSICSRRAVTVIITDECPGCPTDSTHFDLSGAAFGHMAISGENGQLRNRGQIPVIYRRTPCKYPGRKIAFHVNEGSTPFWLSLLVEFEDAEGDIGTMHIRENGSNEWLQMNHVWGANWCIIGGPLRGPYSVKLSSSTGRTLSARDVIPSNWVPKATYTSRLNFYP >CAK8530201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5689301:5692792:1 gene:gene-LATHSAT_LOCUS88 transcript:rna-LATHSAT_LOCUS88 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKHKSRKEDGDSKRSHRDRDRERTGERGKDKDRSDGRHKDNREKRDRESRRHDREKSTDSDDKHDREREKRRDVKEKDKARVHDVDREKVRDRKRERDREEKEKERVREKEREREEKEKERVREREEKEKERVREKERERERKEDKERIREKERVRERRDHEREKEKEKEKERDKGRRGREREKHRDVDSENSDGELRERNRKRHKKEDEDYKGKEKEKSSSKSNRKIEGLDASPRRKSDGDDSDSKDREKKLTREEEMEDEQRRLDDEMEKRRRRVQEWQELRRKKEEAEREKQGEASAAELESGKAWTLEGEESDDEEGTGKHTAMDVDEDDKPADKEPMESMVVDVDNGTVAPDLQNGDTGAPSDEEIDPLDAFMNSMVLPEVEKLNNAVNSTPSDKTSDLKPKDKGGDRSNGGQSRKGSSKSIGRIIPGEESDSDYADPETDGDPVEEDDDEFMKRVKKTKVEKLSIVDHSKIDYIPFKKNFYIEVKEVSKMSLEEVALYRKQLELKIHGKDVPKPVKSWNQTGLTSKILDTIKKANFEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVLAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEMQVGGRSVVNKDIAQLVEVRPENERFLRLLELLGEWYEKGKILIFVHSQEKCDALFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQIVPDDLKSLADGFMVKVTQGLEQAHGTGYGGSGFKFNEEEDEVRKAAKKAQAKEYGFEEDKSDSEDEDDGIRKAGGDISQHPALAQIIAATKANAPSMPTPISATQLISNGGLPVSLPSVLGLQTATVLPGTGLPLATNDGAARAALAAINLQHNLAKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPSEQSVKRAKVELKRVLEDITNQALQLPGGTQPGKYSVV >CAK8573051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580250751:580252746:-1 gene:gene-LATHSAT_LOCUS25544 transcript:rna-LATHSAT_LOCUS25544 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCEGCVGAVKRVLGKLDGVESYDIDLKEQKVVVKGNVEPDTVLKTVSKTGKPTAFWEGEATSETK >CAK8541978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:446143159:446159392:-1 gene:gene-LATHSAT_LOCUS10855 transcript:rna-LATHSAT_LOCUS10855 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDVPAMYSLLANSMSADHRLRAPAEEALAQSESRPGFCSCLLELITANDLASQVDVRLMATVYFKNSINRYWRQRRDSSGISNEEKMHLRQKLLMHLREESDQIALMLAVLISKIARIDYPKEWPDIFLILSQQLQSADVLASHRIFMILFRTLKELSTKRLTADQRNFAEISSQFFDYSWRLWQSDVQTLLHGFSALSQNYNSNADDQHRELHLTCERWLLCSKIIRQLIISGFQSDSKCFQEVRPVKEVSPVLLSAIQSFLPYYSSFQKQYPKFWDFVKRASTKLMKILVAIQGRHPYSFGDKFVLSSVMDFCLNRITDPEPNLLSFEQFLIQCMVMIKNILECKEYKPCLTGRVVDEKGVTLEQMKKNISSAVGGVVTSLLPNDRIVLLCNVLITRYFVLTASDLEEWYRNPESFHHEQDMVQWTEKLRPCAEALYIVLFENNSQLLAPVVVSLLQETMNNCPTSVTEITSALLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSHELSNDHPNLRIIHRKVAVILGQWVSEIKDETKRPVYCALIRLLQGKDLSVRLAACRSLCLHVEDANFSEREFVDLLPLCWDSCFKLFEEVQEFDSKVQILNLISILIGHVSQVIPFANKLVQFFQKVWEESAGESLLQIQLLVALRNFVIALGYQSPICYNILLPLLENGIDINSPDELNLLEDSMLLWEATLSQAPSLVPQLLSFFSRLVGIMERNFDHLQVAVNIIEDYIILGGNDFLSMHATNIAKILDLVVGNVNDKGLLSILPVVDILIQCFPMEVPPLISSTLQKLIVICLSGGDDRDPSKTSVKASSAAILARVLVMNTNSLAQLASDPSTSQLLQTASIPVQENILLCLVDIWVDKVDNVSSIQKKTIGLALSIILTLRLPQVLDKLDQILSVCTSVILGRSDDLTEEESSGDMSSSASPDEGTIPSKEFRKRQIKLSDRINQLSLEDSVRDNLQTCAAIHGESFNATMSSMHPSAFAQLKQALKMS >CAK8563768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630065828:630066889:1 gene:gene-LATHSAT_LOCUS17144 transcript:rna-LATHSAT_LOCUS17144 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSNLETRSLLDELSTFNKGGLFDFGHPLVNRIAESFVKAAGIGVVQAVSREAYFTAIEGAGIDNNGGMPAEISTTNNKHRLHGLRGETIGKSIEAMVKNTGKESFQWGLAAGLYSGLTYGMKETRGAHDWKNSAVAGAITGAALALTSEDSSHEQIVQCAITGAAISTAANLLTGIF >CAK8544464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686540030:686542165:-1 gene:gene-LATHSAT_LOCUS13140 transcript:rna-LATHSAT_LOCUS13140 gene_biotype:protein_coding transcript_biotype:protein_coding MENDPFLLINRQNSPFTSSKSFNDFAALQPPQPPPPQQSSEIQSCNQQPFSPSKQKKLSRCKTAPAMFILPHLKPATTNQPHLPKPQTNSIIRQGIWLLLIYLSIGVAIYSFNTNNFSGIETHPIVDALYFCIVTMCTIGYGDIAPLTPTTKLFACVFVLVGFGFIDILLSGLVNFVLDLQENTILTGLQMGAREGFSARDYIVDVAKGRMRIRLKVGLALGVVVLCIGVGSLVLCFVEGLNWVDSVYLAVMSVTTVGYGDRAFTTLPGRLFAAIWLLFSTLMVARAFLYLAEARIDRRHRRLAKMVLHREITIEDWLAADINNTGFISKSEYVIFKLKEMGKIQDKDVMQICDQFRKLDPSNCGKITLPHLLEGRT >CAK8563562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616508051:616509337:1 gene:gene-LATHSAT_LOCUS16958 transcript:rna-LATHSAT_LOCUS16958 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSDLRSHNVSKNLSTTEIAGINYLGETSDAREDGSFEEKRNEIELEKPKSPPTPEEGRKLWADVLKDNRNPAKGRTMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDERYFILRFKSFTDRDEVMLRGPYMLKNIPLLIREWRPDFKIKDEFLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITITDPAGDKMQQSIEYEWRPLFWNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNKGHIEVGNVNMDIVQIRKGNASSTAAETIRNKDVETVMDKWTEVINSGRDRGKKKGNAGAAGVLSYDNGFEALEILKDLLEAQNTGQ >CAK8574070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656997126:656997460:1 gene:gene-LATHSAT_LOCUS26449 transcript:rna-LATHSAT_LOCUS26449 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQGTGYIALKDGRKLYLECLDSAIMDTNECQPLHVDILRFYESINMKLDQQVPLLLVERQRLNEARG >CAK8565451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:217046461:217047027:-1 gene:gene-LATHSAT_LOCUS18668 transcript:rna-LATHSAT_LOCUS18668 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEIAVNNPPQEQMDYFENLVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLIVIESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEG >CAK8540565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11074816:11075852:-1 gene:gene-LATHSAT_LOCUS9560 transcript:rna-LATHSAT_LOCUS9560 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSIRPCLQWIKNPESQANATVFLAKFYGRAGLMNLVNAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPITSEAATHGRGPPLKAYDIRHVSKEENSAASNELTQQRVKTRSRKRSSLAKPKLQEEVKSGNDDKRIEFGSVEPVEEVIVNRAASHESSISHQSEAVNAVAVVDLESKESESMASVETAENSMNLFRDEPESNRSLKRTDQTGEENVGLELTLGLEPVSRVYHVVPVKKRRVELKDCGGGSWNVELGLQYPV >CAK8569361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696391023:696391304:1 gene:gene-LATHSAT_LOCUS22221 transcript:rna-LATHSAT_LOCUS22221 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAAIRRASFSSRLTSSKASNVPKGYLAVYVGEQMKRFLIPMSYLNQSSFQDLLSQAEEEYGYDHPMGGLTIPCTEDVFLHITSRFDGQ >CAK8531347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103072917:103079595:1 gene:gene-LATHSAT_LOCUS1147 transcript:rna-LATHSAT_LOCUS1147 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRSSRALRSRFRSLPSSQTLNSQFSHRLHSSPSPKVESAYARSSFSGVSNSRVLCGESGRMLPAVLAGLFGIGMVETAYADKANEDVHEIAKKERQRIQDLLTTRGIRQGSCPRFNVAVKGQKVSIKFQVPPGCEISQLIANLTAHLGLKSEGHGGGSDMILRAWDSTVAWQLTLTHPSKQKHIQQNELSSTDTNTHDRDLCILIFHSLIGSDKIEIEFMKQGNLSPEELDAFISVLQLAGNKLVERNPVERKPWEETEQAPSVDKAISSLEAMGVRTYGLNEPIGTSNNEVSWDNIAGYEHQKRVIEDTILLALHSPEVYDDIARGTRHKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSEFYGKSERLLGKVFSLANSLPNGAIIFLDEIDSFAAARDGEMHEATRRLLSVLLRQIDGFEQDKKVVVIAATNRKEDLDPALISRFDTMIAFGLPDHHNRQEIASKYAKHLSKTELDELARATEDMAGRDIRDICLQAERSWASKIIRGQVSKDEEQANLPPLQEYTACATHRRDSLLSAAADRKPRRSSRNKIINE >CAK8564566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:88347:88702:1 gene:gene-LATHSAT_LOCUS17862 transcript:rna-LATHSAT_LOCUS17862 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKHQGTIPPQEVASATKTSWPELVGVSADEAEKKIKEDKPDAYVQVVPHDQPFVTSDFVFNRVRLFLDESNKVIKTPIIG >CAK8571898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490856524:490857243:1 gene:gene-LATHSAT_LOCUS24521 transcript:rna-LATHSAT_LOCUS24521 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVYEWFLQHQERVNITGELILQKTRDTMKLVYPHDDSDFNFSIGWLGKFKSRHGIKSFHRFGESGSVDVQDMEQNLVSIREKIDQFPMKDVFNMDETGLFYRLQVDHSLATKQLEGRKQDKERLTVVICCNEDGSEKIPLWIIGKYAKPRCFKNVNMNSLDCQYRANKKAWMTSVLFDEYVRSFDQMMHGRRVLLVVDNCPAHPRNIEGLRNIELFFLPPNMTSKIQPYDAGMIRA >CAK8566022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368525294:368527919:1 gene:gene-LATHSAT_LOCUS19190 transcript:rna-LATHSAT_LOCUS19190 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTNKRLDQLTSYGMIDNKRLACQYIARDTNKGVWYGDNPLHGTTSVLIFQIILMFSISRLTHFLLSPFHQTLLIAQIMAGIIVGPLVLGRHNTSFEMLFPASSIVTLSTFAEFGMIIYFFKMGVQINYKQLRRIEKRAVIIGIFGHISSIVFGLVVLNIVEMISPLGSEKNEVQGLVFFGSLTSFPVTSSFLSEMNILSSEIGRMALSTSMVSDACMWTIYLVILTGEKAIDDKSYQILIQRTITIFYFGFLYYVLRPLVIWISNRDRKGNSMTQGHFLLIICIILFIGFFGLIAGQPYFMTAFWFGVLLPDGPPLGSFLAEKLDVIGSTLIVPAYCTISGLRTSVPNIAGSKTVYMEVVIIAVFVGKFVGTIIPSLHFHIDILDSLALALIMCCRGLLDLCVFNILLYNKEIGELSFTLMIYTMVAITGFATLVVYYIYDPSRRYKAYIRKSVKDSGRDFDFKVLVCIHNEENVYPMINLLEATNPTITSPISVFVLHLMELSGRAASINIKNEFAHKKSNYKDTSTQNISNVFNKFLLHNIECITLQLFTAIAPYASMHDDICYMAMDTKSNILIVPFHKQWSMNGTVEVSNASIRLVNQKVLKKAPCSIGVLIDRSQMSGKLVVIHKKYFCKIAMIFLGGADDQEALAYAMRIAEHPNVRLTVIWVRFKKQQKHSSVKSPYIDMMEHIRYTSDLKDKVYFKEEVVEDGEGTTQVIRMMESNFNLVIVGRHHIPNSPCTLGLTEWCELPELGPVGNLLATSDFTFSVLIVQQQPFHNEYF >CAK8542217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490212189:490213425:1 gene:gene-LATHSAT_LOCUS11073 transcript:rna-LATHSAT_LOCUS11073 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFIGSWIIKTLLQNGYTVNTTVRPDPAEKKRDVSFLTNLPGASEKLKFFTADLSKPESFNEAIEGCVGIFHTATPMDFVETEPEEIVTKRNIDGALGILKACKNSKTVKRVVYTSSASAVYLQEKELDVLDESYWSDVNLLRTLKPFAWPYSVSKTLAEKAVLEFGEQNGLDVVTVIPTFVVGPFICPKLPASVYSSLSFLFGDKNPFAMSHLHIVHVDDVARAHIFLFEHPNPKGRYNCSPFIAPLEEVVQILSPKYPEFSIPTLEEVRKINGHKLSHLTSQKLKDAGFEFKYSVEEMLDDTIQCCKEKGYL >CAK8542216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490212189:490213425:1 gene:gene-LATHSAT_LOCUS11073 transcript:rna-LATHSAT_LOCUS11073-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFIGSWIIKTLLQNGYTVNTTVRPDPEKKRDVSFLTNLPGASEKLKFFTADLSKPESFNEAIEGCVGIFHTATPMDFVETEPEEIVTKRNIDGALGILKACKNSKTVKRVVYTSSASAVYLQEKELDVLDESYWSDVNLLRTLKPFAWPYSVSKTLAEKAVLEFGEQNGLDVVTVIPTFVVGPFICPKLPASVYSSLSFLFGDKNPFAMSHLHIVHVDDVARAHIFLFEHPNPKGRYNCSPFIAPLEEVVQILSPKYPEFSIPTLEEVRKINGHKLSHLTSQKLKDAGFEFKYSVEEMLDDTIQCCKEKGYL >CAK8571500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432240955:432241476:-1 gene:gene-LATHSAT_LOCUS24160 transcript:rna-LATHSAT_LOCUS24160 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNKHAKTSVWWDIENCGISKGAEPEQVVSGTISMLKGIHVTSDISIDIYGNIKSKIVSGLKNSLITVNHIPPNPLDNKNKKYSADKLIITNMFKWAMHNSLPANLVLVSSDGDFYYTIHNLGGHGYCIIIVKPKQAAKLLTLYELCFIME >CAK8565647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:297488131:297488616:1 gene:gene-LATHSAT_LOCUS18839 transcript:rna-LATHSAT_LOCUS18839 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVKSYIREEIGGSKFLIIVDEYLREKMVIISRFMDKNGCIEELFFELVHVKGIMAITLKYAICDVLSRYGLNVSYICGKWYDGASNMREEWNGLEELFIKDYPYAYYIHCFAHRSQLALVTASRKVFLVHDFFSNLTLIINIVCSSSKRRVEFQNVIF >CAK8574847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11609503:11609850:-1 gene:gene-LATHSAT_LOCUS27147 transcript:rna-LATHSAT_LOCUS27147 gene_biotype:protein_coding transcript_biotype:protein_coding MINQCGYRNKMDINNLMNYPGENEACSEIQNLEDIVGTIIENNAKDDDEDDTVSLEPVTRKETLMASNTLHNFMIQYKNTILELLDAIRKVRDDLQIDLNFKGKQTTIESYFNRV >CAK8531833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:160852923:160855439:-1 gene:gene-LATHSAT_LOCUS1598 transcript:rna-LATHSAT_LOCUS1598 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTIFPNRPLFPPSSKNSTQLLKFKPTFSHLPTPTPQSQTPRSFPLDSLLHHLKHLSSTPITTQTQTLVPLNHHNNTPFSSLQFSIDENEENLQQGHAKRPASASVEGNQFDHAKFVFLSVKGKNMLNSIVGSSLNDLIEFFNSVKSELLQSDVFSLLRALDLSGNWERAFFLFEWVWLNFGSENMKVDNRSVELIVKILGRESQYSIASKLFDIIPVEEYSLDVRSCTTILHAYARTGKYKRAIEIFEKMKECGLDPTLVTYNVMLDVYGKMGRSWNIILELLDEMKSKGLKFDDFTCSTVISACGREGLLDEARKFFTDLKLSGYKPGTPTYNSMLQVFGKAGVYVEALNIVKEMEENHCVLDAITYNELVAAYVRAGFLDEGAAVIDTMASRGVMPNAITYSTVISAYGKVGNEDKALKVFGQMKELGCVPSVCTYNSVLVMLGKKSRLEDMINILRDMKLNGCPPDRITWNTMLAICGEKGKQKYVNQVLREMKISGFEPDKDTFNTLISAYGRCGSVVDVAKMYEEMITAGFTPCITTYNALLNALARRGDWKSAESIIFDMQNKGFKPNETSYSLLTHSYSKAGNVRGLQKVEKEINEGRVFPSWTLLRTLVLSNYKCGQLQGMKRALHQMQKNGYKLDMVVINCMLSLFVRNKRLEEAHEMLYLIRESGFQPNIVTYNSLIDLYARVGDYWKAEEMLKEIKKSGINPDVVSYNTVIKGFCKKGLVQEAIRTLSDMTNNGIQPCPITFNTFLSCYAGKGLFAEVDEVIRYMVQLGCMPNELTYKIVIDGYIKEKKHKEAMDFVSRIKEIDISFDDQSLKKLASCIKKSLPY >CAK8543444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608232877:608233380:1 gene:gene-LATHSAT_LOCUS12201 transcript:rna-LATHSAT_LOCUS12201 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVEIFNLLATALTLLAIVPKTECEGEVRHIALTNPRPLCSSQFALVNYACGRLPFRPGAPPGPPPAPPSPDDDDGDDDVGNDEGHRNHNHDNGHGHGHGRRHGHRHRHHQTQDEENCCRWAREVDSQCVCELLVRLPPFLVRPVHLYTLTIGDDCEITYSCGGPI >CAK8560850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49873518:49892487:1 gene:gene-LATHSAT_LOCUS14494 transcript:rna-LATHSAT_LOCUS14494 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKFNFCFLTLFLSYGMLIPTQSLGNICLPKEHVALFVFGDSLFDVGNNNYINTSTENLANYSPYGETFFKYPTGRFSDGRVIPDFIAEYAKLPLIQPYLFPGSQEYVNGINFASAGAGALVETHKGLVIDLHSQLTYFKNVKKILREKHGDEETTILLARAVYLITIGSNDYSLENSSLYTHEKYVSMVVGNLTTVIKGIHEMGGRKFGILNQPSIGCFPNIKAVVNGTKFACIEEYSSPAKLHNTMLSVELQKLEKHIKGFKYSYFNFFDISFEVINNPSKFGLKEGGVACCGSGPFNGYYSCGGKRVVKDYDLCENPSEYVFFDSIHPTESASKIISKFMWNGNQSIASPYNLRTLFEG >CAK8560955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62868715:62869873:1 gene:gene-LATHSAT_LOCUS14590 transcript:rna-LATHSAT_LOCUS14590 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDPYAHYGLSYNPDGSMHRAFQKLQTKANPEPSPGVSTVSKDITINDETKLWVRIFRPTKLPSNDTMVARIPIIIYFHSGGWVVFSPSDSDVHKKCSNISSDIPSIVVSVAYRLAPESRLPGQYHDAWEAILWVKNQITHDGGEQWLRDYGDPSRCYLYGCDSGGNIVFNTAMHIEKMDLEPLMICGFVMNQPMFSGEKRTASELRFATDQTLPLPVLDMMWDLALPKETDRDHRYSNPMAKGPHLENVKKIGRCLVIGYGGDIMLDRQQEFVTMLVRCGVQVEARFDPVGFHNIDMVDPGRASAVMSIVKEFIY >CAK8536499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947116378:947117067:1 gene:gene-LATHSAT_LOCUS5861 transcript:rna-LATHSAT_LOCUS5861 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIKSKTQLVKNEDNEKKAVADRTNKEDDSVFASCTFSSLGLHPTLCDQLRERMGFEGPTLVQAQAVLVVLSGRHALVNAATGTGKTIAYLAPIIHHLQSYEKRIERSDRTFALVLVPTRELCLQVHEILQKLLHLFHWIVPGYIMGGESRSKEEARLRKADSRRFTTTTLKHLKDKHSSCSYQLNSNTYRSRATNLTHAFDFKSLNSRFGTDCVLAVMSIIYRQHK >CAK8565114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:39317494:39317694:1 gene:gene-LATHSAT_LOCUS18351 transcript:rna-LATHSAT_LOCUS18351 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPDFNIDDILDEQPGPSSRQTNPPTTHHNEDMSSDSSESTRNERLGRGYRQRRIPRCGTGGHIR >CAK8544270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675003462:675006007:-1 gene:gene-LATHSAT_LOCUS12963 transcript:rna-LATHSAT_LOCUS12963 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDARTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDEDIQEARMQDIFGDSDDEDNEDMDVTPPIRAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHSVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8579006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666914546:666915202:1 gene:gene-LATHSAT_LOCUS30983 transcript:rna-LATHSAT_LOCUS30983 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETHTTLPNGFTTEIPETQCLKTINNNNNHNNNNPATTNKEQDRFLPIANVGRIMKKVIPANGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEDYVEPLKSYLQKYRDIEGEKVNVPKQQRSEQRLHQQQHQQHNQDELNNQHFNNSVYTSTNLISQPSYVPNDQPFPLPFSSSSIQKQLRPQDQIDSIGHWYE >CAK8538841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497651370:497656029:1 gene:gene-LATHSAT_LOCUS7996 transcript:rna-LATHSAT_LOCUS7996 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVVGRHAMLFDDDGMAAFVNSPEALVDWNSLSIDRYDVRHLLSGPIPPRPKRRPLHPPSSIEADLDHQRYLDLPSSPPHEQPQDDDSGAVDSAGYRAVAFSYENSNVSTETKGNDTESGFRPNFPVPESLLHNLPPNEKLHQIISRTAIFVSKHGSQSEIILRVKQGDNPTFGFLMPDHHLHPYFRFLVDHQELLNVEKVDVDSALDKNRSQGPDQTGGALSLLGSVYGYGEDEDCTTENTSDLDRNTRVGVVDSTYASTRIERTESSSDPAKDESISKNQIPFKEKVPVIKRNQSIGNVKIVTSGKVKTGDILDSGSNAANKSQTSVPSTAKIELPVVEPPSDIRVVIERIVEFILKNGRPFEAVLAEQDRAHGRFPFLLPSNQYHTYYLKVLQTAEESKLPGKGYQKYNPAGRARDNNTAAYEENDNKFKMIIGNLKDGQEPTPKDSQSQTTVNIHAAAAAAILQAATRGIKRPNLEIFSKTSSGNGQGLGSDGRNLSISGSLPSSQWQGFVPHFNLNAEASASVPVAKAIAEKVAIAAAGEADSSEAHMSKEQKLKAERLKRAKMFAAMIKSGAGALKSELPRAFSVEPPGSGLSGSDAEIGNLVSKEREGSSVPFNADNSDKSHKSEEKLSDNNSDRSHKSEEKLAVDNNIERRSKRKYRSRSSRHEEEEEEEVEEENKEDIRDQKRSRKKHRSHRSSHRSRDRDSDRDRDKIRRKHNRRDSDRDRDRIRSKHDSSSDEEHQHLRRHSNYDSSSDEKHGSPRRYRGESGLSDREHRHSRRHYSSSEDEHRHRHRSRKTKHKSRRSHAERDAELEEGEIVKSDKSQVSELGRVSREASAELYKSTKAPSQSPEVTNVSDELRAKIRAMLMENL >CAK8579321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691480887:691481567:1 gene:gene-LATHSAT_LOCUS31275 transcript:rna-LATHSAT_LOCUS31275 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQCYKTCEQSCQHKNNYQQQQHSSIGHKLTDFYKGHHNDGTQTKTEYYSETDVLYQPGYVAKNHSNTCNRTSHNRNHNHAATGTTIVTGTTAAKCQGRNRKERRNMFQRMKDGISGHSSDSGTSSDECDSDNESRRHRKAILQLRSCCTNKNKLEFED >CAK8565710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311320178:311321584:1 gene:gene-LATHSAT_LOCUS18898 transcript:rna-LATHSAT_LOCUS18898 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESSKTENPNWEIELSKYENVIASGTNSMKIKAMIMLLNYSKQAPEHVLSSTIPFLIDILDHDTENDSHPSLLQESAAYCLKCIACRGDGSMAVEMSRRGVTHSLIRLLPRAEGKMQKVLIKCLLLVVSFCNTSRTVVAANGGLEIVIGLLNTCSSDVRLYLLAILSVLALRREVRKELVRLGDLRFIVEAAGVGSMVSRERACQSVGLIGVTRQARHTLVELGVIPVLVELFRVGDIGLKLVAGNTLGVVSAHVDYIRPVAQAGAIPLYAELLQGRDASGKEIAEDVFCILGVSEANAVEIVGHLVRILRDGDDEAKAAAADVIWDLSGYKHAISVIRDSGAISILVELLGHGSDEIKLNVSGAFAQLSYDEAGRTALADAGAIPILIDLLLNETEELRDNVVEALSNFHEEPLYRDRVSEVVNVASFRNLQNRLISIRASNEHMTRSLRRTSVEQLIWNPDHV >CAK8567558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525293909:525294822:-1 gene:gene-LATHSAT_LOCUS20600 transcript:rna-LATHSAT_LOCUS20600 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFVERWHLETSSFHMTFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVSQRVAQQQVRECRGSYYKMKWLYDLFVEHRVASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDVLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQIFILI >CAK8541085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:61772418:61774528:1 gene:gene-LATHSAT_LOCUS10036 transcript:rna-LATHSAT_LOCUS10036 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLSPSPLLLLLLLFHLAATVTSIGVNYGTLGDNLPPPATVANFLKTNTIIDRVKIFDVSPQILQAFANTGISVTVTAPNGDIAALAKIDSARQWVVTHIKPFHPQTKIDYILVGSEVLHWGDSAMVRNLVPAMRTLHAALLAEGITDIKVTTAHSLAILRQSIPPSAGQFRPGYAKYFLGPMLKFLRQTKTPFMVNPYPYFGYNPKNANFALFRPSRGLFDRNTKLLYTNQFDVLMDAVHSAMKALGYGDVDIAIGETGWPSVCDGWDACSVANAQSYNGQLVRHLAEGKGTPLMPNRRFEAFIFALFNENQKPGPIAERNWGLFQPDFSPVYDAGILRNGQRPGQGGGQTPTPRPIVGGQKWCVPKADASAGALQANINYVCSQGIDCRPIQPGGVCYAVNDVKALATYAMNAYYQANGKHDFNCDFSHSGVITSVNPSHDNCRI >CAK8568934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:656193731:656194684:-1 gene:gene-LATHSAT_LOCUS21841 transcript:rna-LATHSAT_LOCUS21841 gene_biotype:protein_coding transcript_biotype:protein_coding MAINFVSWIILLHLLLILLCSHGNQARELVETENKSLKIQHNHHHHQQHNHMTHNIDPSLMVFFTLKDLKVGKKMQIYFPKRDPSTSPKLWSKEEAESLPFSSNQLSYLLKFFSFSPNTPQAMAMENTLQECESKHIKGEVKFCATSLQSMLEFTQNTLGSNSEIQVYATLHKTKSSVTFQNYTIVEILMEILAPKMVACHTVPYPFAVFYCHSQESENRVYKVLLGGENGDKVEAMVVCHMDTSQWAPSHVSFQVLGVTPGSSSVCHFFPADNYIWIPKLKSQGSSSM >CAK8564897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15096454:15104560:1 gene:gene-LATHSAT_LOCUS18152 transcript:rna-LATHSAT_LOCUS18152 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEPLIWYCKPEPDSVWEKVVDSAFGSYTPCAINTLVISTSNLVLMGLCLYRICLITFNDKAQRFCLKSNYYNYILGMLAGYCAFQPLLRLFTGNSAFNLNDETDFAPFEITSLIIESITWFSMMILILLETKVYVRQFRWLVRFGVIYVLVGDIVMLNLLRSVKDYSSRSALFLYISTVICQVLFGILLLVYIPNLVPYSGNTTMQSEVPDNGEYEPLCGDDQVCPEMRASFLSRLSFGWITPLMKQGYRKPITEKDVWKLDKWDETETLNEKFQKCWISEFQSSNPWLLRALNSSLGKRFWFGGIFKIGNDLSQFVGPILLNHLLDSMQNGDPSWIGYIYAFSIFVGVSVGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTHESRKKFSSGKLMNMITTDANAIQQICQQLHGLWSAPFRIVIAMVLLYQQLGVASIVGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILSAMDTVKCYAWETSFQSRIQSIRHEELSWFRKAQLLYALNSFILNSIPVLVTVTSFGVFTLLGGELTPARAFTSLSLFSVLRFPLNMLPNLLSQVANANVSLQRLEELFSAEERDLKQNPPIVPGLPAISIKNGHFSWDPKADKATLSNIDVEIPVGSLVAIIGGTGEGKTSLISAMLGEIPLVSDGNATIRGTVAYVPQISWIYNATVRENILFGSKFDHGQYWKAIDVTSLEHDLNFLPGRDFTEIGERGVNISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLQEKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKCGPLFQKLMENAGKMEQEVDSNEDRDTDDKNDVLPLNNEAIVELPNDTSYEKKGKLRKSVLVRKEERETGVVSWKVLTRYMSALGGLWVVAVLFACYTLTEALRISSSTWLSVWTSQDSTAASQAGYFLFVYALFSFGQVSVALANLYWLIISSFRAAKRLHDAMLDKILSAPMLFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSSISLWAILPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMSINNGKFMDNNIRFTLVNISSNRWLTIRLESLGGLMIWLIATFAVLQNAKSENPTLIASTMGLLLSYTLNITNLLSGVLRQASRAENSLNSVERVDTYINLETEGQSLVETNRPPPGWPTKGSIQFENVVLSYRPELPPVLHGLSFVVPSTEKIGVVGRTGAGKSSMLNALFRIVELQSGRIIIDGYDISTFGLADLRRVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAQVSEGGDNFSVGQRQLLSLSRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFHSCTMLIIAHRLNTIIDCNRILLLDAGKVLEYSSPEELLQNEETAFYKMVQSTGPANAEYLCSLVFEKTQNNSNKQNKDLENRTKQLASTNWAAATQFAISSTLSSLHQHLQSPNAKDDKDILNRTKDAVVTLQEVLEGKHDETIEDTLVKYHVPTDRWWSTLYKVIEGLAVLIKLPQDNFQELESDFEGRSFD >CAK8537870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:443977812:443979374:-1 gene:gene-LATHSAT_LOCUS7122 transcript:rna-LATHSAT_LOCUS7122 gene_biotype:protein_coding transcript_biotype:protein_coding MENAALIDWISNLPDELLLHILSLLPTKHAFSTTVLSKRWTALSKLLTALHFDDESLHDEDAFFRFRSFLDTVMLSTELIKTLNLNCNSIHWRQHGRFNNFIQTAKRHPLENLYLYSSIVNLTNGITLPLTTFTFPKLVVLKVKMFYLSGDISVDLPSLKTLHLEVVYFKDHETFKKFLYGCPILEDLMTQISYITPDESSTVHSAGEFKSLSKLIRAEIRESDVPFTAVYNVQILKIWVNRRKLPEQVFISNSRSFQNLIHLELFGHASEDCEDLMGLLQNCPKLQFLTIATLLDMDLFKNWRYPNTIPNCISSHLRSCTLRFDAFDVDLRLATYILKYAPLLEVLKITIFHALQLQGALEELISCPKISSKCSINISIGRSY >CAK8543594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624401561:624403327:-1 gene:gene-LATHSAT_LOCUS12342 transcript:rna-LATHSAT_LOCUS12342 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLVLSLSLCFLFFSSSFARREHSQQNECQLERLNALKPDNRIESEGGIIETWNPNNRQFRCAGVALSRDTLQRNALRRPYYSNAPQEIFIQQGNGYFGLVFPGCPETFEETQESEQREGRRYRDSHQKVNRFREGDVIAVPTGVVFWMYNDQDTPVIAVSLTDTGSSNNQLDQMPRRFYLAGNHEQEFLQYQPQQGGKEEQENEGNNIFSGFKRDFLEDAFNVNRHIVDRLQGRNEDEEKGAIVKVKGGLSIISPSERQPHHQRGSRQEEDEDEERQTRHQRSREEEEKGDEKEGRRHHSQKGESRRHGDNGLEETICTAKLRQNIGSSSSPDIYNPQAGRIKTVTSLDLPVLRWLKLSAEHGSLHKNAMFVPHYNLNANSIIYALKGRARLQVVNCNGNTVFDGELEAGRALTVPQNYAVAAKSLSDRFTYVAFKTNDRAGIARLAGTSSVINDMPVDVVAATFNLQRNEARQLKANNPFKFLIPPRESQNRASA >CAK8540731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18045035:18046179:1 gene:gene-LATHSAT_LOCUS9705 transcript:rna-LATHSAT_LOCUS9705 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMNSRKPSEIFKAQAQLYKYMYAYLDSMSLKWCVEMNIPNIIHNHGQPISLSNLVSILQVPSTKFGNVQRLMRYLAHNGFFEIVTNQELVNKEEAYALTVVSELLVKGSELCLAPMVELILDPTLSGSYHELNKWIYEQDLTLFAVALGSDYWMFLNENPEYNRLFNDAMASDTKLIKLAMKDCNLVFEGLESIVDVGGGNGTMGKIITETFPKLKCVVFDQPEVVENLSGNNNLTYVGGDMFTCIPRADAVLLKFILHDWTDKDCIKILKNCKEAITSDEKSGKVIVIELVINKKKDEKQITQLKLQIDIGMACLNGKERNEEELKNLFVEAGFTDYKISPLTGLLSLIEIYP >CAK8542161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:482522625:482523215:1 gene:gene-LATHSAT_LOCUS11026 transcript:rna-LATHSAT_LOCUS11026 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKSDPTQKTILQIKQDDKFFCRLLTKESSISNPSFRIALTVPFVWESQPGTPKHTLSQQSLPPLTPPPSYYSCKSLPVKRNLRSNLFLALFPKLNLKKTIMSSSSSSTSSSLSSFSPSNSSSSSSCSSSSNSSKLVPIRKVIRTKKRFLSCGSSFDFKGEEEHVDSPTSILCFGLPRSTSTKVNTGFLGFSKR >CAK8572846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567332695:567335350:-1 gene:gene-LATHSAT_LOCUS25364 transcript:rna-LATHSAT_LOCUS25364 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSMIMDRKGFEMKFGYSMRSKSQSHCDVNVTDSSLVVDGLRQSCWANMPPELLRDVLMRIETSDDAWPARKNVVACAGVCRSWRELMREIVKPPQTSSKLTFPISLKQPGPRDSLIQCYIKRNRNSQTYYLFLCLNQASTDDGKFLLAARKCRRATHTDYIISLNLDDVSRGSSTYVGKLRSNFLGTKFTVYDAHPPIYGAKVAKSRSTKLVSLKQVSPRVPAGNYPIVHVSYDLNVLGSRGPRIMQCVMDAIPAAAVEPGGEAPTQTRFLHSRNDSSPSIPFFRSKSTRAENLQSVVPLTSQDEGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPNNGVSEQAQENVILQFGKVGKDVFTMDYQYPISAFEAFAICLSSFDTKIACE >CAK8573357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605475832:605477412:1 gene:gene-LATHSAT_LOCUS25816 transcript:rna-LATHSAT_LOCUS25816 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSSIPCIKIPTTSSSCASSSTSSYSFRFSYHKPYAVTVRNSMSEGPLRRPMAPSVKEPSNLPQPLKPSPPSQSPPQPQKPSSVVVGDDKSVITLEFQRQKAKELQEYFKLKKLEQAADQGPFFGFIAKNEISNGRWAMFGFAVGLLTEFATGSDFVDQVKILFSNFGILDLE >CAK8567735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540238643:540241454:1 gene:gene-LATHSAT_LOCUS20758 transcript:rna-LATHSAT_LOCUS20758 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGKQHQKMMALSLKGLPNQIQNPIDQIQTRFKHLENGFKLWLSKQSIAVEAAVVTTTSAAQGAAIGAFMGTIAGDVPASLTPPPNAALNPQAMASLKQAQALAGGPLIQARNFAVMTGVNAGITCVLKRLRGKEDVQSSMAAAFGSGALFSLVSGVGGPNQAANAVTSGLFFALVQGGLFQIRQKFSQPPAEDTHYAKTRSMLNNLGLQNYEKNFKKGLLSDNTLPLLNDSALRDVKIPPGPRLLILDHIQREHDSREKHGSRR >CAK8544582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693593189:693593668:1 gene:gene-LATHSAT_LOCUS13243 transcript:rna-LATHSAT_LOCUS13243 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNYPDRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMLIIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAATHIVFSGLCFLAAIWHWVYWDLEIFCDKRTGKPSLDLPKIFGIHLFLAGVTCFGFGAFHVT >CAK8562443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493006911:493010509:-1 gene:gene-LATHSAT_LOCUS15938 transcript:rna-LATHSAT_LOCUS15938 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIARGRLTEERKSWRKNHPHGFVAKPETLPDGSVNLMIWHCTIPGKTGTDWEGGFFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDTTEYKRRVRLQAKQYPPLI >CAK8568901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652757335:652763505:-1 gene:gene-LATHSAT_LOCUS21810 transcript:rna-LATHSAT_LOCUS21810 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDIMALETGVTNDPNSLLDDDGRHKRTGTVWTASAHIINAVIGTGVLSLPWAMSQMGWALGISCIFVFSGVTLYTSNLLADCYRSPDSVTGKRNTTYMEAVKVHLGGKQHVFCGLVQYINLAGFTIGFIITTSTSIVTILKNNCYRKNGFEASCRFSNNPYMIIIGVIEIILSQIPNFHKLSILSVLAATMAFGYASIGVGLSLSTVIQGNGNVKSTMAFAGSDENRSTSDIAWNMLVAIGDIALAGAYAQIAVDIQDSLKSSPPENKTMKRANTLAIFTMTIFFILNACAGYAAFGSNTPGNILNSSGFRKPFWLLELANAFIVVHLIGAFQVLVQPVFRIVEMIAAEKWPNSSFVTREIPMNFGKINYTINYFRLLWRTIFIIVVTVLAMAMPFFNAMIALLGAVGFWPSVVYFPVEMYIVKQNIKKGTIRWIGLQSLSCFCFIVSLAAATGAIHGLGEAVGKYKPFMYKA >CAK8538002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:456564696:456565118:-1 gene:gene-LATHSAT_LOCUS7245 transcript:rna-LATHSAT_LOCUS7245 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPHRRIIFSDVEEDEIEDHKSEIEVEVLNEEKVERPNMELSEKKVERSNVKLHEEESQGESVPIWKKHVTFRAIFVSLVLSILFTFVTMKLTLTTALTPPLNASSVLLGLMIVKTWTALLTKARIINQPFHKITTSN >CAK8573668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627987182:627989056:1 gene:gene-LATHSAT_LOCUS26083 transcript:rna-LATHSAT_LOCUS26083 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTFQNSYIICVISVAVLLGSLAECRIQNSKLASFDYPAINCRKHSAVLTDFGAVGDGKTSNTKAFNSAISKLSQYSDDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKDAVILASQDESEWPQLPVLPSYGRGRDAPDGRFSSLIFGTNLTDVIITGNNGTIDGQGSSWWKKFKADQMKLTRPYMIEIMYTDQIQISNLTLINSPSWFVHPIYSSNIIINGLTILAPIDSPNTDGINPDSSTNVRIEDNYIVSGDDCIAIKSGWDQYGIKVGKPSQQIIIRRLTCISPDSAMIALGSEMSGGIQDVRIEDITAISTQSAVRVKTAVGRGAFIKDIFVKGMNLNTMKYVFWMAGSYGQHADEGFDPKAIPEISGINFREVTAKNVTFAGKLEGISNDPFTGICVSNVTIEMSEERSKKKLPWNCTDVSGVSSNVSPQPCELLPVKDKLDCPYPSDKLPIENVQFKTCSF >CAK8531028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70892080:70892415:-1 gene:gene-LATHSAT_LOCUS845 transcript:rna-LATHSAT_LOCUS845 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHRVLQGLVKNLNFKFHPKCDRLKIINLCFADDILLFAREDHESMRLIIDKMREFSTTTSLTIRIPKRKIYFGGVDEESKKIIQQPIGFAVGTLLVKYLGAALAREKK >CAK8540847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25540042:25540200:-1 gene:gene-LATHSAT_LOCUS9813 transcript:rna-LATHSAT_LOCUS9813 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERKRVDVELHAAKINDLKILSKDTSNMTPRKLQDHKFLCGVIRGRYGVN >CAK8569306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692917168:692918160:1 gene:gene-LATHSAT_LOCUS22172 transcript:rna-LATHSAT_LOCUS22172 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHDSKEGLSLDSNSTIDPLESQPIIIHHDGSVTRLMQIPNTEPTQDSNNIVLSKDVYINPVNKTSIRLFLPQKTLHNSKKLPIIVYYHGGGFVFFRASSTINHDFCFKLAEKVNIVVASVDYRLAPESRLPAAYDDALEALHWLRNEEWVRQFCDVSNCYLMGSSAGGNIAYHTGLRCAATIDKYNFDQLKVKGLILHQPFFGGSKRKNSELKFENDRALPLKANDLMWEYALPKGAGRDHKFSNPMIVDEGDDKCFNEIKRLRWKILFTGCYGDPLIDRQLEFVEMLRSKEVNVVEYLREGFHGMEMLEPNTDGPLFEQIKDLINLC >CAK8544753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704531419:704532091:-1 gene:gene-LATHSAT_LOCUS13408 transcript:rna-LATHSAT_LOCUS13408 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCHTMEECLRRASLIHIVFSWTLDDLLNENLFTYQVPKIPKTFSSANDYMNSFFPALIEETHSDLYSSLLSVPQAYFCEIRTMKTSEKFNPPHELLYKITLKNIADEVYNVGKYEPEVGDLVAFTNIQPKSADDLMRINISKGKEQCNPDHSLKNVIEAAAISEIVGILKRG >CAK8560559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25537342:25538174:-1 gene:gene-LATHSAT_LOCUS14221 transcript:rna-LATHSAT_LOCUS14221 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYNKGKRDQYAGAAIWGSCPSIDVYRKHVYIATGNLYSAPQNILDCQERQNNQTNPVETDECIEPENHSNSMMALDLDSGKIKWFKQLGGLDVWFIACNNASTPNCPPQGPLPDSDFGEAPMMLTTYVNGTKKDIVVAVQKSGFAWALDRDNGTLIWFKQAGASGTGGGGIWGASTDEKRVYTNSANSNKDNFQVLPSNMNTTTGGWVAMDPRNREILWSTANPGNSTVSGPVSVANEVLFGGSTADSGH >CAK8535323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838328419:838331579:1 gene:gene-LATHSAT_LOCUS4790 transcript:rna-LATHSAT_LOCUS4790 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHKDASDYSSEDEGTEDYRRGGYHAVQIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTHKLRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDVEDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYSDYRGIPLPMVKEICYHVLVGLDYLHRELSIIHTDLKPENVLILSPIDPSKDPRKSGVPLILPKAKDKTVSKNGTTNDKSSNGDLTKNQKKKMRKKAKKAAQKEGPEAAEEDSEAPEQDNCSNDVKPSVENGEGKPNSPASLAESAKTSEIQDVPQGSQVSRRGSRSTRKKLLAAVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVLLGSKYSTPADMWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALSGRYSRDFFNRYGDLRHIRRLRFWPITKVLTEKYDFSEQDASDMADFLVPLLDFVPEKRPTAAQCLNHPWMSAGPRTLEPSLTNVQPDAINGEKSLKSREKTEHEAVEVGMGNMAIDGTQKSLKDFQPTKSLE >CAK8562447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493844595:493844999:-1 gene:gene-LATHSAT_LOCUS15942 transcript:rna-LATHSAT_LOCUS15942 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNLSFSSTNQSGSGIRRRGNRCWCEFESPLMTSWTYDNPGRRFHGCGNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLNDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSLMFVFLIVFALVATHVLK >CAK8574058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656094366:656101684:1 gene:gene-LATHSAT_LOCUS26438 transcript:rna-LATHSAT_LOCUS26438 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKEDSSEKEKSLDLFLKIGLDERTARNTIANNKVTTNLTAVIHEAGVTDGCSRSVGNLIYTVATKYPGNALPHRPTLLQYVVSSKVKTTAQLDAALSFLATTGIENLDLNKFEEACGVGVEVSTEDIKHAVNEVFEENKSSILELRYRTNVGELLGHVRKRLPWADAKAVKQLVDAKLYELLGDKTAADNEKPSKKKKEKPAKVEDSAAPVATPEKALEEDLNPYLIFPNPEENLKVHTEVPFSDGTILRCCNTKALLEKHLKVTGGKVLTRFPPEPNGYLHIGHAKAMFIDFGLAKDRDGGCYLRYDDTNPEAEKKEYIDHIEEIVQWMGWKPFKITYTSNYFQELYELAVELIRKGCAYVDHQTPDEIKEYREKKLNSPWRDRPVSESLKLFEDMRSGLIEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENVTHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLMTLSGLRRRGMTSTAINSFVRGIGITRSDGTLISVGRLEHHVREEMNKTAPRTMAVLHPLKVVITNLEANSTIEVDGKKWPDAQADDPSAFYKVPFSNVVYIERTDFRMQDSKDYYGLAPGKSVILRYAFPIKCSEVILADDNETILEIRAEYDPSKKTKPKGVLHWVAQTSPEVDPFKIEVRLFDRLFLSENPAELDNWLGDLNPNSKVVIPNAFGLSSLRDAKVGDSFQFERLGYFTVDQDSTPEKLVFNRTVTLKDSYSKGGK >CAK8562007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424859892:424862449:1 gene:gene-LATHSAT_LOCUS15545 transcript:rna-LATHSAT_LOCUS15545 gene_biotype:protein_coding transcript_biotype:protein_coding MASNENVPVREFCANGSCMNAKEVEAMLDEGKIQDAETALRDGLSLNSEEARALLGKFEYQRGNFEGALLVFDGIDLREAIQQLQTSVSEKPPVKKGPARAESPSSESQHAASMVLEAIYLKAKSLQKQGKFNDAANECKQVLDAVEKIFGQGIPDTQVDNRLQEIVSCAVELLPELWKQAGCYNEAITAYRHALLSQWNLDNDCCARIQKAFAVFLLYSGVEASPPSFSVQIEGSYVPKNNLEEAILLIMILLRKFSLGKIKWDPSIMEHLTFALSACGETSILAKQFEDLIPGVYHRIDRWNSLALCLSADGQNKSALNLLRKSLHKHERPNDVISLLLASRICSEDPHLAAEGAAYAQRAIDKAQGLNKHLKGVGLRMLGLCLGKQAKVASSDSERSMLQSKALQSLEEALRLEQNNFDLIFELAIQYAVHRNLAVALRYAKQFFDKTGGSKLKGWRLLSLVLSAQKRFSEAEMVTDAAIDETTKWEQGPLFRIKAKLKIAELRPMDAIEIYRYLLSLVQAQKKSAGAQKLGSQDAEDQINEFDVWHGLANLYASLSHWKDADICLQKAGELKQYSAATIQTEGVVFDGRGQTKEALAAAANAVQIEPNHVPSKILMASLMLKNGSEAFPVCRSLLSDALRIEPTNRNAWYNLGLTHRSGGRIGDAADCFQAATMLEESDPIESFSSML >CAK8575999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:382541846:382542037:-1 gene:gene-LATHSAT_LOCUS28219 transcript:rna-LATHSAT_LOCUS28219 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8536654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4563425:4567446:-1 gene:gene-LATHSAT_LOCUS5994 transcript:rna-LATHSAT_LOCUS5994 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGWRLPYHPLQVVAIAVFLALGFAFYVFFAPFVGKKIYQYIVTGLYTPLIASVFGLYIWCAAADPADPGVFKSKKYLKIPESKKLSGFKDSKRGGESNSSVHDGNASTIGPKSVDKEAFGTEASFKDASISKEKKSALPPSSSCFLWLFSPCAYVCGCSSSQDDSSDQLASEDGMFYCSLCEVEVFKYSKHCRVCDKCVDSFDHHCRWLNNCIGKKNYRNFFTLMVAALLLLILQWLTGIIVLICCFLKRKEFSVDVSSKLGSSFSLVPFVIVVAVCTILAMIATLPLVQLFFFHILLIKKGISTYDYIIALREQDQQGVGGQQSPQMSPVSSITGLSSASSFSTFHRGQWCTPPRMFIDDQFDVVPPETASVSSLGKKSIREEPVKKKNPGAVKISPWTLARLNAEEVSRAAAEARKKSKILQPVVRHNNEPFRLEADHTSGSSGRRMAPRRPGKRIRLPADLPMEALTKYSTGNIDKSFNRMSSLAPLQLEARTTQVLSSSSGIAASSPESSLDSPDIHPFCAPPAEGESTRRLGSLSVGCSTATKGFPFSRSASDGYDASGGEDSDRVPTRIVDRSTTNWSNLLFNADQDETKSSSSFVHNRKL >CAK8544918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712122728:712123219:1 gene:gene-LATHSAT_LOCUS13560 transcript:rna-LATHSAT_LOCUS13560 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIEEFCCRMAGTMKEWYHNLGAFKQDELHHLETTASVLGVLHREFIGDMEIFDRKNRQEFFEMMCCSLKTKDLDRNYHRMAQRYYVLKGYNDPSLKNTYVSSLPQELQPEIHRMLATTQKDIKTMSLGQIHQVTLEALEKLCSFHHQFSEVIE >CAK8574122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663731917:663733704:1 gene:gene-LATHSAT_LOCUS26497 transcript:rna-LATHSAT_LOCUS26497 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGVSSMKLSQRNLVTQVITGRWFVVFASFLIMSASGATYMFGLYSGVIKEALGYDQTTLNLLSFFKDLGGNLGVFSGLINEITPPYVVLAIGSLLNFFGYFMIWLAVTKKISKPKVWQMCLYICIGANSQSFSNTGSLVTCVKNFPETRGVVLGILKGYVGLSGAIITQLYSAIYFDDTKALILLIAWLPAAISFLFLRTIRYMKPVKQNNELNVFYKFLYISLGLASFLLVMIILQKKVSFKQSEYIGSASVVLILLFLPLAVVFVEQKKIQKVKAPFVDPFSVKVVADQGDNVSPPTPTTTTATMMKTAAAEETRWWENIFTPPKQGEDFTILQALFSVDMILLFLAGTCGVGGTLTAIDNLGQIGTSLGYPKTSISTFVSLVSIWNYLGRVFSGFVSEHVLTKYNFPRPLMLTLTMFLSCVGHLLIAFDVENGLYFASVIIGFCFGAQWPLVFAIISELFGLKYYSTLYNFGGVASPIGLYFLNVRVTGFLYDKEAKRQLFESGVPRKDGHELNCVGASCFKLSFVIITGATLFGAIISLILVARTIKFYKGDIYKRYRSEPVEVGGDTAEMTVVQYGGERGQEAKIVH >CAK8575841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:334178411:334179187:1 gene:gene-LATHSAT_LOCUS28071 transcript:rna-LATHSAT_LOCUS28071-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADAGSWLDNIPLEKWTRAFDGGCRWGNMTTNLGESMNGVFKGIRNLPITALVRSTYYRLESLFATRGERWSAVLTSSQVFSESIMKLMKEDTIRAITHAVRVFDRHRQTFSAQETMDHNEGRPNLSYAIRLNRCWCDCGNYQAFLVPCSHVIAACAHARQDAYGYLSDVYKAINVMNVYNEGFTVLPMEDYWPPYQGDIVWHNDDMRRKKKGRLNSKRIRTEMDTADKIIGLCNIYRQPGHNRKKCSNVGGTSAS >CAK8575840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:334177892:334179187:1 gene:gene-LATHSAT_LOCUS28071 transcript:rna-LATHSAT_LOCUS28071 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVPAYRTDGITVDGNVIFHRLFWSFQPCIRGFAFCKPVIQIDGTWLYEKYKGTLLMDVAQDGNNNVFLIAFALVEGETASAWSFFLKNLRTHVAPQANLCLISDRHAAIENVYNNHDNRWHNPPSTHVYCIRHIAQNFMRAIKDKNLHKKVVNVGYALTQPTFQYYLNEIRMSNADAGSWLDNIPLEKWTRAFDGGCRWGNMTTNLGESMNGVFKGIRNLPITALVRSTYYRLESLFATRGERWSAVLTSSQVFSESIMKLMKEDTIRAITHAVRVFDRHRQTFSAQETMDHNEGRPNLSYAIRLNRCWCDCGNYQAFLVPCSHVIAACAHARQDAYGYLSDVYKAINVMNVYNEGFTVLPMEDYWPPYQGDIVWHNDDMRRKKKGRLNSKRIRTEMDTADKIIGLCNIYRQPGHNRKKCSNVGGTSAS >CAK8575356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:93390895:93392287:1 gene:gene-LATHSAT_LOCUS27626 transcript:rna-LATHSAT_LOCUS27626 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIYFLVSILALASSVSFAYDPSPLQDFCVAIKDPKDGVFVNGKLCKDPMLVKAEDFFKHVEPGNTSNALGSQVTAVTVDQLFGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDKNRLFTKVLNKGDVFVFPIGLIHFQQNVGYGNAIAIAGLSSQNPGVITIANALFKSNPSISDEVLTKAFQVDKSIIDYLQKQSWYDNN >CAK8544598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694929256:694929821:-1 gene:gene-LATHSAT_LOCUS13258 transcript:rna-LATHSAT_LOCUS13258 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQGKNCNTTFMTASTMLVATLFPRRLHDAGVTLGGWLREIKRRVEEACVVIGSLWRDKHSFYLSNNL >CAK8533313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602328794:602330318:1 gene:gene-LATHSAT_LOCUS2953 transcript:rna-LATHSAT_LOCUS2953 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFGRSFLLFQTQPLNLNFQFSRVFPAIPHPKSSCSFTISHTNTLQSINPIIHNFKVFSVVMFKEANYVIYVLV >CAK8530795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50525479:50527682:-1 gene:gene-LATHSAT_LOCUS635 transcript:rna-LATHSAT_LOCUS635 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLASIYEHEGDDIFTDALHHCSVDSKPETSTSDSTLSHSKLISSEPHDPHFNLPSPSPATILRRRSIRLGNKQSSDSSTNTDSTNVTKRSFGNKPKYSNLKQDENFTAKPYSDEASILAIEENNEESTVTTSTNDTKHDDSVDSALQLGDSSSSFLELIVGLVIKALGFQIKLIFMFVTYPLLFMFRCCLFFMDPFGTTRICKNIFFGILCRVWNAMFGCIKPYVRKYFKGNESIWSVMFRFGWGFLLSIYVCCILIGLLVSSFVFSGFLMSCFVEKPIQMKEVLNFDYTKLSPVAFVPIISCDGVVGGKDSENNVQAGKLTTMGERVIPSKHKVQVTVSLRVPESGYNRNLGVFQARVDFLLSSGKKIASLSQPCMLRFTSEPIRLIMTFLKIAPLITGYTSETQILNVKMRGFIEGNIPTSCLKVTLEQRPEYQPGAGIPEIYDASLFVESELPFFKRIIWHWKMSIFIWIAMMSLFTELIFVLVFCRPIIIPRTRQRVAASARGPATLDSLQAQS >CAK8536141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911158482:911165117:1 gene:gene-LATHSAT_LOCUS5537 transcript:rna-LATHSAT_LOCUS5537 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSTALSFRENLWKGIFAVSGIMLTLVTYGILQEKIMRIPYGVEKEYFKYSLFLVFCNRIMTSAVSAGSLLASKKALDPVAPIYKYSLVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKRYKGPDYLLAFLVTLGCSVFILYPAGTDLSPYSRGRENTVWGVLLMGGYLGFDGFTSTFQDKMFRGYDMEIHNQIFYTTLCSCVLSLTGLIVQGQMILAVEFVYRHHDCFFDIVLLSTVATISQFFISYTIRTFGALTFATIMTTRQLVSIMLSCVWFSHPLSWEQCIGAVIVFGSLYAKSFWKKAPQKTTSPQKTTLPTSTVELVQNEESDNLKDNP >CAK8534676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754536138:754539503:-1 gene:gene-LATHSAT_LOCUS4199 transcript:rna-LATHSAT_LOCUS4199-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMEMQCAIKNNVTELIGNTPMVYLNKVVEGCVAQIAAKLESMEPCSSVKDRIALSMIEDAEDKGLITPGKTVLVESTSGNTGIGLAFIAALKGYKLVLAMPASMSLERRILLRAFGAELHITDPAKGFSGSDKKAEELGKEIPTAFMFRQAENPANPKIHYETTGPEIWRDSRGKVAALVAAVGTGGTITGAGKFLKERNPEIKVYGVEPAESAVLSGGQPGMHLIQGIGAGFVPDVLDVNLLDEIIPVTSEEAIETAKQLALKEGLLVGISSGAAAAAAIKLGKRPENSGKLIAVVFPSFGERYLSSELFESYRHEAEKMTFD >CAK8534675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754536138:754580596:-1 gene:gene-LATHSAT_LOCUS4199 transcript:rna-LATHSAT_LOCUS4199 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQCAIKNNVTELIGNTPMVYLNKVVEGCVAQIAAKLESMEPCSSVKDRIALSMIEDAEDKGLITPGKTVLVESTSGNTGIGLAFIAALKGYKLVLAMPASMSLERRILLRAFGAELHITDPAKGFSGSDKKAEELGKEIPTAFMFRQAENPANPKIHYETTGPEIWRDSRGKVAALVAAVGTGGTITGAGKFLKERNPEIKVYGVEPAESAVLSGGQPGMHLIQGIGAGFVPDVLDVNLLDEIIPVTSEEAIETAKQLALKEGLLVGISSGAAAAAAIKLGKRPENSGKLIAVVFPSFGERYLSSELFESYRHEAEKMTFD >CAK8534674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754536138:754580596:-1 gene:gene-LATHSAT_LOCUS4199 transcript:rna-LATHSAT_LOCUS4199-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQCAIKNNVTELIGNTPMVYLNKVVEGCVAQIAAKLESMEPCSSVKDRIALSMIEDAEDKGLITPGKTVLVETTSGNTGIGLAFIAALKGYRLVLAMPASMSLERRILLRAFGAELHITDPAKGFNGSHKKAEELEKEVPNAFMIRQFENPANPKIHYETTGPEIWRDSRGKVAALVAAVGTGGTITGAGKFLKERNPEIKVYGVEPAESAVLSGGQPGMHLIQGIGAGFVPDVLDVNLLDEIIPVTSEEAIETAKQLALKEGLLVGISSGAAAAAAIKLGKRPENSGKLIAVVFPSFGERYLSSELFESYRHEAEKMTFD >CAK8534780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766649018:766650706:1 gene:gene-LATHSAT_LOCUS4294 transcript:rna-LATHSAT_LOCUS4294 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLNTVSSISNLPLMPKRNSCSFLDTRVQTPNNRSFPFMKICFSRVLASTHEKICFSRDWQKDYRSREVRANDAFLHLEHMVGMGHKPKVAHVTQLLYDLCKFGKVRKSVRVMEILVSSGITPDAESYTYFVNYLCRRGNVGYAMQLVEMMEANGYTTNTVTYNAMVKGLCKHGKLNQSMQILDRLIKKGLVPNEVTYSILLEAAYKERGVREAMKLLDEIIAKGGKPTLVSYNVLLTGLCKEGRTEEAIKLFRELPAKGFEPSVVSHNILLRNLCNEGRWEEAYKLLAGMDREGKTPSAVTYNILIAYLSIDGKIEQAFQVLDEMTKGGFKVSASSYNPIIAHLCKDGKVDLVVECLDQMINRRFHLNPGTYNAIALLCEQGMVKEAFSIFDNLGKKQNYPIHIFFQNVISFLCNKRNTYSAFQILYEMTMHGFTPDSYTYSSLIKGLCREGMHDEALEIFGILEDYDYLPRVSNYNALILGFSKSQRVDLSIEILEMMVNKGCMPNEATYTIIVEGLAFEEEMDLAANLLKELHLKGVLSLSTVKRLSMQHNFKKLTG >CAK8566482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428334197:428334427:1 gene:gene-LATHSAT_LOCUS19609 transcript:rna-LATHSAT_LOCUS19609 gene_biotype:protein_coding transcript_biotype:protein_coding MNVENITREDVASHLQKYRLYLKRISCIANQEASIVPTLDTGEA >CAK8531846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:163491040:163491083:1 gene:gene-LATHSAT_LOCUS1610 transcript:rna-LATHSAT_LOCUS1610 gene_biotype:protein_coding transcript_biotype:protein_coding ELDDGDLDYGYDE >CAK8542724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541171125:541173512:-1 gene:gene-LATHSAT_LOCUS11538 transcript:rna-LATHSAT_LOCUS11538 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFVWRTRSSSSSSSSLLSHKYKRMHTTTTATIEWTKNANLRSMFGKYVDKTSVFSWNSVIADFARTGDSLQALYAFSSLRKLSLHPNRSSFPCTIKSCSSLSDLRAGKQTHQQAFVFGYTSDIFVASALIDMYSKCGHLNDARKLFDETPNRNVVSWTSMITGYVQNEYAREAVCLFKELLLVEESDYEEGVSVDSVLLGCVISACARVCLKSLTECVHGFVIKKGFKGCLPVGNTLMDAYAKCGEIGGSRKVFDGMEESDVCSWNSLIAIYARDGLSAEALSVFSDMVKRGEVRYNAVTLSAVLLACASSGALQIGKCIHDQVVKMELEDNVFVGTCIVDMYCKCGRVEMARKAFDRMKNKNVKSWSVMVAGYGMHGRGKEAMEVFYKMIRSGVKPNYITFVSVLAACSHAGLLKEGWSWFNRMKREFDVEPGIEHYSCVVDLLGRAGYLKEAYGLIQEMKVRPDFIVWGSLLGACRIHKNVELGEIAARELFELDPSNCGYYVLLSNIYADAGRWVDVERMRILMKNHGILKTPGYSIVEDKGRIHVFLVGDKEHPQHEKIYEYLDELNVKLQELGYMPNVTSVLHDVDEEEKGMVLRVHSEKLAVAFGIMNSVPGSVIHIIKNLRICGDCHIAIKLISKIVNREIVIRDSKRFHHFKDGVCSCGDYW >CAK8574737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7749026:7749481:-1 gene:gene-LATHSAT_LOCUS27052 transcript:rna-LATHSAT_LOCUS27052 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPQKRVNYKIRDKVEVCSKEEGFVGSYFKATIVSCLQNDKFVVRYENLVLDDASEDGASEPLEEIIYRRELRPLPPRVRNPPEFRFNQKVDVFDNDGWWLGEITSEKFRFENCYYHRVYFNTTDETICYPCDRIRVHHEWIYGEWILET >CAK8535043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806718758:806721634:-1 gene:gene-LATHSAT_LOCUS4526 transcript:rna-LATHSAT_LOCUS4526 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFTSPPRSRSRSRTTSNRTLFRFLFVAIAAILCTHAHARFISHDLLSDGSNFNVLRLPTDPAAEPACEQTYGFLPCTTTVLGNLFLIIVYGFLMYTAATFLSNGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSAEVAQSQVAVGMGLLAGSTVLLLTIIWGTCVIVGKCDIENSIALDSTDTRGFSLTGSGVSTDIWTSYAARIMVISVIPFVIVQLPQMLNSTSGRHLAVLIGLVISVCLLISYCLYQIFQPWIQKRKLEYIKHKHVILGLLKHLKMRSLGKLLKDNGEPNTDVIRKLFATIDENKDGHLTHGEMTALVVGIQFEEIDLDHDDAVTRIMADFDTSRNQLIDETEFVNGVSRWLQGARRSKVQGGDAGAHTVKFLSDFHTETKREHDLLDVGGQGDEATEEVENVGWISTKAGLLLLLGALIAAAFADPLVGAVDDFSTATNIPAFFISFIFLPLATNSSEAVSAIIFASRDKRQTASLTFSEIYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILIVCIVMGAFASFRTVFPLWTSILAILLYPFSLALVYVLDYVFGWS >CAK8534473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:730317129:730317926:-1 gene:gene-LATHSAT_LOCUS4017 transcript:rna-LATHSAT_LOCUS4017 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFQTLERDNLLKSQNSGIFCLFGTWSYSSNSDTQMRFGVVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNESYIQASEAQMVFYVDDENEQGWSIPVRLKPKDLYDMGGNDEIMSPIEPYPSQNLEQIFLNEDIGTSSANDNNN >CAK8533068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574288359:574290087:1 gene:gene-LATHSAT_LOCUS2719 transcript:rna-LATHSAT_LOCUS2719-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYNIIFTVALTHLNPFDKAQATINEESEDSATNGTLQEVELPRIASSGESSSRRQKRGMVLPFEPHSITFDEVVYSVDMPQEMKIQGVIEDRLVLLKGVSGAFRHGVLTALMGVSGAGKTTLMDVLAGRKTGCHIDGSIKISGYPKRQETFARISGYCEQNDIHSPHVTIHESLIYSAWLRLPSEVDYNTRKMFIEEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTIVCTIHQPSIDIFEAFDELFLMKRGGQEVYVGPLGRHSSQLIKYFESIEGVSKIKDGNNPATWMLEVMSSAQELTLGVDFNDTYKNSELFRRNKQLIEELGKPAYGSKDLHFSTQYSKSFSIQCLACLWKQHWSYWCNPPYTSVRFFFTTFIGLMFGTIFWDLGRK >CAK8533067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574288302:574290087:1 gene:gene-LATHSAT_LOCUS2719 transcript:rna-LATHSAT_LOCUS2719 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEFLEDSWIGVGALIGFMFLYNIIFTVALTHLNPFDKAQATINEESEDSATNGTLQEVELPRIASSGESSSRRQKRGMVLPFEPHSITFDEVVYSVDMPQEMKIQGVIEDRLVLLKGVSGAFRHGVLTALMGVSGAGKTTLMDVLAGRKTGCHIDGSIKISGYPKRQETFARISGYCEQNDIHSPHVTIHESLIYSAWLRLPSEVDYNTRKMFIEEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTIVCTIHQPSIDIFEAFDELFLMKRGGQEVYVGPLGRHSSQLIKYFESIEGVSKIKDGNNPATWMLEVMSSAQELTLGVDFNDTYKNSELFRRNKQLIEELGKPAYGSKDLHFSTQYSKSFSIQCLACLWKQHWSYWCNPPYTSVRFFFTTFIGLMFGTIFWDLGRK >CAK8544533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690815421:690821113:-1 gene:gene-LATHSAT_LOCUS13203 transcript:rna-LATHSAT_LOCUS13203 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFSSTSLSFSESSFSLPKPTHQCSNFHTITYASNSFRSVTSVGGSTFCRRFRGLKLWILDRLNFQPLKQPNCRNHFNNDMETEGSVSDSSHVPDADSKVTSSTESPSLLQTIPLTAPIDIGKEPSLCIAVIGATGELARGKIFPALFALYYSGFLPENVAIFGYSRKNITDEDLRSIIASTLTCRVDHQQDCGDKLEAFLDRTHYINGGYDNKHGMSLLKARMEQIEGRSKANRIFYLSVPQEALLDVASCLASSAQTQNGWNRIIIEKPFGFDALSSQRLSQYLLSKFEEKQLYRIDHLLGRNLIENLTVLRFANLVFEPLWSRTYIDNVQVILSEDLAVHPGRYFGGYGIIRDIVHSHILQTIALLAMEPPVSLDGEDIRNEKVKVLRSIRKLEPKDVILGQYKASSKDKVDKCLDGPTPTYFAAALYIDNARWDGVPFLVKTGLGLIKHQMEIRIQFRPVPGNVYHDCIGHNTDRATNELILRDVPDEAILVRVNNKVPGLGLQLDSSELNLLYKDKYNAEVPDSYEHLLLDVIDGDNHLFMRSDELAAAWNILTPIMNEIDKNNISVELYELGGRGPVGAYYLWAKQGVRWVES >CAK8576793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515518765:515519061:-1 gene:gene-LATHSAT_LOCUS28961 transcript:rna-LATHSAT_LOCUS28961 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQMKESSEQYLVIKPHLPNTMNQAKKVTKVVQNGKGPPLLSQDLLNQTSPPQGRNGGGRRGSRNGRKTDQVDVLMRPSCRPCTAVKSNENGHVQN >CAK8540982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38674920:38677008:1 gene:gene-LATHSAT_LOCUS9936 transcript:rna-LATHSAT_LOCUS9936 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHEASSVILKLMGLDKVASRYEPVRDRPKVLSEDYLQKVSSIGVRRKRSFRQYGSLEMSTYEKDGSDDVLMVVESLVRSDKNHSSSKGNGKENFSLFRTKVEDVPQETSVKSEQGNLLHLQKMDDGLRSVVHGKDGLCETFRFSKFQLDRKDLTFDSRFSVSKENPEKERNGKSKSNIRYKVGFGYSFSRKVPTTKRLADNRGTMTKDDLFQKYWGLSKNVSANRSTEKSEDMNIKLKKRCYGNDLFEKEPMLSQLSSPDTSPAFVDGQILQQTCLMNEDAKNNEDSNMSEKIVFSVDSSVDFLVSDVKTKVVGYSDNNPTTKQSESTACVMMHGDCDSLSHASKQQETLEFQEDSVYSLVSGADGDSVSNFHEGYEPSPISVLDSTFSEDISVISECGAAGVYDSSEVDDEELDLNVSSDEDCGKECIADFEEKRDIVGFSRTEESRDFSYVVEVLTEAGISNASLFRDFSTWHSAECPISPSIFDTLEKKFGEQQLWKRSERRLLFDRINLGLLDILHPHLYIPMWETPVSRRLNTELRPDMIEDEMWELLVSQEKKAGKESIDNMLGGEIRWIELGEDVEGFVREVVKVLIEELVDDIVRLENI >CAK8535134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816416189:816416489:1 gene:gene-LATHSAT_LOCUS4611 transcript:rna-LATHSAT_LOCUS4611 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSAAALSFLFLVLFVAQEIAVTEANTCETLSTKYEGPCYQSSGCYIACKNREHLVSGRCRDDHRCWCTKIC >CAK8568281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589657758:589660542:-1 gene:gene-LATHSAT_LOCUS21254 transcript:rna-LATHSAT_LOCUS21254 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSRDELLDSSDSEYEESENEASYEDANEGSSEGRVKTPSSIDDVDAKLKALKLKYSTKNPNPNNVKLYLHNSVDSKWEIYEKLTTYSFVKTCSVEGDSDEDEEDDDGEKGFWVLKVGSKIRSKVGAEMQLKTLVDQRRVDFIAKGVWAMRFFTEQHYEAFLVQFQNCTFENTYGFEAIDENKLKVYGKDFIGWANPEAADDSMWEDADDSFSKSPASATPVRASQDLTEEFEEAANGGIQSLALGALDNSFLVGENGIQVVKNFAHGIHGKGAFVNFGGGSASASKLVQSTPKKTLLMKAETSMLLMSPLNESKLRSTGLHQFDIETGKVVTEWKFGKDGTEITMRDITNDSKSSQLDPSGSTFLGLDDNRLCRWDMRDRHGIVQDLADSNSNMSTPVLNWAQGHQFSRGTNFQCFATTGDGSVVVGSLDGKIRLYSINSMRQAKTAFPGLGSPVTHVDVTFDGKWIVGTTDTYLVVICTLFTDKDGNSKTGFAGRMGNRIAAPRLLKLNPLDSHLAGVNNKFHSAQFSWVTENGRQERHIVATVGKFSVIWNFQQVKDGSHECYRSQQGLKSCYCYKIVLRDDSIVESRFMHDKFAVTDSPEAPLVIATPMKVSSFSLSNRR >CAK8530766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47974481:47974789:1 gene:gene-LATHSAT_LOCUS608 transcript:rna-LATHSAT_LOCUS608 gene_biotype:protein_coding transcript_biotype:protein_coding MARFYAMQVVLLLIIFGSICSSLEGRKLHIGSEKLNKKTVKPSSTDSLFLASLPKGTVPSSAPSKRGNSVEVDEKLVARHLISTEPEVRILLRSVPSPGAGH >CAK8539637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518988647:518993439:1 gene:gene-LATHSAT_LOCUS8715 transcript:rna-LATHSAT_LOCUS8715 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLQENFGGVKSKNSSEEALRRWRDVCGFVKNPKRRFRFTANLSKREEAAVMRRTYQEKLRVAVLVSKAAFQFIQGAKPSDYKVPEYVKDAGFQICGDELGSIVEGHDVKKLKYHGKIDGIAEKLSTSTTVGISNETDLLDKRQQIYGINKFTESQAKSFWIFVWEALQDMTLMILGVCALVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYELLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPVVVNSENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLMQGLVSAKLQQESFWSWNGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKTCICMKSKEVSNKTSSLCSELPESSVKLLLQSIFNNTGGEVVVNKQGKHEILGTPTETAILEFGLSLGGDFQGERQACKLVKVEPFNSTKKRMGVVVELPSGGLRAHCKGASEIVLAACDKVLNSNGDVVPLDEESINHLQTTINQFANEALRTLCLAYMELENGFSSEDTIPVTGFTCIGVVGIKDPVRPGVKESVALCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKSLEELLVLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFTSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNIMGQSLYQFMVIWFLQSKGKSIFSLDGPNSDLVLNTLIFNSFVFCQVFNEINSREMEKINVFKGILDNYVFVGVISATIFFQIIIVEYLGTFANTTPLTLVQWFFCLFVGFMGMPIAARLKKIPV >CAK8544359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679628979:679630214:1 gene:gene-LATHSAT_LOCUS13046 transcript:rna-LATHSAT_LOCUS13046 gene_biotype:protein_coding transcript_biotype:protein_coding MENMSNQNIFESVFVSVTFEEEVPIELHFIQQDAVDILDLYSKFVIERVGTRTRPCDLRLHLMKEISGMPTSLNSEESCPAVSPETTSESSSVSSSSDGTEAVDMVETFLA >CAK8562836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:546173330:546174640:-1 gene:gene-LATHSAT_LOCUS16301 transcript:rna-LATHSAT_LOCUS16301 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQMNEASPPSTPGKLKPEKPHHIHRFRIHSSHSRLTLFSSLFLAFILLIFLFTFTSPPPPTTAPRRVLGDSWGGSQWERLVSKSARRNSASGHTVLVTGAAGFVGTHVSLALKRRGDGVLGIDNFNRYYDPNLKQARKRLLERAGVFVVDGDINDGRLLKKLFDVVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEACKSANPQPAVVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHSYNHIYGLSITALRFFTVYGPWGRPDMAYFFFTKDILKGKVITVYESPDGGSVARDFTYIDDVVKGCLGALDTAKKSTGSGGKKKGSAQFRVFNLGNTAPVPVSELVAILEKLLKVKAKKKILPMPRNGDVKFTHANISLAHRDLGYIPTTDLETGLKKFVKWYLEFYSTGFYKKGSW >CAK8544606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695241834:695243403:1 gene:gene-LATHSAT_LOCUS13266 transcript:rna-LATHSAT_LOCUS13266 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHIAEKGKQGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTEAPASVSSYTIFHLVGDVIALIDLLGADQVFLVAHDWGAIIGWYVCLFRPERIKAYVCLSVPFLPRNPKIKPVDGMRALFGDDYYICRFQEPGKAEAEFARVSPDLVIKNMLTSRNSGPPILPKEGTVVPNPEASSTKPLPSWLSQEDINYYGSKFEKSGFTGGLNYYRNFNSNWELTAAWTGAQIKVPVKFITGDLDLVYVSMGTKQYIESGGFKRDVPNLEEVVIQKGVSHFNNQEAAEDVNNHIYEFIKKY >CAK8541849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414994097:414994523:-1 gene:gene-LATHSAT_LOCUS10741 transcript:rna-LATHSAT_LOCUS10741 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEELHLNEGTSKIAMNAPSIEGKEIIGNQHIAYNGAVTLTSLLTLTNHAASRNLSDEDNSSNAPSKRLSQENSIEEPANLTM >CAK8570754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:107585864:107586907:1 gene:gene-LATHSAT_LOCUS23482 transcript:rna-LATHSAT_LOCUS23482 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTVTSSFHESFTRSALRSYLAEFISTFFYVLIVVASGMSSRKLMPDASLNPTSLIVGAIANAFALSSVLYIAWDISGGHVNPAVTFAMAVGGHISVPTALFYWVAQLIASVIACLFLKVIVVGMHVPTYVIAEEMTGFGASILEGILTFVLVYTIYAARDTRRGQVSSTGTLVIGLIAGAGVLAAGPFSGGSMNPACAFGSASIAGTFRNQAVYWVGPLGGAAVAGLLYDNVLFPSQNSDSIRGGGVSNV >CAK8560563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25734143:25735734:1 gene:gene-LATHSAT_LOCUS14225 transcript:rna-LATHSAT_LOCUS14225 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMKPLLSDLASTLNHVPSNYIRPIDDRPDLQLLSSTNDSIPIIDLQGLDSSHRSETIQKIAHACQNYGFFQIVNHGVPEKVVDDMMNVSKEFFNMSETERMKSYSEDPLKTTRLSTSFNVKTEKVSNWRDFLRLHCHPLEDYVHEWPANPPSFRADVAEYSKQLRNLALKMLEAISESLGLEKDYINKALGKHGQHMAINYYPPCPEPELTYGLPAHADPNVITILLQSDVVGLQVLKDGKWVTINPVPNTFIVNIGDQIQVISNDRYKSVLHRALVNSEIERMSIPTFYCPSPDAIMKPAPQLIDNDHPAQYKEFEYNEYFKKFWNRGLSKETCVDMFKA >CAK8572589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550082290:550083537:-1 gene:gene-LATHSAT_LOCUS25142 transcript:rna-LATHSAT_LOCUS25142 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVTTVSYRFSIMGEYTDTLQAKRGIRQGDPLSPMLFVLMMEYMNRLLVKMPKDQNFNYHTKCERLQITNLTFADDVLLFCRGDDMSLQLMLNTFKKFSNSIGLIMNPNKCKLYYGGLDIVSREKLKDLSGFQAGTLPFKYLGIPVSSKKLTINHFLPLVDKIVARIHHWSSKLLSYAGRIQLVKSIAAATVQYWMQCLPLPKAVIRKIDSICRSFIWTGKDSISRKCLVAWNRTCCPTTQGGLNLLNLQVWNKVLLLKCLWNLCRKTNNLWVKWIHTHYLKDKSVMNYETKTHNSWIMRGILKHRDNMGEIRNDWDQIVNAQKFKVSVLYKVMIDDGTRVQWRNLVQFNKGRPRAGFCLWQACHGKLATKDRLKHFGMLEDTSCNLCHSEEETMNHLFFSCQVTSHIWMEVLD >CAK8533134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581902316:581904183:-1 gene:gene-LATHSAT_LOCUS2782 transcript:rna-LATHSAT_LOCUS2782 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSIQRKPNTDNNNMKVKVSFFGSKTEKIVVPSSPIKEQTKNGDFVLSPSKSTTNFINYGSKEEAFFDSKPWLDSDCEDDFYSVNGDFTPSRGNTPIHHAFATPDVNKTSSQNRVSPSPSESSPEKKKKLLELFKDSVKDNQDDDNKEKRQVKPTIQDVLPKSSHSTPYCSRANSTSSSERIASGDRVSVKEKSHKSLLFCIPSLSSCRSSRERRRKTSPAIAVEGKQ >CAK8544110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663736080:663737075:-1 gene:gene-LATHSAT_LOCUS12814 transcript:rna-LATHSAT_LOCUS12814 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVNKTTTTEAGGAATISAVHPDIIQTHILTCLDGPSLASAATTCPQFNTLSSYEHLWSNICTSTWPSTNTPRVRNVISTFPNMSRSFFSDSFSTVTAPASNRHRANLETTPEILSAVDLFHRKKLVLSKLVETETESGWFRCSPFRIDILDPKDSVETSMEYPREEEACKNLEEDLSLSWIVIDPREKRAVNVSSGKPVSVNRHWLTGDVEVRFSTVLHGGEKGSAKEATLCSLLVTLGKEMQVRETCFQLEDMDGNQMNGRDSLGILQRALESERERLRNGKERYVEFVKKKMERKERKMRRERKLDILCIALAALSVAAFSTLFLS >CAK8575791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:326212866:326216343:-1 gene:gene-LATHSAT_LOCUS28026 transcript:rna-LATHSAT_LOCUS28026 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPFQSTPLPLLESMQFPVLPPELPNSSSFWENRNVCDRVWELQDTLNLVKGMQKELEMLKMIRDREGSLEDLTHGSNESYVISFLKCLEDRGVSVETQETLAIETANALMLKLRAQLEPFRYVADEASPWEEKSAVARFTNKVHKSKRNQLWRKKKRKRIAEMRAKDHELFAQIDREADEWRAREIAKEIADNKVKKMKEIAKLKVKEEKKKLESELELFLVVEKLQELRSIRIQKLKKQGHFLPEEDDRFLERVQAAVEEEEREALAAAETDAAKDAIAIAEESRKAMQNQEKLSEGSNDESQIKEKKEQIVHSVTEERFDATDEKKSSKIASEEQNYRGAYDALANLPMEFYHYYHGSNNDMGTLIEVRRGWDAYIRSGGSCIPGHWVQPPPPANEIWASYLVRSK >CAK8567100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486905235:486909231:-1 gene:gene-LATHSAT_LOCUS20184 transcript:rna-LATHSAT_LOCUS20184 gene_biotype:protein_coding transcript_biotype:protein_coding MPEATPGSSGYLELYPERKISYFKNPYILGVTAAAGIGGLLFGYDTGVISGALLYIKEDFDDVRKSSFLQETIVSMALVGAIIGAATGGWINDVFGRKKATLSADVVFILGSVVMAAAPDAYILIFGRLLVGLGVGIASVTAPVYIAESSPSEIRGSLVSTNVLMITGGQFLSYLINLAFTEVPGTWRWMLGVAGLPAVIQFSVMLFLPESPKWLFLKNRKDEAISVLSNIYTYERLEDEVNYLTDVSEKELQKRKNIRYMDVFRSAEIRNAFLVGAGLQAFQQFTGISIVMYYSPTIIQMAGFNSNQLALLLSLVVAGTNAAGTILGIYLIDHAGRRKLALSSLSGATIALAILSAGSYLQSSDSSNRIYGWIAIIGLALYILFFAPGMGPVPWTVNSEIYPEEFRGVCGGMSATVNWICSVIMSESFLSVSDSVGLGGSFVILGVICVVAFFFVLFFVPETKGLTFEEVSLLWKKRARGKDYDAQTLLERGSQF >CAK8569327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694579653:694581851:-1 gene:gene-LATHSAT_LOCUS22189 transcript:rna-LATHSAT_LOCUS22189 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRTSIITYLHRFHQYHFPSATTAATFSTTPRPFPDYSPRNPSVTDTDLIRHVTTTIKQCRLQPFRRVLKPYESRFKPSHLIWVLINIKDDYQLVLNLFNWVKSRSQQQLHPTLESLCIVVHIAVASNNIQTAKRLVFEFWATPRLDVSKSFDVFSERLIYTYKDWGSHPLVFDVFFQVLVETGFVLQAEKLFHKLLGYGVIVSVDSCNLFLSRLSCNFEGIKIAVKVFEEFPELGVCWNTVSYNIVLHCLCQLGKVKEAHNLLVQMEHRGNFPDVVSYGVVTSGYCKIGELDKVLKLVDELKRKGLKPNEYIFNNIIVLLCKNGQVVEAEQVMREMRKCGVFPDNVVYTTLISGFCKSDNFSVACKLFDEMRHKKIVPDLVTYTSVIHGICKTGKMVEARKMFNEMFVKGLEPDEVTYTALMDGYCKAGEMNEAFSIHNQMVQKGLTPNVVTYTALVDGLCKNGEIDVANELLHEMSRKGLQPNVCTYNTIVNGLCKIGNIAQAVKLMEEMDLAGFYPDTITYTTLIDAYCKMGEMAKAHELLRVMLDKGLHPTIVTFNVLMNGFCMSGMLEEGERLIKWMLEKGIMPNATTFNSLMKQYCISNNMRKTTEIYKSMHARGVMPDSNTYNILIKGHCKARNMKEAGYLHKEMVEKGFSVTAASYNALIRGFYKRKKFLEARKLFEEMRTHGLVAEKDLYDIFVDVNYKEGNWEITLELCDEAIEKCLVKET >CAK8537148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:109318295:109332871:1 gene:gene-LATHSAT_LOCUS6459 transcript:rna-LATHSAT_LOCUS6459-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGSLQLSHGLGLCRNMNLFSNKDMRVTGRCKLQLFNEYPSYSVLFMKQDYIGLRHLRHVNKSTHRLSCKSRSFKCRCFLVPGQQTVLPSVKVATKVLTRCCNVLQNSQVIVKLIPAIGIIIFAVWGVGPLMFQTRKHFFQRSDSSWKKSTTHYIVISYLRPLLLWTGAILICRSFEPVILPTETSQAVKERLLSFVKSLSTVVTFAYCLSSAIQQAQKLVTENADASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQRWVTAGGFGTVLLTLAGREIFTNFLSSVMIHATRPFVINEWIQTKIDGCEVSGTVEHVGWWSPTVIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQKLHRRVFLDNINPENQALMETILLDLLRVISHHRARLATPVRTLLKVYSDADLDNIPYADSGTASNRPLLVIDSPYKNNVDDKTKSPSTRATVDQDNKTTLQTKHDAKTDKVGPIGAPDTKVRETQDISEVDAKVMASNSDANGNFKPDSEVGEHKPLKSILNKENVEVPEMSSNSNSKVTGLELDYSSQKDIHVKQSKGQTIKNIKPKVDSDSLVSSSTNNTDKGNGNVPTKQGERKPAASRPVLEENIVLGVALEGSKRTLPINEGIDTVTTQENKEMASFQGGNGSPKPSDGIVN >CAK8537147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:109318295:109332871:1 gene:gene-LATHSAT_LOCUS6459 transcript:rna-LATHSAT_LOCUS6459 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGSLQLSHGLGLCRNMNLFSNKDMRVTGRCKLQLFNEYPSYSVLFMKQDYIGLRHLRHVNKSTHRLSCKSRSFKCRCFLVPGQQTVLPSVKVATKVLTRCCNVLQNSQVIVKLIPAIGIIIFAVWGVGPLMFQTRKHFFQRSDSSWKKSTTHYIVISYLRPLLLWTGAILICRSFEPVILPTETSQAVKERLLSFVKSLSTVVTFAYCLSSAIQQAQKLVTENADASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQRWVTAGGFGTVLLTLAGREIFTNFLSSVMIHATRPFVINEWIQTKIDGCEVSGTVEHVGWWSPTVIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQKLHRRVFLDNINPENQALMILISCFVKTSHFEEYLCVKETILLDLLRVISHHRARLATPVRTLLKVYSDADLDNIPYADSGTASNRPLLVIDSPYKNNVDDKTKSPSTRATVDQDNKTTLQTKHDAKTDKVGPIGAPDTKVRETQDISEVDAKVMASNSDANGNFKPDSEVGEHKPLKSILNKENVEVPEMSSNSNSKVTGLELDYSSQKDIHVKQSKGQTIKNIKPKVDSDSLVSSSTNNTDKGNGNVPTKQGERKPAASRPVLEENIVLGVALEGSKRTLPINEGIDTVTTQENKEMASFQGGNGSPKPSDGIVN >CAK8532875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:541165457:541165990:1 gene:gene-LATHSAT_LOCUS2537 transcript:rna-LATHSAT_LOCUS2537 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKVVFYSKGCFVKDPNIRYEGEEVYVFNGQDRDYWSFFEACDLVKVIDPEFDLSYVKMWWKHDEGSIEQYLKPFRDDENAFELAMYVVGNKCEVEIFCEPKVIREETFIDRFLEKGKGMKCDEDCDRLSETSSDSSDESLRGVHFNDSEEERVKGFEERLNEVFDKGLDGKPKN >CAK8531818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:157096297:157099433:-1 gene:gene-LATHSAT_LOCUS1583 transcript:rna-LATHSAT_LOCUS1583 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLSGVKRERLRIHEICLKNGPNLGTVASEVRLLCDLEQTEPSWTVRHVGGAMRGAGADQISVLVRTIVESKVSKNVLRMFYTLGYKLDNELLRVGFSFRFNYRVANVSVARITVTVSSVNKMMKLHATDEAVPITPGIQIVQVTAPATAENYTDVAAGMQSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTPLQ >CAK8569659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7441475:7443271:1 gene:gene-LATHSAT_LOCUS22487 transcript:rna-LATHSAT_LOCUS22487 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTFLTFLFLFFLSFLHHPTSASNLYKIKQLNSDFLSQSTISDDSHTPQSPTKYFEVTKPIELPKTKPCSYHILQHDFGHTYGNPPVFANYTTPSHCSSKNFSKIVLEWKATCEGRQFDRIFGVWLSGVELLRSCTAEPRATGIVWSVEKDITRYHSLLFNHHQQNQTLAVFLRNVVDQTYTGIYHVDITIHFYPFHGNTHETKKLNPLAFTSDSHADLILPISRNHPLNDGLWFNIQNSTDVSLKEFSVPQNTYRAVLEVYVSFHENDEFWYSNPPNEYLSANNVTNSPGNGPFREILVTLDDKVVGSVWPFTVIYTGGVNPLLWRPITAIGSFDLPSYDIEITPFLGEILDGKSHLIGFKVTNALNVWYIDANLHLWLDAKSVRTEGALMNHIDKPLVESIVSEFNGLNGTFLTSAKKSILSSGWIRSSFGNITTSFVQDFSYYSSMIFRRNGEKQIVNQTILFKDAVRVKLPSSHRDLVDDSNRKFSLYLDSDQLAQDNGGYIVVSNVTLGFDVNKAKSEDSVFWKSYLKNVLNGRGKMVVENNSVVSGVGETQQDYRYESNEGCYFRNVGSSNYTILYDKVKNSCNKRNHSPF >CAK8561890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404988787:404989797:1 gene:gene-LATHSAT_LOCUS15440 transcript:rna-LATHSAT_LOCUS15440 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVANQFSLLEFFGNFVERVKWVGVLIVSVVIGNIFSAILTFCFAFVGTFLGAMTGAFIGQETESGFIRGAAVGAMSGAVFSIEVFEYSLDLWRSNESGIDCLLYLIDVITSLISGRLLRERIGPAMLSAVQGEIGDDEMTLYEFQNFYDIDDTRGLPVVLVEKIPTISITSNENFDASGDRVSCSVCLQDLQVGESVRRLPHCHHMFHLPCIDKWLIKHGSCPLCRRDV >CAK8531273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95191739:95194338:-1 gene:gene-LATHSAT_LOCUS1077 transcript:rna-LATHSAT_LOCUS1077 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVAIEEVPHVQPEAVVYEIDHLQNQLTEKVNELATCKDEIKALRAIEAQKDKAIEELRNEVSKLDERLRLSENHLKHKNLEIKKLTDEKKDALAAQYAAEAALRRLHTDQKEDDFFPFESIITPLEAEIKMYRTKIAELHEDKKALERITKSKELALLEAERILRSALERALIVEEVQNENFDLRRQIEICQEENKILEKSHRQKIVEVEKLSQTIHELEELILSNGANANVIRDYERQISELQDEKRTLERELARVKVSANRIATAAANEWRDEQDKVMPVRQWLEERRIMQAEMQRLKEKLAISERTAKAESQLKDKLKLRLKTLEEGLKKFSINSNAFSGSPTGDKSNILSFVTNNGGLRNRSTSQPRGSTVGSTLFQKSNIKGNMDSVSGNRKPASVAKSKYGSTENVFKNGIWASRNKFSDSGEKENEMQMNKGSVKTSVDVDEDLKSNSCNDLGSNDVVSGFLYDKLQKEVINLRKSCETKDSSLNTKDEEIKMLTKKVDALTKAMEVEWKKMKREAAAREKELALIKSDDKRKNRSSNFSKRMMKEH >CAK8531274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95191739:95194332:-1 gene:gene-LATHSAT_LOCUS1077 transcript:rna-LATHSAT_LOCUS1077-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEEVPHVQPEAVVYEIDHLQNQLTEKVNELATCKDEIKALRAIEAQKDKAIEELRNEVSKLDERLRLSENHLKHKNLEIKKLTDEKKDALAAQYAAEAALRRLHTDQKEDDFFPFESIITPLEAEIKMYRTKIAELHEDKKALERITKSKELALLEAERILRSALERALIVEEVQNENFDLRRQIEICQEENKILEKSHRQKIVEVEKLSQTIHELEELILSNGANANVIRDYERQISELQDEKRTLERELARVKVSANRIATAAANEWRDEQDKVMPVRQWLEERRIMQAEMQRLKEKLAISERTAKAESQLKDKLKLRLKTLEEGLKKFSINSNAFSGSPTGDKSNILSFVTNNGGLRNRSTSQPRGSTVGSTLFQKSNIKGNMDSVSGNRKPASVAKSKYGSTENVFKNGIWASRNKFSDSGEKENEMQMNKGSVKTSVDVDEDLKSNSCNDLGSNDVVSGFLYDKLQKEVINLRKSCETKDSSLNTKDEEIKMLTKKVDALTKAMEVEWKKMKREAAAREKELALIKSDDKRKNRSSNFSKRMMKEH >CAK8560098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7512607:7516113:1 gene:gene-LATHSAT_LOCUS13810 transcript:rna-LATHSAT_LOCUS13810 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAKRLHSLRLTPFLSRGSHQLRTPRPDAASHSRRRHKSPPLPLKKAEERSEWWIVDGEMHEIGDNVPPRERFVIPRENIPNKRRKQLREQFMRRTRLVLKESEHDPWCKRYMELYNELRENWERLYWDEGYSNKLARDHANYESAEDDDEDFSPYRNRRPQMEYNKDQNFGRNRQSDSWDKVSLIRDKFEYDRERRMKEKAFAPIHGGFVADSNESEGWNQPLNTDRYFSQTERYQEEENK >CAK8570590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64091829:64092161:1 gene:gene-LATHSAT_LOCUS23327 transcript:rna-LATHSAT_LOCUS23327 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDLPVVGNRFTWFSSSAKCKSILDRFLFSKGLIKEWNLDVQYVGDRYVSDHMPIWVKIYNSNWGPKPFKVFRCWFDHLNFMDFVRRERNSFQASRKACLNKNQDRSG >CAK8565064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32423801:32425873:1 gene:gene-LATHSAT_LOCUS18304 transcript:rna-LATHSAT_LOCUS18304 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQQGGGGKSPQCSWSVLPEEEADQIVNSGGGEVALKKGIEADQTVNSGGGEVALKKGIEADQTVNSGGGEVALKKGIEADQTVNAGGGEVALKKGIEADQTVNVGEGEVALKKGIEADQTVNAGGGEVALKKGIEADQTVNALKKGSTSTRKRKVSSLSSGSDVGKRSKLSRSILPEEEADQRGEVALKKGPWTKEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGSFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIMSKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSDAVPDVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMTFEPPSFQNSQTQQPTWTDMDVPPLPSFEYVDTPVQAPPIESCPPVPNSLDWDRIIDATELPSVKYVDTPVQPPPIESCPPVADSPDCCHLIDPIDYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8560791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:43054216:43057696:-1 gene:gene-LATHSAT_LOCUS14439 transcript:rna-LATHSAT_LOCUS14439 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRFSHSSIEGYFNFQNVQNYHHHPNRVLNLARVSSFSIDLSSRCYSKGKLASVSGERGFKAVFDSRFSRVCFRRSVELSNVALMSRVLDCRMYSSSLGGKGSGDGATEVAASDNGGGDSVVSGDLVERVKDMWKTVAETASYVGEMIKETSDGGGGDVLSGLFMQFSKPFSIGDTIKAGSIEGQVLEIGLTSTSLLSPEKLPVIVPNSFFSNQVIVNKSRVDFLAIITKIPLQIEDLSKIPQISNDVKSMLTSNAKVFLGKDVPYCFLSRIESSFAELTLGYNLKHMRKHENYAAQQDILLQAVQVIKNNGASLGSTWNGTK >CAK8560792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:43054216:43057438:-1 gene:gene-LATHSAT_LOCUS14439 transcript:rna-LATHSAT_LOCUS14439-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLDCRMYSSSLGGKGSGDGATEVAASDNGGGDSVVSGDLVERVKDMWKTVAETASYVGEMIKETSDGGGGDVLSGLFMQFSKPFSIGDTIKAGSIEGQVLEIGLTSTSLLSPEKLPVIVPNSFFSNQVIVNKSRVDFLAIITKIPLQIEDLSKIPQISNDVKSMLTSNAKVFLGKDVPYCFLSRIESSFAELTLGYNLKHMRKHENYAAQQDILLQAVQVIKNNGASLGSTWNGTK >CAK8570711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92982653:92983603:-1 gene:gene-LATHSAT_LOCUS23444 transcript:rna-LATHSAT_LOCUS23444 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHHRPEASIFERYITKEVVEFYTNYLSDANSIGIPKSRHTDIDERGIQGINVKSMAQDVVIQAYFYIMNNIDEVQPFIDTHKIFLREKYPRMSDKLLLIEHNKRFIDWFNKSVSNDRSASEILKWLLYEPKFCVITWTAYDIGHYTFYIKSKDDRSTMQNSGVMVEDESMYFSSSKDKNIVLATTTFYGVIDEIWEINHVIFKVALFKCKWIPNNRNGVHIDDLGFTQVDLGKTSLMTEPFIVASQANQVFYATDPSDISEKWSIILQRKHIPLSDESLDIPSTPSYTTQVTTSYGEVDGDVVHAIRIDHEEGI >CAK8538976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500748865:500756300:-1 gene:gene-LATHSAT_LOCUS8119 transcript:rna-LATHSAT_LOCUS8119 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRPSSDQILHRLSSSEYEIKLKAIREVKNQIIGNRTKKLSFIKLGVVPSVALALAEANADSDSGANLIVQSAAVLGSFACGVDEGVHAVLDAGAFPHLIRLLSVADEKVVDAAARSLRMIYQSKLAPKFDFHKEENMDFLLSLLRSENENLTGLGAGIVIHSCQTRDEQNILSDAGSLEKLISGLDGSINQRDASLESIATILKKNPAAVAKFAELQNGSALRSVIELTKDRHSRTRLLACLCLICIKNSSSRYLQDIAIKTKLIYILLELLDDSGQVGEEASFAFSSLVAGKEDLQKLAFEANAIDKFYNHLQNCDLHPKRLEGIFLALADLCSKLECCRARFMSLQVLNLLINALTHDEANVRTAACICLKTVSRSIKNLSAGYFMNERIVLPLVRLLSDLCTSVQVAALGAISNIVVDFTPNKSTFIQCGGIKELVHLTKSMDSSLRLNAVWSLRNMVFLADKMCKEAIFMELTASSVASLICDPEPCVQHQALALVRNFVDGCMDCVEFAFAENGIILDAVERQLKKSSRVEIIIQGMYAVSNIASGNEFHKQAIMRLLFPEGESGSHSFLSQYLHNNDSRLRTSAAWIIVNLTAPASPGAIGRIAKLRSFGIVSQIKRMASDPCMDVKLRARTALGQIISFGDS >CAK8568272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588968181:588969008:1 gene:gene-LATHSAT_LOCUS21246 transcript:rna-LATHSAT_LOCUS21246 gene_biotype:protein_coding transcript_biotype:protein_coding METQQHQNTIEKNNHHPSSSSPSSSPTHEFSFTISLHSNSSTTIHDKSKPSPQSLALDLSPADDIFFHGHLLPLHLLSHFPSSPRLSTNSNDSFTLPITENEKFRKDTGSCNTSHREFHSTNNIITGITKQEIKSSSTNTKSNSFSLFGLAKGNNKEKPQQNNNKKKLIGYDMIQALKKYFFRKREKNRFHGEAYSRSGNLMRKNKPQVRGSRGEYSAPASMRTSPTNSGLLLAKGAALSSSSSTNDSTMEELHAAIQAAIAHCKNSIAKEDKIN >CAK8536678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6916667:6917548:1 gene:gene-LATHSAT_LOCUS6016 transcript:rna-LATHSAT_LOCUS6016 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVTSISSLVHLYSISYMSEDPHSPRFMCYLSILTFFMPMLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARASAPINSWISCNMRLNAITLICILLLIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITSAGATTSFLAATTEILQNDLKRVIAYSTCSQLGYMIFACGISNYSVNVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGEDQALLLE >CAK8537184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124907116:124910025:-1 gene:gene-LATHSAT_LOCUS6493 transcript:rna-LATHSAT_LOCUS6493 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKSSLGRPSGTDGSDYSYRMVVDSRYQLVAKGKNRLSVLFIIEALFLLIGVVFAVLPGKKDDTTNIVAISSVIASVILLIIGDLGRRRSRSSLLRLYAILSSLALLLFTASLAHEYSLLKIIQYYRNRGTSSFDVDFLALQIGLLVYILTLSVFKIIIIKAVVFLLFNMTPPKKTS >CAK8567378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509747442:509752346:-1 gene:gene-LATHSAT_LOCUS20438 transcript:rna-LATHSAT_LOCUS20438 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRNSLILFLLTVTVQVGDFAAHIPSAAYDRVLFSDRRCCDHESNKELTIHPCKNGTMRGTKETNCEVWSDACSEAVMSVATLPETVEWLKTVRRKIHENPELAFQEIETSRLIREELDLMEVSYRYPLAKTGIRAWIGTGGPPFVAVRADMDALPIQEGVEWEYKSKVAGKMHACGHDAHVAMLIGAAKILKTREHLLKGTVILLFQPAEEAGNGAKRMIQDGALENVEAIFAVHVSHEHPTAIIGSRPGPLLAGCGFFRAVISVKRAFAANPRYSADPLLAASAAVISIQGIVSRESNPMDSQVVSVTSFNGGNSHDTIPDEVVIGGTFRAFSNTSFYQLLQRIEQVIVEQASVYRCFAEVDFFEKEYNIYPPMINDDQMYEHVKKVSIDLLGRKNFRVVPPMMGAEDFSFYSQVVPSAFFYIGIRNETLGSTHTGHSPHFMIDEDALPIGAAVHATIAERYLIEHG >CAK8567473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516432752:516433606:1 gene:gene-LATHSAT_LOCUS20521 transcript:rna-LATHSAT_LOCUS20521 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDDRLCLERVSQHAYVRCKQSQTTVIQANTAEAQPTGHSSSSHIQDTASTLYPTPSSTSSSRRRQNSVEDSSQTPSSCRPRRDTLVPHSSDGVAPVPPPEADDPPAENVGYDNDDEPEGFNGGPSDTSLLTGYADHTARHVWDGETREPQKFYNHGRKILSLEQPHEAWFQDVLVVSGLKDLCDIGYNLIHNGILMGFAERWHPETFSFHLPHNELTITLDDISYLLHIPIKGTLLREEGGDKRDVDR >CAK8573694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631034903:631035295:-1 gene:gene-LATHSAT_LOCUS26105 transcript:rna-LATHSAT_LOCUS26105 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYEAYLSGPVQYRWMYPFEQFMGDSKRSVKNKTRVEGSICAHYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSVFGRLGCPSGKTSVHWLSEKEMQYANVHVLINCVEVKPYLE >CAK8579512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705130950:705132920:1 gene:gene-LATHSAT_LOCUS31454 transcript:rna-LATHSAT_LOCUS31454 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYFHELCDMGNKRLLCLLAALGVNYLLFQSILVPYGNGRAPWSSDSSAFNSMMKNANIPIIEDEKSSLVFDGVSKLGLDRNGFHNVGKNNSLELDNVGSKKSFMAVLAKNSKVDFPVKRFSETERGVSTISRVVKSTTVNVTARKSKSNMPPKSRMLIQEMNHLLQRRRASSRAMRPRWSSKLDMEILAARSEIEHAPIVTRDAELYAPLFRNHSMFKRSYELMERTLKVYIYMEGKKPIFHQPILKGLYASEGWFMMLMEENKQFVVKDPAKAHLFYMPFSSRMLEFSVYVRNSHNRTNLRRYLNDYTDTISAKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNSDVTQGFKIGRDVALPETMVRSVRNPQRDIGGKPPQQRSILAFYAGNMHGYLRPILLKHWKDKDPDMKILGPMPHGVANKMSYIQHMKNSKYCICPKGYEVNSPRVVEAFFYECVPVIISDNFVPPFFEVLNWDAFSLILAEKDIPNLKQILLSVPEEKYLKLQLGVRKVQKHFLWHTKPLKYDLFHMTLHSIWYNRVFQINVRK >CAK8532015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191529847:191530229:1 gene:gene-LATHSAT_LOCUS1760 transcript:rna-LATHSAT_LOCUS1760 gene_biotype:protein_coding transcript_biotype:protein_coding MCIWCYLINIIITRLFVLPLIGLFIVKAAANFGLLPVDPLFQYTLVMQYAMPPATNISTLAQLFDVGNEECSVILLCTYSAAAITLTAWSTFLLWLFS >CAK8539406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512027376:512028330:-1 gene:gene-LATHSAT_LOCUS8506 transcript:rna-LATHSAT_LOCUS8506 gene_biotype:protein_coding transcript_biotype:protein_coding MASILQARSFMLIAYAMVFTFMGEPTVAVVTFRPSKWSLAHATFYGDETASETMGGACGYGNLFSNGYGTDTVALSSTLFNNGYACGSCFQIKCYQASACNKNVAFTTVTATNLCPPNWSKPSNNGGWCNPPRVHFDMAKPAFMKIAQWKAGIVPVMYRRVPCQRKGGVRFSFQGNGYWLLVYVMNVGGGGDISSMMVKGSRTGWIKMSHNWGASYQAFATLGGQALSFRITSYTTKETIIAWNVVPSNWNVGLTYSASTNFH >CAK8535371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844803335:844806582:1 gene:gene-LATHSAT_LOCUS4836 transcript:rna-LATHSAT_LOCUS4836 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLFTNMNRIDTLLNAPNTNTKHNKRWHNAFITIYCSRAFTKKPKITPTASFTVIDFNSPHSFTIDQQTLIDIVKDKNIETLHTHSGGVQGIASSLKTNTDFGINDGFENIALRKQVFGSNTYNKPPSRTFFHFVLEAFKDVTILILLVCATLSLAFGIKEHGIKEGWYDGGSIFLAVFIVISMSSISNFKQNKQFDKLSQVSSDIQIDLVRSGRRQKVSIFEIVVGDIVCLKIGDQVPADGLFVDGHSLRVDESSMTGESDHVEISKHHHPFLFSGTKVADGYGKMLVTSVGMNTTWGQMMSSISNDNDEETPLQARLNKLTSSIGKGGLAVAFLVLVVLLIRYFTGNTETDNGVREFNGRKTSFDEVMNAVIGIISDAVTIVVVAIPEGLPLAVTLTLAYSMKKMMADQAMVRKLSACETMGSATTICTDKTGTLTLNQMKVTKFWLGLEPLEEGAYSNVDPFVLQLIKEGVAHNTTGGVQESKADSDSKFEFSGSPTEKAILSWAVLELNMEMETLTKSCSILQVETFNSKKKRSGVLLRRNIDNKVNAHWKGAAEMVLRMCSKYYDSYGVVKYLDKETISKFESIIQGMAASSLRCIAFAYAEVDAQGLGDDGENSEIVVKDNGLTLLGLVGIKDPCRPGVKTAVEACQHAGVNVKMITGDNIFTAKAIAFECGILQANQDTDETVVEGEQFRNFTHEERLEKVEKICVMARSSPFDKLLMVQCLKEKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASIVTVLNWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMDQKPVGRTKPLITNIMWRNLLSQAIYQIIVLLTLQFKGETIFGVTSKVNDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIFRSKLFMGIVGVTLVLQVVMVEFLKKFADTERLNWREWIICVGLASASWPIGFVVKLIPVSDKPLLDFLSLKKRL >CAK8568701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633139789:633142191:-1 gene:gene-LATHSAT_LOCUS21633 transcript:rna-LATHSAT_LOCUS21633 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGSLVINNTNVFAALDTLKKKKKSDKERKSKGSKSVKLESESDSKVFWAVAPLNATSWADVDDDDDYYATTAPPQSVWSVSDPQHSEDKHDNFEETESEEDILDEGDEEEEEQDHEPEPEHDVKPEHELKKHAEVPVVPKEAERQLSKKERKKKELEELEALLADFGVAPKESNDGQGQEESQGASQDKKGVDGDVDGEKKEIVAESKNAKKKKKKDKASKEAKESNGRPNSSETNNRPDMATGTENAEEDPSVVDVKERLKKVASMKKKKSSKEMDGAARAAAQEAAARNARLAAAKKKEKNHYNQQPVR >CAK8530120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1693063:1699775:1 gene:gene-LATHSAT_LOCUS13 transcript:rna-LATHSAT_LOCUS13 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKEKLDSEMVSRKEKRKALKKIKRKERRKEIAEMERLEEEARLNDPEQQRKRMLLEQQEAERIERDRIAFEEREKAWIIKQQEAQIELQLFQQQQSQQQQDDDTDNNHDVVEEAAEEDEDGPPEIIWLGNEIIIKKKKPNLLLLNHREHDHDAAGTDNNRPTSNPFPPESPSQAGSNSALSENVAQQIPNFGTELDKAHCPFHLKTGVCRFGDRCSRVHFYPDKSCTFVIKNMYNGPGLAWEQDEGLEYTDEEIQRCFEEFYEDVHTEFLKFGEIVNFKVCRNGSFHLRGNVYVQYKLLDSALLAYNSINGRYFAGKQVRCKFVNLTRWKVAICGEYKKSRYETCSRGTACNFIHCFRNPGGDYEWADSDRPPPKFWVNEMVALFGHSDDYEISRIHDKSSALKHSSDVSVTDYDRYHSRRPKSREINQSNGGRSDRRKHEDERKQITHVEEWNTHFKDNHKRKRRNRTPDIDSDMEWLEEVDREKYHEHRRKSSFNLNKDDSSRRHEEYSEVDRATVNRDHEKRHDRKGRSSRMSDWDSRDCIYESGSDEDSDRRRHRSSQRKGSRHRSRDSNNVTDEPDKNRGHEDYFDVDWDTMTRDNEKKYGGKGMNSQKQNKDSRRHQVYEAGSDEDRHRKRHRSRQKKESRDISMDNSCVIDESDRYRRDGNTT >CAK8539859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529543274:529544724:1 gene:gene-LATHSAT_LOCUS8919 transcript:rna-LATHSAT_LOCUS8919 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTIAKSSLRRLCPNIDKEDGLETVLEIPIPEEMFSNMGSTVTLRWQNMLTWMKAQTEDRLSSPTVCARLNELRFLLYLVGSPLIPLQVQLGHSIHRPVRDCSIEASTAKYIVQQYIAATGGQPALNVVESMCVMGQIKITASDFHHTSETGDVKKTSEENGGFVLWQKDPDLWCLELVVSGCKVCCGSNGKVSWRHSSNQQTPVSKGAPRPLRRFLQGLDPRATANLFLDAACIGEKIINEEECFILKLETSPAIREAQSGPNFEVIHHTIWGYFSQRSGLLVQFEDSRLLTMRTKDDTDIFWETSLESVIDDYRYVDGINVSHSGITRVTVSRYGEQSANHKRELEERWKIEEVDFNIWGLTAESFLPPSNLGKT >CAK8560162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9314463:9316288:-1 gene:gene-LATHSAT_LOCUS13865 transcript:rna-LATHSAT_LOCUS13865 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKVNEKLLEDLQVMGFPHARATRALYYSGNTSLEDAIAWMVDHENDTDIDEFPLVDVDIDIDTSPSFPITEEMRMKAYSLREQERKRKRNEETIMEREREKERIQDGKKLLEAKRIAEENERKRNLALRKAEKEEEQRARGNILKKLEQDKLNRRSKHGLPLESQENVRSTAIVIKHDKIPRPVYSSTKVEHLRECLRSLKREHKGENARVRRAFETLLVYIGNVVKNPNEEKFRKIRLSNPLFQDRVGSLNGGMEFLELCGFERTDDFLYLPSEKIDMGLLSSAGFVLNSAITNPFFGLLST >CAK8573781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636488415:636491016:1 gene:gene-LATHSAT_LOCUS26187 transcript:rna-LATHSAT_LOCUS26187 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFKSSTTTTTTQLILLASLLTALPFPFEASPIHACTDTSYYKPNSIFQTALKTLLSSLISNSTLHNGFYTVHIPLFNSPNDLKGLFLCRADITPSICQRCVTAAANNITNLCNNQTESIIWYDECLLRYSNNSFLNQMVPATDMESDESVPHTQVSQFSDFLANLLKDLAYEAVNSPSGQKFSTKEENFLGSMKVYSLAQCTPDLSVFDCNTCLQGAISSIGNCCDGKRGARSLLPSCNIRYEFYSFYNVSAVSTRPQLPLPSSGKSNVAMIIGIVVAIVVAVALLIIGCYFLRKRARRKYKYNSTFIQGSSSIRREDLTDIECLQFDFATIEAATNGFSDENKIGQGGFGVVYKGILSDGHEIAVKRLSVTSLQGAIEFKNEASLVAKLQHRNLVRMFGFCLEGREKILVYEYIPNKSLDHFLFDPAKQRELDWSKRYKIIVGIARGILYLHEDSQLRIIHRDLKASNVLLDENMNPKISDFGMARIFQGDQTQVNTGRIVGTYGYMSPEYAMRGQFSVKSDVFSFGVLVLEIVSGKKNTDSNQWNDADDDLLSYAWKKWSEQTPLELLDPTLRDSYSRNEVMRCIHIGLLCIQESPHDRPSMETVALMLNSYSVTLSLPRQPPSFLRGRSPGFNSGQSTTGSIPWSVNDVSITEVHPR >CAK8562556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509149226:509150332:-1 gene:gene-LATHSAT_LOCUS16044 transcript:rna-LATHSAT_LOCUS16044 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKIFMDYMNDDMDEELVRLFMEEEASSSRRPRRQRRNIERNHEEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTVVIRMLAYGTSAGSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFSGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEAVASQDLSIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYTINRTEYNKGYYLSDGIYPEWATFVKSISMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDTLQRIMNTCIILHNMIVEDERATYGGC >CAK8575128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36342904:36376663:1 gene:gene-LATHSAT_LOCUS27416 transcript:rna-LATHSAT_LOCUS27416 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKLSLLPLFLLATLVLMFPMKKVEADLCEKFACSRSDPVCNDGCHCILSKGAEGGVCTRNKHVAKKVEEHPDLCESHADCTRKGSGSFCARYPNSDLKYGWCFDSNSDAEASFKNAFSSEFSNLKMPLEVSS >CAK8575129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36376313:36376663:1 gene:gene-LATHSAT_LOCUS27416 transcript:rna-LATHSAT_LOCUS27416-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMKKVEADLCEKFACSRSDPVCNDGCHCILSKGAEGGVCTRNKHVAKKVEEHPDLCESHADCTRKGSGSFCARYPNSDLKYGWCFDSNSDAEASFKNAFSSEFSNLKMPLEVSS >CAK8532012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191305288:191305647:1 gene:gene-LATHSAT_LOCUS1757 transcript:rna-LATHSAT_LOCUS1757 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSVSMAMPLTYTNQKRVVNGSEAFFNPLPLRSSKAVTSSKHGGRFQVKASMKEKVVTGLTAAALTASMVVPDVAEAAVSPSLRNFLLSIAAGGAVVAVIIGAVIAVSNFDPVKRG >CAK8534267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711608491:711608781:-1 gene:gene-LATHSAT_LOCUS3825 transcript:rna-LATHSAT_LOCUS3825 gene_biotype:protein_coding transcript_biotype:protein_coding MNTWSMHPKCKKIMSESWNSSVIGFPIYTLNRKIKILKDNLKSWNTNTFGNIHEILKRVKDNIEAIQFPIDTSGYNDSLMNQERHVQLELELDISY >CAK8534844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:776270558:776272005:-1 gene:gene-LATHSAT_LOCUS4352 transcript:rna-LATHSAT_LOCUS4352 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRQDPTANRRRPLWRPMATQGEAGGADGSAKAVVAEQIAQTVQSTSNLLHLMQQSSPSQAKLVKLPKNLLAKVSIIKNTEQVLEQLPRVISSLDAHMENGLQNVPQLKTVVQLLANMESSQLSSLSRTHVLEKEHEPEN >CAK8576336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:461878149:461884580:-1 gene:gene-LATHSAT_LOCUS28532 transcript:rna-LATHSAT_LOCUS28532-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGAATTSLHSPLLYPFLFPYSSSSSSRRHRNTNPRSPVVCCSHHHHDPICNKRAFLFMGVAVLPFLQFDTAPALEGIIPSPILVFISSRVRRQRLPSFRDSVRSRRLRSIRRQRMLSDDVPLPTFSLNVSLSDVVSSSSVSSPASANSEESEVKIPEDKKQAETAPEMQKPSSSLVSLLNGIGIVSSGLLGALYALSQKEKSAAVATIETMNNKLKEKEEQIVSLKRNYELKLSNEQEEQAKLLGKAKEEQNALIDQLNSAKSTVTGLGNELKSEKSLIAELKLQIDRLEINLSKTNTDKKDLENNLKEKVDSIEILQKRIDLLSEDLKDKENAVQNLNSSLADKELELRNLNSTYDQTKDELSNTHVHIQGLKDEILKSQEKLEGKDSLLIELNSRIDALTLENNNFRSEYDVLEKEYNDLKLTTEKKAVFDAKVLKEKEDGLHQLTDKLELALNELSKNQVIIADLTQEREGLKETLQNESKKVDNLKYELQVTLENLENSRSDSSELEKQLNESNSLRRELELQVSNLSAELTKVKESLQWKLDDMSLEAEKLANELMTVKEHLKKAEAELQSTSHELTATLENRDTLQRELIEVYKKAEATSEDLKEEKKLVTSLNKDLQALKKQVLKDKESRKTIELDLEEATKSLDEMNRNSAILSNELEGSKSLISSLENEKEVLTKYLTEQRTASKEAQENIEDAHNLITRLGKERESLERRGKKLEDELASAKGEILRLRSQINTSKPKDVVNNEQRVQKNEGETQEATVSARKNVRRRKTNPS >CAK8576337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:461878149:461884580:-1 gene:gene-LATHSAT_LOCUS28532 transcript:rna-LATHSAT_LOCUS28532 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGAATTSLHSPLLYPFLFPYSSSSSSRRHRNTNPRSPVVCCSHHHHDPICNKRAFLFMGVAVLPFLQFDTAPALEGIIPSPKESEVKIPEDKKQAETAPEMQKPSSSLVSLLNGIGIVSSGLLGALYALSQKEKSAAVATIETMNNKLKEKEEQIVSLKRNYELKLSNEQEEQAKLLGKAKEEQNALIDQLNSAKSTVTGLGNELKSEKSLIAELKLQIDRLEINLSKTNTDKKDLENNLKEKVDSIEILQKRIDLLSEDLKDKENAVQNLNSSLADKELELRNLNSTYDQTKDELSNTHVHIQGLKDEILKSQEKLEGKDSLLIELNSRIDALTLENNNFRSEYDVLEKEYNDLKLTTEKKAVFDAKVLKEKEDGLHQLTDKLELALNELSKNQVIIADLTQEREGLKETLQNESKKVDNLKYELQVTLENLENSRSDSSELEKQLNESNSLRRELELQVSNLSAELTKVKESLQWKLDDMSLEAEKLANELMTVKEHLKKAEAELQSTSHELTATLENRDTLQRELIEVYKKAEATSEDLKEEKKLVTSLNKDLQALKKQVLKDKESRKTIELDLEEATKSLDEMNRNSAILSNELEGSKSLISSLENEKEVLTKYLTEQRTASKEAQENIEDAHNLITRLGKERESLERRGKKLEDELASAKGEILRLRSQINTSKPKDVVNNEQRVQKNEGETQEATVSARKNVRRRKTNPS >CAK8566819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465213472:465218522:1 gene:gene-LATHSAT_LOCUS19924 transcript:rna-LATHSAT_LOCUS19924 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEGNGNGNGQEESLPPPPPVVPSDVVPLKVEEPVKKKITRLPIARRGLGSKGNKIPLLTNHFNVNIGKNDGYFFQYSVSFTYEDGRPVEGKGVGRKIMDRVQETYASDLNGKEFAYDGEKSLFTIGSLPQNKLEFEIVLEEARSNRNNGNLSPDGNADNESDKKRIRRPFNAKAFKVEISFATKVPMYAIANALRGQETENFQEAVRVLDIILRQHAAKQGCLLVRQNFFHNDLKSFADVGGGVLGCRGFHSSFRATQSGLSLNIDVTTTMIIQPGPVVDFLISNQNARDPFQLDWAKAKRTLKNLRVKTHPSNQEGKICGLSDVPCKELTFTLKKRDGDGTEEMTVLDYFVNVRKIDLRYSADLPCINIGRPKRPTYIPIELCELVSLQRYTKALSTLQRASLVEKSRQKPQERMRILSDALKTSNYGAEPLLQSCGITISTGFTQVEGRVLPAPRLKFGNGEDFSPRNGRWNFNNKKFVQPTKIEKWAVANFSARCDVRAVVRDLIRIGNTKGIMIDQPFDVFEENPQFRRAPPMVRVEKMFEIIQSKLPGAPQFLLCLLPDRKNCDIYGPWKKKNLADYGIVNQCMCPVRVNDQYLGNIMLKINAKLGGLNSLLSVESSPSLPIVSKAPTLILGMDVSHGSPGQTDIPSIAAVVSSRQWPLISKYRACVRTQSAKVEMIDNLFKKVSDTEDEGIIRELLLDFYNSSGKRKPDNIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWNPKFVVIVAQKNHHTRFFQPNAPENVPPGTVIDNKICHPRNYDFYLCAHAGMIGTSRPTHYHVLLDEIGFSPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAATQLGQFMKFEDKSETSSSHGGLSAAGAVPVPQLPKLQESVCNSMFFC >CAK8544771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705090440:705091818:-1 gene:gene-LATHSAT_LOCUS13424 transcript:rna-LATHSAT_LOCUS13424 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKVTLDSKLTVVSSRPMKPGMIHKLSGVDHGMGYHSLHLIFYYKNEDKWFESFELDPLRGSLSEVLSMYPTVTGRLALVEEDGGDWEIRCNDTGVRVIKANVDSTIEKWLSSSAGASDEVQLIAWDDMPLDTSIWSPFQIQINSFKEGGIAIGVSCTHMIADLTFLSSFFKSWSQIYRLTPISHPPFLTPIPITSSPSNNNTSPNSGPKPQTNITSATFKFSSSIIKQLTSKCPGATAFDVLAALFWTRVTLLKPSKLYKQNHSLSICIDFRKLIKPSLPVGYFGNALYFSKFSLNSEEMKNGKKLQDIASLIHMHTKDVTEKEIMSFIESFESQEESTLKCVYGSSELTCVNMEEEETESLLYESIFGDNEKPAHVSCRVGNVGGEGLIMVMPSSEGEFARNVIVMLKEEEVDKLCKDEEMLKLQPTVILC >CAK8572689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555737149:555738107:-1 gene:gene-LATHSAT_LOCUS25222 transcript:rna-LATHSAT_LOCUS25222 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNYQTSVHVTRSLSDCGSLATNVSEEDIMLIKKGPWIEEEDTVLMNYVAVHGEGHWNSIARCSGLKRTGKSCRLRWLNYLRPNVRRGNITLQEQLLILDLHSRWGNRWSRIAEHLPGRTDNEIKNYWRTRVVKQARQLKCDVNSQLFRDTLRFEWIPRLIERIQSTRATADTNFYDKQQATNCNNTKAHSETCGTNANPPPMLMSEVSSYSSGVDVFAESNLSYNVMDSMELESTLQEWNCLDGKIQEFDHDNDFGGSELWTEENIWFLQQQLADDL >CAK8578413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627938482:627938757:-1 gene:gene-LATHSAT_LOCUS30426 transcript:rna-LATHSAT_LOCUS30426 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLVLKLMEDPKERDRRFREHVYKVKDRCAKTKEMWSYPMRPYGFWTFERHNSQLAWDAQISQVAGRRDPYDDILRHYPASSK >CAK8578649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643580551:643581597:-1 gene:gene-LATHSAT_LOCUS30641 transcript:rna-LATHSAT_LOCUS30641 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFQNRFKSFIQKVVEAPKHFFFSAKTIKQLLILDRFYFNTNNVCGHHLFLLDIKTKHLKELHIPRVTNSDMGYKIISSCNGLLCIAHYSLDQYSTLFLWNPTTKQAKRIIEQQRQPLLLPPNCLIGFYESNGFYVVRFHSFENTKTSYVIRGEKYSLSKGVWREIKGCDQNLILKGDLFWTENTVTVKETLFWVAMEVNEKVSHEMIIAFNSFNDVISKIEMPFNSSKDCVEVYKKLTVYSYKGSSSVALMICLESKNMEQWLDLWVLCDEDEDIEYWIKVQTIGLFSRFERPVGVWKNEVLMATNDMIHSVGGVLAYLPDDDIGAEFYYNSLNYEERFFPLNDML >CAK8571250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354722386:354725011:1 gene:gene-LATHSAT_LOCUS23928 transcript:rna-LATHSAT_LOCUS23928 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGSVSINGRELETMLSFRTPTADKENDLFGKHLSTKSIESLDDLSSSYGNSSEKSFYSPKKLEPHGHRDLAALKLQKVYKSFRTRRQLADCAVLAEQRWWRALDFAELKRTSISFFDIEKPETAISRWSRARKRAAKVGKGLSKDRKARKLALQHWLEAIDPRHRYGHNLQFYYVQWLHCDSNQPFFYWLDIGGGREFGSERCSRSKLQQQCIKYLGPEERKAYEVVIENGRLFYKHSGNPVESTKDAKWIFVLSTSKTLYVGQKNKGTFQHSSFLAGGATLSAGRLVADDGVLKAVWPHSGHYLPTEENFQEFVSFLKENNVDLTDVQKNPEEDDETIKTNQEFYFRGKSSEKLPSNIETESSSSILSEEKSKETNEDSSADSDVNPPLSRLSKRLGTKISRLEIPKRDNVTDIFESVLAVHRPTRKLYSPLSDSESDCGYETAEESFIHEEDFMVSKSNLFVEDQDKEEENSIPKEKIMKRIDSHKGMKSYQLANHLSTKWTTGAGPRIGCMRDYPAELQSFILEQQNLSPRARATAPSPRIPLSRFSPHVTSVGVEKTE >CAK8535443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848635587:848636294:1 gene:gene-LATHSAT_LOCUS4907 transcript:rna-LATHSAT_LOCUS4907 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSRTATGYPAPPYNHPNAAQYPYAAPPPQYYHPPPPPHRPYASRSFFRAFFATMICLAVIFGVILVITWLVLRPSLPHFTLTTLSLSNFSASNQSLSGTWRLAFNVRNGNRKMSVTYNALRSSIFYHSNYIAESELAPFKQDTKSETTLNVTFAAANAYFESRAVRDLNGDRTRGSVPFDVQILASTSFRSGSWRFRTRILKIICRKVMVGISSNSTSGELIGSPGECQVWT >CAK8574489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704455:707093:1 gene:gene-LATHSAT_LOCUS26833 transcript:rna-LATHSAT_LOCUS26833 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMRSDSDNHFIKKVKLEESQQQEDEVRSLPNKRPRFDFNLDSSPKCDSSDDSVQISPGLYNPLNEPSPLGLRLKKSPSLLDLIQMRLSEQHDSKKKDQKAAAAAAADSKLKASNFPATVLKIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGCLKNKIEIPWSDIMALKANYPEDAPGTLEVVLARRPLFFREINPQPRKHTLWQATSDFTGGQASIHRRHFMQCPQGLLGRHFEKLIQCDPRLNFLSQQQDFVLESPYFETGTAIHDHIETSDGFDRKSEGQAGIFGLQDVESGSAGQSSSSRSEHNLMGKAVENNFQEITSPSSVMNPHAIRGFKSRGAESLKFLSNLDQIKLPGLHPSMSIEDLVNHIGHCITEQMGPENSSFASDRAKLEEFTQYLFNDSQIQPASDEQNVMSRVNSFYNLLQKDPSTAENKTVRNSNIFDLAEDRKVDVSNPAECKSKMVDFEIQQDDDADDSGSQQENGLSRKESAGELLLNLPRINSMPHFLFPMPEDSGSHVR >CAK8575526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:150418235:150418557:1 gene:gene-LATHSAT_LOCUS27783 transcript:rna-LATHSAT_LOCUS27783 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFQPLIHSCIDPQILFRIRRFCLVVCNLMKTEKGWRRFMRLGREFVAESLRLEFFLVIFLVNET >CAK8569876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14081599:14082086:-1 gene:gene-LATHSAT_LOCUS22681 transcript:rna-LATHSAT_LOCUS22681 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIMVQTNYFPPNFLFEAQHLDNWDMAEPVGFVSEGFCQHSAAWVLQWLAMGSSFTPNLHVMQMNDVVRMKVAIDLLLGDHNEYKEILMDKAEKAWHTE >CAK8560168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9343582:9344577:1 gene:gene-LATHSAT_LOCUS13868 transcript:rna-LATHSAT_LOCUS13868 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSGKKRNREITLLMSSPSLPNSKIVQLVTCDDKKIDFGSIAKAFGLDPSTVKLNGYFISRGVDFFSSSLTWNCLLPFFSSKGLSTGKHNHDALLVTGKVVNRGGHESQDFQNGIIKVIETEHDSSNRGNQLEDINLQKNKKFRESKPGEVLNVLNCKRKQLSEDVNQFKKLKINEDKFDIQDKVDKIPGSISRSQFTCSYTNKNLKRIRDDEIVVANYKRIR >CAK8572922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571745412:571751756:1 gene:gene-LATHSAT_LOCUS25427 transcript:rna-LATHSAT_LOCUS25427-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFRFMMDQNDIVRFLTTSIDSFIQDRLINKEQRTQHKDQCAERLAAEDGNGEKESEVEYSDQAVLANLDWGIEALEEAINTYNMETKLARLDYAEKMLQVCAMLNPKQKTAGVPNSYLSAWAHLNLSYLWKLRNNIKSCIFHSLEMFVVDPFFSRIDFAPELWKSLFLPHMSSIVGWYSEERHRLMMEVMPETCDFSYTGDFDQVFNESLVFSMRPNQLEKLQKLEQLYGESLDENTRLYAKYYNDCMNPDSTSSKKVVPMLPIAEPPMTPLHELSRSVPDFVKFGPILPKSSGFSMTTRRSYDGLSETTRENLTSNSNYSKGEKSSMWGAKESIIEEIEDDSDSEHYDASVDSDKNNIFSPEPKTSIKDEDVEPKVYRSNQKNQMQSPNISPMQSPRTAPNYSSSNPQIHSKKESKFLRLLSNRFRGSTISDHSLSSSPDISSDHIFNGDEEESVLKNIKRKNDSQTPSMNQDNENSLVLNDSSLGESDDGYQSSASFPKLEKLTIGSKPPKDFVCPITGQIFSDPVTLETGQTYERKAIQEWLGTGNTTCPITRQPLSANILPKTNYVLKRLIVSWKEQNPELAQEFSTSNTPRGSSCSPSAKDIAMISATQRTTDSPSQKNKEDYIRQRNNRFMRVAVSASPTSVLSQAAVETIINSLTPYITSLCTSENLQECEEAITEIARLWKDSKTDPQIHSYLSKPTVISGLVEILSASLNREVLRRSIYILSELIFADESVGETLNSVDSDFDCLATLLKNGLAEAALLIYQLRPVFAQLSEHELIPSLIQVIQNKNEDIDDFQLAIDPRAASIAILEQILMGGDEYNRSVNASSVISANGIPAIVKYLDRMEGRRSIISILLCCMQAEKSCKSSIANRIELSPVLELFHAGNDSVRGICVEFLSELVRLNRRTFSNQILQIIKDEGAFSTMHTFLVYLQMAPMEHQIAVASLLLQLDLLVEPRKMSIYREEAVETLIEALWQKDFSNNQMKALDALLFLIGHVTSSGKSYTEAWLLKIAGFDQPYNVLMKADQLGHSDKDLMETMGNEKNAMKSWQKRVASVLCNHENGSIFQALEECLKSNSLKMAKSCLVLATWLTHMLFTLPDTGVRDIARKSLLEALINVIQSSKNLEEKILATLALKSFISDSTAHEALRVYAKSIYRILRKLKKYSTVAADILKALLNLNSVDVTELWSCKEVVELDLSTNGEVLSLLYLNGQVLSGHADGTIKVWDARKRIPRVTQETREHRKAVTSLCSSVDRLYSSSLDKTIRVWTIKPDGIKCIDVYDVKEAVYELAANAKLACYVTQGTGVKVFNWSDAPKLINFNKYVKCLAVAGDKLYCGCSGYSIQEVNLSKCTSTSFFTGTRKLLGKQTIHSLQIHGDFLFACGSSVDATAGKIFSLTSKMVVGSLTTGLDIHRVAINSDFIFAGTKFGTIEVWLKDKFTRVASIKMAGAHTKITSLVSDADGMMLFVGSSDGKIQVWAMD >CAK8572923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571745412:571751756:1 gene:gene-LATHSAT_LOCUS25427 transcript:rna-LATHSAT_LOCUS25427 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFRFMMDQNDIVRFLTTSIDSFIQDRLINKEQRTQHKDQCAERLAAEDGNGEKESEVEYSDQAVLANLDWGIEALEEAINTYNMETKLARLDYAEKMLQVCAMLNPKQKTAGVPNSYLSAWAHLNLSYLWKLRNNIKSCIFHSLEMFVVDPFFSRIDFAPELWKSLFLPHMSSIVGWYSEERHRLMMEVMPETCDFSYTGDFDQVFNESLVFSMRPNQLEKLQKLEQLYGESLDENTRLYAKYYNDCMNPDSTSSKKVVPMLPIAEPPMTPLHELSRSVPDFVKFGPILPKSSGFSMTTRRSYDGLSETTSRENLTSNSNYSKGEKSSMWGAKESIIEEIEDDSDSEHYDASVDSDKNNIFSPEPKTSIKDEDVEPKVYRSNQKNQMQSPNISPMQSPRTAPNYSSSNPQIHSKKESKFLRLLSNRFRGSTISDHSLSSSPDISSDHIFNGDEEESVLKNIKRKNDSQTPSMNQDNENSLVLNDSSLGESDDGYQSSASFPKLEKLTIGSKPPKDFVCPITGQIFSDPVTLETGQTYERKAIQEWLGTGNTTCPITRQPLSANILPKTNYVLKRLIVSWKEQNPELAQEFSTSNTPRGSSCSPSAKDIAMISATQRTTDSPSQKNKEDYIRQRNNRFMRVAVSASPTSVLSQAAVETIINSLTPYITSLCTSENLQECEEAITEIARLWKDSKTDPQIHSYLSKPTVISGLVEILSASLNREVLRRSIYILSELIFADESVGETLNSVDSDFDCLATLLKNGLAEAALLIYQLRPVFAQLSEHELIPSLIQVIQNKNEDIDDFQLAIDPRAASIAILEQILMGGDEYNRSVNASSVISANGIPAIVKYLDRMEGRRSIISILLCCMQAEKSCKSSIANRIELSPVLELFHAGNDSVRGICVEFLSELVRLNRRTFSNQILQIIKDEGAFSTMHTFLVYLQMAPMEHQIAVASLLLQLDLLVEPRKMSIYREEAVETLIEALWQKDFSNNQMKALDALLFLIGHVTSSGKSYTEAWLLKIAGFDQPYNVLMKADQLGHSDKDLMETMGNEKNAMKSWQKRVASVLCNHENGSIFQALEECLKSNSLKMAKSCLVLATWLTHMLFTLPDTGVRDIARKSLLEALINVIQSSKNLEEKILATLALKSFISDSTAHEALRVYAKSIYRILRKLKKYSTVAADILKALLNLNSVDVTELWSCKEVVELDLSTNGEVLSLLYLNGQVLSGHADGTIKVWDARKRIPRVTQETREHRKAVTSLCSSVDRLYSSSLDKTIRVWTIKPDGIKCIDVYDVKEAVYELAANAKLACYVTQGTGVKVFNWSDAPKLINFNKYVKCLAVAGDKLYCGCSGYSIQEVNLSKCTSTSFFTGTRKLLGKQTIHSLQIHGDFLFACGSSVDATAGKIFSLTSKMVVGSLTTGLDIHRVAINSDFIFAGTKFGTIEVWLKDKFTRVASIKMAGAHTKITSLVSDADGMMLFVGSSDGKIQVWAMD >CAK8531485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114914947:114916918:-1 gene:gene-LATHSAT_LOCUS1274 transcript:rna-LATHSAT_LOCUS1274 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFEGRAVGIDLGTTYSCVAVWQEQHCRVEIIHNDQGNRVTPSFVAFTNDQRLMGDAAKNQAAANPQNTIFDAKRLIGRKFSDTNVQDDILLWPFKVIAGVDDKPIITVKYKGQEKQLCAEEVSSMILTKMRETAESYLHSPVKNAVVTVPAYFSDSQRKATIDAGSIAGLDVMRVMNEPTAAAVAYGLDKRTNCDGKRNIFVFDLGGGTFDVSLLTIKGDLFKVKATAGNTHLGGEDFDNRMLNYFVQEFQKKNKVDISGNPKALRRLRTACERAKRSLSFLVVATIEVDSLFQGIDFSSSINRAKFEEMNMDLFNECMKIVESCLMDAKMDKSKIDDVVLVGGSSRIPKIQQLLQDLFNGKELCKSINPDEAVAYGAAVQAALLSEDVKNVPKLVLQDVTPLSLGRSVLGDIMSVVIPKNTCIPVNQTKPFITTEDNQTSSSIKVYEGERTKASDNNLLGSFTLSGIPPAPRGSPSSDVSFAIDENGILTVSAINNASGNSNEITITNYKERMSAEEIKKLIKEAENYHIEDKKFLRKAKAVNALDDYIYKMMNTLKKKDIKLKLSSQEIMQIEDAIAVAKKLIDENKQQVEIEVLEDRLERFESMMIHIIAKTI >CAK8576713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508464178:508464582:1 gene:gene-LATHSAT_LOCUS28886 transcript:rna-LATHSAT_LOCUS28886 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNFSFSSTNQSGSGIRRRGNRCWCEFESPLMTSWTYDNPGRRFHGCDNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLKDKNEELMNVIKDIKKNEDLLKMKIRFMYYFVGLTVMFVFLIVFALVATHVLK >CAK8573802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638068918:638071877:1 gene:gene-LATHSAT_LOCUS26207 transcript:rna-LATHSAT_LOCUS26207 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRPTLSQISLSQSNFPRNSTWNNPQHCFFHKRMQNFNTSKSSTSFRVCSSSKDTQNQNNGEEPPESLFMKELKRRGMNPTSLLEDYKQPNYGLDEEAFVNEEDKSFPKRKTVSTNIERGLENQRERSMALNSEGLEGLVPRAKLLLTLGGTFFLAFGPLILITVALFSALYFYFGPSFVHDGSKMSLSPPQYVDPYALLEDERISQIAPRLN >CAK8574993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20150708:20154784:-1 gene:gene-LATHSAT_LOCUS27286 transcript:rna-LATHSAT_LOCUS27286 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNRGSNVSAEGVSGCSDEELWKAIAGQLVDVPSVGQRVFYFPQGHMEQLEASTNQELNQRSPLLKLPTKILCRIVNIHLLAEQETDEVYAQITLVPESNQDEPTIPDPCADEPPRPKIHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGENGELRVGVRRLARQSSSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIVSVNKYLSGVNNKFSVGMRFKMRFESDDSAESDKRFSGTIVGVEDISPHWANSKWRSLKVQWDEPAAIPRPDRVSPWDIEPFVSSASTPTVQPTAAKTKRPRPTNETPDVDTTSAASIFWDARMTQTDMTQRIVLAESKKGDNNSNTWHHKQTDTMNTKTNNNNNNTLLRNHTDGSWLSSPRSSCPSHLLHETTDDNKTLSAWPVSQSQSSIQNFDRVPDQVDKDNKVETATGCRLFGVELIDHSKNSAAVGKTSSHAVNVTGATTEVSSSTVSSTDTGRKSDISKAYTERKQEPQQASTKETQSKQICSRSRTKVQMQGVAVGRAVDLAMLNGYDQLIDELEDLFDIKGQLQHRNKWEIVFTDDEGDMMLVGDDPWPEFCNMVKRIFICSSQDVKKMKSGSKLPISSSIEEGTAISSDTTER >CAK8574318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674833661:674834849:1 gene:gene-LATHSAT_LOCUS26673 transcript:rna-LATHSAT_LOCUS26673 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSCSLTWTSPSLSHKLNLPHSNRLLRNTPTSSSNNVSCSMETSSSSESKSLRRPLLLGIGALAASLQPTKLLFADEIPDRYRAFVDSSDGYSYVYPSDWKEFDFRAHDSAFKDRYLQLQNVRVRFIPTEKKDIRDLGPIEEVATFLVKHRYSAPNQIPRIYDMQERTIDGKHYYTFEYVIESRNYASASFTTLAIGNGRSYTLTVGANERRWKKVRNQLKVVADSFRLIDI >CAK8539721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522885257:522885979:1 gene:gene-LATHSAT_LOCUS8793 transcript:rna-LATHSAT_LOCUS8793 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDILKTLESDESSMDMMTMMMQMEKFPDFHQPFYSYNHNINLNNSHNEFPYGNSNVTYPQPPFSHPQQPMTPSLQHNGVQIPSGRINNASFSSYSDKKNSMAAMREMIFRMAVMQPVNIDPETIKPPKRKNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLEQVGANRPMNVAGFPGMISNGNVNYSSFLRGCSSPCQMVGPTSKQMLS >CAK8539457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513095588:513097018:-1 gene:gene-LATHSAT_LOCUS8549 transcript:rna-LATHSAT_LOCUS8549-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSLTPLSINSFHVSSYTSKISNTLHFHSKSSQIICKMNSNKVTNVESNKNWGKLFSATLAAAVIVFSSDMSALADLNKFEAEIRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTSADMRESDFSGSTFNGAYMEKAVAFKANFTGADLSDTLMDRMVLNEANLTNAILSRTVLTRSDLGGAVIEGADFSDAVLDLPQKLALCKYASGTNPVTGVSTRVSLGCGNKRRNAYGTPSSPLLSAPPQKLLDRDGFCDESTGLCDSK >CAK8539458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513095588:513097018:-1 gene:gene-LATHSAT_LOCUS8549 transcript:rna-LATHSAT_LOCUS8549 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSLTPLSINSFHVSSYTSKISNTLHFHSKSSQIICKMNSNKNWGKLFSATLAAAVIVFSSDMSALADLNKFEAEIRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTSADMRESDFSGSTFNGAYMEKAVAFKANFTGADLSDTLMDRMVLNEANLTNAILSRTVLTRSDLGGAVIEGADFSDAVLDLPQKLALCKYASGTNPVTGVSTRVSLGCGNKRRNAYGTPSSPLLSAPPQKLLDRDGFCDESTGLCDSK >CAK8579083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673125510:673126394:1 gene:gene-LATHSAT_LOCUS31055 transcript:rna-LATHSAT_LOCUS31055 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIEERICLCLFLFLFLHLSTSTTEIPIPAPWPEQFHSVLFVNRSGTLRKTDLWYDWPNRRNFNIIQYQLSVVKYDVEWNNGTSFIYIPFNSTCEKLHFDVGILPPNWLQGANYLGQEYADNFLCNVWEKVDFIWYYEDVLTRRPIKWIFYDGMVFHVMTFEVGAVLEDEHWQAPGYCFSKSEPEPRIHNIMNSSLLDLKAGGGGGDAASFRRTLMSEMR >CAK8561874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:402301510:402302070:1 gene:gene-LATHSAT_LOCUS15425 transcript:rna-LATHSAT_LOCUS15425 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTAYAVSGTPADCTSLGISKALFPTVVDLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNLHDFTLAAQACIPIISALLADIRNQRYPSEVLFEYRYSKQCC >CAK8542618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:531275637:531276263:1 gene:gene-LATHSAT_LOCUS11442 transcript:rna-LATHSAT_LOCUS11442 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTNDTDFAYLEHVQKYLLYDDSSFLTSYQPFSSPKSDSDSDKSAGACEVSAPPVSWKRYKGVRRRPWGKFAAEIRDPKKNGARVWLGTYLTEEEAALAYDKAAFKMRGQKAKVNFPHLIGNDVSKSEPKREVVLKRDSPEPSSSEGSCELSSPGSKRRRGMADLLNKLAKNRSQVMVVENEKSLQANDFEQWVNELNDCTLIWSS >CAK8575245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:58348171:58348562:-1 gene:gene-LATHSAT_LOCUS27524 transcript:rna-LATHSAT_LOCUS27524 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTKIKLDVFLASNMKEDISDFKVKGSWFERSCVVYAGDSHNIIAQMHKKDIVQSAEYGKDNLMVRVYPNVDYAFIVGVILILDEINQDKIRQQQ >CAK8534063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690206399:690214208:1 gene:gene-LATHSAT_LOCUS3636 transcript:rna-LATHSAT_LOCUS3636 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQKSILSFFQKSPPEKRSSGGNLASAQSVQQADYPVKAVFNPPPSTDDVRGTDTPPEKVPRQVLPANFTPNANNNGSSLFESIMHKFIKADDGDKVSKRSQSSKQDSFPKSSPSSTIFIDNNCKGLHKEAAAFESVMKTKDNTANFEEKVNHENMFPVEIDADIIGPETPGMQPFASHAKRSLEDVSKLSSLRDSGKRVRFLDDSVALDMTKKELEVVSKFEWLDPSRIRDANGRRPNDPLYDRTTLYIPPEVMKKMTASQKQYWSVKCKYMDVVLFFKVGKFYELYEMDAEIGHNELDWKMTFSGVGKCRQVGISESGIDDAVKNLVARGYKVGRVEQLETSEEAKARGANSVILRKLHQVVTPSTNVDGNIGPDANHLLAIKEESSGSDNGSVTYGFAFVDCARLRLWVGSIDDDASCSALGALLMQVSPKEIIFEGRGVSKEAQKAFRKFSLNGSTTLQLTPMRSITDLGNSEISDLIHSKGYFKFSSNSLDHVLTKVIHPEIILSALGGLIGHLNRLMLDEILQNGDIYPYQVYKGCLKMDGPTYINLEIFGNSEDGGKSGTLYKYLDNCLTSSGKRLLRNWICCPLKDAEGINNRLDVVDHLITCPEVVSHIAQHLCELPDLELLLGRTKSSLKVSSPILLPLLAKKILKKRVKVFGSLIKGLRTTLSLLLLLQKEQPLISSLTKVVKLPVLTGSDGLDQFLTQFEAAVDSDFPNYQNHDVMDTDAETLTILAELFLEKTAQWVEVVHAINYIDVLRSFAVTSSFSCGTMSRPVIVPASKSTSKDSGVPVLKMKGLWHPFALGESGRVPVPNDIILGENDGMQHPRTLLLTGPNMGGKSTLLRATCLAVIMAQLGCYVPCENCVLSVVDIIFTRLGATDRIMAGESTFFIECTETASVLQNATQDSLVILDELGRGTSTFDGYAIAYAVFRHLIEKVNCRLLFATHYHPLTKEFASHPRVTMQHMACAFKSKSDTFSKRDQELVFLYRLASGACPESYGLQVALMAGIPEKTVNIASKASQQMKISMGKSFKSSEQRSEFSTLHEEWLKTLMSISRIEDCEAFDDDVLDTLVCLRYELQTSFKSGNKRSRVNG >CAK8539072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502895899:502898978:1 gene:gene-LATHSAT_LOCUS8205 transcript:rna-LATHSAT_LOCUS8205 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPQRTLGGGGHRILDYLKRMQSENPAFFYAVQPDVAGGNIFWADPTCRNNYSFFGDAVIFDTTYNTHQYRLPFASFTGFNHHAQPVLFGSALILNQSDSSYIWLFRTWLHAMSGRLPLSITTDLDPLVQLAVAQVLPPTRHRFSTSSIFRENRAKLPHLYQSHPTFETEFMKCVHVSETIDDFESCWHSLMERYCITDNQWIHFIYNARHHWVPVYLRDTFFGETSLNAGNECLNLFFDGHVNEFTTLQSFVRQYEKAVSTWHERELKADFETTNTSPVLKTPSPMEKQAAGLYTRKIFMKFQDELVETMANPATKMDDTGTITTYRVAKFGENQTSHTVTFNSSEMKASCSCQMFEYSGIICRHILAVFRAKNVLTLPPQYVLKRWTRNAKTGVLLDECASELPSSSRDSTIVRYNNLRQEAIKYVEEGAKSVQTYRVAMKALQEAAKKVCILRKETTGTAEGPTIANGGRTELLTGDEDASSYQSVAEKQKKIRELAAELEITNQRCNVYRANLLAVLRDMEEQKLKLSVKVQNARLSLKE >CAK8569912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15442714:15445763:-1 gene:gene-LATHSAT_LOCUS22712 transcript:rna-LATHSAT_LOCUS22712 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSNFNITLSSKNTKYLSVFHGLRNQNPNGCLFNKCFQFQPQSMSSKMVSASTNIASDMYVGRNSSKINSKIYTNIDSCLVIPPPTSTKPRAIIKFIGGAFIGAIPQLTYGYLIELLAKEGFVVVVVPYNVTFDHSQAAKQVYERFHTCLNTILTSGLPQFNLSPAQLGDLPLFSVGHSNGALLQVLTGSLFSEKLPKANAVIAYNNRPATEAVPYFEQLGPAVSQMMPAVEANPILSIARNAQGDAWKMMLDTVGSVLQESEQEVLNSLTKFVDQLPLVFNEVTQGVSEFKPTPSENRDCFKTSYNVKHTLLVKFNSDTIDETNILEETLTPRVESFGGTVEKVELSGNHITPCIQEPRWQVGKLYTPADAVAQRLKSLSLSDTRILARTISDWFKRFED >CAK8543383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604039558:604042347:-1 gene:gene-LATHSAT_LOCUS12142 transcript:rna-LATHSAT_LOCUS12142 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTKYTPIATTTTTPNNFHKLDFKNLLSILKTKTTIAFAYAFMLIFIAMLIFIAFTLFLAFSPSSTTTISPTPTFSTSQFSSIFSYLFPNTTTSQTPDPFQNNTSTTRSANATSQSQSSKNSSQDAVTIPVQIPIPTTNKTQIVEIEPSSVTNQTTNVTVQGVAPVTPPQQNLSSNSSLKGVDLKNYTASLAKKKNNGNNKYAELMESLMNCDFFHGEWVKDDSYPLYKPGSCSIIDEQFNCIRNGRPDHDYQKYKWKPKGCSLPRLDGHKLLDLLRGKRLVFVGDSLNRNMWESLICILKNSVKDKKNVYEANGRVHFRGEASYSFVFKDYNFSVELFVSPFLVQEWEMPDKNGTKKETLRLDLVGRSSDQYKDADIIVFNTGHWWTHDKTSKGKDYYQEGSHVYDEMNVLEAFRRAITTWGRWVDTNVNPSKSLVLFRGYSASHFSGGQWNSGGQCDHETAPIDNEKYLTEYPPKMRVLEKVLKYMKTPVSYLNITRMTDFRKDGHPSIYRKQNLSPEERKSPLRYQDCSHWCLPGVPDAWNEILYAEILMREYRNQHQQKGS >CAK8560444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19769857:19771407:-1 gene:gene-LATHSAT_LOCUS14113 transcript:rna-LATHSAT_LOCUS14113 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKRVHMKGGHGEESYANNSLVQGNAISSTKLVREEVLTTLYSNTFPSILAIADMGCSCGPNTLSVVSETIKIVEKLCKQLNHSSPEYKVYLNDLAGNDFNSVFKSLDNFKEKLSDEIKTEIGNCYFFGVPGSFYARVFPDQNLHFVHSSYSLHWLSKVPGGINNNKGAIYIQSNSPSNVIKAYYEQFQRDFSFFIKCRAEEIVEGGAMVLTFLGRKSDDPSSKDCCYIPELIATALNDMVLEGIIEEDKLNTFNIPNYYPCPREVKFVVLNDGSFDINRLELSEVDVNASSNQSGYKFAQSMKAVFEPVLVSHFGEAMVEDAFRRYGEIVTDRMSKEIIKQTYFTISLTRKS >CAK8566555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434785875:434787600:-1 gene:gene-LATHSAT_LOCUS19677 transcript:rna-LATHSAT_LOCUS19677-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNNKKKVGGAGSSSSPTNFDHLFGPKHPSTTSSSSNSIFSSIFPPPSTVGRRDSTRHDMRSNNYGASGSYSNKGENSGGISNKNNNTSSDNSYQTETVEPSYYSSSIYYGGQENYNSPRTCRTTQPPHIVTPLYSHTLYHMLLFDIKKNKDDDDDDDSNGSDSGSASRGNWWKGSLYY >CAK8566554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434785875:434787600:-1 gene:gene-LATHSAT_LOCUS19677 transcript:rna-LATHSAT_LOCUS19677 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNNKKKVGGAGSSSSPTNFDHLFGPKHPSTTSSSSNSIFSSIFPPPSTVGRRDSTRHDMRSNNYGASGSYSNKGENSGGISNKNNNTSSDNSYQTETVEPSYYSSSIYYGGQENYNSPRTCRTTQPPHIIKKNKDDDDDDDSNGSDSGSASRGNWWKGSLYY >CAK8537804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436599787:436600020:1 gene:gene-LATHSAT_LOCUS7058 transcript:rna-LATHSAT_LOCUS7058 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCNKLSTIWKFIEKWGVTSFGKEFYEFSFSFIVDLQTVRASRAVNIAPGVLKLFTWTKDFNPSLQQQSTTQVWI >CAK8544849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708883086:708883920:-1 gene:gene-LATHSAT_LOCUS13496 transcript:rna-LATHSAT_LOCUS13496 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYWKRNGQIPAFGNWENANELPITQYFENARQAGLIRYSSSSGDTDPDLYGVDFKKLGKTTRNRETTMRKQSKVYDVTEHPRKPMNNKKKTLHVNDVVVRKAPLPTRLPKPVDEDLYKISPELLRTTKRKKMLGFISKCLVPTACVS >CAK8566361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413595879:413597948:-1 gene:gene-LATHSAT_LOCUS19496 transcript:rna-LATHSAT_LOCUS19496 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQIQFALRCCLRLRTIQNAKSLHSYIIKSGHFNHVFLLNNMISVYAKCYSFNDARNLFEEMPHRNIISWTTMVSAFTNSGRPREALMLYNKMLESKTEQPNQFLYSAVLKACGLMGNVELGKLVHHHIFQSKLDVDTVLMNALLDMYVKCGSLREAQQVFYEIPCKNATSWNTLILGHAKHGLIGDAMKLFDKMPEPDIVSWNSIIAGLVDNDSPHALRFVSMMHMKGLKLDEFTLPNALKACGLGGELMLGRQIHCYIIKLGIESSCYCISTLIDMYSNCKFLGEAVTIFDQFFGSSSVSESLALWNSMLSGYVSNGDYDEALSMISRMHHSGVLFDFHTFSIALKICIYFDNSSLASEVHGLVITSGYELDFVVGSILIDLYSKRGNMDNALRLFERLPDKDVVAWSSLIAGCASFGSDKLAFSLFMDMIHLGLQIDHFVISIVLKACSSMASHQRGKQVHTLCIKNGYESERVITTALIDMYAKCGDIEDALVLFGCLSEVDTMSWTGIIVGCAQNGRANEAISLLLKMIESGTKPNKITILGVLTACRHAGLVEEAWTVFNSIETKHGLIPCPEHYNCMVDILGQAGRFEEAGKLISEMQFKPDKILWSSLLGACGAYKNRHLANIVAEHLLATSPEDVSVYIMLSNVYASLGMWDSLSKVRETVKKLGKKGAGKSWIEISG >CAK8566362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:413595879:413597819:-1 gene:gene-LATHSAT_LOCUS19496 transcript:rna-LATHSAT_LOCUS19496-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVYAKCYSFNDARNLFEEMPHRNIISWTTMVSAFTNSGRPREALMLYNKMLESKTEQPNQFLYSAVLKACGLMGNVELGKLVHHHIFQSKLDVDTVLMNALLDMYVKCGSLREAQQVFYEIPCKNATSWNTLILGHAKHGLIGDAMKLFDKMPEPDIVSWNSIIAGLVDNDSPHALRFVSMMHMKGLKLDEFTLPNALKACGLGGELMLGRQIHCYIIKLGIESSCYCISTLIDMYSNCKFLGEAVTIFDQFFGSSSVSESLALWNSMLSGYVSNGDYDEALSMISRMHHSGVLFDFHTFSIALKICIYFDNSSLASEVHGLVITSGYELDFVVGSILIDLYSKRGNMDNALRLFERLPDKDVVAWSSLIAGCASFGSDKLAFSLFMDMIHLGLQIDHFVISIVLKACSSMASHQRGKQVHTLCIKNGYESERVITTALIDMYAKCGDIEDALVLFGCLSEVDTMSWTGIIVGCAQNGRANEAISLLLKMIESGTKPNKITILGVLTACRHAGLVEEAWTVFNSIETKHGLIPCPEHYNCMVDILGQAGRFEEAGKLISEMQFKPDKILWSSLLGACGAYKNRHLANIVAEHLLATSPEDVSVYIMLSNVYASLGMWDSLSKVRETVKKLGKKGAGKSWIEISG >CAK8544778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705398770:705400469:-1 gene:gene-LATHSAT_LOCUS13431 transcript:rna-LATHSAT_LOCUS13431 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSIAEITEQYWLTSKACKEGESKMNRSDSEWAFQQFLQQQEQEAANTSVAKPCSSSSTSTSTSSSNVDLKLKINNNKNSNDNNINDSQDYYAILKTKLDLACAAVAMSRGSLVKSQEPDNGSHAPYTSELGPSGNDSSKLLNKDVMKVGQKKPVVSIRSTTSGSSDDEEAEGEINMNGDTNPSDAKRVRRMLSNRESARRSRRRKQAHLSELETQVSQLRGEKSSLLKNLTDVTQKYNDSAVDNRILKADVETLRAKVKMAEETVKRFTGLNPMFNEISELSSMGMGMSLFDGSPSESSAEASMPEGSNNHFCQPASNNLTSSHNIRAENMQKTAAGNKIGRTNSLPRVASLEHLQNRIRGGADEEK >CAK8534174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702096445:702097944:-1 gene:gene-LATHSAT_LOCUS3740 transcript:rna-LATHSAT_LOCUS3740 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKSLLSFTFTLSLFITTISLFPSPTSSRITPSHTSLLDVSSSIHQTKQVLNFNPNQNLLESSNNQEKITQQKPISNSSHSFSILIHPRETLLNEHHKDYKKLVLARLARDSARVNSLTTKLKLSLNNIKKSDLQPTQVELQQEDLSTPVSSGTGQGSGEYFTRLEVGQPAKPYYMVLDTGSDVNWIQCKPCSECYQQTDPIYDPTSSSTYESLTCEAQQCQKLEVSACRSGKCLYQVNYGDGSFTVGEYMTETVSFGSSGSINGVAIGCGHDNEGLFVGAAGLLGLGGGALSLTSQIKASSFSYCLVDRNSDKSSTLEFNSLKPADSVTAPLLRNQKLDTFYYVQLTGMSVGGQMVSVPPEIFSVDQTGNGGIIVDSGTAITRLQTQAYNSVRDEFKRLTQNLRSAEGIALFDTCYDLSNLQSVRVPTVSFHFGADKVWDLPAKNYLIPVDSSGIFCFAFAPTSSALSIIGNVQQQGTRVSFDLANNLMGFSPNKC >CAK8567928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557076801:557079930:-1 gene:gene-LATHSAT_LOCUS20937 transcript:rna-LATHSAT_LOCUS20937 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKSTTILTYVKSRITPLLVADRRKPNLTGLHSRRFNSTAAASPSVHDQAIDFPGGNVKFIPQMKFLSESTQERIPCYRVLDDNGDLILGTQSLQVSEDLAVKMYNNMVALQTMDTIFYEAQRQGRISFYVTTNGEEAINIASAAALSMDDVIFPQYREQGVLLWRGFTLQEFANQCFSNKFDNGKGRQMPAHYGSNKHNYVTVASTVATQIPQAVGAAYSLKLDKKDACAVTYFGDGGSSEGDFHAGLNFAAVMEAPVIFICRNNGWAISTPTSDQFRSDGIVVKGQAYGVRSIRVDGNDALAIYSAVQAARQMAVSEKRPILIEALTYRAGHHSTSDDSTKYRPAKEIDWWRSTRDPLARFRKWIERNGWWNDIAESELRNSLRQQLLQTIQVAESVEKPPLADMFNDVYHVPPFNLREQEKWLRETVKKHPQEYPTNIPM >CAK8567929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557076801:557079927:-1 gene:gene-LATHSAT_LOCUS20937 transcript:rna-LATHSAT_LOCUS20937-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTTILTYVKSRITPLLVADRRKPNLTGLHSRRFNSTAAASPSVHDQAIDFPGGNVKFIPQMKFLSESTQERIPCYRVLDDNGDLILGTQSLQVSEDLAVKMYNNMVALQTMDTIFYEAQRQGRISFYVTTNGEEAINIASAAALSMDDVIFPQYREQGVLLWRGFTLQEFANQCFSNKFDNGKGRQMPAHYGSNKHNYVTVASTVATQIPQAVGAAYSLKLDKKDACAVTYFGDGGSSEGDFHAGLNFAAVMEAPVIFICRNNGWAISTPTSDQFRSDGIVVKGQAYGVRSIRVDGNDALAIYSAVQAARQMAVSEKRPILIEALTYRAGHHSTSDDSTKYRPAKEIDWWRSTRDPLARFRKWIERNGWWNDIAESELRNSLRQQLLQTIQVAESVEKPPLADMFNDVYHVPPFNLREQEKWLRETVKKHPQEYPTNIPM >CAK8532531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:282235944:282237959:-1 gene:gene-LATHSAT_LOCUS2228 transcript:rna-LATHSAT_LOCUS2228 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKKWMHKETERQRRQEMANLCTNLRSLLPLEYIKGKRSISDHVNEAMNYVNHLQTKVKQLEAKKEELLKMSSLNTNVPQNDSSSSTTNLQPFVIVQPFPGGIEIVCSHSFNKCLFPLSKVLFMVIKEGLNVISCTSNKIDGRFIYTIRSEDPVMTVIDCSELQRKLTEAISSSSLPESSSEPENWQYLEKNVYFESF >CAK8533054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:572729581:572730309:-1 gene:gene-LATHSAT_LOCUS2706 transcript:rna-LATHSAT_LOCUS2706 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSTFKIVFILLLLSYLYVAAVPSTRIYMTKEMDIDIQTKEDMVMDLKNNENLFDMKNEFEKGRMMMDISDYPGTGPNHHHDPKPPGKA >CAK8569329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694759328:694762557:1 gene:gene-LATHSAT_LOCUS22191 transcript:rna-LATHSAT_LOCUS22191 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTIFGFFGFGFGILIGLVIGYFLFIFDQPIDVKDPEIKPLAEEETEALQRIIHEIPLWIKNPDSDRVDWLNKLIEYMWPYLDKAISNTAKNIAKPIIAEQIPKYKIDSVEFEVLTLGSLPPTFQGMKVYLTDEKELIMEPSIKWAGNPNILIAVKAFGLKATIQMVDLQVFIAPRITLKPLVPCFPCFGNIYVSIMEKPHVDFGLKLVGADLMSIPGLYRFVQEFIKEQVSNMYLWPKTLEVPILDPTKAFKRPVGILNIKVIKAMKLKKKDLLGASDPYVKLKLTGDNLPSKKTTVKHKNLNPEWNEEFSLTVKDPETQTLDIHVYDWEQVGKHDKMGTNVIQLKELNVEEPKVFTLELLKNMDPNDPQNDKSRGQIVVEVTYKPFKEEDLNKSFNRIESITKAPEGTPIGGGLLVVIVHEGQDLEGKYHTNPHVRLIFKGEERKTKSIKKNRDPRWEDEFQFMVEQPPINDKLHVEVFSTSSRSLLRQKESLGYVDISLADVVSNKRINEKYHLIDSKNGRIQIEIQWLASKAT >CAK8573235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:595982386:595986464:1 gene:gene-LATHSAT_LOCUS25707 transcript:rna-LATHSAT_LOCUS25707 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPAASPSNGYWFILKGLSLLPIWHYFLILTSLLICFLYNFLEIHFFEDLFTGFSGSAVDFTYNSSSEIYNAVVSKCRILQGRYSVTPWLSSPHLQTVFVNFFGRPPVFSYKRQLFTTSDGGTLALDWLTNSDDSSSVVNTDESTPIVILIPGLTSDSSAPYLKHLAYHTAKRGWKVVVSNHRGLGGVPITSDIFYNSGWTEDTRTVVNYVHKENPKAPLFIVGNSVGANILVKYLGEEGENTPVAGAVAVCSPWDLLITDRFITRAPVQKFYDKALTGGLQDYAKLHQPLFSRLGNWEGIMKALSIRDFDDHATRLFAKYETVDTYYRRCSSTPYVKSVSIPLLCISALDDPVCTKEAIPWDECRLNKNIVLATVKHGGHLAFFEGITASSLWWVRAANEFLDVLHSSHYMHEQKKVSKPKAPLDSSIDQSPYINVTEDGMVAALNNEPTTENQKKNK >CAK8536550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953952691:953954303:-1 gene:gene-LATHSAT_LOCUS5908 transcript:rna-LATHSAT_LOCUS5908 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLKTKPFTKLIPLSSSFRAISLFIFISIFFFTTSKIVILSISSSSTHATESVSTDVNHLVFGIASTRNSWPNRKQYAKLWWNKKMKGCVFVDNLPPEQNDSDDFVPPLCVSEDTSKFHYTYRGGLRSAIRVARVVKEIVGLNNHSNVRWYVFGDDDTVFFPENLAKTLSKYDHRLWYYVGAYSENYEGSHTFGFGMAFGGGGFAISASLANVLSTVFDSCIERYSHLYGSDARVFSCIAELGVGLTYEPGFHQVDLRGNIFGLLAAHPLTPLLSLHHPDVTDSLFPHKTTSKSLQLLFKAANADSQRILQQTVCYDKHFSRAISVSWGYAVQVFQNNELLPDILRVQETFKPWKENLPYAGIYTFSTTKIHQDPCERPAIFYLDNVSSGKDGIISRYTKSFRDCSNDKISVKNLEVVKVITEKLDLDTKQLQSPRRQCCDVLQSNSGQGMEIAIRECKYEELIHMH >CAK8567864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551599742:551600056:1 gene:gene-LATHSAT_LOCUS20881 transcript:rna-LATHSAT_LOCUS20881 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLMARRAENERKRRQNMNLEQRENYLSIRCDNYSRQKEKDKQAQTSRTMNSRRRVPFQNFTNMRSPISHFQGTHDNEVGPSRITHINDVALGW >CAK8570275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33464731:33466472:-1 gene:gene-LATHSAT_LOCUS23038 transcript:rna-LATHSAT_LOCUS23038 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVLEALDSARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYFDPSTGKPGKLPPTINNVVTGVALVGTLTGQLFFGYLGDKLGRKKVYGITLILMVACAICSGLSLGSSAKSVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGVGIIFAGFISMFFSAIFRSYYKAPIFSKDEVLSTQPEGDLLWRLVLMIGAVPAAMTYYWRMKMPETGRYTAIIEGNAKQAAADMARVLDIEIIAEQDKLTEFKAANDYSLWSSEFLNRHGRHLIGTMSCWFLLDIAFYSQNLTQKDIFPAMGLIDKDSAMNAIEEVFQTSRAMFIIALFGTFPGYWFTVFFIEKIGRFKIQLVGFFMMSFFMFVIGIKYEYLKSDNKNLFALLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAAAGKAGAMVGAFGIQYYTLDGKPSKIKLTMMILAVTNMLGFFCSFLVTETKGRSLEEISGEDGRESELTATPNDRVLGTHQDSRTEKM >CAK8538345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478335403:478337564:1 gene:gene-LATHSAT_LOCUS7557 transcript:rna-LATHSAT_LOCUS7557 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPVIRANDTIKLDLEENKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGTFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTVIEEARKRAAAAQQAIAA >CAK8572823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565728399:565735743:-1 gene:gene-LATHSAT_LOCUS25345 transcript:rna-LATHSAT_LOCUS25345 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFTNLNPIYRTCITRLSSSKFLIPAPEPLNFSQSDSSFVSSHNPAFKIPRRWHFGHSHHDQDRQLPQQLNDGENIFRLGLAADVALATGKAITGYLSGSTAIIADAAHSVSDVVLSGIALVSFKLAKAPRDKEHPYGHGKFETLGALGISCMLLATGGGIAWHAVDILTGLFSAAPEMISQAMAHEHVHSHGKDGHHHGIDMDHPILALNMTLVSIGVKEGLFWITKQAGERQGSGLMKANAWHHRADAISSVVALVGVGGSILGVKFLDPLAGLFVSVMILKAGAETGYQSILELVDAAIPAHHLDPIKQTILQVEGVKGCHRLRGRRAGSTLYLDVNIEVDPFSSVSSAHDIGENVRQQTHKFHPTVTEMFIHIDPAMSHASPSTTDQQDSWSGDMDQNSIAPAGDSNIEGIVSDIISANFPQMSVERITRHMFQSKIVLQIEVSMPPDIPIRNAMEMAEKAEREILKATSNIIHVGIQLRLGRPFPHISQS >CAK8561534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:278434390:278435184:1 gene:gene-LATHSAT_LOCUS15116 transcript:rna-LATHSAT_LOCUS15116 gene_biotype:protein_coding transcript_biotype:protein_coding MDKACTKLPRHWKKYRKGVKSFLDFAYTTGRPQGREISCPCAHCENCKWERRHVVRDHLIAVGFVKGYDVWVNHGEDISSPMKIKEGTKKQENSLDDIGGLFYDTFRNVVEAEESSEAHNEDARKFYKLINEAKQELYPGCESFSTLSFIIQLYLLKCLHGWSNASFTSLLELLKEAIPELNIPESFNKTKAMISDLGLDYKKIHACPNDCMLYWKEHENDNSCNICKASRWKEFPQVESESSEHAKYDHKVPAKVLRHFPLIP >CAK8576699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507386077:507386352:1 gene:gene-LATHSAT_LOCUS28872 transcript:rna-LATHSAT_LOCUS28872 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSIISRTSFSRTQGSTKGFDVPKGYLAVYVGEKMRRFVIPISYLNQSLFQELLNEAQEEFGYNHPMGGLTIPCSEDEFLNLTYGLSEL >CAK8571570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:441990204:441992206:1 gene:gene-LATHSAT_LOCUS24218 transcript:rna-LATHSAT_LOCUS24218 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIPNDPFSLSTSLGAFTQEQNTNPNPKPNTPPVPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSNKDVIKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITSATTTNLNFKNEESDGSMMGSHSHSHSHLQHGLSHGNGMLQNISGIPHPQFGSHGFHVDFNGIGNNNSLIMEQQQRPSLSLWLNQGNQITEMGQSSGLFGSSGLSEIVQMGNAGINNNNALIGSSSSMLSSYGVPASNSTPANLSLSSLPIGKRGESSTSSVYNSDGQNKQLSKTVSATPMSATALLQKAAQMGSTRSASNNNINNNGSIFSASFGVMTSPSSSNSSFDQFLMHGQESEQGKMNLLHHGGSNSMDQVQHSNLTRDFLGVSGTSGGPPQFLPQELAKFASSIQFTGNQ >CAK8576049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:392680425:392682468:1 gene:gene-LATHSAT_LOCUS28267 transcript:rna-LATHSAT_LOCUS28267 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCRGSHEHGLFRAVQHGHLQTVVALLQTHPSLIHRTTVYDHHSPLHIAAANGQIQVLSRLLDGSANPDVLNRQKQTPLMLAAMHGKIDCVEKLLEAGANVLMFDVCHGRTCLHYAAYYGHSSCLKAILSAAQTSPVAASWGFSRFVNIRDGRGATPLHLAARQRRSECVHTLLCSGALVSASTSRYGCPGSTPLHLAAKGGSLDCIRELLAWGADRLQRDASGRIPYVVALKHKHGACAALLNLSSAQPLVWPSALKIISELNPEAKTLLEQALMDANREREKNILKGSPYSLPSPSHPDQIDDNISEVSETEQCCICFEQVCTIEVQDCGHQMCAQCTLTLCCHNKPNTSASQPTPPVCPFCRSAISKLTVIKIETHEDIDQDGTPDTTYFKPSKSRKYRNMNEGCSSSFKGMSNVNSYGKMNGRSSGMIASENEWVDDKPQ >CAK8567786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544625727:544629663:-1 gene:gene-LATHSAT_LOCUS20809 transcript:rna-LATHSAT_LOCUS20809 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLLCRKTLSSVLRNDAKPIGAAIAAVSTQSRGLHVFTLPDLAYDYGALEPVISGEIMQIHHQKHHQTYITNYNKALEQLHDAVGKADTSTTVKLQNAIKFNGGGHINHSIFWKNLAPVSEGGGEPPKESLGWAIDTNFGSLEALIQKINAEGAALQGSGWVWLGLDKDLKRLVVETTANQDPLVTKGASLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKHASEVYEKESS >CAK8562850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547558209:547558532:-1 gene:gene-LATHSAT_LOCUS16314 transcript:rna-LATHSAT_LOCUS16314 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIGTTYATSENTVSTPLPTIFSEIPPPHIKKRKNCLEVWNHFIVSSEEEQKASCKYCDIKIKYNNGTSSMHAHLLRCLFYKRKRTSSSMTSAEEHVGSPLIVKFD >CAK8579250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687652678:687656322:-1 gene:gene-LATHSAT_LOCUS31211 transcript:rna-LATHSAT_LOCUS31211 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTVLDDIIRKLVSAKNGRTTKQVNLTEADIRQLCTSAKEIFLSQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALVDEKILCMHGGLSPELKNLDQIRNIARPIDVPDHGLLCDLLWADPDKDLEGWGENDRGVSFTFGADKVAEFLEHHDLDLICRAHQVVEDGYEFFAKRKLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKSSDKKGKGGFGNNTSRPGTPPHKGGKA >CAK8560089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7147184:7150190:1 gene:gene-LATHSAT_LOCUS13802 transcript:rna-LATHSAT_LOCUS13802 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQIVCGVAENLINRLASAAFREFGRIYGVMDELERLKRTLDSIKAVLFDAEDKQQQSHAVQIWIRRLKDDVLHPADDLLDEFVIEDMKYKIDETSKNKVTKVLHSFSPNKIVFRSRMAHGIEKIQKKFKDVVKDMIGLNLTPNVVVAEQSNNVNRETSSYVLESDIIGREDDKNKIVSLLRQSHGNQNVSVVAITGIGGLGKTALAQLVYNDAEVAKIFEKHMWVCVSNKFDVKTILKKMLESLTESEVSDKLPLENLQKMLRDNLTGKKYLLVLDDIWNESFEKWTQLRTYLMCGAQDSKVVVTTRTKIVAQTMGVSVPYILNGLNPIQSWSLLKKIITYGDETKEVNQILEPIGKKIAEKCSGVPLAIRTLGGLLQGQNDKKEWIAILQGDFWKLCEDEESIMPVLKLSYQNLSPQLRQCFAYCSLYPKDWEIQKDELIQLWMAQGYLEFSDEKLTVDDIGNQFVKILLMKSFFQDAEVDDDRDLNSFKMHDLIHDLAMQVAGNDCCFLDNETKRLVGSPMHVMLSDAIGLLESLDASRLRTLIFENNISGNWYEKELSVISKFKFLRFLKLSYCSISKLCDLIGNLKHLRYLQLWYCAGLEGIFKSIGNIVCLQTLILVECRVFEFSTKDILNLINLRYLHIENLKAFKEKKTTAGFGKLSLGEQYKGFIFSNWLSSLTNIVKIFLRNCQGVQYLQPMECLPFLKYLFIGDLHELEFIYYEEPLLSEAFFPSLEVLRIFRCQKLKGWRRIRDDNSSQSYHLSFLPCLSYLTIVDCPMLSHMPTFPNLDKKLDFFNCNTDALEATLNMVKLNSSIEFPPLSMLKFLILGGHELNVKTLPNDWMGNLTSLKHLEFYNLPNETFQEIEIWFEDKLNHLPSLQKIKISQCFELEAFPVWICNLSSLEHITISECQILASVPDGMPHLTNLHTLEIIQSPLLIDECRNETSATCLKIAHIPNIILK >CAK8539227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507154468:507154908:1 gene:gene-LATHSAT_LOCUS8340 transcript:rna-LATHSAT_LOCUS8340 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAYNVNVNGLGGCVILLQVWGFTRIPFLAPISSNTPRYSYTSLLQTTRRRKISLATLSITSRLSFQHRTYVTKQLHMEVISWIITITK >CAK8532908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:548567665:548578273:-1 gene:gene-LATHSAT_LOCUS2570 transcript:rna-LATHSAT_LOCUS2570 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLNEGVPDLNKGEQGKNYDFDLFIIGAGSGGVRAARFSSNFGAKVGVCELPFHPISSETIGGVGGTCVIRGCVPKKILVYGASYGGELEDARNFGWELNEKVDFNWKKLLQKKTDEINRLNGIYKRLLSNAGVKLFEGEGKIASPNEVEVTQLDGTKLSYSAKHILISTGSRAQRPNIPGQELGITSDEALSLEEFPKRAVILGGGYIAVEFASIWRGMGSTVNLVFRKELPLRGFDDEMRSVVARNLEGRGINLHPRTNLTQLTKTEDGIKVITDHGEELIADVVLFATGRAPNSKRLNLEKVGVELDKAGAIVVDEYSRTNVPSIWAVGDVTNRLNLTPVALMEASLFAKTVFGGQASKPDYNDIPYAVFCIPPLSVVGLSEEQAVEQTKGDILIFTSTFNPMKNTISGRQEKTVMKLVVDAQTDKVLGASMCGPDAPEIVQGIAIAIKCGATKAQFDSTVGIHPSSAEEFVTMRSETRRVTGGVKPKTNL >CAK8570698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89408753:89409256:-1 gene:gene-LATHSAT_LOCUS23431 transcript:rna-LATHSAT_LOCUS23431 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIAGTKKLNMGGACIEGVSAGCRGLVINEEGCLMGGFSKNAGSCSSYMAELWGLLEGLIFILDLGFRRAEVNTDSSEIVVEINQGRSRRSDRVELLRKIESLLDRFDEVVDLRTFREANQCVDALAKTGCRSNEDMHWFSTASSFIEQLLLEDILGGYTLREVSL >CAK8540465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8273875:8275843:1 gene:gene-LATHSAT_LOCUS9468 transcript:rna-LATHSAT_LOCUS9468 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGGETPSRYELLSMVKKHSNLIGKTVVEDQDAPDVEMDMKFWHDVFDLYFLRGKDSRGRQDDDLVFFVRKLVSRASNNDAETLDPYFVRRWAPKLSTLVDETSIDVDWRRSFYLNLIAHTSFTVTVAICRFAAEIRSGKLEK >CAK8538122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464621680:464623776:-1 gene:gene-LATHSAT_LOCUS7356 transcript:rna-LATHSAT_LOCUS7356 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNSRGVRKGAWTYEEDKLLKACIEKYGEGKWRLIPQRAGLNRCRKSCRLRWLNYLNPTINREPFSEDEVDMILRLHKLLGNRWSLIAGRLPGRTANDVKNYWHTHLRKKMISKKLDEKKENKKHKETMKTHEVIKPHPRTFSSYSPWLNEKQNLMKPIVTVSAKDVHVAKDANVTKDNIARQINGDGDCVASPSFGNLTLSATWWESLLNESNAKIGSCSLLQERNSILELPNIETNFIEGSSSFGDFYWDFTTCGFNSHLDI >CAK8565332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:136056388:136069714:-1 gene:gene-LATHSAT_LOCUS18554 transcript:rna-LATHSAT_LOCUS18554 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMSTMDRVQRFSSGCCRPQVDNLGMGNCWIEGRSCSTSNSCNEDNEDYPWKRQTRDMSRGDSFSQKTTILGRNSKFGIVNNSFYTSDYQYSQIRNNKDMQDMAYKFMKGMPEFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVVEATSFVSPKWVPQLADAKDVMQAVHNLGGIRLPVLTPNLKGFEAAIAAGAREVAVFASASESFSKSNINCSIEESLVRYRIVTRAAKELSIPVRGYVSCVVGCPVEGSVPPSKVAYVAKELYDMGCFEISLGDTIGVGTPGTVVPMLLAAMAVVPIEKLAVHFHDTYGQSLPNILVSLQMGISAVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDIEKLMSAGDFISNQLGRPSGSKTAIALNHVTADASKI >CAK8543200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587409424:587411982:-1 gene:gene-LATHSAT_LOCUS11973 transcript:rna-LATHSAT_LOCUS11973 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFLSDIANSLLRKLASYAWQEASRAYGVYDDLQRFRDSLTIVRGYLLDAESKKDQSHVLREWLKQIQNICFDAEDIFDRFELQDKSKQIVESSGSTRKKVSHLFSKYNPIVFLTRHQIKDISNRLNKKAAEGITYGLTTILEHVVRERYLTYPYVNDLRVIGRDIEKEEIIRLLMQPFPQGDGDKRMCVIPIVGMEGLGKTTLAKLVYNHKRVDQRFQFKLWVCVSLNFDIKQIIINIINAASLTRQENINNLDIGQLTDRMRQKLSGKIFLLVLDDMWNEDREKWIQLKDLIKVGAPGSKIIVTTRIKSVASMMGNVPSYELKGLSPKECLSLFVEYAFKEGEENRYPDLKKIGEEIVEKCHGVPLAVITLGCSLFSEFNIGKWEFVRDSEMWNLEQKEDGILPDLKVSYDQMPSMLRRCFACFSLYPKDHIFNSYDMCNLWVSLGLVQSQNGSEKLEDAARKCIDELHLRLFVQDVDDHGAFCNFKIHDLIHDLALYVAGEDFAAVKSQTRNIPQQARHLSFVEYESFGHALLPESRSVRSIQFPISGIGLESQSLLNTWLSKYKYLRYLDLSDSSFETIPNSIAKLEHLRSLDLSYNDKIRTVPYSICELLNLQVLGFHGCTKLEKLPKGLGKLINLRSLTVTTKQPMLPHDEFASLNNLQTLRFYFCGNLKFLFKQPLPSVEELYVGSCGSLECLPLYIFPKLKTLSIRDCQMLNLSLDNENSIQNLRMKHLYLFDFPKLLKLPRWIVFAVDTLETFAIVNFPNLQKLPEYLSSLTRLKRIFISFCSQLSTLPSHLHRLTALEDLRIFDCPELYRKYQPYSGEYWPMICNIKTIHIEEGDEEEEP >CAK8544952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714321132:714321446:1 gene:gene-LATHSAT_LOCUS13591 transcript:rna-LATHSAT_LOCUS13591 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSKSFKQIHHHGRQVDIPKGYVAIKVGHGEEQERFTVPVSYINHPFFVHLLKEAEEEYGFSQQGTISIPCRLKEFKDILQHMIGMENKSLQHQYHLVGCFKA >CAK8544953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714321135:714321446:1 gene:gene-LATHSAT_LOCUS13591 transcript:rna-LATHSAT_LOCUS13591-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKSFKQIHHHGRQVDIPKGYVAIKVGHGEEQERFTVPVSYINHPFFVHLLKEAEEEYGFSQQGTISIPCRLKEFKDILQHMIGMENKSLQHQYHLVGCFKA >CAK8563281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589312398:589312559:1 gene:gene-LATHSAT_LOCUS16707 transcript:rna-LATHSAT_LOCUS16707 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKGNLTGWIARVSAGQVLFEIDGVSLSNARQAATLAAHKPCSSTKFLQWS >CAK8563655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622827761:622829554:-1 gene:gene-LATHSAT_LOCUS17040 transcript:rna-LATHSAT_LOCUS17040 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLLKSLSSSSTFSLSRLHKFSFHTSTKRDLTIVTPTHSNFVKSGLSNDTFTTNNLIISYLKLLRINHAQKLFDEMPHPNVVSWSLLMAGYVSQGQPNMALRLFLHMQGTLVMPNEFTFSTLISACSILANLEIGRRIHALVEVSGYHSDLVVCSSLIDMYGKCNCVDEARMIFDFMSVRNVVSWTSMITTYSQNAQGHLALQLFREFNHFRLEKANHFMLCSAVSACASLGRLGSGKITHGVVIRLGHDANDVIASALVDMYAKCGCVSYSDKVFRRIPNPSVVPYTSMIVGAAKYGLGTLSLRLFQEMIDRRIKPNDITFVGVLHACSHSGLIDKGLELFNSMNEKHGVMPDARHYTCAVDMLGRVGRIDEAYQLAISVQVGSEEDDALLWGTLLSASRLHGRVDIALEASNRVIKSNQQVAAAYVTLSNTYALAGDWENAHNLRSEMKRTGVFKEPGSSWVEIKESTYLFHAGDISKCSQKREILNLLRELERRMKERGHVGVTTGLVFVDIEEEAKEEIVSLHSEKLALAFGLLNTPKGITIRIMKNLRMCRDCHEAFKLTSDIVEREFIVRDVNRFHHFKNGLCTCGDFW >CAK8565977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364104546:364113392:-1 gene:gene-LATHSAT_LOCUS19150 transcript:rna-LATHSAT_LOCUS19150 gene_biotype:protein_coding transcript_biotype:protein_coding MREAWRFIAFCCMVVVIMVVVSIPLNLNSQGKRVIDTCFWFGVEYCSNGNIVDLHIGQQRDIPYSRKLTQTSNSANSHKTNIDDHKNTHSPSSSPSSPSPLSTQISPSSSLLSISETPSTSPSSEHSPSPSPEAAPTASYLPFTTSNPPIVMSTPRLSSWISAPSPSSSSNQRNPNSSNRKQHSVIIWSTLGGFSFLVLVSFTVFICFRSSKVVTVKPWTTGLSGQLRKAFITGIPSLKRAELVVACEDFSNIIGSLEDETIYKGTLSSGVEIAVVSSAVASSEKWSKNLQTQFRKKIETLSRVNHKNFVNLIGYCEENKPFTRIMVFEYAPNGTLFEHLHIREAEHLDWRMRTRIAMGISYCLDHIHQLTPPIAHKNLLSSSIYLTEDYAAKISDLSFCTDEVDAKKSSEKTSVEEIKDNVYSFGVILFELITGKIPYAVENGFATDWAAEYIRGQPLRELVDTNMKFLNDDEIEKWSEVINNCVDPDPDKRPSMKEVTCKLKEITSMEPDGATPKSSPLWWAEIEILTSDLC >CAK8537515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:382477803:382479779:1 gene:gene-LATHSAT_LOCUS6804 transcript:rna-LATHSAT_LOCUS6804 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLKKTATNGHEKILIPQEQQGKINEMRKLIGPLSGKALVYCSDASIARYLRARNWNVKKAAKMLKTTLKWREEYKPEEIRWEDVAQEAETGKIYRSNYIDKHGRTVLVMRPARQNSKSTKGQIKYLVYCMENAILNLQQDQEQMVWLIDFQGFNMSHISIKVTRETAHVLQEHYPERLGLAILYNPPKIFEPFFTMVKPILETKTYNKVKFGYSDDQNTKKIMEDLFDMDHLESAFGGNDTTPFDINKYAERMKEDDKKIPSFWTRETSPSSVPIEVPSLVSVKLDTDSDASDNEKIVRSSDSVADTGLVNPDQNLMNQEDLNASAAVH >CAK8541708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359890179:359890754:-1 gene:gene-LATHSAT_LOCUS10605 transcript:rna-LATHSAT_LOCUS10605 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTKLPSSFILGTPSLHSTNHQVSFLQNHNLNFSSSLHKRRVSFTVQAVKTPSGVEFPKVQPQFKRPFLGFTKTAEIWNSRACMIGLIGTFIVELIINKGILEVIGVEIGKGLNIPL >CAK8541709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359890179:359890754:-1 gene:gene-LATHSAT_LOCUS10605 transcript:rna-LATHSAT_LOCUS10605-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTKLPSSFILGTPSLHSTNHQVSFLQNHNLNFSSSLHKRRVSFTVQAVKTPSGLNFQVEFPKVQPQFKRPFLGFTKTAEIWNSRACMIGLIGTFIVELIINKGILEVIGVEIGKGLNIPL >CAK8562783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537329614:537339268:-1 gene:gene-LATHSAT_LOCUS16251 transcript:rna-LATHSAT_LOCUS16251 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDVLLLLLLTSLHGSVARPNRLEWESVIRLPGEPVDPDVDEIGTRWAVLVAGSNGYSNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHELNPRPGVIINHPQGPNVYDGVPKDYTGDYVTAENLYAVILGDKSKVRGGSGKVINSKAEDRIFIYYSDHGGPGVLGMPNMPYVYAMDFIDVLKKKHASSGYKKMVIYVEACESGSVFEGIMPKDINVYVTTASNAQESSWGTYCPGVDPAPPPEYITCLGDLYSVAWMEDSETHNLKRETVKQQYMSVKERTSNYNNYALGSHVMQYGDTNITDEKLYLYHGFDPATVNLPPNNGMLETKIEVVNQRDAEILFMWQMYQRLDDQTEKKRDILEKISDTVKHRNHLDGSVELIGVLLFGPTKGSSVLQSVRVSGLPLVDDWECLKSRVRVFETHCGSLTQYGMKHMRAFANICNRGISEESMEEACMAACGGYDVGLLHPSNKGYSA >CAK8537120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:102152162:102153280:1 gene:gene-LATHSAT_LOCUS6434 transcript:rna-LATHSAT_LOCUS6434 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGQWLWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSIAKLYNLKKFNVSTNFLVGPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRVSLAVDVGPGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVEEKERITTHANFLPQQISQTIMHTGLHLKLYRQYPAANLLHTTACKTKQPAQQLPC >CAK8540317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551763315:551765685:1 gene:gene-LATHSAT_LOCUS9331 transcript:rna-LATHSAT_LOCUS9331 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSLPAVSPRLIPTAFSLNRPLINTIPNLHFKNHRFAVSCSYSGGGGFESSTSTIDVVADVKSEKIVVLGGNGFVGSAICKAAVSNGIEVISVNRSGRPSYSDSWIDQVTWISGDVFYVNWDEVLPGATAVVSTLGGFGSDEQMSKINGEANVLAVNTAKEYGIPKFILISVHDYNLPSFLLSSGYFIGKRKAESEVLSKFPNSGVVLRPGFIYGKRKVDGFEIPLDLVGEPAERILKAIENFTKPLSSLPASDLLLAPPVSVDDVALAVINGVTDDDFFGVFTIEQIKEAAQKVRV >CAK8572939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572249723:572250914:-1 gene:gene-LATHSAT_LOCUS25441 transcript:rna-LATHSAT_LOCUS25441 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRFVYSPFSYICFPKYPPKHVKFPSLPLSALSCSSSSTMEAPPEGYRRNVGICLINSHKKIFAASRLDIPDSWQMPQGGIDEGEDPRNAAIRELREETGVSSAEVIAEAPFWLTYDFPPTVRERLNIQWGTDWKGQAQKWFLFKFTGQDQEINLLGDGTEKPEFGEWSWISAEQVIDLAVDFKKPVYKEVLAAFAPHLE >CAK8539053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502482384:502486272:-1 gene:gene-LATHSAT_LOCUS8187 transcript:rna-LATHSAT_LOCUS8187 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKLDDLPAVALCRERCSFLDEAIHQRYSLAASHLAYINSLTAIGHSLNLFIQHDRALTSSSAAAASPPPSPPPKTHHIATKHSSPSPPHSDSGSHLHFHSDSDDDADELAVHEEHDDFHSHSPSPLHLPHKQNGNYYNGSPQQPQYHNNFHMNFMKNKPPPASSIVYEHRPMSNETVYVGESSSSFYNPYQQPYQQPYQQPYPYNNNNNNNNYNSNYYGYSNPPPSSSFYGSSPPPTVASSKPAPAPPSPPKTSTWDFLNFFDSEEKYYPQTHYTPSATPSRPSRDSKEVREEEGIPDLEDEDEVVKQVHGDQKLVDPPNHSHDHGHLHDEEDESEVEYEVHVVDKKVVDDDDKPKPKPKPNSAFRPGSRNPLEVAKEIQILFQRASDSGSHIADILEVGKLRYHHKATSKMLHLVAPSLSVVSSASRNAQSTDPNNTDLDVELTTRARNLSSTLHKLYLWEKKLYNEVKAEEKMRVVHDRKCRKLKRLDERGADFNKVDLTRTVIRDLSTKISMAINVVDKISMTINKIRDEELWPQLKGLIQGFNRMWKSMLECHHSQCEAIREARILSSVASRMKSGDSHLQATKQLEQELINWTFQFAGWISAQKGYVRALNNWLLKCLLYEPEETSDGIVPFSPGRIGAPQIFVICNQWSQALDRISEREVVDTMHVFTMSVLHIWEQDKLELHQQLVQNKDLERKVRNIDRDDQKLQKQIQALERKIIMPSGEGKGLSVSENILYQSDKSSNLQASLQRIFEAMERFTDESVKAYEELLQRSEEVSAPRNHE >CAK8543675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630783560:630783775:1 gene:gene-LATHSAT_LOCUS12419 transcript:rna-LATHSAT_LOCUS12419 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRGLKPNFKGVVAFLTYAFAQECCRSEGGVRWPCLKCGCRNIISDPNKVKRQR >CAK8560799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44361725:44367454:1 gene:gene-LATHSAT_LOCUS14446 transcript:rna-LATHSAT_LOCUS14446 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSARKPHLHGTPVYYYCPPILEERDSLTSNDVPTDSLTAGLLVGLNVEESTPDTYQPPPTPLPYDTVLGGGPASTDSKSGRDTVSSSSFETLVTCEDIEESDPKAQAKSAPLSPSKEELWKSNKPQALVTEEEDGCPICLEEYDAENPKTLTKCEHHFHLACILEWMERSDSCPICDQEMIFDQELD >CAK8576595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496659428:496660760:1 gene:gene-LATHSAT_LOCUS28771 transcript:rna-LATHSAT_LOCUS28771 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTFILLFIVLLFPVTAFSSENDGEDPIIRQVVDDGGVRLGAEHHFNQFKHRFGKVYSSKDEHDYRFNVFKSNLHRAKRHQIMDPSAVHGVTRFSDLTPREFRNSVLGLRGLRLPSDATPAPILPTDNLPADFDWREKGAVTAVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYILKSGGVMREKDYPYSGTDKGTCKFDKTKIAASVANFSVVSLNEDQIAANLVKNGPLAVAINAVYMQTYVGGVSCPYVCSRKLDHGVLLVGYGSGAYAPIRLKEKPYWIIKNSWGENWGENGYYKICQGRNICGVDSMVSTVAAVHTTTTPQ >CAK8535180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821033564:821035123:1 gene:gene-LATHSAT_LOCUS4654 transcript:rna-LATHSAT_LOCUS4654 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKGKNLCYKRKSLTIKSTKIIVSDRKFLIDFIITTYLGPDVKSDNPKCSSLQRLFSGLPPYSSNDLGSSYVTIPLLEKLYYYLLKNALPELILDLNMFHMYLKGKLDLPNSEFSEGCQQFTNIFPLNLHPQIWYPDSFRIIKGVVLIDDPLVTMCVKDEDLDRFRSLTGVSTFKLDLSECLGVRVHPQLSRESDNDNDSGCVNNEGSQSKKFQPECTRKYAGEDTPLMSEFPNTSSGDPSSNMMCHSDGPSMMPLISLSHVDHCVQDCSVVLTGTAKRGILGPSVGVVDIGISKVAYLFRVSLPGVKREYNQFSCDIESDGKVEIRGLLSGGRTIEKQSRFFQMKTQQLCSPGPFTLSFNLPGPVDPRLFAPNFRTDGIFEGVVIKL >CAK8537499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:377214058:377215664:-1 gene:gene-LATHSAT_LOCUS6788 transcript:rna-LATHSAT_LOCUS6788 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGVLVSDQWLQSQFTQVELRTLKSKYVSQRTLSGRVTVGDLPHVFNKLKAFSELFTEDEIKDVLAESYQNIDDEIDFESFLRAHLNLQARAIAKDGGSKSSSSFLKAATMTIHHAINESEKASYVAHINSYLAEDKFMKQFLPIDPAANALFDLANDGVMLCKLINITVPGTIDERAINTKRDLNPWERNENHTLGLNSAKAIGCTVVNIGTQDMVEGRPYLILGLISQIIKVCY >CAK8533952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674815700:674816806:-1 gene:gene-LATHSAT_LOCUS3535 transcript:rna-LATHSAT_LOCUS3535 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVISNNTLLLSPVGNRISVTDLRKSETTTLPIQASSNISRIVVSPDGTFLLAIDDNNRCLFINLRHRALLHRITFKHRVGAVKFSPDGGLIVVVAGKLVQIWRSPAFRKEYFPFELVKTFADFHAKITAFDWSPDSKYLLVASKDLTARILCLKKLSGGDKYKPFLFLGHRDSVVGSFFGIDTKTNKVSKVYTVARDCYLLSWSFTGDQDEESSAPPSPGTPDGELKVGDDDVVQKRKERETEDGGGHLCRGKWELLRKDCFNQAPAKVSACHYHRGLDMVVVGFSNDVFGLYQMPDFVCIHMMSVSKKNITTALFNDLGNWLSFGCAKLGQLLVWEWRSESYILKQQGLL >CAK8567096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486541519:486542592:1 gene:gene-LATHSAT_LOCUS20180 transcript:rna-LATHSAT_LOCUS20180 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILKFRRILYTIEPTRKESYGALRHCHFEKLCRVGLFWDLDNKPPNSIPPYEVANKLRIAAASFGVVRHMVAYANSHTFSHVPCVVRESRKERQLLYSLENKGVIKRNEPHLCRVCGRKFYTNEKLVNHFKQLHEREHAKRVNQIESARGSRKVKLVGKYSMKMDKYKKAARGVLTPKVGYGLADELKRAGFWVQTVLDRPQAADVALQKHMVEMMDHRRVECVVLVSDDSDFVDVIKEAKLRCLKTVVIGDISSNGVLKRTADTAFSWEEILMGKAKKEAVSVMENWKDRDILKRLEWTYNPDVDKKKLNMDDTVAEASGDDDIEDIYDEIDNDYNDDKGSWWKLDSDDNDVTS >CAK8567827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547822698:547822982:-1 gene:gene-LATHSAT_LOCUS20848 transcript:rna-LATHSAT_LOCUS20848 gene_biotype:protein_coding transcript_biotype:protein_coding MMISWNVRGINNSGKCQEVVSLLKQMDPKIAIPLKTRVKPQIALKVRNKSGQKWKMIDNYSKHNNGRIWILCDETRIKVTTHTMSTQFIYCIVY >CAK8537704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421895012:421895395:-1 gene:gene-LATHSAT_LOCUS6968 transcript:rna-LATHSAT_LOCUS6968 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVVSNKTSHNEKTSSSWRQNSNRKSARNRHVRSCTFRIPWQSEFSPIEVFKDLAERVTNSLRLVSQRKSLHRDSSSLGRSASAGSSADSHRTAAVEDCIEFIHSSFSRSNSSTKTSREDSIEPS >CAK8572391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533834429:533835364:1 gene:gene-LATHSAT_LOCUS24962 transcript:rna-LATHSAT_LOCUS24962 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTPHNTNPLNTEPRKKLIVKLSYPPGSRKRDSDSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTTFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRVQDTKECNMLL >CAK8577225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545591280:545591525:1 gene:gene-LATHSAT_LOCUS29351 transcript:rna-LATHSAT_LOCUS29351 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLRGRRGLIPNFEEGVKGFIMWAFAQECCRREGGVKCSCLKCECRPIISDPEEVERHLKRKDFIKNY >CAK8578869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659800729:659806158:-1 gene:gene-LATHSAT_LOCUS30851 transcript:rna-LATHSAT_LOCUS30851 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFDDIDPTPTTRARPGARFAPKAKPKLPPRKNVSASKDENNVHVASSTTHKASEGVSQNESHNAVAVTSSIEKPIKSDHHHPDIHLNNENELNNLAAPSTISSTMDERPQNGEGSGSFLGTDKSLQVTDNSPEVSLNVGFKSASGDNNTAIPESNGHSSFEFGQVGEVLSAEIELDPFSNVLPDPGPGNARKFQPKVKPRPRVSDTPAIASASSGIPFSENNRSIEAVIPSHPDFLNMTSEDVVHEGTSDLPSSFGKSAAETTDILLGLESLDGILNQAATATGKPDLKSSDVKDAEENFVLPEYDNKSRSESQEGTNLNPGCTIDNAYDYQSMKSGTDPPSGIPRHEWVTNSADSPTLADFLQLDSIREKEDANGTKKSLKKHKRSSIAVVEDKGGKTLRQLRKQAVRKPAENSLNEAIEDDDVLNPLYDFDGDELEEDSDEYRVDYPSKKKRASTSSKKKSVTKNGKASRKCKKANDDIEKVSESPVKNGKASRKRKKANDDIEKVSEVPPKKFPHSSRRRKRHVDKALLDDEFLDQRTLPIRDIILLADYKERLAKKDAATSKISSTNQSDWDVLHEADGNNEEQFFGSDDEYRDPDDDQASEKLTSTAPLLNYHSFMNKAPRAKWSKQDTELFYKAVSFCTDFEMIQKLFFPDKTRHQVKLKYKKEERQHPLRLSNAVNNHATDLCHYKLVAESLQQTSNKVEQDTASDDAPDFMLGEEVEDPTPGTNKGVATTEKEDNIGVKDQEDPMEDQNLEQSDDSEDELQKWAQYQSAI >CAK8577485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568186457:568187728:1 gene:gene-LATHSAT_LOCUS29589 transcript:rna-LATHSAT_LOCUS29589 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQVCSSVDAIRAFLEHFVDPMLPEKPSIQDDPPLSQQQKIANQVHSVVLLYNYYHRKQNPDLSYVGFREFCKLIVDMRPALLPYMKFTAKPNETDLVDVEEQLSLTGKAITSSYDICTILNPSRSVPNVEGWPISKVAVLLVDSKENCFLRFCSTTGGVWSLIEKDVDTSGQISEVTRDVKSTYQKRRVIKKPSKDGLNEGRILEVGYSAVKEAAGTGVNSIDIMLLKSYTVYSQSKEKTASRFYIMKCSKLISEGFIQVPIIDLVKSFQGPLVKRSSSSWMVTPVVKHFHMLPYSEIISEWISSETFSNSLQDSKPAEKQLLTRGVTESRVSNEDMSFGLDNKTCSDPVEALNQKGNNGFCSNKRCSTVKKDQDMDMNNSLVFRSKIKEECQQHIANTLQVSEDQKIENPSAAKFLHFQ >CAK8561123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:98148235:98162789:-1 gene:gene-LATHSAT_LOCUS14740 transcript:rna-LATHSAT_LOCUS14740 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENAIDLLQRYRRDRRVLLDFILSGSLIKKVVIPPGAVTLDDVDLDQVSVDYVLNCAKKSEMLELSEAIREYHDHTGLPQMSDTGSVGEFYLVTDPESSGSPPKRPPPPVPISAVPPIAVSTPPHAFPPSSIASNLSRSESLDSAQERELTVDDIEDFDDDEDISTVEGLRAKRTLNDASDLAVKLPSFSTGITDDDLRETAYEILLACAGATGGLIVPSKEKKKDKRSSSLIRKLGRSKTGSVVSQSQNAPGLVGLLEAMRVQLEISEAMDIRTKQGLLNALVGKAGKRMDTLLVPLELLCCVARTEFSDKKAFIRWQKRQLKVLEEGLVNHPVVGFGESGRKTNELRILLAKIEESEFLPSSSGELQRTECLRSLREIAIPLAERPARGDLTGEICHWADGYQFNVRLYEKLLLSVFDMLDEGKLTEEVEEILELLKSTWRVLGITETIHHTCYAWVLFRQYVITKEHGILLHALEQLNKIPLMEQRGQQERSHLKSLRSKVEGERDMSFLQAFLTPILRWTDKQLGDYHQHFSEGSAIMEKIVAVAMITRRLLLEEPDSTMQSLPISDRDQIEIYITSSIKHAFTRTNQVVERVDMSHEHPLALLAEELKKLLKKDSSIFMPVLQQRHPQATVVSASLVHKLYGHKLKPFLESAEHLSEDVISVFPAAESLEQFIMALITSACHEENAEILLRKLNLYQIETKSGTLVLRWVNSQLGRILGWVERVAQQEHWDPISIQQRHAGSIVEVYRIVEETVDQFFGLKVPMRFTELNSLFRGIDNALQVYANLVVDDLASKEDLIPPVPVLTRYSKEVGIKAFVKKELFETRVPERQETRPREISVLTTPTLCVQLNTLYYAASHLNKLEDNIWEQWTNKRLQEKLIRKSIDDKSKKDTFDGSRKVINAAMERICEYTGTKIIFCDLRVPFIDNLYKPSVSGSRVDVLIEPLDMELSQLCDIVVEPLRDRIVTSLLQASLDGLLRVILDGGPSRVFFPGDAKFLEEDLEVLKEFFISGGDGLPRGVVENQVARVRLVIKLHGYETRELTEDLKSASGLEMQGGKGKLGADSKTLLRILCHRSDSEASQFLKKQFKIPKSSA >CAK8566464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426083083:426083607:1 gene:gene-LATHSAT_LOCUS19593 transcript:rna-LATHSAT_LOCUS19593 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNSHSLNWHYAELDERDLEIRGRTLFFIIVLFSIFLLAIVLFFYTRWICRYHSRITSTTLSTSIHAPPPPPPQGLDPVSIKKLPIILHQTPADPENGAWEDTECCICLGEFKDGEKLKILPGCEHYFHCDCVDKWLTHQSSCPLCRGSLKVESSFPKILIQEPPIRIDIQF >CAK8573319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602999541:603000011:1 gene:gene-LATHSAT_LOCUS25781 transcript:rna-LATHSAT_LOCUS25781 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRANRSLSNAFFTITHSSRQSPLPTSPIPFTPRIQTLPTSNFHSFSKITNTHITIQHNLRNFSNGSSELDHNKEVDEINLKFAEAREEIEMALESKETVYFNEEAECARAAVNEVLSKFEGLLAKLPEKERGALQRAMGLKIEQLKAELLQLDE >CAK8561585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310401193:310402239:1 gene:gene-LATHSAT_LOCUS15163 transcript:rna-LATHSAT_LOCUS15163 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNYQNPNPQNSQIPLVPTNPTIFLPSPNNPNMYPIPQMNSNSMEFSTQIPPFSTKVPPFSTQVGTEKEERVVVKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAESFWLRIATSYNQYRGQLREKLGGQLKCRWHIINGMVQKFVGCYKISLKGKKSGTSETNVMADAHAIFAQGQGTTFNLEYAWRLLKDEAKWRIVEESIGISAKITKTYASEASLENPDTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNATQDAKNKRAITMDRLAQAKENELELRVVQMMMKDTSTMNDSQRDIHEKYCNKMKKIWNVVSITYVKWSLVPL >CAK8576352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466246768:466247475:-1 gene:gene-LATHSAT_LOCUS28547 transcript:rna-LATHSAT_LOCUS28547 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNILPHPESNIPLIGDWTTVYKGRKMQQLPTSSSKKEDIPSSSSNKSTSYKEVAVNNPPQEQSDYFENPVTEKIMYIDEEDIKINSNDGWSIKNRYLKSRGYPGLHGKLRPHLEILLTVTESVTFTHHYQNNNPESFINFSKCHINKILLPREWGLNPNGEKAIRIVEGKYIYFNYWDYVQAFTQTFYYQNPKNKHSWFFSINPEMINRPIPNWFL >CAK8533550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:635278887:635281102:-1 gene:gene-LATHSAT_LOCUS3170 transcript:rna-LATHSAT_LOCUS3170 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLKAKVVRLRSHHDKYMLAHEDQENVYQDRNGCYENAKWTVELLEENNNNVIRLKSCYGKYLTASNMPFLLKSTGRQVLQTLPKRLGSSVEWEPFRDGVQVKLRTRYGQYLRGNGGLPPWRNTITHDSPRRTATVNWILWDVDIVELRPKEVGQVPKPRPRPTPIIPPSRCLINNSITTTMDNSFPSPPSPSPLTDSDHGPLVKIDLRSPRSPEDDSEYLDDPSPSPIKEGRVIFYDIGNENGEVIAATKGSFFTFKGFSLNELKEQLMEETQLVDILVCCRNPLNAKLYPILLHLPPNNTDMHVVVVPSSFKGN >CAK8576908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523612112:523613479:1 gene:gene-LATHSAT_LOCUS29065 transcript:rna-LATHSAT_LOCUS29065 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHSSSLGSTHPWIKELKSEERGLYLIHLLLTCANHVASCNLENANAALEQISMLASPNGDTMQRIAAYFTEALADRILKTWPGLHKALNSTRMVMVSEEILVQKLFFELFPFLKVACVLTNQAIVEAMEGEKMIHIIDLNAAEPAQWIALLQVLSSRPEGPPHLRITGVNSKKEVLDQIAHRLIEEAEKLDIPFQFNPVVSKLENLDLDKLRVKTGEALAISSILQLHTFLASNDETVKRKSPLLLKSPNGIHLQRFQPINQTTLGNLLAKDMVNRYTKSPDSTSSSSPASSNTSNSMNMENFLNTLWSLSPKVMVVTEQDSNHNGATLMDRLLEALYSYAALFDCLESTVSRNSLERTRVEKMLFGEEIKNIIACEGLERKERHEKLDKWFMRFDLAGFGNVPLSYFGRLQARRFLQNYGCEGYRMREENGCAVVCWQDRSLFSISAWRTRK >CAK8576909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523612115:523613479:1 gene:gene-LATHSAT_LOCUS29065 transcript:rna-LATHSAT_LOCUS29065-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSLGSTHPWIKELKSEERGLYLIHLLLTCANHVASCNLENANAALEQISMLASPNGDTMQRIAAYFTEALADRILKTWPGLHKALNSTRMVMVSEEILVQKLFFELFPFLKVACVLTNQAIVEAMEGEKMIHIIDLNAAEPAQWIALLQVLSSRPEGPPHLRITGVNSKKEVLDQIAHRLIEEAEKLDIPFQFNPVVSKLENLDLDKLRVKTGEALAISSILQLHTFLASNDETVKRKSPLLLKSPNGIHLQRFQPINQTTLGNLLAKDMVNRYTKSPDSTSSSSPASSNTSNSMNMENFLNTLWSLSPKVMVVTEQDSNHNGATLMDRLLEALYSYAALFDCLESTVSRNSLERTRVEKMLFGEEIKNIIACEGLERKERHEKLDKWFMRFDLAGFGNVPLSYFGRLQARRFLQNYGCEGYRMREENGCAVVCWQDRSLFSISAWRTRK >CAK8534206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704539107:704541761:1 gene:gene-LATHSAT_LOCUS3766 transcript:rna-LATHSAT_LOCUS3766 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFMAIIYFVLSVLCFCFLLLRWNGIRYRKNGLPPGTMGWPVFGETTEFLKQGPNFMKNQKARYGSFFKSHILGSPTIISMDAELNRYILMNESKGLVAGYPQSMLDILGNSNIAAVHGPAHKFLRGALLSLVSPQMLRDIVLPRIDRFMSSQLSNWDGKIINLQDHTKEVIFLSIMDQIASIDPTSRRADYFKTHFFKLVLGTLSLPINLPGTNYRNGIQARKDLFSMLRQILEERKASNETYKDMLSCLMKTDENKYKLNDDEILDLELTLMYSGYETVSTTSMMVLKFLHDNPKALEEIRKEHLAIREKKKPNEPIDFDDIKSMRFTRAVIFETSRLSTIVNGVLRKTTKDVELNGYLVPKGWRIYVYTREINYDPFLYTEPLTFNPWRWMEKNSESHNYFLLFGGGTRLCPAKELGITEVSTFLHYILTRYRWEEVGETKLEKFPRVRAPNGMHMRFSSY >CAK8543749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636043267:636044117:-1 gene:gene-LATHSAT_LOCUS12488 transcript:rna-LATHSAT_LOCUS12488 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSSVNDKLENCISSLEGTFSASLHIQDAEKPEHVSKEREICNVAEENLCAVIKPKEAKLNMVSFRKSATFPLPRVVLPSSKSDKKAASVTESPSEHSVHQAYSRSISLPAPSELKSAMKGSRDKNGEGHVKLTVKWAADVYDPIPTLVSHTVKNKKQPKSRKKKNEKKSLKKGNKGNSARGSSGKDKKQPRNLVQSSDSQVIEGSSDFASVDVCSQDSNCGASFLKKSVTEMHYSVAEAQ >CAK8560893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:53604981:53605538:-1 gene:gene-LATHSAT_LOCUS14532 transcript:rna-LATHSAT_LOCUS14532 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQQACKLEITIISGQNISVDGDSKTEELFVVVRAESLNSCTTKMVKENKGFLSWNEKIMLDIPPQAKSVTFEVQCMKYKGIRPIGVARIALSDLLDDNVLLQSCDQTLSYGLRDWDGRKNGVINFSVRRVTQEDNLCLEKEQGKNIIMVSSSKFEDVGFKVQLRKIQAMLSLRFHFFVSCFS >CAK8540384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:556553107:556557327:-1 gene:gene-LATHSAT_LOCUS9390 transcript:rna-LATHSAT_LOCUS9390 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVVTEEEGLSKLSSTVVSASAQSLDRFSQNGVVDLKERNYLGLSDCSSVDSCDSTLPSLCCEKKGNLNLKATELRLGLPGSQSPERDSDFYSTKLDEKPLFPLLPAKDGMQKTVVSGNKRGFADTVDGFSQGKFNVNTGINVMLSPRAQPNTAKEMPSKVLQERPCAARGTGLNHAGAASIGAQAPASKAQVVGWPPIRSFRKNSMATASKNNNDEVDGKPGPTALFVKVSMDGAPYLRKVDLRTYTAYQELSSALEKMFSCFTLGQCGSHGAPGREMLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCRRLKIMKGSDAIGLAPRAMEKSKSRN >CAK8577066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535254740:535256122:-1 gene:gene-LATHSAT_LOCUS29208 transcript:rna-LATHSAT_LOCUS29208 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLHIAMYPWFAMGHQTAFLHLANKLAKKGHTITFFTPKTAQSKLEPFNLHPQSITFVTIKVPRVEGLPPNAETTADVPYPLQTHIVTAMDLTQPDIDTHLTNLKPHLVFYDFTHWMPSLTKRLGIKAVHYCTISSVMVGYTLTPARYLKGTDLTEFDLMEPPSGYPESSIKLYNHEAKIFAAKRKEFFGKNVLFYDRQAIALNEADALGFRTCREIEGPYLDYIQKQFNKPVLTSGPVILEKPNSVLDENWSTWLGGFKTDSVVYCCFGSECVLRPNLFQELMLGLELTGMPFFAALKPPFGFATVEEALPEGFAERTKGRGVVYGGWIQQQLILEHPSVGCFITHCGSGSLSEALVNKCQLVLLPNVGDQILNARMMGNNLKVGVEVEKGEDGLYTKDSVCKAVSIVMDDESEMSKKVRANHAKIREILLNKDLESSYIDDFCNKLQDIVAEKI >CAK8538181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467317833:467323555:1 gene:gene-LATHSAT_LOCUS7409 transcript:rna-LATHSAT_LOCUS7409-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDNHQKMMSDVAMRSLMKKGDYGEDVGVLRRQQQEANYGEDVGVLRRRQQEENERENEVLRLRSGSAPPTVDGSSTAFKGLYGGSPVSYRGGRGFGSEEELRADPAYANYYYMNANLNPRLPPPLVSKEDWRFSQRRMNGDGRRLNGEGGENVNGERSVFSMQAGGVNGKVDGAEWSGDDGLIGLPALGLGSRQRSIADIYPDEKNGASSASKFAHHLPGRNVFDDIAELTDTHFAQLHQNSDDLQSGGNHVGSASYASALGSSLSRSSTPDAQFIPRVSSPSIPPIGDGRSNAADKRSFNRQNSFNAASSNLNEPVDLASALAGMNLSQNDVMGEEKRSPSSRLSESDYTRTNAKQYHYLNKSDSLPYLRHSPNNPYLKVSKSSVGFGLDVNDSTYNARGPSTPTVAGRGGSLPAHYHHVDDMHFSNANYNMGGFAVNPSSPPMMASQLGNGNLPHFFEHAAPPSGLGMNAMDSRGLGRGANLGPLLAASELQNASRLGNLAAASPHQLPMFDPLYLQYLRSNEVAAAQIGALNESARSNNVPDLLSLQKAYIESLIAQQKAQFSSPYLGKSTSMNHNSYGNPSYGLGMSYPGSPLAGSSFPNSMYGQGERNVRMSGMRNVAGGGLTGAWHSDAVSNLDDNFPPSLLDEFKSNKTKCFELSEISGHVVEFSADQYGSRFIQQKLETASVEEKTMVFHEIMPNALTLMTDVFGNYVIQKFFEHGSSEQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVNLDQQTKMVTELDGQIMRCVRDQNGNHVIQKCIECVPEEEIRFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCHDPKTQQIMMDEILQCVCMLAQDQYGNYVVQHVLEHGKPEERTAIIKELTGQIVQMSQQKFASNVIEKCLCFGTAAERQAIVNEMIGSTDDNEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLNHPAQMV >CAK8538180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467317833:467323555:1 gene:gene-LATHSAT_LOCUS7409 transcript:rna-LATHSAT_LOCUS7409 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDNHQKMMSDVAMRSLMKKGDYGEDVGVLRRQQQEANYGEDVGVLRRRQQEENERENEVLRLRSGSAPPTVDGSSTAFKGLYGGSPVSYRGGRGFGSEEELRADPAYANYYYMNANLNPRLPPPLVSKEDWRFSQRRMNGDGRRLNGEGGENVNGERSVFSMQAGGVNGKVDGAEWSGDDGLIGLPALGLGSRQRSIADIYPQDEKNGASSASKFAHHLPGRNVFDDIAELTDTHFAQLHQNSDDLQSGGNHVGSASYASALGSSLSRSSTPDAQFIPRVSSPSIPPIGDGRSNAADKRSFNRQNSFNAASSNLNEPVDLASALAGMNLSQNDVMGEEKRSPSSRLSESDYTRTNAKQYHYLNKSDSLPYLRHSPNNPYLKVSKSSVGFGLDVNDSTYNARGPSTPTVAGRGGSLPAHYHHVDDMHFSNANYNMGGFAVNPSSPPMMASQLGNGNLPHFFEHAAPPSGLGMNAMDSRGLGRGANLGPLLAASELQNASRLGNLAAASPHQLPMFDPLYLQYLRSNEVAAAQIGALNESARSNNVPDLLSLQKAYIESLIAQQKAQFSSPYLGKSTSMNHNSYGNPSYGLGMSYPGSPLAGSSFPNSMYGQGERNVRMSGMRNVAGGGLTGAWHSDAVSNLDDNFPPSLLDEFKSNKTKCFELSEISGHVVEFSADQYGSRFIQQKLETASVEEKTMVFHEIMPNALTLMTDVFGNYVIQKFFEHGSSEQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVNLDQQTKMVTELDGQIMRCVRDQNGNHVIQKCIECVPEEEIRFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCHDPKTQQIMMDEILQCVCMLAQDQYGNYVVQHVLEHGKPEERTAIIKELTGQIVQMSQQKFASNVIEKCLCFGTAAERQAIVNEMIGSTDDNEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLNHPAQMV >CAK8578809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655668152:655670005:-1 gene:gene-LATHSAT_LOCUS30793 transcript:rna-LATHSAT_LOCUS30793 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETLTLVLVNLAGIMQRADESLLPGVYKEVGAELNADPTALGSLTLFRSLVQSLCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVIPAIQSLVADSTIDSNRGVAFGWLQLTGNLGSIIGGLFSVLLASTSILGISGWRIAFHLVALISVIVGILVRIYANDPHFPNNVDKPTSYQTPKQPFYSEMKDLIKEAKSVIKIPSFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHGTTAILWTLFIISASLGALFGGWFGDFLSLRLPNTGRIMLSQISAGSAVPLAAILLLALPDDPSTAFMHGLVLVIMGFATAWNAPATNNPIFAEIVPEKSRTAIYALDQSFESILQSFAPPFVGLLAQHVFGYKPVPKGSSDSVEIETDRKNAASLAKALCIVFVIPLTLCVCIYSFLYCSYPRDRDRARMVALEDSEMQQLEVEDCTREREEYCGIHVLESNELNDKESSKIDDIDYPREENIDLDDNDEKVLLSR >CAK8567789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544760783:544763023:1 gene:gene-LATHSAT_LOCUS20812 transcript:rna-LATHSAT_LOCUS20812 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSKLKKSFNTPCFCGFRRNHDNSSDQPGLRFCIWVALKFDTLTSKPYYLVSRILIRKKWHSIYWEALELLKKKGVLVTSDSVRALVRSYSYSGHTGKAIELFGKMRDIGVVPDAHMYNTVLRDVLKENLFVLAFALYNTMIKSNVDPNDYTYNMLIDGFCKSGNIKGAREMLDEMQKVNIVPSVVSTTSILYGLCQANNVDEALMLFNVMKEKECPDMISFNVVLNGFCKMGRLEEALSFVWLMKREGFSLNQNSYSSLINAFFRARRYSEAHAWYTRMFKEGFAPDVVLYAIMIRGLSEEGRVGEAARMLDEMTQIGLTPDAYCYNAIIKGLCDTGLLDRAQSLCLEISEHNVYTHTILICEMCKQGMVDKAQELFNRMESLGCVPSVVTFNALINGLCNAHKLEEASLLLYKMEIGRRPSLILSLFQGSGQVFDSASLKKKVEQMCEAGKFLDAYKFLTQLADSGVVPDIITYNTLINAFCRAYNIKGAFMLFEDLQKKGLSPDTVTYGTLINGLYKVDREKDALKIYDRMQKAGCEPTLSLYRGLMTWLCRKSKVSLAFSLYLEYLKSLPSRDNDSISALEGYLVGGKLDLVIRGLLELDFRARDFKLAPYTILLIGFCQVGRVYEALIIFSVLDKFNIKINATSCVHLIKGLCKEGKLDDAVKVFLYTLDRGFMLRPMICNHLLVFLLVSRDYKEWAIDLIGRMESFGYRLDSNEYSRTVYLIDQYLNRKQRKIYLQRD >CAK8571462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:423199870:423200199:-1 gene:gene-LATHSAT_LOCUS24125 transcript:rna-LATHSAT_LOCUS24125 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPQGGSGDCKTSSCPANINLVCPSDLQVRGSDGSVIACKSACLAFNKDQYCCRGAFNTEQTCPPTNYSTVFKNQCPLAYSYAYDDKTSTFTCSSTPDYAITFCPST >CAK8544403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682468773:682469156:-1 gene:gene-LATHSAT_LOCUS13088 transcript:rna-LATHSAT_LOCUS13088 gene_biotype:protein_coding transcript_biotype:protein_coding MATISGLSLSNPRLLLNSPGFPQTIKFSSPSSLSTRQTLTGSGRMKIVRPVHAAPEQISKKVEESIKTAQETCADDPVSGECVAAWDEVEELSAAASHARDRKKESDPLEDYCKDNPETDECKTYDN >CAK8579424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697544108:697547206:-1 gene:gene-LATHSAT_LOCUS31372 transcript:rna-LATHSAT_LOCUS31372 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSSSLSLSLPFQFRFSLKTQTRRNLPCVAAAAANSEIPLLQVNDLRAKIVESNLEILKGVNLTVNRGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLEMEPEERALEGLFMSFQSPVAIPGVSNDQFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVASAVNKILSPENSLLMITHYRRILDLLKPSHVHVMDNGKIARTGDLSMVDAIEADGYEPVSALI >CAK8578767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:652529230:652530426:1 gene:gene-LATHSAT_LOCUS30753 transcript:rna-LATHSAT_LOCUS30753 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSDSLGALITICPQTEEHSPRNNNSNNNNVYGREFQSMLEGLEEEGCVEEVGQKKRRLSVDQVKALEKNFEVENKLEPDRKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKASFDSLKVNYESLQRDNETLLKEVKELKSRLQLEEENTTTESDVCVKEELITLQDTENIASDEPAILRSDSKDINYDCYKNSDVVASLLPMDLKDGSSDSDSSAILNEENNNSPKAAISSSGVLQNQSFLMSNGSSSLKLDGSSSSSPSSMSNCFQFQNEYQTQYVKMEEHNFLSADETCNFFSDEQPPTLQWYCSEEWN >CAK8579492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:703908064:703908981:-1 gene:gene-LATHSAT_LOCUS31436 transcript:rna-LATHSAT_LOCUS31436 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPISPSNTRLGWIGTGVMGQSMCAHLIRAGYTLTVFNRTPSKAQPLLDIGANLASSPHDVASQSDVVFTIVGSPSDVRSVLLDPNSGALSGLKPGGILVDMTTSDPSLAVEIAAAASSKNCHSIDAPVSGGDRGAKIGTLAIFAGGDESVVKELEPLFKPLGKVNYIGSSGKGQYAKLANQITTASTMVGLVEGMVYAHKAGLDVGLYLDAISTGAAGTKLLDAYGKRILKRDFEATFYVKHYVKDLGICLKECEKMGIALPGLALAQQLYVSLMAHGEGDLGMQALILVLERLNNVVTVV >CAK8537452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:361499974:361500297:1 gene:gene-LATHSAT_LOCUS6743 transcript:rna-LATHSAT_LOCUS6743-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKLARKILISLPRTFEMKVTTIEEVQYISSIKVDELIDLLLTFQMGISDIPRNKIKGVAFKADVVEDKKNIDSNNFKINTDKTVNDKEYVYAEKDDEDIFINNK >CAK8537451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:361499848:361500297:1 gene:gene-LATHSAT_LOCUS6743 transcript:rna-LATHSAT_LOCUS6743 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHQLLTTMFEKLRIGEDETITESFVRVRDMTNIFFALDEKMSEEKLARKILISLPRTFEMKVTTIEEVQYISSIKVDELIDLLLTFQMGISDIPRNKIKGVAFKADVVEDKKNIDSNNFKINTDKTVNDKEYVYAEKDDEDIFINNK >CAK8564889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14948260:14949210:-1 gene:gene-LATHSAT_LOCUS18145 transcript:rna-LATHSAT_LOCUS18145 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNRQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSFQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKNTQYEILQNITTKASSESYEKSNSSGSSNLWRFIQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFITNMHGLSRNLHPF >CAK8569830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13007137:13009102:-1 gene:gene-LATHSAT_LOCUS22639 transcript:rna-LATHSAT_LOCUS22639 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVYNAQMNMSGQISSVIEDITHHLTQKPLIHHCYSFDLNNQNIINGIPILSGEQNQEQQHVSSNNHVDVGSTSSFMNHQNIADSTSFVSSQQGRTIVVNPSNPSNHSNLIVNNEFQDNLEAMVPYMFNNWHDTSSSNPLCATFGHNNSYVNKFMKPHEGNDGTEFMSYSTIANIDPNGWQSSNLGYSSSNCSNELSLSLATSPNSGQCSEISCSDLTHSMNGTRSGLEQASCSSMELSMSLGNDKHVKFSPALLGSRYLAVTQEILVQIATFSFENLDEISYSGSGIRGQANRSSSSNSTKRSIGINRNANSKSEVYADSSLPRQAAESKKSQLLMLLQLVDNQYSQCLDEVHTVVSAFHAATELDPHIHAHFAVKTVSRLYKDLRERISNQILAMGSNFNSPRSEEDKELSLETSFIQKQWALQQLKRKDQLWRPQRGLPERSVSVLRDWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPLIEEMYAEMNRRKACRNEGENESSERSRISINNQMFNIN >CAK8543376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603678859:603680215:1 gene:gene-LATHSAT_LOCUS12136 transcript:rna-LATHSAT_LOCUS12136 gene_biotype:protein_coding transcript_biotype:protein_coding MICSTFYSILVMLILLNPSNAQLISTFYSNTCPNVSSIVRNVVQQALQNDSRIGASLIRLHFHDCFVNGCDASILLDQGGNITLSEKNAAPNNNSARGFNVVDNIKTSVENSCPSVVSCADILALAAEASVFLAGGPSWNVLLGRRDGLTANQSGANTSIPAPTESLANLTAKFTAVGLNTSDLVALSGAHTFGRAQCRFFNQRLFNFSNTGKPDATLNSTYLATLQQNCPQNGNGNRINNLDPSSPNHFDNNYFKNLLNNRGLLQTDQELFSTNGAATTSIVNMFANNQTAFFEAFVRSMIRMGNIGALVGNRGEIRSDCKKVNGS >CAK8543377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603678892:603680215:1 gene:gene-LATHSAT_LOCUS12136 transcript:rna-LATHSAT_LOCUS12136-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLNPSNAQLISTFYSNTCPNVSSIVRNVVQQALQNDSRIGASLIRLHFHDCFVNGCDASILLDQGGNITLSEKNAAPNNNSARGFNVVDNIKTSVENSCPSVVSCADILALAAEASVFLAGGPSWNVLLGRRDGLTANQSGANTSIPAPTESLANLTAKFTAVGLNTSDLVALSGAHTFGRAQCRFFNQRLFNFSNTGKPDATLNSTYLATLQQNCPQNGNGNRINNLDPSSPNHFDNNYFKNLLNNRGLLQTDQELFSTNGAATTSIVNMFANNQTAFFEAFVRSMIRMGNIGALVGNRGEIRSDCKKVNGS >CAK8577673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583081811:583084910:1 gene:gene-LATHSAT_LOCUS29759 transcript:rna-LATHSAT_LOCUS29759 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNVKTNSYSYGNAGLDPQFAAEKAVSVIGQGYDLCNDIKFSACKSKRLIQIPNSSSHTRDLVFPSGVVVPNVPLSIKSDKGDCTRFRSDVLTFNQMSEHFNRQLSLSGKIPSGQFNKMLDMRKCWSRDAASTKSIAFDGWFITLYTVELDRTNISLSENVKKEVPSSWNPAALAEFIENYGTHVVVGVKMGGKDVVHIKQSKNSDVQPTELQKLLKQLADERFSADSNQSSNVNFAAISGQPRDDHAKLRGQNRHKPPLLVGRPVVKSHSKNDDIVSISVRRGGIDLGQPYNKWLSTISQSPNVISMSLVPITSLLNSVPGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPMYGDLPLVFDHKYKRNASPSLQFTLMGPKLYVNTVKVESGNRPVTGIRLYLEGKKNDHLSIHLQHLSEVPGVLEISEDHSYDPIDEPDDKGYYEPVKWSMFSHVYTAPVQHNSSRMDESTAIVTKAWFEVKLMGMKKVLFLRLGFSNVATAKIRRSEWDGPSTSSRKSGFFSALMSSKLSKELQSPEKQTKVDINSAIYNEGPPVPTRARKMLSFVDTKEKVRGPEDPPGYWVVTGAKLCVEDGRISIKAKYSLLTILSEESIM >CAK8541759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:388765067:388765784:-1 gene:gene-LATHSAT_LOCUS10654 transcript:rna-LATHSAT_LOCUS10654 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGVRPTHRTFVGVLLACAHAGVIYKGLEYFESMEKVYGLTPEMKYYGCIVDLLSRSDDLERAYEFIKRMPMETNVVVWRILLSASQVHGNLPLAEIAANKLKIDDVIDASPNREQFPVGPSNQKALNVKGLEDINSKVLPLNSACLTGTAKAGGSSYPDNSAHDNNKYGQSVMDFIENDIFNSLTPPTHPLLRLQVRVSTSHAIVRR >CAK8571845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482819654:482829593:1 gene:gene-LATHSAT_LOCUS24469 transcript:rna-LATHSAT_LOCUS24469 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAMATSLSLSCSPTLTRSTLFFTKTFPFSRSFSLPLSLNTKTLISLSPPPRTFAVRAESQNGAEPARQYDFDLFTIGAGSGGVRASRLASNFGASSAVCELPFSTISSDTTGGVGGTCVIRGCVPKKLLVYASKFSHEFEESNGFGWRYDSEPKHDWSSLIANKNAELQRLTGIYKNILKNAGVKLIEGRGKIIDPHTVDVDGKLYSAKHILVSVGGRPFIPDIPGKEFAIDSDAALDLPSKPQKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEIRDFVAENMALRGIEFHTEESPVAITKAADGSLSLKTNKGTEEGFSHIMFATGRSPNTKDLGLESVGVKLAKDGSIEVDEYSQTSVPSIWAIGDATNRVNLTPVALMEGVALAKTLFQNEPTKPDYRAIPSAVFSQPPIGGVGLTEEQAAEQYGDIDVFTANFRPLKATLSGLPDRVFMKLIVSAKTNVVLGLHMCGEDAAEIAQGFAVGIKAGLTKADFDATVGIHPTAAEEFVTMRTPTRKIRKNQASQGKSDSEAKAVAGS >CAK8540925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32873301:32874401:-1 gene:gene-LATHSAT_LOCUS9882 transcript:rna-LATHSAT_LOCUS9882 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGVFPDRYTLPIVLKAVCQSFAIELGKQVHSTGIKLGLQSNEYCETGFINLYCKGGDFDSACKVFDENPDPKLGSWNAVIGGLSQGGLAMDAIHVFLSMRRRGFEPDGITMVSVTSACGSVGDLYLAMQLHKFVFQAKASEKTDILMLNSPIDMYGKCGRMDLCGRMDLAYRVFAEMESRNVSSWTSMIVGYAMHGHVKEALDCFQCMRESGVKPNYVTFIGVLSACVHGGTVQEGRFYFDMMKNVYGITPKLQHFGCMVDLLGRAGLFNDARRMVEEMPMKPNSVVWGCLMGACEKYGNVDMAEWVAEHLQALEPSNEGVYVVLSNIYANEGLWKEVERIRSFLKEESLAKVPAYSITTNSD >CAK8540260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547198285:547201427:1 gene:gene-LATHSAT_LOCUS9282 transcript:rna-LATHSAT_LOCUS9282 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLTPGAVMKMCFTAPEDFQPVLQVIDLRLVQSSQSATERYRAVLSDGSYYEQGMLATQKNELVHSGRLQKGSIVKLLQFVTNDVQRRKIIIIVDLEVLVDICELIGKPLQAPKEAPAQLNVQSAVGNTAGDSQTLNTNSHAGSVNVRPNVAVPPSMDRSKVNSSASSVYSNSSESARYGASNVPPPSYPKPEPVVSVNRPPLVNGSYGQQNASFRNPQKPLQNSYPRPPQPIYRQPTPYANRGPTGRNDAPPRIIPISALNPYQNMWTIKARVTAKGELRLYNNARGEGKVFSFDLLDSEGGEIRSTCFNAVADKFYSLIEVGKVYLVSRGTLKAAQRNFNHLPNDQEITLDATSDIRPCPEDDNSIPQQIYNFRSIGDIESIESNSIVDVIAVVTSISPTASIMRKNGTETQKRSLQLKDMSGRSVELTIWGNFCNTEGQRLQSICDSGAFPILAVKSARVNDFNGKSVGTIATSQLIVEPEFPEATTLREWFENEGRNVPSQSISRDSSFGKSEARKTISQIKDEKLGTSEKPDWITVYANVAYIKVDNFCYTACPIMTGDRQCNKKVTNNGDGKWRCDKCDKSIDACDYRYLLQMQIQDHTGQTWVTAFQEGGEEILGISAKDLYFLKYEEQDEEKFADIIRKVVLSRYAFKLKVKEEMYNDEQRVKSTLVKAEKLNLASDARYLLDMIDKLQSETGLGCVETGQAAPPVYTPTKSYTNTGRDYGTANQVGQYGNQPESSFTSSGAPNSYLSCSNCGVSGHSSAQCLNIRNQSGQSAGGAFANRVSTGSDGASTNCFKCNQAGHWARDCPGVTLAQTDQRPQFPSAGSGGVSGECYKCRQTGHWARDCPGVISAAAPSNAVQGRYGIAQNQQYGRY >CAK8540259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547198285:547201427:1 gene:gene-LATHSAT_LOCUS9282 transcript:rna-LATHSAT_LOCUS9282-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLTPGAVMKMCFTAPEDFQPVLQVIDLRLVQSSQSATERYRAVLSDGSYYEQGMLATQKNELVHSGRLQKGSIVKLLQFVTNDVQRRKIIIIVDLEVLVDICELIGKPLQAPKEAPAQLNVQSAVGNTAGDSQTLNTNSHAGSVNVRPNVAVPPSMDRSKVNSSASSVYSNSSESARYGASNVPPPSYPKPEPVVSVNRPPLVNGSYGQQNASFRNPQKPLQNSYPRPPQPIYRQPTPYANRGPTGRNDAPPRIIPISALNPYQNMWTIKARVTAKGELRLYNNARGEGKVFSFDLLDSEGGEIRSTCFNAVADKFYSLIEVGKVYLVSRGTLKAAQRNFNHLPNDQEITLDATSDIRPCPEDDNSIPQQIYNFRSIGDIESIESNSIVDVIAVVTSISPTASIMRKNGTETQKRSLQLKDMSGRSVELTIWGNFCNTEGQRLQSICDSGAFPILAVKSARVNDFNGKSVGTIATSQLIVEPEFPEATTLREWFENEGRNVPSQSISRDSSFGKSEARKTISQIKDEKLGTSEKPDWITVYANVAYIKVDNFCYTACPIMTGDRQCNKKVTNNGDGKWRCDKCDKSIDACDYRYLLQMQIQDHTGQTWVTAFQEGGEEILGISAKDLYFLKYEEQDEEKFADIIRKVVLSRYAFKLKVKEEMYNDEQRVKSTLVKAEKLNLASDARYLLDMIDKLQSETGLGCVETGQAAPPVYTPTKSYTNTGRDYGTANQVGQYGNQPESSFTSSGAPNSYLSCSNCGVSGHSSAQCLNIRNQSGQSAGGAFANRVSTGSDGGVSGECYKCRQTGHWARDCPGVISAAAPSNAVQGRYGIAQNQQYGRY >CAK8577458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565687590:565689038:1 gene:gene-LATHSAT_LOCUS29564 transcript:rna-LATHSAT_LOCUS29564 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQCNPLHIFFFPFMGHGHMIPAIDMAKLFASKGVKTTIVTTPLNKPTISKAIQHFKNHSNNIHIQTINFPCVEVGLPEGCENLDLVPSPLEAPAFFKATRLMQEPFEELLLQQQPHAIVADMFFPWTTDSAAKLGIPRIVFHGTSLFYLCVSQCLKKHEPYKNVTSDTELFLIPDLPGNIKMTNLQMPSPVTKDDPVNQGFAKIFREIRESEEKSYGVIVNSFYELEGVYADFYSEVVGIKNWHIGPFSVQNRDKEEEITSYRGKEASIDKHECLKWLGTKDVNSVVYLCFGSTTHFLDSQLREIAIGLEASGKDFIWVVRRKKEEVEEWLPEGFEERMEGKGLIIRGWSPQTLILEHEAIGAFVTHCGWNSTLEGVVAGVPMVTWPVAAEQFYNEKLVTEVLKIGVPVGVKKWVRFYGDKIESDAVEKGVRRVMEGDEGEEMRNRVKVLAQNAKKAVGEGGSSYSQLNALLEELSSVRK >CAK8575862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:341719629:341720052:-1 gene:gene-LATHSAT_LOCUS28090 transcript:rna-LATHSAT_LOCUS28090 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDVLAGRKTCGYIQGDVRISSFPKNQQTFARISGYCEQTDIHSPQVTVRESVIYSAFLRLPREANNDEKMKFVDEVMNLVELDNLRDVITSSPKETADTLSLQK >CAK8561384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:180990409:180993863:-1 gene:gene-LATHSAT_LOCUS14984 transcript:rna-LATHSAT_LOCUS14984 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQGSSGNNAVDFDLPDEILAVIPTDPYHQLDLARKITSMAIASRVSSLESDTGRLRQKLLEKDRVINDLENRVSTLTRASQQANSSLNTAIEENVKLTKERDELAATVKKLSRDFAKLETFKKQLMRSLADDHPPQAETIDIRTCDQAVPKAYPDKDDDGNDYTTHHSHGGSADVGRTIEEASRYSGQKFSLTPYITPRLTPTETPKAISTTGSPRGYSATVSPNQTSGATSPSKTSYDGRSSLSSWYPSSQQSSAANSPPRGRSIPGRTPKIDGKEFFRQARNRLSYEQFSAFLANIKELNAQKQTREETLRKADEIFGSDNKDLYLSFQGLLNRNVR >CAK8562530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506489290:506489645:-1 gene:gene-LATHSAT_LOCUS16020 transcript:rna-LATHSAT_LOCUS16020 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDHQQQPPVGVPPPQGYPQKDAYPPPGYPSQGYPPQGYPPQQGYGYPPPQQYAQQPPQRQEVGFLEGCLAALCCCCMLDACF >CAK8531586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127763003:127765390:-1 gene:gene-LATHSAT_LOCUS1367 transcript:rna-LATHSAT_LOCUS1367 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREAKVLLYACRNCDHQENADNFCVYRNEIHHSVGERTQVLQDVAADPTLPRTKAVRCVQCNHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >CAK8531395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107386060:107387487:-1 gene:gene-LATHSAT_LOCUS1191 transcript:rna-LATHSAT_LOCUS1191 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAKVGFKAGVKDYKLTYYTPDYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTSTWTTVWTDGLTSLDRYKGRCYEIEPVPGEDNQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMLKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDYIEKDRSRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8576022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385955138:385955710:1 gene:gene-LATHSAT_LOCUS28240 transcript:rna-LATHSAT_LOCUS28240-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSALPLPQLFLLVRHHSSTKLFVAGLSYNTNETVLRDTFQRHGEIIEVKVICDHKTGESKEYGFVRFNSETAAATARKELHGKIVDGRRIRVGYAHKG >CAK8576021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385955111:385955710:1 gene:gene-LATHSAT_LOCUS28240 transcript:rna-LATHSAT_LOCUS28240 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFHYQVVMPLLLRCSALPLPQLFLLVRHHSSTKLFVAGLSYNTNETVLRDTFQRHGEIIEVKVICDHKTGESKEYGFVRFNSETAAATARKELHGKIVDGRRIRVGYAHKG >CAK8565840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342870218:342870634:-1 gene:gene-LATHSAT_LOCUS19019 transcript:rna-LATHSAT_LOCUS19019 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSFAGSCGFKGTRRGTPFAATTATGNALRTVVDQGMQQADVSIKGPGRGRDAALRVIVRSGILLNVIRDVTPIPYNGCRAPKKRRV >CAK8561764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:376325488:376327340:-1 gene:gene-LATHSAT_LOCUS15324 transcript:rna-LATHSAT_LOCUS15324 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGWRKAFYTSKDKDSISKVLVETQPQHLDFDNINGSPQINLSCRTSDSNSSLSDHKSNNSPKWSSSSSPNSPLSYYTLLKSTLGLSKSLCGICSQSVKTGEGKAIFTAECSHIFHFPCIAAHVKNQQIVTCPVCGTNWNDLQPEKTNTAQNVKTTASLKLPNYNDDEPLLSSSSVSRFNTIPESDENEEEEYQEGEEEDEENKEPIEFQGFGVSSTRTFDAFWLPESALIASNKSFQTLIAVLKVKAKPYNVVANRPPVDLVTVIDIDSSVSGEDFLVLKHSMQVIISSLGSSDRLSVVTFPGGPKRLFPLRRMTGRGRRSARRIVDALVSNEVSGNEAPARKEALMKAAKILEDRRQKNPVTKIILLTNGYEDGRLSSTRFSQLEIPVHALNYSRALHDSAFSESVGNLLRVVAQDIKFEFQNTVAGEIAAVKSPFSATLRDLHAAEEREFVVELKVPSSHGSHNHVLSVRSSYRDPFTQEVVHSKERLISTPRSLPIGSLNPKIKRLIRLHVNTRARASTDVKKSNPEMAQECLRRLQAEEKVEPFTPISAWKAAEKLAKVAMMRKSMNKVSDLHGFEDARF >CAK8538225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471863588:471870337:1 gene:gene-LATHSAT_LOCUS7448 transcript:rna-LATHSAT_LOCUS7448 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAASGGDTVKLFDASDKLFDSSVKPGDPCTLSFTPSPGFQVNSVKWNHTNLVVASAGEDNRISFWRKNGHSMGTIPVDNVDNVEESILAISFSNKASRYMCSGGSDQVVKIWDLQRKRCIKRFKGHTNTVTGVMYNCKDEHLASISLNGDLILHNLASGQRTAELKDPNQQMLRVLDYSRVSRHLLVTAGDDGTVHLWDTTGRSPKISWMKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRTTSCISCEAPFSSVAFKDDGWMLAAGTSNGRVAFYDVRGKPQPVGVLHAYGSSEAVTSLCWQRSKPVIVDERNCTAETALVGDSVEDSILMPDPLPPATSSSIPLSTSVSGTWNSGRLGASIDTSLLATSSSEFITSLPTVSIGEETPLRNNLRLGGALSRLNAPRYKLTEEIDVFSPLVDVTPITPSMWDGNGVKKDILSSSRRFPNSEVVSSDHAIADWKYGSTTKQDITQSSFPFAGITPPSTKSEESSITPPEAWGGEKLADKYIYPRQGNAPSRFGMLASSGQTAGLTHSGVQDTSSSSGISPYTSSSLNFSNLRTKDASTGQETSLGFTDHMFSTSLPFSINTKASIVGQANIDSPKISDSPRMQSFSKRISSYAERISTAPAFGDGASLAVGSPKIKKSGAETREELLNSLLLKSDTSIPTESGSLLLTNGTLPQQKASQSEAQGSSFTLQLFQRTLNETLGSFQKSIHEDVRNVHLEVLRQFHLQGMEMSTMMNSILENHAELVKEVKALRKENEQLRQLL >CAK8540261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547263464:547264955:1 gene:gene-LATHSAT_LOCUS9283 transcript:rna-LATHSAT_LOCUS9283 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLKGMNGNGSDCPFDVRDIQRCPFLRNIDELTNFSFSSTKVSTPVRGSTGPIFEDGPSFSMAFKLFHGKDGIVPLSERPDFHNGCKEADSVPVFNPLAGKTATISLSPLGLGGPFNFGEFSEKWKKQKNSESSNKTEHSSQKGDISMHEALGDEWFAKGNCPIAKSYRAVSRVAPLVVTALKPPTAMKFKCPAAVVAARAALARTTFVKNLRPQPLPAKVLAIAALGMALNVPLGMWKEHTVKFSLSWFAAVHAAVPFIAMLRKSVLMPKAAMSLTIAASILGQVIGSRAERIRMKAIAEMGKPTTVTTSSITINNSRQLGDFRTSRCSAEGVIFNSIPVKDAGTNSTAIQA >CAK8559993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3511479:3512040:-1 gene:gene-LATHSAT_LOCUS13717 transcript:rna-LATHSAT_LOCUS13717 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNPNDEGNSSSPPTQIENTFQLNPNAQEWTRGKQEDRCLFLTLSKHNTSTTTRDIHTYFNNTYGDCVQSIVMFGGEDSPLCAKVLFKSSMIPMMILSNSNEVYILIKGQTLLCKKYATKKKKLHKKSIQKME >CAK8562381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480485949:480496836:1 gene:gene-LATHSAT_LOCUS15882 transcript:rna-LATHSAT_LOCUS15882 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTLQHLDLRHIGRGVRPLQPHAASFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPAVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDAEVHMALTPLQPVVFFGFPKRMSVTVVGTVEGGRAPTKIKPDLKKAIVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRKGTLLAWDVSTERPSMIGIKQVGSQPIKSVAFLPMLRLLVTLSKDGNLHVWETRVTVNPNRPATQANFFEPAAIESIDIPRILSQQGGEAVYPLPRIKALEFHPKLNLAALVFANVTSADTSKNKASYSKEGRKQLFTVLQSARGSSASVLKEKLSALGASGVLAEHQLQAQLQEHHLKGQSHLTVTDIARKAFLYSHFMEGHMRISPISRLPLITVLDTKHHLKDFPVCEPFHLELNFFNKANRVLHYPSRAFYMDGLNLMAHNLSSGSDTIYRKLYNSIPGNVDYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQTGSSKSSTVKGRDAAFIGSNENQFAILDEDRTGLALYSLPGGASQEAKDNEKVLEENQPTETNVGSIRGPTPFMFEAEVDRIFSTPLDSTLMFASHGNQIGLVKLIQGYSLSTSTANGHYISTKSDGTKSIKLKRNEVVLQVHWQETLRGYVAGILTTHRVLIVSAALDLLAGTSTHFDKGLPSISFEFFPF >CAK8565952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:358403045:358404394:-1 gene:gene-LATHSAT_LOCUS19125 transcript:rna-LATHSAT_LOCUS19125 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTALNPTIVTLNTIVNGLCVEGKVAQALNLTRHMENAGYQPSGYTFGALVNGLCKIGDMVNAVVCLRNMIERNYEPNVVVYNAIMDGYCKGGFVSEALDLFREMNEKGINPSLVTYNCLIQGLCCNSQWKNVSFLLNEMMEKGIMPDVHTFTILVDGFCKEGLILEAKSVICFMVQIGEEPNVVTYNSLIGGYCLMSQMDEAMKVFDWMVLRKCLPSVVTYNSLIHGWCKVKDVDKAMCLLSEMVSEGLCLDVVTWTTLVGGFCEVGRPLAAKELFFTMKEYGLVPNLLTCTVVLDGLIKCYFRYEAMLLFRAMQESDLDLDIVVYNVMIDGLCKDGKLSDAKKVLARLLVKGLRFDSYTYNIMIGGLCREGLLDDAEDLLRKMEENGCSPNACSYNIFVQGLLRKSDFLRSSKYLQIMKDKGFAVDATTTELLIGFYSVDKESNAF >CAK8537943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449481614:449483311:1 gene:gene-LATHSAT_LOCUS7191 transcript:rna-LATHSAT_LOCUS7191 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFEYTVASGSETTGEEKSVPLSNIAVGIDIGTSQCSVAVWNGSQVELLRNKTNRKLIKSFVTFKDEGPSGGVTNQLSNEHEMLSGDTIFNIKRLIGRVDTDPVVRASKNLPFLVQTLDVGVRPYIAALVNNVWRFTSPEEALAMFLVELRLMAEARLKQPIRNVVLTVPVSFNRFQLTRLERACAMAGLHILRLMPEPTAVAMLYGQQQLKASQESIGSGSEKIALIFNMGAGYCDVAVTATDGGVSQIKALAGSTIGGEDLLQNMMRHLLPDSENTFNNHGVKEIESMALLRVATQDAIYQLSSQISVQVDVDLGDGLRVYKVVDRAEFEEVNKDVFEKCESLIIQCLHNAKVEVEDINDVIIVGGCSYIPRVKNLVTNLCKVSEVYKDINPLEGAVRGAAMEGAVASGITDPFGNLDLLMIQATPLAIGIRANGDEFVPVIPKNTRLPARKDLVFTTIHDNQSEALIVVYEGEENHLLGYLKIMGIPAAPKGVPEISVCMDVDTANVLTVLAGVVMPGSRTPAVPFMQVRVPMVDDAQGWFAEALNRTYGAKMDLVTLKKA >CAK8537303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:217148410:217149108:-1 gene:gene-LATHSAT_LOCUS6602 transcript:rna-LATHSAT_LOCUS6602 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTLESQQVNEVENAFAQQVGPSLKKIFLDVDLDNLPVDPGERNQMACYHPNDRDEIRRAYLQKGPCQPKDHNFQQRQFGTSLRKFNPDWFLEFGSWLEYTVSKDVIFCLCCYLMRHEIGEHKGWDAFVTEGFSNWKKKDRLNVHVGGPNSAQNQAWRKCNALMNQKQHIEVAINKQSDLIKREYHIHLTAIVDCIGLLLKLGLAFRGDDESVDSKNKGNFLEIL >CAK8574762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8722471:8723112:-1 gene:gene-LATHSAT_LOCUS27072 transcript:rna-LATHSAT_LOCUS27072 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRKTIHKTKSFFHKTLKNFRSFLSGGYQKLPRSLSFSPFLCNIDNAKTYTSDQFYNEFYDLLQSDLSTMKVIANNNNTKSMSKEPAMEDAAKNGNFTCIAKQSPQKSTHDVTEKSKMNKSSSSQSQLRKKGAHVLAQKMKELDMMDSGDLEHVLDIEEALHYYSRLKSPVYLDIVDKFFNEINSESIVPQPSISFKNSKERLAPIQLLKR >CAK8565011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24973913:24977341:1 gene:gene-LATHSAT_LOCUS18253 transcript:rna-LATHSAT_LOCUS18253 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMCETLKLFAENKDILSLQTKSVRVSHRAPSTPMFNEPVMVGRKDDQEKLMNMLLSESNTCMDVLAIVGMGGVGKTTLAQLAYNDEKVQKHFDLTAWACVSEDFDVVRVTKNLLESVTKTPWETNNLDLLRVELKKNLNGRRFFIVLDDLWNDNHCDWEELVSPLIYGKNGSKVIITTRNKKVADAARTFPIFELDPLSHEDSWSLLSKHAFGSGNFSETQRRNLEAIGRKIARKSGGLPIAAKTLGGLLRSKVDTKEWIEVFKSDIWNLQKDNILPALRLSYQYLSSQLKRCFSYCSIFPKDYSLDREQLVLLWMAEGFLDHSQDGKTMEEVGDECFTELLSRSLIQQLHDDINGQIFVLHDLVNDLATAVSGKTCYRLEFGAKSYENVRHLSYNQEFFDIFKKFQTFHKFKCLRSLLAIGSRGESNISRKVVDDLLPTFGRLRVLSLSKYRNIATLPVTIGNLVQLRYLNLSHTYIASLPDTICNLYYLQTLILSCCSKLTELPEHVGKLINLRHLYIDMTSIIEMPKQIAELENLQTLNFFVVGKKNIGLSVRELGKFPKLRGKVVIKNLQNVIDVMEASDTNLKSKEHIEELTLQWGEEVDDSLKGKEVLNKLQPSPNLKKLSIDLYGGTSFPSWLGDASFSNMVSLCIDNCMNSPTLPPLGQLASLKDLHISRMTLVDTIGQEFYGMAAGSSSSPFQPFSSLEKLVIEKMSNWKEWLPFQDNIFPFPLLNTLELSDCPELRGHLPSQLPSIEKVIIDGCDHLLATPPTQHWLSSIKSIYIEGDSYSESNIERTQCSLLESDSPCLLQDITITSCHMLKFVPKMIINSTCLRNLTFYGISSLTAFPTNSLPTSLQSLYIEDCENLTFLPLETWSNYTSLESLHLDSSCSALTSFRLNCFPMLQHLSIRECRSLESIFISETSSCSSSTLQSFYVYGCKELRSLPQRMDTLTSLESLSLSNLPNLNLSLCEGVFLPPNLQSIHIESVRITKPVTEWGFQSLTVCSSMSIGGDDNLNMLLKEPLLPISLVSLYIIRLSEMKSLEGNGLGHLSSLENLLLDNCSELVSVSEITFPSSLKSLSFGGCPRLEPLLEDNLPTSFERLFIQGCPLLEERYKREEHWSKIAHIPVIEINGQLTI >CAK8542593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528303089:528303934:-1 gene:gene-LATHSAT_LOCUS11419 transcript:rna-LATHSAT_LOCUS11419 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLHLHHPQTPSYSQTHSSNPLIPNRNPNRNGYIKFRTTHRENLRYLKSLTIIDPSTKPHNLPPPDAVDHILTTVNFLKSHSFSDADIPRLVHHSPRLFSASFNPDEISPVFNFLSNDLLATVDESRGLILRCPNLLFTDPNHILKPTLQFLREIGIRGLNRPTNRNAHLLNTRLEKLLLRVRFMEEVVGFSHEEANNACARLPAILGYDVENNLWPKFVYLVKEMERDLEELKKFPQYFGFSLEKRIAPRHLHLKERGVRIPLNRMLMWGDEKFYAKWK >CAK8564323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668295883:668297394:1 gene:gene-LATHSAT_LOCUS17638 transcript:rna-LATHSAT_LOCUS17638 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVARRLSSLFKQSGFTTEPFAAQLQQSRGIRVQVYNGNLEGALALMQRKMTSSGIERMIKIEQRFHIKNSEKRVLAQKNLQRRLRSQDLARKLKAIMIKKVRGL >CAK8544599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695029928:695031065:1 gene:gene-LATHSAT_LOCUS13259 transcript:rna-LATHSAT_LOCUS13259 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALASLGYRAVAPDLRGYGDTDAPASVTSYTVFHIVGDIVALIDLLGVEQVFLVAHDWGAVMGWYLCMIRPERVKAFVCLSVPFLRRHPKIRTVDGMHAAYGDDYYICRFQEPGKMEAEMAEVGAAYVLKNIFTTRKTGPPIFPKGEYGTGFNPNVPDTLPPWLTEDDLAYYVSKFEKTGFTGGLNYYRNFNQNWELLAPWNGVKIKVPVKFITGDLDMAYTMLNLKEYIHGGDFKEDVPNLQEVIVQKGVAHFNNQETAEEIANHIYEFIKKF >CAK8544675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698653211:698656016:-1 gene:gene-LATHSAT_LOCUS13332 transcript:rna-LATHSAT_LOCUS13332 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSRAPGKIILAGEHAVVHGSTAVASSIALYTYVSLRLSTPSSGNEESLKLVLKDVDLEFSWPISRVREAFPDSVVALLSSTPASCSVDCTKSIVALVEELSIPEAKIGLASGVAAFLWLYLSIQGFKPATVVISSELPLGSGLGSSAAFCVALAAALLSVTDSVSVDVAQQGWHTFGEKELDLVNKWAFEGEKIIHGKPSGIDNTVSTYGNLISFKSGNLTRMKSNVYLKMLITNTKVGRNTKALVAGVSERMLRHPGTMAFVFSAVDSISKELTNVLQSPTPDHLSATQIEEKVEELMEMNQGLLQSMGVSHATIETVLQTTLKYKLSSKLTGAGGGGCVLTLLPILLSPTIVDKVITELESNGFQCFIAGIGGNGVEISFEHSS >CAK8575501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:141491507:141491860:1 gene:gene-LATHSAT_LOCUS27759 transcript:rna-LATHSAT_LOCUS27759 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPRQLKCRWHKINPYV >CAK8579798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722936203:722939587:1 gene:gene-LATHSAT_LOCUS31713 transcript:rna-LATHSAT_LOCUS31713 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIFDFLRKNQFTTVEAALRTDLNNHNTDKFPRLPLPLVYSQPDLPIQLQTHSSGMNRAAAATWLSEDTSHLISGFATVAVGLNQFLDHPNEYWDSDEYNDDDDVGYMRQPIEDETWFLAHEIDYPSDTEKGTGHGSLLDPRERCPAKDEDDDQSFVEEQYLQTSNVEPVAASDDPIGLRITEMYGRTNGNYLMAQYDGQLMDEEELSLMCAEPIWKGFVPQTNELIMLNDSLRSQLEDIYIDDGQHGSVRSIGVGVNSDAVDVGREVRESLVGGSSEGGLEYFHDHDVGIGGSRHSNHDLDIKKKKGNDKSVSNKYVIGGDKDAQLQVKTHNERKFAFPPSFKDDQMIWPTSDKSLGSNNCIADETDNYLNAFLESDDTLPFWRQKSSVSLPVKSSRGENNANAIRPTNSSPTSVSNYGYSERDRVKLEEDVKVGVAREDGLGTLEDEEAAAVQEQVKQINAQEEEFETFDLKIVHRKNRTGFEEDKNFHVALNSIIAGRYHVTEHLGSAAFSKAIQAHDLYTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLSSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIDPIDQSMLAKGCDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDEGFIEFVGHLLEINPKKRPSASEALKHPWLCYPYEPISS >CAK8575048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24430949:24431357:-1 gene:gene-LATHSAT_LOCUS27340 transcript:rna-LATHSAT_LOCUS27340 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLFIFVFFLYALIIISIIAIEPSKDENQYGATEEFKPKIEIRERAVFTGGWTNKRNRGGYGKAVGEGKKGGPSSGGSVSGGGAQGVGEQNGGGKDKGSEPDRRERI >CAK8531099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80673519:80676086:-1 gene:gene-LATHSAT_LOCUS911 transcript:rna-LATHSAT_LOCUS911 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNPTTTSFHELKPEQYAHSPVHYAVAIGNHATLSRIISTLPRLPDPTQIHTESDSVFQEKLADKISVVLDRRDVPFRETPLHLAVRLNDVSAAKALASAGADVSLHNAAGWNPLQEALCRRATEISAILVRHHHRLAWAKWRRRLPRLVAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDIAHAVPSGSLLVLNRDDRKIFDAFENAGAPMSDSDVAGFCSQTSVYRPGMDVTKAELVGRTNWRKQEKTENVGEWKAKVYEVQNVLFSFKSRKVSAGESGSEQVIPLELDEDEDGFLVAENPSFGMPMETDKRRHSSFVREDREWVPMGRKSVDMHMPSTMMQPPRKSSATVRLAQPLPPPAKEKEFMKSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRDLLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVELQPVEKFYTPFTSPRHLVAEDHDDEQQNPETRHFSFSSSWLRRNNSQSGSSRSKQQQQRSSGAFDLEPFLIPEGFTWSNNVDDKPKKLNKSKSVRKSK >CAK8532719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498516696:498517212:1 gene:gene-LATHSAT_LOCUS2397 transcript:rna-LATHSAT_LOCUS2397 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNKFWFSLRPRSRLWKPSCASMPLHYPARYLFIHIVLTLIKLVSGNSAGTVTAYYVSQYCQKMVVSLVRNGNWENWKHIDSSSLLGITTAKDGGLIVCDMLPW >CAK8542700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538863187:538867106:-1 gene:gene-LATHSAT_LOCUS11515 transcript:rna-LATHSAT_LOCUS11515 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPQRSDPGSGSDGLRVYQAWKGSNKFFLQGRFIFGPDVRSLALTIFLIVAPVAVFCVFVARKLLNDFSDRWGISIMAVAIVFTIYDLVLLLLTSGRDPGIIPRNLHPPEPEGFDSNADLGAGQTPQLRLPRIKEVEVNGVVVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCVYVFAFSWIYIRRIMASEETTIWKAMIKTPASIVLIIYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVLNNFKEIFCISITPSKNNFRATVPNEPALPARSVGGGYMNQSLGKSGDDIEMGRKAVWEMDDSDAQSNNDRVNVKDGELSSEIRTTVDETVDRVGVHPRRSSWGRKSGSWEMSPEVLALAARVGEQNRAGEGNSGSSRES >CAK8535649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872421535:872429268:-1 gene:gene-LATHSAT_LOCUS5087 transcript:rna-LATHSAT_LOCUS5087 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYAYNLSPLLDINFHYDAYPYSEDHDNNGSNVLVDDGDDAVSGTSPLPLCMDWSPPPPNWDGPYTLWPHTLSSWTFSSTIPSWTLVPQSTPSSDPVVFFRVQVGVRSPEAITTTRIILRRFSDFLDLFSQLKKEFPMKYLPSPPPKKILRVKSQTLLEERRHLLENWMKKLFSDIAVSRSAPAAIFLELEAAARASFHDVNQHVSDEQTSGGTTLSHTIHNSSHDFVKAASVSGDDTTSEVSELGTLAHGKDKCSEHAVDNVTLDSDLTNPTETGVEHTASSQDFINEDTSSSTNKVAENSGDAIALRLDGADFTLSNAHVEKLSMESIGSDLSSVRNIGTSSSVVSTLLQGVSHDLPGNNVPSSGNSDLLVTFPLDQRQKLNRILNTQQQRVATAKTDVEDLIARLNQEMAARQYLATKVKDLEVELETTRLNCRENMQQAVLTEKERFTQMQWDMEELRRKWLETEMKLKFEEDERLLAESTKASIIQEKQMLQQELDVAREQLKHLQKHHDEFEMKSKTDMKLLVKEVKSLRSSQLELKQQLSELMEEKVDVERTLQKEKERMQLSHNTNAKLLHECAILQKRLQECSVNFLVEEEDKLNVDTSPSDALDLLATSDNRIGLLLAEAQLLAQDVENVMDAVERNTTTDDTGTTNEELRKMLANIFVDNASLRKQVNSVIRCALIANIKSDENEEEDEIHLQKTFLSKFLER >CAK8564038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647244407:647245087:-1 gene:gene-LATHSAT_LOCUS17383 transcript:rna-LATHSAT_LOCUS17383 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSMTGTHFIAIATWFAPSPLFILVNLVIGTIALVSCFNAAPKIKIIQRAKSFNTRHYYNHQEQTSSITQQPESGSESTQSQLFQIPSLLKRVVSFNLSLDKLAPPQVKTHYIQPESENSSSELDPKLICDLSDEEKRKVELKRSVSEKECSMTLDWEEEEDEEALERRRPATAMARSETTTCKEDEGVDAKADDFINMFKKQLKLQRLNSLIRYRNTLTLPYFE >CAK8560457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20353648:20358259:-1 gene:gene-LATHSAT_LOCUS14126 transcript:rna-LATHSAT_LOCUS14126 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNELLGSSLVERSLFPSSPCFHQKNGRFLTKPVLVPFGNRRVLKLRKSAKFPVAALSEDLVKNSSSSSLSSSSSSLPSEKPVKFKVRAVVTVRNKIKEDFKETIAKHLDALTDRIGRNVVLELFSTEIDPKTNAAKKTNEAVLKDWAKKTNIKAERVNYIAEFTVDSNFGEPGAITVINNHQQEFFLENITIEGFATGAVYFPCNSWVQARKDLPGKRIFFSNKAYLPDDTPAGLKVLREKDLKNLKGDGKGVRKLSDRIYDYDTYNDLGNPDKGIDLCRPNLGGSEKYPYPRRCRTGREPTDTDISAESRVEKPLPMYVPRDERFEELKQNTFSVKRLKGVLHNLLPGLKSSLSADNQDFNDFSDVDGLYSVGLLIKLGLQDDVLKKFPLPKIVGKIKESTSQGVLKYDIPKIISKDKFAWLRDDEFGRQAIAGVNPVTIEKLEVFPPVSKLDPEIYGPLESALKEEHILHQLNGMTVQQAIDENKLFIIDYHDIYLPFLERINALDGRKSYATRTIYYLTPLGTLKPIAIELSLPPSGPNTRSKRVVTPALDATTNWMWMLAKAHVCSNDAGVHQLANHWLRTHACTEPFILSAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLISADGVIESCFTPGRYAMEISSAAYKTLWRFDKDSLPQDLLRRGMAVPDPTKPHGIRLIMNDYPYAEDGLLIWSAIENWVRTYVNYYYPNSSLIINDSELQAWYSEAINVGHADLKDETWWPKLNDSDNLVSVLTILIWNASAQHAALNFGQYPYGGYVPNRPPLMRRLIPEESDPEYGSFIADPQKYFLNALPSLLQATKYMAVVDTLSTHSTDEEYIGERQHSSTWSGDAEIVEKFFEFSAEIGRIEKVIESRNRDKTLRNRCGAGVLPYELLAPSSEPGVTCRGVPNSVST >CAK8531327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100863202:100865774:-1 gene:gene-LATHSAT_LOCUS1127 transcript:rna-LATHSAT_LOCUS1127 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLQAFLNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKISYPQQIAVMATGLIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLSRKLRQDYFSEEAVEKE >CAK8563803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631863149:631865099:1 gene:gene-LATHSAT_LOCUS17178 transcript:rna-LATHSAT_LOCUS17178 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASAFSDEIPNNNVQGSCIIDVPFSPLPSCNLFDMPPPPSLSDHQDQNDGSFAGYMDMLSSDDFNFNASWFSNFNFEIGTSESLPAATQTDVQLPPLPVLSPVATSEILNNVATPASGSSSNTSSPNEAGVVANKPVVARAENEDGREFVEGKEVEAKDHGGDRRIEVVENRNDQNQTKKPVKPKTDKKKQKLKKVIFKTQTEEDYLDDGYRWRKYGQKPVKNSPFPRSYYRCTTPGCEVKKHIERFALEPSMLLTSYEGKHTHLAPKVKHVSRLEIMHDAIAAGDQKIHRKKIKTDGVGVAGNKLPMSQSQPQQFQNQNQNVILQQPALHPLLYNNGYHNSFNVAPTSNVVNYANNSPPLNVVNSSAADNFPSLNVVNSAAAENSPPLNVINSAGYFFNSSNVVNYANNSPPLNAVNSSAADNSPTLNVVNTAAADNFPSLNVVNSAGNFFNSSTSFSEFLQNLGGCNYSLWTGNLRSNDGLLEDVIMPRETRGAWAGAGAGAVAGR >CAK8571591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444899996:444906735:1 gene:gene-LATHSAT_LOCUS24236 transcript:rna-LATHSAT_LOCUS24236 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMKRRSTLVTLAFLMFMGIAVYFRLWAIDYNISIDDSDILRRQFDIANREAMDESAEWRQKYDTEVDRANKCIKELQVFKESSPKAGDATGSGINHKFAVLQKENAMLLERVETLKRELEEEKSKCNSRYMN >CAK8535275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832645717:832646849:-1 gene:gene-LATHSAT_LOCUS4747 transcript:rna-LATHSAT_LOCUS4747 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTKLCVTLSIISLLACCTNVQLVNNFYATTCPSLQTTVRNTMTSAIKNESRIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAAPNRNTARGFEVIDAIKTSVEASCNATVSCADILALAARDGVFLLGGPSWIVPLGRRDARTASQTAANNQIPSPFSDLSTLTSMFSAKGLTANDLTVLSGAHTIGQGECQFFKTRIYNETNIDPNFATLRKSTCPLSGGDTNLAPLDTLTPTSFDNNYYKDLVAKKGLFHSDQVLFNNGSQDSLVTSYSTNSATFFNDFATAMIKLSRISPLTGTNGEIRKNCRLVN >CAK8565179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:58465060:58465363:-1 gene:gene-LATHSAT_LOCUS18414 transcript:rna-LATHSAT_LOCUS18414 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSKCLESSLTVPSVQELSLQNPQKVPKRYLRDDGDDDFCAFPSSDPSLTIPLIDKAKLVNTLGCFSDGKSWSFIC >CAK8563939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640443407:640443778:1 gene:gene-LATHSAT_LOCUS17294 transcript:rna-LATHSAT_LOCUS17294 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLLKLKSALKKWNSFGNGKQSRHSISAVADEESSSSFRSDLHSVFVGKSRRLYRVTSDVVDNPVFRELIERSRETEQENDNVNVVACEVVLFEHLLWMLENADPQPESLDELVDFYAC >CAK8570781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:113190728:113191814:-1 gene:gene-LATHSAT_LOCUS23504 transcript:rna-LATHSAT_LOCUS23504 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTQHIHKMVSSLILIFLSITSLASASLQVGFYGYTCPSAETIVRNTVNKAISQNPGIGAGLIRMHFHDCFVRGCDASVLLASTPGNIAERDNFINNPSLRGFEFINEIKAQLESECPQTVSCADILTFATRDSVLKLSGGTINYDVPSGRRDGRVSIGDEVPRNLPGPSLNADQLIANFARKGLSADEMVTLSGAHSIGVSHCSSFSNRLYSFSNTNAVDPSMDPSFVESLKTKCPAPPSSDNPLVVLDVGTPSRLDSVYYEGLINHRGLLTSDQTLLSGQSTKESVVNNANYGANWAKKFADAMVHMGSIDVLSGYDGEIRKHCSFVN >CAK8544936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713676833:713677366:1 gene:gene-LATHSAT_LOCUS13576 transcript:rna-LATHSAT_LOCUS13576 gene_biotype:protein_coding transcript_biotype:protein_coding MDENKPTPSIEDDVTTADSRRVGTRHPIYRGVRKRRWGRWVSEIREPKKKSRIWLGSFPVPEMAAKAYDVAVYCLKGRKAQLNFPNEVENLPLPATPTARDIQAAAAKAASMMKSSLDEKGCIVSDGDSGGDDFWGEIELPELMESECCWSSPSDMTVWTEVEVLAQQPLMSACFNN >CAK8570189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28074207:28081248:1 gene:gene-LATHSAT_LOCUS22962 transcript:rna-LATHSAT_LOCUS22962-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRFDAIISVFVTVHPHESSALLHSFFCFFFILSAYFVVLPLRDEGAISLGLSNLPGLFVGSLVLTVIAAPFSSLVFSLPNVSKNKALVFIHRFFSVSLIVFFILWHHSSAGHTTSNLIGSTALTSKEGKLGDQGSLASSSGWDKHGWFYISVRIGLFLWVALLNLITISSTWARIIDVMDNESGSRLFGFIGAGATLGQLCGSLFATGMAFVGPFLLLFAALLMELAAQTSRGINCDTSHVQEELSPIRESDSNHENEAKKIERTERTLTVVSSFFYFQKMSVIASTITSSLGRRKLFAQINSFIAVFILIGQLSLTGRILTVAGVTTAICSTPFIGFLNLAALAVWPDWMVVAICETLRKVVTYVVTRPGRELLFVVVSEDEKYKAKICIDVLVQRLGDAAAAGMYKMLFGTQNGSPSTAALYGLPVCLLWIITAFFLGRRQERLSKEILRLNVQ >CAK8570188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28074207:28081248:1 gene:gene-LATHSAT_LOCUS22962 transcript:rna-LATHSAT_LOCUS22962 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRFDAIISVFVTVHPHESSALLHSFFCFFFILSAYFVVLPLRDEGAISLGLSNLPGLFVGSLVLTVIAAPFSSLVFSLPNVSKNKALVFIHRFFSVSLIVFFILWHHSSAGHTTSNLIGSTALTSKEGKLGDQGSLASSSGWDKHGWFYISVRIGLFLWVALLNLITISSTWARIIDVMDNESGSRLFGFIGAGATLGQLCGSLFATGMAFVGPFLLLFAALLMELAAQTSRGINCDTSHVQEELSPIRESDSNHENEAKKIERTERTLTGSPKSSPTLVKHRVWPILEGLWLILSSTYLLHISLFIWLSAVVSSFFYFQKMSVIASTITSSLGRRKLFAQINSFIAVFILIGQLSLTGRILTVAGVTTAICSTPFIGFLNLAALAVWPDWMVVAICETLRKVVTYVVTRPGRELLFVVVSEDEKYKAKICIDVLVQRLGDAAAAGMYKMLFGTQNGSPSTAALYGLPVCLLWIITAFFLGRRQERLSKEILRLNVQ >CAK8564325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668319917:668320813:1 gene:gene-LATHSAT_LOCUS17640 transcript:rna-LATHSAT_LOCUS17640 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFHRLLHQLSKVLDYNFHAKCENLQIIEISFSDDVLLFSRGDEKSVQLLIDQLQALSQSTGLMVDHAKCRVYFGGVENETKNNILAATSFMEGDLPFRYLGVPLTSKRLSTQHYMSLVDRIVNRICHRISKLLSYAGSEVKSRKSPIAWKMVCKPRRQGGLNVLDLSEWNTACLTKLLWNLCNKKDSLWVKWIYTFYFKTTDILQVQEKQSMSWIFKALLRHRTIIFAMEDWNEIERYSVGKVYQFLKKDEPDVG >CAK8578883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660857627:660861910:-1 gene:gene-LATHSAT_LOCUS30864 transcript:rna-LATHSAT_LOCUS30864 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTSQAVFQIQSRVVPQSGYIERYGKSELRVKCRKKMSSRRRFLIESSTSSVLQSQLRPHRFPLTAVSFCDYKSYSHPGLQRCKCQQAENLSGITSGDGNGVRFASDVEKSNLVSNVMSAKSVVEFGDVQVLKEEKEILSSNITNGNISKNFDSVSINSIEEEAWDLLRESVVNYCGNPIGTIAAKDPNSTNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLEGDDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMKRLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSVVNNMATEEQSHSILDLIEAKWSDLVAEMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPSLLWQLTAACIKMNRPHIAAKTVEIAERRISRDKWPEYYDTKRSRFIGKQSQLFQTWSIAGYLVSKLLLADPSKANILITAEDSELANAFITANPKGKRGRKNLKQTYIV >CAK8543728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635082772:635083083:-1 gene:gene-LATHSAT_LOCUS12468 transcript:rna-LATHSAT_LOCUS12468 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8560477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21363616:21365463:-1 gene:gene-LATHSAT_LOCUS14145 transcript:rna-LATHSAT_LOCUS14145 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPENLPLETAQKIILRWDSTASEEAREKMIFDHSTNRDEIDLYLQAVDEIQRSISSASLSDNHHSKPSSTIQIAMARLEDEFRNILISHTNSIEPPLDEDSSFSSSTTKRHQDFKNDDDEENEEDDQNDDKLLRFDSTCSVATTATSSSYRSTSSIREIDLIPSEAVSDLRCIADRMISSGYLRECIQVYGSVRKSAVDLNFKKLGVEKLSIGDVQRLDWEQLETKIRRWIRAAKVCVRTLFASEKRLCEQIFEGIGTSIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLIPDIDVVFDSKSSESIRVQAAEILSRLAEAARGILSEFENAVLKEPSKVPVPGGTIHPLTRYVMNYISLISDYKQTLYELIVSKPSTGSIYDPSNPDMDFDELEGKPPLAIHLIWIIVILQFNLDGKSKHYKDASLSHLFIMNNVHYIVQKVRGSPELREMIGDDYLKKLTGKFRQAATSYQRATWVRVLNCLRDEGLHGSGGFSSGVSKSALRERFKAFNAMFEEVHRTQAVWLIPDSQLREELRISISEKLLPAYRSFLGRFRSHIESGRHPENYIKYSVEDLEDAVLDFFEGIPVSQHTRRRSQ >CAK8564037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647226758:647227423:-1 gene:gene-LATHSAT_LOCUS17382 transcript:rna-LATHSAT_LOCUS17382 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSITGTHFIAIATWFAPSPLFILVNLVIGTIALVSCISAAPKIKIIQRAKSFNPRHYNNNQEPTSSATQPESGSESTQPQLVQTPTPLNRVVSFNLSLHKLAPVKTTHYLQPETENSSVELDPKLVCDEEKSSVELKRSVSEKECSMTLEWEEEEDEEALERRRPATAMARSETTTCKEDEGVDAKADDFINMFKNQLRLQRLNSFVRYRNTLTLPSFE >CAK8578789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654836065:654838858:-1 gene:gene-LATHSAT_LOCUS30773 transcript:rna-LATHSAT_LOCUS30773 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSYNMRSKGSFENENKGTVKDKDNEGGSGGKSGKMVSPNNREFMFICFLVSLWYSSNIGVILLNKYLLSNYGFKFPIFLTMCHMSACAIFSYISIVFFKVVPQQMIKSRSQFLKIATLSIVFCGSVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYMATFKREAWITYAALVPVVAGVVIASGGEPGFHVFGFIMCLSATAARAFKSVLQSILLSSEGEKLNSMNLLLYMSPIAVVLLLPAALIMEPNVLDVTLALGREHKFMGVLILLNSATAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVTFIGMAGYAVTVMGVVAYGETKRRFR >CAK8577613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578344757:578345206:-1 gene:gene-LATHSAT_LOCUS29703 transcript:rna-LATHSAT_LOCUS29703 gene_biotype:protein_coding transcript_biotype:protein_coding MALISQTELPLNENDSQDMVIHHILNEANELSNTMVQQRHQNGLDQNKDIKKKKYRGVRRRPWGKYAAEIRDSARNGARKWLGTFQTAEEAAIAYDKAAFRMRGSKALLNFPHEFSASLQLSSTSNHSLRSRKINNKNSESSASNSCSI >CAK8537166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:120591769:120598413:1 gene:gene-LATHSAT_LOCUS6476 transcript:rna-LATHSAT_LOCUS6476 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFEILGFQGLVYVLLVLGFVVIRQVWRNAEAKKEEIMRLVQDTAMAEMEPSTTAAAFASASASFDLDSLHVSASQASQGYQCAVCYSPTTMRCSRCKAVRYCSGKCQISHWRQGHKDECCPSVTTTREVDGGNITCRAAVAETQFGLHEIKGKHVESYIAARKSFGDNCHATFTKPVCNNTADDICIASTDSDENETVLPPSSRLESKSPVNIELRNSSSSKRSKKKSSNTSDEVGFKSKVPKTKSGTSHDLASNLSGHEHRRKVASVEKSIADTSKCKTVPSSSNSNIDILADDVEEPHMSRYKEARRSSSSSRDRLFSTTKGDSVSHSMSTKTDNYHTLPSKVSVAPNLPQNVRNGLKSSMQKVVQQFRISKESRSNLISVENELGFPYELFVELYCYDKVKLFPFGLTNCGNSCYANAVLQCLAYTRPLTSYLLQGFHSKRCQKKGWCFICEFEYLIQKAKEGNSPLSPIGILSKIHKIGSHLGHGREEDAHEFLRGAVDTMQSICLKEAGVSSPLTEETTLIGYTFGGYLRSKIKCLRCMGKSEMYERMMDLTVEIGGNIETLEEALGQFTAPEILDKDNKYNCGRCKSYEKAKKKLTVLEAPNILTIVLKRFQSGNFEKLNKSVQFPEVLNMAPYISGLKDKSPLYSLYAVVVHLDSMNAAYSGHYVCYVKNIRGEWFRTDDSRVEPVELSRVLSERAYMLLYARHSPKPLGSVSSNVVFSTGKFKRRNLEAIPSVSKTRSISMATSADSPSLQQKHGKHTHGNTVDDSFSKEPVYQEEWRFNYRGRNTMVDSSSESSLFSSSDASSCSTASTKDSASTADFSDYLFGEAGSNWHGHYGISSNSAASSSYDNLHTDFSVDRRPQQDPEDKAILYANKNKSHSGRWGFDLKRFVTAKHHDKNSVVHVRRTSRDASAQTFY >CAK8543716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633481697:633482038:1 gene:gene-LATHSAT_LOCUS12457 transcript:rna-LATHSAT_LOCUS12457 gene_biotype:protein_coding transcript_biotype:protein_coding MTCISKPFNGTIFHTHSSHLPRHHISQFQPCLPSSVVYSFSSTVAHFSFSSFLVSSFFYSFSQSHSAPRDASISHHPTSFLLFLKTKSSFVSSSISISLPLSQCAISLIPQSL >CAK8570641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:78644989:78645507:1 gene:gene-LATHSAT_LOCUS23377 transcript:rna-LATHSAT_LOCUS23377 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIGLDREKASVFFKEQTGSAAEMTINSGIRKILPNSEICDFDFEPCGYSMNSVEGPAVSTIHITPEDGFSYASFETAGYDLKAINLNGMVMSVLACFKPTKFSVAVHVDNASKSFEQGCLLDVKGYCCGEKSHQALGMGGSVVYQKFLKTSDCGSPRSTLKCWKDEDEKE >CAK8541064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:55309085:55309297:-1 gene:gene-LATHSAT_LOCUS10015 transcript:rna-LATHSAT_LOCUS10015 gene_biotype:protein_coding transcript_biotype:protein_coding MGADWGPVIVAVALFIVLSPGLLFQFPARSRVVEFGNMSTSGISILIHAIIYFCILTILVIAIGIHVHFN >CAK8538309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476152936:476155856:1 gene:gene-LATHSAT_LOCUS7522 transcript:rna-LATHSAT_LOCUS7522 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTYNYKMFKCFNRKYATTAEQAPPSDVKQVFSEFSGGSSFISADQLRRFFLEHQGETDCTEDDSKRIVENALQSRKGSQDSGGGGDGVSGTGEGLTVDEFFEFLLFDEFNGPLKSQVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQLGVRVIELDIWPNSTKDDIDVVHGRTLTTPVSLLQCLKSIKEYAFVKSCYPVIITLEDHLTPDLQAKVAEMTTQTFGDMLYFPETESMTEPMTEFPSPESLRDRILISTKPPKEYLDNRQFKDTSDSEKEFSEEGSVSPARSSEADDKLNGSDVDDEGFYPRDKKPDQQSGPEYKRLITIHAGKPRGQIRDHLTMAGNVKRLSLSEQELEKASVCYGADIVRFTQKNIIRVYPKGTRVNSSNYRPHIGWMYGAQMVALNMQGHGRSLWYMQGMFRANGGCGYVKKPEFLLTKGPNNEYFDPKKTLPAKKILKVKVYLGLGWSSDFSKTHFDTFSPPDFYTKICIVGVPADKIYKKTKVIHDDWFPVWDEEFDFPLSVPELALLCIEVREYDKHEKDDFGGQICLPIPELKSGFRSVPLYDKKGEKLKSVKLLMGFQFT >CAK8576048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:392036574:392037548:1 gene:gene-LATHSAT_LOCUS28266 transcript:rna-LATHSAT_LOCUS28266 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASSSSTTPDDHDEEIFQISDEKYAEELQLQEALNFSRTSNPTVTVEEEIKVVEEKVETKTLTSSLIQKLKNSMNFEGESSSCSSLKQSYCGICMEAKPVEKMFENPNCSHSFCVNCVGKFLAIKVQENRSTVKCPYPKCNGIWEPRDCSSFIPKDLLDRWENTVCEETVLSSEKFYCPFKDCSAMLVNDEKEVIVTSSECPHCHRLFCAQCKVSWHAGVDCQEFQSLKDGERGREDLLAMELAKNKKWKRCPKCSFYVERIVGCTRITCRCGNQFCYGCGSTWNRDAHYHCATQ >CAK8568836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644968139:644968397:-1 gene:gene-LATHSAT_LOCUS21754 transcript:rna-LATHSAT_LOCUS21754 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDLENKLLLARFSEIQALQSSTL >CAK8572007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501458058:501458435:-1 gene:gene-LATHSAT_LOCUS24616 transcript:rna-LATHSAT_LOCUS24616 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIWWSLLGAAIPVVIAGQAFRLKKKNAEEQRLASARGRERSSDEIFVCEKVCTSKRMLKKVGSFSKDPIPDTCVTVCGVSDLDACADACARTVCVNQHQVPSWNDICLRRCQNECLKLSSQSS >CAK8563477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608707461:608712079:-1 gene:gene-LATHSAT_LOCUS16880 transcript:rna-LATHSAT_LOCUS16880 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFVLVSFVFFTSIKDGCARKGITSSFVRSEWPSVDIPLDHEAFAVPKGHNAPQQVHITQGDYEGRAVIVSWVTPDEPGSSHVQFGTSEKKFQASAEGTVSNYTFGEYKSGYIHHCLVEGLEHDAKYYYRIGSGDSSREFWFETPPKVEPDAPYKFGIIGDLGQTFNSLSTLEHYIESKAQTVLFVGDLSYADRYKYSDVGLRWDTWGRFVERSTAYQPWIWNTGNHEIEYFPYMGEVVPFKSYLQRYTTPYLASSSSSPLWYAIRRASAHIIVLSSYSPYVKYTPQYKWLAEELTRVDREKTPWLIVLMHVPIYNSNEAHYMEGESMRVVFESWFIKYKVDVIFAGHVHAYERSYRVSNIDYNITSGNRYPVADKSAPVYITVGDGGNQEGLASRFWDPQPEYSAYREASYGHSTLEIKNRTHAIYHWNRNDDGKKVSTDSFVLHNQYWGNNRRRRKLKHFLLKVIEEVASS >CAK8578224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613220993:613237358:1 gene:gene-LATHSAT_LOCUS30255 transcript:rna-LATHSAT_LOCUS30255-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRKRALQVPPKIRCSINCVTAVPLENIQEPLKNFAWEFDKGDFHHWVELFNHFGSFFEKYVKPRKDLQIDDDFLDSDPPFPKEAVLQILRVIRIILDNCTNKHFYSSYEQHLSSLLASTDPDVVEATLDTFATFLKKTVLKYSIRDTSLNSKLFALAQGWGGKEEGLGLIASTVPNGCDPIAHELGCTLHFEFYAVNESESDIKGAEPLVQGLQTIHLCDFNKCVETDLGLLHKLVTEYKVPSSLRFSLLTRLRSARAFGSLGSRQQYTCIRLYAFIILIQAGGNADDLVSLFNAEPEFINELVSLLSYEDAVLEKIRVLCLHSLAALCQDRSRQPSVLTAVTSAGHRGILSSLMQKSIDSVISDTSNRSVHFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDSNPQHLLLVEKAVRILEAFMDYSNPAAALFRDLGGLDDTIARLKIEVSHAENAGEQPDENSESSRNIHMVEASSVLLDDTQSLYSEPLISYNRKLLMKALLRAISLGTYAPGTTTRIYESEDNALPQCLCLIFRRAKDFGGGIFSLAATVLSDILQKDPTCFPVIDAAGLPSAFLDAIMDDVLNSSEAIACIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSRTYLRGLTWETPASLSSGLDELMRHASSLRGPGVDMLVEILETISKIGSAVDPSPLCPDPCSSTSVPMEMDCGDRNFILPDNKESSKADGTKLINEPCHDASTMNIESYLPDCVNNVARLLETILQNADTCRVFVEKKGIEAVLQLFTLPLMPPSVSVGQSISVAFRNFSPQHYVSLARTLCSFLREHLKSTNELLDSIRGTQLALVESAKQTKVLKYISSLEGVLALSVFLLKGTTALVSELSTSDADVLKDIGRTYKEVIWQLSLCNDSKTEEKKKNGPEPEISQAPLSTTVVERDSDEDANMQTVRYTNPVFARTGSHSLWSGERDFVSVVRSGEGLHRRTRQGIARMRGGRTARRLEALNIDSEASSSALEASSSRDSKMKSPDVLALEILNKLASTMRSFFTALVKGFTSPNRRRADSGSLGSASKALGTVLATNFLDAFGFSGHSTHDGLETPLSVKCRYLGKVVDDMAALIFDSRRRSCYPAMVNNFYVHGTFKELLTTYEATCQLLWTLPRSIPTSDIDLGKIEQGAKLSHNTWLLDTLQSYCRLLEYFVNSSLLLSPTSASQTELLVQPVTVGLSIGLFPVPRDPKVFVRLLQSQVQDTILPIWNHPMFCSCSPGFVASIISLVTHVSSGVGDVKRNRNNILGSTNQRFIPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELAQALALSLGNSSETTKVDSAGKTDDVTTEEGLMKKPPVDDILAASMKLFQSSDLVAFQLTDLLVTLCNQNKGEVRPKVMSYLLQQLKLCPLDFSMDNCALGALAHIIALLLVEDGSTREIAYQNGIISTIIDILINLKDSLKLGKELPVSKCISALLLILDQMLQSRPKIENMEGGTQTGSMPDSSAEHGSLLFPDIVAHEENKIDGSEKKPEVAFENILGKSTGFATIDESHMLLDIVCDLIKQHVSAVVMQAVLQLCARLTKTYALAMKFFENGGMAALFSLPRNCFFPGYDTVVSTIVRHLLEDPQTLQTAMELEIRQTLSGNRHSGRLSSRSFLTSLAPVISRDPIVFMRAAAAVCQLEKSGERTVIVLSKEKEKEKSKTSSTEATNECLRISESKSHDGSGKYLKSHKKVPVNLTLVVDQLLEIVLKYPPMEGRENFECDASLMDIDEPTMKVKGKSKVEETVILEPESERSAELVKVTFVLKLLSDILLMYGHAVGVILKRDSEMCQFRGSSQQSGHNGIIHHVLRRLLPHSVDKSAGPDDWRGKLSEKASWFLVVLCGRSGEGRKRVTNGLVKELTSFSNFESNSLKSSLCPDKRLFIFIDLVYSILSKNSSSGSLPGSGYSPDIAQSLIDGGIVTCLTRILELVDLDHPDAPKFANLILKGLECLARAANASEQICKSKGTEKRRSDGLNDRSDDQITTPSALETVSHDQNVSGQEALREMMDNAHIQRTSQGDHHTDNPNHSVEQVMRVEEEETISPNTSVELGMDFMHEEMEEGSVLHNPEQIEMAFHVENRADNNMGDEDDDMADDDDEEDDDDGEDEDEDIAEDGGGMMSMADTDVEDHDDTGLGDEYNDEMVEEDDDDFHENHVIEVRWREALDGLDHLQILGQPGTAGGLIDVVDEPFEGVNVDNLFRLQNFERRRQTGRSSFERPVSEINGFQHPLLARPSQSGDFVSMWPSGGNSASRDSEIQSSGNLDMAHFYMFDTPIRSYDHVPSNLFGDRLGSVAPPPLTDYSVGMSSLHLPGRRVFGNGRWADNGQPQGGAQAAAIALAVEEQFLAQMSSIAPTNSPTEHNVHNSEEQEKQSDVLPTHDGPVLSVGVDSNCQQFAGQEQENGIEAIAQQINLSVDGAPCGEEINVDYGVQDPGEGLHTNEPVSVQPVSPNLMPNNLDCTESEINNTPSEYVQYERDPDVPTNNHTVPVVPMGCNGTSNVDGQPSNLGLPGSGFEATNPNDCPASSVYASVDVNMGGVDTERNQSGQPTVSEDIRDELLSTQNTQVVLDETQADQTSANNEAAGANTIDPTFLEALPEDLRAEVLASQQAQPVQPPVYAPPSAEDIDPEFLAALPPDIQAEVLAQQRAQRVVQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQILRDRAMGLYQARSLFGSSHRLNNRRNGLGFVRQPVMDRGVGVTIDRRSALMDALKVKEIEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSLTRATLIYLLLDMIKPEAEGSESRPATLNCPRLYGCHSNTVYGRSQLLDGLPPLVFRRILEILTYLATNHSAVAKMLFHFDRSITDFSNSSATHMNGKGKEKVTERGHSPKLSGAHAGAVPLVLFLKLLNRPLFLRSTAHLEQVMGLIQVVVDTAASKLESQSQSEKAIADTLNLSVNEAEKDPPLVELDSNQQDKHADTRARPSNGKKNLDMYNIFSQLPQSDLRNMCNLLSHEGLSDKMYMLAGEVLKKLAFIVSSHRKFFILELSESSHALTGSAVSDLVTLQQTNMLGLSAGSMAGAAILRVLQALSSLISLDTSGYMDLESDVDQQDDKAIIWNLNTALEPLWQELSNCISAAEMQLGQSSFSPNMSNINVAENFHGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESITQQDHGNATAREVKEFAGCSASMNENFIVDSKRKLDGAFTFTRFAEKHRRLTNAFIRQSPSLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQKHDQHLSGPLRISVRRAYILEDSYNQLRMRPTPNLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKNEVTDYELKPGGRNIRVSEETKHEYVDLVAEHLLTNAIRPQINSFLEGFHEMVPRELISIFNDKELELLISGLPEIDLDDLKENTEYTGYTVASNVIQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQDRLLLAIHEASEGFGFG >CAK8578223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613220993:613237358:1 gene:gene-LATHSAT_LOCUS30255 transcript:rna-LATHSAT_LOCUS30255 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRKRALQVPPKIRCSINCVTAVPLENIQEPLKNFAWEFDKGDFHHWVELFNHFGSFFEKYVKPRKDLQIDDDFLDSDPPFPKEAVLQILRVIRIILDNCTNKHFYSSYEQHLSSLLASTDPDVVEATLDTFATFLKKTVLKYSIRDTSLNSKLFALAQGWGGKEEGLGLIASTVPNGCDPIAHELGCTLHFEFYAVNESESDIKGAEPLVQGLQTIHLCDFNKCVETDLGLLHKLVTEYKVPSSLRFSLLTRLRSARAFGSLGSRQQYTCIRLYAFIILIQAGGNADDLVSLFNAEPEFINELVSLLSYEDAVLEKIRVLCLHSLAALCQDRSRQPSVLTAVTSAGHRGILSSLMQKSIDSVISDTSNRSVHFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDSNPQHLLLVEKAVRILEAFMDYSNPAAALFRDLGGLDDTIARLKIEVSHAENAGEQPDENSESSRNIHMVEASSVLLDDTQSLYSEPLISYNRKLLMKALLRAISLGTYAPGTTTRIYESEDNALPQCLCLIFRRAKDFGGGIFSLAATVLSDILQKDPTCFPVIDAAGLPSAFLDAIMDDVLNSSEAIACIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSRTYLRGLTWETPASLSSGLDELMRHASSLRGPGVDMLVEILETISKIGSAVDPSPLCPDPCSSTSVPMEMDCGDRNFILPDNKESSKADGTKLINEPCHDASTMNIESYLPDCVNNVARLLETILQNADTCRVFVEKKGIEAVLQLFTLPLMPPSVSVGQSISVAFRNFSPQHYVSLARTLCSFLREHLKSTNELLDSIRGTQLALVESAKQTKVLKYISSLEGVLALSVFLLKGTTALVSELSTSDADVLKDIGRTYKEVIWQLSLCNDSKTEEKKKNGPEPEISQAPLSTTVVERDSDEDANMQTVRYTNPVFARTGSHSLWSGERDFVSVVRSGEGLHRRTRQGIARMRGGRTARRLEALNIDSEASSSALEASSSRDSKMKSPDVLALEILNKLASTMRSFFTALVKGFTSPNRRRADSGSLGSASKALGTVLATNFLDAFGFSGHSTHDGLETPLSVKCRYLGKVVDDMAALIFDSRRRSCYPAMVNNFYVHGTFKELLTTYEATCQLLWTLPRSIPTSDIDLGKIEQGAKLSHNTWLLDTLQSYCRLLEYFVNSSLLLSPTSASQTELLVQPVTVGLSIGLFPVPRDPKVFVRLLQSQVQDTILPIWNHPMFCSCSPGFVASIISLVTHVSSGVGDVKRNRNNILGSTNQRFIPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELAQALALSLGNSSETTKVDSAGKTDDVTTEEGLMKKPPVDDILAASMKLFQSSDLVAFQLTDLLVTLCNQNKGEVRPKVMSYLLQQLKLCPLDFSMDNCALGALAHIIALLLVEDGSTREIAYQNGIISTIIDILINLKDSLKLGKELPVSKCISALLLILDQMLQSRPKIENMEGGTQTGSMPDSSAEHGSLLFPDIVAHEENKIDGSEKKPEVAFENILGKSTGFATIDESHMLLDIVCDLIKQHVSAVVMQAVLQLCARLTKTYALAMKFFENGGMAALFSLPRNCFFPGYDTVVSTIVRHLLEDPQTLQTAMELEIRQTLSGNRHSGRLSSRSFLTSLAPVISRDPIVFMRAAAAVCQLEKSGERTVIVLSKEKEKEKSKTSSTEATNECLRISESKSHDGSGKYLKSHKKVPVNLTLVVDQLLEIVLKYPPMEGRENFECDASLMDIDEPTMKVKGKSKVEETVILEPESERSAELVKVTFVLKLLSDILLMYGHAVGVILKRDSEMCQFRGSSQQSGHNGIIHHVLRRLLPHSVDKSAGPDDWRGKLSEKASWFLVVLCGRSGEGRKRVTNGLVKELTSFSNFESNSLKSSLCPDKRLFIFIDLVYSILSKNSSSGSLPGSGYSPDIAQSLIDGGIVTCLTRILELVDLDHPDAPKFANLILKGLECLARAANASEQICKSKGTEKRRSDGLNDRSDDQITTPSALETVSHDQNVSGQEALREMMDNAHIQRTSQGDHHTDNPNHSVEQVMRVEEEETISPNTSVELGMDFMHEEMEEGSVLHNPEQIEMAFHVENRADNNMGDEDDDMADDDDEEDDDDGEDEDEDIAEDGGGMMSMADTDVEDHDDTGLGDEYNDEMVEEDDDDFHENHVIEVRWREALDGLDHLQILGQPGTAGGLIDVVDEPFEGVNVDNLFRLQNFERRRQTGRSSFERPVSEINGFQHPLLARPSQSGDFVSMWPSGGNSASRDSEIQSSGNLDMAHFYMFDTPIRSYDHVPSNLFGDRLGSVAPPPLTDYSVGMSSLHLPGRRVFGNGRWADNGQPQGGAQAAAIALAVEEQFLAQMSSIAPTNSPTEHNVHNSEEQEKQSDVLPTHDGPVLSVGVDSNCQQFAGQEQENGIEAIAQQINLSVDGAPCGEEINVDYGVQDPGEGLHTNEPVSVQPVSPNLMPNNLDCTESEINNTPSEYVQYERDPDVPTNNHTVPVVPMGCNGTSNVDGQPSNLGLPGSGFEATNPNDCPASSVYASVDVNMGGVDTERNQSGQPTVSEDIRDELLSTQNTQVVLDETQADQTSANNEAAGANTIDPTFLEALPEDLRAEVLASQQAQPVQPPVYAPPSAEDIDPEFLAALPPDIQAEVLAQQRAQRVVQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQILRDRAMGLYQARSLFGSSHRLNNRRNGLGFVRQPVMDRGVGVTIDRRSALMDALKVKEIEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSLTRATLIYLLLDMIKPEAEGSESRPATLNCPRLYGCHSNTVYGRSQLLDAGLPPLVFRRILEILTYLATNHSAVAKMLFHFDRSITDFSNSSATHMNGKGKEKVTERGHSPKLSGAHAGAVPLVLFLKLLNRPLFLRSTAHLEQVMGLIQVVVDTAASKLESQSQSEKAIADTLNLSVNEAEKDPPLVELDSNQQDKHADTRARPSNGKKNLDMYNIFSQLPQSDLRNMCNLLSHEGLSDKMYMLAGEVLKKLAFIVSSHRKFFILELSESSHALTGSAVSDLVTLQQTNMLGLSAGSMAGAAILRVLQALSSLISLDTSGYMDLESDVDQQDDKAIIWNLNTALEPLWQELSNCISAAEMQLGQSSFSPNMSNINVAENFHGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESITQQDHGNATAREVKEFAGCSASMNENFIVDSKRKLDGAFTFTRFAEKHRRLTNAFIRQSPSLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQKHDQHLSGPLRISVRRAYILEDSYNQLRMRPTPNLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKNEVTDYELKPGGRNIRVSEETKHEYVDLVAEHLLTNAIRPQINSFLEGFHEMVPRELISIFNDKELELLISGLPEIDLDDLKENTEYTGYTVASNVIQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQDRLLLAIHEASEGFGFG >CAK8543583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623363699:623365327:1 gene:gene-LATHSAT_LOCUS12331 transcript:rna-LATHSAT_LOCUS12331 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQIQVLKALDAAKTQWYHFTAIIIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVEGAEKPGTLPPNVSAAVNGVAFVGTLLGQLFFGWLGDKLGRKKVYGVTLLLMVVCSVGSGLSFGHTPKSVITTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGLFAIIVSAAFKANYDSPSYEVDPLRSTVPQADYIWRIIVMVGAIPAGLTFYSRTKMPETARYTALVAKNTAQAAADMSKVLQVDIEADPVKEEDASKVKPFGLFSKEFLRRHGIHLFATASTWFLLDIAFYSQNLFQKDIFTSVGWIPPAKTMNAIEEVYKIARAQMLIALCSTVPGYWFTVALIDRIGRYTIQLMGFFFMTVFMFILAIPYEHWTHKENRLGFVVMYSLTFFFANFGPNATTFVVSAEIFPARFRSTCHGISSAAGKLGAIVGAFGFLYLAQNKDKNKTDAGYPAGIGVKNALIVLGFVNMLGFLCTLLIPEAKGKSLEEMSGENEEEEVESQDVEKSHSNNNSTVPHNLV >CAK8532450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:265118263:265120391:1 gene:gene-LATHSAT_LOCUS2154 transcript:rna-LATHSAT_LOCUS2154 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDDKGLLWKLPVVRSSSFGKMGPGFGFGAGCGVGFGVGLLGGVGFGPGIPGMQVGFGFGAGCGVGLGFGYGIGKGIAQDEYRRYSNVGNPFRSSGNPFHGARSVISEDDITALVDDIVINTKKLIKATSREIDKWRR >CAK8562236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459686681:459694178:-1 gene:gene-LATHSAT_LOCUS15750 transcript:rna-LATHSAT_LOCUS15750 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESGDGREIQKPFLHTGSWYKMGSRQSSVAGSSTTQVLRDGSVSVLFCVLVAALGPIQFGFTCGYSSPTQQAIIKDLNLSVSEFSLFGSLSNVGAMVGAIASGQIAEYVGRKGSLMIASIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYVVPVYIAEIAPENMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAILGILPCTILIPGLFFIPESPRWLAKMGMMDEFETSLQVLRGFDTDISVEVHEIKRAVASTGKRVTIRFADLKRKRYWFPLSIGIGLLVLQQLSGINGVLFYSTSIFANAGISSSNVATVGLGAIQVIATGIATWLVDKSGRRLLLIISSSLMTASLLVVSIAFYLEEVVSKDSHYFSILGIISVVGLVVMVIGFSLGLGPIPWLIMSEILPVNIKGLAGSTATMANWLVSWIITMTANLLLTWSSGATFTIYTVVAAFTVVFTALWVPETKGRTLEEIQFSLR >CAK8530258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10657842:10658480:1 gene:gene-LATHSAT_LOCUS138 transcript:rna-LATHSAT_LOCUS138 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQHHATSLPRSESKVYNMDVEKQGEEEQIDEKDYTQRAQWLRAAVLGANDGLLSTASLMMGIGAVNKDVKTMILTGIAGLVAGACSMAIGEFVSVYSQYDIEFSQMKRQGNTSQKDKLPNPYYAAFASAVAFAVGALVPLLGAAFVKDYKVRLGVVVGVVSVALFGFGLLSGFLGKAPLVKSSFRVLIGGWLAMSLTFGLTKLVNHVGV >CAK8571666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458122809:458142654:-1 gene:gene-LATHSAT_LOCUS24307 transcript:rna-LATHSAT_LOCUS24307 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVKIEEFEGDVVPTTDHFFVKIGEPVSLNSDDSNFDLQTPPSQPLSLSERFLLTFVAHSSGFYVVKTKDLIDSAKGSGSSVEELSLVDVPIGRVRILAVSTDNSLLATAVAGDIRFYSVDSFLNKEVKLSFSCSLSDSAFVKDMRWTTSSKNSYVVLSNTGQLYRGEAGFPLKHVMDSVEAVDWCVKGTLVAVARKNVLSILSTKFEEKVSIPLPFRSWISDSEANVSVKVDSVKCVRPDSIIVGCFQLTDDGQEENYLIQVISSKLGEISDDCSELVVQSFYDNYPLLVDDIVSPGSGPYLLLAYLEQCQLVINANMKNTDNHISLLGWSDDGDKGEAAIVDKLEIDRSKWIPKIELQANEDDNLLLGLCIDKVSIYQKVGIQLGANEEKTELSPYCVLMCLTLDGKLVLFHVASLAGSKVSPEVDSTEHDEKDAPVKLPVDESSTFSHGLQKKEHELGQDVEVRPFAKDYTEYPEVGSATSVQSLKSDVLQMVPSVDVKQVNDNHDNSSRDSQRASHLVPGGTFLFSKNSNVTSVSGSSYVVGSGYQSKKYTTGATNANTPNVPGSIGGKPFFVKDANVESPATSANFPGSTGGKPFHVKDVNVESPGVYSASRPVQSGGQLTSVGAESSHLSLLGNSTTGKSSIRKFHPSDEQHVNSSKPGISSSDLSKQFGNINEMTKELDLLLKSVEEAGGFRDACTRSLQSSIEEVEHNMDTLSKQCKIRMGQVDQHLEEVHYLLNKTIQVVARKVYLEDIYKQACDSRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLVELERHFNALELNKFSQYGGHHIGRGASQNRYGPSRHIQSLHSLQNAIRSQLVAAESLSECLSKQMTTLSLRSPTEEQKNVKELFETIGIPYDASFGSPDTKVLMKTPSSKKLLFSDLTSSKEKSKRIQGSYMKSCEPETARRRRDSLDQSWTCSEPPKTIIKRMLLQDLQKPKWKESPFSKEKIKASMPVQSAPHQMNARIPSGFLDSHSALKDMSEHSKAFMQDGDLKAHFRVSDSKSHVLQRSNISAVPPRPAFPLSPTIVHGHATESRENAAEKLNVKKFDSSSNSESKPFSLTEMPQKFSISTRLTTETPSSLFKSSAVPITNSTMTTGTSFTMGDKLSSAFTPESWKKNIPSSESHSSSISATSNMVGKVSGFNFDKSWPENSVPAVPKFSSSRDSLSPPTIKTPSVSSASLSVSSISLPPATVSATLSNTMTSSNFSIDSNHMSTSSASNSLHLSNQVPKQTLSPLPNPLSLNSTLESHKSEIQPAAVPDLKTNSDVAAEVATQLNEPLNGQSEMKFVSSRNFSPTNEQPAKNITSSDINIASVSQSERPSDAPLQLSTSFLTSASVSSGKNGGLDFGLSQEDEMEEEAPETSNSTELSLGSLSGFGIGSAPNPSVPKSNPFGGSFNNVSTSLSSSTIPLSVPSGELFRPASFTFPSTPASAPALPTNSGAFSGGFGVGATVPAQAPNSFGQPAQVGSGQQVLGSVLGSFGQSRQLGSALPGSGFASPPGFGGGFAASNNTGGFSNAAVGGGFAGRTSAGGGFAAIASTGGGFASVTSTGSGFGGFASAAPAGGGFAGAAPAGGGFAGAAPAGGGFAGAAPAGGGFGAAATSTGGFAGAGSGGGGFGAFNGQGSGGFSAFSNAGGNKPPELFTQMRK >CAK8571435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:411157611:411159170:-1 gene:gene-LATHSAT_LOCUS24101 transcript:rna-LATHSAT_LOCUS24101 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLETLSTNNSLVFPLITTLIISSILIIFFTSIFPYLTSCFHKLFSTSLFCSCEICQAYLTSSWSKDFNNLCDWYTHLLQKSPTKTIHIHVLRNTITANCENLEYILKTNFENYPKGKPFSTILGDFLGRGIFNVDGELWKFQKKMASLELNKNSIRSFAFEVVNNEIHHRLIPLLKNQNDVVLDLQDVFKRFSFDSICRFSFGLDPMCLEKSLPMSDFALSFDLASKLSAERAMVVSPLIWKIKRFFNMGSEKKLKKSINIINMLAKVVINQKRKMGFSNHQDLLSRFMSTTIHDDMFLRDIVISFLLAGRDTVASSLTSFFWLLSKNREVESEILLEAERVIGVGNNFGVTNFEQLSKLHYLQAAAYESMRLYPPIQFDSKFCLVDDVLPDGTKVKSGTRVTYHPYAMGRLEELWGEDCLEFKPQRWLKDGVFQPTNQFKYPIFQAGLRVCIGKEMALMELKSVAISLLRKFRIELEETSTTALGCDNPRFSPGLTATFAFGLPVFVRERGTNCEA >CAK8532811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520381382:520391338:1 gene:gene-LATHSAT_LOCUS2477 transcript:rna-LATHSAT_LOCUS2477-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSMKRKKLQSLCKKHGIPANLKNKDMAEKLSLIYKEEKNENPGSRRLRSDVDKSNVEIIVLDSDSDSEVQMEALDTVAEKDSNEKTNVSTEHLVDAEPDQSLTTSPRSEVKSDHNIIPPLDDSDTVAEKDSNEKTNVSTEHLVDAEPDQSLTTSPRSEVKSDHNIIPPLDDSDTVAEKDSNEKTNVSTEHLLDEEPNQSLTTSPRSEVKSDHNIIPHTDDSATPSDLNIHHMVDSEEIEQVHQLDDNIYVMDEAAAMCLDASTAVKHTDEVKSYDLNNIVSHPLRSDEDKLNLEIPELDSDNDIDVQMEASDTVAEKDCNERTNVSAEHLLDEEPNQFITTSPPSEVKSSDTNNLLSRPLRTDEDKLNLEITELDSDVQMEASDTVAEKDCNEKTNMSAEHLLHEEPDQLITTSPLKLDSNCDTDIQMEASDDVAEKNSNEKTNVREGHLLDKELDQFLTTSPLSEVKSSDLNVQNTVDSGIAAANADACEVKPATPREHNVHDMIDSGTAVGNEDACEVKLTSERSGNVGSFYVEEDINMRANVLEAFGNYTMLEDQTSSESTYVHEDTDYHCVISQAAKTCHDASPGVKNTEDNTNVSVENILDEVHLSSLENSNGTPAQFLKTNPLSEVETSDLDADKMVARGTDTKSAYTSGAKFESSLNMSTSPASKEMVGSSTKLLPRDTVFCNMEVSMQIGANKEQVDPSQEKMMEFSPKLFNNPGSPTNGDIGFCGLEENLEIGVNKENIDANEDAMHAEPSAMVLSDNENLIQAVSEEPGNNLMEDEVANVDNKFDIVEDVPGSVNPGSKKNADLNTYVSGGDILDEVHSSSFEDSDVTPVQFLTTDPLKEAETSDLDVPGNNLMEDEVANVDNKFDIVEDVPGSVNPGSKKNADLNTYVSGGDILDEVHSSSFEDSDVTPVQFLTTDPLKEAETSDLDVHTMFETDTSGAKFRSSQKISLSPTSKEIVGSSTKLLNNSVAPRDVVFCNAEKSMQIGANKEQVDPSQEKMMRFSPTLFNSPETPTNGGIGLCGLEENMEIDVNKENIDSNEDVMHEEPSMLVMSDNEDLIQAVSEELGNDLMEGEVANMDDKFDLLEDVHGSVNPGGTNSTHASNIKADLNTYVTGGDILDEVHASSFEDSDGTPVKFSDLDLHKMFETATATKNQDISGLKIESLPKMSLYPTPEKMIGSSRFEDSNGTPVQFLPTDPLREAETSDLYLHKMFETASATKNADTSGVKFESSRKMSLTLTPEKMIDSSPKLLNNSGTPTNFGFCDVDENLQVGANKENIDHSDKVLHAEPSLVVRRDDEEVDLSISQMAAQETCEEEMLKSSEKTCMVADPEECIGFSLNDLEASAAKGSEAETYFKSTALGDVMETCNMEGSMQTNMMEGENSQEEKQGYSASWKKKGSDVDDNSGAISDKEVKADELVPAVPQSWEMESCDFGLQQLFAQDIAFGDEESSMMHKNMEISMIEEENNREDCVSAQRVVSKLFDNSDVHDDIGRVGGEEENQAHSASRKRKCDVDETSGANSDSVMESSDFGLQQVFAQDTASGDEESSKMHTEMEILVMLEDCIQGASKNHPMIEEENNQEDCISVQQEEEIQAYSANLKRKRSHVDDTSSANSIEKVKADELVLAVPQPSEMDSCNFSLQQLFAQDTASGDQDVCLKKLDSPSKATPIASGEKNIIFTPKFNESSMMNKEMEIAMIEEENNQEDCIAAQREVCKFLDNSDVHDGIGLDGAKDDQVYWASRKRKMSDMDDTSGGNSDEEVKADELVPAVPQYSEMESSDFGLPQLFAQDTASGDEDAYQKKLDSSSKGTPTASGEKSIIFTPKLQESSMMRKKMKIEMNLSQKPATRDSVGACDMKENIKTDKNEDISSTVLRNKFAKRLPLQDLHQN >CAK8532809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520381382:520391338:1 gene:gene-LATHSAT_LOCUS2477 transcript:rna-LATHSAT_LOCUS2477-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSMKRKKLQSLCKKHGIPANLKNKDMAEKLSLIYKEEKNENPGSRRLRSDVDKSNVEIIVLDSDSDSEVQMEALDTVAEKDSNEKTNVSTEHLVDAEPDQSLTTSPRSEVKSDHNIIPPLDDSDTVAEKDSNEKTNVSTEHLVDAEPDQSLTTSPRSEVKSDHNIIPPLDDSDTVAEKDSNEKTNVSTEHLLDEEPNQSLTTSPRSEVKSDHNIIPHTDDSATPSDLNIHHMVDSEEIEQVHQLDDNIYVMDEAAAMCLDASTAVKHTDEVKSYDLNNIVSHPLRSDEDKLNLEIPELDSDNDIDVQMEASEKDCNERTNVSAEHLLDEEPNQFITTSPPSEVKSSDTNNLLSRPLRTDEDKLNLEITELDSDVQMEASDTVAEKDCNEKTNMSAEHLLHEEPDQLITTSPLKLDSNCDTDIQMEASDDVAEKNSNEKTNVREGHLLDKELDQFLTTSPLSEVKSSDLNVQNTVDSGIAAANADACEVKPATPREHNVHDMIDSGTAVGNEDACEVKLTSERSGNVGSFYVEEDINMRANVLEAFGNYTMLEDQTSSESTYVHEDTDYHCVISQAAKTCHDASPGVKNTEDNTNVSVENILDEVHLSSLENSNGTPAQFLKTNPLSEVETSDLDADKMVARGTDTKSAYTSGAKFESSLNMSTSPASKEMVGSSTKLLPRDTVFCNMEVSMQIGANKEQVDPSQEKMMEFSPKLFNNPGSPTNGDIGFCGLEENLEIGVNKENIDANEDAMHAEPSAMVLSDNENLIQAVSEEPGNNLMEDEVANVDNKFDIVEDVPGSVNPGSKKNADLNTYVSGGDILDEVHSSSFEDSDVTPVQFLTTDPLKEAETSDLDVPGNNLMEDEVANVDNKFDIVEDVPGSVNPGSKKNADLNTYVSGGDILDEVHSSSFEDSDVTPVQFLTTDPLKEAETSDLDVHTMFETATATNADTSGAKFRSSQKISLSPTSKEIVGSSTKLLNNSVAPRDVVFCNAEKSMQIGANKEQVDPSQEKMMRFSPTLFNSPETPTNGGIGLCGLEENMEIDVNKENIDSNEDVMHEEPSMLVMSDNEDLIQAVSEELGNDLMEGEVANMDDKFDLLEDVHGSVNPGGTNSTHASNIKADLNTYVTGGDILDEVHASSFEDSDGTPVKFSDLDLHKMFETATATKNQDISGLKIESLPKMSLYPTPEKMIGSSRFEDSNGTPVQFLPTDPLREAETSDLYLHKMFETASATKNADTSGVKFESSRKMSLTLTPEKMIDSSPKLLNNSGTPTNFGFCDVDENLQVGANKENIDHSDKVLHAEPSLVVRRDDEEVDLSISQMAAQETCEEEMLKSSEKTCMVADPEECIGFSLNDLEASAAKGSEAETYFKSTALGDVMETCNMEGSMQTNMMEGENSQEEKQGYSASWKKKGSDVDDNSGAISDKEVKADELVPAVPQSWEMESCDFGLQQLFAQDIAFGDEESSMMHKNMEISMIEEENNREDCVSAQRVVSKLFDNSDVHDDIGRVGGEEENQAHSASRKRKCDVDETSGANSDSVMESSDFGLQQVFAQDTASGDEESSKMHTEMEILVMLEDCIQGASKNHPMIEEENNQEDCISVQQEEEIQAYSANLKRKRSHVDDTSSANSIEKVKADELVLAVPQPSEMDSCNFSLQQLFAQDTASGDQDVCLKKLDSPSKATPIASGEKNIIFTPKFNESSMMNKEMEIAMIEEENNQEDCIAAQREVCKFLDNSDVHDGIGLDGAKDDQVYWASRKRKMSDMDDTSGGNSDEEVKADELVPAVPQYSEMESSDFGLPQLFAQDTASGDEDAYQKKLDSSSKGTPTASGEKSIIFTPKLQESSMMRKKMKIEMNLSQKPATRDSVGACDMKENIKTDKNEDISSTVLRNKFAKRLPLQDLHQN >CAK8532810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520381382:520391338:1 gene:gene-LATHSAT_LOCUS2477 transcript:rna-LATHSAT_LOCUS2477 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSMKRKKLQSLCKKHGIPANLKNKDMAEKLSLIYKEEKNENPGSRRLRSDVDKSNVEIIVLDSDSDSEVQMEALDTVAEKDSNEKTNVSTEHLVDAEPDQSLTTSPRSEVKSDHNIIPPLDDSDTVAEKDSNEKTNVSTEHLVDAEPDQSLTTSPRSEVKSDHNIIPPLDDSDTVAEKDSNEKTNVSTEHLLDEEPNQSLTTSPRSEVKSDHNIIPHTDDSATPSDLNIHHMVDSEEIEQVHQLDDNIYVMDEAAAMCLDASTAVKHTDEVKSYDLNNIVSHPLRSDEDKLNLEIPELDSDNDIDVQMEASDTVAEKDCNERTNVSAEHLLDEEPNQFITTSPPSEVKSSDTNNLLSRPLRTDEDKLNLEITELDSDVQMEASDTVAEKDCNEKTNMSAEHLLHEEPDQLITTSPLKLDSNCDTDIQMEASDDVAEKNSNEKTNVREGHLLDKELDQFLTTSPLSEVKSSDLNVQNTVDSGIAAANADACEVKPATPREHNVHDMIDSGTAVGNEDACEVKLTSERSGNVGSFYVEEDINMRANVLEAFGNYTMLEDQTSSESTYVHEDTDYHCVISQAAKTCHDASPGVKNTEDNTNVSVENILDEVHLSSLENSNGTPAQFLKTNPLSEVETSDLDADKMVARGTDTKSAYTSGAKFESSLNMSTSPASKEMVGSSTKLLPRDTVFCNMEVSMQIGANKEQVDPSQEKMMEFSPKLFNNPGSPTNGDIGFCGLEENLEIGVNKENIDANEDAMHAEPSAMVLSDNENLIQAVSEEPGNNLMEDEVANVDNKFDIVEDVPGSVNPGSKKNADLNTYVSGGDILDEVHSSSFEDSDVTPVQFLTTDPLKEAETSDLDVPGNNLMEDEVANVDNKFDIVEDVPGSVNPGSKKNADLNTYVSGGDILDEVHSSSFEDSDVTPVQFLTTDPLKEAETSDLDVHTMFETATATNADTSGAKFRSSQKISLSPTSKEIVGSSTKLLNNSVAPRDVVFCNAEKSMQIGANKEQVDPSQEKMMRFSPTLFNSPETPTNGGIGLCGLEENMEIDVNKENIDSNEDVMHEEPSMLVMSDNEDLIQAVSEELGNDLMEGEVANMDDKFDLLEDVHGSVNPGGTNSTHASNIKADLNTYVTGGDILDEVHASSFEDSDGTPVKFSDLDLHKMFETATATKNQDISGLKIESLPKMSLYPTPEKMIGSSRFEDSNGTPVQFLPTDPLREAETSDLYLHKMFETASATKNADTSGVKFESSRKMSLTLTPEKMIDSSPKLLNNSGTPTNFGFCDVDENLQVGANKENIDHSDKVLHAEPSLVVRRDDEEVDLSISQMAAQETCEEEMLKSSEKTCMVADPEECIGFSLNDLEASAAKGSEAETYFKSTALGDVMETCNMEGSMQTNMMEGENSQEEKQGYSASWKKKGSDVDDNSGAISDKEVKADELVPAVPQSWEMESCDFGLQQLFAQDIAFGDEESSMMHKNMEISMIEEENNREDCVSAQRVVSKLFDNSDVHDDIGRVGGEEENQAHSASRKRKCDVDETSGANSDSVMESSDFGLQQVFAQDTASGDEESSKMHTEMEILVMLEDCIQGASKNHPMIEEENNQEDCISVQQEEEIQAYSANLKRKRSHVDDTSSANSIEKVKADELVLAVPQPSEMDSCNFSLQQLFAQDTASGDQDVCLKKLDSPSKATPIASGEKNIIFTPKFNESSMMNKEMEIAMIEEENNQEDCIAAQREVCKFLDNSDVHDGIGLDGAKDDQVYWASRKRKMSDMDDTSGGNSDEEVKADELVPAVPQYSEMESSDFGLPQLFAQDTASGDEDAYQKKLDSSSKGTPTASGEKSIIFTPKLQESSMMRKKMKIEMNLSQKPATRDSVGACDMKENIKTDKNEDISSTVLRNKFAKRLPLQDLHQN >CAK8540568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11120099:11121208:1 gene:gene-LATHSAT_LOCUS9562 transcript:rna-LATHSAT_LOCUS9562-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISSSAVTTVSCASRGQSAAVAPFTGLKSMTGFPVKKVNTDITSITSNGGRVKCMQVWPPTGKKKFETLSYLPPLTRDQLLKEVEYLLRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPMFGTTDASQVLKELDEVIVAYPQAFVRIIGFDNVRQVQCISFIAHTPETY >CAK8540569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11125903:11126706:1 gene:gene-LATHSAT_LOCUS9562 transcript:rna-LATHSAT_LOCUS9562-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISSSAVTTVSCASRGQSAAVAPFTGLKSMTGFPVKKVNTDITSITSNGGRVKCMQVWPPTGKKKFETLSYLPPLTRDQLLKEVEYLLRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPMFGTTDASQVLKELDEVIAAYPQAFVRIIGFDNVRQVQCISFIAHTPETY >CAK8540567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11120099:11126706:1 gene:gene-LATHSAT_LOCUS9562 transcript:rna-LATHSAT_LOCUS9562 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISSSAVTTVSCASRGQSAAVAPFTGLKSMTGFPVKKVNTDITSITSNGGRVKCMQVWPPTGKKKFETLSYLPPLTRDQLLKEVEYLLRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPMFGTTDASQVLKELDEVIAAYPQAFVRIIGFDNVRQVQCISFIAHTPETY >CAK8568914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654043935:654045800:-1 gene:gene-LATHSAT_LOCUS21822 transcript:rna-LATHSAT_LOCUS21822 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLIVVGTLRLASVWFGFFDIWALRLAVFSQTTMSEVHGRTFGTWTLLTCTLCYICAFNLDNKPIYLATLLSFVYAFGHFLTEYLIYQTMAVSNLTTVSIFAGTSIIWMLLQWNAHLKVQSKSSNRKH >CAK8530200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5647802:5668914:1 gene:gene-LATHSAT_LOCUS87 transcript:rna-LATHSAT_LOCUS87 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSRYCFLLFLVAISFSLIVASDNRKLKNVQTALHAKWPGTPLLLEASELLSKQHQHFFWNFIDIWINANHNPNPDVNDTYTAKDCVKKILEHGRSLLTEPLASLFEFSLILRSASPTIALYRQLARDSLSSFPLIHHGHELVEAKNNNTQLDPLGVGVSLQSPGGKCCWIDTGEHLFFEVSELQAWLQGSRDPQQVGDSFQSPPVFDFDHIHFDSTAGSPVAILYGALGTHCFKEFHVALLEAAKQGKVKYVLRPVLPAGCEAHIGHCGSVGLSETVNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRIEDLSQEVRGFIFSKILDRKPELASEIMAFRDYLLSSTISDTLDVWELKDLGHQTVQRIVRASDPLQSMQDINQNFPSIVSYLSRMKLDDSVRDEITANQRMIPPGKSLMAINGALITVEEIDLYMLIDLVHQDLLLADQFSKLKIPRSTVQKLLSTLPPPESDMFRVDFRSTHVHYLNNLEEDGKYKWWRNNLNEILMPVFPGQLRQIRKNLFHAVFILDPATTCGLESIDMIISLYENSFPVRFGVILFSSKYITQLEDHSTKEDGDKFEDDKSDMIIRLFSYIKGNYGIEMAFKFLSNVNKLRIESDDDADEAHLEPHHVESAFVETILPKVKSPPQEILLKLKEPELKELSQESSKTVFKLGLSKIQCSLLMNGLFIDPTEEALLNALNDETQRLQEQVYFGQIKSHTDVLDKLLSEAGIQRYNPRIISDNKPRFISLAMFTLGEASILDGINYLHSPGTMDDLKPVTHLFAVDITLASGIKLLHQGLNYLIEGSKDARVGLLFSGNHTTNLFSLLFVKAFEITTSSYSHKKHTLNFLDQLSSVYQQKYILTSPVDVYGTQAFIDEICKLAESNGLPSESFRSSLSEFSAVEVRSHLSEAEKFLSTALGSESGVNAIFTNGRVTCPIDEGTFLSADLHLLESIELKKRTKHIVEIIEEVKWQDVDPDMLTSKFISDIVMSVSSSMSMRERSSESARFEVLSDQHSAIILNSENSSIHIDAVLDPLSPTSQKLSGILRVLWKYIQPSMRIVLNPMSSLVDLPLKNYYRYVVPTMDDFSNTDSSINGPKAFFANMPLSKTLTMNLDVPEPWLIEPVLTVHDLDNILLENLGDTRTLQAAFELEALVLTGHCSEKDHDPPRGLQLILGTKTSPHLVDTLVMANLGYWQMKVAPGVWFLQLAPGRSSELYIFKEDDDGSRNRQSSKLITINSLRGKVVHMEVVKRKGKEDEKLLIPDNDDDLQDKKGSRWNSNILKWASGFISSNEQSKNAESNSLETAKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTQRPVKFWFIKNYLSPPFKDLIPHMSKEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRTDMGELYDMDLKGRPLAYTPFCDNNREMDGYRFWRQGFWKDHLRGRPYHISALYVVDLKKFRETAAGDNLRVFYETLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIVSEWPDLDLEARTFTARILGDDQEPIQSPNESNESKDSTNEDALKEDLESKAEL >CAK8576109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408585907:408587322:1 gene:gene-LATHSAT_LOCUS28323 transcript:rna-LATHSAT_LOCUS28323 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKLSILVAFFVLLISMEASIAQGQGNGNGNGSNGNGNGNGNDNGNNGNGNGNGKGNNGNGNGNSGKGKEKAKDAKKKTPKDDESAMYEEIPVLPSGQERAFCKAKNTCQFKTLVCPEECKIRKPKKNKKNKGCFLDCSSKCEATCKFRRGNCDGFGSLCYDPRFVGGDGVMFYFHGATGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALSVMYDTHTLVIAANRVTQWNDNVDSLTVKWDGELVTIPTDDDAEWKTNGDEREVVVERTDDKNSVRVTVSGLLEIDIRVRPIGEKENKAHNYQLPSDDAFAHLETQFKFKNPTDFIEGVLGQTYRPSYVSPVKRGVAMPMMGGEDKYQTPSLFSTSCKLCRFQRPSTSQELIAQY >CAK8538089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462164892:462169160:1 gene:gene-LATHSAT_LOCUS7325 transcript:rna-LATHSAT_LOCUS7325 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQQYVWKGAIPLQIHLHESEVTILPPPSPALVLAPRIGYLPLLVSLLKPHFSSALPPGVDTIWFEYNGLPLKWYIPTGVLFDLLCMEPERPWNLTVHFRGYPSNLLLPCEGEDSVKWSFINSLKEAAYVINGNCKNVMNMSQTDQVELWGSVLSGNLESYRRVSSKLKLETYDEQYTENIDSVSTKSEQSTGDAEAAGQVKTSRIPVRLYLWTVNDDFDDLEDAPKIDNWDKVSYINRPVEIYKEDSKYFSLTDAVKRLLPEYFPESSFVNEGEEGESSLDPASSCQTPEIAEIKCVRVQGIEPSSEIPFSWVVNNLMNPEYFLHMCVCLKVSEANAVQ >CAK8537956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450169670:450170835:-1 gene:gene-LATHSAT_LOCUS7202 transcript:rna-LATHSAT_LOCUS7202 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKPILLDLETLYLGIPDESVNLTFQDLANVKTTTSNQHVSEVASNIPTRANSSSSSPLPSLAKIPSLDFSKGLEASIQHNQHHHHHHDIGRGGSPWGHFGQPLEENRVHVHDAPQFSHTSGGAKSPRDTKSPRSMTGGDDRSTYSMSYDDVSMASGRGSGGRRRPGIPHTKICTICSTYVYVFRTRCLVCGRVYCCRCVKLGMGEMVEGRKCIECLGLKFSQRYIERAGKVGCCNWRYPITMKQVELICAEKGPRRSRRSHTQSGVTTPRSKSPITPRSPIAPRSPHAIGNSNEHSFVLSSSFSPFSPHHIL >CAK8538698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493288784:493290820:-1 gene:gene-LATHSAT_LOCUS7871 transcript:rna-LATHSAT_LOCUS7871 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFWVTGREITQNNGRIHSNEYENQISNNNLLSNTRFQTQQNPLTSLNNYSQERYQNNNIAGATTQPMVQFSLSSNNPSSFLTPARYGRTLPLISRSNPFIPSYLPNSSRIPSSILGNTPPIPSSLLNSSRNSSSRSDNTPLVPPNPPYSSRISSSILGNTSRIPYYPHNASQDSSSNGVTTGCQNYSRPILQNQMNFRYSPYREGSNHTNNINPLVLNNSNPRSRTDLNSYQGLEDISLPNANPNNNNNNPLVLNDSNPRSRIDLNNYQGLNNISIQNANPPQLCHIKTTYLSMFYSSGHGESDAWREPVTTSAASFNETQTQKRELLLFKDDKNTIQTRLVIEIDDSDDDDDEHLDLSLHL >CAK8538699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493288784:493289731:-1 gene:gene-LATHSAT_LOCUS7871 transcript:rna-LATHSAT_LOCUS7871-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAERYQNNNIAGATTQPMVQFSLSSNNPSSFLTPARYGRTLPLISRSNPFIPSYLPNSSRIPSSILGNTPPIPSSLLNSSRNSSSRSDNTPLVPPNPPYSSRISSSILGNTSRIPYYPHNASQDSSSNGVTTGCQNYSRPILQNQMNFRYSPYREGSNHTNNINPLVLNNSNPRSRTDLNSYQGLEDISLPNANPNNNNNNPLVLNDSNPRSRIDLNNYQGLNNISIQNANPPQLCHIKTTYLSMFYSSGHGESDAWREPVTTSAASFNETQTQKRELLLFKDDKNTIQTRLVIEIDDSDDDDDEHLDLSLHL >CAK8575465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:127953915:127960797:-1 gene:gene-LATHSAT_LOCUS27725 transcript:rna-LATHSAT_LOCUS27725 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICFCALGFPRSLNGTTNNHNNAANAFLNDVSALGDFLAGAQKEDYTIQVAVPKVVPPPPEVVPVSGDLLDESASMKAKRVALQRKGAAAMIAAEEYARKFESGDVLDTSGNLNGEEQGQSNVKVFCRMCNRVESEGSEKAKKMLSCKSCSKKYHRNCLRSWSNNRDLFHWSSWTCRACRICEACRRTGDPSKFMFCKRCDGAYHCYCLQPPHKNVSTGPYLCPKHTKCHSCASNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDNCQRWVHCQCDNISDERYHQFQVDGNLQYTCPTCRGECYQVKNLEDAVQELWRRKNDTDRDLITSLRAAAGLPTQEEIFSISPYSDDEDSGPLKLKNDSARSLKFSFKNFPNNSPIKIKDYGKKSSNKKTAKKKDSLSFMTGNIDANHSFEGHSDVKSLHSLDDDKNDDDMQSQRNEGPDVYSSPATGSLSQTEVSFPVNRPSILKRKFVDEVMVSDEERKTRVVRIKSNKANNLDSEEESGKRGDKTQNVKGKKLVINLGARKINVASSPLSDNASFQRDQDLVNANGNENLAHLMKGNKYALDRHDGMARHVDGKGSRVDSGQLKHLKVSGREGNMIKLGKVKPSVSELNFTSGRGNMSDGCEVEPLDRSHIMRGKRSTHGMNNQVGLDATSRGERTYLARQSEGSSDVYDETHDNNHTSLHSLPKDSKPLLRFKFKRPSIESQNSPHREEEKTTVKGQRSKRKRPSPFKEKTLFNDSEGVSQSPGDNINHENMDANWILMKLGSDAIGKRVEVHQTSDNSWHKGEVTDTVEGTSKLHVTYDDGKVSILELRKQGVRFVPQKQKRSKT >CAK8570387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42330622:42333353:-1 gene:gene-LATHSAT_LOCUS23137 transcript:rna-LATHSAT_LOCUS23137 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHDQPASDYYISNQKLTCHRYFLNQLHIRINLLEDQVTEVEQFYQSTDVQNNDCKIKRREKPPTGSKKLSRRALEEMQDEIMRHFSRMLTDITRHKWAWPFLEPVDVKGLELYDYYEIIKKPMDFSTIERKMNVKDGSGYKNVKEIYDDVRLIFNNAMTYNDEKHIIHDMAKTLLDKFEKKWSHLLPKVTKLESELSKESQEKLNKKLAQEATYANMTMKLSEELSNADKALANLKSEMIANCRKLSPLEKSLLAVDISKLCPENLNKVLEIVKENNPDSQINMEDATLDLDSESTYTLWRLYMFVKKALELQDASGGIIHPDNIEEKEVITREEENTDYKRRRMI >CAK8534365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720604722:720605765:1 gene:gene-LATHSAT_LOCUS3915 transcript:rna-LATHSAT_LOCUS3915 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSESVMKKVLLSYTYVSIWILLSFSVIIYNKYILDQKMYNWPFPISLTMIHMAFCSSLAYILVKILKLVEPISMSFDIYLKSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVSFKKESFKKDTMMNMVSISLGVAIAAYGEAKFDVFGVTLQLMAVAFEATRLVLIQILLNSKGIKLNPITSLYYIAPCCLVFLSLPWFIMEYPLLRGKASLELDLWVFGSNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLIGYGLAFLGVAYYNHCKLMALKASEAQKRVQQEDDTEAGKLLEEKDGKQDEENSKKNEN >CAK8560124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8165152:8173782:-1 gene:gene-LATHSAT_LOCUS13834 transcript:rna-LATHSAT_LOCUS13834 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKSPSRGLKNDEIQGQASEILGRYNLEKQRAQNNFKSNDALNHVEDLETMELYSRARGQEEEILALREQIAASCMTELQLLNEKCKLEREFSELRMAIDDKQNEAITSASNDLARRKGYLEENLKLAHDLKVAEDERYVFMSSMLGLLAEYGLWPRVMNASSVSNYVKHLHDRLQWRIRNSHDRIGEITSGLENHADNGNHIVESPGSAHLTNHIHNEFMFQHNFPQQNPIDNEQNHQPMSNMTGYINPDVNGAFKRVNYQEVSHADRDLSSFRHGSIGQIGAQDRTGERTFVNGNSNMYQPSHEHDETASSVSEDGPGIENFQICGDAIPGEKLLGCGYPVRRTSLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDKGRQGEIVRLFANDQNKITCDPEMQHEIDTFLSKGEAIFSVLLLMDSSENWEQATLFLRRSGYQIKINGTEAPVVAEKFSKDLSIKVPCGLSTQFVLTCSDGSSHPLSTFSVRMRDTLVLTMRLFQSKVLDDKRKGRA >CAK8560125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8165152:8172823:-1 gene:gene-LATHSAT_LOCUS13834 transcript:rna-LATHSAT_LOCUS13834-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSRARGQEEEILALREQIAASCMTELQLLNEKCKLEREFSELRMAIDDKQNEAITSASNDLARRKGYLEENLKLAHDLKVAEDERYVFMSSMLGLLAEYGLWPRVMNASSVSNYVKHLHDRLQWRIRNSHDRIGEITSGLENHADNGNHIVESPGSAHLTNHIHNEFMFQHNFPQQNPIDNEQNHQPMSNMTGYINPDVNGAFKRVNYQEVSHADRDLSSFRHGSIGQIGAQDRTGERTFVNGNSNMYQPSHEHDETASSVSEDGPGIENFQICGDAIPGEKLLGCGYPVRRTSLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDKGRQGEIVRLFANDQNKITCDPEMQHEIDTFLSKGEAIFSVLLLMDSSENWEQATLFLRRSGYQIKINGTEAPVVAEKFSKDLSIKVPCGLSTQFVLTCSDGSSHPLSTFSVRMRDTLVLTMRLFQSKVLDDKRKGRA >CAK8531486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114993632:114995680:-1 gene:gene-LATHSAT_LOCUS1275 transcript:rna-LATHSAT_LOCUS1275 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYEGRAVGIDLGTTYSCVAVWQEQHCRVEIIHNDQGNRTTPSFVTFTNDQRLVGDAAKNQAAANPQNTVFDAKRLIGRKFSDTNVQDDILLWPFEVIAGVDDKPMITVKYKGQEKQLCAEEVSSMILTKMREIAEAYLQSAVKNAVVTVPAYFSDSQRQATIDAGSIAGLDVMRVMNEPTAAAVAYGLDKRTDYDGERNIFVFDLGGGTFDVSLLTIKGDVFKVKATAGNTHLGGEDFDNRMLNYFVQEFKKKNKVDISGDPKALRRLRTACERAKRSLSFLVVATIEVDSLFQGIDFSSSINRAKFEEMNMDLFNECMKIVENCLMDAKMDKSMIDDVVLVGGSSRIPKIQQLLQDLFNGKELCKSINPDEAVAYGAAVQAALLSEDVKNVPKLVLQDVTPLSLGISVLGDIMSVVIPKNTCIPVIKTRSYFSTRDNQMSALIEVYEGERTKASENNLLGSFTLSGIPPAPRDTLMSKVSFAIDENGTLTVSATNNDSGNSNEITITNYKERMSAEEIKKLIKEAENFHIEDKKFLKKAKAVNALDNYIYKMMNTLKKKDINLKLSSQEIKQIEDAIAVAKNLVDENNKQVEIEVLEDHLEGLESRMKHVIAKTI >CAK8541877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420077698:420079005:-1 gene:gene-LATHSAT_LOCUS10767 transcript:rna-LATHSAT_LOCUS10767 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPKKFLHITVFPWLAFGHISPFFELSKLIAQKGHTISFISTPRNIKRLPPLSPNLIPFINFVELPLPHIDQLPENAEATMDIPQHIVPYLKKAFDGLQQPLTQFLETSTPDCIIYDFAPYWLPPITSKLGILNIRFSIFSAFGWYYVVELLVRKSNGEDNIISHSHFEQNDSGVSDLFRVKETLSGAEFIAVRSCMEIEGKSIESIEKQCKKKVVPVGLLPPSLELSEEKKDENWDSIQKWLDKQEKQSVVYVAFGSEVILSDDEFTEIAKGLELSSFPFLWIVKNHDKHDWVVENDSNKNGLIWSDWAPQLRILAHESIGGFLTHCGWSSVIESFQVGCPLIMLPFINDQDLNARLMEEKKVGVKVERNDGKFNRDSVAKALRLVMVEEEGKSYRSKAEEMRKIVGDRRLHQKYIDEFVDYIELHIPASKH >CAK8571520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434896453:434896596:-1 gene:gene-LATHSAT_LOCUS24176 transcript:rna-LATHSAT_LOCUS24176-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8571519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434896107:434896596:-1 gene:gene-LATHSAT_LOCUS24176 transcript:rna-LATHSAT_LOCUS24176 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRLSLLPIEWSGEVFGLRRRRRFATRDVVRSPLNLII >CAK8540926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32874713:32876281:-1 gene:gene-LATHSAT_LOCUS9883 transcript:rna-LATHSAT_LOCUS9883 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSLFSKHKILASYIFPLVQNKFLQSLSTPQVSTPTILPELVNEISRIVSDHRHPHHDLQLSLTPFSSQVSTDLVEQVLKRCNHLGFSAHRFFLWAKSIPGFEHSVESFHILVEILGRSKQFAILWDFLLEMRESESSSCKISNEIFWIIFTAYSRADLPDGAIRSFVRMDEFGIKPSIIDFDLLLFTLCKKRHVKQAQQFFDQNKSRFSLTAKTYSILISGWGKIGDSGKARELFEAMLEQGCDVDLLAYNNMLDALCKGGRVDEAMDFFNNVLSKNVEPDAFTYSIFIRSYCDADNVHSAFGVLDKMRRCNLFPNVFTYNCLIKRLCKNKKLEEAYQLLDEMIYSGIKPDTWSYNAIQAYHCDNCEVNRALKLISRMEKDNCLPDRHTYNMVLKLLIRIGRFDKATEVWETMGDKKFYPSVSTYSVMIHGLCKKKGKLEEACKYFEMMVDEGIPPYVTTIEMLRNRLLGLGLLDNIEILANKMRQSTSCSIQELANIMIGARTARKTSRRDESDIESD >CAK8535939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892643150:892644391:1 gene:gene-LATHSAT_LOCUS5353 transcript:rna-LATHSAT_LOCUS5353 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHTILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLSHDFVPSLTTFSDHIHCPRNETFFPLLNDNPILNNSHHQYHLDHHLYFPINLPSPILQDQNDRIKNIDDINNVLNANFHSPTLPETLPSSNNLISSSYDHFDTWSFIHHLNPNIQQNINIVESFINNNNNPNTVWQQQPHQQHYDISTHHLVELDNPIVPKVCEIETIKDDFVSSIPFSSSDSQHHHHHDLINQIMAAEANNIVQAVEYNNIMDALIMSSSLPSSTTTTTTTTTTSSSPPPLSFYQAPEKILTKPILPSTWESSSS >CAK8561714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:364444227:364449207:-1 gene:gene-LATHSAT_LOCUS15279 transcript:rna-LATHSAT_LOCUS15279 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSHKGKLFEYATDSCMEKILERYERYSYAERQLVANDSESQGNWTIEYTRLKAKIDLLQRNYRHYMGEDLGTMSLKELQSLEQQLDTALKLIRTRRNQLMYESISELQKKEKVIQEQNNMLAKKIKEKEKIAAEQQAQWEHPNHHGVNPNYLLHQQLPSLNMGGNYREEAPEMGRNELDLTLEPLYTCHLGCF >CAK8541406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:155572493:155575754:-1 gene:gene-LATHSAT_LOCUS10327 transcript:rna-LATHSAT_LOCUS10327 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKFKILISFFNFITLLLCCSSFTTSSSQQFMKDNETLSSNSGNFTLGFFNPQNSTNRYVGIWCKTQDFVIWVANRNQPLINDSSGVLTISSDGNLVLLNGQKIVMWSSNLTNVSSKTNSSFTLSDYGSLELKETTTGDTIWESFQQPSNALLPSMKFASNMKLTSWKTPSDPSIGSFSLSIERLKIPEVFIWNGTRPYWRSGPWNNQIFIGIEDMGTLYLNGFHFEKDRTGGTVDLYFRADDFGLLIYALNPQGHMHENSWSVEEEKWIDTWTNHRSDCDVYGFCGPFGICNSKGSPICSCLEGFEPRNKQEWNKKNWTNGCVRKELLKCESAKNQNKSSQGNEADSFLKLSMVKVPDFAELSSNEQDECKNQCLMNCSCTAYSYVADIGCMSWNGNLIDIQQFQTGETDLYIRVPYVELNISDKGHKGTIIITVSISIVSIGIIIIVIVAYFIWIKAPKSERNKKQHRIFHFHKMEKPEEHTSDNVIGELSQAKLQELLLFNFEKLATATNNFHSSNKLGQGGFGPVYKGILQDGKEIAVKRLSRSSGQGLTEFMNEVVVISKLQHRNLVRLLGCCIERNEKMLMYEFMPNGSLDAYIFDSSRNKLLDWEKRFSIIEGIARGLLYLHRDSRLKIIHRDLKASNILLDEEMNPKISDFGMARIFGVSEEHANTQRIVGTYGYMAPEYAMQGVFSDKSDIFSFGVLLIEIVCGRRNSSFYEHENSLTLLGFAWTQWREGNILCLIEPEIYDDSNPKDILRCIHIGLLCVQESAVDRPTMATVISMLNSEIMEIPPARQPAFLLMQNMMNTVFSEERNEVYSNNAVSITDLHGR >CAK8572283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526350415:526352175:1 gene:gene-LATHSAT_LOCUS24865 transcript:rna-LATHSAT_LOCUS24865 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSTKFLSHTLNFRIHPNRISCHSNPNTIVTCSTPLLNEGNTNKRRTRINQQQIRVNETRPELDQNYDFRDTDSLKTLNRLCKSAMYDEALYSLQHTVNRGYKPDAVLCTKLIKGFFHSKKIERAIQVMEILEKHGEPDVFAYNTVMNLLCKADRVDAANKVFDRMKKRGFLPDDATYNILLKNLCGKGKLDLALKVMDQLLKDNCRPDVKTYTILIKKLIIEGGIDQAMKLLDEILSRGFQPNAYAYNVVVTHMCRQGLSDRAFEFLSSCSSKGYVARASTYNILLKSLLSQGKWEAGESLISDMLDKGCEPSSILYCTLISSLCRDGKIDKAQNVLKGMKERGFAPDVYSYEPLISALCKQGKVNLAIEVLDNMISDGCLPDIVCYHSILASLCKNGHADEALNILEKLDEVGCSPNANSYNTLFCALWSIGDKIRALEMILEMLSKGIDPNMITYNSLISYLCRDGLVDQAIELLVDMMESRKFQPIVISYNTVILGLSKVGRIIDAIEVLAAMNQRGCLPNETTYLLLVKGIGFGGWQKDAMELANSLVNMDAISENSFKHLNKAFPVFDAHKACNIRMN >CAK8535931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892208061:892211102:-1 gene:gene-LATHSAT_LOCUS5346 transcript:rna-LATHSAT_LOCUS5346 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSADLPAAAAALSAEDRAGLVNALKDKLQLLAGEHVDILETLSPKVKQRVEVLKVLQSEHDELETKFLEERAQLEAKYQKLYEPLYTKRYEIVNGVIEVEGITDETVLGEENKAAEEKGVPNFWLTALKTHETLAGEITERDEEALKYLKDIKWCKINNPKGFKLDFHFDSNPYFRNSVLTKTYHMIEDDDPILEKSIGTIIEWNLGKCLTQKVLKKKPKKGSKNDKPIIKTEKCESFFNFFNPPQIPEDDDEIDDDIVEELQNLMEHDYDIGSTIRDKIIPHAVSWFTGEAVESDFEDTDGDGDEDEENDEENDEEDDEEDEDDDDDDDEEEEEEDEGKGKSKSKVGYTEYPPDCKPQ >CAK8563916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638762480:638768048:-1 gene:gene-LATHSAT_LOCUS17274 transcript:rna-LATHSAT_LOCUS17274 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGVVGILSETVNKWERRTPLTPSHCARLLHRGVSRIIVQPSTKRIHPDVLYEEVGCEISQDLSPCGLILGIKQPTVEMILPDRAYAFFSHTHKAQKENMPLLDKILAERASLYDYELIVGEDGKRLLAFGNFAGRAGMVDFLRGLGQRYLSLGYSTPFLSLGSSYMYPSLAAAKAAVISVGEEIANHGLPLGICPLVFVFTGSGNVCSGAQEIFKLLPHTFVDPSKLSELHKMEANKAKHGSKRIFQVYGCIVTAQDMVEPKDPTKVFDKVDYYAHPEHYNPIFHEKVAPYASVIVNCMYWEKRFPPLLSTKQIQDLMRKECPLVGIADITCDIGGSLEFVNRSTSIDSPFFRYDAITDSYHKDMEGNGVICLAVDILPTEFAKEASQYFGNVLSQFVTNLASATDITNLPDHLKRACIVHRGVLTSLYDYIPRMRNSDSEDVSENSTNSLSNKRRYNKSISLSGHLFDKFLINEALDIIETAGGSFHLVNCHVGQTVDAISYSELEVGADDEAVLDQIIDSLTTLANPTKNNRSSNNISLKLGKVQQNGGMKESDPKKKAAVLILGAGRVCQPAAEMLSSLGSSQWYKTLPGDYFDDQIDVDVIVGSLYLKDAEQTVEGIPNVTGIQLDVMDSDSLFKCVSQVDVVISLLPPSCHVIVANACIELRKHLVTASYVDSSMSMLDDKAKVAGITVLGELGLDPGIDHMMAMKMIDEAHMQNGKIKAFTSYCGGLPSPQAANNPLAYKFSWNPVGAIRAGRNPATYKDHGETVHVDGDNLYDSATKLRLPDFPAFALECLPNRNSLLYGDLYGIASEASTIFRGTLRYEGFGEIMGTLSRIGLFNNEAHPILKNEERPTFRKFTFDLLKIDHEDPNGALIKEEDITKRILALGHGKDQRSATMTAKTIIFLGLFDQTEIPTSCQSAFDVACFRMEERLSYSSTEKDMVLLHHEVEIEYPDRQTTEKRRATLLEFGQTCDGKTTTAMALTVGIPAAVGALLLLTNKIQTRGVLRPIIPEVYTPALEIIQAYGIKLIEKNE >CAK8573601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624299953:624300885:-1 gene:gene-LATHSAT_LOCUS26032 transcript:rna-LATHSAT_LOCUS26032 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKVLNLILNPNGTVTRLEKIPQSPPSPDPNLPTQALSKDLTINQSKHTWARIYLPHKPHSKKLPLIIFYHGGGFIFYSAASTYFHDFCANLANKTQSIVVSLEYRLAPEHRLPAAYDDSVEILHWVKNSNDAWLTHHADYSRCYLMGESAGGNIAYTAGLRAAAIVDEIKPISIKGLILVQPFFGGTKRTPSEIRLEKDKNLPLICTDALWELSLPVGVDRDYVYSNPTVDGGDQVLEKFRLFGWKVAVFGCDGDQLVDRQRELVKLLEGKNVNVVGQFYSGGRHGIFMGVPSMSEKVFDLVKSFHG >CAK8571381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395295120:395295938:1 gene:gene-LATHSAT_LOCUS24048 transcript:rna-LATHSAT_LOCUS24048 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDFETLVSALSGVATDHKIACEPTEESQSQHHPDSPPESFWLSNDAEFDWFDRNAVYERNESTKGSSISINLNPSSASNSQRFSKNMKKSKATIIGLPKSQKASFAEVRCRRSHRPGNTRLFPKRSALTGGKSESAVLEPSSPKVSCMGRVRSKRSCNHRLRTRQRSISSTTTSVTVVRQKSSRSQRKKKTGFIQSVCAIFRSHRSGKSAPQTDLPPGDSSKKMASRIETSFEESVYSEPPGLGSMNRFVSGRRSESWGVGDAEINSSH >CAK8563308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591841934:591844673:1 gene:gene-LATHSAT_LOCUS16733 transcript:rna-LATHSAT_LOCUS16733 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALQVLGFSEYVEEVYAAYEQHKLETMQDSLKGGKWSNGAEMTEEEALAEQQRMFAEARARMNGGVIASKQPDKV >CAK8563309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591841934:591844673:1 gene:gene-LATHSAT_LOCUS16733 transcript:rna-LATHSAT_LOCUS16733-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALQVLGFSEYVEEVYAAYEQHKLETMDSLKGGKWSNGAEMTEEEALAEQQRMFAEARARMNGGVIASKQPDKV >CAK8577007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530681080:530682723:-1 gene:gene-LATHSAT_LOCUS29153 transcript:rna-LATHSAT_LOCUS29153 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEEENKWPLWLQPLLQTSFFVQCKVHSDSHKSECNMFCLDCINGALCSACLASHKQHRTIQIRRSSYHDVIRVSEIQKFLDITEVQTYVINSAKIVFLNERPQPRPGKGVTNTCQVCDRSLLDSFSFCSLGCKIVGTSKKLRKNNGSDGEESMNGISNGSGGRNRIHSFTPSTPPPTVVSFRTVKRRKGVPHRSPMGGGIIIEY >CAK8531731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144264397:144265491:1 gene:gene-LATHSAT_LOCUS1499 transcript:rna-LATHSAT_LOCUS1499 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFNTCPNRTLTHLNCLTSPQPSPSSLHFDSTSFQVSYLINNLDFSPQFASKLCSTYRLGFKTTQKPDSVLNFFRNHNFSNFQLREVIAKSPWLLSCNLSKTVLPKFEFFLSKGASNSDIVNLISKNPRVLSRSLENHIAPTYELVYRFLQSHKDTIACALHNSSFFEDSKVPENIRLLIENGVADSNIARILRIRNWTLQTGDMVSLLKELKDLGFNPSKTTFSIALIAKNSVTKTRWKEKVDAFKKWGWSDEDVIEAFKKQPHCMLTSIEKIDLVMNFWVNQLGWDALVLAKQPSLLSLSLEKRITPRAAVVQFLLNNGLRNKNASLSSPFVVPEEIFLDRFIKRFEKESSYLLKLYEEKL >CAK8543074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574120179:574120775:1 gene:gene-LATHSAT_LOCUS11861 transcript:rna-LATHSAT_LOCUS11861 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSYFDAYDAMSDLQLNLCTHFRIYRCESSLWGLGELNARAPRLPVSKPCPTSQDQVFWDQYHPTEAASQIFADRILDGLSTYTYPINTRQLLQLTFISNDFFFVMCLFFSERASAACHTWESLFMAELHGLLGSFELAN >CAK8569888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14477732:14480858:1 gene:gene-LATHSAT_LOCUS22691 transcript:rna-LATHSAT_LOCUS22691 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVFSKTKTMGEPQQNHVNNNNNNVVDHDDHDSTWYEEVIDEDLKWSFKLNSVLHKAISEYQDIALLDTKRFGKALMLDGKMQSSEADEFIYHECLIHPPLICHPNPKTVYIMGGGEGSSAREALKHKSMEKVVMCDIDKEVVDFCRKYLVANREAFADNKLDLVINCAKAELEKRNEKYDVIVGDLADPLEDGPCYQLYTKDFYENVVKPKLNKNGIFVTQAGPAGIFSHKEVFTSIHNTIKQVFKYVVAYATHVPSFADSWGWVMASDQPILIDAVEMDKRIETRINGKLLYLDGVWFHSSTIMNKTVSKSLQNETHVYTEDNARFIPGHGIAVRS >CAK8577581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:575675140:575677467:1 gene:gene-LATHSAT_LOCUS29674 transcript:rna-LATHSAT_LOCUS29674 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAVVKVESIVPDEKIDTASAKDYEDVEVDIMSLTNKVDVGSNKNEDPDATDPDATEYSSSFGETDSDGENSSRPSDEVESEFFGENGVAGDSDSARPGFRPRKRKLTDHWRSYIRPLMWRLKWTEIRLAQVESQALKYTRKLKECEKEKHRVPHGFNMEESGSKSVPYTSHQYRSKARKRRNRKKVEKSTDIASYTAHHYLFSYLESKKADRESSLDDDFDNPVIEEPPHADSRERREDHQPLLKCTNVDVSYEQLLWNIDNLHNRVRTLKSGINAITSRNASKFSESENFSLVPCGGEVQTGSAQSPTNSVGNGYTASVGGGVFNSSQNAADEYDFGDFVFPDSAVSSFGEASNIPDIIESTVGLLAAAEVTLQSALVAESGEHMVENKDILLQNLKSATHHPVKLVDVKTEVEADGSTEKLPEKLKEIKIEVGEASHSASIPISDVNIAAATACASQEQSALQNNKDAGIPISKKKRGERKAGSGGWNK >CAK8574011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653957376:653960474:-1 gene:gene-LATHSAT_LOCUS26403 transcript:rna-LATHSAT_LOCUS26403 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSMNFSRGRGEDRFYNPPQARRLLHTAENERIRRAHSDVTASRSSSAVVREKSVDSVEKEKRRENNRVGSEETKKVVAVPSCETEVKRLSNLERFLQSVTPSVHAQHLSKRNTRGFRTCSEEVPPYFVLGDLWESFREWSAYGAGVPLVLNDKDSVVQYYVPYLSGIQIYAQTSKPSVKSRQLGEDSDSDFRDSSSDGSSDCEPARGYREQRNLPHLSDDLSHWMGRLSVRNQYTLPQDDFSSDDGESVNSPGYLLFEYFERDTPYGREPLADKMMDLAFRFPQLLTLRSCDILSSSWISVAWYPIYRIPMGQTLKDLDACFLTYHSLSTPVGASQRVQAPVPSYPTEMDGVPKISLPVFGLASYKFKGPLWTPSGGYERQLSNSLLQNADKWLRLLQVSHPDFLFFSR >CAK8568317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594010215:594011452:1 gene:gene-LATHSAT_LOCUS21284 transcript:rna-LATHSAT_LOCUS21284 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWAIAVHGGAGVDPNLPPQRQQEAIQLLTHCLNLGISALRANASALDVVELVVRQLETDPLFNSGRGSALTANGTVEMEASIMDGTKRRCGAVSGVSTVKNPISLARLVMEKSPHSYLVFSGAEEFARQQGVEVIENEYFITPDNVGMLKLAKEANTILFDYRIPTAGQCAGVESPVKMNGLPISVYAPETVGCVVVDNEGRCAAATSTGGLMNKMSGRIGDSPLIGAGTYACELCGVSCTGEGEAIIRSTLAREVAAVMEYKGYRLQEAVDYVIKHRLDEGFAGLIAVSNKGEVAFGHNCNGMFRGCATQNGFMKVGIWD >CAK8560625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30204817:30205786:-1 gene:gene-LATHSAT_LOCUS14286 transcript:rna-LATHSAT_LOCUS14286 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYTWAAAALVTLYRYLGDASMFSCKQLGGYLTLLQCWIHEYFPTLGKRGENWIPSNNVGLPRAMRWSYRQGALKVDDLRPIMDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYMPDRCSRQFGNRQYIPYPPLDSRMVGDIDVDWISYHQSVQNVIRPTTPATTPYETDDGYLEWYYRVSHPRLVPLSVDVTTEMPVPVYEAGPSDPIVARMSSLIHRYLQQTGAEEDDPEFADLFEALHLGLSQ >CAK8543036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568543907:568544446:1 gene:gene-LATHSAT_LOCUS11823 transcript:rna-LATHSAT_LOCUS11823 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYTSEIFMGGKNTIVLHNTCEDSLLNAPIILDLVLLAELSTRIQFKSKTESKFHTFHPVATILSYLTKALLVPPGTPVVNALSKQRAMLENITRACVGLAPENNMILEYT >CAK8576254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:436137386:436144991:-1 gene:gene-LATHSAT_LOCUS28459 transcript:rna-LATHSAT_LOCUS28459 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSKPCFSLQLSTRISVNSSSLIPFNFSFPISSTPRRAMSKPRPSAFDALMSGARAAAAAAKKKPPPPSSSPKKRKSPPPPPSPKPPQSTLSAPAQNPNSNLKTLETVETVKQPNETVQEEPPSKIRNTSSSPKDVIAELKERVPQLKKKPSSFDPSEVACWEKDKPVPFLLLSLAFDMIDAESGRIVMTDIVCNLLRTVIHAAPEDLVSVVYLSANRIAPAHVGLELGIGDASITKALAEAYGRTEKQIKNQYKEKGDLGLVAKASRSSQSMMRKPEPLTITKVFNTFHLIAKESGKDSQEKKKNHIKSLLVAAIDCEPLYIIRLLQSKLRIGYAEQTLLAALGHAAVYSEEHSKPPPEIQSPYEEAAKIVKKVYSVLPDYDKIVSALLSHGVWELPKKCDFTPGVPVGPMLSKATKGVAEILNKFQDVEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKFPDVVAAVSRLKKTTVSSFILDCELVAYDRAKQRILPFQVLSTRARKNVSVSDIKVEVCIFAFDLLYLNGQALLQENLKIRREHLYGSFVEETGFFQFATTTTSNDVEEIQKFLDEAIDASCEGLIIKTLHEDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFAGRGKRTGVYGAFLLACYDTDREEFQSLCKIGTGFTENVLEERSTSLGSKVIPKPKSYYQYGESVKPDVWFEASEVWEVKAADLTISPIYRAAVGTVDPNKGISLRFPRLLRVRPDKAPEQASSSEQIAEMFKAQKHNHNDTQDARQNDDEDV >CAK8536661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6183306:6186129:1 gene:gene-LATHSAT_LOCUS6001 transcript:rna-LATHSAT_LOCUS6001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPSVSPSTVLPSSNPFRRHPRRLSLFSRHPRLPPKLVSTPRYRTRRRKELLCNVGVSGGGGTLGGDDGGNEEVEKALHMDGKIPGSSDEFLKRVSSRAYDMRRNLHQSFDSSSYDVLDDNPWRETSKPVYVLTQKENQLCTMKTRRNISEVERELGLLFSKGSKWRAAIENQSKQERGGTKFPMQVEDIREGVLVFEDENEAAKYCDLLQGGGQGCEGVAEIDASSIFELCKKMRALAVLFRRGRTPPVPENLKLNLRARKRSLEDQDDLI >CAK8540234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546397388:546400591:1 gene:gene-LATHSAT_LOCUS9260 transcript:rna-LATHSAT_LOCUS9260 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERPISLRQSPSRRKLLRPGVDTDDRGWTSLHVYARKGDIKLVKKLLNQGMDVNVTAWGPKSKGVTPLHLAAEGGHLGVMDELLERGANIDARTKGACGWTPLHIAAKERNRDAVKFLVENGAFLPPDMNDSRFNPPLHYCPGLEWAYEEMKRLQQEEYSQGETSCSSEG >CAK8531626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132002890:132003090:-1 gene:gene-LATHSAT_LOCUS1402 transcript:rna-LATHSAT_LOCUS1402-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFPCIFPLQLQTFQTLLKQCISERDFLTGKSLHAFYIKFFIPHSTYLSNHFTLLYSNSALFPML >CAK8531625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132001193:132003076:-1 gene:gene-LATHSAT_LOCUS1402 transcript:rna-LATHSAT_LOCUS1402 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPTSTSNLSNPSQTMHIRKRFLNWKIPPRFLHQVLHSTLNLPLQPFHSPLLQFGTFPNALTSFHLTNYPNVFSYNTIIHACAKHSLLHLAHELFDQIPQPDLISYNTLIAAYARRGECGSALRVFKEVREVGMELDGFTLSGVISACFEDVGLVRQLHCLALLCGYDYYASVCNVVLACYGRLGQLHEARWVFREMGEDCRDMVSWNAMIVACGQHREGLEALRLFGDMERMGLEVDMFTMASVLTAFTCLKDLAGGMQFHGKMIKSGFHRNSRVGSGLIDLYSKCAPHGMLECVKVFGEIPKSDLVLWNTMISGFSQYEDLSEDALLTFREIQRIGFCPDDCSFVCVISACSNLSSPSVGKQVHALAIKSENRFMMKEKFGIEPEAEHYSCMKDLLGRAGKLKEAERIIETMPFNPGSIEWATLLGTCRKHGNVELEMKASSKFLELEPCNATLYVMLSNMYASDGRWEEVARLKRLMRERGVKKKPGCSWIEIDKKVHVFVAEDTSHPRIKEIHKYMGELLRKLKQAGYVADIRLALVKDEEVEAEEKERRLWHHSEKLAIAFGLISTEEGAPILFVKNLRICGDCHNAIKLISVISGREITVRDTRRFHCFKEGQCSCRDYW >CAK8560485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21905948:21911830:-1 gene:gene-LATHSAT_LOCUS14153 transcript:rna-LATHSAT_LOCUS14153 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDLKDGEFYKQQVKALFKNLSRGHNEASRMSVESGPYIFHYIIEGRVCYLTMCDRAYPKKLAFQYLEELRNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTHTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEQLDQVSQMSSRLSLESRKYADKAKDLNRQALIRKWAPVAIVFGVVFLLFWLKNKIW >CAK8561891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:405367938:405370299:1 gene:gene-LATHSAT_LOCUS15441 transcript:rna-LATHSAT_LOCUS15441 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGRNASAHNMLLGFIAGISTVMALALLFCLFWKRIIPFLKQPNALKEKEYKSLKYDKTSLRCFKIEELERATQNFSQDCLLGSGAFGNVYQGTFELEGILAIKRPHSESFLSVEEFRNEVRLLSAAKHKNLVGLVGYCEEPERDGAKILVYEYVANGSLLEYMMGNKRRSLTWKQRINIAIGAAKGIAYLHEEVKPSIIHRDIKPSNILLGEGFEAKVSDFGLVKSGPTGDQSHVSSQIKGTPGYLDPAYCSSCHLTKFSDVYSFGVILLQLISARPAVDNAENASNQHIIDWARPSIEKGSIEEIMDANLFCESEPCNMKVMLKMGQLGMRCVAQEPKHRPTMTQVCKELEQALYSDDNFRNKDSETLGSVEHYDFSHSFVSIDGVGFEKFHVDMDSFSFKSTNLRCLENNSISIDIDTNNLRRIQ >CAK8543497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611577855:611578124:-1 gene:gene-LATHSAT_LOCUS12252 transcript:rna-LATHSAT_LOCUS12252 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEYVAPKLVNGEIEIEIEEEDIESEVKSWESALIMYVLGGEVSLNMVKQYMIKTWNYVQLPEMFYHDDEYFLLQFITHKDMEKVIMN >CAK8573424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611525875:611526237:-1 gene:gene-LATHSAT_LOCUS25871 transcript:rna-LATHSAT_LOCUS25871 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKGMPICLSDFAAGDEIRVLPHCGYGFHVACIDTWLESHSSCPSCRQILRVTRCQKCGRFPAIGAEATAVTVNEQELKYAEDNNVGANSNNNCSGGVNSSSNNSGNHSHSVNSGFLP >CAK8544823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707379864:707386104:1 gene:gene-LATHSAT_LOCUS13471 transcript:rna-LATHSAT_LOCUS13471 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVVSDVASLEVELLQIPEVSTMGLKSNFTFVETLYHQWLSLPETNRLATSLLNDVKSGVPLNVPGNCSSPNASGNSLPSMFPAGSAPPLSPRSSSGSPRIVKHRVGLSNLGSSFKVVSEPVKEVIPQFYFQNGRPPPNELKEQCLLRVDHLFSDHGLQIHEFKSVTKEICKLPSFLSTSLYRKIENGAGIVTREAFIDYWINGNMLTMDIATQIYTILKQPQFNYLTQDDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIYYFINRSGNGRLTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKTSEPSLEYWFKCVDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMVKCENESYITPRDLKGGKLSGSIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >CAK8533724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654566423:654572677:1 gene:gene-LATHSAT_LOCUS3326 transcript:rna-LATHSAT_LOCUS3326 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSGLESGGYDWNTDDELEIESFHSSCTTVPYGQTSGSRSLEENSFAAGPSNTKVFDALINMGFRPEMVAKVIQEYGEENEHKLVEELLTYQELERSSQQQQQVEQDPTSSEYAASSWDDSSDEDELTDEEIRISLSKNDDTLLSLVKMGFNEEEALMAVERLGPNSSLEELVDFISVAQLVKSEDALLPPEDKPQCNGHAKPRKRSLYEYEVLGRKKQKVFEKRRCEEDDEAQAIHLPKPMVGFGIPTDPNSIITHRRLPHDASGPPYFYYENVALTPKGVWQTISRFLYDVEPEYVDSKYFCAAARKRGYIHNLPIANRFPLLPLPARTIQDAFPLLKKWWPSWDPRTKLNCLQTVHGSAKLTQRIRAAVEQLQDFDDPPENVKKYVLEQCRKWNLVWVGKNKVAPLEPDEVEVLLGFPKYHTRGGGISRTERFKSLGNSFQVDTVAYHLSVLKEMFPDGINVLSLFSGIGGAEVALHRLNVPLNNVVSVEKSQVNRDIVRSWWEQTNQKGNLIDMDDVQQLDADRLEQLMSRFGAFDLIVGGSPCNNLAGSNRVNRIGLEGAESALFYDYFRILDLVKGMTPRFQRNGL >CAK8576514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486589784:486593347:-1 gene:gene-LATHSAT_LOCUS28696 transcript:rna-LATHSAT_LOCUS28696 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLIQRLESAVLRLEAISTGGHPATSPADASDAALDPSVVAFTDLIDQYLGRVSRAAEIIGGQVLEVTNRIQEAFSVQKELLIKLKQTQKPNPAGLAEFLKPLNEAIVKTAALTEGRRSDFFNHLKASVDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYSNKVLVEYRNKDPNHVEWVKALKELYVPGLRDYVKSFHPLGPVWSQTGKVIAPSKANAPIAPSAPPPPPASLFSSESSQASSSKPKVGMSAVFQEIGTGNVTAGLRKVTDDMKTKNRTERAGIVGGSTVKESHAGSRAVAKVGPPKFELQMGRKWVVENQIEQKSLVIEDCDAKQSVYVYGCKNSVLQIKGKVNNITIDNCKKMGVVFQDVVAACEIVNSNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLETSISTAKSSEINVLVPNAESDGDWVEHSLPQQYIHFFKEGRFETTPASHSGG >CAK8542823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551645596:551647709:1 gene:gene-LATHSAT_LOCUS11627 transcript:rna-LATHSAT_LOCUS11627 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYANTLPAELQRLLNTVRELDERSQSMINQTRQQTKYCMGFSSHGSKKGNHNYSYNNNYANGDDDASIEKLLKEIEANQDSALSLCTEKVLLAQQAYELIDSHVKRLDEDLNNFAEDLKQEGKIPPDEPAILPPLPIVPKPEKRRHVYGTPQSKRLDYRERDWDRDIELMPPPGGHKKDYATPMDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCRGGEWFHYSCVGLTQETRFKGKWYCPTCRLQPHC >CAK8544857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709372573:709373241:1 gene:gene-LATHSAT_LOCUS13504 transcript:rna-LATHSAT_LOCUS13504 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8562705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:529605959:529606890:-1 gene:gene-LATHSAT_LOCUS16179 transcript:rna-LATHSAT_LOCUS16179 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPSPVKSQPLHNFSLPFLKWGGTGKNNTNTTNHQRSRRPPDHASSEPDSEPDSRPHRLGSRTSRNRFGLPSSSFSHKHPPPSSNQETDDDAGGDSKRDVEEDAEAVTVAEEIVQKPWNLRPRKPMLPRGTFEIGAGASKNNGGGELQDAVNNNGENPVPKSLRLRGFADTSCAEKKEKRKFWIALSKDEIEEDIFVMTGSRPNRRPRKRPKNVQKQMDNVFPGLWLVGITADAYRVADTPTKR >CAK8541476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:195075723:195076010:-1 gene:gene-LATHSAT_LOCUS10395 transcript:rna-LATHSAT_LOCUS10395 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKELCESKGDNPASTQKDLQRWLEGKFQLKVSQGTISNTLKRSDDYLSTEIEKGRAEIKRHKPAKYPDMEKVVYEWFL >CAK8535091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813457812:813471766:1 gene:gene-LATHSAT_LOCUS4570 transcript:rna-LATHSAT_LOCUS4570 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGLNGVKVGTTSSQAYLDGKAVKETKALMAELCRHFYGLGWVSGTGGSISIKVHDDSIPKPQQLILMSPSAVQKERMEPEDMYVLSENGSVLSAPSPKPYPHKPPKCTDCDPLFMKAYEKRDAGAIIHSHGIESCLVTMINPLSKEFRITHMEMIKGIKGHGYYDELVVPIIDNTAHEHQLTDSFAKAIEDYPKTTAVLVRNHGIFVWGDSWISAKTQSECYHYLFDAAIKLHQMGLDWSTPNHGPIQSARRGLSIAGESNLSTKARKDNGDIGPYPRCIVLDIEGTTTPISFVSEVLFPYARDNVGRHLSATYDTSETKADIKLLRSQVESDIEQGIAGAVPIPPDDAGKDEVISAIVANVDAMIKADRKITALKELQGHIWQTGYANNELEGIVYDDVPEALEKWNALGIKVYIYSSGSRLAQRLIFGKTNFGDLRKFLSGFFDTTVGNKKETQSYVEISQSLGVDKPSDILFVTDVYQEATAAKAAGLEAIISIRPGNGPLPENHEFKTVKSFSEI >CAK8534718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:758278981:758280475:-1 gene:gene-LATHSAT_LOCUS4238 transcript:rna-LATHSAT_LOCUS4238 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEASELARRAIYHATFRDGASGGVASGEVIYEKYSLEYGTDCLEMHVGAVETGERAIVIDDLVATGGTHYLLCCVLLSCSSMFLVNHLASLDPVCMPILSSKENEEDKFLALFVSVMLSFIRVFNVMTFSSHKMLMDWRSLQQKSYASTITKILELSVALGGSITYMALSEHGKVEGRRLLLLFVGRHLLPQISLRCGEMDCRHGPSPLSTSVLKAC >CAK8578966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664947254:664949266:-1 gene:gene-LATHSAT_LOCUS30943 transcript:rna-LATHSAT_LOCUS30943 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSFSLFLFITFTFTSAAHHHHHHSPTPTTAPSLSPSAVPDIQQACKATRFPPQCESSLSKLPPNSTTLQLLLFSIAQSSENLVTAKSMVKSILDSSKDSRNRTVAATTCIETLTNSQHRISLSNDALPRGKVKDARAWLGAALAYQYDCWSGLKYANDTRAVGEAMSFIDSLTMLSSNALAMAFSYDVYGNDTSSWKPPTTERDGFWQAAQSDGGSGSNIGIPPGLKPDVTVCKGGENGCYKTVQEAVNAAPSNSVDGKRFVIFIKEGVYEETVRVPLEKTNLVFLGDGIGKTVITGSANADQPGMTTYNSATVAVLGDGFMAKDLTFQNTAGPDAHQAVALRLDSDLSVIENCEFIGNQDTLYAHSLRQFYKSCRIIGNVDFIFGNSAAIFQDCQILVRPRQVKPEKGENNAITAHGRTDPSQTTGFVFQNCLINGTEDYMALYHSNPKVHKNYLGRPWKEYSRTVFINSVLEELVTPGGWMPWNGEFALKTLYYGEFENKGSGSDLSQRVSWSSKVPAEHVSSYSVENFIQGSEWVSSSQLSAQGRSSPHMTNRVA >CAK8535640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871427196:871433805:1 gene:gene-LATHSAT_LOCUS5081 transcript:rna-LATHSAT_LOCUS5081-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKGVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHTCGQPNGARAPSPANMPLLGSLPKVGGFPPLGAHGPFQPTPAPVPMPLAGWMSNPTTVAHASVSAGGAIGLGVGAPSMPAALKHPRTPPTNPSVDYPSADSDHVSKRTRPIGMSDEGNLPVNVLSATFPGHGHGHGQTFNSPEDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVADIGLWELGSRERLVLRNFKVWDLSACSMPFQAALVKDPAVSVNRVTWSPDGALFGVAYSRHIVQIYSYLGGEEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGIKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWEMDNIQLLTTVDAEGGLPASPRIRFNKDGSLLAVSANDNGIKILANGDGIRLLRSLENSLYDASRTSEAMTKPTINPMSVATAATSAALTERASSVAAIAGMNGDARSLGDIKPRISEESNDKSKIWKLTEIKEPSHCRSLKLPENARVTKISRLIYTNSGNAILALASNAIHLLWKWQRNERNSSGKATASVPPQLWHPSSGILMTNDINDNNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLNGHTKRITGLAFSHVLNVLVSSGADAQICVWNTDGWEKQKNRFLQFLAGRTPPAQADTRVQFHQDQFRFLVVHETQLAIYEATKLECLKQWFPRDSAAPISHATFSCDSQLVFASFLDATICVFSASNLRLRCRINPSAYLSANISSNVQPLVIAAHPQEPNQFAVGLSDGVVHVFEPLESEGKWGVPPPNENGSSSNMVVSSVGASSDEPQR >CAK8535639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871426707:871433805:1 gene:gene-LATHSAT_LOCUS5081 transcript:rna-LATHSAT_LOCUS5081 gene_biotype:protein_coding transcript_biotype:protein_coding MFEALSFGFQDKGVAVAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKGVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHTCGQPNGARAPSPANMPLLGSLPKVGGFPPLGAHGPFQPTPAPVPMPLAGWMSNPTTVAHASVSAGGAIGLGVGAPSMPAALKHPRTPPTNPSVDYPSADSDHVSKRTRPIGMSDEGNLPVNVLSATFPGHGHGHGQTFNSPEDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVADIGLWELGSRERLVLRNFKVWDLSACSMPFQAALVKDPAVSVNRVTWSPDGALFGVAYSRHIVQIYSYLGGEEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGIKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWEMDNIQLLTTVDAEGGLPASPRIRFNKDGSLLAVSANDNGIKILANGDGIRLLRSLENSLYDASRTSEAMTKPTINPMSVATAATSAALTERASSVAAIAGMNGDARSLGDIKPRISEESNDKSKIWKLTEIKEPSHCRSLKLPENARVTKISRLIYTNSGNAILALASNAIHLLWKWQRNERNSSGKATASVPPQLWHPSSGILMTNDINDNNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLNGHTKRITGLAFSHVLNVLVSSGADAQICVWNTDGWEKQKNRFLQFLAGRTPPAQADTRVQFHQDQFRFLVVHETQLAIYEATKLECLKQWFPRDSAAPISHATFSCDSQLVFASFLDATICVFSASNLRLRCRINPSAYLSANISSNVQPLVIAAHPQEPNQFAVGLSDGVVHVFEPLESEGKWGVPPPNENGSSSNMVVSSVGASSDEPQR >CAK8543653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628797696:628800370:-1 gene:gene-LATHSAT_LOCUS12399 transcript:rna-LATHSAT_LOCUS12399 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCHPLLRGGRRKENSYSHGLSTTQMHVLASICDTLFPSILLKNENQTLSSFYTTSGSQFPFPDEGAELLMLFKRSIPEALPLVKWILRILSFRLGTLLFCGTYCLQWKWPFVLKFSEISVEKRQQILKNWSNEKRWWMPLRDVFVLLKLTFFYTLFSRTDENGHNSMWKAIGYKVDTREKVKLKKRPLQEGLIETTHETDSTLIQSLNEKGLEVTEDEGQNMYKIKCDVVIVGSGCGGGVAAAILAKSGHKVIVLEKGEYFVSQDYSSLENSSMSELYESSGIMPTVDGKTMILAGSTVGGGSAINWAACVRTPDSVMKEWSEKYKLPLFTSSDYRSAMDSVCRRIGVTDKCNQESFQNQVLRKGCESIGLKVESVSVNASEDHYCGSCCYGCRTGDKKGTDSTWLVDAVENGAVILTGCKAEKFILEDGKNGTKRKNCSGVIASASWKSKITKKLQIESKVTISSCGSLLTPPLMISSGLRNPNIGSNLHLHPVQFVWGYFPEDMTNLSGNNYEGGIITSIHKVLAEDSTPKIIIEAPAIGPGVFSALVPWVSGLDLKDRMAKYARTANLFALVRDKGSGKVKGEGRVSYRLDQMDKENLKIGMRKCLRILVAAGAVEVGTHRSDGQRIKCRGIKEEDFEEFLDSVAVVGGPRSRGEFWTMFSSAHQMGSCRMGGNEKEGAVDENGECWEAKGLYVCDGSVLPSAVGVNPMITIQSTAYCIASKIAESLKKN >CAK8531182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87327816:87328161:1 gene:gene-LATHSAT_LOCUS990 transcript:rna-LATHSAT_LOCUS990 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTFDPQPPHKWLTLPDIGYLITNHYNVVLVCLGFECWTFFPMTTSFSPNVSFYCIGFVNTNHWVQVNMKEEFPLPLVTVD >CAK8533436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617317713:617318309:1 gene:gene-LATHSAT_LOCUS3059 transcript:rna-LATHSAT_LOCUS3059 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPRYVKVNIEVAIVPNAQLPISVEGGDVSMVCQAIGTIVPWPMKLVEIVVECEKNPDQSQNKVKNTQRSVDFVSSPNKSNKKFQIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFLEHLRVESIKEILDHNWLSASIITVFSMYLYYYKFPLI >CAK8566137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384317506:384319162:-1 gene:gene-LATHSAT_LOCUS19298 transcript:rna-LATHSAT_LOCUS19298 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSVDNVGTPAQFFKRDFEENGSTERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYTPINVLPSLSRLMKSAIGEGMTRKDHSDVSKRLNANYAIGKDVQAMKAVVGEEALLSEELLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHCIPAKTLDQFYSRDAGN >CAK8568241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584834252:584834724:-1 gene:gene-LATHSAT_LOCUS21219 transcript:rna-LATHSAT_LOCUS21219 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRGNGASTIIMLLLCMFVFYSKMIYAETYIVGDENGWTFNVENWPAETTFNAGDILVFNYDPSKDSVVKVTEDNYNTCNVREIEFYNSGADRITLVKGGNYFISGEPGHCGVGEKIAINAN >CAK8579049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670261767:670262105:-1 gene:gene-LATHSAT_LOCUS31023 transcript:rna-LATHSAT_LOCUS31023 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQYVFLLFVVLMTSTIGVKNQVIASVWNPIQNVNDPHVIDIAKYALTEHFKRSKLYLTFDKVISGETKVDVGTTYCLNISATFVISNNYNVVVFENKQFRNLIKFVILPG >CAK8575652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:236185672:236186151:1 gene:gene-LATHSAT_LOCUS27895 transcript:rna-LATHSAT_LOCUS27895 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYFQGSNCSKSHFVCDECKCGLDAPLMTSWTDANLGRRFYGCEMYKGHKRCNHFVWSDEEMTLISKELISSLNERLGLENIKVEECKHKEDELKMKIKFLRIQLKFTIGMIILLLIGLVATSVMN >CAK8536073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904884088:904886139:1 gene:gene-LATHSAT_LOCUS5474 transcript:rna-LATHSAT_LOCUS5474 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDQFQESKSKYDCLLFDLDDTLYPFSSGLSNHVTENIQEYMLEKLGIQEDKVPELCVSLYKIYGTTMAGLKAIGYDFDYDDFHSFVHGRLPYNLLKPDHVLRGILLSLPFRKIIFTNADDAHAKRVLHRLGLEDCFERIISFETLNSFKSNNFNSSGGEYKQTSAGVFDFYQYICNPDADIVLPKTPVVSKPFQNSFEKVFKMIDVDPQRTLFFDDSIRNIQTGKSLGLHTVLVGTSLRTKGVDYALESIHNMKEAFPELWEVDDKSKNVKYSRKVAIETSVKA >CAK8563739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628178758:628179509:-1 gene:gene-LATHSAT_LOCUS17118 transcript:rna-LATHSAT_LOCUS17118 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTFTNEYSSSVSPSRMFTALIIDSRNLIPKLLPKFVKDVNVIEGDGGAGSIEQVNFNEASPFKYLKQKIDVLNKENLICKYTMIEGDPLGDKLESITYEVKFEARNDGGCVCKMASSYKTIGDFDVNEEDVKEGRESTIGIYKVVESYLLENPQVYA >CAK8576667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504145987:504146304:1 gene:gene-LATHSAT_LOCUS28840 transcript:rna-LATHSAT_LOCUS28840 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKFSNKLPQHAVLKQILKRCSSLGKKNDYDDNEYPIDVPKGHFPVYVGENRSRYIVPISFLTHPEFQSLLRQAEEEFGFDHDMGLTIPCQEVVFQSLTSMIR >CAK8542439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:514020067:514021778:1 gene:gene-LATHSAT_LOCUS11279 transcript:rna-LATHSAT_LOCUS11279 gene_biotype:protein_coding transcript_biotype:protein_coding MMHANLNVQYAELASEVCCGRGKVPVADYKLANYIDKNDFSESSDSKVENRSCYSFCMCPGGQVVLTSTNPSEICINGMSFSRRASRWANGALVVSVTKNDFEALNYYGPLAGVEFQREFERRTALMGGGNFCVPVQTVPYFLENKLSVTSVPPSSYRLGLKASSLHQLFSTNITVALKHSLVMFDKELPGFICNEALLHGVETRTSSPIQIPRDIDSYESTSVKGLYPVGEGAGCAGGIVSAAVDGMNAGFAVAKKCNLFYGDVKSVLGKAHNAGLLKY >CAK8560169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9344902:9348853:-1 gene:gene-LATHSAT_LOCUS13869 transcript:rna-LATHSAT_LOCUS13869 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLASTTTLALSFLLLLPILSSATVEEEFSEELLLKPLPDRKVLAHFHFQTEAPVAEDSFARHHHLFPKSISQLVKKFHIKAMELSFTQGRWNYERWGGLDPISSQNAKPPGVELWAVFDVPQHQVDAFWKNLTHSLSGLFCASINFLESSSSYSAPKWASQSALGSLRYGTLPREAVCTENLTPWLKLLPCRDKAGLSALMDRPSIYRSFYHSQRLHLTASMAPADGLDSGIILEQTLTVVLQPDVQKSLSFPGEFKIQPSWSLSSIFGRKVNGRCVLAKLSNVYLQVERDLVSQIEKLQKNNAAYADNDTDTDVLRGNLGFEISITPDRVHRELEKSSSILYEYSIKDSNEAKQFDLGLTWKYPIVWSCPLAPLYASRFLIGSGNERGSIAISLKSTELTKDFIVANNVEEKCKLQVNVLQIVPWYIKVYYHTLQLLVDGKPQAITDFVERMSVSPSEDKVSTGVMELVLRFPCEIKSAILNIEFDKGFLHIDEYPPDANQGFDIPSAIISFPDFHASLQFSNDSITRSPMMSKLQEKSPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRVAEEERLLKANKAPFLRQVINRLSSRFRRGSLESTQPKQPSSSFLTPKLIIKIILVAGFAVVWQFYLK >CAK8538470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483958203:483960920:-1 gene:gene-LATHSAT_LOCUS7662 transcript:rna-LATHSAT_LOCUS7662 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGDTDNILLSISPDEVNDFLGEYTWEGKWENVIMLYYEFPEQAHTAIISDSDGTALHVAIDLDEETVVKELVNAILTHHIEVSDQSQRVEALEMENERGDTPLHFAASRGFARLCKCIIGGNKERIYLLSRKNKHGETPLFQAAVNWRKQTFAYLAHISKDIVNLQDLVRDDGDSILHTAIRGEYFDLAVIIVQYYDFLSTHLNKEESTPLKVLTTRPSAFKSASNLSWYKRILYHCILVEPLDAERTMRSNLRKMEDRSDCNKMKIPENYTAFYDLVSIWLGATIFGKKFWKKKQPDTEDPSNENRRPVRSEKYPVGYLPPNYETFHQFVKSAYVHTLGLSGVELKDVKIAKKKHQWSSQLLKALMKRPYAAFTGSGGRPTDIVVDPAMYNVFAQGGDGRFEDHKQKPDVIVETSLASSERKKENEKEIDKKMTPFLVAAKYGIVEVVKEILIKIPSAIHNTNSMKENVLLVAVKYRQPLIVETLREINHSKPELWNNLILAMDEDENTVLHLAAKALGGDKPWQIAGSALQMMWDIKWFQYVKSLVPPHFYFRSNNEGKTSGEIFKTSHADLIKDSSEWLKDTSESCSVVAALVAGVSFATASAVPGGTTDEGRPILEGKPAFDVFAISSLIGLCFSVTGLIMFLSILTSRKQAKDFRRDLPSKLLIGLSSLFVSIASMFVSFCTGHFFLLSHNFKSILFPIYAATCLPVTFYAVAQFPLYFDLLTAILTKVPMATDKGDGL >CAK8561512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:261615046:261615495:-1 gene:gene-LATHSAT_LOCUS15097 transcript:rna-LATHSAT_LOCUS15097 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLPQKEEFRIITTAHYRGAMGILLVYDVTDEASFNNIRNWICDIEQPASDNVNKILVGNKANMDESKRDVPTSTGQALADEYGIKLFETSAKTNTNVKEIFFSIERDIKKRLADTDSRSEPQAIKINQQDPAANGGQAATKSACCG >CAK8543465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609766453:609771703:1 gene:gene-LATHSAT_LOCUS12221 transcript:rna-LATHSAT_LOCUS12221 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKQELLKKRQSLAEETGGKRVFKRSEIQQKQIQKLREEEKRELEAKSKKRNSTSSDTTSSVPTSSTTASSSVTSVAASSSASLPDEQNIDSLVLPKQEVIRRLRFLKQPVTLFGEDDDARLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIADLRKRQKTGIGSDRKRQKAEDGATEDGDGGAGDDDLSDCGGSSGADNDKDLKRMKANFEDLCDEDKILVFFKKLLNEWKQELREMAETEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLQDDIRQALLLMVECCMRRDYLAAMDHYIRLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEERFSGVNQASEERLRIMPAPRES >CAK8576297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:447765294:447767579:-1 gene:gene-LATHSAT_LOCUS28497 transcript:rna-LATHSAT_LOCUS28497 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSTRLDLDGNPIKPLTICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPETLPWNGRIHFHRLNIKSDSRLEGLIKMADLVINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSDTNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDVSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRGEPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMVKVYSKVSGEQPPEKSTIDVSSKEFYGEGYDDSDKRIPDMTIINQQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKVIAQPIAS >CAK8543484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610680521:610681558:-1 gene:gene-LATHSAT_LOCUS12239 transcript:rna-LATHSAT_LOCUS12239 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIEGLPDAVAIRCLARVPFYLHPKLEVVSRSWKAAIRSPELLKIRQEIASSEDLLCVCAFDPENVWQLYDPLRDIWITLPVLPSKIRHLAHFGAVSVSGKLFVIGGGSDAVDPSTGDHDGCFATDEVWSYDPIIQQWSPRASMLVPRSMFACCVLNGKIVVAGGFTSCRKTISQAEIYDPEKDFWTPIPDLHRTHNSACSGIVIGGKMHVLHKDMSTVQVLDNAGARWIVEDCDWLQGPMAVVQDALCVMSNGFIMKQDKEGKKIVSSATDFKQRIGFAMIGLGDDLYMIGGVIGPDRWNWDIKPLSNVDVLTLGSERPTWRQTAPMTRCRGTIVGCTIMKI >CAK8538092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462206438:462211091:-1 gene:gene-LATHSAT_LOCUS7327 transcript:rna-LATHSAT_LOCUS7327-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYNVESAETLANQAQALPIAKATPIYEQLLQLFPTATKFWKQYVEAQMAVNNDDATKQIFSRCLLNCLQVPLWRCYIRFIKKVNDKKGTEGQEETIKAFEFMLNYIGADIASGPLWMEYISFLKSLPLQPVHEQEEPYQMTVIRKVYQRAIITPTNQIEQLWKDYENFENSVSRQLAKGLIYEYQPKYNSARAVYRERKKYFDEIDWNMLAVPPTGSNKEEMQWMAWKRLLSFEKGNPQRIDTPSSNKQVIFTYEQCLMYMYHYPDVWYDYATWHAKGGSIDAAVKVFQRSLKALPDSEMLRYAYAELEESRGAIQAAKKVHENALGNGDNATALAHIQFIRFLRRTEGVEAAKKYFLDAHKSPTCSYHVYVAYASVAFCLDKDPKMAHNVFEDGLKRFMHEPVYILEYADFLTRLNDDRNIRALFERALSSLPPEKSVEIWKRFIQFEQTYGNPASMLKVEQRRKKAFGEDATTASESSLQDVVSRYSFMDLWPCSSNDLDHLSRREWLAKNLNKKVDMSIIPNGTTLTDKGSVANISTMSSKIVYPDTSKMLVYDPKQNPGTAAGTTAFDEIVKATPPALVAFVANLPTVYGPTPNVDIVLSICLQNDLPMGQSAKTGTSELSGSKHCEKRKELDREEDDDTTSLQSQPPPRDAFRIRQFLKARANSTSQTGSVSYGSALSGNLSGSTGR >CAK8538091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462206438:462211091:-1 gene:gene-LATHSAT_LOCUS7327 transcript:rna-LATHSAT_LOCUS7327 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYNVESAETLANQAQALPIAKATPIYEQLLQLFPTATKFWKQYVEAQMAVNNDDATKQIFSRCLLNCLQVPLWRCYIRFIKKVNDKKGTEGQEETIKAFEFMLNYIGADIASGPLWMEYISFLKSLPPVHEQEEPYQMTVIRKVYQRAIITPTNQIEQLWKDYENFENSVSRQLAKGLIYEYQPKYNSARAVYRERKKYFDEIDWNMLAVPPTGSNKEEMQWMAWKRLLSFEKGNPQRIDTPSSNKQVIFTYEQCLMYMYHYPDVWYDYATWHAKGGSIDAAVKVFQRSLKALPDSEMLRYAYAELEESRGAIQAAKKVHENALGNGDNATALAHIQFIRFLRRTEGVEAAKKYFLDAHKSPTCSYHVYVAYASVAFCLDKDPKMAHNVFEDGLKRFMHEPVYILEYADFLTRLNDDRNIRALFERALSSLPPEKSVEIWKRFIQFEQTYGNPASMLKVEQRRKKAFGEDATTASESSLQDVVSRYSFMDLWPCSSNDLDHLSRREWLAKNLNKKVDMSIIPNGTTLTDKGSVANISTMSSKIVYPDTSKMLVYDPKQNPGTAAGTTAFDEIVKATPPALVAFVANLPTVYGPTPNVDIVLSICLQNDLPMGQSAKTGTSELSGSKHCEKRKELDREEDDDTTSLQSQPPPRDAFRIRQFLKARANSTSQTGSVSYGSALSGNLSGSTGR >CAK8571847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483100077:483100661:1 gene:gene-LATHSAT_LOCUS24471 transcript:rna-LATHSAT_LOCUS24471 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRGLKPHFKEGVVAFLTYAFAQECCRSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNIEASSSQTHIGVEIGKETSSSQSHLQDHEQFNLIDDMVGDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSMLSMCVRLLAAKSN >CAK8542314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501073733:501075318:-1 gene:gene-LATHSAT_LOCUS11161 transcript:rna-LATHSAT_LOCUS11161 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEISKTHEAVRSSEDQDSDSELEKLESELKKMAQKIQECRETLPDQLKSTLISVLDEQRSFLPQINPGALEQNMSSEEDPETAEKLKLLNEKISSNCSAAPIVLKRMKDCIAKFEKLDSANMHPGIKRKEIG >CAK8535788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882216109:882216744:1 gene:gene-LATHSAT_LOCUS5211 transcript:rna-LATHSAT_LOCUS5211 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSGFREGTEAAPRKKGAVTA >CAK8567792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:545103816:545105618:1 gene:gene-LATHSAT_LOCUS20815 transcript:rna-LATHSAT_LOCUS20815 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTQSQSPPLPAHQAHTKPISRLNSYVANTRLGKWFKLSQRNSTFTTELRAGTATFLTMAYILAVNASILTDSGGTCSVSDCVPLCSNASVSISSCTGPSLHVIHPDVSCKFDPVNPGYAACLDKTRKDLIVATVASSLIGCFIMGAFANLPLGLAPGMGANAYFAYTVVGFHGSGNISYQNALAAVFIEGMFFLFVSAIGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNSQGIGLVGYSSSTLVTLGGCPSSSRASLAPVVTAINGTVSLLTGGTVSSDIFCLNNRMESPTLWLGLVGFIIIAYCLVKNVKGAMIYGIVFVTVVSWFRNTKVTAFPNTDAGDTAHEYFKKVIDIHTIKTTAGALSFSNIGKGYFWEAVITFLYVDILDTTGTLYSMARFAGFTDEKGNFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGITALTVACYFFMALFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIDWEDMKQAIPAFVTMILMPLTYSIAYGLIGGIGTYIVLNIWDWGFEILGHFGYITKTTKETTTNTHNNSHSSQVNGVLENEHSSQNPNDKAIQLEVL >CAK8575552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:165232947:165235103:1 gene:gene-LATHSAT_LOCUS27808 transcript:rna-LATHSAT_LOCUS27808 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLISVDRWANGSEAYFLTHLHSDHTQGLSSSWSHGPLFCSLITAKLLPIKYPSFDLSLLRILQIGTSHTLSLRSPSSSNFTTVHVTAMDACHCPGSVMLLFSGDFGCVLYTGDFRWEADCEKARITKDMLSDALDAHGVDVVYIDNTYANPTYDFPTRSVATQQAIDIISSHPDHDVIIGINTLGKEDLLVQISSALNILIWVWPERLQTMHLLGLPDIFTTDTTLTRVRAVPMYSFSIDTLEALNRICPTTRPTIGILPSGLPWVKNSLKKSEFLSGSFLTSRYKRSRRSANSTQVQINKQIGKAGSPKKFDQYIFSVPYSDHSNFAELEGFIKFVKPTRLKGIVSSSSCYVEPMYYFGRLCTGNQPVQQLHTRSKMKESGDFEDDNAELDRNRSKESFTMNESGKRVVTFSPETSFEDDNVVLDRNKSKSMFKMKESGKRVVAVCPKTSVRGDDDIELDRNRSKALKVKLSGFRMRGLSVFRRKSRGAKLSDYVEE >CAK8579734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719618773:719621527:1 gene:gene-LATHSAT_LOCUS31657 transcript:rna-LATHSAT_LOCUS31657-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAILTIVYQLLFFIVTALLKFDKVTDFAGSTNFVIVAVLTLVLKGSWHFRQIILTVFVVLWGLRLAFFLLLRILQWGEDRRFDEMRNNLGKLAVFWIFQAVWVWAVSLPVTVVNASDRNPFLKAVDLIGWLMWAVGFMVEATADQQKLTFKKSAENRGKWCNVGLWKYTRHPNYFGEILLWWGIFVASTPILKKAEWLVIIGPIFLTLLLLFISGIPLLEESADKKFGEVDEYRLYKQRTSPLIPIPPGVYGKLPAWFKSTFLLEFPLYSRNFPTEQIWSR >CAK8579736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719621586:719621610:1 gene:gene-LATHSAT_LOCUS31657 transcript:rna-LATHSAT_LOCUS31657-4 gene_biotype:protein_coding transcript_biotype:protein_coding SDSLKIE >CAK8579733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719618773:719621610:1 gene:gene-LATHSAT_LOCUS31657 transcript:rna-LATHSAT_LOCUS31657 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAILTIVYQLLFFIVTALLKFDKVTDFAGSTNFVIVAVLTLVLKGSWHFRQIILTVFVVLWGLRLAFFLLLRILQWGEDRRFDEMRNNLGKLAVFWIFQAVWVWAVSLPVTVVNASDRNPFLKAVDLIGWLMWAVGFMVEATADQQKLTFKKSAENRGKWCNVGLWKYTRHPNYFGEILLWWGIFVASTPILKKAEWLVIIGPIFLTLLLLFISGIPLLEESADKKFGEVDEYRLYKQRTSPLIPIPPGVYGKLPAWFKSTFLLEFPLYSRNFPTEQIWCRTSSGESSDSLKIE >CAK8579735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719618773:719620997:1 gene:gene-LATHSAT_LOCUS31657 transcript:rna-LATHSAT_LOCUS31657-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAILTIVYQLLFFIVTALLKFDKVTDFAGSTNFVIVAVLTLVLKGSWHFRQIILTVFVVLWGLRLAFFLLLRILQWGEDRRFDEMRNNLGKLAVFWIFQAVWVWAVSLPVTVVNASDRNPFLKAVDLIGWLMWAVGFMVEATADQQKLTFKKSAENRGKWCNVGLWKYTRHPNYFGEILLWWGIFVASTPILKKAEWLVIIGPIFLTLLLLFISGIPLLEESADKKFGEVDEYRLYKQRTSPLIPIPPGVYGKLPAWFKSTFLLEFPLYSRNFPTEQIW >CAK8579459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700643556:700645661:1 gene:gene-LATHSAT_LOCUS31406 transcript:rna-LATHSAT_LOCUS31406 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPSPLVVEILTRLQDSSDLARCRLVSTTLNQASNEVRSLTLLCSMSRYLKSRSPETKHLVTPFKTVLKNLVHRSRDFESVSIGVDRSLGGISFDDVEDESDDLHLTEFNFILDWLPCLSRSIKSLSVSDFWVQSCWRRSKALSLISSTCNGLVQLVIRNAWLAVDGLCLMPTLTNLTLEFVRLDDEDLNMINTCFPNLTQLNLIGVGGLKEPSINLSHLQTCQWSVSNAPLSLIICAPSLVDFHLKCIKPKLIFLDAPSLSNFNLSLENTDELVLKNCGNIQCLQLEVECLSLGYILSMFRRYRCVAVERLNLDFVRRTQIVIGVEVDQVEFGFDILLNYFPNIRYLSLGSGAWHAMESSFRGGSFENAIGMKTLKELIAHIVIHEIEFNLAFIFSILDKCIQLGDISLLIHSDVDPCVSGNLISTCRSKFPGVRWRWGIWKEGIKDTWVSDGI >CAK8574245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672141233:672144903:1 gene:gene-LATHSAT_LOCUS26605 transcript:rna-LATHSAT_LOCUS26605 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATRISHHFRDCELHFHYFGLGFRFHTFHLSLPPRPTKSCFLSNGIATTSGGNRSIIPSNKCYSGTPSKSSGEIPLLFDCFSQQEDEREILSDGVSAVAGGVVALGKFDALHIGHRELAIQASRAGPPFLLSFVGMAKVLGWEHRAPIVAKCDRKRVLSSWIPYCGNMVPEEFQIEFSSVRHLSPQQFVEKLSKELKVRGVVAGENYRFGYKAAGDALELVKLCEEYGMEAYIIKSVMDKNQYSANINSSTSSKERGQVSSTRVREALAVGDVRYVSELLGRQHRLVLLANDREKFRFSQYKVSAPKSCLMNLAPKEGLYEKCSLLLGQENVMLCRIIIDSKFVHIETDCGGQSDIFGTHNLQYLHIEFGDSST >CAK8578406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626956018:626961223:1 gene:gene-LATHSAT_LOCUS30419 transcript:rna-LATHSAT_LOCUS30419 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKVILTIIFLFLLLISYSIFIGSLDIRSYFNQLPTFQPAPCKSDPKLRVYMYDLPPRFNVQMIDRRNASESPVTANDFPPWPGNWGLKRQHSVEYWMMGSLIHEGDDGGESREAVRVLDPEVADAFFVPFFSSLSFNTHGHTMTDPDTEIDRKLQVDLMEILNKSKYWQRSKGRDHIIPMTHPNAFRFLRNQVNATIQIVVDFGRYPKSMSNLNKDVVTPYVHVVDSFTDDELQDPYEARSTLLFFRGRTFRKDEGIVRAKLAKILSSYSDVHYERSVATEENIKASSKGMRSSKFCLHPAGDTASSCRLFDAIVSHCVPVIVSDKIELPFEDEIDYSQFSLFFSFKEALQPGYMVDQLRKFPKQKWTEMWRQLKNISHHYEFQYPPEKEDAVNMLWRQVKHKLPRVTLSIHRNRRLKISDWW >CAK8534732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:761071249:761071554:1 gene:gene-LATHSAT_LOCUS4251 transcript:rna-LATHSAT_LOCUS4251 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGVSKRAVANKARVKGSICTDYIHHEENYFCSHYFNSFGLLPNTTICNNPRSDHDDILPTMSLLHSGG >CAK8574923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15341332:15341646:-1 gene:gene-LATHSAT_LOCUS27221 transcript:rna-LATHSAT_LOCUS27221 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQQRARRAENERKRRQNMNLEQRENYLSIRRDNYRRRKEQDKQAQTSRTMNSQRRVLFQNFTNMRSPISHFQGTHDNEAGPRRITHVNDVALGW >CAK8567889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:554849693:554856598:1 gene:gene-LATHSAT_LOCUS20904 transcript:rna-LATHSAT_LOCUS20904 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGGRKPITHVVFDMDGLLLDTEKFYTEVQEIILARYNKTFEWSLKAKMMGMKAIEAAKVFVEETGISDSLSAEQFLVEREEMLRSLFPTSELMPGVSRLLKHLHAKEVPIAVATGSHRRHFELKTQRHGEMFSLMHHVVLGDDPEVKQGKPSPDVFLAAAKRFEGGPVDPDNILVFEDAPSGVLAAKNAGMSVVMVPDPRLDKSFHGAADQVLKSLLDFNPTEWGLPPFEDNGS >CAK8536214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917714052:917718127:-1 gene:gene-LATHSAT_LOCUS5604 transcript:rna-LATHSAT_LOCUS5604 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKVAVAAASSKTASEKAVDSVANGIGDIQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPEHMDIYHLSREIEASDMSALEAVISCDEERLRLEKEAEVLGAQDDGGGEALERVYERLEAMDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEENLKKFDRILVVVSHSQDFLNGICTNIIHMQSKKLKFYTGNYDQYVQTRAELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKILVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTFLKLITGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALQFMIKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCADQTVTRWEGDIMDFKQHLKAKAGLSD >CAK8568229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583771268:583772986:1 gene:gene-LATHSAT_LOCUS21208 transcript:rna-LATHSAT_LOCUS21208 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTFSFSGYVAQNLVSSAGVRVANSRCVQECCILSRIFGTNQKRDLERSGGVRSLYPDLRRPKNSPVSAYSTLAGEILSEGCTNPIVLGLISMMKSTSVVSGSTSAAMGIMGIAPFKTSSIIPFLQGSKWLPCNESVPEPTTWEVDKGGTECVTVSNPKSRLSQKETSGWISKLLSVCSEDAKAVFTAVTVSILFKSFLAEPKSIPSASMYPTLEVGDRVLTEKFSFFFRKPDVSDIVIFKAPPCLKKFGFSSSDIFIKRVVAKAGDVVEVRGGKLLVNGVAEEEEFVLEPLAYELAPMVVPEGHVFVMGDNRNKSFDSHNWGPLPIENIVGRSMFRYWPPSKVAETVSVHNTPPGNKSVAVS >CAK8534219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707149115:707151656:-1 gene:gene-LATHSAT_LOCUS3779 transcript:rna-LATHSAT_LOCUS3779 gene_biotype:protein_coding transcript_biotype:protein_coding METKHQNSSLQSPLIQNSKQDVIKQRERANVVEEVIKVLWLAGPLVFVSLLNYSLQVIAIMFVGHVGELPLAGASVATSFATATGFNVVTGMASALDTLCGQSYGAKQYRLLGIHMQRAILILMTASIPISFIWINTKSILIFLGQDPQISTEAGNYAKLMVPSIFAYGLIQCLNRFLQTQNIVFPMMFISAAITLLHTLLCWILVFKSGLGGRGAALANSISYWLNVTMLSLYVKFSPSCKNTWTGFSKEALHNIPTFLRIATPSTIMVCLDMWSFESMVIISGLLPNPKLETSALSICLNTVSATWMIPLGLSGAVSIRVSNELGAGHPWAARLAVCIVVVIGIIESILVGVVMILLRNIWGYAYSNEVEVVQYISNMMPILALSNFLDGIQCVLSGNARGCGWQKIGAYVNMGSYYLIGIPLGIVLAFVLRIGGMGLWLGIICALTVQVFSLMIVTIRTDWEKQAKKATDRIYDSITPESSVS >CAK8572248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524382143:524382900:1 gene:gene-LATHSAT_LOCUS24833 transcript:rna-LATHSAT_LOCUS24833 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYFFIAFLAFASSTAFAYDPSPLQDFCVAINDTKTGVFVNGKFCKDPKLANAEDFFLQGLGPGNTSNPLGSKVTAVTVNEILGLNTLGISLARIDFAPKGLNPPHTHPRGTEILVVVEGTLYVGFVTSNQNNNTLISKVLNKGDVFVFPIGLIHFQLNVGYGDAVAIAGLSSQNPGVITIANAVFGSKPPISLEVLTKAFQVEGNVIDYLQKQFWYDNN >CAK8568965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659874858:659875842:1 gene:gene-LATHSAT_LOCUS21869 transcript:rna-LATHSAT_LOCUS21869 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRRSGETVSRHFHRVLDALIEVEGNFLKQPDGTQVPPQILNSHRFYSYFKDCIGAIDCTHVRVKVPIELAPRYRGRKDYPTQNVLATYSLDLKFTYVLVGWEGTASDSRIVKSALARAHPLKVPQGKYYPGDAGFPLKACLITPYRGERYHLQEYSRNPPRNPRELFNHRHSSLQMSIEYPNQTLIDEVQDELANKRDVQEGHQDHREENGDMISGEPIRDNVVGSMWLDY >CAK8568966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659875675:659877065:1 gene:gene-LATHSAT_LOCUS21869 transcript:rna-LATHSAT_LOCUS21869-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPNQTLIDEVQDELANKRDVQEGHQDHREENGDMISGEPIRDNVVDMEASLKRSRKLKSLETCNWTTAMDEVLLDEYFNQQTLENKNGNSMTTSVMDSILKDLKIHFPDKPISKEKIKDHMKHIKTKFNSCYDLFHNGLSGFGWDSTTNMWIIEDEVWNKLIEAKPEAAEWKNKPILFYDKLAKHFGKDRATGEHEGTNAEMRAKKAANVEKSHGTIIEEINHLVETNEVILEGFDDDEHHSNNTPTRPSITNSQDVSSSRTKKRVKKVIEDDTSMTEISKTFKTMVDVFEMNFMELVKQSKNANVGDTWAELVEIGVEPSSLPLVYMYLIKNVDALKAFNGIPIDKRKKMLHVIVLDYPF >CAK8540006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535335065:535335508:1 gene:gene-LATHSAT_LOCUS9048 transcript:rna-LATHSAT_LOCUS9048 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLFVMCMEKLSHLIMDVVQEKKWKAIKMGRNGQWISHLTFVHNFFIMGEATEIQMQCVVEVLQNFGTMSGKEVSEEKTCILFSKKVPRRVREKLLQMLKFREMKDIGKYLGVPLKGGPLKKDDYRYMLIKSQGKVLLRQFPFIL >CAK8532047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196563589:196567596:1 gene:gene-LATHSAT_LOCUS1785 transcript:rna-LATHSAT_LOCUS1785 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMNNLPLTTGNHCVTLTSTLVLAAFVALTVQVFYFSPIDPLLFQPTSSVSSSKNNQLQNLIKLGEGFLKQPEDVCVDKDGVLYTATRDGWIKRLVRNGNWENWKHIDSSSLLGITTAKDGGLIVCDATLQGLLKVTEEDGFSVILSQVNGSQLLFADDVIETSDGNIYFSVASTKFGLHNWHLDVLEARPHGKLLKYNPVSNETVIVLDDLAFANGVALSKDEDYVVVCESWKYRCLRHWLKGINKGKTDIFIENLPGGPDNINLAPDGSFWIALVQQFTSERLGFMHTSKVSKHLVASFPWLFNLINGATKSAKVVNVGTEGNIIRSFGDNEGKVISFLTSAVEFEDHLYLGSLNTDFVGKFPLLGVN >CAK8532046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196563589:196567596:1 gene:gene-LATHSAT_LOCUS1785 transcript:rna-LATHSAT_LOCUS1785-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMNNLPLTTGNHCVTLTSTLVLAAFVALTVQVFYFSPIDPLLFQPTSSVSSSKNNQLQNLIKLGEGFLKQPEDVCVDKDGVLYTATRDGWIKRLVRNGNWENWKHIDSSSLLGITTAKDGGLIVCDATLGLLKVTEEDGFSVILSQVNGSQLLFADDVIETSDGNIYFSVASTKFGLHNWHLDVLEARPHGKLLKYNPVSNETVIVLDDLAFANGVALSKDEDYVVVCESWKYRCLRHWLKGINKGKTDIFIENLPGGPDNINLAPDGSFWIALVQQFTSERLGFMHTSKVSKHLVASFPWLFNLINGATKSAKVVNVGTEGNIIRSFGDNEGKVISFLTSAVEFEDHLYLGSLNTDFVGKFPLLGVN >CAK8578377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625484839:625486930:1 gene:gene-LATHSAT_LOCUS30394 transcript:rna-LATHSAT_LOCUS30394 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESAARQEAINAWLPVTASRKAKWWYSAFHNITAMVGAGVLTLPYAMSKMGWGPGITVLLLSWIITLFTLWQMVEMHEMVPGVRFDRYHELGQHAFGKKLGLYIICPQQLLVEVGTCIAYMVTGGKSLRKIQETIWPHWKGLRTTYWIIIFASVNFVLCQCPNFNSISAVSFAAAVMSIGYSTIAWVASLERGARPDVDYSYSANSLPDGVFNFMLAMGEVAFSYAGHNVVLEIQATIPSTPDNPSKKAMWKGVVVAYLGVALCYFPVAFVGYYVFGNGVDDNILITLDRPTWLIAAANIFVIVHVVGGYQVFSMPVFDMIETFLVKQMKLPPSLILRLFARTGFVAFTMIVGICIPFFGSLLGFLGGFAFAPTSYFIPCIIWLKLHQPKTFGLSWTINWVCIVLGVLLMVLAPIGSLRQIILQFKHYKFFS >CAK8568909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653577669:653593855:1 gene:gene-LATHSAT_LOCUS21817 transcript:rna-LATHSAT_LOCUS21817 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSKCQWEPLAPTKEAQEFHLSQTYHDGLVKLQAKEFEKARELLESVLKDPLIANAKVDRGAGDSHLLQLRFLALKNLAAVFLQLGSTHHENALHCYLQAVDIDSKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALHVRNTIEESEPLPFAPRGIDKLEPKHVRLKFSAKRKAIDENIDEDVAFKKLNQNKDLYLTEASWVALADALLGILLPSNLKISEVEPKTTCSSPDIRLRVNLPCSSEAALNTVEVNGLSGENSAFGANVGPASVFKEKEANTQEEQPHERRSSRLERLRSRKPGKEDSNSCGKDPAKVVIRYLEPFIAGGLGDQETTNSDTTILSSSGNSEYDHVSAFLRRTSNNYGAYHMGHLLLEEVSRQGLPFQDAFVKFLELEKLTRHWGKDRTAECNIFLAELYYDFGLCSPTGSKQLEWMSEASYQLCKIVESVALDYPFHLTSALNEGCSLIDGFQETSGTSINNSTVNNSDLDSSLLMKKSSFWSRFYWISGRLSIFEGNKAKACEEFCIALSLLEKREEMEHSPGSVPRPHCKDVKEINIDRVFYEVNILKVNFLMEKSITRMMEQEKYVDCVSLLTPLLFSTQDVYFNSFSLSMADKKEEKLTSIELMALDVLIEACQKITPMDVDLYFNCHYRKLKILMALMGLSTSITSFKSFDQTHGFSTPSNLDDSNESSSKHCSHLVAEEVKALSDCISQVKKVIDHCGDSDGLTIPTSSICQMQSLLLLIMGYVANVLVCNKTSAQVISDQVESNCFVDAAIIFCKLQHHSRTTPIETQVDLIVATHDMLAEYGLCCVGEGVKGGEGTFLRFAIKHLLGLDMKFKSSFNLKNKEPMQCEEASKNSVVNLSMEDSISDTLDFRMDWTRIDEITSVKKDVSEGISKGVSSCKVQNKDSKEVECEENMVAGADCKLVKEENSCNQLIEHGNELSDDETEELESKIDGALDQCFFCLYGLNLKSDSSYEDDLVMHKNSSRGDYQTKEQCADVFKYVLPYAKASSKTGLVKLRRVLRAIRKHFLQPPEDLLTGNPIDKFLDDPNLCEDKLSEEARSEGFLETITKTMFPDVGGLGQYSTTLLRRSEPYLDVYCNLYHFLALSEEMSATDKWPGFVLTKEGEEFVEQNAKLFKYDLMYNPLRFESWQRLGNIYDEEVDLLLNDGSKHINVIGWRKNPTLSERVETSRRRSRRCLLMSLTLAKTSAQQCEIHELLALVYYDSLQNVVPFYDQRSVLPLKDATWMAFCESSMKHFKKAFALKQDWLHAFYLGKLSEKLEYSYEIALSYYAKAIALNTSAVDPVYRMHASRLKLLIKCGKQNVGILKGLSEDSFDQSVKEAVISILGSTDSSSLNTKESCIHANSVDTKHGGLLKLDTAWSMLYNDCLSALETCVEGELKHFHKARYMLAQGLYRRGESGDLERAKDQLSFCFKSSRSSFTINMWEIDSMTKKGRRKTPGSTGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDRCILERAYVSLRGDKRFSLCLEDIVPVAIGKYLKALISAMRHSQTTASFPVSSSDNVLDRMFALFMEQGSLWPEICSLPEIECRDTSESIIYGYLHEHISLLEINGKLETLETVNEKIRKRFKNPKLSNSNCTKVCKHASVALCRSLIYNLAQITPVSCGFSNAIQVHNLNDDGMENSQLLCIDLQPRELLITSFEDPSILEKIETKWSPILSKIKNILIKKASDENLETANTLLRACYIFYRESSSVVLTSGLNFYIIPSQLLTETPFSPTMTGVEALDLSIPRKLLLWAYAIVHGRYANISVVVKHCEEISKSKMRRGSGMSPALTNSPATAPSVPGSSRSGYEVDSTHGSGSLYTDVVQKNLFGSPQLHQCTTNDAERSNANAAEGETRD >CAK8562931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558182164:558183460:-1 gene:gene-LATHSAT_LOCUS16391 transcript:rna-LATHSAT_LOCUS16391 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYREDLDRSETIYQEMAEDEAKAIHKLPAGPMHKVTVENTKSKSLVMKNPNLVIPAHIIAEAISTIRDINLRWSGPITLKEMEYVEQYVLAKYPEYENLIEGDGSGVDMSSFIINEEPLELHALRKSRISPSFGRTLPEMDRTKLEPSRLVDVINKKSSFAGSFISIPEIQAQNKVLNHCGLPDDEYLVVFTPSHKDAMMLVGESYPFVKGNYYMTILGEEQEDHIKEFAFFKESKVLIAPKTWLDLRIRGSQLSQNFRRKCKISPRGLFAYVADVNGTMHWVSEAHSFYWHVLVDASELVVGKDRLHVGLHRPDFLVCSLDNTNSNPSKITCLLVRKKSFDTSNTSS >CAK8565360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:151608864:151611129:-1 gene:gene-LATHSAT_LOCUS18581 transcript:rna-LATHSAT_LOCUS18581 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVNAPGRNHLFVPGPVNIPDQVIRAMSRNNEDYRSPAIPALTKELLEDVKKIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDRIVSFVIGQFSLLWIDQQQRLKYNVDVVESEWGRGADLDVLESKLASDSAHTIKAICIVHNETATGVTNNLAKVRQLLDAYSHPALLLVDGVSSICALDFRMDEWGVDVAITGSQKALSLPTGIGFVVASPKAIEASKTAKSLRVFFDWSDYLKFYKLGTYWPYTPSIQLLYGLRAALDLIFEEGLENIFARHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPNIDGAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNMNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPLIPSRI >CAK8561508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:259162898:259163556:1 gene:gene-LATHSAT_LOCUS15094 transcript:rna-LATHSAT_LOCUS15094 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLNSVLLATSLRVKQLNLHLL >CAK8544959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714519189:714519479:1 gene:gene-LATHSAT_LOCUS13596 transcript:rna-LATHSAT_LOCUS13596 gene_biotype:protein_coding transcript_biotype:protein_coding MERNEREENGDYKKSKWITKLPYLVILFTSLLISIVGGCVLGWWLHKYHPSNEQLWMVPFGFVLFITPIIVLLSLIVSDPCFSKQVEDSLSNTNNV >CAK8578288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617680239:617680481:-1 gene:gene-LATHSAT_LOCUS30313 transcript:rna-LATHSAT_LOCUS30313 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGEIERVFKRFDVNGDGKISLSEFTDALKVLGLTSQDEVQRRMSEIDKDGDGSITLEELADFQSAHPNLMLDIMKKL >CAK8561551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:288615836:288617428:1 gene:gene-LATHSAT_LOCUS15131 transcript:rna-LATHSAT_LOCUS15131-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASIFVSNVNKYLSSVTNVRKINKLKICFTFRSKGYGCSDLDEWIRFAVERNVEEIDLCLFEEYHLSAPNDGPCYVFPCDNIVCFNLFLKCLRLAHCVLAPKKSCYSFSTLETLDLFKVDLKSEKHIQILLSSCNSLEWLSFSECYNMDYLKIEHSFCKELKYLKVNLCLQLKAIILKSSTLETLEYVGSKVEFFFDTPMLKSFFGRVIESQGHNGENWLVWKLSTDLPRLKNLFLECSCTGEVMTNRFHTFQNLKHLEVIKVAIFRQDFSWIPIALNACPSLAKLKLHLRTYFNIDEELTHWFPTSPHNHLKEITITGIRGHSSEIAIAIYLLKNAISLEKMIVDPRPRIYLGNGKCVCSEASEDWSMIGRHKVEILLKQEVSSLVELLIL >CAK8561550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:288615584:288617428:1 gene:gene-LATHSAT_LOCUS15131 transcript:rna-LATHSAT_LOCUS15131 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRVVKQTNQDYITTLPYSLLCNILSSLKINEAVKTSVLSSNWRYVFTNPTNLVFDSENMLVKDYSFSNICQLSKVLIFNIKMKRASIFVSNVNKYLSSVTNVRKINKLKICFTFRSKGYGCSDLDEWIRFAVERNVEEIDLCLFEEYHLSAPNDGPCYVFPCDNIVCFNLFLKCLRLAHCVLAPKKSCYSFSTLETLDLFKVDLKSEKHIQILLSSCNSLEWLSFSECYNMDYLKIEHSFCKELKYLKVNLCLQLKAIILKSSTLETLEYVGSKVEFFFDTPMLKSFFGRVIESQGHNGENWLVWKLSTDLPRLKNLFLECSCTGEVMTNRFHTFQNLKHLEVIKVAIFRQDFSWIPIALNACPSLAKLKLHLRTYFNIDEELTHWFPTSPHNHLKEITITGIRGHSSEIAIAIYLLKNAISLEKMIVDPRPRIYLGNGKCVCSEASEDWSMIGRHKVEILLKQEVSSLVELLIL >CAK8575505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:143180016:143192794:-1 gene:gene-LATHSAT_LOCUS27763 transcript:rna-LATHSAT_LOCUS27763 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLVTRTQLARLAPFIHRSKSSLRKTISLPQTITLPQFSTTTGLKLRRKHSPAMDAQPPESHTPAVEGEEFVHIHDLKMESLSDSMVRIDHSSDAVDAASSAPEEASVDVDRTPVALPEELSRNVIVLTCESSAEGGVCDVYLVGTAHVSEESSREVQAIVSLLKPEAVFLELCSSRVSILTLQNLKVPTMREMIENLKKKQNMFGVIYGWFLAKVASKLEVFPGSEFRVAYEEAIKYGGRVILGDRPVQITLKRTWSKMPLWYKTKLVYSLLFQAVFLPSSDDLNKMLKEMDDSDMLTLVIQEMSKEFPTLMETLVHERDQYMSSTLLKVANESRSVVAVVGKGHLQGIKKNWKQPVVMKDLLTVPSPKPAISAMRVLTSVGVGVAGVAIISGIYLSCKK >CAK8561397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:188992785:188994014:-1 gene:gene-LATHSAT_LOCUS14995 transcript:rna-LATHSAT_LOCUS14995 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTTFKLSLLFTLISLSLSAIPPTCKRIECPNYDVIQAGNGYEIRRYNSTVWISSDPIQEISLVEATRTGFLRLFDYIQGKNNYQQKIEMTAPVLSEVLPSDGPFCKSSFVVSFYVPKKNQANPPPAKGLQVQRWKTVYAAVRQFGGFVKDTDVGEEAAALKESIAGTKWSSAIDQSRRAGHASVYSVAQYNAPFEFDNRVNEIWFLFDLESGSRAM >CAK8533583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639218379:639220962:1 gene:gene-LATHSAT_LOCUS3202 transcript:rna-LATHSAT_LOCUS3202 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPRLVPLLLFFPCFFSVLFVSSIRLPVLDKDKPTVGSVFGGSKVKFDPTRVTQLSWNPRAFLYKNFLSDEECDHLKTLAKDKLEKSMVADNVSGKSIQSEVRTSSGMFLKKAQDEVVADIENRIAAWTFFPIENGESLQVLHYQHGEKYEPHFDFFHDKANQLHGGHRVATVLMYLSNVEKGGETIFPHAEGKLSQPKDDSWSECAHKGYAVKPRKGDALLFFSLHLNATTDSNSLHGSCPVIEGEKWSATKWIHVADFEKPIHQDIDDGECADENVSCAAWAKVGECEKNPSYMVGYEGVKGKCRKSCNVCSS >CAK8543413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606478735:606479561:-1 gene:gene-LATHSAT_LOCUS12170 transcript:rna-LATHSAT_LOCUS12170 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSERQLSRLRLAYLKAVLSQEIRAFDIELTSGKVITGISKHVSVIQDAIGEKLGHFTSSCATFFAGIVIAAISCWEVALPCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKIVYAFVGEGLAVKSFTENMDKQYAISKGEALVKGVGTGMLSLVTLFITSLATTTPSNNCLPGTKVD >CAK8569459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1689850:1694864:1 gene:gene-LATHSAT_LOCUS22308 transcript:rna-LATHSAT_LOCUS22308 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAISTTKVTKRAPTPKRPPLLPSESDNAIAPPRRPKAREVTSRYMSSSSSSSSSFSSPPKRSNSPLVTRAVNSNSNSMRQKLTPAVMQRSQSTERRRQGTPRPNCETPVAQRMLLTSTRSLSVSFQGESFSFQVSKAKPLPASQSVRKSTPERRKVTATTPTATRGRVNGNSDQTENSVSRSLDQHRWPGKSQQQANSMNRSLDCGISLRNSNRPGNNVVRSLRDSLLDPRASQEATLRLESNKNGGSEPEIEPEELVSSDNESVTSGSSSGAQDNGGKQMHGASHVVPARFLQEANNPIRRQTDLPSPRNSGIGNKAMDPPKLLVPKKSVLFSPASSPRGAANSRLQGSPIRSAVRPASPSPLASPSSWSPCRGASPSRGRNGIASSLTSRFVNEPSVLSFAVDVPRGKTGENRVADAHSLRLMHNRLMQWRFVNARADASLSVQTLNAEKSLYGAWVATSNLRESVIAKRVELQRLKQHIKLISILKEQMIYLEDWAILDRVYSGSLFGATEALKASTLRLPVFDGAKIDLLNLKDAIGSAMDVMQAMASSICLLLPKVVNVKTLVAEVVNISAKERCLLEECQDLLSTIRTMQVRESSLISHTIQMKSLTRNQQ >CAK8561020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:74941707:74942501:-1 gene:gene-LATHSAT_LOCUS14648 transcript:rna-LATHSAT_LOCUS14648 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISRRLRLTSSILKPSYFPPPSSSPPNPQSPIFEPLTHNPSSFFIFQHFFSQSTTAPPKPISHFNLTPFSSHKVSNFYPKPIFFSTNDPSSSSDSDKAPNPNPNPNPSPYPSENPNFKHQEIEGPTVERDLSPLANETREILETMMKNIYGLSRVVALMGLVQLGVGGWITYVTRSSPITEVSVQSLLAFAFPFSLAFMLRQSLKPMRFFKKMEEQGRLQILTLTLQVAKQLNVLFVRVRGVSFVCVVGLSAGLVFAVVSR >CAK8571418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404303521:404312287:1 gene:gene-LATHSAT_LOCUS24085 transcript:rna-LATHSAT_LOCUS24085 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPARRSNYSLLSQVPPDDPFSYAAGASAPSTSSDGKASRGGKLDRGLGFDWELVGVDHRSTQQGNNRIGNLYSSIGLQRQSSGSSYGESSLSGGGEYYAQTLSTAAASEVDAFGYLHDDGFKVGEARNKLSEGPGWTGGSSGKSWAQQTEESYQLQLALALRLSSDATCADDPNFLDPVPEEASLRLSSSPEALSHRFWVNGCLSYSDKVPDGFYLIHGMDSYVWTVCTDLQENSRIPSVDILKSVHPRTGSSLEVVLVDRRHDASLRELQNIVQDISCSSITTTEVVDQLSKLVCNRMGGSASAGEDEFLSFWRDCSNNLKDCLGSVVFPIGSLSVGLCRHRAILFKVLADAIDLPCRIAKGCKYCKRDDASSCLVRFGLEREYLVDLIGKPGQLCEPDSLLNGPSSISFCSPLRFPRLKPAETTIDFRSLAKQYFSDCLSLELFFDNSSAEQFDGKSNERNNLRPISSDSNRSSHLPLYPQSALPSTRDEASETYMLCNPPQNIIDSTTVGKYPPPLKHKRPVCISNDDVIEGRRFVEGSQLIPSKPTREIAFDMEDLAIPWNDLNLKEKIGSGSFGTVHRAEWNGSDVAVKILMEQDFHAERFKEFMREVAIMKHLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRPGAKEVLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDLYSFGVIMWELATLQQPWGNLNPAQVVAAVGFKGKRLEIPRELNPQIAAIIEACWANEPWKRPSFASIMDSLRSLLKPPTPQPGLPNMPPLT >CAK8537406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:336791076:336791840:1 gene:gene-LATHSAT_LOCUS6699 transcript:rna-LATHSAT_LOCUS6699 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVITEAAPPSRLLEEDLNNFTPPSKPLPSPFLLFPHTQQQQQPLKPNLLIIAISSPSLSLFQTILNSQTLTASLILPEFPLSHPDNTIDIHSISSNILLATVRTSIPDNRAYAVADVLLNDRIRPDSAVILDSIQTMNHRGLLSSDEAVGFKLESSAERKKAVGEKLLGELEYYPSGSVVDGLGAAILGRCQILNLRASLCVSWPQFDPSVVLLLKDLVRPLAEFDFGFNGNEEALKYGRNRDHVFQSHPYI >CAK8570726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:97698609:97699115:1 gene:gene-LATHSAT_LOCUS23457 transcript:rna-LATHSAT_LOCUS23457 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSANSGYMSSVRKASVYRHELPMRIHVSKSSSNPGRRYWKCKFWGNVDDCHLFHWDDELFQGKQVEPRFHEGCSKCVNMKMDMKKYGGEFEKEFGHEFGKVFKNKKIKKLKLKAAKDHKTIQTLTFVLVASWLFCNM >CAK8537353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:297201863:297202248:-1 gene:gene-LATHSAT_LOCUS6649 transcript:rna-LATHSAT_LOCUS6649 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYSSSQNPEYVHSNIASCLGIPENNVRVITRRVGGGYGGKSMKFIAGAVSCALAAHKLQRLRLRLHRRLRLHRWL >CAK8578274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616283269:616291098:1 gene:gene-LATHSAT_LOCUS30300 transcript:rna-LATHSAT_LOCUS30300 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLTEESLLAYRIMEDQLPLSSSLSASAPSSPSDKNSSNPSDAVIFFGLSLALGIGCRHLLRGTRVPYTVALLILGIALGSLEYGTHHRLGKIGDGIRLWSEIDPELLLAVFLPALLFESSFSMEVHQIKRCIAQMILLAGPGVVISTIFLGTVLKLTFPYDWSWKTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYTLFYRMVLGETFNWVAIIKFLATVSLGAVGIGLAFGIASVLWLGFIFNDTVIEISLTLAVSYIAYYTAQESADVSGVLTVMSLGMFYSAFARTAFKGESQQSLHHFWEMIAYIANTLIFILSGVVIAEGVLSDDQVFHHGKSWVYLLLLYAYVQVSRCIVVGALFPFLRYFGYGLDWKEAIILVWSGLRGAVALSLSLSVKRSSGRSIELTPETGTMFVFFTGGIVFLTLIINGSTTQFILHFLGMNKLSSAKRRILEFTKYEMVNKALEAFGELGDDEELGPADWPTVKRYISCLNDIEGERVHPHGASESDSNLDPMNLKDIRVRLLNGVQAAYWEMLDEGRITQTTANILMLSVDESIDLASSEPLCDWKGLKANVHFPNYYKFLQSSMFPPKLVTYFTVERLESACYICAAFLRAHRIARQQLHDFIGDSGVASAVINESVVEGEEARKFLEDVNLSYPQVLRVVKTRQATYIVLNHLLEYVQNLEKAGILEEKEMIHLHDAVQTDLKKLLRNPPLVKLPKISNIHPMLDALPSSVRGPLVSGTKESMKLRGLTLYKEGAKSNGIWLISNGVVKLESKMIQSKHPFYPTFTHGSTLGLYEVLCGRAYICNVVTDSVVFCLFVEASKIISCLKSDPSMEDFLWQESAIFLSKLLLPQIFEKLTVKDLRALIAERSEMTMYIRGETIEIPYHSVAFLLEGYVKTQGCQELVTAPVALVPSHGNRSFQNLSVSGTKEASFIHQGSCYLVETRARVIVFDISAFETDAALVKKSSSRLSHVTDHPHRSFRIEHSGLMSWPEHFYRQNQHKQSSEQQTNSLSARAMQLSIYGSMVDIPRPGRSLLINRAKPSLQSLSYPTIVPPQGRRPLVSVKSEGAATGRKNVGVKWITQDVTNLPSQSTDRRVHHEDDSSDDSAVEEDIIVRIDSPSTLSFRES >CAK8578275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616283314:616291098:1 gene:gene-LATHSAT_LOCUS30300 transcript:rna-LATHSAT_LOCUS30300-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLPLSSSLSASAPSSPSDKNSSNPSDAVIFFGLSLALGIGCRHLLRGTRVPYTVALLILGIALGSLEYGTHHRLGKIGDGIRLWSEIDPELLLAVFLPALLFESSFSMEVHQIKRCIAQMILLAGPGVVISTIFLGTVLKLTFPYDWSWKTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYTLFYRMVLGETFNWVAIIKFLATVSLGAVGIGLAFGIASVLWLGFIFNDTVIEISLTLAVSYIAYYTAQESADVSGVLTVMSLGMFYSAFARTAFKGESQQSLHHFWEMIAYIANTLIFILSGVVIAEGVLSDDQVFHHGKSWVYLLLLYAYVQVSRCIVVGALFPFLRYFGYGLDWKEAIILVWSGLRGAVALSLSLSVKRSSGRSIELTPETGTMFVFFTGGIVFLTLIINGSTTQFILHFLGMNKLSSAKRRILEFTKYEMVNKALEAFGELGDDEELGPADWPTVKRYISCLNDIEGERVHPHGASESDSNLDPMNLKDIRVRLLNGVQAAYWEMLDEGRITQTTANILMLSVDESIDLASSEPLCDWKGLKANVHFPNYYKFLQSSMFPPKLVTYFTVERLESACYICAAFLRAHRIARQQLHDFIGDSGVASAVINESVVEGEEARKFLEDVNLSYPQVLRVVKTRQATYIVLNHLLEYVQNLEKAGILEEKEMIHLHDAVQTDLKKLLRNPPLVKLPKISNIHPMLDALPSSVRGPLVSGTKESMKLRGLTLYKEGAKSNGIWLISNGVVKLESKMIQSKHPFYPTFTHGSTLGLYEVLCGRAYICNVVTDSVVFCLFVEASKIISCLKSDPSMEDFLWQESAIFLSKLLLPQIFEKLTVKDLRALIAERSEMTMYIRGETIEIPYHSVAFLLEGYVKTQGCQELVTAPVALVPSHGNRSFQNLSVSGTKEASFIHQGSCYLVETRARVIVFDISAFETDAALVKKSSSRLSHVTDHPHRSFRIEHSGLMSWPEHFYRQNQHKQSSEQQTNSLSARAMQLSIYGSMVDIPRPGRSLLINRAKPSLQSLSYPTIVPPQGRRPLVSVKSEGAATGRKNVGVKWITQDVTNLPSQSTDRRVHHEDDSSDDSAVEEDIIVRIDSPSTLSFRES >CAK8577551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:573465854:573480424:-1 gene:gene-LATHSAT_LOCUS29649 transcript:rna-LATHSAT_LOCUS29649 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDSKIPRRNLGKQEEIENVDPSDSWSSGTNPRCMEASRPPLNTIQDTDASSGSSKIEKTPSKTNKRKVGPELRTPEKSLHWKHRFAWPQRMETVSSMIEDRRGSGIGNGTPKVNRTAGRACSESNSTYSTPTKSVTKPPPSSSVRGKADGSFSARLGNYGTLYKGVSSTSCTPTTVVNTVEVPHFDLKEDSSFWINHNVQVIIRVRPLNSMERSIHNYNRCLKQEGSQSITWIGQPENRFTFDHVACETVDQDMVFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLDVKPSPHRGMTPRIFEFLFARIQAEEESRRDENLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEAQSVGDILRLLIQGSANRKVAATNMNRESSRSHCVFTCVIESTWEKDSTTNYRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVANGKQRHIPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSSGDVIALKHQIRLLKEEISTLKRCQNVSRSLSFRDIKQSVEDYSLENETDMAEQHDDDMLDYESKGTRMSHKQFESLQTTLAGALRREQIAETSIRQLEAEVELLNSLVHQREEETMSCKMMLRFREDKIRRLESRVAGSITADQFLQEDNKALSDEIQLLQGKIDQNPEVTRFAKENIRLQEQLRRYEEFYGEGEREILLNEVSSLREQLLQFLGGKSVQGDSSYETQPQKTQCCSKQNDSIYLELRNALDKLEEYRHNLNCCLEENARLNRELDSLQSMFNNKDATKVSPPKMVAVKHEPQLLKETDDILDLHLELDILKIILKEERTSRGILEERATHLNHEILMGKDKLLQANKQLEDTDNELKVAKSVIEALESQQTLSIKEIEDMQNKNNNYLDLVRKQEREIISLKNQLAPKGLRDSLSSNHPKVDNEYPLQVRFRRMHDSLEKAKQLNMFYQSDRALQISNEEEMDEVRRQAEAETAEVIVSMQEELAQLQHQVNDSHQKEIEMKESILHLETELKDVQEKLLTTVDDNQSLSEKLWQRDNALKSLVEEWELLTSEIEEILGDGCQALDDASDELGHISNSLPQKRIWISEQVSMMVRKISEKELLIDELGRCLEDACNKRSDMECMLKSLRSATLVITEAHQKESAELEEEILLLTSQVSERTTALKQMEEQLILAGDQIRKTSSCATVAFVVVNRLSDVNDRYLVDLKNKDNLLNELAEISDRKDAVLIDQSTSLEQAKRQIAELQEQLILAGDQIRKTSSCATVAFVVVNRLSDVNDGYLVDLKHKDNLLNELAEINEKKDAVLIDQSTSLEQAKMQIAELQEKCDNLWQKLSEEKEHSFALEHKLEDIEKSVISETREQLVTLQDGVSSIRSSMASFADNGESRTSSETHHQIAEMADLSFKLANSGYDKKDRKARNVSKDAYERDATITLLRKEIESALESLKEVQDEIAKLHEEKKEMSICEKQSRESIKCLTTQILSLQEAMGHFEEQSEAKVEVLSCKLRNLEKTLKEAMSYWNQTKELLELEVGEAKIVQVQKAEEAYCIHAKFEEAQETMKEADIMINELVIANQSMKIDIEKLKEREVTLLSEKDELFNKVESLQTVVDLKQQEMEDLVESNLIETRDLVMEVDDVIKEVHLAMKENFTSLACDIESLKSQFICSTKLIQPWLEKIWSEIVNKDCAMSVLHLCHMSVLLETVTGMHAENGLLSHGLCESNAVISDLKEHNFRAREELEMCRILKGKLLADIQNSFDRITRKEVEAGEITVKLNTFAKNISDLQLQEEMMLQRSNEMGSQLATLMKELDLSNTDLVVSLLDQEKLLKQKVEDTESQAEFLLADWYAKDFELLTHASEFSNMACNISDMEEHFVKYSTLIEQLKTETIFFQVETELAAQILMDKEVDLSLLKQEVQQEKVEKENLLMELKQNSLRIREMGEVNEALGQKIDLLKDKEVDVSLLKREVQQEKVEKENLLMELKQNSLRIREMGEVNEALEQKIDLLKDTESQAEFLMADCYTKDFELLIRASEFRIMTYNISDMEDRFVKYSTLIEQLKKETICFQVDSELAEQILMDKEIEVSVLKREVQQEKEEKQNLLMELKQYILRITEMSEVNKVVEQNIELLKDVSCSNVALKGKLVEVKESEKRLLDKIRDLEVDYDRVIGDVIAKNVVSEFAFHQILFLEDQIRELKSTNYILENSCCKLKNELHLRDLEITRIQSLMELELSRKQDVIKGLLYDLSLLQESASISKDQKDEMEEMMDTMEELESELAVKSGELTSVVAKCQLLETQLTDKSNIVTALELELSKECEVVKLQVSEIHELRTHIKDALAERKLAEEDLKERKKITESLEHEISEMSDVLGQMNDSIKNLSVDRDGLTIQRDQLQGQVILLEERFEKAVAQAEANEAIAQDARKMAEARKVYAEDKEAEVELLERSVEELESTVNVLEENVDFIKGEAERQRLQREDLEMELCALKEQMQNLRNADDDTKRFLDEKEKSFEEAQNHIQVLKSDIAGKDAEVVQMKAHISELNLHAEAQAMEYKQKFKALEAMVEQVKPEGISTQSTNALQSTNALSNKSEKNATKSRGSGSPFKCIGLGLAQQVKNEKIEELSAAKLQIEELESQAACRQKEIFALNARLATAESMTHDVIRDLLGVKLDMTSYVSLLDNHQVQKIAEKAQFHTLEPQDKEQEVTKLKRQLNEFIEERNGWLQDIDRKQTELVAAQSALENLRQLKNENELLRMENASKMSKVIELEEEIKKLSGQQNLQQRIHHHAKIKEENNTLKMQNEQLSAKLRRSNHFMTRVQEDIARLRASTGSKQYIDFDQEQRLRKNVKETEEEKIQLAQQLLRLCTNVLKAAGIAKPVSDVNPTIAEEALDKLKNRITSLEMEQEDLKFKNKIMKEKIRLSELMPQASPLSSKSEENRITPPKANKTSFLSSFDRY >CAK8540409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558749374:558749610:-1 gene:gene-LATHSAT_LOCUS9414 transcript:rna-LATHSAT_LOCUS9414 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGKKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPP >CAK8536422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940305411:940310654:-1 gene:gene-LATHSAT_LOCUS5791 transcript:rna-LATHSAT_LOCUS5791 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPHPNDDVEPYNIIPLHNDLITDHPSLRFPEVRAALSALRTVGDLRLPPRWQPHMDLLDWLALFFGFQNDNVRNQREHLILHLANAQMRLNPPPDNIDSLDSTVLRSFRENLLRNYSNWCSYLAVKPCVWLPSATNSDHRRELLYVSLYLLIWGESANLRFIPECICYIFHNMAMDLNVIFQNQQTDYDDYTPSYDPQKGFLDSVVKPIYETVRFEAEINSGNGTEPHAKWRNYDDINEYFWTKRCFSKLQWPIDAGSSFFIGNRVGKTGFVERRSFWNLFRSFDRLWVMLILFLQAAIIVGWTDRDYPWRVVLEDRDVQVRLLTVLFTWSALRFFQSLLDITMQWRLVSRETKMLGVRMVLKVIVAAGWIVVFAYFYDKVWLQRNHDKNWSVEANKRLTTFLEVAFVFVIPELLALALFILPWVRNFMENRNWRIFYMLSWWFQGRIYVGRGLSQGLVDNIKYTLFWAVVLASKFSFSYFLQIHPMIAPSKAVLDLTNVDYHWHEFIKKNNVFALGLLWIPVVLIYLMDIQIWYSIYSSLVGATVGLFAHLGEIRSMQQLKLRFQFFATAVLFNLMPEEQLFNGRGTLSSKVKDAVRRMKLRYGLGKPFKKLESNQAEAKKFALLWNEIILSFREEDIISDKEVELLELPNNAWNVRVIRWPCFLLCNELLLALNQAKELVDSPDRRLWRKICKHEFRRCAVVEAYDCIRYLLLEIIKPDSEEHSIVTVLFQEIDHSLEIGKFTKVFKTTALPQLHNKLIKLVELLNKGKKDPNQLVNTLQALYEIFIRDFFKEKRNNERLKEDGLAPQNPVSSTVLLFENAIQFPDTMNQNFYRQIRRLHTILTSRDSMQNIPINLEARRRLSFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVVYSKEQLRTGNEDGISTLYYLQTIHEDEWKNFLERMRREGMMKDKDIWTDKLRELRSWASYRGQTLSRTVRGMMYYYKALKLLAFLDSASEVEIREGSRELISLNQDSSDGINSQKSPSSSRASSSASLFRGHDYGTALMKFTYVIACQIYGTQKKRKDPHADEILYLMKNNEALRVAYVDEVHTGRDEKEYYSVLVKYDQQLEREVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFRHYYGIRKPTILGVREHIFTGFVSSLAWFMSAQESSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFITRGGISKASRVINISEDIFAGFNCTLRRGNITHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDIYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYAFLWGRLLLALSGVEAAMESNSNSNKALAMILNQQFLVQIGLFTALPMVVENSLEHGFLPAVWEFLKMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGLILLIYASHSVVSTNTFVYLAMTISSWFLVASWMMAPFVFNPSGFDWLKTVYDFDDFMKWIWYRGSVFAKAEDSWEKWWYEEQDHLKVTGFWGKLMEIVLDLRFFVFQYGIVYQLDIAAGSTSIAVYLLSWIYVFVVFGVYVVVAYARNKYDAKQHIYYRLVQSIVVVLAILLIVALLEFTEFKFMDIFTSLLAFIPTGWGMLLIAQVFRPCLQHNVIWHGVIALARLYDILFGIIVMAPVATLSWFPGFQAMQTRILFNEAFSQGLRIFQMVTGKKSQS >CAK8543286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595890289:595893398:1 gene:gene-LATHSAT_LOCUS12053 transcript:rna-LATHSAT_LOCUS12053 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPLFIFQIILFLLTQSLLATSHSPNPTPVSFSVTEFGATGDGVHYDTLSIQSTIDSCPSGLPCRVTFPAPGKYLTATIFLRSGVILNVETGATILGGTKLEDYPKESSRWYVVLAENATDVAIEGGGVVDGQAEKFVVRYNPRKNVMVSWNQTGSCLGDECRPRLVGFLGCKNVRIFNITLNQPAYWCLHLVRSENISVRDIAIYGDLNIPNNDGIDIEDSNNTVITRCHIDTGDDAICPKSSTGPVYNLTVTDSWIRSKSSAIKLGSASWFEFKHFVFDNITIVDSHRGLAFQIRDGGNVDDIVFSNINISTRYYDPLWWGRAEPIYVTTCPRDSTSKEASISNVRFINITANSENGIFLSGSKRGLLRNLSFINMNITYRRFTGYAGGLLDYRPGCQELVKHKTAGFMMEHIEGLEVRNVEMRWENNEIEQWNNPMEFKPSTVNNIYFSNFNSVLYSNSKSS >CAK8572857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567781359:567781789:1 gene:gene-LATHSAT_LOCUS25372 transcript:rna-LATHSAT_LOCUS25372 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLYQLGLTLTEDKIKQLTLIELKTHLQNYGCSLKEFKTMPYPKDFVINFLSNKLIYNERQYNPNEKNEIFHGLFTSLTDKQRDIFNEIIETVQTQQGGVFFMYGYGGTGKTFM >CAK8568799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641832389:641832724:1 gene:gene-LATHSAT_LOCUS21723 transcript:rna-LATHSAT_LOCUS21723 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILAKSDKLSIEIKNSGYLPMTKSVLQDVEEQDKEQILCGHSEKLAVILGLIHTNPGQSLHVIKNLRICDDCHAVIKIISRIEDREIFVRDTNRFHHFKDADCSCGDFW >CAK8532565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:297291699:297292454:-1 gene:gene-LATHSAT_LOCUS2257 transcript:rna-LATHSAT_LOCUS2257 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTNISSTSQPSSSKPSTSSNTTLPNLEVAHDMSVFNEELRLAASTPKKRAGRKKFKETRHPVYRGVRRRNLDKWVCEMREPNKKTKIWLGTFPTAEMAARAHDVAALALRGRYACLNFADSAWRLPVPATTETKDIQKAAARAAEAFRPYNKTLESDEAFRPDKTLELDEAFEPNKTLTTNDIDTTVASATEEQLMFRMEEEEEEKELSIPEMLKNMALMSPTHSLGHEDEHNEADFEDVEVSLWSFSI >CAK8539115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504171602:504172867:1 gene:gene-LATHSAT_LOCUS8243 transcript:rna-LATHSAT_LOCUS8243 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSFVRILIGNLAIKSPASSNPSFSGKVHPSSSPCYCKIKLRGVDSPPCHVATVPLISESETHPHSLAASFDFPKSQLSNIKNPFIKISVYKTRTNPSCVFNSSKLLGQISIPLDLSLSESRPCSFHNGWVALTKSNNNKTETQTQAQLLHLTIRSEPDPRFIFRFDGEPECSPQVFQVKGDVKQPVFTCKFSFRDRNPVQFHAANHPMVADRKGWSITIHDLSGSPVACASMVTPFVPSPGSQRVSKSNPGAWLIIRPDGDGTWKPWGRLEAWREPGNSNGVGYRFDVLPATADPVTLAACTINSQHGGKFTIDVTPGVTPASTPHGSWDLGSGTSSRPGSGSGSDFSLENQFFYRGFVMSARFDGEKKCSKPEVEVGVKHVTCAEDAAAFVALAAAMDLSMDACKSFSQKLRKELRQ >CAK8569762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9876556:9879869:1 gene:gene-LATHSAT_LOCUS22576 transcript:rna-LATHSAT_LOCUS22576 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQPVAPPSSRSSCFVRGSLHFCRALSVGSAPKHTSPPFICNASWQELAGVLLFSAIPFTAVKAIANSPLGDSLQTKMEQTKKFALQNSSKFKAQALKARKMSFWYGEDRPRWLGPISYGYPSHLIGELPGDYGFDIAGLAKDPVALQKYFNFEILHARWAMLASLGALIPELLHLLGAFHFVEPVWWRVGYSKLQGDTLDYLGIPGLHLAGSQGVVVIAICQVLLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLSLSKDPEAFEDLKVKEIKNGRLAMMAWLGFFMQAAVTGKGPVQNIMDHISDPFHNNLLGSLNSMKLL >CAK8571949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495960560:495961105:1 gene:gene-LATHSAT_LOCUS24564 transcript:rna-LATHSAT_LOCUS24564 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTNIDWKLMIDMKFDSSETTFQFWHAYGAHGGFGVRKHYVNKNKKNGSISSYRFVCCEEGLRHEDKRDAFVNSRRVETITNYKARISIVLKNEKFIIRKFIEDHNHFMQQLETTYMLASHRKITEVQTYEIDLVDDSGLRQKSTFQLMCTQARHSSNLEYTRLGINFLSQYKKTKKHGV >CAK8573997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653389639:653400550:1 gene:gene-LATHSAT_LOCUS26390 transcript:rna-LATHSAT_LOCUS26390 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIQYDPIYSLCKISSPFRRFPRATAPFRIRAFSTAVHDKPSICTADELHYVSVSNSDWKLALWRYHPCPKAPPRNHPLLLLSGVGTNAVGYDLSPQSSFARHMSGQGFETWILEVRGAGLSVQGLDSKDIEQSAHAMSEKMEDMVENATNGSISSKKELDNISGDVSSSALEVETENVAVVGDLARFATAWDESKLVASLTETFTRLSERVSGFLGESQVKVMSAKFFDQISKLLVDSQLYEQFNEVRGKIATLLETRQNSGITSQITELSEKLVDIIEAGQLSVSPPLFDLQASFTSTIEDFQKQLDLMAKYNWDFDHYLEEDVPAAMEYILKQSIPKDGKLLAIGHSMGGILLYSMLSRFGSEGKESRLAAVVTLASSLDYTSSKSTLKLLLPLADPAQALSVPVVPLGALLVAAYEVSSRSPYALSWLNTLISAEGMMDPDLFKRLVLNNFCTIPAKLLLQLTTAFRERGLCNRDGTFFYKDHLHKIKVPILAIAGDKDLICPPEAVEDTVKLIPEHLVTYKLFGDPEGPHYAHYDLVGGRLAVEQVYPSIIEFLSCHDEK >CAK8574171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667257526:667259784:-1 gene:gene-LATHSAT_LOCUS26542 transcript:rna-LATHSAT_LOCUS26542 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCGREGVGGAVRQYIRSKVPRLRWTPELHRCFVTAIHTLGGHQKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGEMGRQGRNQCFEEHDDGCVDEVNEHSCSKLMREESDSFLGNSNIFSKRARIERSSITTTSLVQRRERRRTCGDDDDDGGAVTITNPYCFYDHELLHKKKGIKDEEKFYGWQRKIQPHFGITSFKSPNQPSHFSQVGNGNEKKRGSWSMKGVMETDKAHVEVESEGGDELSLSLTLQNGSAQTSNGCYSASEISEAISCWTTPSKDCYRTSSSVKAKINLDLSLAICGN >CAK8534102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694986612:694987373:-1 gene:gene-LATHSAT_LOCUS3672 transcript:rna-LATHSAT_LOCUS3672 gene_biotype:protein_coding transcript_biotype:protein_coding MSWERVSGRKVRSGSFSVWKKNKGKGASSGEITTSFFVIEFSENMNAKDLFEVFKVYGVISEVFISAKRDKRGNRFGFAKFRKVHDPRILACNLDSIVLEGKKIYVNIPRFSKENKRHLPVSEKNKRHLPDCENLRSNIQTGCTRNFLKPNIQVRSFAKVVRGDYPKFVDRAKVGGQCTKHKLDDEWSRRLNRMRVGEVMKDGNAFNIQKLIFEEGYFNIKATPLGASLCLLEDTSGGDLEGFIKEARVWLDI >CAK8565227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:76968175:76970033:1 gene:gene-LATHSAT_LOCUS18459 transcript:rna-LATHSAT_LOCUS18459 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNRNMVAISLYRGNLHRTPDLPRRWLMPNPKISLKDFKSLLARRSKALSRSSNTDPNPNPPSTATNHKQLQLSNQPPELVDSNKPLHGSDFENHAFDKPSDAAATSIEKHTHPVIGNVDMHHDRDKRKKEVEDKLQVLNAKKHSLVLVLKQILNAEEDLKRRNSLQQQGVVIRGPSVPPKADGTNDTGSDTKYLALRLGSEGNLGDLDGVEPDDFTNHNHNMQHSQLVLPTSSMSPSSESPLRRTPTVQQNMVSNPARASFMSAGSPSCFAHSGQQGNPPNLPSASVSGTSYIASSPSPAASGGTSVYRDARHPSPWK >CAK8565228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:76968196:76970033:1 gene:gene-LATHSAT_LOCUS18459 transcript:rna-LATHSAT_LOCUS18459-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYRGNLHRTPDLPRRWLMPNPKISLKDFKSLLARRSKALSRSSNTDPNPNPPSTATNHKQLQLSNQPPELVDSNKPLHGSDFENHAFDKPSDAAATSIEKHTHPVIGNVDMHHDRDKRKKEVEDKLQVLNAKKHSLVLVLKQILNAEEDLKRRNSLQQQGVVIRGPSVPPKADGTNDTGSDTKYLALRLGSEGNLGDLDGVEPDDFTNHNHNMQHSQLVLPTSSMSPSSESPLRRTPTVQQNMVSNPARASFMSAGSPSCFAHSGQQGNPPNLPSASVSGTSYIASSPSPAASGGTSVYRDARHPSPWK >CAK8563881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636774437:636779298:1 gene:gene-LATHSAT_LOCUS17243 transcript:rna-LATHSAT_LOCUS17243-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMHQKSKVKDQRIKTVPFYKLFSFADSWDYFLMIVGTISAVGNGVSMPLMTIIIGDVVDAFGGNANNKQLVHQVSKVSLNFAITGACAFFAVFLQMACWMITGARQTARIRALYLKAILRQDIGFFDKETDSGEVVGRMSGDTVLIQEAMGEQVAKFIQHMSCFLGSLVVAFIKGWLLTLVLLSTLPLLILSGSIMSFTFVKMASRGQAAYSEAATIVERKISSIRTVVSFTGEKQAISQYNQSLTKAYKIGVQEGLAIGLGLGSVRLFVYCTYILTVWFGGKMVLEKGYTGGEVISVFFAILTGSLTLGQASPCLTSFAAGQAAAFKMFQTIKRKPEIDAYDTSGQKLDDIRGGIEFREVCFSYPTRPNEMILNAFSISISSGTTAALVGQSGSGKSTIISLIERFYDPQGGEILIDGINLREFELKWIRRKIGLVSQEPVLFSCSIKENIAYGKDAATDEEIRDAAELANAANFIDKFPHGLETMVGEHGAQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQETLDKIMRNRTTIIVAHRLSTISYADIIAVIHQGKVVEKGTHAELTKDPDGAYSQLIRLQEIKRDSSEQYGANDSDKLENSADSGRGSSQRSLSRGSSEIGRSSRHSFRVSTSIPTTLVGGSEVVTSAATTSPKSKTQNVPFFRLAYLNKPEIPVLLVGTIAAAVTGAMLPILGLLVSKMIHTLFEPADKLRKDSKFWVLIFVSLSMVSFTFHPIRSYFFAVAGSKLIKRIRLMCFEKIIHMEIGWFDKAENSSGALGARLSTDVASMRTLVGDALGLLVQDISTVITALVISFEASWQLSLIILALIPILFASGHWQKKYMQVFNTDAKKLYEEASQVANDAVGNIRTVSAFCAEEKVMELYQKKCVVPVETGKRQGLVSGTGLGLAIFLFFCFYACSFYAGAQLVAKGKTSMSEVFQVFYSLTMAATAISQSSFVAPGASKAKCSVDSVFAILDQKSEIDPSDESGMILEDVKGEIEFHHVTFKYPTRPDVHIFKNLSLIIYSGQTVALVGESGSGKSTLISLLQRFYDPDSGHIKLDGIEIRKLQLKWFRQQMGLVSQEPVLFNDTIRANISFGKGGNASEAEIIAAAELANAHNFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIVNSPRILLLDEATSALDAESEKMVQDSLDRVRMDRTTIVVAHRLSTIKDANSIAVVKNGVIEEKGKHDTLINMGGTYASLVALHTNSTASC >CAK8563880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636774398:636779298:1 gene:gene-LATHSAT_LOCUS17243 transcript:rna-LATHSAT_LOCUS17243 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVPEGSENVLEMADMHQKSKVKDQRIKTVPFYKLFSFADSWDYFLMIVGTISAVGNGVSMPLMTIIIGDVVDAFGGNANNKQLVHQVSKVSLNFAITGACAFFAVFLQMACWMITGARQTARIRALYLKAILRQDIGFFDKETDSGEVVGRMSGDTVLIQEAMGEQVAKFIQHMSCFLGSLVVAFIKGWLLTLVLLSTLPLLILSGSIMSFTFVKMASRGQAAYSEAATIVERKISSIRTVVSFTGEKQAISQYNQSLTKAYKIGVQEGLAIGLGLGSVRLFVYCTYILTVWFGGKMVLEKGYTGGEVISVFFAILTGSLTLGQASPCLTSFAAGQAAAFKMFQTIKRKPEIDAYDTSGQKLDDIRGGIEFREVCFSYPTRPNEMILNAFSISISSGTTAALVGQSGSGKSTIISLIERFYDPQGGEILIDGINLREFELKWIRRKIGLVSQEPVLFSCSIKENIAYGKDAATDEEIRDAAELANAANFIDKFPHGLETMVGEHGAQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQETLDKIMRNRTTIIVAHRLSTISYADIIAVIHQGKVVEKGTHAELTKDPDGAYSQLIRLQEIKRDSSEQYGANDSDKLENSADSGRGSSQRSLSRGSSEIGRSSRHSFRVSTSIPTTLVGGSEVVTSAATTSPKSKTQNVPFFRLAYLNKPEIPVLLVGTIAAAVTGAMLPILGLLVSKMIHTLFEPADKLRKDSKFWVLIFVSLSMVSFTFHPIRSYFFAVAGSKLIKRIRLMCFEKIIHMEIGWFDKAENSSGALGARLSTDVASMRTLVGDALGLLVQDISTVITALVISFEASWQLSLIILALIPILFASGHWQKKYMQVFNTDAKKLYEEASQVANDAVGNIRTVSAFCAEEKVMELYQKKCVVPVETGKRQGLVSGTGLGLAIFLFFCFYACSFYAGAQLVAKGKTSMSEVFQVFYSLTMAATAISQSSFVAPGASKAKCSVDSVFAILDQKSEIDPSDESGMILEDVKGEIEFHHVTFKYPTRPDVHIFKNLSLIIYSGQTVALVGESGSGKSTLISLLQRFYDPDSGHIKLDGIEIRKLQLKWFRQQMGLVSQEPVLFNDTIRANISFGKGGNASEAEIIAAAELANAHNFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIVNSPRILLLDEATSALDAESEKMVQDSLDRVRMDRTTIVVAHRLSTIKDANSIAVVKNGVIEEKGKHDTLINMGGTYASLVALHTNSTASC >CAK8570434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46150741:46153130:1 gene:gene-LATHSAT_LOCUS23181 transcript:rna-LATHSAT_LOCUS23181 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKEVVEKCDLAIAKWFIDASIPFNAANSPYFQPAVDALCCMGTGYKVPTMHALRGNFLNKWVDDVKIQLEQYRSIWKDTGCTLMADGWTDRCRRTLINFLVYCLKGTVFIKSVDASGASKIADTLFNLFKEVVLYVGPENIVQIVTDNAANYVAAGKLLEKEFPKLYWSPCAAHCINLMLQDMGKLEEVSGAVSHASKITKYIYNHCFALYLMRQNTDGREILRPAPTRFATNFIALQGILSHKYALRSMVTSKEWTTTTYSKDVKAKQFVEQVLDSSFWSTCVDIVKITEPLIRVLRIVDSEDKPAMGYLYRAMYKEREEIEKSFRRNKLKVEPYLRILDNRWDAQLHKNLHATGYWLNTSCRFNQEYEKHKSTTQGLLDVIEKYAYDSKDLRTKLTVEMSLFKNCEGSFGRTTAVENRDEVFPDQWWETYGTEAPNLQKLAIQILSQTCSASGCEGNWSVFDYIHSKKTNRLEHQKLNDLIFVRYNLQLQNKNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVANAIDFTADGFDIEEGDPNIEIILPPSN >CAK8577879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595411060:595416506:-1 gene:gene-LATHSAT_LOCUS29946 transcript:rna-LATHSAT_LOCUS29946 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAPEDETAILPESKRLKHGNENEDKLSDLPDCVILHILSFLNAKEVVKTCILSTRLKNLWKRVPTLVLHSTDFSTFKSFTKFVSKILSLRDGSIALQTLDFERVGSIEPHLLKRIVNYAFARNVQRFGMSVKGDICHILPCISSCRTLTSLKLSVSPKGRHNYGRTLFPKSLDLPALTSLHLGNFAFCASEKGRTEPFSAFNKLNSLVIDNCTVKDAQVLCILSETLVNLTMRNHSSDLYKIELVAPSLCMFAFSGTPYQKLCGSNLSSVKEVNIDAEMLSNYTEPPLVLLSWLLELANIKSLTVSASTLQVLSLIPDLLKDKLTSLCNLKSLKVQMKPLSYGLSMTLRTAKLQKESKAGSEPSSSIPDGIVDFLIQNSPSAEVDIIDCSRFGSSIDHLPPFPVSSIFPQFLQPSSRESVVDDLRQRIQQLEQAVLQVQLYIQLAHEEISRERDEMSAIQGHMTMLSKTLAALKRQMQTMGLWQ >CAK8533135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581976351:581978896:1 gene:gene-LATHSAT_LOCUS2783 transcript:rna-LATHSAT_LOCUS2783 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDARTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEARMQDIFGDSDDEDNEDMDVTPPIRAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHSVDYWVHKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8543893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:647751428:647752859:1 gene:gene-LATHSAT_LOCUS12616 transcript:rna-LATHSAT_LOCUS12616 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEICCCTLRTTYGLPCACELTGYRIDGIPIPIDVVHVHWRKLSMEVKLDEDVDDGSKVDMSSAIDELWKRFKSLDVVGKRALKSKVFELAFPTMTSMCPPPKKIKTKRGVKKKDKKPVGYDVYRDLLYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNYGFKVIASLHGLGEDGWSMIRRDLGLEIIHNKRSSFYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLLDMGYMIANRYNVVLVCLEIECWTFFPMTTSFSPNVAIYCIGFVNGNHWVQVNMKEGFPLPPVTIDWKNFRSLVATSWMLGFARRLQHWQQLTPILPTHYTL >CAK8569169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678456954:678458588:-1 gene:gene-LATHSAT_LOCUS22053 transcript:rna-LATHSAT_LOCUS22053 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIILIEGDSNQREDVEVDHGDGDESLWAPVIGMCFSCLEEVKTYYQEYALKKGFGWRIRSSKKGDDRELHYLILSCSREGSNISKISCTLKTLPSRAKNCPAKICIKLKQDGLWYITQFEENHSHETSPTKVRSFKANKKMNLHVRRTIQINDDAGVRINKTFKSLVKDARGHENIPFCEKDVRNYINKERRAIGKKGDGKALISYFCKMREQNTNFFYDIDLDDDFHVRNVFCANARSRAAYEYFGDVVTFDTTYLTNKYDMPFAAFVGVNHHGQSTLLGCGLLSSEDTDSFVWLFKSWLCCMHEKGPLGIVTNQCKAMKNAIELVFPTTRHRWCLWHIMKKVPEKLSGYGEVKRIKYAMKEAVYDAFTTDIFEQKWCSFIEKFDLHENDWLGGLYTERHRWAPTLLRKYFWVSMSTTQCSESIHAFFDGYINSTTSLNQFMKQYDNALRSRAEKEFEADFNSMDTIIPCGSNSSIEKQFQSEFTNAKFKEIQVEFRSKMNCSASLNSVKGCITTYHVLKEILVRDLLKERVLKVIHVIF >CAK8541869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419170315:419171376:1 gene:gene-LATHSAT_LOCUS10760 transcript:rna-LATHSAT_LOCUS10760 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRDRFVFVVWSGLLLFPCAYFAVGGWFTGTTFVTSWYDHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDLTCWCQLGGLWTFVSLQGAFGLIGFMLRQFELARFVQLRPYNAIAFSGPIVVFVSVFLIYPLGQSGWFFAPSFGVASIFRLILFFQGFHNWTLNPFHMMGVAGVLNAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVIANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLCAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMVAPDQPHENVIFHEEVLPRGYTL >CAK8564289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666240179:666242807:-1 gene:gene-LATHSAT_LOCUS17610 transcript:rna-LATHSAT_LOCUS17610 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFRAVDYVKPPSTTSHSLSPLTYLPPDRSLQLDGSFSGLRMPLSGEAALRRELEKEQIRWEILRRRELEEEVRRELAMERELGISIQRPLMNMQGLMPHWSSSTVMNPVSLAHFGASQPQSIFPPAEINPSPEISDKGKVIVLAKPDPELFNAKRKATTPPVSKNEPLAFSLKKKSKEEWSCALCEVKATSESGLNAHLNGKKHKAREAAQRRKIARRNKKSRKNVKATQTVVATTELGADAVKDQQLLQPCTALEVMNETVIDKGVEESKKEEQLVKTVTDNENITQSKNEEQLGETVDDNGGSVIKSTNEDKLVEMMISNDVIKFENGRLLVEKSQNVGSLENKKDAAIDEVKKINATESENETFVATTVGKNESESKIEEQLVETVADNGVSVIKSTDGNKLVEKKMVDNDVINFENGRLLVEKSQSVGSLESKKDAAMEEGKKISALTKRRKVEPLWCEICQISTFSKSVMEGHVKGKKHIKRMKKFGQNNVSPPSTLFVSQKPSRLIKDA >CAK8563578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617543032:617551094:-1 gene:gene-LATHSAT_LOCUS16973 transcript:rna-LATHSAT_LOCUS16973 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDQEFLLKGKWYHRKDVELKNSRGDVLQCSHYIPIVSAEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLTAVVNYLRNDGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKVRLPKFTVKFAIQYMRRAIQKKAKFDITDLNTIKVAKSCFVPALLGHAIDDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEAGESFFDPVNDYFGKDAWRSVHELGNNNESSSKNKEPSTSSTIDAIKEVRSRRPMSRMEVPSDISSKDEQCGNEEEKCSDTSPPASSMISFELSDGHPYAPHVLAALDDDQYVEYQLEDLAGFPSSAEEEERMLMEAVMESLKDLEVQNPKAEMPPASSSVPYVSVELSDKDNSHDSSQEISRPMEKESSLVEHKTDSKPKDISSALEESAPLNAEPNTVAVKHSLSLKSEPSLIGGVQLPLPQDNTLSITESSNTSGSARSDSSVSIQSSSDTDISHNTTATVTVVRNPAGHVMDGLMRRWDFNFFKNSNNR >CAK8540402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558126854:558128953:1 gene:gene-LATHSAT_LOCUS9407 transcript:rna-LATHSAT_LOCUS9407 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYIPEERSYLFCDEMDFSIDAFTRRRKPSVELENKSSCNFERDGFKSMEFVDLGFPDFLQKSFHGSKPMETSGAVHGSVQTSSCELESSNSSKRGNSSIHVIAFDSSFKEEDYESKHLSSLFESNNHDSSLIDPKLGRLLDCQGTSNDRDAKEFISMPIHQTVLSKRARTSSLPSQAPVCQVYDCNMDLSTSKDYHKRHKVCDVHSKTAKVIVNGVEQRFCQQCSRFHLVAEFDDDKRSCRRRLAGHNERRRKPQFDYMTSKQHQILQSYQGTNNFSFQDIFQSGILFSAKHDQISQSGHIKLEEEPICSPQLEPPATLGHELSSRALSLLSSQSQNPSRHTAGNPLATSLFHGIHGQDSDDQVSGPPLRISSIDKHEEDESLPLEINSKGVIKSENGVTVDLFQLSSHLQRVEQQRNSILVKWENEDFCFPIV >CAK8574775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8894195:8894551:1 gene:gene-LATHSAT_LOCUS27085 transcript:rna-LATHSAT_LOCUS27085 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRKQWIPRNVKKPEANVMSNKDEDDNQGPIEVGNVNMDIVQIRKGNASTTADESLSIRNKDVETVMEKWTEVIKSGIDRGKKTGNAGAAGVLSYDNGFDALEILKDLIEAQNTGQ >CAK8560755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:40214382:40214702:1 gene:gene-LATHSAT_LOCUS14406 transcript:rna-LATHSAT_LOCUS14406 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFRYYRSWMYDRTLLGRRGLTPNFEEGVKGFITCSFAQEYCRSEGGVKCPCLKCECRPIVSDPEEVERHLKMRGFIKNYWTWTFNGEQLPSNVLETTNTHASRS >CAK8575534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:154989521:154991477:-1 gene:gene-LATHSAT_LOCUS27791 transcript:rna-LATHSAT_LOCUS27791 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKGMHHNGVSQKGRPYLLILLMTFGAALFGVMVLHRFREKRIFNLLVKQKDHQLITLQLLLQKEKDRTKELSRKNQETKAKIYTLSSQKMEFARTIAGMKSTMNSLKDEQKLIESAFVVQQRELRRMKERGSNLEQRGFEKMASRENLKKKEAEKQDTLVKTTSSGVIINEHPTPIFDQTLAANVNATTEAQGKTQNDNQEKDENSKNGGDEDRSKLTEFKDGKVTAETQEEIKTNDKPEKKNDNSEDDGAAAKDTDAEVLEDKKEIIEEHQRKLEMNTDGERRDFNAKQLSGEKRKQGYLSKTKRKHRRTIVENKLMENNGISESHGEVNMSNRKVYRKDNNGTVVRDSDEERDRNNQREAGSQAKLLKTENHENSEHDSNNQREAESQAKLLKPENHEISEDHSNTTVVMTNLQVTDSGINNYKEEHEDGSVQQNMSRRRINNAEQQKSNMLHQESKEFEVSDLNKQEKDAGDDGEEDNTGSFLNESQSDFEDESEKEEYKEETDESEFQSGL >CAK8569529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4256490:4261272:1 gene:gene-LATHSAT_LOCUS22371 transcript:rna-LATHSAT_LOCUS22371 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEWGIQLQSRGSGKWVCSYKKITILVCIFNIAIALYCLRSLYASLYIYSGSVARNIVVYKPDQIRKMEESDRIRKSYKPVELMKWVKELKGEFSSQNMEDELPQHLKQKIIDEVLQRLVSLNSRSTNGSHSQVIAMEREAIENWRKQKLKEIKLVSVGGISNSTVSREEAGMLVKALESDWAVLAEEIGLWIPVEVTNEEHNDKPDGAEDSEEEVLPGRALPHQCKPELHTDYDGSAVRWGLTHHKDSAADCCQACLDHAKRAKEGEKKCNIWVYCPSEFGCHSPDIYQHKHQECWLKYAEKPKLNFKDRYPEWYRNSHPSAPVIVPWASGVISA >CAK8565133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44238971:44239690:1 gene:gene-LATHSAT_LOCUS18369 transcript:rna-LATHSAT_LOCUS18369 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFSSSPPIFSSSSTTTTTTTISKPLISFKHQHPLLTTTLTAATAAAIATILTTSPPSIAAESPSPPYNLYYGTAASAANYGGYGGNSNKKDSAEYIYDVPEGWKERLISKVEKGTNGTDSEFYNPKKKTEKEYLTYLSGFRQLAPKDAVLNNLALSDVNLQDIISTADNVSSEEVRDDKGQVYYVYEIDGVGFHSLISVTCANNKLYAHFVNAPTPEWNRDKDVLTHVHKSFKTVG >CAK8536993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:51601796:51603357:-1 gene:gene-LATHSAT_LOCUS6314 transcript:rna-LATHSAT_LOCUS6314 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAEKKPHAVLIPYPTQGHINPLLKLAKLLHIKGIQITFINTEYNHKRLLKSRGSNAFNGFTDITFETIPDGLTPTEGDGDVTQDISSLNQSIRKNFLKPFCELLSRLNESANIGLIPHVTCLVSDVMMSFTLQAAKEFALPNVIFFPASACSLLCTLHFRSFVEKGLTPLKDESYLKNGYLETKVDWIPGLKNFRLKDIVDFIRTTDPNDNRLEFFIDMADRLRRDSSSTIILNTFDELESDVINALFSVFPSLYAIGPLPLLLNQTPHHHQLASLDFNLWKEDTKCLEWLESKEPRSVVYVNFGSITVMTPEQLLEFAWGLANSKKSFLWIVRPDLVIGGSVVLSPEFVNETSDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSSTESVCAGVPMLCWPCFGDQPTNTRLICNEWEIGMEIDTNVKRDEVEKLVNELMVGEKGKKMRQKAMELKKKALDNTSPGGCSYMNLDKVIKHVFHKQN >CAK8570967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:202440157:202440366:1 gene:gene-LATHSAT_LOCUS23671 transcript:rna-LATHSAT_LOCUS23671 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQAQEELFAAHLKQQKIHHDKPVVEEDNDVEGLEGDASVRSKQIRSEKKSCKAMLNLGRDLLLVSAV >CAK8536847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28152287:28157387:1 gene:gene-LATHSAT_LOCUS6174 transcript:rna-LATHSAT_LOCUS6174 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTTDGPVLNLINKRLRALRKKLNRITSMEESVSQGKPLNKEQEEVLRSKPSVLVLIDELEKLRQPLSVALTEELDLALQNNRETLPENSVPNSVPAPHSAPNSEQRPNQNGDVVEDILNLLYFGSLFEVKTQNDFTSTMLTRTHERGCCLTYDYVTDDATDLLGEKDLDSISALFGLLISRPADSSFSHKNALRRCIEHAKLWVSRAQQPIDPNVDVTYAGLREKLDKIMSSEYFTTTPEMKAPVDVAAAAAGNYGSFQVPVHDSVVSVEVEGSDYQPEEKDERAANFQGQGSGDDPSDPEGEFQKDEVEAENAVEVASVQHEQANAQGDTEYNQADGEGKEQQNYPRRGGYQNQRGGRGGGGRRGYPNGRGGRGGGGRGFPNGRNQFYDQPGNYYPRNYYNNRGRGGRGGGYYNNNGAGGQVNHVAGDVGVQS >CAK8531837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:161774267:161775169:-1 gene:gene-LATHSAT_LOCUS1602 transcript:rna-LATHSAT_LOCUS1602 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYRQVSPERAKVWTEKPPKYHQNLKVPVVYYLCQNRQLEHPHFMEVPLSSPDGLYLRDVIDRLNSLRGRGMASLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNDYVLKGSELFDESNSNRFKPINDVKIQSVKLLSGPASCRSHDEASSSCSMNGKETRHSQDDELSQEQHTGSFDVSPESSAEKSDPVSLALTEQRGLLSLNKVLEKSMFVLRNFIQLFLMLLRFENDIDAGEEVVLMTTKGEAIALGIAEMTTATMASCDHGVVAKIKRLATENQRLVATHGVLRQELAGA >CAK8565558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:277427463:277430843:-1 gene:gene-LATHSAT_LOCUS18759 transcript:rna-LATHSAT_LOCUS18759 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKNGVNYILDIAFTKGMVEEEEILCPCAVCCNDIWEVKDVVYDHLCSKGFVKGYTEWIYHGEDESLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDAKKFYKLVDDAKQELYPGCEKFSSLSFTIRIYLLKCLHGWSNASFTALLELLKEAMPDLNIPVSFNKTKSMIKDLGLDYKKIDACPNNCMLFWKDHGKDDSCHICGASRWIEYPEVANDLEESIKAHKVSAKVLRHFPLIPRLKRLFMCSKTADTLRWHADHRSRDGKLRHPADAQSWKDFDAKHSDFSLETRNIRLGLASDGFNPFRTMSLSHSTWPVVLTIYNYPPNLCMKAENCLMSLLIPGPKSPENEIDVYLQPLIEELKILWDVGVETYDISKNQSFQMRAALMWTVNDFPAYAMLSGWSTKGKFACPACNHKTSSRYLKYSRKMCYMGHRVFLDSNHSWRSNSSSFDGKPEHRSAPSLLDSTMILKDLEKIPDVVGQKYQKKRPGPWKKKSIFWQLPYWKDNSLRHNVDVMHAEKNICDNIMGTLLEIEGKKKDHAKARLDLQHMGIRKKIHLKATSDGKKTQIPKACFSLTKQEKSIFCNVLKTVKLPDGLASNISLCVQVNEGKVSGYKSHDAHIILHYLLQVAIKGIAPNQVVIPLLRLCSFFRCLCQKVIDVKTLDYLEVEIAETLCQFERIFPPSFFDIMVHLPIHLANEVRLGGPVQFRWMYYMERYLGELKSFVRNRSRPEGSIAEAYLVKESLTFCSRYLSSAVDTRMNRMTRNSDDTPSIGHPIGGKKLISLDNKSLNQAHGYILFNCDEVQEYIREHEVNVHNLKKKRKPRKANNQREDFIQWFETRVIEEEVTEWLKVLSRGPNDVVRRYSGYVINGYRFHTTNREARLKTQNSGVTLEAVTQVIRNAKDENPKKICVTYYGAVKDIIELDYYGHEKYVLFKCDWFVDEKDKYGSPFVYFNKKCYKNDPFVLASQVQQCFFIEDPLNKNKHYVLNALPRETFDMGECLGSDAQEYDISTNLDTLKDDCEVDLVRKDVPDDIFEIPLSELHNQKSIESDHSDTSYESDDEIDYDSSTD >CAK8567745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541158886:541160096:1 gene:gene-LATHSAT_LOCUS20768 transcript:rna-LATHSAT_LOCUS20768 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIWVEFCLKSAHGLQHSTSLWKRQWYAVGWIDHNSKYCTKVVDSRNANPVWKTNFAVPVDESMPNIQDLALNVEVYCIDPIFKEKLHGSATIGLKKFLFKQVKNNEASMPKQEGVRSYQLQKKNSNKPRGFIDILIHISDGKKEPNSQPVGSKERTVLLDYGNNTQWTAEKGLRKGYLQKQPQEPIYQPENYEHTNVPDSYLVPFATTNYSDQYEGEPSYHTEAGPDGASYHTAAGPSYHTVAAGPSYQSHRTRTPPPSPPSYVGYIPTFLSKNGDLPPSFTDIPQSMEEPGQTVPPGVVLEISAEALAAGAAIFGDDFLSGFDVLQS >CAK8538786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496334612:496338964:1 gene:gene-LATHSAT_LOCUS7947 transcript:rna-LATHSAT_LOCUS7947 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKLPWLITTFLVFLTCLQPWTAKSPFHPRDLLPLMPKQISWPILNSLHSAVDLLPVFVGAVSSPVNTPDWKGACFYKNQAWIEFHNKTGSQFGGGTVHLKVSNAHSWTCLDIYIFATPYRVTWDYYFLAREHTLEIKEWDGRAEYEYVKNHGLSIFLLKAGMLGTLQALWEVFPLFTNTGWGENSNLGFLEKHMGASFETRPQPWVTNISTADIHSGDFLAVSKIRGRWGAFETLEKWVSGAYAGHTAVCLRDSDGKLWVGESGHENEKGEDIIAMIPWDEWWDFELNKDDSNPHIALLPLHPDIRARFNETAAWEYALSMDGKPYGYHNMIFSWIDTLTANYPPPLDANVVACVMTIWSQLQPEYAANMWNEALNKRLGTKGLDLSEVLVEVERRGSSFDELLTIPEQDDWTYSDGKSTSCVAFILEMYKEAGLFDPIASSIQVTEFTIKDAYILNFFENNSSRLPTWCNDGDTVKLPYCQIKGKYRMELPGYNTMQPYPHMNERCSSLPTKYSRAENC >CAK8563534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:613630994:613631527:-1 gene:gene-LATHSAT_LOCUS16933 transcript:rna-LATHSAT_LOCUS16933 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAILRSHDCLQRRYLPNDSLSSSSSPIRSQKNCSPNPNYKSNVNQNRRRNLSPVSAFPAAKQQDRRKSGERTFDKVAPVNLVLGKVKILKRGEKLTPEISYDSGLVVKAMDLKLDQPEPVAMKKQIRVSDSNLKDAIYAGSTIFSSPPPSSVPVPLFLRKNGVATSDLRRLLRLD >CAK8560608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28424476:28426755:-1 gene:gene-LATHSAT_LOCUS14269 transcript:rna-LATHSAT_LOCUS14269 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIYLLLLFSFLTTFLHFIIFTFSQADNYIIHMDLSAMPKTFSNQHTWYHSILSQVTTTNNYLNSSSSKILYTYTNVMNGFSANLSPQDHESLQNSPGYISSMPDIPLKLHTTHSPQFLGLNPYKGAWPASEFGKDVIVGVIDTGVWPESESFKDDGMTEIPSKWKGKLCQFDNSDHSSLCNKKLIGARFFNKGSLAKYSNLSTTIVNDSRDTEGHGTHTSSTVAGTKVDGASFFGYANGTARGIASMARVAMYKTTGSDGLAAPSDIMAAIDAAISDGVDVLSISMGAEEAPFYEDTLAIATFAAIEKGIFVSISAGNQGPFFHTLQNGVPWVTTVAAGTLDREFRGILTLGNGVSLTGLSLYPGNFTASNSSIVFMGSCDNITELNKVKSNIVVCEEKNGTVLSIQIYNMVTAKVVAGVFISNIPNINDFDNRIPSIIINPINGKIVQSYIKSHNSKSSTASISFKTTGLGVKPSPMVDSYSSRGPSKSCPYVLKPDITAPGSQILASWPINLPVANFENRDLFNKFNIISGTSMACPHVAGVGALLKRAHNDWSPAAIRSAIMTTSYILDNTKEHIKDIGNNKVSTPLSLGAGHVDPNRAVDPGLVYDVGVQDYINLLCALNYTQKNIAVITRSTSNNCSKPSLDLNYPSFIAFSNSKNSSSRIIQQFYRTVTNVGEGQTTYVANFTPIKGFNISVIPNKLVFSKKNEKLSFKLKIEGSINTQNDEVVFGYLTWEDGKHVVRSPIVVTTRNFSL >CAK8566768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461129240:461132523:1 gene:gene-LATHSAT_LOCUS19877 transcript:rna-LATHSAT_LOCUS19877 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDFKARIMCYKQDWTSGFRAGARILAPTTYIFFASAIPVISFGEQLERSTDGTLTAVQTLASTALCGIVHSVIGGQPLLILGVAEPTVLMYTFMYNFAKDREDLGHKLFLPWTGWVCVWTALLLFLLAILGACSIINRFTRLTGELFGLLIAMLFMQQAIKGLVEEFGVPKTQTEGTNQIALQSSWLFGNGMFALVLSFGLLFTGLGSRKARSWRYGTGWLRGFIADYGVPLMILVWTAVSYIPVNKVPRGVPRRLFSPNPWSPGAYSNWTVVKEMLNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLFCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRHKLVSTARTSMEKNMNLSQFYESMKEAYDVMQTPLVSQMPPTLGLKELKESTVALASSHGYIDAPVDEIVFDVNKDIDDLMPVEVKEQRLSNLLQASMVAACVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKLLEEHHATFVETVPLKAIALFTLFQTAYLLLCFGITWIPIAGVLFPLLIMLLVPVRQYFLPRFFKGPHLQELDAASYEEAPAIAFNMSFDDLSNHGTSVNVSGGEILDEIITRSRGEIRRTQSSKASSSTATPIGGDIRPANSPQISQMIPSPRVTALRGESSSIGSNGKELKLKQIP >CAK8560023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5102119:5112528:1 gene:gene-LATHSAT_LOCUS13742 transcript:rna-LATHSAT_LOCUS13742 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIVPDSVDSYWFENDKAEFVSLFSLTLLWSVDEVECDSETKVFLHGTTDNGIQKIHKQITGWRFELSCEKPEISVLLREKYWIALLKPKKCFESTIKSVLVTVSWLHFVKWNPEESRILIWNKVLTEFSTFDIPPSENDVLCHMALITEAAKRDADLTKSKYLLNFIGKTCSNEDFHEQDVHTTKKLTFIVESEEEEEDDKSEGEGELNVDGEQNIGYDTVCAICDNGGEVLPCEGRCLRSFHATIEDGRDSLCESLGYTSTQVNAFPNFYCDNCRYKKHQCFACGRLGSSDESSNPEVFPCVTANCGHYYHPECVARLLNPGIDTKQEEIKQKIAIEKTFLCPLHICSACRKGENRNVHDLQFAMCRRCPKAYHRKCLPKEISPVFDYYMGIEQRAWDNLLDKRILMYCLDHEIVPELGTPARNHLIFPDKDIKRKKISFKLLNKEKVGMTSNKSFEELLLNKTLAPKPTLTERSVPQSGNSSNVMEKICSKPDTHLSFEQPKKYLKVETMSASNRCLPNFDSKVPLKNDKLTCIPKLHEATSQQQRSVGRIEETSLKKPSYKKVKTSLEVRKADMEKRILSLMEEATSTLNMENFKKEQQVFNTSSSSTETVFHKNLTQGKVEGSIKAIQIALQKLDEGCSIEEAKAICEPEIVRQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEIVDRLHWYVQNGDTVLDFCCGANDFSCLMKSKLDQTGKSSCSFKNYDLFQAKNDFNFEKRDWMSIKPEELPDGSQLIIGLNPPFGVKGFLANKFINKALTFKPKLLILIVPKVTKRLDRKKGGYDLIWEDNEICSGKSFYLPGSVDTRDKQLEDWNLKPPPLYLWSRPDWTHRHIEIAQIHCHIKHDAYNNKVQEMTNYLMEENLDCYMEYPGLHAPGNFLSIFDGVPDDNGIPLEDGATYFP >CAK8560024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5102119:5112528:1 gene:gene-LATHSAT_LOCUS13742 transcript:rna-LATHSAT_LOCUS13742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIVPDSVDSYWFENDKAEFVSLFSLTLLWSVDEVECDSETKVFLHGTTDNGIQKIHKQITGWRFELSCEKPEISVLLREKYWIALLKPKKCFESTIKSVLVTVSWLHFVKWNPEESRILIWNKVLTEFSTFDIPPSENDVLCHMALITEAAKRDADLTKSKYLLNFIGKTCSNEDFHEDVHTTKKLTFIVESEEEEEDDKSEGEGELNVDGEQNIGYDTVCAICDNGGEVLPCEGRCLRSFHATIEDGRDSLCESLGYTSTQVNAFPNFYCDNCRYKKHQCFACGRLGSSDESSNPEVFPCVTANCGHYYHPECVARLLNPGIDTKQEEIKQKIAIEKTFLCPLHICSACRKGENRNVHDLQFAMCRRCPKAYHRKCLPKEISPVFDYYMGIEQRAWDNLLDKRILMYCLDHEIVPELGTPARNHLIFPDKDIKRKKISFKLLNKEKVGMTSNKSFEELLLNKTLAPKPTLTERSVPQSGNSSNVMEKICSKPDTHLSFEQPKKYLKVETMSASNRCLPNFDSKVPLKNDKLTCIPKLHEATSQQQRSVGRIEETSLKKPSYKKVKTSLEVRKADMEKRILSLMEEATSTLNMENFKKEQQVFNTSSSSTETVFHKNLTQGKVEGSIKAIQIALQKLDEGCSIEEAKAICEPEIVRQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEIVDRLHWYVQNGDTVLDFCCGANDFSCLMKSKLDQTGKSSCSFKNYDLFQAKNDFNFEKRDWMSIKPEELPDGSQLIIGLNPPFGVKGFLANKFINKALTFKPKLLILIVPKVTKRLDRKKGGYDLIWEDNEICSGKSFYLPGSVDTRDKQLEDWNLKPPPLYLWSRPDWTHRHIEIAQIHCHIKHDAYNNKVQEMTNYLMEENLDCYMEYPGLHAPGNFLSIFDGVPDDNGIPLEDGATYFP >CAK8568568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:618300914:618301651:1 gene:gene-LATHSAT_LOCUS21513 transcript:rna-LATHSAT_LOCUS21513 gene_biotype:protein_coding transcript_biotype:protein_coding METQSHEPLQNPQETQKYNTYEEEKQVKLDIVLNLNVPNNYCELGGSSDSNLVTTDDSSKTISNHYNRGSESSSESRFFSCNYCKRKFFSSQALGGHQNAHKRERSIAKRGHRFIGTHMMLPATGTRTTSFFQNHLHHGGANSNTFRPLGIKAHSMIQKPFHNFSSSGFGSTYYGYNGWARPLIMNQQTGIRKLGVETFKETGLSSHESVGRFKRVEEDMVNSETRLNLKSNQEEVKHLDLSLKL >CAK8575130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36387667:36388298:1 gene:gene-LATHSAT_LOCUS27417 transcript:rna-LATHSAT_LOCUS27417 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKLSLLPLFLLATLVLMFPMKKVEADLCEKFACSRSDPVCNDGCHCILSKGAEGGVCIRNKHVAKKVEEHPDLCESHADCTRKGSGSFCARYPNSDLKYGWCFDSNSDAEASFKNAFSSEFSNLKMPLEVSS >CAK8568246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585939766:585940364:1 gene:gene-LATHSAT_LOCUS21224 transcript:rna-LATHSAT_LOCUS21224 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNHVSRVFMQGFIFLVIASLASGSGSKASARRSILVGDSEGWRAGTNYTRWAIKNSPFRVNDTLVFKFPPPGNSTIVPSVYLLPNMWSYMTCEFRGAKLLGSAVQGVGEGLKIELNQLKPYYFASNEGNAYDCIAGLTKFVAVPSTRSF >CAK8531069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:77886854:77894439:1 gene:gene-LATHSAT_LOCUS884 transcript:rna-LATHSAT_LOCUS884 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFPLLLLSFFIFSIIMGSSWAFVSVEENSKFPRNFLFGTASSSYQFEGAFLSDGKGLNNWDVFTHKTGTILDGSNGDVAVDHYHRYQEDVDLMKDIGVNSYRFSLSWARILPKGRFGNVNKAGIAYYNRLIDAIIDRGIEPFVTITHYDIPQELEIRYKSWLSPEIQEDFKYYADICFKYFGDRVKYWVTFNEPNVAVICGYRTGVYPPSRCSGSFGNCSYGDSEKEPFIAASNIILSHVAAVDVYRTKYQKKQGGTIGIAMNAIWFEAFSNSSEDKLAAERAQSFYMNWFLDPIILGRYPAEMHEILGGGLLAFSKYDSEKLKNGLDFIGINHYTSYYVKDCIFSTCEQGKGSSKTEGFALTSAQMNGLTIGEPSALAWLYVHPQGMEKIVTYIKHRYNNIPMFITENGFGTAENSYPIIKDALNDVKRVEYLNGYLDSLAAAIRKGADVRGYFVWSLLDNFEWKHGYSIRFGLHRVDFATLNRTPRMSAFWYKNVIEEHKDKAGIRIGHTQER >CAK8565513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:251501617:251517166:-1 gene:gene-LATHSAT_LOCUS18722 transcript:rna-LATHSAT_LOCUS18722 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISAHQFAQCITCHAWSPDQSMVAFCPNNNEVHIYRLIEDKWEKVHVLLKHDQVISGIDWSAQSNRIVTASHDRNSYVWNLEGSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHDSSVTSVSWHPNNILLATTSTDGKCRVFSTFIKGVDAKDSKKSTSSDFKFGELIVQLDLSSSWTFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVVFRDLPLRDVLFLSEKTVIGVGFDCNPMVFAADERGVWSFVRYLGERKTVSSGSRYGSQFSEAFGKFYGQSKQGGSNDAVETSRTRGAVHDNCINSIMPLGERGILTKRFSTSGLDGRIVLWDLENEQDLLEL >CAK8579461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700744199:700753187:1 gene:gene-LATHSAT_LOCUS31407 transcript:rna-LATHSAT_LOCUS31407 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSETAPILKKQGFRSLKLVNADMNQLLSDEPVGVDFGTLDNGLRYYVRCNSKPRMRAALALAVRVGSVLEEEDERGVAHIVEHLAFSATKRYNNHDIVKFLESIGAEFGACQNAVTSSDDTVYELLVPVDKPELLSRAISVLAEFSSEIRVSKDDLEKERGAVMEECRGSRNAMGRLQDAHWVLLMEGSKYAERLPIGLEKVIRTVSPETVRRFYKKWYHLCNMAVIAVGDFSDTQSVVELIKTHFGQKIPAPEPPPIPTFQVPSHNEPRFSCFVESEAAGSAVMISYKMPANELKTVKDYRDLLAESMFLSALNQRFFKISRRKDPPYFSCSASADVLVRPVKANIITSSCRGKGTLEALESMLLEVARVRLHGFSEREISIVRALLMSEIESAFLERDQIQSTSLRDEYLQHFLHNEPVVGVEYEAQLQKTLLPHISALEVSKCSEILRTSCSCVIKTIEPRAFAVFDDLKNVVKKVNILEEEGKISSWDDEHIPEEIVPTKPNVGHVVKELEYSNIGATELVLSNGMRICYKRTDFLDDQVIFTGYSYGGLSELPESEYFSCSMGPTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKIGAYMRTFYGDCSPSDLETGLQLVYQLFTTNLTPDEENVKIVMQMAEEAVCAQDRDPYTAFTNRVKELNYGNSYFFRPIKKCDLKEVDPLKACEYFSKCFRDPSAFTVVIVGNIDPTIALPLILQYLGGIPKPPEPIMHFNRDELKGLPFTFPTVIHREVVRSPMVEEQCLVQICFPVELKNGTMVEEIHFVGFLSKLLETKIMQVLRFKHGQIYSVGVSVFLGGNKPSRTGLVRGDVSINFSCDPEISSKLANIALDEMLRLQEEGPTEQDVSTVLEIEQRAHENGLQENYYWLDRILHSYQSRVYSGDVGTSFEIQDEGRSKVRLSLTPSAAQLALQRILPYPCKKQYTAVILMPKSSPFKFLKAVFQSSRTNCGREAKILAGIAGLAVLALSLWKHSRSGHVNSRHLLGRATK >CAK8579460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700744199:700753187:1 gene:gene-LATHSAT_LOCUS31407 transcript:rna-LATHSAT_LOCUS31407-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSETAPILKKQGFRSLKLVNADMNQLLSDEPVGVDFGTLDNGLRYYVRCNSKPRMRAALALAVRVGSVLEEEDERGVAHIVEHLAFSATKRYNNHDIVKFLESIGAEFGACQNAVTSSDDTVYELLVPVDKPELLSRAISVLAEFSSEIRVSKDDLEKERGAVMEECRGSRNAMGRLQDAHWVLLMEGSKYAERLPIGLEKVIRTVSPETVRRFYKKWYHLCNMAVIAVGDFSDTQSVVELIKTHFGQKIPAPEPPPIPTFQVPSHNEPRFSCFVESEAAGSAVMISYKMPANELKTVKDYRDLLAESMFLSALNQRFFKISRRKDPPYFSCSASADVLVRPVKANIITSSCRGKGTLEALESMLLEVARVRLHGFSEREISIVRALLMSEIESAFLERDQIQSTSLRDEYLQHFLHNEPVVGVEYEAQLQKTLLPHISALEVSKCSEILRTSCSCVIKTIEPRAFAVFDDLKNVVKKVNILEEEGKISSWDDEHIPEEIVPTKPNVGHVVKELEYSNIGATELVLSNGMRICYKRTDFLDDQVIFTGYSYGGLSELPESEYFSCSMGPTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKIGAYMRTFYGDCSPSDLETGLQLVYQLFTTNLTPDEENVKIVMQMAEEAVCAQDRDPYTAFTNRVKELNYGNSYFFRPIKKCDLKEVDPLKACEYFSKCFRDPSAFTVVIVGNIDPTIALPLILQYLGGIPKPPEPIMHFNRDELKGLPFTFPTVIHREVVRSPMVEEQCLVQICFPVELKNGTMVEEIHFVGFLSKLLETKIMQVLRFKHGQIYSVGVSVFLGGNKPSRTGLVRGDVSINFSCDPEISSKLVDIALDEMLRLQEEGPTEQDVSTVLEIEQRAHENGLQENYYWLDRILHSYQSRVYSGDVGTSFEIQDEGRSKVRLSLTPSAAQLALQRILPYPCKKQYTAVILMPKSSPFKFLKAVFQSSRTNCGREAKILAGIAGLAVLALSLWKHSRSGHVNSRHLLGRATK >CAK8561107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:96001818:96006368:1 gene:gene-LATHSAT_LOCUS14728 transcript:rna-LATHSAT_LOCUS14728 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGFRLVAGCVPFRYKSCEDDSSSEKIVEVLLINSPSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLMDCLGCYEFRSKTHQDEFSPEGLCKAAMFSLFVKEELELWPEQNTRNRSWLTVSEALGSLRHAWMMDALECFCKWHEEKFVG >CAK8544817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707161121:707162998:-1 gene:gene-LATHSAT_LOCUS13465 transcript:rna-LATHSAT_LOCUS13465 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQHHLHGLPLNQHQTFLSSDTQILHHLKNGALSQAINLLNTCQPTLSLKPVIYASLLQTCVKTSSFHHGTSVHAHVLKSGLHSDRFVGNSLLTLYFKLSPGPHLSQARKLFDSLSIKDVISWTSLISGYTRSGLPHQSISLFHQMLTFPIQPNAFTLSSVIKACSQLNNLTLGRCFHSMVITRGFHSNTVINCSLIDMYGWSRAVEDARRVFDDLPEPDDVFCWTAIISTFTRNDMFKEALKSFYVMLRVRGLVPDAFTFGTVLTACANLGLLRQGKEVHAKVLGLGFCGNVVVESSLLDMYGKCGSVGHSRIVFDRLSDDKNSVSWTAMLGVYCQNKEYQNVLDLVRERGVVDFYAFGIVLRACSGLAAVNHGKEVHCKYVRNGGWKDVIIESALVDLYAKCGMVDFARTVFAHMEVRNLITWNSMISGFAQNGRGVEALALFEDMIKEEIKPDSITFVAVLFACSHAGLVDEGRRFFALMGEYGIKPVVQHYNCMIDLLGRAEFIEEAECLLENADCKYDKSLWAALLGACTKCSDYDTAERVAKKMIELEPNFHLSYVLLGNIYREVGRWDDAVEIRKLMEDRGVKKLPGKSWIDSENRKGSHVNVCTGKSDSSMQEAI >CAK8571162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:320124179:320124619:1 gene:gene-LATHSAT_LOCUS23852 transcript:rna-LATHSAT_LOCUS23852 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGPVQYRWMYPFERFIGVSKRAVTNKARVEGSICTDYIHRETNYFCSYYFNSFGLLPNTNICNNPRSDHDDILPTMSLLHSGGRPSGKFGNHYLSDKEWKSSHVHVLINCDEVKPYIA >CAK8542390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507636563:507638313:1 gene:gene-LATHSAT_LOCUS11231 transcript:rna-LATHSAT_LOCUS11231 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLYALDFDGVLCDTCEETAISALKAAKLRWPALFESVDSATEDWIVKQMIRVRPVVETGYETLLLVRLLLETRVPSIRKSSVAEGLTVEGILESWFELKPIVMEEWNENKDDLIDLFGKVRDDWLEKDFTGWIQANRFYPGVTDALRFASSRVYIVTTKQSRFADALLRELAGITIPPERIYGLGTGPKVEVLKKLQKLPEHQGLTLHFVEDRLATLKNVIKEPELDNWNLYLVDWGFNTQKERDEAAANPRVQLLELADFSSKLK >CAK8539218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506884746:506885308:-1 gene:gene-LATHSAT_LOCUS8333 transcript:rna-LATHSAT_LOCUS8333 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEVGYLYLIILSLILSHSSLRRYCIADDRLVNAIEFLDLGNGAGWELLQAMLNADFRKRPTAEAVLSHRFMTGEVL >CAK8577742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586042151:586042756:1 gene:gene-LATHSAT_LOCUS29820 transcript:rna-LATHSAT_LOCUS29820 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLPSSMLFFTIFPLLISLFFIIKWYSNNSATKKNLPPSPPRFPLVGNLHQLGLFPHRTLQTLAHKYGPLMLIYFGKVPVVVVSSADAASKVMKTHDLVFCDRPQRKIYDIMFYGSKDVASCAYGEYWRQVRSLCVLHLLSNKRVQSYRRVREEETVKMMKLIQEGSSRVNLSELCSTVTNDITYRVTLGKKYGEFIRK >CAK8543140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582762614:582762922:1 gene:gene-LATHSAT_LOCUS11922 transcript:rna-LATHSAT_LOCUS11922 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYNFFPTDLFYPRPQPQPSTASPTVLPLQTPNTQDHSQNQKQQQQQSDTTMIKPTPSTSSLVYTHKTQSFHVVDNNKSKLSPNPLSYMVWIDREDEE >CAK8562823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:543381580:543382049:-1 gene:gene-LATHSAT_LOCUS16288 transcript:rna-LATHSAT_LOCUS16288 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVYFYDMAISSKLGFLDLFVMFTFNPAWPKISSVLSNTTLKSYDRLYIITKVLKIKFDELMKDITKHHVLGKVLASIYTIEFQKRGLWHAHILIFLHPQSKYPIPFDIDNTICVEISDSKVHPTL >CAK8536538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953522644:953524387:1 gene:gene-LATHSAT_LOCUS5897 transcript:rna-LATHSAT_LOCUS5897 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLVVSKQGRQYEGKVTVFVLVTCLVAAMGGLLFGYDLGITGGVTSMEPFLVKFFPGVYKQMKDESEHESQYCKFDNELLTLFTSSLYIAALIASFFASTTTRMLGRKASMFAGGLFFLVGALLNGFAINIEMLIIGRLLLGFGVGYCNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILVANIINYFTSNLERGWRISLGVGAIPAILLCIGSFFLGDTPNSMIERGQKERAKKMLQKIRGIDNVDEEFQDLIDASEEAKKVQHPWKNLTQLRYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGNDASLMSSVITGGVNVLATFVSIFTVDKFGRKILFIEGGVQMFLCQIIVGSMIAVKFGVSGEGSFTHIEANLLLFFICLYVAAYAWSWGPLGWLVPSEICSLEVRSAGQATNVAVNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVLIMTIFIVMFFPETNNVPIEEMNKVWKSHWFWSKFVPDVVVDHDRKAVV >CAK8578948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663323860:663327261:1 gene:gene-LATHSAT_LOCUS30926 transcript:rna-LATHSAT_LOCUS30926 gene_biotype:protein_coding transcript_biotype:protein_coding MWAITCAFSAYPAHRVQNPVSTATVRYTPRLSIPVNPFVPEVVKAVDSLHSEFRAVDNLVAYNSTRVLKAFQNARLGSHHFGGSTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNALTSAVKPETKCALIQRSCGYSWRQSLSVNDIGRAIQIIKMQNPECSVMVDNCYGELVESIEPPMVGADLMAGSLIKNPGGTVAPCGGYVAGKKKLVEAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRCDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPIAGTSPGYASEVIFADGTFIDGSTSELSCDGPLREPFTVFCQGGTHWTQWGLVLGEVLKSI >CAK8538842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497675589:497677550:1 gene:gene-LATHSAT_LOCUS7997 transcript:rna-LATHSAT_LOCUS7997 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASMLFSCSMHVGVSNPKMSSKTSACCLLNRPWLPSRSMSVSSCGQFGVSEKSKLLCRAGALQVESAPLFSVGQKFQLDDVIEAQQFDRETLSAIFEVARSMENIRGNSSGSQMLKGYLMATLFYEPSTRTRLSFESAMKRLGGDVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATANIPVINAGDGPGQHPSQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYRDVKLYFVSPNVVKMKDDIKEYLTSKGVEWEESSDLMEVASKCDVVYQTRIQKERFGEKLNLYEEARGKYIVNQDVLKVMQNHAVVMHPLPKLDEIEADVDNDPRAAYFRQAKNGLYIRMALLKVLLLGW >CAK8535559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863504649:863505092:1 gene:gene-LATHSAT_LOCUS5006 transcript:rna-LATHSAT_LOCUS5006 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVGSQPPHKWLTLPDMGYMIANRYNVVLVCLGIECWTFFPMTTSFSPNAAIYCIGFVNGNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFAPRLTHWQQLTPILPTHYTL >CAK8530564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30403492:30405143:-1 gene:gene-LATHSAT_LOCUS421 transcript:rna-LATHSAT_LOCUS421 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLAFSKHCNRAIHHRCDTQLQTAGPVASLIQSRSVIRFRGPDTIKFLQGLLTNDIRKFGEPIGDKTANLPTPNVPTTSVPPIYAALLTPQGRFLYDLFLYKPPSSDTKLNRTGTGPASEPDEPFELFADVDASVLDELLATFTKYRLRSKVEIDNVAGEFSCWQRYGSGPPENSSDVEEPEAASVGWGAGEDEAAMSSSRGGNLGWQWFKDPRLTCLGFRGIFPSNIIPPLIEADKETGEENYLMWRLEKGVAEGSTEIPKGEAMPLEYNLVGLDAISFDKGCYVGQELIARTHHRGVIRKRIVPLRFQDNDGNEVVNKVIPGSEVINTASGKKAGLVTTALGCRGLGLLRLEEALKGSAALSIKGQEGVKVVASKPDWWPSDWAQDLHQHTAFA >CAK8541174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:80758178:80759909:-1 gene:gene-LATHSAT_LOCUS10113 transcript:rna-LATHSAT_LOCUS10113 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGILNNMVLLILVLLCFNGMVHVNSQKVPAMFVFGDSIVEVGNNNFLNTLAKSNYYPYGIDYNSVPTGRFSNGRSLIDFIANLLGVPSPPPFLDPSTTGSRILNGVNYASASGGILDESGRHYGDRLSMNRQIQNFQSTLNQYRTMTNPTDLNQFLAKSIVIVVTGNNDYINNYLLPGLYSSSSNYTAPEFANHMINNLARQMLALHTLGLRKIFIAGVGPLGCIPNQRATGLAPSGRCVDSVNQMVGFYNRGLRSTVEQLNRDHPGAIFAYGNTYGVFGDILNNPAAYSFSVVDRACCGFGRNRGQISCLPLQLPCLSRQRYLFWDAFHPTESAVYVFAWRAVNGPLNDVYPMNIKQMSLV >CAK8544830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707712140:707714370:-1 gene:gene-LATHSAT_LOCUS13478 transcript:rna-LATHSAT_LOCUS13478 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLFKLIEEEGYVYWSRKRDDSEVVRDIFWAHPDSVKLLNIFPIVLVMNNTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTETFCWVLDKLKQLFVKKELCPQVILTDRDFALMKAIEIVFPRSINMLCRFHINKNVGAKWKQYVASDMQKKIDELWTDVVWASDEVEYDQRLNQLEQACVDCNEFIDYVKDTWLTPHRQRFFGPWINRVLHLGNTTTNGVESAHWKLKQMLGNSIGDMVKCWEAINDNLKLQLSNIRASFQKSFYEVEHAHISPFYNNLRGSVSRDAWRHIAEELKRVDYVGTNKEICRCTLRTTYRLPCACELTGYRIDGLPIPIDAVHVHWRKLSMEVKLDEDVDDGSKVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMFPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDLASQSSQMQSQPSQTSKKLKLSHSSQKKSQPSQASKKLKLSQSSQLSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVITSLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPQHKWLILPDMGYVIANCYNVVLVCLGIECWTFFPMTSSFSPNVAIYCISFVNRNHWVQVNMKEGFPFPPVTEDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8564059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648587421:648589345:-1 gene:gene-LATHSAT_LOCUS17402 transcript:rna-LATHSAT_LOCUS17402-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLQLQETPPSSSSSSSSPPRHVSGALFFLLGGIVVLIILLILLCIFWKRIKRVPQELPEKKASPPSQSQNHEEVMKKIVPTNQQSDTGFMEFISGNLRTISYFDFHTLRKATKNFHRRNLLGSGGFGPVYQGKLVDGRLVACKKLSLDKSHQGEREFLAEVRMITSIQHKNLVRLLCCCSDGPQRILVYEYMKNRSLDLFVHGNTDEFLSWSTRFQIILGVARGLQYLHEDSHVRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSDMQYLPEYAWKLYEKSMVMNLIDPKLREKGYVEKDVMQAFHVAFLCLQPHPDLRPAMSQIVALLTFKIDMVTTPMRPAFLDRRRVMDDENHSWEVISEVLQTPVASDSML >CAK8564060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648587421:648589345:-1 gene:gene-LATHSAT_LOCUS17402 transcript:rna-LATHSAT_LOCUS17402 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLQLQETPPSSSSSSSSPPRHVSGALFFLLGGIVVLIILLILLCIFWKRIKRVPQELPEKKASPPSQSQNHEEVMKKIVPTNQQSGFMEFISGNLRTISYFDFHTLRKATKNFHRRNLLGSGGFGPVYQGKLVDGRLVACKKLSLDKSHQGEREFLAEVRMITSIQHKNLVRLLCCCSDGPQRILVYEYMKNRSLDLFVHGNTDEFLSWSTRFQIILGVARGLQYLHEDSHVRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSDMQYLPEYAWKLYEKSMVMNLIDPKLREKGYVEKDVMQAFHVAFLCLQPHPDLRPAMSQIVALLTFKIDMVTTPMRPAFLDRRRVMDDENHSWEVISEVLQTPVASDSML >CAK8564061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648587421:648589222:-1 gene:gene-LATHSAT_LOCUS17402 transcript:rna-LATHSAT_LOCUS17402-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPSSSSSSSSPPRHVSGALFFLLGGIVVLIILLILLCIFWKRIKRVPQELPEKKASPPSQSQNHEEVMKKIVPTNQQSGFMEFISGNLRTISYFDFHTLRKATKNFHRRNLLGSGGFGPVYQGKLVDGRLVACKKLSLDKSHQGEREFLAEVRMITSIQHKNLVRLLCCCSDGPQRILVYEYMKNRSLDLFVHGNTDEFLSWSTRFQIILGVARGLQYLHEDSHVRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSDMQYLPEYAWKLYEKSMVMNLIDPKLREKGYVEKDVMQAFHVAFLCLQPHPDLRPAMSQIVALLTFKIDMVTTPMRPAFLDRRRVMDDENHSWEVISEVLQTPVASDSML >CAK8575296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:72808046:72814996:1 gene:gene-LATHSAT_LOCUS27570 transcript:rna-LATHSAT_LOCUS27570 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLIGTGTGTPPGFRPPLASTTVGVGTTKKQRSSLLPSPSPSIPGTQTIFIKTFGCSHNQSDSEYMAGQLSAFGYSLSDNPDEADLWLINTCTVKSPSQSAMDTIITKGKSSNKPLVVAGCVPQGSRDAKELEGISIVGVQQIDRVVEVVEETLKGHEVRLLTRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVGRVKSVVSDGVKEIWLSSEDTGAYGRDIGVNLPNLLNAIVEELPADGSTMLRIGMTNPPFILEHLKEIAEVLRHPCVYSFLHVPVQSGSDAILTAMNREYTVSEFRTVVDTLTELVPEMQIATDIICGFPGETDEDFEQTVNLIKDYKFSQVHISQFYPRPGTPAARMKKVPSNVVKRRSRELTNVFEAFTPYLGMEGKVEKIWITDIASDGVHLVGHTKGYIQVLVIALDNMLGSSAMAKITSVGRWSVFGEVIETINHPSDNKALNKQVPNQDMSSLCCNQTKNCVISEEPESCACGNVDSCCSQSTLEKTDSPRDTVVLPQNQNSNKNLFGWILRKRKNLHKRVESELASGSDIKLEKNMKKWDFVDKALLGGISISILTIIALLVCLMFCEIWSQ >CAK8537258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:182725301:182725669:-1 gene:gene-LATHSAT_LOCUS6560 transcript:rna-LATHSAT_LOCUS6560 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGKLAFDIDFHPSDNLVATGLIDGDLHLYRYSPDNTNSDPVRVLEIHAHNESCRAARFINGGRALLTGSPDFSILATDVETGSTIARLDNAHEDAINRLINLTESTVASGDDDGCIKV >CAK8533513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:629444653:629444907:-1 gene:gene-LATHSAT_LOCUS3135 transcript:rna-LATHSAT_LOCUS3135 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVVRRSEEPQRSVVKYGECQKNHAANVGGYAVDGCREFMANGEEGTDGALTCAACGCHRNFHKRELVETESVSECSNGT >CAK8561373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:175000335:175001723:-1 gene:gene-LATHSAT_LOCUS14973 transcript:rna-LATHSAT_LOCUS14973 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPSAVTPRKSKLSRTVSKVLCVKSMSGIAQVEGLQNVKADEGNMTHSEEDEELEKKLVVEALVSRVFASISAIKASYAQLQYAQSPYDPDGIQDADKLLVLELKTLSELRQCWFKKQFHFDPSPEEAIVVAESKELKSVIKTYKIMGKKFESQTRLKDSEIMFLKEKLEEANKQNKSIEKRLNQSGSLSSLDNLHISGLSPTHFISVLRHTVKSTRSFVKLLVNEMRSAGWDIDASVNAIIRENVVYLKENHKCFAIESFVCREMFDSFNFPNFNLRPTEFLPDRNKTQFFFSNFNELKSVKAKEFLGSKPKSAFAKFCRAKYLRVVHPQMEASFFGDTKLRINTVNGGEFPDDNHLFTSFAEMAKRVWLLHCLSFSFEPQAEIFQVGKGCRFSDVYMESVVNDEEVAIAVECEPQVGFTVVPGFKIGKTVIQCQVYLSQVLQQKAQVKKSVSSMKQR >CAK8575749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:303417925:303418644:-1 gene:gene-LATHSAT_LOCUS27985 transcript:rna-LATHSAT_LOCUS27985 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTEISHFSHPQHKLRFEHNDIPFKCDGCKEIGIGSRYKCSICDFDLHTHCAIPSTSLYHPFYTKCTFQFMSTPPGNTPRYCNACEKDINGFVYHCKSCGFDLHPCCAKLPTVLNDGETKLYLYRKVSSPCHRCGRKGRSWSYRSNCKSYNLHVACVREMLVENWQQLYMGHGRKVDRDIPSLKNTMYAAAHARGSKGKKVKKCCEIAGFAVQIVISAVLGDPTALIAGVVGAFMSRP >CAK8536291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927294261:927294662:-1 gene:gene-LATHSAT_LOCUS5675 transcript:rna-LATHSAT_LOCUS5675 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYLPGTVRQLETLPIITEDGSQLGNKRKFHRLFWAFEPCIRGFTHCKPIVQIDGTWLYDKYKGTLLMDVAQDGNGNIFPIAFALVEGETKDGWSFFLRNLRMNMTPQANLCLISDRHPSIKSAYDDPKNGW >CAK8572660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553876592:553878731:1 gene:gene-LATHSAT_LOCUS25195 transcript:rna-LATHSAT_LOCUS25195 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQFPKLFVLTNVLLLSAFLVSTAQSQSNVSAVGDPGMQRDGLRVAFEAWNFCNEVGQEAPHMGSPRAAQCFDLSQGSLIDKVTEKDNKLGVGDPLPGVRPEDINNIDLYAVQKEIYLGSLCEVQDTPRPWQFWMIMLKNGNYDSRSGLCPKDGKRVPPFSPGRFPCFGTGCMNQPILCHQWTQLIDGLMRGGFNGTYDLDSSCGNSGLGNSNNNLSYYEVVWEKKVNVGSWLFKHKLKTSKKYPWLMLYLRADATKGFSGGYHYDTRGMIKTLLESPNFKVKLTLDIKTGGGSKSQFYLLDMGSCWKNNGAPCDGDVLTDVTRYSEMIINPETPAWCSPSGLGNCPPFHITPDNRKIFRNDTANFPYSAYHYYCAPGNAQHLEQPVSTCDPYSNPQAQEIVQLLPHPIWGEYGYPIKKGDGWVGDARTWELDVGGLSSRLYFYQDPGSSPAKRIWTSIDTGTEIFVSDKDEVAEWSISDFDVIVTQPRESLVTHVC >CAK8570619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75319640:75319951:1 gene:gene-LATHSAT_LOCUS23355 transcript:rna-LATHSAT_LOCUS23355 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8532857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:537876569:537877471:-1 gene:gene-LATHSAT_LOCUS2521 transcript:rna-LATHSAT_LOCUS2521 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQMAFTTLTIALTLLSLTLPSQANNYIYSSPPPPPKPYYYHSPPPPVHSPPPPYHYSSPPPPVHSPPPPYHYNSPPPPKKSYKYSSPPPPVYKYKSPPPPVYKYKSPPPPIKKPYKYSSPPPPVYKYKSPPPPVYKYKSPPPPTYKYKSPPPPAYKYQSPPPPPKKSYKYPSPPPPVYKSPPPPYKYSSPPPPPPYKYSSPPPPVYKYNSPPPPYKHISPPTPGKPFKFPPPPTPVYKYKSPPPPVYSPPPIYKYKSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYIYASPPPPYHY >CAK8563901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638083106:638084291:-1 gene:gene-LATHSAT_LOCUS17260 transcript:rna-LATHSAT_LOCUS17260-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPSSSTLGWHRVEVKGEVQTRKGLWWIPRHPETRKGVVSDEMLRGVEKKHRSEDSRIGQPFELLLNSRAGKRQPGELKHLSEAVECCTLDGESPVAESITSLYSDPSSMGHVKSRVNQQGPPCKAKYSLVTDSEVVAWLREITGVVAKASLHRAIVTAYGPEPGGEMPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSASETMGDKLHRREGNIPDHQLRPINDRSVIKEVGVHRQPGGLPRSIHPSKSA >CAK8563899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638082867:638083429:-1 gene:gene-LATHSAT_LOCUS17260 transcript:rna-LATHSAT_LOCUS17260 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSASETMGDKLHRREGNIPDHQLRPINDRSVIKEVGVHRQPGGERSALERSTRASRVGRSGSENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8563900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638082867:638083073:-1 gene:gene-LATHSAT_LOCUS17260 transcript:rna-LATHSAT_LOCUS17260-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKRSAEVVGCKNESVGERSALERSTRASRVGRSGSENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8536737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12045905:12046765:-1 gene:gene-LATHSAT_LOCUS6071 transcript:rna-LATHSAT_LOCUS6071 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSILIKLLLLLQLSILCASQQDFDFFYLVQQWPGSFCDSKKSCCYPTTGKPAADFGIHGLWPNYKDGTYPSNCDPNSPFEESEISDLTSSLQKNWPTLACPSGDGIQFWTHEWEKHGTCSESVLKQHDYFETTLNLKQKSNLLDALTSAGIEADGNSYSLSSIKEAIEKGVGFTPFIECNVDSSGNSQLYQVYLCVDTSGSNFIQCPVFPHGKCGSEIEFPTF >CAK8576735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510157244:510158757:-1 gene:gene-LATHSAT_LOCUS28907 transcript:rna-LATHSAT_LOCUS28907 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTITLITLLFLTPKGITGSSFTFVNRCDYTVWPGILANAGSPTLGSTGFELPQQTTRSFQAPAGWSGRFWARTGCNFDGSGSGSCLTGDCGSGTVECNGAGAAPPATLAEFTLGTIGQDFYDVSLVDGYNLPMIVEGSGGSGMCESTGCTSDLNQQCPAELRASDGSACKSACEAFGSPEYCCSGAYGSPATCRPSVYSEMFKAACPRSYSYAYDDASSTFTCTAADYTVTFCPSSPSKKSSQYPTPVSSTTLPGTGTGTVIGTPVIGTGSEGGVEYTGTGTGSDTPIGYSVPVNSGSGSGSGSSAGSGGETMLADGSYLAGLAMGDSSTTASSVFHYSFGFLLVMFLF >CAK8535615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:869886675:869888290:1 gene:gene-LATHSAT_LOCUS5058 transcript:rna-LATHSAT_LOCUS5058 gene_biotype:protein_coding transcript_biotype:protein_coding MDHINNEEIPSAPATPGTPGVPLFGGFKSERNGHGSNKKNKSLLKNCQCFGVKEWTLEDGALPTVTCSLMAPPPVPLAKKIGAEFIGTLILMFAGTATAIVNQKTNGSETLIGCATSTGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKHVPLYIGAQVLASICAAFTLKGVFHPFMSGGVTVPSGGYGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPITGGSMNPVRTLGPAIAANNYKAIWVYLLAPILGALGGAGTYTAVKLPEEDDKTKSNASSNHPSFTRR >CAK8572549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545872663:545873679:-1 gene:gene-LATHSAT_LOCUS25102 transcript:rna-LATHSAT_LOCUS25102 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSATSTIFKPGTLVEISINEVGFLGSWFTGKIVRCLADDKFVVEYEKIMADEEGRKGLQETVERFQLRPIPLEEISQDIQYGDEVEAYHNDGWWEGIFSGSLEDGRKVVCFRNSTQVYPDKEVRRHHEWVNGIWIPPFPQQAESEIKKRVRVKASELVTGDNVDFMFKPGTLVEVCSDEDGLKGVWFSATLVEAKAGWKFVVEYESLLDDDYSKLLREEISLFQIRPRPPKTDDVEQFKFFDEVDAYYRDGWWVGVVSKVLEDSKYIVYFRSSNEEMEFQHSQLRLHQDWVDHKWVMASKV >CAK8535196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823433100:823433612:-1 gene:gene-LATHSAT_LOCUS4670 transcript:rna-LATHSAT_LOCUS4670 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRLFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIVACSTARQDPFLQLSEVYKVVNLFGIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICHLPGHTRKNCPNVGTSSR >CAK8535197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823433100:823433519:-1 gene:gene-LATHSAT_LOCUS4670 transcript:rna-LATHSAT_LOCUS4670-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIVACSTARQDPFLQLSEVYKVVNLFGIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICHLPGHTRKNCPNVGTSSR >CAK8543040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:569926150:569926518:1 gene:gene-LATHSAT_LOCUS11827 transcript:rna-LATHSAT_LOCUS11827 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLNVMHQKKLEVQIGKESTILSSEFNTKFYSSVQQLKRFKTEIDKNKTYSDEAREIDAQSTARPPHQPLLLPDTTAVNHGGNQLTSSRRAQPITPLSFVRRKPLHIPTATPFLTRMFHF >CAK8562515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:505495199:505499039:1 gene:gene-LATHSAT_LOCUS16006 transcript:rna-LATHSAT_LOCUS16006 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTYYHYHFNFFFIILLSLFSLTTLTPLPNRRNLHQPFLFPVSSAPPPELYPPPPPDTTPSSPDIPFFNEFPAGTPPPPVENNQNVPSSSYNATIANPTATKPAEPAKKVAIAVSIAFSLFVMFSVVAFFLYKQRTKPSSESDKMKLVGENSQRVLEDSTMAPQQPSSFLYIGTVVEPNGTSVGVANPHKINPIGNRYRPSPELHPLPPLTKSLVVDSHSPPAVSSSSSSDNDESRETEMEFHSPQESSSHNLSREESYYTPVSRQSSLANGSPTAPVSVSPVVPFSKRTSPKSRLSGSPPDIKHAMIPSIKQVEKEATLGGPSRRPKFSSPPPAPNLTHLHSNDLRDSLSLPPPPPPPPPPRNFRSPVVSASSSSASRKYQWTQPRSHGEDSASGNSLNVKKVSKEDDEIEMDEGKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMETLFGCNSLNSAPKPKEMSVNRKPVFASVEQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPEGLGAELLETLVKMAPTKEEEIKLKHHDGDLSKLGPAERFLKAVLDVPLAFKRVEAMLYRANFETEVNYLKKSFQTLEAASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKSFKLDTLLKLADIKGTDGKTTLLHFVVQEIIRSEGTGGESANENVQSQTNSQFNEDEFKKKGLQVVAGLSRDFGNVKKAAGMDSDVLSSYVIKLEMGLDKVRLILQYVKPDMQGNFFNSTEIFMKDAEEKILRIKADEIRALFLVKEVTEYFHGDTTKEEAHPFRIFMIVRDFLNILDQVCKEVGKMQDRTATNSSRSFRIAASASLPVLNRYRARQDTSSDEESLSP >CAK8573912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646558623:646561039:1 gene:gene-LATHSAT_LOCUS26307 transcript:rna-LATHSAT_LOCUS26307 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEVAERTTVGLAATDPSGILTPYTYTLRNTGPDDVYIKIYYCGVCHSDLHQIKNDLGMSNYPMVPGHEVVGEVLEVGSNVTKFSVGEIVGVGLLVGCCKNCRACDSDIEQYCNKKIWSYNDVYTDGKITQGGFAETTVVEQKFVVKIPEGLAPEQAAPLLCAGVTVYSPLAHFGLKQSGLRGGILGLGGVGHMGVKVAKALGHHVTVISSSDKKKKEAIEDLGADNYVVSSDTTAMQEIADSLDYIIDTVPVGHPLEPYLALLKVDGKLILMGVINTPLQFVTPMVMLGRKTITGSFVGSVKETEEMLEFWKEKGLTSMIEIVTMDYINKAFERLEKNDVRYRFVVDVKGSKLEH >CAK8563505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611064704:611065159:1 gene:gene-LATHSAT_LOCUS16905 transcript:rna-LATHSAT_LOCUS16905 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNSAALFPIPTGNTPPHFFRHQTLPYVQQSMEFFRHEPKTQPLIVFMSESQVPPFSAQVGIEKEESRPVKKKSREVFSRDEDILLIQSWLNVSKDPIVGVDQKAENFCLRMANNYNEYRGKSREKLRGQLKSRWHRINGFVQKFVGCYK >CAK8568672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631128185:631130354:1 gene:gene-LATHSAT_LOCUS21610 transcript:rna-LATHSAT_LOCUS21610 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSSLLSPPSHLVCRKRNRISPFKVAANVPDFLSADWFESRKKKPFGPTLDFTAEDAVHHQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSSLFVEENKYKQRIWVRGCRPEEEEIFQFTMVQRVGGCWDGYWLTESLLHNTDTFSGGLAY >CAK8533614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641902216:641905362:1 gene:gene-LATHSAT_LOCUS3229 transcript:rna-LATHSAT_LOCUS3229 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHKQKRLSNSCILLIVIAGIERFAFKGVASNLVTYLTDVVNLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYWDKYSTIMSSSFLYVMGLAALTTTALARSWHHKNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEDLPCSTDDTSSNKKALFFQWWYFGVCAGSLMGVTFMSYIQDTFGWVLGFAIPAISMLLSILIFSGGSSIYSYKKQDDDDDGLQDKKPFMNMFQTIKASALKCFHCEISLPNDKSEGVELELQERPLCRENSESIKVMNKDSKISMCLLPNVKVVIKLLPIWAMLLMFAVIFQQPATFFTKQGMTMKRNIGKNFKIPPATLQSAITLSIILLMPLYDRVFIPFAQLITRQEKGINMMQRMGIGMVLSIIAMVIAALVEMKRLAIGRQMRSEGLQLEIVPISIFWLLPQYILLGVSDIFTVVGMQEFFYGEVPKNMRTVGIALYTSVFGVGSFVSALLITLVEVYTNSKGTPSWFSDDMVEARLDNYYWLLVWLSSISLLLYTLLCKYYYSKSDSECET >CAK8575040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23581672:23583594:1 gene:gene-LATHSAT_LOCUS27333 transcript:rna-LATHSAT_LOCUS27333 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPMLKPQTTPYHHPQHLIPQIKNCKTLQQLKQLHAIFIKTNKTNDPTVSTELLKLSATSDFRDPIYALSLFDQMSQPNCFAWNTVIRALADSSSNADNALLIFCKMLSDGVVEPNGFTFPSVLKACSVVAGLEEGKQVHGLVVKLGFVDDEFVISNLLRMYVMCGSTEDAGVLFRRSVDCVSDGNGMVVRGKRRQEGNVVLCNVMIDGHVKIGKIDAARELFDKMVERSVVSWNAMISGYAQNGFFMEAVDLFHRMMEMGDVSPNRVTLVSVLPAISRLGALELGKWVHLYAERNGVRIDEVLGSALVDMYAKCGSIEKAIQVFERLSKTNVIGWNAIIGGLAMHGKAKDVLDCFSRMKSSGVSPSDVTYIAILSACSHAGLVEKGRSIFNDMVNRVGLEPRIEHYGCMVDLLGRAGYLEEAEELITNMPIRPDDVIWKALLGACKMHKNVEIGRRAAEVLMKLAPHDSGAYVALSNLYASAGNWDGVAEVRLMMKELDIRKDPGCSWIEIDGVIHEFLVEDDSHPRAKEIHLMLTEISNKLSLVGHVQDTTQVLLKMDEKHKETLLHYHSEKIAVAFGLISTSPKTTLQIVKNLRICEDCHSSMKLISKVYERRIIIRDRKRFHHFDNGLCSCMDYW >CAK8569055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667124183:667125395:1 gene:gene-LATHSAT_LOCUS21949 transcript:rna-LATHSAT_LOCUS21949-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFSLGQKQDNTSNINPEIYPKGLQIWPQPQQDLNSYSVFGVAPKTCPFDECGRRFTMMRRNGGTNCQDCGNQAKKDCTHLRCRTCCKSRGFQCQTHVKSTWVPASKRRERQQHLSLLQQHQPFRRRDHGESYKRHVDNQDGAGAGAGAGSLPCLQPTFPTIGFELGQFPAEVSSASVTFRCVRVSSLDGPDEQCAYQTAVNIGGHVFKGVLYDQGPSSSSSCYTSTTTAAPIEGSSGGGHQPHQQLDFLTTATTATTTTAGISFDPSLYSAPLHAFMAGTQFFYPQHPN >CAK8569054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667124168:667125395:1 gene:gene-LATHSAT_LOCUS21949 transcript:rna-LATHSAT_LOCUS21949 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQMSRLFSLGQKQDNTSNINPEIYPKGLQIWPQPQQDLNSYSVFGVAPKTCPFDECGRRFTMMRRNGGTNCQDCGNQAKKDCTHLRCRTCCKSRGFQCQTHVKSTWVPASKRRERQQHLSLLQQHQPFRRRDHGESYKRHVDNQDGAGAGAGAGSLPCLQPTFPTIGFELGQFPAEVSSASVTFRCVRVSSLDGPDEQCAYQTAVNIGGHVFKGVLYDQGPSSSSSCYTSTTTAAPIEGSSGGGHQPHQQLDFLTTATTATTTTAGISFDPSLYSAPLHAFMAGTQFFYPQHPN >CAK8539345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509791160:509793420:1 gene:gene-LATHSAT_LOCUS8449 transcript:rna-LATHSAT_LOCUS8449 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLLINGLILLIAMSSQNGLLAMMDDELISINSSANYNYADALDKAILFFEGQRSGKLPKDQRVKWRGDSALSDGKSQNVNLVGGYYDAGDNVKFGWPMSFTVSLLSWAAIEYESEISSAKQLNYLHSAIRWGTDFILQAHTSPTTLITQVGDGNADHNCWERPEDMDTPRTTYKIDANSPGTEAAAEAAAALSAASIVFKKIDINYSSKLLSQSKSLFDFADKYKGTYSTSCPFYCSYSGYKDELLWAASWLHKASGDSKYLQYIINNQGWSQAVSEFSWDNKFVGAQTLLTQEFYGGKKDLAKIQSDGVSFICALMPGSSSKQIQTTPGGLLYIRDSSNLQYTTTSTMVLFIFSKILNNNHIDGIHCGSAKISPSEIRAFAKSQVDYILGNNPMKMSYMVGYGSKYPKQLHHRGSSIPSIIAHPAKVGCNDGQSNYFSSSNPNPNTHVGAIVGGPNSNDQYNDARSDYSHAEPTTYMNAAFVGSVAALLAETKTKTLQFS >CAK8575510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:146812174:146813269:-1 gene:gene-LATHSAT_LOCUS27767 transcript:rna-LATHSAT_LOCUS27767 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVIIVVFATLGNLLNGWESSAIAGAMTYIKQEFELEKDQSLEGLIVSMSFITATVVTIFSETISDMVGRRPMLITSSVMFITGGLILFFSPSI >CAK8542839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552772233:552774041:-1 gene:gene-LATHSAT_LOCUS11643 transcript:rna-LATHSAT_LOCUS11643 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGRSGGFRGGRDGGFRGGRDGGFRSRGGGRFGGGGRGFRDEGPPSEVVEVSTFIHACEGDAVTKLTNEKIPYFNAPIYLQNMTQIGKVDEIFGPINESYFSIKMMEGIVATSYSAGDKFYIDPSKLLPLARFLPQPKGQASGGRGGGGRGFGRGGRGGGGRSSFRGRGPPRGRGPPRGRGAPFRGRGGRF >CAK8573432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611836126:611837154:1 gene:gene-LATHSAT_LOCUS25879 transcript:rna-LATHSAT_LOCUS25879 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQPLSIESFSYSWLVNLKPSLESLDSSFRIPLDAYDEASFIEMDPRMPPSKRFFKISHDSNSNIFDFPTSQSPLSTLVHADELFSNGYLMPFFVESLKMEEYEALDSNITCLNSSSSHAPKCVAPLVHSRCSSLKRCRTLSRRIFYKYLNLLRSLCRRRSSGHKSSSNVDKRGDGLMNRRCYNSESSPRISVAFSADDWRKSCDSESSINEAVLHCKRSIERMS >CAK8575558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:168318218:168320366:-1 gene:gene-LATHSAT_LOCUS27813 transcript:rna-LATHSAT_LOCUS27813-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFFRFRTSTLTASFTSFILFLSLLLNFYFLLILWAPYSTTIGPSAVVSRLSPTTRRHVLFSVASSSLSWQHRQSYVHLWYSAKSTRALAFLDSPPGNSSPLSPPIVISGDASGFPYTLQGGLRSAIRVARVVKEAVDRNETDVRWFVFGDDDTVFFVENVVKTLSKYDHDRWFYVGSNSESYDQNTMYSFEMAFGGGGFAISHSLAKVLARVLDSCLRRYGFLYGSDARIYSCVAELGVTLTHEPGFHQLDMRGNLFGVLAAHPLFPLCSLHHLDAVEPIFPDMNRTQALGRLIEAASIDPARILQQTVCYDRSSSLTFSVSWGFAIQVYQGNVLLPDLLAVKKTFAPWRKIHSNFMLDTRDYPSDPCKRPSIFFLKSVASDKRGIQSNYTRHVEKTCPKSNPNLPSKIIAYSRKLDHSIEEMKALRRQCCNVLPFSNETISIHIRQCGNNELISMES >CAK8575557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:168318218:168320450:-1 gene:gene-LATHSAT_LOCUS27813 transcript:rna-LATHSAT_LOCUS27813 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHRRKKEPDTLPLSNSPQQSHTPISPMPSTFFRFRTSTLTASFTSFILFLSLLLNFYFLLILWAPYSTTIGPSAVVSRLSPTTRRHVLFSVASSSLSWQHRQSYVHLWYSAKSTRALAFLDSPPGNSSPLSPPIVISGDASGFPYTLQGGLRSAIRVARVVKEAVDRNETDVRWFVFGDDDTVFFVENVVKTLSKYDHDRWFYVGSNSESYDQNTMYSFEMAFGGGGFAISHSLAKVLARVLDSCLRRYGFLYGSDARIYSCVAELGVTLTHEPGFHQLDMRGNLFGVLAAHPLFPLCSLHHLDAVEPIFPDMNRTQALGRLIEAASIDPARILQQTVCYDRSSSLTFSVSWGFAIQVYQGNVLLPDLLAVKKTFAPWRKIHSNFMLDTRDYPSDPCKRPSIFFLKSVASDKRGIQSNYTRHVEKTCPKSNPNLPSKIIAYSRKLDHSIEEMKALRRQCCNVLPFSNETISIHIRQCGNNELISMES >CAK8536703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8516493:8516876:1 gene:gene-LATHSAT_LOCUS6037 transcript:rna-LATHSAT_LOCUS6037 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTKYSSKRTNTSASGAHSSSFNPETPSSYDYNLSSPMECPMRQQVAKRKGKARENANTFESPSNVEQDTWHKRVATIERLAQCKEEEMEFNAMKFIKSDTSTMNDCQLSIHKKYCNKWKAKYGL >CAK8563668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623735997:623737106:-1 gene:gene-LATHSAT_LOCUS17053 transcript:rna-LATHSAT_LOCUS17053 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYHRLRTLLYSQTPKCHLLQRIHSFNFFSTTTTTSDSDKRSFTISYLTNNCGLSPQDALKASKRLRFTTPEKPNSVIAFFKTHGFSNPQIQSIILKAPTILSSNPTKTILPKFQFLASKGASPSDIAATVTRSPHFLGASLKRMVPSFELVRSFCPSDQKAITSIIFCPSSISDIRLKPNLQFLLDSGVTPSSIYRLLCSRPSVICSNDLRKAVEEIKELGFDPSKYNFCVALLAKRAISKSQWDAKVDALKSWGCSEDVIFEAFKRQPNFMLRSPEKLNAVMRFWVKELGWDPSLLLAAPDLFGFSIEKRFIPRASVIKYLLSNGMMKKDASLVTPFCLSDELFLQRYVKRFEDEEASWLLKLYQG >CAK8531051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:75999641:76000906:-1 gene:gene-LATHSAT_LOCUS867 transcript:rna-LATHSAT_LOCUS867 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFIIYFFILSLSLFSLSSVSASSQPPHSFILPIKKDPSTNLFYTSLGIGTPRTNFNLVVDLGGENLWYDCGTHYNSSTYTPIQCGSKQCPEIGCTGCNGPFKPGCTNNTCPSSALNSLAKFIFGGGLGQDFIFISQNKVSGLLSSCIDTDSFPSFTGNDSALNGLPKNTKGIIGLSRSNLSLPKQLALKNKLPPKFSLCLPSSNKQGFTNLLVGSDKVSKFVQTTPLFVNPFSTGPVSVEGVPSNEYFIGVNAIKIDGHVLNLKPSLLSLDRKGNGGTKISTITPFTELHTTVFKPFIRDFLKKASDRKLKRVSSVAPFEACFDSTSIGNGNLPRIDLVLQKGVQWTIHETNLMINVKKNVACLGIVDGGTESRMSFTKASIVIGGHQLVDNLLVFDLSSSKLSFSSSLLVHNASCS >CAK8542113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474555594:474558296:-1 gene:gene-LATHSAT_LOCUS10983 transcript:rna-LATHSAT_LOCUS10983 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNMNGYFVCVPLFVCLVLAIELVLAADFKPTDKILLNCGGPAVSTDPDGREWTTDIGSKFGTSSGKSNTSQAATQDPAVPQIPFMTARVFQSPYTYSFPVASGWKFLRLYFYPASYSGLNISDARFGVTAQSYTVLRNFSVIETSLGLNFDYIVKEYAIHVDEGTLNVTFTPSTNAAKAYAFVNGIEVVSMPDIYTSSADEPTMIVGTDSTFTVDNSTALENVYRLNVGGNDISPSHDTGMFRSWGDDVSYIYGAAFGVTETADPEVKFEYPPGTPSYIAPSDVYLTARSMGPNSNISLNYNLTWIFSIDSGFSYLVRLHFCEGTTTINKVNQRVFDIFLGNQTAQDGADVIAWAGSYSLQHSNGVPVHKDYVVFVPNGPPRQDLWLALHPDTTSKPNYIDAILNGVEIFKISDSKGNLAGTNPPPLLVQEKIDASLARQSSHGKSKSHIGIIAGGVGGGVVLLLLIGLFALGKSRRRRNGKDSSTSEGPSGWLPLSLYGNSHSAASAKTNTTGSYASSLPANLCRHFSFAEIKAATNNFDEALILGVGGFGKVYKGEIDGGSMKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDHMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAYHCYKKGILDQITDPYLKGKIAPECFKKFAETAMKCVNDQGIERPSMGDVLWNLEFALQLQESAEESGSGFGGICGEDEPLFTDSKGKKDVDAMPGYDGNVTDSKSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >CAK8563640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621688129:621693130:-1 gene:gene-LATHSAT_LOCUS17031 transcript:rna-LATHSAT_LOCUS17031-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRTKLGRLKVQLSEGTRSPVHVRHPKRIANSILNGDGGEEDVDAVTGSSGHSHEIDNEIENVCADISSSASGGSENWVLLSISGDKPIPRFNHAAAVIGNKIIVVGGESATGLLDDVQVLNFDTFSWTTASSKLYLSPSSLPLKIPACRGHSLVSYGKKALLIGGKTDPGSDRISVWAFDIESECWSLMEAKGDIPVARNGHSVVRASSYLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGTAPSPRLNHVAALYDDKVLYIFGGSSKSKTLNDLYSLDFETMAWSRVKAKGFHPSPRTGCCGILCGTKWYITGGGSKKKRHGETLIFDIVKNEWSVTITSPPSSITTNKGFSLVLVQYKEKDYLVAFGGSKKEPSNQVEVLELDKNELALRRRSTPSKGPASILLEKHSSSTRLASQLNHCSQRLVDSVARQNLASAVEHGSGRKSLSDSADPNFHSTNVSLRKQFDRDEEYNADVKMDRNSDGSFIPQAADHRTNENDRGKLMNISGAKINVEGQGLLSGNSNQQNQVFENDAVESDSVSFPENDKSGSLSSTNIYQYYESKIAALIRKNGMLEGQLAASLTSREVAEKSLASVLKSRQEMEKKLADTLKETEVLREKLASLELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVIAGERNRAFQLQYEVFHLKQRLQSMENRTSTTPRKPFHVQ >CAK8563641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621688129:621693130:-1 gene:gene-LATHSAT_LOCUS17031 transcript:rna-LATHSAT_LOCUS17031 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRTKLGRLKVQLSEGTRSPVHVRHPKRIANSILNGDGGEEDVDAVTGSSGHSHEIDNEIENVCADISSSASGGSENWVLLSISGDKPIPRFNHAAAVIGNKIIVVGGESATGLLDDVQVLNFDTFSWTTASSKLYLSPSSLPLKIPACRGHSLVSYGKKALLIGGKTDPGSDRISVWAFDIESECWSLMEAKGDIPVARNGHSVVRASSYLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGTAPSPRLNHVAALYDDKVLYIFGGSSKSKTLNDLYSLDFETMAWSRVKAKGFHPSPRTGCCGILCGTKWYITGGGSKKKRHGETLIFDIVKNEWSVTITSPPSSITTNKGFSLVLVQYKEKDYLVAFGGSKKEPSNQVEVLELDKNELALRRRSTPSKVDSVARQNLASAVEHGSGRKSLSDSADPNFHSTNVSLRKQFDRDEEYNADVKMDRNSDGSFIPQAADHRTNENDRGKLMNISGAKINVEGQGLLSGNSNQQNQVFENDAVESDSVSFPENDKSGSLSSTNIYQYYESKIAALIRKNGMLEGQLAASLTSREVAEKSLASVLKSRQEMEKKLADTLKETEVLREKLASLELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVIAGERNRAFQLQYEVFHLKQRLQSMENRTSTTPRKPFHVQ >CAK8574906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14873316:14873819:-1 gene:gene-LATHSAT_LOCUS27205 transcript:rna-LATHSAT_LOCUS27205 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTTTTSASTKKVNLKSSDGEIFEIEEAVALESQTIKYMIEDGCADDTGIPLPNVTSKVLAKVIEYCKKQVEVAAGSDERPDDENTVKTWDAEFVKVDQDTLFDIILAANFLDIKSLLDLTCKTVASMMDGKTPEEIRRTFNIKNDYTKEEEEEVRRENQWAFD >CAK8574166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667095909:667109868:1 gene:gene-LATHSAT_LOCUS26538 transcript:rna-LATHSAT_LOCUS26538 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRPGSNTPPPNYVPNFRGSSDGLADNMQNLNLNRPPMTSNPVSRPPPFGQQPPFPSSGPSPQGFPGSSPPFSRPGPPPGPLVRPAGPPSGPPVGSLGRPTGPPPGQPSPFGSRPPPFSSPIGSVAAPVSGVPPPGSSPPVRPPGPPPQNFGARPSPSPFNSPPMSAPPGMPPTSAPINLMSNGPPVFSGGAMPAPQHFPGGNVQQPPVGPPTMRVPPGPPGHPQSPYPMAPQGIMQPPSSPFAAPSWQTQAQQVVPPPPVPGTMQPPRMFGMPPPLPNQSMTTTISPAVGQTGAPMAGPSKIDPNQIPRPTPGSAVIMHDTRQGNQATIPPPATSDFIVRDTGNCSPRYMKCTINQIPFTADLLTTSGMQLAMLVQPLALPHPSEDPIQVVDFGESGPVRCSRCKAYVNPFMKFIDQGRRFICNLCGFSDETPRDYHCNLGPDGRRRDADEKPELCKGTVEFVATKEFMVREPMPAVYFFLVDVSMNAVQTGATAAACSAINQVIADLPEGPRTQVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVPLSECRQHLELLLESIPTMFQSNRTSESAFGAAIKAAFLAMKDTGGKLMVFQSVLPSIGIGALSAREAEGRTNISAGEKEAHKLLQPSDKTLKELAVELAEYQVCVDVFVTTQTYVDIASISAIPRTTGGQVYYYYPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQDYYGNFCKRIPTDVDLPGIDCDKTFMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVITLSLPVTSMLSNLFRAADLDTQFCCFLKQAASEIPSKPLPLVREQVTSLCTNALYSYRKFCATVSSSGQLILPEALKLLPLYTLALTKSTGLRTDGKIDERSFWINYVSSLSAPLAIPLVYPRMVAIHDLDSKEEEESVIPSFLPLSSEHISDDGIYLLENGHDCLIYAGESVNPDIVRKLFGVSTVDEIPTHFVLQQFDNPLSKKLNEVVNEIRRQRFCYLRLKLCRKGDPSGMLFFSYMIEDKSAGGFSYVEFLIHVHRQIQNKMAS >CAK8574167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667095909:667109868:1 gene:gene-LATHSAT_LOCUS26538 transcript:rna-LATHSAT_LOCUS26538-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRPGSNTPPPNYVPNFRGSSDGLADNMQNLNLNRPPMTSNPVSRPPPFGQQPPFPSSGPSPQGFPGSSPPFSRPGPPPGPLVRPAGPPSGPPVGSLGRPTGPPPGQPSPFGSRPPPFSSPIGSVAAPVSGVPPPGSSPPVRPPGPPPQNFGARPSPSPFNSPPMSAPPGMPPTSAPINLMSNGPPVFSGGAMPAPQHFPGGNVQQPPVGPPTMRVPPGPPGHPQSPYPMAPQGIMQPPSSPFAAPSWQTQAQQVVPPPPVPGTMQPPRMFGMPPPLPNQSMTTTISPAVGQTGAPMAGPSKIDPNQIPRPTPGSAVIMHDTRQGNQATIPPPATSDFIVRDTGNCSPRYMKCTINQIPFTADLLTTSGMQLAMLVQPLALPHPSEDPIQVVDFGESGPVRCSRCKAYVNPFMKFIDQGRRFICNLCGFSDETPRDYHCNLGPDGRRRDADEKPELCKGTVEFVATKEFMVREPMPAVYFFLVDVSMNAVQTGATAAACSAINQVIADLPEGPRTQVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVPLSECRQHLELLLESIPTMFQSNRTSESAFGAAIKAAFLAMKDTGGKLMVFQSVLPSIGIGALSAREAEGRTNISAGEKEAHKLLQPSDKTLKELAVELAEYQVCVDVFVTTQTYVDIASISAIPRTTGGQVYYYYPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQDYYGNFCKRIPTDVDLPGIDCDKTFMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVITLSLPVTSMLSNLFRAADLDTQFCCFLKQAASEIPSKPLPLVREQVTSLCTNALYSYRKFCATVSSSGQLILPEALKLLPLYTLALTKSTGLRTDGKIDERSFWINYVSSLSAPLAIPLVYPRMVAIHDLDSKEEEESVIPSFLPLSSEHISDDGIYLLENGHDCLIYAGESVNPDIVRKLFGVSTVDEIPTHFVLQQFDNPLSKKLNEVVNEIRRQRFCYLRLKLCRKGDPSGMLFFSYMIEDKSAGGFSYVEFLIHVHRQIQNKMAS >CAK8579455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700518961:700520051:1 gene:gene-LATHSAT_LOCUS31402 transcript:rna-LATHSAT_LOCUS31402 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISKPAVSPNPIILKSEGLLKYILETSVYPREADILRELRNATTNHPLGFMGTSPDLGQLMALLLKLLNAKKTIEVGVFTGYSLLLTALNTPRDGKIIALDPDRKAYEIGLPFIKKASVLHKIDYIESPALPVLDKLLQDPANEGTFDFAFVDADKNNYWNYHERLIKLVKIGGIVAYDNTLWGGTVALPEMEVSEPKKEWRRFTLAFNEAISRDSRLELTFVSIGDGLTICRRIR >CAK8539620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518441786:518443138:-1 gene:gene-LATHSAT_LOCUS8700 transcript:rna-LATHSAT_LOCUS8700 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTELSHSHYSSIMTKPTLKNKSSSPWFSDGFLFLGGALSALLLVWGFSTLTIPIPNENPTLKSQQNNAVSYSTPDLLFDPPDQTFYDDPEMGYNMDDNVQNWDEKREEWLKLHPSFSDKEKVLMITGSQPSPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNALLHPKMFAYWAKYPVVKAAMMAHPEAEWIWWVDSDALFTDMEFKLPLQRYKNHNLVVHGWPHLIHEKRSWTGLNAGVFLIRNCQWSLDLMDAWAGMGPQSPEYEKWGKTLRSIFKDKFFPESDDQTGLAYLIAIEKEKWADRIYLEGEYYFEGYWEEIVGTFENISRKYNEIEKGVRRLRRRHAEKVSEGYGVVREEFLKEAGYGKGSWRKPFVTHFTGCQPCSGKYNEMYTAEACWNGMRSALNFADNQVMRKYGFVHPDLEDNAVSSLAFDYPKPKPKPKQ >CAK8565089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35075668:35077620:-1 gene:gene-LATHSAT_LOCUS18328 transcript:rna-LATHSAT_LOCUS18328 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAREFSAALFSCSKPHTSLFQRLFLRRKTSKIFVKGLAFSTTEEKLAEAFSQYGNVLKADIVLNKAKNRSKGFGYVTFLEEEEARKAQIDMNGKILHGRVLYVDMDPHDEQKKTYKHTTKNKNADNADVHMD >CAK8561536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:278785091:278786032:-1 gene:gene-LATHSAT_LOCUS15118 transcript:rna-LATHSAT_LOCUS15118 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKANRLGAEYDKGMEAFFQYACAKLPNNNKFYCPCVNCLNRESPLLIDEIQNHLVCEGICQSYTNWIWHGEPSNNTSSVSEREVVDVDMDNRLEDMINAIGPESFQHAHMYDTLCSDNEESLYSGGTNFTRLYAVLRLFNLKARNGWTDKSFTELLELLCEMLPEGNRLPNRNYEAKKILCLMGMEYKKIHTCPNDCILYRNEYEELKECPTCGQSHFKVKDGDLNSDENTKRLPAKVLWYLPIIPSFKRLFANSKDAKNIIWHAIERERDGQLRHPADSLQWKKIDDFIRISVMRQETLGLHLLLME >CAK8564879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14887776:14888726:-1 gene:gene-LATHSAT_LOCUS18135 transcript:rna-LATHSAT_LOCUS18135 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMIRVQALSVLCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILKNITTKASSESYEKSNSSGSSNLWIFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLMAYEKIYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8563647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622230320:622233252:-1 gene:gene-LATHSAT_LOCUS17035 transcript:rna-LATHSAT_LOCUS17035 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRVNEGSQSDPTPEWNVSGGEVTHEGYGLVGGESYPQRPDEADCIYYLRTGFCGYGSRCRFNHPRDRAAVIGAARITGEYPERVGQPVCQYYIRTGSCKFGASCKYHHPRQTAGVTVAVSLNYYGYPLRVGEKECSYYVKTGQCKFGATCKFHHPQPTGVQMLAPSPAPQVSPLPMPVPSPIYQTVQAPSGPSQQQYGVLVARPPLLHGSFVQGPYGPLVVSPTMVPFQGWSPYQQAPAASPVIPSSTPLSIATPQIFGITQLPSPTTPFTGPFQPSGSSTGPPGSSQKEHSLPERPDQQECHHYMKTGECKFGSSCRYHHPRDTGAPKVIFSPAGLPLRPGAQPCTHYTQRGICKFGSACRFDHPIGSLSYSPSASSLADMPVAPYPVGSSIGTLAPSSSSSEFRPELASGSSKESALSRMSSSTSTSTASAGLTLSTVVPVSQSSSQPSALSSSSLATLSATTSSTVPHTSS >CAK8544373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680556671:680557066:-1 gene:gene-LATHSAT_LOCUS13059 transcript:rna-LATHSAT_LOCUS13059 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIEYEWRPSYYQTYLKIGHDCANKKNNGKKLQQTKVWKPAANKQIVEEIKEDTLPKPNEEEYNKDKVDEEESLQKETATDWTLVTSSRVDKGKRVMIETSKNSFVPYQNAFTPLRNGECPREETSHDQ >CAK8530718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44393652:44394608:1 gene:gene-LATHSAT_LOCUS562 transcript:rna-LATHSAT_LOCUS562 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFKTPFNGYSVKFSPFYENRIAVATAQNFGILGNGRLHVLELSSNPTLPITELIAYDTADGVYDVTWSESHDSVVVAAVADGSVKLYDLALPPTSNPIRSFHEHTREVHSADYNPVRRDSFLSASWDDTVKLWTVDRPTSVRTFKEHAYCVYSAVWNPRHADVFASASGDCTLRIWDVREPGSTMILPAHDHEILSCDWNKYDECIIATASVDKSIKVWDVRSFRVPISVLNGHGYAVRKVKFSPHVRNLMVSCSYDMSVCVWDFMVEDALVSRYDHHTEFAVGVDMSVLVEGLIASTGWDELAYVWQHGTDPRAS >CAK8530590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32935390:32944083:1 gene:gene-LATHSAT_LOCUS443 transcript:rna-LATHSAT_LOCUS443 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAENNTQKLDDGGENSNENFNNNGSNSKIMSSSEGQSKPKRQMKTPFQLEMLEKAYALETYPSEATRVELSEKLGLSDRQLQMWFCHRRLKDKKELPSKKPRKLPPLMNSATDELNPKLALEPCNEYGSGSGSGSSPVTRPELRNVVPRAYYESPQTIMELRAIACVEAQLGESLREDGPILGIEFDPLPPDAFGAPLAVAEQQKRPGLAYDGKIYERHEVRPNKALTRTFPEYAFVPNQSSIRSDTFEQLSQPHLYDPMEGPTRTPPFPIGNEHLPRIHATQSHSSRARLSSQLDKQVNPYTSPPPLLSQQNKQLIPFPSPPRDNDVVPNREPHSNIANAGMNSQFTDHQIVGQENPLALALPGGQVFHNDAVLRVEKKRKSDDARVAKEVEAYEIRMKKELEKQDILRKKNEERMRKEMERQDRERKKEEERLMRERQREEERSKREQRREIERREKYLMKEHLRAEKRKQKEEIRREKEAERRKAALEKANARRIAKESMELIEDEQLELMELAAVSKGLTSIINIDLDTLQNLESFRDSLCVFPPRSVKLKKPFAIQPWINSEQDVGNLLMVWRFLITFADVLELWSFTLDEFVQAFHDYDSRLLGEIHVAVLKVIIKDIEDVARTPTGLGVNQNGAANPAGGHPEIVEGAYTWGFDIRNWQKNLNQLTWPEVLRQLALSAGLGPQLRKRSVTWSYANDKDEGRSGEDVISTLRNGSAAVSAVAKMREKGLLAPRRSRHRLTPGTVKFAAFHVLSLEGSKGLNVLELAEKIQKSGLRDLTTSKTPEASISVALTRDGKLFERIAPSTYRVRTAFRKDPTESESILSEARKKIQIFENGFLAGVDADDVEREESESDEVDEDPEEDDLINPLSGNPNTGQYDDMNICSVNVKANLGHAVDFIQHELDTDLPSFPDNGSKDADCSSSVTRQPVACENVNTGNPDDVAMEIDESKSGESWVQGLTEGEYSDLSVEERLDALVALVGVANEGNSIRIILEDRLESANALKKQMWAEAQIDKIRLKDDYIGKLDYPSLTGNKFEAQDTYPVEENRSPLLNININNIKNEASPSTTENQKGAPSAQSLLIEKPSTVQDFCSGIGPENFQTQVAAPYSKRSRSQLKSYISHIAEEMYVYRSLPLGQDRRRNRYWQFVASASSNDPGSGRIFVEHLDGSWRLIDSEEAFDILLTSLDSRGIRESHLRLMLQKIEKPFKENVRKTTQYAKIGSIEEDSIKTEADEIYPVPEHHSGPDSSSSTLHDSNSSTSETSSSFKIELGKSENEKKAALRRYQDFQKWMWKECYNSSILCAMKFGVKRCKPQVVICEICLNPYFVEDSHCNSCHRTFPSNNGFNFSKHAFQCVGNLSKDICILEHSLPMRTRLLKILLSYMEASVLSEAFGTIWTPDFRKHWGVKLCKSSSVEELLQMLTLFEKALKRDFLSPNFSTTDELLGLSRMSERAAHVSADPESVALLPWVPQTTAALSLRLFEFDSSISYVKLERLEPIEEKEAREYIRLPSRYTSFKPNREIEPAAPDHDTFIKVKSSTNKIGRSGNKRGGGASDHGRVKKLSKRMYNSKRDTGRRNVNVTENLSQRLRLQGRGTQGQGGGRGRRTVRKRRVEKRAVEDLLLGHSAGGHSFKSGKEPLRSLDEEWDVEKASSMTPVHIGVAENSISVEEVESDDNAQGVESYDNAQGMESDDNAQGMESDDNAQGMESDDNAQEMEYDDNAQAVESDDNAQAVEYDHGNWEIGYNGVSPNRWHRDLVGMSDEDEDAFEDDNDNVNVNGFEGNFEEDSEEDVMSEGSDHMANRVVNVGGSDLSVSEDSSD >CAK8531984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186385813:186387393:-1 gene:gene-LATHSAT_LOCUS1730 transcript:rna-LATHSAT_LOCUS1730 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGELVEFASGVKGIALNLENENVGIVVFGSDTSIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAAGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTARLFSFFYGRDLKPFYILLINIILSIISLKAGGDVATCDGVGGVEGPPSPPVVGIRVAPEVWEVPPAAPEVWEVPPAPPEVPPVLAQQIIPDLQREGELYSRLLVNTFGENPTLRRISETISIQTRIERLIESALVHSGVNPTRIFENRHRIRGIIFYHRGRALSVRQYRSHLRSIYRLGTRDTRAFQRLMTAVRNYDLWL >CAK8573719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632813074:632815422:1 gene:gene-LATHSAT_LOCUS26128 transcript:rna-LATHSAT_LOCUS26128 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKPHSQSDAYVYLLQTAIKSRDSSTGRFIHARIIKHGLHLSAFLMNNLLNFYSKTASFTDAHRVFTEMPLRTTFSWNTLLASYAKGGNIETARRLFDEIPQPDSVSWNSMIVGYNQTGLFYTSIYTFLKMISSGVSPNQFTFTNVLASCVATGTLDIGKKVHSFIVKLGLTGVVPVANSLLNMYAKSGDSLMAKVVFDRMRLRDKSTWNIMISMHMQFGRLDTALALFDQMTDRDIVSWNSIIAGYCHQGYDSKALETFSDMFRSSSLMPDKFTLGSVLSACANLESLKLGKQIHAFIVRADIDIFGALGNALISMYAKSGAVEIARTIVELRGTSSLNVIAFTSLLNGYVKIGDVNPAREIFDSLKCRDVIAWTAMIVGYAQNGLLNDALELFRLMIGEGARPNSYTLAAVLSVFSSLASLDHGKQLHATAIRLEEVSSVSVGNALITMYSRSGCIKDARKVFNQICTERDTLTWTSMIIALAQHGLGNEAIELFEEMLKSNIKPDHITYVGVLSACTHVGLVEQGKRYFNLMKNVHHIEPTPSHYACMIDLFGRAGLMEEAYNFIKSMPIEPDVIAWGSLLSSCRVHKNVDLAKVAAEKLLLIDPNNSGAYSALANTLSACGKWEDAAKIRKLMKVREVKKEQGFSWVQIQNKVHIFGVEDGLHPQRDAIYRMMSKIWKEIKKMGFTPDTDSVLHDLEPEVKEQILRHHSEKLAIAFALINSPGYTTLRIMKNLRVCNDCHSAIKYISRLVGREIIVRDVTRFHHFKDGSCSCQDYW >CAK8532962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:555411233:555415838:-1 gene:gene-LATHSAT_LOCUS2617 transcript:rna-LATHSAT_LOCUS2617 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVFDAHCHLQDPRILSKTPQLIKAAQDSGVVNFAVNGVCEQDWLSVKQLSITHPSVLPSFGLHPWYVAERSPNWFKKLKEYFDSTPSAAVGEIGLDKGSHGKKIDFSEQVEVLRQQLELAKELNKPASVHCVRAYGDLLELMKSMGPFPAGVILHSYLGSAEMVPEFSKLGAYFSFSGFLMSLKPNKAKKMLKMVSSDRILLETDAPDALPKSNINSLFFVEGDTSLAERTTSSSTSDSSPSNSSQVVTDASMLPKETLNHPANIHNVLDYVASMLEVTKEELAELSYQNAVRIFSYQGSKLLQN >CAK8577013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531404776:531407372:-1 gene:gene-LATHSAT_LOCUS29159 transcript:rna-LATHSAT_LOCUS29159 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNGLFYPLFGFASVVLLIYMSFGDVKMGFEEDVELSFVERKGSQFVLDGKAFYINGWNSYWFMVQSVDHYTRPRVREMMSAGAKMGLTVCRTWAFNDGDYNALQTSPGVFNEEAFRALDYVIAEARRHRIRLLLSLVNNLQAYGGKDQYVKWAWQEGVGLSSSNDSFFYDPSIKSYFKTYVKAILTRKNTITGIEYRHDPIIFGWELINEPRCLTDPSGDTLQEWIEEMSTFVKLIDKKHLVTIGLEGFYGPNDPKRLTVNPPEGWASRLGSDFIRNSQTSNIDFTSVHIYPDHWFHKQNFEVYMKFLTKWMLSHIEDGDNVLKKPVLFSEYGLSDTIKNFSLADRERMYKTILDISHKSARRNQSGAGALVWQFLVSGMSDLIDDFGMVPWERPSTYSLFIQHSCRLAHVNKGLTHQNPSFKQVC >CAK8564381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671264258:671267232:1 gene:gene-LATHSAT_LOCUS17693 transcript:rna-LATHSAT_LOCUS17693 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKHNNKSSNPNHPRNSESSNHLRRSFTGNPFSKPSSLMANPRSSFSHTPANSPIDFQRKSFEYEKENVKDQMLLKPGKIRSPSPAATSKSSKNFMSPTISASIKISESPRRKILAERNETAHSSDHKIHVRKVTFADPLEQKRLDASIDGNENPFPSYESCDRSSENLSDSDGVSLIPSDDLLFENMPMNSPLVPQNEIHIHTDSSFEKVSVEALSPQNEIHIESSFENVSREPDFVNLDPTFKLSPPAATPPVSYTHTVVDPLDADPSIAPYDPKTNYLSPRPQFLHYRPKPQIELEDRFIMSASFSDSEVTEDTQSEESLKESEDASSDETVKQEEDQISEASPVRTLLPEETVEVKGLPKSRFSLRSMAVALILLLSISFVSISVTNSPGIDHAVFGEFYDAYKLSEISEFARANFDQFSQFSKANYDSLARNLHIWFTKSLYSITELISDVRGAGNLAQLPYFNLTLLNDYNVVNQYPICGFRENEIGITNLHAQEQPVTSEIVVDENFGDISAEHYEVYEEQLQHDIEMATGFENTLDALESEEVHKGQPAAIFESVEQTLQLSEIEHLDAKLAQEVGVEGIPDSEEALGEAFMGQSVTLVEPELALQLVEAAKEDNQTPSDAELVHVVDIENTPESEEAFKSVPLVELEQALQMEENLTLKDIDGDSEYKPNSNSEAAEIHEEVYGEDSVDTRLAQESDAKLNGKESVADLKHSPVSDSEAAEIRTDDKEVGESASTDAAIRRNEQLLEASQIPQNMLLYLLLCAGTIIIAGATFNWSRKVKSVSRKPNIESEIDQITPDKPSGRSAPVEIDDVGESTRSEMVGESCPSELSSFEKSSSYRVSQLNEATSSIDKKRRNNYRRESLVSSNSMDSSSYGSLTVFEKIPKGRGDDEIVTPVRRSSRIRSLATSPV >CAK8572914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571424288:571424818:-1 gene:gene-LATHSAT_LOCUS25420 transcript:rna-LATHSAT_LOCUS25420 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVSWNRFSEDYFQHAASSSSSSLSSAHRLSSNNLPTYDPIVELAKREKARVKFSENAVHAIPFVLLLCAVILWICSSPDVGSLGDSVGSRLIEGLSLDGEIEGDSDGTQAGFLPIMSSQEEITTQEFRAEKDLMNLKNF >CAK8532461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266854205:266854897:1 gene:gene-LATHSAT_LOCUS2164 transcript:rna-LATHSAT_LOCUS2164 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITNVSEFEAIAKQKLPKMIYDYYASGAEDEWTLKENKNAFSRILFRPRILRDVSKIDLTTNVLGFDISMPIMIAPTAMQKMANPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQQ >CAK8531760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148154020:148155210:-1 gene:gene-LATHSAT_LOCUS1526 transcript:rna-LATHSAT_LOCUS1526 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNENDTIDIEYIDHQDETQQHQNQPLPINNNNNHLHADEKAFTNKRYRPLLIINYLLLFVGSSSSSLLSKYYFIHKGSSKWVSTWVQCAGFPLLIIPICLPYLLNSTKRTPFTDFTSKMLTLSIFVGIMLGLNNLLISWGVAYLPVSTSSLLLSSQLVFNLILSAIIVKQKITFSNLNCVILLTLSSVILALNSNGKNPEGITKKEYFIGFFCTIGAGLLFALYLPVMEKVYKQVYSYEMVMEMQLIMEIAATTLATVGMAFDGGFSEMKRESEEVFDKGSKVYWVTVMANVVTWQFCFMGTAGMVFLTSSLTSGICMTTLLSMNVLGGVLVYRDEFGGFKAVSIFLCLWGFCSYVYGMYVNMLEEKGRMVKENAYSSMDQDEEEWKCNLLNV >CAK8544713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701517434:701519022:1 gene:gene-LATHSAT_LOCUS13368 transcript:rna-LATHSAT_LOCUS13368 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGFVHGVCVAAGLPLCSRQPKLVVRLRIKCSTKVGICSCRQQLLENVDKELSKGDERAALALVKDLQGNSDGLRCFGAARQVPQRLYTLDELKLNGIETASLLSPVDTTLGSIERNLLIASILGAFAAWNVLGISQQQIFYISLGLLFLWTFDLVSFGGGLGSLVVDTIGHNFSQKYHNRVIQHEAGHFLIAYLVGILPKGYSVSSLDALKKEGSLNIQAGTAFVDFEFVEEVNAGKVSATTLNKFSCIALAGVCTEYLIYGFAEGGLDDIRKLDSLLNGLGFTQKKADSQVRWSVLNTVLLLRRHEVARAKLAEAMSAGSSVGSCIDIIENSIDVSDL >CAK8569224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:684706312:684706893:1 gene:gene-LATHSAT_LOCUS22103 transcript:rna-LATHSAT_LOCUS22103 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHDDERNHKLHPFTTPQLQLQTPVTDTTTENQHHIQSSTIARRPRGRPLGSKNKPKIPAIVAHGNYDALTSHVLEITTGADVLKSLFDYTHRKGRSICILGGNGVVAYIRLRQPTGKVLTVKGRLQIHSISGTIFPPPTSGAVGGLTVYLSGPKGRTFGGSVMSPMVASDSITLMVVSFANIAYEKLSSMV >CAK8536715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9702969:9703460:-1 gene:gene-LATHSAT_LOCUS6049 transcript:rna-LATHSAT_LOCUS6049 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNGARIKTWEGGKGYARKITYEDIEFNEVKNPIIIDHTYNPKIYDDDDGKGVAVTDVIFRNLRETSTEDPIQLKCKPNISCINIELDNINITRIDNEKSHTSVY >CAK8537424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:351868408:351869363:-1 gene:gene-LATHSAT_LOCUS6717 transcript:rna-LATHSAT_LOCUS6717 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVVEAYQLALCMVLLFVEANEAFSSMASMGLSPNMIVYLMGSYKLHLGNATQILLLSSAAKNFTPVIGAFLVDSSLGRFLGVGLGSVVTFLVWMTLLWLTTMVPQERPPACVHPTKGCKSATKGQMAMLLSAFGLMSIGNGGLSCSMAFGADQLNRKDNPNSYRVLETFFSWYYAFTIIGVIIAITGIVYIQDHLGWFYH >CAK8532549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:291532251:291535655:1 gene:gene-LATHSAT_LOCUS2242 transcript:rna-LATHSAT_LOCUS2242-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSIDDDVEQQNMAAWLVGVNTLKIQPFSLPALGPHDVRIRMKAVGICGSDVHYLKTMRCADFIVKEPMVIGHECAGIIEEVGSEVKTLASGDRVAIEPGITCWRCNHCKLGRYNLCPDMKFFATPPVHGSLANQIVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLIMGAGPIGLVTMLSARAFGAPRIVVVDVDDHRLSVAKSLGADDIVKVSANIQDVAEEVKQINNVMGVGIDVTFDCAGFNKTMTTALTATLPGGKVCLVGMGHSEMTVPLTPAAAREVDVIGIFRYKNTWPLCLEFLRSGKVDVKPLITHRFGFSQKEVEEAFETSARGGNAIKVMFNL >CAK8532548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:291532251:291536571:1 gene:gene-LATHSAT_LOCUS2242 transcript:rna-LATHSAT_LOCUS2242 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSIDDDVEQQNMAAWLVGVNTLKIQPFSLPALGPHDVRIRMKAVGICGSDVHYLKTMRCADFIVKEPMVIGHECAGIIEEVGSEVKTLASGDRVAIEPGITCWRCNHCKLGRYNLCPDMKFFATPPVHGSLANQIVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLIMGAGPIGLVTMLSARAFGAPRIVVVDVDDHRLSVAKSLGADDIVKVSANIQDVAEEVKQINNVMGVGIDVTFDCAGFNKTMTTALTATLPGGKVCLVGMGHSEMTVPLTPAAAREVDVIGIFRYKNTWPLCLEFLRSGKVDVKPLITHRFGFSQKEVEEAFETSARGGNAIKVGMVKKNIN >CAK8560719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37939522:37945209:1 gene:gene-LATHSAT_LOCUS14374 transcript:rna-LATHSAT_LOCUS14374 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKTGESAVTKIVNLAEEAKLAREGVVKAPNYAIGSICKSLVAGGVAGGVSRTAVAPLERMKILLQVQNRHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILQFYQQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQSEKSPYQYRGMFHALTTVLRQEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKSRPFGLVQDSELSVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMTGWHNAASVITDGRGKAPLEYSGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIALAFVSYEMVKDILGVEIRISD >CAK8533174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:587897994:587901037:-1 gene:gene-LATHSAT_LOCUS2821 transcript:rna-LATHSAT_LOCUS2821 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPDDFWSSLWSMFCFLPYFISLCILGTIKGVIFCPIICLIMTISNSTIILGLWCIHIIWTYYCVVRCKQFGPLLKFVMCTILLPLMLILWPIVGIFGSVVGGVAYGFLSPLFATFEAIEGEDNKIFHCFIDGTWSGILKTIDIVKDVRDACFHTYFSIMDDLRQDEPPNGKYYEIRPDYLLDAIVAAILGIIVDVPIISLVALCKVPYMLFKGWNRLFRDLIGREGPFLETICMPFAGLAILLWPLAVVGAFLASVIASIFLGARAGVVVYQESSFLFGLRYIVATLSLYDEYSNDVLDMPEGSCFPRPKYRRKKIEISRTTSRSNSISRTKSLTKTISRTITLTNNIAELKPFELLDGLCKECHKVGETLVSQGLITHDDIQEAMFGKESKVISIGLPAYCLLQALLRSIKADSPGILITDDTELTTTNRPKEKFFEWFLNPLLVIKDQIKAENLSVSEEDYLCKLVIFNGDSERVKNLTFGPPPESDHKLAELDALARRLQGITKFITRFPTYKRRFDVLVNTLSEELADKHGTSTIIRSKSAFPKIFSLKSFKVTKSNISDIESEDRRDSETSL >CAK8578487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632171777:632174867:-1 gene:gene-LATHSAT_LOCUS30493 transcript:rna-LATHSAT_LOCUS30493 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLNLTLLTTATDPEDVTVIQWEDFEQDLARLSSLSSALNQAKEKKRNLQHKLESLIQVNGESLGRLNELEEMRQKLESKKLMMDNMSIRSRLAKEDAAKQEEQLSGALQSLLVAGGTFSVTRRNLQESSRLLSEEDGYVRLRNLQKMLRMRQQYMTSQILMLYPVKLVVGPAQEQELEAYPLGSSAGNPPELKPVNQGTLTIQGLHLSMVSFRKMSFFTDKKEIQKSATALGYVAHAVSLIASYLQVPLRYPVRLGASHSYIIDNAPSIELTSSEASTSAKSSTNVKHVEFPLFLEGQDTTRATYAVFLLSKDLEQLLNFIGAKSLGPRHVLANLRELCRTIQSSDFLDNLI >CAK8540457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:1577528:1578214:-1 gene:gene-LATHSAT_LOCUS9460 transcript:rna-LATHSAT_LOCUS9460 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGHAVSHIFIFVCLLSIFLGTPSLCFKPKKLVNVTSNFSSDSGWASTMATWYGPPEGYGSDGGACGYGKAVGQPPYNSMISAGNPVIYQSGKGCGSCYQVKCTENPACSGNPIRVVITDLCPECNHYFDLSGKAFGSLAKSGQANNLRNAGKISVQYERCP >CAK8559980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2861627:2863300:1 gene:gene-LATHSAT_LOCUS13704 transcript:rna-LATHSAT_LOCUS13704 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLSRHLCTSSKNITATLKFSKTKFPCRYKKATLSQAQQSLTDYLHATRSIPYAFADQISKNSIHSLSNLISMLGSFSSSDFPKKLDKFLRYHPINEFEFFFESIGIQYTRISHLLPHDKFFFSEDGSLLDSACVLCEFGFPWDKLGVLYVESGFVFRMSGSELKGRLCWFQRYGFCNVQIVGICLTFPYVFGVEEGKLVDEIDGLLSELKLVFLDFDLGGSVEGNVDVWFEICRKIKVFYDLNDGKGKIGELIGRNKHVILKHKEEDLIEKVEYFCRFGVEKEEVARLILQGSELLNLDLEKPVINMLKLLKHIGMSSKGVGDVRKNYAHALGTIKIENLPNVMRAMGLQEWFFNKIKDGNHMLLVNFIESYPNEEHDKAYQGCLKTIHNARTPIHNMSKLNFMHNNGFGENATTMDILTHMHGTSVELQKRFDYLLHLGIDFSKLCKIITKQPKVLSQNPETLEKKINFLRHEMGKSLELLDTFPAFMCFDLENRIKPRFRFHMWVLEKGLSSKNYSIASMIATSDKNFVGRVFKIHPAAPKHWFEQFYPKKF >CAK8577206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544752109:544755671:1 gene:gene-LATHSAT_LOCUS29335 transcript:rna-LATHSAT_LOCUS29335 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSNSSFSAPVILPGKMNFDVFVSFRGQDTRFNFTDHLFAALESKGIVAFRDDNNLQEGESIGTKLLCAIEHSLIFVVVFSINYASSTWCLKELEKILQLSQLFGKQILPIFYDVDPSNVRHQKGSYAEAFSKHEQRFKHDSQMVQRWRVALNHVANLSGWDVRQKPHSAEIKKIVQKIINILDCKSSCVSKDLVGMDSPMQELQKLLLLDSVHEVRVIGICGMGGIGKTTLATVLYDRISQQFGACCFIDDVTKIYRLHDGPLGAQKQILDQTLGQEHHQICNHYNATNLIQRRLCRQKALIILDNVDHIEQLEKLAVHREWLGAGSRIIIISRDEHILKQYGVDAVYKVPLLDWTNSLQLFCQKAFKLDHILNSYKGLVNGILHYAKGLPLAIKVLGSFLYGRDVSEWKSALTRLRDSPEKDIMDVLRLSFDGLRETEKEIFLHIACFFNRHFGEYVKNVLNCCDLHADIGLRVLIDKSLVSIKDEMIEMHNLLEELGRNIVEENTSKESRKWRMLWFEKQLYDVKLENMEKNVEAIVFYHDSYGVVEGMDAAIFEDFSNLRLLIFEYVKVSGSLNHLSNKLRYIEWNYYPFMYLPSSFQPNQLVELILKCSSIKQLWEGKKYLPKLRVLDLSDSKNLIKMPDFGEFPNLERLNLKGCIKLVQLDPSIGLLREIVYLNLKYCESLVSIPNNILGLSSLIVLKMHGCSGCCFKEFNNPRHLDISETASYSQSMSSICKWTTKPSHSLLPIPTTNTVMFPSLFSIYCLREVDISCCGLSQLPEAIGCLRWLEMLNLGGNNFVTLPSLREFSKLVYLNLENCKCLESLPELPFPTTIEQDLRKNKYRRKTGLFIFNCSKISDKEKCSRMIFLWMTQFIQVNKEYPAFFDIGIMIPGSEIPSWFNNQSVGSSIPISPFMQDKGNNVVGFLCCTVFSLAPCYPNMTSSEKPWVYMRLHASPLRTTAYIRTIANEDVISIKSNHIWLIYFPWESSNNVVYDGFRVETSRTGGLDAEVKKCGYRWIYKQDLQEFNSTMMHLGNKLALKRKFWEIEDEAQPQPLLHSFI >CAK8568898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652243939:652253323:1 gene:gene-LATHSAT_LOCUS21807 transcript:rna-LATHSAT_LOCUS21807 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSQYALPSCFIPSLSCAASTLLRSRLRSTIRCCTTLSSTSSETPTPTSTSIKKRVASGVQPTGSIHLGNYFGAIKNWVALQDAYDTLYFIVDLHAITLPHDRQQLSKDTKSTAAIYLACGVDPSKASVFVQSHVRAHVELMWLLSSITPIGWLNRMIQFKEKSRKAGDDEVGVALLTYPVLMASDILLYQTDFVPVGEDQKQHLELTRDLAERVNNLYGGRKWKKLGGRGGALFKVPEPLIPPTGARIMSLTDGLSKMSKSAPSDQSRINILDPKDLIANKIKRCKTDSFPSLEIDNPERPECNNLLSIYQLASGKTKEEIVQECQNMNWGTFKPLLTDALIDHVQPIQARYEELMSDSGYLDQVLAEGARNAADIADATLHNVYQAMGFSIRH >CAK8568408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604248728:604251448:-1 gene:gene-LATHSAT_LOCUS21367 transcript:rna-LATHSAT_LOCUS21367 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEKVDAGNLSDSEEAINAVCVSADEENIILNPQFEDGLNNWTGRGCKIALHDSMGDGKILPKSGKFFAVATERTQSWNGIQQEITGRVQRKLAYEVTALVRIFGNNVSTSDLRVTLYVQKADLREQYIGIANVQATNKDWTQMQGKFLLNDSPSKVIVYFEGPAAGTDILLNTLVIKHAAKTPPSPPPDFENVAFGVNIIENSNLDNGTNGWSPLGNCTLSVGTGSPRVIPPMARDSLGPHESLSGRYILVTNRTQTWMGPTQTITEKLKLYLTYQVSAWVRTSSGSHGPQNVGVSLSVDGQWVNGGQTEVADSRWHEIGGSFRIEKQPSNVFVYIQGPVSGVDLMVAGLQIFPVDREARFRYLRKQTDKIRKRDVTLKFSGVDTCEYAFVKVKQIENDFPIGSCITRTNIDNEDFVDFFVQHFNWAVFGNELKWFWTEPQKGNFNYKDADDMLDLCQKYKIDIRGHCIFWEVDSNVQQWVKSLNKNDLLTAVQNRLNGLLTRYKGKFKHYDVNNEMLHGSFYQDRLGKDIRENMFKTAHQVDPSANLFVNDYHVEDGCDTNSSPEKYMQQILDLQDQGAPVGGIGIQGHIDSPIGPIVCSALDKLATIGLPIWFTELDVSSTNEYIRGDDLEVMLREAMAHPSVDGVMLWGFWELFMSRDNAHLVNAEGEINEAGRRFIDLKQEWLSHSHGHVDEQGEFNFRGFSGMYDVEVVTLEKATSKTFFVDKGDSSLVISIDL >CAK8567340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506470872:506471887:1 gene:gene-LATHSAT_LOCUS20404 transcript:rna-LATHSAT_LOCUS20404 gene_biotype:protein_coding transcript_biotype:protein_coding MEINADDSKLVVLITGCSGGGIGHALARCFAANNCIVVATSRSRSTMVDLEHDPKFFLQELDIQSDESVNRVVDTVMNKYSRIDILVNNAGVPCAGPIADIPLSAIKNTFETNVFGSLRMIQAVVPHMAAKKQGKIVNVGSIVGLAARPWSGAYAASKAALHALTDTLRLELGHFGIDVVNVVPGLVKSNMLKSSLSIYDSMPEWKLFKPFETGFRDAFVLAQKSQSATPTDEYAKHTVAAILRKKPPAWFGYGKYTTIMAIMYHLPLCVRDFLFKKEMKL >CAK8562706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530282501:530284796:1 gene:gene-LATHSAT_LOCUS16180 transcript:rna-LATHSAT_LOCUS16180 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGIRKSVSMGNLSHYTGSGSGSLHTGLNNLDSPGETPDHAAVADGYASEDFVPGSSSTSRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVQDDAADTSMVPQDFMSANQLQTETEGNNPLPAPPPLDEECESMDSTNSNDGESASIPLLKPDSNAQTSSYPVVYPAYYSPFFPFPLPYWSGYSPEPAPKNETHEVVKPTAVHSKAPINVDELVGMSKLSLGETIGDAGPSTLSRKLLEEGPSRQSAFHATPACGTVL >CAK8539980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533729360:533730574:-1 gene:gene-LATHSAT_LOCUS9025 transcript:rna-LATHSAT_LOCUS9025 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQEKQELKMEIEEVCEAQALPLLSLNHVSLMCRSVWDSMKFYEDVLGFVPIKRPSSFKFTGAWFYNYGIGIHLIQNPDVDEFDTYVNESRPINPKDNHISFQCTDVELVKKRLEERGMRYVTALVEDEGNKVDQVFFHDPDGYMIELCNCENIPIIPISSCSASFKPRSHSFKKSPSKCGFIENVMMENLSLDMMNFAF >CAK8539981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533729360:533730544:-1 gene:gene-LATHSAT_LOCUS9025 transcript:rna-LATHSAT_LOCUS9025-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEVCEAQALPLLSLNHVSLMCRSVWDSMKFYEDVLGFVPIKRPSSFKFTGAWFYNYGIGIHLIQNPDVDEFDTYVNESRPINPKDNHISFQCTDVELVKKRLEERGMRYVTALVEDEGNKVDQVFFHDPDGYMIELCNCENIPIIPISSCSASFKPRSHSFKKSPSKCGFIENVMMENLSLDMMNFAF >CAK8542874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554487744:554491539:-1 gene:gene-LATHSAT_LOCUS11675 transcript:rna-LATHSAT_LOCUS11675 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLKKVSADLRASIWKQMSDAGIKYIPSNTFSYYDQVLDATATLGAVPPRYGWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDVNFVYSSHKAVEEYKEAKALGVETVPVLVGPVSYLLLSKPAKGVDKTFSLLSLLPKVLAIYKEVIADLKAAGAQFIQFDEPTLVLDLESHKLQAFTDAYAELTPALSGVHVLVETYFADLTAEAYKTLTSLSGVNAYGFDLVRGAKTIDLIKAGFPSDKWIFAGVVDGRNIWANDLADSLKTLETLAGIVGKERIVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALANAFSGKKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKAKKISEEEYIKAIKEEIRQVVELQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSALAQSFTKRPMKGMLTGPVTILNWSFVRVDQPRSETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKSEHAHYLDWAVHSFRITNVGVQDSTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYSEVKPALTNLVAAAKQIRNELAK >CAK8575277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:68204805:68205281:-1 gene:gene-LATHSAT_LOCUS27551 transcript:rna-LATHSAT_LOCUS27551 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVCECECKMRRNINQMWEPVGQILGCNTNIMELVGLGYTGWFLYRYLLFKSSRKELATDIEALKKKIAGTE >CAK8540886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29191267:29191683:1 gene:gene-LATHSAT_LOCUS9845 transcript:rna-LATHSAT_LOCUS9845 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPGLMAESLETWGVKISQDQVYREKRKTMDLIQGAGINQFNHLRSYSEELLKSNSRSTMYVQCVESKENHVLERIYICLETCKASFAKTCRPLIGLDACFLKGEYGGQLMFAVERDSNNQIFNVAYAVVEAESKDS >CAK8536927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40064645:40065732:1 gene:gene-LATHSAT_LOCUS6251 transcript:rna-LATHSAT_LOCUS6251 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDIDDGPKVDMSSVIDELWKRFKSLDVIGKRTLKSRIFELAFHTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHEYVDQASQSSEMQSQPSQTSKKLKLSQFSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIGDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRECLMIEEFDPQPPHKWLTLPDMGYVIVNRYNIVLVCLGIECWTFFPMITSFSPNVAIYCIGFVNKNNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHWQQLTPILPTHYKL >CAK8534641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751623540:751626697:1 gene:gene-LATHSAT_LOCUS4170 transcript:rna-LATHSAT_LOCUS4170 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLGKNKKHESQKQHSRNDKERGGRISCSNFQTQNQKPIPLPLPPQVASSMSKKSSFGTQSSNTKKPTNKYALIPDNFTTLEQVIAALRKEGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGETPNPYEKAITIIGKTLAPFDDDNLIPCFGFGDATTHDQQVFSFHSDHSPCHGFEEVLDCYKKVVPNLKLSGPTCYAPVIEAAIDIVEKSHGQFHVLVIIADGQVTRSVDTNDYELSPQEEKTIKAIANASAYPLAIVLVGVGDGPWEDMQKFDDKIPARDFDNFQFVNFTKIMSKNSSPAEKEAAFALAALMEIPFQYKACIEFRKLGRVTGRATRIIPKAPPVIYSRPAPTIAPNISTASTTTDEQNQSACPVCLTDGRDLAFGCGHMTCRDCASKLSHCPICRVRITSRLRVYTG >CAK8561104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:94737839:94739471:1 gene:gene-LATHSAT_LOCUS14725 transcript:rna-LATHSAT_LOCUS14725 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFSLTPLFLCLFISQVHGQTQNPKCDIQDHGSTLKVFHIFSQCSPFKPSKPMSWEESVLQLQAKDQTRMQYLSSLVAGRSVVPIASARQIIQSPTYIVKAKIGTPPQTLLLALDTSNDAAWIPCTACVGCSTSKPFAPAKSTTFKNVGCGSTQCKQVPNPTCGGSACAFNFTYGSSTIAASVVQDTLTLATDPIPSYTFGCVQKTTGSSAPPQGLLGLGRGPLSLLAQSQNLYRSTFSYCLPSFKSPNFSGSLRLGPTAQPKRIRFTPLLRNPRRSSLYYVNLVAIKVGRRIVDIPPEALAFNPTTGAGTIFDSGTVFTRLVEPVYTAVRNEFRRRVGRKLTVTTLGGFDTCYNVPIVAPNITFMFSGLNVTLPPDNTLIHSTVGSTTCLAMAAAPDNVNSVLNVIANMQQQNHRVLFDVPNSRLGVARELCT >CAK8530643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37767532:37768053:1 gene:gene-LATHSAT_LOCUS493 transcript:rna-LATHSAT_LOCUS493 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCIKCVGSRVCECGGDNGNGVTMWSAGSRKQQQQQQKLPKRGPGVAELEKILKEQGTNDLPATQRGNNEGFSISLSWPCPDSSVLHSHVPSLPPVIGSTYGNDMNSSLGGNGDGVQYDDSGSSPPRNLSAAMPMPWSPSVHSDGKPFFNFLEVKDQDAESSNDGIDLTLKL >CAK8542257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494097692:494098525:1 gene:gene-LATHSAT_LOCUS11106 transcript:rna-LATHSAT_LOCUS11106 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYYSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRPLISDLDEVERHLKRNGFIKNYWVWTYNGEQLPSNVYAETTNTHASSSQSHMESEEQFNLINDMVGNAFGVNVTYDEPQDFDGEELLNEEAQRFYQLLKEMNTLLFEGSTDSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINVCMFFMTMSLVLMMRRWRNISSVRVRDIKFVVKPLTVNKNV >CAK8568385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600977072:600978569:1 gene:gene-LATHSAT_LOCUS21345 transcript:rna-LATHSAT_LOCUS21345 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQNLKILIATTLRVFTMLSLFCCTNVAITTTNNLNPLILIPGNGGNQLEAKLTTQYKPSSLICDPWYPPFKKKNGWFRLWFDTTVLLAPFTKCFASRMTLYYDKQLDDFFNAPGVLTRVPRFGSTYSLLYLDPSLKVVTDYMAPLVESLEQLGYIDGENLFGAPYDFRYGLAAPGHPSRVGSKFLNDLKNLIEEASNSNGGKPVILVSHSLGGLYVLELLNRNPSSWRKKFVKHFIALSAPWGGTVDEMFTFASGNSLGVPLVNPLIVRNEQRSSESNLWLLPNPMMFDGEKPLVTTPNRSYSAHDMVDFLKDIGYPEGVYPYETRILPLIAKIGAPEVDVTCIVGEGVRTPEELFYRNGDFDEPPEFLYGDGDGTVNMVSLLALEKLWKDEKNQYLKVIKIEGVSHTSILKDKVALDEIIGEISRINSNVQISGSNVSFAISDAK >CAK8530144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2950613:2951390:1 gene:gene-LATHSAT_LOCUS34 transcript:rna-LATHSAT_LOCUS34 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGEIVNVEAESLMPLPLVSMNHVSFVCRSVQESVKFYENVLGFVLIKRPSSFNFQGAWLFNYGIGIHLLESDKVPVKRREINPKENHISFQCSDMKIIMKKLEAMKIEYVTAVVKDGGIKVDQLFFHDPDGYMIEICNCQNLPVLPISTTKEIQTPPFYGEAKNYYAQDVLLMMDKLMIDMLRISI >CAK8560590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27031260:27033716:-1 gene:gene-LATHSAT_LOCUS14251 transcript:rna-LATHSAT_LOCUS14251 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTATKLPHSLLAVPVNSSTPRSNEKSLSFSSDLFKHNPSSSSFLGSTQKFLRFNSLSKPFLHRRSSPPVAVLLQETSNLLITKEEGLVLYEDMVLGRSFEDKCAEMYYRGKMFGFVHLYNGQEAVSTGFIKYLRKEDCIVSTYRDHVHALSKGVPSRAVMSELFGKATGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFSMKYKREVLNQADSDNVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHIRATSDPQIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRNPAEKEHYAARDPITALKKYLFENKLASEQELKTIDKKIDEILEDAVEFAEKSPQPQRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >CAK8569163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677708036:677716549:-1 gene:gene-LATHSAT_LOCUS22047 transcript:rna-LATHSAT_LOCUS22047 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRRRHHFYQRFRLMIPMISSVAAALLFLFAMLSFLAPFPTESDHMHGRRRYTAFNGKTNDAIGKPDASGKPVFRVPKDGGKMSHDVWSSRNSEHFYGCSNASSKFPKAQVTTRPNRYLLIATSGGLNQQRTGITDAVVVARILNATLVVPKLDQRSYWKDSSNFSEIFDVNWFTSYLSNDVKIIKQLPISKGRKALSAYSMRVPRKCNERCYINRILPVLVKKRAVQLNKFDYRLANRLDTEYQKLRCRVNYQALRFTDPIQEMGKKLVKRMRMRKKHYIALHLRFEPDMLAFSGCDYGGGEKEQKELSRIRRRWKTLHKSNPDRARRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLAPLKALFPNFHSKETITTKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNRSNLTWDVFASGVRTFQKGFMGEPKELRPGRGGFHENPSTCICEDSVAKATKNSGPRKFSNKKVNDEVEVDDNDDNDNDNDNDNDDDDDQNDLTDKDMFNETMSDYETLNFEDPELEEIISD >CAK8564880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14891118:14892068:-1 gene:gene-LATHSAT_LOCUS18136 transcript:rna-LATHSAT_LOCUS18136 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSVLCTPRPFHDFTCLSLIQDKLDPFCGIKDQISDDGSFDFDNFSFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISIETQNKILQNITTKASSESYEKSNFSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNESNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8576882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521758878:521760727:1 gene:gene-LATHSAT_LOCUS29044 transcript:rna-LATHSAT_LOCUS29044 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGDESEYLRSELALLQFSGANFKSPLSPLFIHIHDDDDQTSSTSFGSESEPSSQQQQNEQQHPKDEWLPLTESRSGNAYYAAFHILNSNIGFQALMLPVAFSILGWIWGSVCLSIAFIWQLYTIFLLIELHESVSGKRQSRYLFLAMSAFGERLGKVAALFPVMYLSGGSCVMFIITGGGTMKLLFKILCENDNGTTCSTHSLSGVEWFLVFTCLAILIAQLPNLNSMAAVSLVGAVVSISYCTLFWSLSVKKGRPMGVSYKTTLMPQESTLVKISDILNAIGIVVLAFRGHNVVLEIQGTLPSNLTETSKQPMRRGVTISYILIAMCVFPLSIAGFWAYGNQIPSDRGLLTAFPQFHKQQVTKFTMGAIYVLVILHCLSSFQVYAMPVFDNLELRYTTLKNQKCPRWLRTCFRLFFGGFTFFIAVTFPFLPSLAALIGGMTLVPITYAYPCFMWLAIKKPRTKGISWCFNLVLGCLGMVLSVLLVASAIRTLADKGLNANFFKP >CAK8560941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61369106:61372837:1 gene:gene-LATHSAT_LOCUS14577 transcript:rna-LATHSAT_LOCUS14577 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSVGTAKTLERYQRCSFNPQQDDHQIDGETQSWYQEVSKLKTKYESLQRTQRQLLGEDLGPLNIKELQNLEKQLEGALAQARQRKTQIMIEQMEELRKRERHLGDMNKQLRLKLEGEGFNLKAMENLWNSNSVAGNSNFTFQQPQTNPMSMTMDIQAEPFLQIGYQQYFQAEASNASKNMACETNFMQGFFL >CAK8542151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478790121:478792965:-1 gene:gene-LATHSAT_LOCUS11016 transcript:rna-LATHSAT_LOCUS11016 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRERECEKSVSRVNGSVADTTTTQFTIDENLLVDPKLLFIGSIIGEGAHGKVYQGRYVDRIVAIKVLQRGSTSEERALLENRFAREVNMMSRVHHDNLVKFIGACKDPLMVIVTELLPGMSLRKYLTSIRPNPLDLHVAINFALDIARAMDWLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPKIPDDISPDLAFIIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSPLSPPLPEPDNEPEAASTSNDNGAITEFSARNKGKFAFLRHLFSSKRIKN >CAK8576270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440815147:440815704:1 gene:gene-LATHSAT_LOCUS28472 transcript:rna-LATHSAT_LOCUS28472 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKDNNTNNTNGYHHQPPPPPPEMVHNHINRDRARRVSRRSRVSTSNSLVRVSDTVQPQHLQQSNRSPCTDYDMAYFHSYAHLDIHQEMIKDRVRTDTYREAIMRHQSLTVGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIALQANEVVKANDLSDVVIVLHGRVEDVEIDEEVDVIISE >CAK8574328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675512240:675512632:-1 gene:gene-LATHSAT_LOCUS26683 transcript:rna-LATHSAT_LOCUS26683 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSKLTMRLLIGLKTAKVNFVKASKPAVDFIFHMLCLPIDSIGNMYQSVRINGDNHCYREEQTNDNEEGDYYGEEENYDSRKTTTITMRKNTIILKIVTTSMTVNVITLRNRQKTTQMVTITRKKET >CAK8572745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559764203:559767418:-1 gene:gene-LATHSAT_LOCUS25274 transcript:rna-LATHSAT_LOCUS25274 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLSRTAKKLTSSPIQELSHLAQRCNAINLAEGFPDFPAPLHIKNAAVSAINSDLNQYRHVQGICEHLAKMVKEMHGLDIDPLIDVAICCGQTEAFAAAIFATIDPGDEVILFDPSYETYQGCVTMAGGVPIHVPLDPPQWTLDPSKLLRSTTERTKAIVLNSPHNPTGKVFTKGELETIAGACCSRNCLAITDEVYEHITYDNQKHISLATFPGMQERTIITSSLSKTFSVTGWRVGWAIAPACIASAIRNIHIIVTDSAPAPFQEAALTALRSPPEYFESLRSDYQSKRDYIVKLLAGVGFKIQFIPQGSFFLFAEIPENCSLSDVEFVKKLILEAGVVAVPGQGFFHTNNLSSNEASDANCNYQKRYIRFAFCKSEATLAAVSERLGKLLDAAGHLALH >CAK8539668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520834515:520836547:-1 gene:gene-LATHSAT_LOCUS8746 transcript:rna-LATHSAT_LOCUS8746 gene_biotype:protein_coding transcript_biotype:protein_coding MTVESQVFLKPPSSIDENSLNDSLQLIEEMTINTDSFQENVLADILSQNADTEYLKQFELNGATDRETFKSKVPVITYEDLLPYIQRIANGDTSPILSAHPISEFLTSSGTSAGERKLMPTIHQEMDRRQLLYSLLMPVMNKYVPDLDKGKALHFLFIKAETKTPSGLVARPVLTAYYKSEQFKKRPFDPYNVLTSPNEAILCPDSFQSMYTQMLCGLIMRHEVLRVGAVFASGLLRAIRFLQLNWAQLAHDISTGTLNPKITDPSIKECMSKILKPNPKLADFITKECSGENWERIIPRIWPNTKYLEVIVTGAMAQYIPTLDYYSGNLPKPCTMYASSECYFGLNLKPMSEPNEVSYTIMPNMGYFEFLPHDDSSPITLSRDSPPRLVDLADVELGKFYELIITTYSGLCRYRVGDILQVTGFYNTDPQFKFVRRKNVLLSIEADKTDESELQKAIENASELLKEFNTSVVEYTSFADAKSIPGHYVIYWELLMKDSSNPPTDEVLNQCCLVMEESLNTVYRQGRVADNSIGPLEIRVVKNGTFDELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSFHFSPSAPHWTPERRR >CAK8564721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7567561:7569851:-1 gene:gene-LATHSAT_LOCUS17993 transcript:rna-LATHSAT_LOCUS17993 gene_biotype:protein_coding transcript_biotype:protein_coding MANGKTGIPNWFQKKITDPLLAILQRGAEPKQLAFSAALGITFGVFPICGVTVFLCGVAIALLGSLCHAPTLMLANFMATPIELSLIVPFLRLGEFMSGGPQFPLTSDALKKVLTGQASHEVILSVVHAIFGWLVASPFILGTLYIIFLPCFRILLQKFSNVSSSPKKPLHPHSEGVSLKVI >CAK8567756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541729085:541731106:1 gene:gene-LATHSAT_LOCUS20779 transcript:rna-LATHSAT_LOCUS20779 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSNCDPVCDGCDPVCDGESVGVVLNQMTTVPECDLQPLKIDSYSCSDCDDVSSVVSMKEGCGSPMSSWDSEPETDFSSAISCHVLPDESLPNESLPNVDLEGNYPDLEEENQFVGVENNDDGFSWEMEEENQFVGVENNDDGFSWEMDNRSYEELLKKFIEKEEELRVLNFKLQLSEQEIIGLKVQVEDSESQINDVHDKLGLREDELYEQREFLDNEIFKLRTIIENSESQLDSMHKKYNANNVQLLMMHKKLNQKDVELDNVRGALNLKNGELDDVHKELELKSDQFDNIYKELKLKAEELNEQKELSEKLNQKDVELDNLRGDLNLKKGELDDVRKELELKADQFDNICEDLKLKAELLEKEIFKLKTQIKESENKLENVQEELSLKKEELQKQTAKLDTHFPECVNKITNLMEQLKEAQKKLKTANKDIAQELNSKTSKIHQLQCQMEEQRKYIASLECNIDVMADAKKDTDINHKEELKKLNSKLLEIQAMFSWERESLSSKLEEYESRKKELEKNVMLHEGEKSKHSAQVKLLQDEISCLKKDFDQRMNEVEAANKESRKVMDEINEARVDKFKAEIRSRGDQISHMKKHINELNTSLKEMAVHYRTKVNDENKLIMRVEELEKQVSNKNGGILEKGEEKKEAIKQLWSSLEANWSGCSDLFKR >CAK8534308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714590024:714592458:-1 gene:gene-LATHSAT_LOCUS3861 transcript:rna-LATHSAT_LOCUS3861 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMTQIRTGNFTSGFSLNYTKKVYGRPNRVGFKVFASESGSSSEPDLSVTVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRANGNGSTKGEIIGWQNIELISDRPLETMLKEFKQLKDEYPDRILIASIMEEYNKAAWEELIDRVEQTGVDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDISQPARVALSSGSEGIAAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSAKAVHPIALGKVMSIAKMMKAEFDNENYSLSAIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLSDELKDFMKKHNFKSIEDFRGASLEYFTTHTDLVKRQQEAIKQRKAIKKGLQSDKDWTGDGFVKESESMVSN >CAK8570423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44952695:44954025:1 gene:gene-LATHSAT_LOCUS23171 transcript:rna-LATHSAT_LOCUS23171 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGAAPAGDRGGFGRGFGGGRGGRGGDRGRGRRRSGGRREEEEKWVPVTKLGRLVKEGKIHTLEEIYHHSLPIKEHQIVDTLVGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEYTDLLAKPTGKTLILEEERVDA >CAK8535225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827699660:827700246:1 gene:gene-LATHSAT_LOCUS4698 transcript:rna-LATHSAT_LOCUS4698 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGTSKGAAADEE >CAK8560154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9035556:9038160:-1 gene:gene-LATHSAT_LOCUS13858 transcript:rna-LATHSAT_LOCUS13858 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINGNQWNNEVDDNEVEEISLQRKSVVAQYASSSSTSNSDNEEKGGGLDFVESETNPKFEVVIAERNAEMIIPQEKIDENDMILPASDPPLDEDSTSLINVTNESDKVIIVKENGGEKQEFYLENVFEKPPSHGFYCPNCNVCIQKVYIQRIYIQPMRQDETIRCSSCFSFLIPIGNWLFPGLVSNGEGELNNQGSLSNQKVHQSVTNIQSSITEQTLEVSSQHNTSKLVQSDELHKSVTRTVDESGKQTLQIIEDVVIHEPQKGQVVTKKKQFWRKWGVIGGTSSQASETVTTENRDWKVTSSSEIKKQTETLSQENSDWKAISSVSQPQTDFPDKEQADFVEVKVDGASAGSGEEVVPSVTERTPLLTPSNPIVETRSKKLEIVKSIVYGGLAESLASLSVVTSAASADAATLNIVALAIANLIGGLFALGHNLRELKGEQPKRSNTEAEAVVDQYNEVLGERKNFILHAFIAILSFIVFGLIPPVVYGFSFRENDEKDFKLAAVAGASLICITLLSILKAYIKRPNSYLTYFQTVFFYVSTGAVATVLSYLAGDMMKKLIEKLGWFEPASSLALSLQHQHGLGSY >CAK8543819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641348830:641351530:1 gene:gene-LATHSAT_LOCUS12550 transcript:rna-LATHSAT_LOCUS12550 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRGTTWWSEELASLMENPLPEQTPSTASFEVRKSEHEVVAESGGGESLKEHAVGFLMAWCEILMEFGRGCRDIILQQNFLNDDSYLVKNLRGPCSKVSKRLRFLNDFLPEDRDPVQAWSIVLTVFLLAFAAIILDSNHETLTKAVMLRMHPPIASRILLPDGRYLAYHDQGVSAGRARFSLVAPHSFLSSRLAGIPGVKALLLEEYGVRLVTYDLPGFGESDPHPNRNFNSSAMDMLHLVNAVNVTEKFWVLCHSSGCIHAWASLRYIPERIAGAAMLAPMVNPYESHMTKHEMKRTWEKWLPRRKYMYSLAYRFPKLLSFFYRKSFLPEKHDRIDKQFSLSLGKKDEILVDEPAFQEFWQRDLEESVRQGNMKPFIEETVLQVSKWDFDIEELRVHKKCRTGSLLLWLKSMYGQTECELAGYLGCIHVWQGLDDRAVPPSMMEYIERVLPEAVIHKLPNEGHFSYYFFCDECHKKIFSTLFGTPQGPIERQEETAFEDALQVSVSDTE >CAK8544567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693080739:693090631:1 gene:gene-LATHSAT_LOCUS13233 transcript:rna-LATHSAT_LOCUS13233 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPWNALLSFSTLTSSKSYLSALQSRSDMFMDGFDENDIDVDADDDDVKEEFLCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCALRVGVDMVAHIALQHGSILKMQRKRKSRKGGSYSTLSLLRKELREGNLQSLLGGSSCTLSSSNAAPDPLLSSFILPVADELSSSQSQPNFLTGTRQSRKSSDETLSKEKVETPTLSVKDKEEKEKRCEFVQGLLLSTFLDDIS >CAK8539789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525413878:525414846:1 gene:gene-LATHSAT_LOCUS8851 transcript:rna-LATHSAT_LOCUS8851 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKQQNHFVLVHGVCVGAWCWYKLKPQLESIGHKVTTLDLAACGTNTQKIEDVDTFADYSKPLLEFLASLDPNEKVILVGHSFGGMSIALAMEKFPEKIAVGIFIAAFTPDTLHQPSYVLQQYIERYPMSGWLDTEFSFDERKMNVLTGLNFLSTKFFQLCSKEDFELVKILRRKGSLFVEDLSEVGNLSKERYESVPRAYVVANEDLAIPIEFQKWMIQNAGIDVVNVINGADHMAMLSKPQQLCLSLLGIANKYVI >CAK8579599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711633832:711634152:1 gene:gene-LATHSAT_LOCUS31532 transcript:rna-LATHSAT_LOCUS31532 gene_biotype:protein_coding transcript_biotype:protein_coding MMKERGQPKKNKVTTSSAFTMFTVEILESSTKGDGNDIENGQGEESSEGEVIAQTLVKNHSLEEEEKSIHKEERNTELWVDIISGNRIPANAMNIDFVAPKIIEGE >CAK8535671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873581983:873583238:-1 gene:gene-LATHSAT_LOCUS5104 transcript:rna-LATHSAT_LOCUS5104 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTYSAPIQTSFLLSHSMESSHEPQLNHHYHPKTLATTSSKTKNKNFASRSSLVKNPHQNIVEQEEVLFSSYSLNKQVLDHEEGGKKVGKLQTLVMGDGGMGCDDGGRICGGGNGNGWENNYGRDETDAYYQNMIEANPNNYLLLGNYAKFLKEVCGDYGKAEEYVERAILANPSYGDALSLYANIIWQTEKNADRAEAYFDQAFKSDPNDCYVLASYAKFLWDSEENEDEDNDWQHKNHTYSPEMTAASKI >CAK8538994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501283807:501284745:-1 gene:gene-LATHSAT_LOCUS8134 transcript:rna-LATHSAT_LOCUS8134-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCYARHGLGEDALQLFVLTLRKNIRPTEYTISCLLSSVSIFLPVELGNQIHALVPKLGFESDAVVANSLVDMYAKFGFIDDALNIFNEIKAKDLVSWNTIMMGLSYNGRVSVTLDLFKELIREGMQPDRLTFTAVLLACNYGSLVDEGIRIFSLMEMEFGVKPEEEHYSYVVEILCRAGKVKEAIDIVEKMSCGTTPDIWRSFISACARYGDLQATEIVATRIMERSPQTSLPYLVLAQVYQMSGRWESTVRVRKAMKNRGSKELIGYSWVGIKNHVYTFGSNQLQHYGGKDIYMLLNLLVWEMETDCNV >CAK8538993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501283807:501285696:-1 gene:gene-LATHSAT_LOCUS8134 transcript:rna-LATHSAT_LOCUS8134 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFFKQTQCLHSSLSHCSILLDHSLSYRSSNFLKTLHAHFLKLGLNSYTYLGNRCIDLYTEFGHINDALKVFEDISYKNSTSWNICLKGLFKSGQFDNACHMFDRMPVRDAVSWNTMISGYASCAFSRHALELFVKMQEIGVRPSVFTFSILTSVVSSPFHAKEVHARMIRSWMNLSNVVIGNSLVTMYGKFGLVDYSFGVIFSMKQLDVISWNSLIWACHRAGRQELALEQFCCMRAAELLPDEFTCSTLMSVCSSLRDLEKGKQVFAFCFKVGFVYNSIVSGAAIDLFSKCNRLEDAVRYFKEHEQWDSALCNSMISCYARHGLGEDALQLFVLTLRKNIRPTEYTISCLLSSVSIFLPVELGNQIHALVPKLGFESDAVVANSLVDMYAKFGFIDDALNIFNEIKAKDLVSWNTIMMGLSYNGRVSVTLDLFKELIREGMQPDRLTFTAVLLACNYGSLVDEGIRIFSLMEMEFGVKPEEEHYSYVVEILCRAGKVKEAIDIVEKMSCGTTPDIWRSFISACARYGDLQATEIVATRIMERSPQTSLPYLVLAQVYQMSGRWESTVRVRKAMKNRGSKELIGYSWVGIKNHVYTFGSNQLQHYGGKDIYMLLNLLVWEMETDCNV >CAK8572822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565615482:565615991:1 gene:gene-LATHSAT_LOCUS25344 transcript:rna-LATHSAT_LOCUS25344 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKGKNPDRELLKYTNNFTSDEDHDVIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFVSFVTGEASDKCHKEKRKTVNGDDVCWAMATLGFDDYAEPLKRYLYKYRELDAERGNNQNKGQEIETCNNYDGKKQQSPRKGSSSMFNNRNSGPQSRGF >CAK8532192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218333293:218336139:-1 gene:gene-LATHSAT_LOCUS1923 transcript:rna-LATHSAT_LOCUS1923 gene_biotype:protein_coding transcript_biotype:protein_coding MELEYQWLMGKLWWTVGLIVISSVIILVEKVLLKPRRIRSVIEKQGIKGPKPSFPYGNISEMQQILPQPSASADPYQEWIHSLFPYFQTWKQRYGSVYLYSTGLKQHLYVGKPELIKDINLHTSLDLGRPSYLSKPLMPMLGNGILRANGQLWSFQRNLIVPEFFMSKIKNMIDIMEESTLTIIKKWERCITENKEKIAEIVIEVDLKILTEDIISKACFGSDYTQGKQIFSKLKDMQAALSKPSILFGFLNLSFFPTKESKEMWRLKKEVDELVMNIVYNREMQNRENNLNEKRNDLLQKILEGAASDANSNDVGKNKNPIIIDLCKNIYFAGSESTALAITWTLLLLALHPEWQQRVRSEILDTFDNSSPRFFDNSSKLQKLKVLTTVIQESLRLYGPGVFATREVLADMKLGEFVLPKGIFTWLFIPSLHRDIDNWGPDATEFKPERFDNGVSAACKYPQAYIPFGLGSRSCLGQNFAITQMKIILSLLVYNFSFEVSPNYRHFPVYNMLLMPKYGLKLLVSKVDSSGK >CAK8533856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665358960:665360601:-1 gene:gene-LATHSAT_LOCUS3447 transcript:rna-LATHSAT_LOCUS3447 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPTTTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8530997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68864663:68866984:-1 gene:gene-LATHSAT_LOCUS819 transcript:rna-LATHSAT_LOCUS819 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGTCCSSYPAPSIGGSRDYRELGPQRKHVLTQRSLHFVDVPSHNFTVEYSVFTQRGYYPDSPDKENQDCYCVKTQLQGNPNVHFFGVFDGHGEFGGQCSNFVKNRLVEKLSNDSALLEDPVKAYNSAFLDTNDDLHKNEIDDCMSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRIVAEDLSSDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMIPGAAFTRSVGDSLGETIGVIAVPEVLTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNSGISEYGSGEINFSTVTKVKKGKGTSGVSAANALDVHRAMRNGVPDSLSCQHVVSSRSQAIVVPSPTCQSPDES >CAK8562553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508950700:508954899:-1 gene:gene-LATHSAT_LOCUS16041 transcript:rna-LATHSAT_LOCUS16041-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSFTTSLLLTLTIFISSSHQPLALKHKPQFFGKFASSTNNHSHSHQQSNFHYETRFFQQQLDHFSFSKSPKFPQRYLINTDNWVAGSGPIFLYCGNEGDIVWFAQNTGFVWEIAPQFGAMVVFPEHRYYGESVPFGSKEAAYKNASMLGFLNAEQAIADFAVLITDLKHNLSADGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPLETFYDIVSNGFKRESSTCFNYIKQSWDEIESKGQTSDGLDFLTKTFNFCQKLKSTGDLFGWLESAYSYLAMVNYPYPSEFMMPLPGHPIKEVCRRIDGGPAGTSILERIYEGVNVYYNYTGEAKCFELDDDPHGLGGWEWQACTEMVMPMSCSKESSMYPPYEYNYSAFEEDCFKKFGVKPRPHWITTEFGGHNIHKVLKNFGSNIIFSNGLLDPWSGGSVLQDISESIVSLVTKEGAHHIDLRASTKNDPDWLVNQRAAEIKLIQGWISEYYQDSQAVYEVKIPSLLSKISSSLSKI >CAK8562552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508950700:508954908:-1 gene:gene-LATHSAT_LOCUS16041 transcript:rna-LATHSAT_LOCUS16041 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMARNSFTTSLLLTLTIFISSSHQPLALKHKPQFFGKFASSTNNHSHSHQQSNFHYETRFFQQQLDHFSFSKSPKFPQRYLINTDNWVAGSGPIFLYCGNEGDIVWFAQNTGFVWEIAPQFGAMVVFPEHRYYGESVPFGSKEAAYKNASMLGFLNAEQAIADFAVLITDLKHNLSADGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPLETFYDIVSNGFKRESSTCFNYIKQSWDEIESKGQTSDGLDFLTKTFNFCQKLKSTGDLFGWLESAYSYLAMVNYPYPSEFMMPLPGHPIKEVCRRIDGGPAGTSILERIYEGVNVYYNYTGEAKCFELDDDPHGLGGWEWQACTEMVMPMSCSKESSMYPPYEYNYSAFEEDCFKKFGVKPRPHWITTEFGGHNIHKVLKNFGSNIIFSNGLLDPWSGGSVLQDISESIVSLVTKEGAHHIDLRASTKNDPDWLVNQRAAEIKLIQGWISEYYQDSQAVYEVKIPSLLSKISSSLSKI >CAK8531774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150458302:150460932:1 gene:gene-LATHSAT_LOCUS1540 transcript:rna-LATHSAT_LOCUS1540 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLNQRLLFLPYPSSLTPNKHLPPPSAASKSRSPSVWIDHLRSQAQSSSSFHQAISTYANMLTAGVPPDNFAFPAVLKATAGIQDLYVGKQIHAHVFKFGQALPSSVVAVPNSLVNMYGKCGDIHAARRVFNEMSNRDDVSWNSMIATAYRFEEWELSLHLFRLMLLENVEPTSFTIVTVAHACSNLSNGLLLGMQVHAFMLRNGHWRTFTNNALVAMYAKLGRFHEAKALFDVFDDKDLVSWNTIISSLSQNDRFEEALLYLRDMLQRGIRLDGVTLASALSACSHLETLSWGKEIHSFVLKNNDLIENSFVGSALVNMYCNCKQAEKGRLVFEGIIRRTVAVWNAMISGYARNEFDYEAIELFVEMVFELGLNPNSMTFSSVLPVGVRCNEFLDKEGIHGCVVKWGFEKDKHVQNALMDMYSRIGRIEISRCIFGSMNRRDIVSWNTMITGYVACGRHEDALNLMHDMQRGQEEHRIDTFDDYEDNGSVPLKPNSVTLMTVLPGCAALAALGKGKEIHAYAIKQNLSKDVAVGSALVDMYAKCGCLNLSRLVFEQMSVRNVITWNVLIMAYGMHGKGEDALKLFRRMVAEVDNNGEIRPNEVTYIAIFASLSHSGMVDEGLNLFHTMKAKHGIQPTSDHYACLVDLLGRSGRIEEAYKLIMTMPSNINNVDAWSSLLGSCKIHQNIEIGEIAAKQLFVLEPNVASHYVLLSNIYSSAGLWDQAMDVRKKMKELGVRKEPGCSWIEHGDEVHKFVAGDISHPQSKELHEYLEALSQRMKKEGYVPDTSCVLHNVDEEEKETMLCGHSERLAIAFGLLNTSHGTTIRVAKNLRVCNDCHVATKFISKIVGREIILRDVRRFHHFRNGTCSCGDYW >CAK8532519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:277243913:277244323:-1 gene:gene-LATHSAT_LOCUS2217 transcript:rna-LATHSAT_LOCUS2217 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSNSRRKSRKAHFTAPSSVRRVLMSAPLSSDLGSKYNVRSLPVHKDEEVQVVRGTFKGRERKAVQVYRRKWVIHIKRITCDKVNGSTVNVGVNPSKVVITMFRLDKDCKYFLDCKAKGRAVDDKEKGTTE >CAK8578670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645962472:645963990:1 gene:gene-LATHSAT_LOCUS30660 transcript:rna-LATHSAT_LOCUS30660 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKCSNMHVLMVPYPSQGHINPMLQFSKRLSTKGVKVTMVTTIFISKTMHFKSSSLPSSLQFDFISDGYDQGGFYQVGNISTYLSHMKTIGSKDLKELIQKHNSSDYPIDCVVYDPLVQWVLDVAKEFDLLGVAFFTQMVAVNYIYYYVYHGLLKLPISSLPISIPGLPLLEMKDTPSFVNHPGFYPAYYELVINQFCNIHKADIILVNSFYKLEDQAADSMSKVCPILTIGPAVPSFYLDKGVPNDKDNDLNLFELDSSAIDWLKSKAAGSVIYVSFGSMVCFSIEQMKEIALGLLASGSNFFWVIPNMEKKNISKELVEEIGSSGKGLIVNWIPQLEVLSNQAIGCFLTHCGWNSTLEALCLGVPMIGIPQWTDQPLNAKFVEDVWKVGIRVVVNENGIVTREEIVRCIREVLENDVGREMRVNAKKWRELAVEAVSHGGTSDNNINQFVNRLKKV >CAK8535286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834174171:834174674:1 gene:gene-LATHSAT_LOCUS4758 transcript:rna-LATHSAT_LOCUS4758 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNTHVASAQDYPEDYLNLHNEARSVLGWHNMPDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLFGENIAVSTGNMSGREAVKLWVDEAPHYNGYLNRCEGGECTHYTQVIWKRSLLIGCGKVKCNNGGTFVICNYDPPGNIGGEFPFMD >CAK8535096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813962690:813965545:-1 gene:gene-LATHSAT_LOCUS4575 transcript:rna-LATHSAT_LOCUS4575 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSFGTAGCLRRVTHAFALSHLRTNANLSFQSTLTPHLLSLSTSSDSSASKAVQASKGEVDVLLKGVGDKSVANEVKHVLEMARRASLKREILHTNFLTPPVMKESMQVLEKLADVKALVQGGYPQAERCRISVGHPDELTSDPDVISALSITGNFQFESCSHGDFLGSILGTGIAREKLGDIILQGEQGAQIIIVPELVEFLMTALDKVRNVPVTCTKIPLISLDYEPPRTKSFKTIEASLRVDAVASAGFKISRSKLVDMISNGDVRINWIPITTKGTTIKSGDLVSVSGMGRLKIGEVNTTKKGKFAVELIRYL >CAK8536674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6801881:6802252:1 gene:gene-LATHSAT_LOCUS6012 transcript:rna-LATHSAT_LOCUS6012 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKKDATELIGNTPWVHLNNITEGCVALIAAKLEYLQSCCNVKDRISLSMIEDVENKGLVTPGRIALIEPTSGNTGIGLASVAALRGYKLLVTIPSYASLEKNYLASFRSGCISVRSC >CAK8568279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589651027:589655122:1 gene:gene-LATHSAT_LOCUS21252 transcript:rna-LATHSAT_LOCUS21252 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVQDKLPSDSSTKIAEETPLAGHVEDPLPAEASSKMNEETHNEERIESKLPSVSSTKIAEETPLAELVEDMLPSESSSKITEETHIEEHVEDKLPSDSSTKIAEETPLAELVEDMLPSESSSKITEETHIEEHVEDKLPSDSSTKIAEETPLAGHVEDMLPSEFSSKNSEEVHMEEHVDDKLPSESSSKVSEETPVTEHVEDNLLFNSSTNNTEAPLTEPSEENTVEINPPYNQSSTEIPIPLSNGEVNSGSHMTVNESPELSVLPNASDGHTIIPDEDVSVANSASVPNDKVDLTERSSQVTLVEDSEPGATEDISERHVLQVDVTNAAADNEIRLSASSSETNDFLIDHNQVKMAVGAVDSPTQTKPVNVKRGLIDTTPPFESVKEAVSKFGGIVDWKAHRIQTVERRTLVEQELDKANEEIPEYKKQAEAAEKTKVQVLKELDSTKRLIEELKLNLERAQTEEHQARQDSELAKLRVEEMEQGIADESSVAAKAQLEVAKARYTAAISDLAAVKEELDALRKEYASLVTDRDEAIKKAEEAVTASKEVEKSVEDLTIELIATKESLETAHAAHLEAEEHRIGTVMARDQDSLNWEKELKQAEEELQRINGQMLSVKDLKSKLETASGLLLDLKAKLTVYMESKLKQESDEELSQGGLEEPGKKTHTEIQAAVESARKELEEVKLNIDKANTEVICLKLAATSLKSELEQEKSTLASIRQREGMASIAVASLEAELDKTRSEIALVQMKEKEAKEQMAELPKKLQVTAEEANQANLLAQAAREELQKVNAEAEQAKAGVSTLESRLLAAQKEIEAAKASEKLAIAAIKALQESESNRSKNEVDPSSGVTLSLDEYYELSKRAHEAEERANMRVAAANSDVEKAKDSELKSFEKLDEVNREIAARRESLKMAMEKAEKAKEGKLGVEQELRKWRAENEQRRKAGESGQGMVDQNKSPRASFEGSKEANNFDRSRNPSSPKADMHAENDEGESSPESKHGKKKKSMFPRVLMFFARRKKHSSKSG >CAK8541897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:424624334:424625656:-1 gene:gene-LATHSAT_LOCUS10786 transcript:rna-LATHSAT_LOCUS10786 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKPNPMSIIHNPFPTKSLITDRTTSNSTRMFKHYTKIFSVFSLITLSTIFFLIQGDHFIYHDSLKLHATNKELHIMLQAQSQRSTLSTLDDHNHKPLSHSIQEKKDEEVDDYANRRVLVAPLNLNKEQRIVWFKENLQEFKILKSDKLAKQFHARIQQFLKKNSCDTQFFMTWISPSSSFGGREFLAIESLFKVQPQACLTILSRTLDSIHGYKILKPFIDKGFKVQAITPNLSFLVKGTLAESWFHELRKGKKDPGEIPLSQNLSNLIRLAALYKYGGVYLDMDFILLKPLNGLRNCIGAQSMDLGFKHWTRLNNAVLIFDKNHPLLLRFIDEFALTFDGNKWGHNGPYLVSRVVEKLGKRHGLNFTILPPMAFYPADWNKIGGFFRKGSSRVEEKWVEAKLNQLSGETYGLHLWNKQSSGLVIEEGSVIARLLSNH >CAK8541903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:428685600:428686445:-1 gene:gene-LATHSAT_LOCUS10792 transcript:rna-LATHSAT_LOCUS10792 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFWECLLKLFNFVLSLTGLAMVGYGIYLVVQFSKASDDDTPAISPVSDDSALIQLGRPMLMAVSLSNNIFDRLPKAWFIYLFIGVGVVLFVVSCFGCIGAMTGNGCCLICYSILVALLILVELGYAAFLFFDKSWKEVIPTDKSGDFDMIYGFLRENWSIVRWVALGIVIFEALLFLLALTVRVVNKPADYDSDDELINPRQQARQPLLNRQAGPAAGVPVTGAIDQRPNRNDAWSSRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEEKSRCAIM >CAK8565106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38774147:38775493:1 gene:gene-LATHSAT_LOCUS18343 transcript:rna-LATHSAT_LOCUS18343 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDVVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETSDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIKGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASIKLVFSELYEAIKQTSNAWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYTLVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8530442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21762479:21764596:-1 gene:gene-LATHSAT_LOCUS307 transcript:rna-LATHSAT_LOCUS307 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDDTITSNGNGEPRKPGGKNRAMAVCFFLGLGSLVSWNSILTIGDYYYQLFPKYHPSRVLTLVYQPFAIGTLIVMTHYESKINTRLRNIVGFILFFVATFLILILDLATSGQGGIGPYTVICFLSACFGVADAFIEGGMVGDLYFMCPEFVQSFLAGLAASGALISLLRVLTKLAFEKSSNGLRKGAILFFAISTFIEFLCIFLYAIYFTKLPIVKYYRAKAASEGSKTVTADLAAAGIQTKTNDQAEHNDKQVDRLTNKQLFLANIDYAADLFLIYIVTLSIFPGFLYENTGEHQLGTWYPIVLIVMYNVLDLIARYIPLVQWLKLESRKGLLIATLSRFLLIPAFYFTAKYGDQGWMIFLTSFLGLTNGYLTVCVFTLAPRGYKAPEQNALGNVLVICLLCGIFSGGTLGWLWIIGKDSF >CAK8530443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21762479:21764590:-1 gene:gene-LATHSAT_LOCUS307 transcript:rna-LATHSAT_LOCUS307-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTITSNGNGEPRKPGGKNRAMAVCFFLGLGSLVSWNSILTIGDYYYQLFPKYHPSRVLTLVYQPFAIGTLIVMTHYESKINTRLRNIVGFILFFVATFLILILDLATSGQGGIGPYTVICFLSACFGVADAFIEGGMVGDLYFMCPEFVQSFLAGLAASGALISLLRVLTKLAFEKSSNGLRKGAILFFAISTFIEFLCIFLYAIYFTKLPIVKYYRAKAASEGSKTVTADLAAAGIQTKTNDQAEHNDKQVDRLTNKQLFLANIDYAADLFLIYIVTLSIFPGFLYENTGEHQLGTWYPIVLIVMYNVLDLIARYIPLVQWLKLESRKGLLIATLSRFLLIPAFYFTAKYGDQGWMIFLTSFLGLTNGYLTVCVFTLAPRGYKAPEQNALGNVLVICLLCGIFSGGTLGWLWIIGKDSF >CAK8578143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609592417:609592939:-1 gene:gene-LATHSAT_LOCUS30182 transcript:rna-LATHSAT_LOCUS30182 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSGSTLFEDLGLYYIGPVDGHNINDLVAILREVKSTNSTGPVLIHVVTEKGRGYPYAEKADDKYHGVAKFDPPTGKQFKAKAATQSLHNIFCGGFDCRS >CAK8542793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547086803:547087852:-1 gene:gene-LATHSAT_LOCUS11600 transcript:rna-LATHSAT_LOCUS11600 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTDPTLDPSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDTNGEIKSDPNLSFEHPYPPTKAIFIPDKDCQRPDLLATSSDFLRIWRISDSDEAQSRVELKSLLNGNKNSEYCGPITSFDWNEAEPRRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDTAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >CAK8561810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386899464:386914357:-1 gene:gene-LATHSAT_LOCUS15365 transcript:rna-LATHSAT_LOCUS15365 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAAATIPSYHHRHRIYASLFAVAKLKTSTMASSSSSSNNSGEFTTIKERITFQKDIKKSKFIAIAGSVSDDKSAMSFLSQVRDPKATHNCWAYKVGDQYRSNDDGEPSGTAGKPIYSAISTSGIDRVMVVVIRHFGGIKLGAGGLVRAYGGVASECLKSAPTCLVKTKVPMGVEVPFDLLGVLYHQLQSFNVEDMKQDYDTGKDDISMVTFKVEFDQAEKLEDTLKANCSRELKFYKH >CAK8570052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22492523:22494486:-1 gene:gene-LATHSAT_LOCUS22838 transcript:rna-LATHSAT_LOCUS22838 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSEAYRDHPLHLHHIIPLDFSSFRTLPDSHAWPQSNDDNDDLTSNGSCIPIIDLNDPNAMEQIGLACEKWGAFQLKNHGIPLNLIEEVEEEAKKLFSLPSKEKIKALRSPGGATGYGRARISSFFPKYMWHEGFTIMGSPSNDVKKIWPNDYKYFCDIMEDYQKQMKKLAEKITNIIFNILGISKEENKWVGSSNHCEALQLNFYPCCPDPKKAMGLAPHTDTSLFTILHQSQTSGLQMFKEGVGYVTVDPHPNTLVVNTGDILHILSNSRFRCSLHRVVVNDVKDRYSMAYFYGPPVDYLVSPLVVDGSLPRFRSLSVKDYIGIKAKNLGGALSLISTLLDHDD >CAK8570327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37070179:37070565:1 gene:gene-LATHSAT_LOCUS23084 transcript:rna-LATHSAT_LOCUS23084 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRYKEYDPFIMSHIVKQVYYVLYPSIQSRKRGWCVVIKTKPLDHIEIDDLVEDAAYQDDGISQINDVVEVEEITNLCDTLAEGHQIDAYVLLVDNNVDEEHEEFGFEDSIGSDDENNMDEEHEEFE >CAK8573765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635529765:635530463:-1 gene:gene-LATHSAT_LOCUS26173 transcript:rna-LATHSAT_LOCUS26173 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNFVIESGESFTLELNPFNTILEVKEIIQKYLNISIFNQILIFNNEFLNDADIILATDITHFSIIHLRTIPDTRTKNPEPQESSVEAPATQPREPQESSVEAPATQPREPQESPVEAPATQPREAPATPLLNSESRTLSVTMVPRTNRGEGDFMVSEIEPFTKVSDLKMFLESYKKNVVRQDGNYFFVHNREVMYEDRSFQWHGVKEGDKIEIYDGVVDNNYLGDIPIYF >CAK8544875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709745550:709746341:1 gene:gene-LATHSAT_LOCUS13520 transcript:rna-LATHSAT_LOCUS13520 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAKSQLVLEINLISAQGLKPMSSPRRKFQTYAVTWIDSSTKLRTRVDKIGGQNPTWNDKFLFRVTPEFLASETAGVCVAIYAVGTFRDHIVGTVRFLVSNILSSNSSNGDGNGNGNRSPCFSAVQIRRPSGSFYGVMNIGAMVVDGSGFPALEKISAIGYRDLMGEKITDRRRKLPEPKLKVAVAGEEVSSESCDNDTCGTESVDETESSTSSSSPKTTALQDWNGVRELAGNKGLAGAGFLCCLVAQRSVHSLSPTTTR >CAK8534587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746234841:746236539:-1 gene:gene-LATHSAT_LOCUS4121 transcript:rna-LATHSAT_LOCUS4121 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPLWKDYFFTWKVRILFTYKDFEQIGNVLLKPSVTESMFTAWFEANKKYHEAKLLTYSDFVTKFVYQKKNRTWKPRKKGYTIGRLIWVPQSTGELFYLRMMLTVKKGPKSYEDIKKVNGSQHNSFREACFAMGFLQDDREFIEALKEAHKWSSDEQKHIYFNVMDVVERQNGGVFFLYGYGGTGKTFMWNTLAVSIRYKHKIVLPVASSGIASLLLPGGRTTHSRFKIHVPTLHSSICNIDKKDDLAELLKITDLIIWDETLMANRFFFEALDKSLRDILIEIPQTANKLFGGKIVIFCGDFRQILPVVPRGSISDIIHSTINASYIWDQCKVLKLTKNMRLQSGTTSK >CAK8562222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:458455356:458455763:-1 gene:gene-LATHSAT_LOCUS15738 transcript:rna-LATHSAT_LOCUS15738 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTLKFQDLTPWCPFTAFERDKENRKRSRKNRQGLTSQVRKDLNKVNPKAAEPLADLEEYPNLFEDWQVAPAVESKAAETLNVYPLAKQYISHVGK >CAK8578418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628247744:628248622:-1 gene:gene-LATHSAT_LOCUS30431 transcript:rna-LATHSAT_LOCUS30431 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNEKMRSRYPLIGEKRWSVVLLVILGIIGALVFATTILQTSDHTLLCSLTRTTNQQSDPTPIQLRAILHYATSHVVPQQSLDEISITLNVIKSLNRPFNFLVFGLGHDSLMWAGFNPRGTTLFLEEDPKWVQTVLKDAPELRAITVPYRTQLQEADELLKTYRSESACSPAKATLKGNEKCKLALHNLPDEVYDTDWDLIMIDAPRGYFAEAPGRMAAIFSMNVMARNRKGSDVTHVFLHDVDRKVEKAYAEEFLCKKNKVDGVGRLWHFKIPAMGNNDTRHDSNDSTFC >CAK8542277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496894989:496895961:1 gene:gene-LATHSAT_LOCUS11125 transcript:rna-LATHSAT_LOCUS11125 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKSNNSYSNDHLLYEILVRIFTALHVADLAKASMVCKAWNVASRAPELWKTLDLNKLGMDVPLRPYAWFGEHSSNKMTQILKYASSLSGENISCLIFNYYVYFTDAHLISIAERTPNLKRLVLPITGNISKFGIEIALRSWEGIESITITEMFNNVDFFDTLGKYCKNIVELKFTSFFEQYQAEVIVKYIPNLRTLSLRHFMVSMTGLCHVLNNLKHLEAVNLCHCIITDLLDGSFRDYSIEDLRKCVDFSCELIICKNNSCLRCTGWLRNGRGRPSYEILEDIWREDEIKSLAH >CAK8530439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21645286:21649304:1 gene:gene-LATHSAT_LOCUS304 transcript:rna-LATHSAT_LOCUS304 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACSLCLKSLIDPMSCQKGHLFCKECILECLLSQKKDIQRRLVAHSAQQKQEKEEEEEKLTLQKAKELDAFDQQNHGAVPQYSDRSYNRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEASVKVDAPSTTTICPEGKENLKLKTLFPVQFTEDTSEQKKSNALDRSYVCPSCKTTLTNTMSLVALSSCGHVFCKKCSDRFMAVDKVCLVCNKACKERHLVNLKRGGTGFAGHDDNLEAKDFKHLGSGSGLGLVRPAMKT >CAK8531575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126334121:126334417:1 gene:gene-LATHSAT_LOCUS1357 transcript:rna-LATHSAT_LOCUS1357 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVLKKLIAMLEAEEAEAAKSNNHGTANAFNNHGGGDQNFSGAKINSGSNSGNRENHVTTNNHGERTVNNSGTFHGNGNGGYTQGNFDASTRNIYK >CAK8563882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636835619:636835834:1 gene:gene-LATHSAT_LOCUS17244 transcript:rna-LATHSAT_LOCUS17244 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAARSARESLDLAFHMSNILDTGLDRHALSILIALCDLGVNPESLAAIVKELRKERPPLSSLHPTPPS >CAK8571777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471929810:471935319:-1 gene:gene-LATHSAT_LOCUS24409 transcript:rna-LATHSAT_LOCUS24409 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTKPEIDPHGMFEGMVVFFVAKGIQARRLQIWKQRMVQMGAVIEERFSKRVTHVFAVDSQTLLQEFDSHRLLRFKGRVLLYRWLEESLKSGEKLSEDLYVLNLDPQGEGINELDKSLDPKAIDGSISSEPQKLQNKKIKASSEDTEIVNLESNKDRKENTPLSSTSTTNSHGENESLNYADRKPQHLDAETEASSLPYCPPDINKNITEIFGKLVNIYRALGEDRRSFSYYKAISVIEKLSFKIESVDQIKNLPSIGKSMKDHIQEIITTGKLSKLEHFESDEKVQTISLFGEVWGVGPATALKLYDKGHRTLDDLKNDDSLTNAQKLGLKYFDDIRQRIPRHEVQEMEHILQKVGEDVLPGAIIICGGSYRRGKATCGDMDIIITHPDGTSHKGFLPKFVKRLKDMNFLREDLIFSTHSEEGTDSGVDTYFGFCTYPGRELRHRIDLKVYPRDIYAFGLVAWTGNDVLNRRLRLQAESKGFRLDDTGLFPTIQGSGGKRGIKGTANMKLYTEREVFDFLDFPWLEPHERNL >CAK8563907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638382671:638385408:1 gene:gene-LATHSAT_LOCUS17265 transcript:rna-LATHSAT_LOCUS17265 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNVTCPAPMKAVSNGAFQHENPLDFAVPLIILQICVVVTFTRFIAFLCKPLKQPRVIAEIIGGILLGPSAIGRSQKFLDTVFPKRSLTVLDTLANIGLLFFLFLVGLELDMRSIKKTGHKALGIALCGITVPFVLGIGTSFVLRATISKGAEAVPFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSGDDTSPLISLWVMLTGAAFILFAVFAIRPLLAYMAKRSPDGEPVKELYICITLTLVLACSFVTDTIGIHALFGAFVVGIIMPKDGPFAGVLIEKIEDLVSGIFLPLYFASSGLKTNVATIKGGVSWALLALVIFNACFGKIVGTVVVSLIFKVPFRESLALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVLMALFTTFITTPIVMAVYKPARRGAPYKHKTIQRKDRESELRVLACFHSTRNIPTLINLVESSRGTRKRGRLCIYAMHLMELSERSSAISMVHKARNDGMPFWNRKESDKDQMVIAFQTYGKLSSVNVRPMTAISALTNIHEDICTSAHQKRVAIIILPFHKHQRLDGTMESLGHSFHVINQLVLSHAPCSVGILVDRGLGGTSQVQASDVSFNVVVVFFGGPDDNEALAYGMRIAEHPGIVLTVVKFVSPPGTTLSFGAKLVGVASDKSRTVVINDSEGSSNHDGNKNQDEQPWSEFLNARSNNEESIKYEEKLVESKSDIEAALKELSRSNLILVGRMPPVAPLVSTSDCPELGPVGSYLSSSSFSNSASVLVIQQYNPSTDIHPLVMEEFDCPEVPDTPRS >CAK8537268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:189336485:189359198:1 gene:gene-LATHSAT_LOCUS6569 transcript:rna-LATHSAT_LOCUS6569 gene_biotype:protein_coding transcript_biotype:protein_coding MMARATSQCRLSLIFFTLLLSSTLLSATHEDKNDNPITRFQRYLQINTAHPTPDYSSAVSYLISQVESIGGILHKTLEFSPGKPLLLLTWPGSHSSLPSILLNSHLDSVPAESSKWTHPPFSATRTSDGNIFARGAQDDKCIAMQYLEAIRNLRSNGFVPVRSVHISFVPDEEVGGFGGAAKFVESEEFQALNVGFALDEGQASPWDEFRVFYADRIPWNLKIKAKGQPGHGSKLYDNSAMENLMKSVEVVSKFRESQFDIVKAGKALNSEVVSVNPVYLKAGVPTQDGFAMNVQPSEAEAGFDLRLTPTTDPEEMKRRIAAEWAPSVRNVSFEITEKGPNRDYLGRPLLTATNDSNPWWLVFKQAITSVGEKLSKPEILPSTTDARYLRQKGIPVLGFSPMKNTPILLHDHNEHLRDTVYMKGIQVYESLISSLSSSTEASH >CAK8537269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:189336488:189359198:1 gene:gene-LATHSAT_LOCUS6569 transcript:rna-LATHSAT_LOCUS6569-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATSQCRLSLIFFTLLLSSTLLSATHEDKNDNPITRFQRYLQINTAHPTPDYSSAVSYLISQVESIGGILHKTLEFSPGKPLLLLTWPGSHSSLPSILLNSHLDSVPAESSKWTHPPFSATRTSDGNIFARGAQDDKCIAMQYLEAIRNLRSNGFVPVRSVHISFVPDEEVGGFGGAAKFVESEEFQALNVGFALDEGQASPWDEFRVFYADRIPWNLKIKAKGQPGHGSKLYDNSAMENLMKSVEVVSKFRESQFDIVKAGKALNSEVVSVNPVYLKAGVPTQDGFAMNVQPSEAEAGFDLRLTPTTDPEEMKRRIAAEWAPSVRNVSFEITEKGPNRDYLGRPLLTATNDSNPWWLVFKQAITSVGEKLSKPEILPSTTDARYLRQKGIPVLGFSPMKNTPILLHDHNEHLRDTVYMKGIQVYESLISSLSSSTEASH >CAK8576332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:460015608:460017740:-1 gene:gene-LATHSAT_LOCUS28528 transcript:rna-LATHSAT_LOCUS28528 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGINLINLPFCHYSQSLSPNPNVPFIVPSTHTRNLTLKTFSISFKKSRFSPIQASSMASSTVTDTQKPFSVLFVCLGNICRSPAAEGVFTDLVKKRGFDSKFKIDSSGTINYHEGNEADPRMRAASKRRGIQITSLSRPIKSSDFVEFDLILAMDKQNKEDILEAFNRWKFRDPIPDDAHKKVKLMCSYCKKNSETEVPDPYYGGPQGFEKVLDLLEDACESLLDNILAENKHIQES >CAK8576011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384681607:384683414:1 gene:gene-LATHSAT_LOCUS28230 transcript:rna-LATHSAT_LOCUS28230 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLTPKLSKKVYGDNGGSYYSWSPSELPMLREGNIGAAKLALEKNGFATPRYSDSSKVAYVLQGSGVAGIVQPESEEKVLAIKTGDALALPFGVVTWWFNKEDTELVILFLGDTSKAHKAGEFTDFFLTGPNGIFTGFSTEFVGRAWDLDENTVKTLVGKQTGQGIVKLDGSITLPAPKDGDRKGMVLNCLEAPLDTDVKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGNAMCSPGFSCDSAFQVTYVVRGSGRVQVVGVDGKRVLETTLKAGNLFIVPRFFVVSKICDPEGMEWFSIITTPNPIFTHMAGSSSVWKALSSTVLQAAFNVDAETEKLFRSKRTSDAVFFPPPN >CAK8544967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715182672:715183471:-1 gene:gene-LATHSAT_LOCUS13604 transcript:rna-LATHSAT_LOCUS13604 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGETACSYALLILEDDKIAVTTDNITTLLKSAKVDVESYWPSLFVKLAEKKNIKDLIASAAGGGAPGAVAAAPAAAAGGAAAAAPVVEEKKEEPKEESDDDMGFSLFD >CAK8539815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526542951:526545909:1 gene:gene-LATHSAT_LOCUS8875 transcript:rna-LATHSAT_LOCUS8875 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFLNGSNHRIWRGQYNGKHGDDRIWDNQHGSVVQDDLTDVEKENIDRAIALSLSEEDLKGKKVVDDDSRSEEDEQLCEIDEEDENVGKVRLDEDELLAKIQQDENERRAKDQLEEDELLAKAIELSLNVGSPPRHGNDSVSQPPPHLFPPGFRICAGCNAEIGHGRFLSCMGGVWHPQCFCCHSCHLPITDYEFSMNSNRPYHKSCYKEKHHPRCDVCKNFIPANSAGLIEYRAHPFWIQKYCPTHELDGTPRCCSCERMEPKDSQYILLDDGRKLCLECLDSAIMDSHECQPLYLEILEFYEGLNMKLEQQVPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTILRRPKIGAGHRVTDMITEPYRLTRRCEVTAVLVLYGLPRLLTGSILAHEIMHAWLRLKGYPNLSPEVEEGICQVLAHMWLESELYSGSGNNNAPSSSSSSSSSMPPSSAASSKKGKRSDFEKKFGEFFKHQIESDTSSAYGDGFRLGNKAVLKYGLKSTLDHIHLTGTFPC >CAK8539814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526542951:526545909:1 gene:gene-LATHSAT_LOCUS8875 transcript:rna-LATHSAT_LOCUS8875-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFLNGSNHRIWRGQYNGKHGDDRIWDNQHGSVDDLTDVEKENIDRAIALSLSEEDLKGKKVVDDDSRSEEDEQLCEIDEEDENVGKVRLDEDELLAKIQQDENERRAKDQLEEDELLAKAIELSLNVGSPPRHGNDSVSQPPPHLFPPGFRICAGCNAEIGHGRFLSCMGGVWHPQCFCCHSCHLPITDYEFSMNSNRPYHKSCYKEKHHPRCDVCKNFIPANSAGLIEYRAHPFWIQKYCPTHELDGTPRCCSCERMEPKDSQYILLDDGRKLCLECLDSAIMDSHECQPLYLEILEFYEGLNMKLEQQVPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTILRRPKIGAGHRVTDMITEPYRLTRRCEVTAVLVLYGLPRLLTGSILAHEIMHAWLRLKGYPNLSPEVEEGICQVLAHMWLESELYSGSGNNNAPSSSSSSSSSMPPSSAASSKKGKRSDFEKKFGEFFKHQIESDTSSAYGDGFRLGNKAVLKYGLKSTLDHIHLTGTFPC >CAK8579065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671876123:671877266:1 gene:gene-LATHSAT_LOCUS31038 transcript:rna-LATHSAT_LOCUS31038 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCCEKQHTNKGAWSKEEDERLIKYIKSHGEGCWRSLPKAAGLARCGKSCRLRWINYLRPDLKRGNFTREEDELIIRLHDQVGNKWSQIAQQLKGRTDNEIKNYWNTHIKRKLYGRGVDPSTHKPLKPASTSAAAGVGASTSSTTTASAVASQTNLISKPTLNPVIPTSSGSSVSHKSLNTKSAFPLFSFNGGLNKKLVVTDSGCYGGVEDSVSNSSSGVTIEETPCPPQINLELSLAPPFQQSQAVAPSQGVCLCNQALRLRGNGPCCCMNTRPTTNGVSAAAPPPTTTGTGNDFFRFFGAGFMEF >CAK8567263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498856346:498856552:-1 gene:gene-LATHSAT_LOCUS20329 transcript:rna-LATHSAT_LOCUS20329 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRQIDSSFKRKERDEENLTPMSEPTRVHENPRIEENVNRVCPNDIENSLECWKTSFNVGISSKSNG >CAK8569600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6456183:6456856:-1 gene:gene-LATHSAT_LOCUS22434 transcript:rna-LATHSAT_LOCUS22434 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQSGTTSNQIEKVRIFYEWILSIGDRTMGEPNDGYVEINIPAEFLISNFSDPIKAIVDSTYPDLIHNYHDPNFLQSRAILASTIEVTDEINQYITDLLPGEEKEYFSSDSIDRSTATDFDAFEHLTAEFLNALKTSGLPNHSIKLKIGATIMLMRNLNQSEGLCNGTRLTVTRVTNHVIEAGIISGKNR >CAK8579551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707237447:707240101:1 gene:gene-LATHSAT_LOCUS31489 transcript:rna-LATHSAT_LOCUS31489 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHSNGTSPSITKAPTIKFTKLFINGDFVESVSGKSFETIDPRTGDVIARISEGTKEDIDVAVKAARHAFDSGPWPRLPGTERAKIMMKFANLIDENIDELAALDAIDAGKLYHMCKALDIPSAANTLRYSAGAADKIHGNVLKPAGQFHAYTLMEPIGVVGHIIPWNFPTSMFFVKVSPCLAAGCTMVLKPAEQTPLSALFYAHLAKLAGIPDGVLNVVPGFGEIAGAAISSHMDIDAVSFTGSTQTGRKIMEAAAKSNLKQVSLELGGKSPLIIFDDADIEKATELALIGILFNKGEVCVASSRVFVQEGIYEEFEKKLVEKAKAWVVGDNFDPKVQQGPQVDKKQFEKILSYIEHGKREGATLLTGGKTVGNKGYFIEPTIFSNIKDDMLIAQDEIFGPVLALKKFKTIEEAIKSANNTRYGLAAGIVTKSLDIANTVSRSIRAGTIWINCYFAFGDDIPFGGYKMSGFGREYGLEALHKYLQVKSVVTPIYNSPWL >CAK8561568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:300664578:300665687:1 gene:gene-LATHSAT_LOCUS15147 transcript:rna-LATHSAT_LOCUS15147 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKWCKDEGFCQREIGFVVDLCLMPRCGSVVGMRFVAGYRGQQVDAEGLTCTRFWSRSYVESFSIQLIILEASNLDVVWFVYLLSMFIKRYTTAGLWYRLVGPCAKVLECSRKKVAGLEQAAVKSAWLYTLVM >CAK8561569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:300665125:300665687:1 gene:gene-LATHSAT_LOCUS15147 transcript:rna-LATHSAT_LOCUS15147-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAGYRGQQVDAEGLTCTRFWSRSYVESFSIQLIILEASNLDVVWFVYLLSMFIKRYTTAGLWYRLVGPCAKVLECSRKKVAGLEQAAVKSAWLYTLVM >CAK8576112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408743485:408744010:-1 gene:gene-LATHSAT_LOCUS28326 transcript:rna-LATHSAT_LOCUS28326 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKKLYDNLIRFQRVVGEAFSKGVNKKADKGIGEKENRRKYGVPNETKDFHNRESFVNVKSYTESTRKASELLHKEGYFALKVTPLGENLCLLEEDEEGELEALVEGAFGWLSQWFSEVRKWRAKDVDIERLT >CAK8542164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:484510576:484511798:-1 gene:gene-LATHSAT_LOCUS11029 transcript:rna-LATHSAT_LOCUS11029 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMIVKGCFIILSFVGIVSAQLSSDFYSTTCPTALSIVKAGVTTAVLADKRMGASLLRLFFHDCFVQGCDASVLLDDTSSFRGEKTATQNAGSLRGFDVIDTIKSQLEISCPNVFSCADILAVAARDSVVALGGSGWTVQLGRRDSTTASLDSANSDLPAPDSDLSTLIASFVKKGFTTIDMVTLSGGHTIGKARCKSFRDRIYNEDNIDSTFASSLKASCPTTGSDDDLSLLDTAASDTFDNSYFKNLQNKKGLLHSDQQLFNGGSTDSQVNSYVNNPASFLNDFANAMIKLGSLSPLTGSSGQIRQKCRFVN >CAK8578762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651932334:651932768:-1 gene:gene-LATHSAT_LOCUS30748 transcript:rna-LATHSAT_LOCUS30748 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFKFFFPHGHFFPRKTPIHMKNKKRIRVSKIQFINANLILNRYQNRHQRSSYSIKFQGKQHISNVVKFESFIFQDPNSEIQITTNLLSVSCFKVQVMLQKFKRKRKEPQFIQIIFKIQHTHNLMLFLAKSVFMRAYQDNPN >CAK8530957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66246542:66246964:-1 gene:gene-LATHSAT_LOCUS782 transcript:rna-LATHSAT_LOCUS782 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEVALARLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGRKYCLLGQLSSEVGWNYYDTIKELEKKRKEREHLNYEKKKLLNKLRVKAEKIVDEKLGPQLEILAPVKY >CAK8537512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:381920941:381921487:-1 gene:gene-LATHSAT_LOCUS6801 transcript:rna-LATHSAT_LOCUS6801 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGELNAPLPAPRVAVVIFILKNRSILLGRRRSTVGDATFALPGGHLEFGENFEECAAREVKEETGLELGQNNIEYLTVTNNVFLDQPKKCHYVTVFMRVVLDAEEKQVVQNMEPEKCYGWDWYEWENLPNPLFWPLEKMLKGGFDPFPL >CAK8539435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512730226:512739460:-1 gene:gene-LATHSAT_LOCUS8531 transcript:rna-LATHSAT_LOCUS8531 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYDSDSSSSSYGGADYQNLKQITRDRLLREMIRSTKAGDSKSTWKVLIMDKLTVKIMSHSCKMADITDEGVSLVEDIYKRRQPLPTMDAIYFIQPSRENIIMFLSDMAGKAPLYRKAFVFFSSSISRELVVEIKKDPRVLSRLGALREMNLEYFAIDSQGFITNNERALEELFGDEENSRKSVACLNAMATRIATVFASLREFPSVRFRAAKSLDATTVTTLRDLIPTKLAAGVWDCLMKYKKTIPNFPQTETCELLIIDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKSGGLPEKKEVLLEDHDPIWLELRHAHIADASERLHEKMTGFISKNKAAQIQHGSRSSGDMSTRDLQKMVQALPQYSEQMDKLSLHVELAGNINRIIRESGLRDLGQLEQDLVFGDATMKDVIKFLTTKEDTTRENKLRLLMILAAVYPEKFDGEKGLNLMKIARLTDEDMNVVNNLRMLGVQPDTKKRSTGAFGLKFEIHKKKRAARKERPGEEEKWQLSRFYPIIEELIEKLTRNQLSKEDYPCLNDPSATFHGSSFSGTVHQNPHSMRSRRTPTWARPRGSDDGYSSDSVLRHSSSDSRKIGSQIFVFIIGGATRSELRVCHKLTRKLNREIILGSTSLDDPAQFISKLKMLTTHELTLDDIQI >CAK8566315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:405678471:405682558:-1 gene:gene-LATHSAT_LOCUS19452 transcript:rna-LATHSAT_LOCUS19452 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPSDAFNMRVTEDENLHLEDTHQLERSKWMLNTPNPPPLWKKLITPFKNNKLFSSSKKRTCNENAFSFFSSLFPILNLLKNYDAFKFKDDFLAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYAMMGSSREIAIGPVAVVSLLLSSLCHKVVDPDLNHDAYRNFVFTVTFFAGIFQVAFGVFRLGFLVDFLSHAALVGFMAGAAIMIGLQQLKGLLGISNFTNKSDVVSVLESVYKSLRHQIPSGEWYPLNFVIGCSFLIFLLFARFMGKRNKKLFWLPAIAPLVSVILSTFIVYIFKADKNGVNIVKHVKKGLNPSSVHQLQLNGEHVGEAAKIGLISAVIALTEAMAVGRSFASIKGYQLDGNKEMLAMGCGNIAGSFTSCYVATGSFSRTAVNFSARCQSSISNIVMAVTVILCLELFTRLLYYTPMAILASIILSALPGLIDIREACYIWKVDKVDFLACMGAFFGVLFVSVETGLIVAVSISFAKIVIQSIRPGIEVLGRIPTTEAFCDVSQYPMATSTPGILVIRISSGSLCFANANVVRERILKWVTEEDGLKEIQTTKGRVQAVILEMTNLMNVDTSGIIVLEELHKRLLSRGIKFCMVNPRWLVIHKLKVAHFVDKIGKEWVFLTVAEAVDACLSYKFADP >CAK8560703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36979123:36979539:-1 gene:gene-LATHSAT_LOCUS14358 transcript:rna-LATHSAT_LOCUS14358 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAALLPQLLKLNLVELRTLAPPDPNSLPRNYDSNARCAFHSNAPGHSTEKCRALHMKVQDLVDSKALNFAPPPNVNKNPMPNHGGPRINAIEEDRLSYLITSVDQIRTKIRICAHDRLYVYQKIKYIVKWATGDEN >CAK8541710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:360309217:360311250:-1 gene:gene-LATHSAT_LOCUS10606 transcript:rna-LATHSAT_LOCUS10606 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLVAIVSMFVTLVVVVASEDSNVSFIYNGFKSSNLYLDGVAELTSNGLLRLTNDTKQEKGHAFYPNPIVFNNGSGSVFSFSTTFVFAIRSEFKTLSGHGIVFVVSPTKGLPNSLPSQYLGLFNETNKGNNNSHVFGLELDTILNTEFDDINDNHVGIDINGLRSEKSASAGFYEIGGNEFKNLSLFSGLTMQVWLEYDGGKKKIDVTLAPTNVVKPEKPLLSLNKDLSSVINNSTMYVGFSSSTGSILTSHYVLGWSFKVNGQAQSLVISELPKLPSLEGKKDSKLVFSIGLPLISLCLILMVAIAMFHFIKRKKMFSELHEDWEKDYGTQRFKYKDLYFATKGFKEKELLGTGGFGRVYKGVMPISKLEVAVKRVSHESRQGMKEFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMQNGSLDKYLHNRKQRFTLNWSQRFRIIKGVASGLFYLHEEWEQVVVHRDIKASNVLLDGELNGRLGDFGLSRLYDHGTDPQTTHVVGTLGYLAPEHTRTGKATTSSDVYSFGAFLLEVVCGKRPIEQIRECESLILVDYVYDCWKRGEIVEARDVNLGVDYVVEEVELVLKLGLLCSHCEALARPSMRQVLRYLERDLALPDLSFLSLSSMGVTSGQCENFQDFGMSYASSSMDRPFSHTSSIAESLLSGGR >CAK8569153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676852559:676852882:-1 gene:gene-LATHSAT_LOCUS22037 transcript:rna-LATHSAT_LOCUS22037 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRSTAGGGGNPKKLNFFANAMKQKHSFIQFFAMTGILLLSMRSVGQKYKIHGLQEDVHELREEHNSVTDRMNNIKRSLLDEACQDSTGIFAARLRSLFNEQN >CAK8572324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529767621:529768583:1 gene:gene-LATHSAT_LOCUS24900 transcript:rna-LATHSAT_LOCUS24900 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWELVEEEFMDDSDEEQQLQNERRSGSSSRPKRKTTVDRGCEEGHNRLFNDYFSENPVYTDVQFRRRFRMHMHVFIRIVDALGNHDEYFQIRVDATGKMGLSPLQKCTSAICMLAYGSPADLVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDIEHLLQMGESHGFPGMLGSIDCMHWVWKNCPVAWKGQFCRGDHGKPTIMLEIVASQDLWIWHAFFGIASSNNDINVLNQSNMFNDILEGHAPNVQYTINGTPYNMGYYLADGIYPEWATFVKTISMPRGEKKKLFAQHQESARKDVE >CAK8562708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530482525:530482725:1 gene:gene-LATHSAT_LOCUS16182 transcript:rna-LATHSAT_LOCUS16182 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGDSNQRENVEVDHGDGDESLWVPAIGMCFSYLEEVKTYYQEYALKKGFGWRIRSSKK >CAK8578485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632109396:632113197:-1 gene:gene-LATHSAT_LOCUS30491 transcript:rna-LATHSAT_LOCUS30491 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLRLYLTCIRNTLHAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKQKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >CAK8535928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892107786:892108424:-1 gene:gene-LATHSAT_LOCUS5343 transcript:rna-LATHSAT_LOCUS5343 gene_biotype:protein_coding transcript_biotype:protein_coding MEECFWKEKSRTIWNLEGDRNTAFFHRVSKIKSKLKPISMFKHDDAVLLDSKAIADCTVNYFKNLFSTNTSVLQDMSMVDDTIPRLVDNNMNVNLTQLPSLEEIYAAVFVLNKDSAPGPDGFGATFFQLFWNIVKGDVSNPVTDFFINGWILPSYNSNSIVLIPKVKGVDDLDSLRPIALSNFKFKLISKIIVDRLATLMPFLVSEEQCGFS >CAK8534899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788485980:788486627:1 gene:gene-LATHSAT_LOCUS4401 transcript:rna-LATHSAT_LOCUS4401 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGRGRPPKNTVPPPSKTQPVSPTQQQSELCNHLESNTSLTEEGILETLDARTKPNQEEMATVTQSIDTTQPVIPKQPENGKPIHEGASEEVRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKVEVVIEDEDIISEVKFWESSLILYTMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIRE >CAK8579663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715127542:715129619:-1 gene:gene-LATHSAT_LOCUS31591 transcript:rna-LATHSAT_LOCUS31591 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVDRTDKGVGAVPQSTITPNWTIQNSDIKTVKVTNITAAATENDIKEFFSFSGDIQYVEMQRDTENTKTAYVTFKNTQGADTAVLLTGSNIANASVNITPVENYQLPPEAQPFSPCSSEDRKQTPTVVKKAEDVVSTMLAKGFVLGKDAIGRAKSFDERHRLSSNASAKVASLDRKIGLSGKLSVGTALVNEKVREMDEKFQVSEKTKSALAVAEQKASDAGSAIMSNPYVSTGALWVSNAINAVAKAAEDVTTMTKEKVEMAEVDKKEVVSSEKKDSEKHSAGSSPIVATKSDDGSKLGGIYET >CAK8569491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2615828:2616304:1 gene:gene-LATHSAT_LOCUS22336 transcript:rna-LATHSAT_LOCUS22336 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTNTSIDNNNNNKSMRKEKKEMKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYITPIAAARAYDTAVFYLRGPSANLNFPELLFKDREDDDDEDEERYGKNMSADLIRKKATQVGARVDAFETSLNNHVRVHVHSNTSSTFKPDLNEFPKPEDY >CAK8579664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715137313:715137918:1 gene:gene-LATHSAT_LOCUS31592 transcript:rna-LATHSAT_LOCUS31592 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSYPSFTDLLQLKVHIHHFDSLSHIQCEIINLPAIVFKKLFSQEGWDYLRTQLSEVSFLTSNIIDHFISHIIYEVQQSYNIGTTDEKDNSEPNVFNLNLEMEIHGEPEIEYYDEEIGMVPASKEAIERMKTTWDLNDTKLRDICCSICMDEFDDVDETSKICRMPCSHVFHEQCIVKWLQTSNTCPLCRYSMPTALDS >CAK8541060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:52961207:52962031:1 gene:gene-LATHSAT_LOCUS10011 transcript:rna-LATHSAT_LOCUS10011 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNSTQQTSNNNMGDDINKQQSSESKNLRTVLSETKQDETKKQLAPKRTSNKDRHTKVEGRGRRIRMPALCAARIFQLTKELGHKSDGETIQWLLQQSEQSIIAATGTGTIPASALASSGNTLTSQGSSLSSGLQLHQKLDERTSWAHQAHQVSSSSLWPHGFGFHQSSSGLTSTASENSSNYFQKIGFSGFDMPGTNLGGMSFTSILGGNPQMPPGLELGLSQDGHIGVLNQQALSQIYQQFGQNHQTRVHQHNQNQNQNTTKDDSQSSEQ >CAK8561783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:384684867:384687870:1 gene:gene-LATHSAT_LOCUS15342 transcript:rna-LATHSAT_LOCUS15342 gene_biotype:protein_coding transcript_biotype:protein_coding MERLERRSKKDQEKKEKRRNFVELITGNNNNNNGFKKEIVITNDNNNNNEFSIGSPVPSPRCVFEVPISDSDSTGSENYSSSQRAQQGGKLSPSGGADGHQWRNMIDALRFKSVRRFSTIPLLAASYEISRRNLRNKLARARTGNEDENDFDGSLDLEGITKPSWRNFSYEDLVAATDNFNSENMIGKGGHAEVYKARLPDGQVVAVKRLMRNEKEAGDKAGDFLSELGIIAHINHPNATKLIGFGIDRGLYFVLQFASHGSLSSMLFGSECLEWKRRFKVALGVAKGLQYLHEDCPRRIIHRDIKASNILLNHNDEAEISDFGLAKWLPDKWAHHVVFPIEGTFGYLAPEYFMHGLVDEKTDVFAFGVLLLELLTGRRAVDSNSRQSLVIWAKPLLDAKQVKEIADPRLEEQYDLVEMKIAMATASMCIHHMSSKRPYMNQVVLLLKGEEVAMDFTQKSIAQRSLLLDACDLEDYTCSNYLNDINRHKQLIME >CAK8567283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501144900:501147155:1 gene:gene-LATHSAT_LOCUS20349 transcript:rna-LATHSAT_LOCUS20349 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYETIKDIGSGNFGVAKLVRERQSGKLYAIKIIERGLKIDEHVQREIINHRSLKHPNIVRFKEVLCTPLHLVIVMEYAAGGELFEKICSAGRFDEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSSSPQLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGGYPFEDPDDPRNFRKTIERILRVHYSIPDYVRVSKDCRNLLSQIFVANPEKRITIPEIKMHPWFRNKLPMEFEKDEKQKLENDGNCVDDSSSQSIEEILSIIQEARKPGEGPKLDGQFVGGEMEFDEMDEDDDFDNDIETSDDFDYVCEM >CAK8560375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16528673:16529320:-1 gene:gene-LATHSAT_LOCUS14052 transcript:rna-LATHSAT_LOCUS14052 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCDVYEDHSSEFDRNKISSSALTERNFKVEFNCKNMHVLSARRFRMVEVTKCHTFSHVTKDKMIQNTTILSWLSQMDIPQDAYWVVEEKILECLGHMAKTTHKNSRVFSIRVDICITRASEDEGSESDGEGYEINESDEDSSDEDIEFVPAEKSCIEDLERVEKEGKCSICFEDFNVCLVMPCSHMFHPKCISDWLKIGHSCPLCRFDLPT >CAK8576188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:420919294:420920625:1 gene:gene-LATHSAT_LOCUS28394 transcript:rna-LATHSAT_LOCUS28394 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNKGNGGNNNNNGGKENEENNNGGNNENNNNNNNNNNNNMVLKVSMHCDGCASKIIKHLRSIKGVETVKAESETGKVTVVGKVDPVKVRDNLAEKIKKKVELISPQPKKEKENKKETETNNKSDEKTKTDDQKTKEKETVTTSVLKMLLHCQGCIDKIGKIVLKTKGVLEMTIDKEKDTVTVKGTMDVKKLVENLTEKLKRKVEVVPPKKDKEGGGGGGGGENEGGGGGGKKKNKGGGGGGGEGGENNNNNNEGGEVKKMEYMVQPAFGYGFGYGNGSYEGYNEQVYQNLLHIHMHTQPPQMFSDENPNACNVM >CAK8573731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633377574:633381063:1 gene:gene-LATHSAT_LOCUS26139 transcript:rna-LATHSAT_LOCUS26139 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGLIEQHQLELDNLTLTTRPIKTLKFFSLAIVQFLKKTARYLLAKGGWLLLLSFVVGAFSIMLISIDGPHEKHLEELLKYFRFGVWWITLGIASSIGLGSGLHTFVLYLGPHIAFFTIKAMQCGRVDLKSAPYDTIQLDRGPSWLDKDCSEFGPSLFQSEYGSQIPLSIILIQVQLEAVLWGMGTAIGELPPYFISRAARLSGSRMDAMDELDTEDEGVITTYLNRIKRWFLSHCQHLNFLTILALASVPNPLFDLAGIMCGQFGIPFWKFFLATFIGKALIKTHIQTIFIISVCNNQLLNWIENELIWVLGHIPGFASILPKVIANLHAVKDKYLKAPHSVSPAVKGTRWDFSITSIWNTVVWLMLMNFFVKIVNSTAQSYLKKQQEIELAASNISSSTD >CAK8572261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525115392:525125461:1 gene:gene-LATHSAT_LOCUS24845 transcript:rna-LATHSAT_LOCUS24845 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVTGSSVVSPSSAFDMGAFVGDLTIEEDLNGDDISLEGLQQELEECKNDEVVANILSKGTKLRDYTKGVENDLRKVELDSIQDYIKESDNLVSLHDQIRDCDNILSHMETLLSGFQAEIGSISSDIKILQEKSMDMGLRLKNRKVAESKLAKFVEDIIIPPRMVDILIDGEVNEEYLRTLEILSKKLKFVEVDTMVKASKALKDVQPELEKLRQKAVSKVFDFIVQKLYALRKPKTNIQILQQSVLLKYKYVVNFLKEHGKEVYNEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSGGLFARAWEPLKNRSAVFALGDRINILKEIDEPALIPHIAEASSIKHPYEVLFRSLQKLLMDTATSEYNFCEEFFGEQHMFYEIFSGPFGVMDEHFNTILPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLYSLRNASVKTLWEDDVHPHYVMRRYAEFTASLIHLNSEFGDGQLDLNLERLRMAIDDLLIKLSKNFTKSKLQIVFLINNYDMTIAVLKEAGPEAGKIQMHFEELLKSNTALFVEELLQEHFNDLIKFVKAKASEDPTSSPDKPITIAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDSIKRIPGGSALNKDLVSISSIMYEIRKYSRTF >CAK8534733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:761615803:761616357:1 gene:gene-LATHSAT_LOCUS4252 transcript:rna-LATHSAT_LOCUS4252 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFTRGISKYHFHSKLKKSQPQIMAGNISNPSGSNVVNILLNRDFSDGLNSWHPNSCNAYVISAKAGNHAGISIEPDRNYAVITDQNECWQGLEQNITDRISVGSIYTVLAFVGVFGSGLSHESADVKATLKLEYHGSATQYLFIGSTSVIKGSWEKLEGTFSLSTKPDRVIFYLEGPCSWS >CAK8532048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196567872:196570187:-1 gene:gene-LATHSAT_LOCUS1786 transcript:rna-LATHSAT_LOCUS1786 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSTSLLYYGVDDRKRMEFILRNSGSKKAKSSNSQEESTRITLTAFNQMIEYCEGSECRRKRILESFGEQVTASLCGKTCDSCRHPNLVARNLEDLTAACSLRQKGGSRVFITSSSDAIVGEQLSEFWNRDEEASGSEEDISDLDEGNEVVNNLARSKLQSRVGINEKLDLLQRAEEKYYRNENTNKQSNKVDKNAISDALRGASRQRLQNALKQAQERLGDSKIEMEASASLLEDECYKKYGKTGKSFYYSQVASTIRWLTTTNSDNLMNRLHAVDVSSSLNVISEVEHSLTPPPASAPCAKEETNNEVSGSAVTEIIPSDMPMENSFNTNLPPIPSFSEFVNSRKAKRDQSGDTKKHSSRVEKKMRIQ >CAK8537152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:110214723:110219237:-1 gene:gene-LATHSAT_LOCUS6462 transcript:rna-LATHSAT_LOCUS6462 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNEKALDRSVLNLKRKRASRYATCPNGALRSVLPQWTSLISPRNKIVKRMRLNRYKSKPTNSETCIGQSLIRYYMNFKKSGRPERLMVYENGEWKDFPRDVVDLVRKDFDVKKAVVEVELNGHHLVLNFLHMYQMNLKSGLQQPIAWIDENGCCFFPEIYTASNEGPLCNQDGGKGHESFLQDPNEIKLHLEIEINGMNASQFGECSGESNVLVKHIQVDAKQACSQNDLEIEDSSNKMGDGNVGEPVEQNKNIGFNAYNETVYGKLDLDTVQNMFLKGISSFGSADIVEIYPFSSTLMQSRLELFEKQAEITKKFRGDANVQYAWLASSKGELSTMMTCGLGHCGLSASKCTHGIGVHLAAATCPFASADYCDVDENGVRHLVFCRVIMGNMELLRRGTRQFRPSSSDYDSGVDDIHNPRYYVVWNMNTNTHIYPEFVVSFKVSSNVEGLSGNERKHNILGINSASQSPKILSRSETSTVDNGMATSLPKAPTSPWMPFPVLFAAITNKVPAKDMELIKVHYMHFRSKEMTRDEFVKKLRLIVGDALLRATITGLTGLQRKIPSQSSCAIKMES >CAK8564454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675471384:675473015:-1 gene:gene-LATHSAT_LOCUS17759 transcript:rna-LATHSAT_LOCUS17759 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSREGPNSAGLNFYHQPGQGIYLQGNLCVDRHGSSSQGTGFSFETSKEQCFPVESYPEIVGFMDCDSPSYASVSSNKSPFSPQDSQSCYSDHHQSSDNTYGSPISGMSSVDDGNDLKHKLKELENSLLGPDDFDIVDSYGSSLEASLHGACQSAKYNWDLIAENIPKLDMKEVLLLCAQAVSDGDIQTARGWMDNVLVKMVSVAGEPIQRLSAYLLEGLRARLESSGILIYKALKCEQPTSKELMSYMHVLYQMCPYFKFAYISANAVIHETMANESRIHIIDFQIAQGTQWHLLIQALAHRPGGPPFIRVTGVDDSQSFHARGGGLQIVGQRLSDFARSCGVPFEFHSAAMSGCEVQKENLSIRPGEALAVNFPYILHHMPDESVSIENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFHRFVETMDYYTAMFESIDVACAKDDKKRISTEQNCVARDIVNMIACEGIERVERHELFGKWRSRFSMAGFKQCQLSSSVMHSVENMLKDFNQNYRLEHRDGALYLNWMKRAMATSSAWR >CAK8572751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:560140811:560148523:1 gene:gene-LATHSAT_LOCUS25279 transcript:rna-LATHSAT_LOCUS25279 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSTIAVPSISRVKFLPKPSLFTFTSLRRIRLPHKHSPRTVSCVANELHNRTPKRDGDLVVLGIETSCDDTAAAVVRSDGEILSQVVSSQADLLVKYGGVAPKMAEEAHSQVIDQVVQEALDKAYMTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGGFNLPIIGIHHMEAHALVARLIEKDLQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYDKSAKWLGLDMSRSGGPAIEELAREGNAKSVNFSIPMKQHKDCNFSYAGLKTQVRLAIESKKIDAKIPISAASYEDRMSRADIAASFQRIAVLHLEERCERAIQWALKMEPSIRHLVISGGVASNQYVRARLDTVVKKNGLQLVCPPPRLCTDNGVMIAWTGIEHFRVGRYDPPPPAEDPEDFFYDVRPRWPLGEEYAEGRSESRFLRTARVHPSLTSLIQASSQQ >CAK8568498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611735278:611736456:1 gene:gene-LATHSAT_LOCUS21448 transcript:rna-LATHSAT_LOCUS21448 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRLSLLKQPISSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVHLHIFCGLYHASYSSPREFVRCLRVVIFLLMIVTAFTGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFILVGASLLHLAALHQYGSNNPLGVHSEMDQISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTDETDQ >CAK8571288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364657217:364657681:1 gene:gene-LATHSAT_LOCUS23964 transcript:rna-LATHSAT_LOCUS23964 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLSQPALDSPKSTIKFLCSYGSKILLRYPDGKLRYLGGYTHVVFVHRSVQFPELLPKLEELCGSSMTHFRCQLSAEDLDALVSITSDKDLVNLIEEYDCTASLQLPLKIKAFISPPRSMNKVSKPPIPTTPVLNFSVQIHRNHNHGTFFQHS >CAK8535846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885545368:885545862:-1 gene:gene-LATHSAT_LOCUS5266 transcript:rna-LATHSAT_LOCUS5266 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIPIISKRVWNMVRVAFFMLRKKLSKGKLMTDINMMLKRRRKLAGKAIANLMFHHHHKGSTSSRHSHNSFTFTRVPPKPDDEAMTMSAMETIMDMLNNDQAIVEASPALSRFERSPTARQLRVTDSPFPLRDDDDDEKDNQVDKAAEDFIKRFYNQLRKQD >CAK8531932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178716303:178719355:-1 gene:gene-LATHSAT_LOCUS1687 transcript:rna-LATHSAT_LOCUS1687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTILVSVLEFMDLPFSSSTPIRASMGKIEYQISDKGNFSFPIASLRDDLVFKIHDSEGNELSRAGVYIRMILEKGVWEDTFPLGEGYLHLKLQVVLSNEERDRIRMMRQSASKKKQDGLLNSSQRGAESERNMIIGNAVLPFRASDEVSESSPKQYLQHEEVSQLRSPVHSSDDKESSTTNAAEAELEQKQLNSNIADQYKKTSSIKPVSQEVNLIQLQHGVKKPANQSASENQPRRATGSEEMVIFLSSEKVNSSTNNPIQDNLENDNLPNSEKKAHLGRSPSNVKKMISAFESGLPKDMRPNIKPPPTKYQVSPIEKKDSSDTRHFEQDKSLNIEPIGFLKEKLKSASLVKDLKELPENIEESKEQIHIPKRRIGSNARNEDKDEETNKNAAHIETVTDGSKYEKIHDIAKSKTHTSDDDNGDENSGGPFNQVIKVAIIIGFGFLVLFTRQRNKRRKEKRA >CAK8531933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178716303:178719355:-1 gene:gene-LATHSAT_LOCUS1687 transcript:rna-LATHSAT_LOCUS1687-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTILVSVLEFMDLPFSSSTPIRASMGKIEYQISDKGNFSFPIASLRDDLVFKIHDSEGNELSRAGVYIRMILEKGVWEDTFPLGEGYLHLKLQVVLSNEERDRIRMMRQSASKKKQDGLLNSSQRGAESERNMIIGNAVLPFRASDEVSVMNLVLHTFPQRLFPDVLSAPSSQESSPKQYLQHEEVSQLRSPVHSSDDKESSTTNAAEAELEQKQLNSNIADQYKKTSSIKPVSQEVNLIQLQHGVKKPANQSASENQPRRATGSEEMVIFLSSEKVNSSTNNPIQDNLENDNLPNSEKKAHLGRSPSNVKKMISAFESGLPKDMRPNIKPPPTKYQVSPIEKKDSSDTRHFEQDKSLNIEPIGFLKEKLKSASLVKDLKELPENIEESKEQIHIPKRRIGSNARNEDKDEETNKNAAHIETVTDGSKYEKIHDIAKSKTHTSDDDNGDENSGGPFNQVIKVAIIIGFGFLVLFTRQRNKRKEKRA >CAK8531934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178716303:178719355:-1 gene:gene-LATHSAT_LOCUS1687 transcript:rna-LATHSAT_LOCUS1687 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTILVSVLEFMDLPFSSSTPIRASMGKIEYQISDKGNFSFPIASLRDDLVFKIHDSEGNELSRAGVYIRMILEKGVWEDTFPLGEGYLHLKLQVVLSNEERDRIRMMRQSASKKKQDGLLNSSQRGAESERNMIIGNAVLPFRASDEVSESSPKQYLQHEEVSQLRSPVHSSDDKESSTTNAAEAELEQKQLNSNIADQYKKTSSIKPVSQEVNLIQLQHGVKKPANQSASENQPRRATGSEEMVIFLSSEKVNSSTNNPIQDNLENDNLPNSEKKAHLGRSPSNVKKMISAFESGLPKDMRPNIKPPPTKYQVSPIEKKDSSDTRHFEQDKSLNIEPIGFLKEKLKSASLVKDLKELPENIEESKEQIHIPKRRIGSNARNEDKDEETNKNAAHIETVTDGSKYEKIHDIAKSKTHTSDDDNGDENSGGPFNQVIKVAIIIGFGFLVLFTRQRNKRKEKRA >CAK8568818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643714529:643718025:1 gene:gene-LATHSAT_LOCUS21739 transcript:rna-LATHSAT_LOCUS21739 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKFENEELIKYGFIEDIWFHVDKMSSAHVYVRLHKGQTIDDMSEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFHNGKMVRTVRVEKKINEIVNRLNKTKVERKPDLKAEREAVNAAERAERKHQLREKKRREEMDRLEKEKQSELRSYKGLMVSENMTSNKDIASGSKSFQEVEEDFM >CAK8571669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458846119:458847627:-1 gene:gene-LATHSAT_LOCUS24309 transcript:rna-LATHSAT_LOCUS24309 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLLTCCLSMENHHHPSTLLSMDSSACSHDELDLEMNRQIILSRPPDINLPLSAQQPWSSDPCDILDVGLGTQGGYETESLLNLPKAVKKCSKRVDSIWGAWFFFRFYFKPVLLEKSKAKVVRDDNNGVSGFDKTDLNNDVFMVQHDLENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCLHGIEVVTSPNLSSLDRDEQKKWMELTGRDLNFIIPVEASDFSSWRNLPNTDFELERPPPPIKSAPVPHSKKLLNESGLNLSTHMSNGDAADLSPISSKKRKDFFLTGNDEECYLSVNPSSDRIPDLEMHPSEQHWLNEFSGVMKNVCGPATAAKTIYEDEQGYLIIISLPFVDLPSVKVSWRNTLTHGIIKVSCVSTSRKPFIKRSDRTFKLTDLSSEHCPPGEFIREIPLLTRIPEDANIEAYYDGPGSVLEIMVPKLRPGSEEHEVRVCLRPNLGGNLDGNDLMLT >CAK8538005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457283751:457284742:-1 gene:gene-LATHSAT_LOCUS7248 transcript:rna-LATHSAT_LOCUS7248 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHLTALSLFCLAFVGITATSPGEDYWQSIWPNTPLPKTFSDLLIPNGKTNSLPIKTEELNQYSTLFFEHDLHPGKTFHLGNTHPVGNVIRPFTKSKQGITDSIWLANKDEQSLEDFCYSPTAIAEHKHCVSTLKAMIDQVISHFGTTKIKAISSNFAQDQNQYEVEEVRKVGENAVMCHRLNFKNVVFNCHQVKKTTAYVVSLVGQDGTKTNALTVCHHDTRGMNAELLYEALEVTPGSVPVCHFIGNKAAAWVPNHTVDNRC >CAK8543861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645131259:645131847:-1 gene:gene-LATHSAT_LOCUS12586 transcript:rna-LATHSAT_LOCUS12586 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVILIFSISMVLLSSVAIATDHIVGDDKGWTVDFNYTQWAQNIVFRVGDNLVFNYNPSFHNVFKVNGTLFQNCTFPPENEALSTGKDIIPLKTEGRKWYVCGKGDHCVARQMKFVITVLSEGAPAPSSPPPSSTGHYVVSSVFRVVMTVVVAIATVFV >CAK8563085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572478449:572478826:1 gene:gene-LATHSAT_LOCUS16530 transcript:rna-LATHSAT_LOCUS16530 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQLSCIVIKGVIHDTFQIYLLDFDSGKWFHYHDVGHLDYVAAYGHELTTFEISFDCWIHDQIIFEIFFKEKRPQAILSNMNFSYSVQTRKLTKIEGIKNEDIIFGDHVILHTNSLISLPSTPT >CAK8572901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570412808:570415904:-1 gene:gene-LATHSAT_LOCUS25407 transcript:rna-LATHSAT_LOCUS25407 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSKPKKPTPIRVFGQRSITSTFRSLPSNPSHDSEATSRNQASRKSEFSRLSLSHFLDRKLHSSSTVPQKVPGKLTPFQSPLGLRIPSSEQVGSVKQTDEERKCATADEKLILGMFKHTEKEGKCDFVLPLDVDELENSVANDRQESRKRKNLFEGVNENQTVRKHVVVLGGESKLKPRKQMKNDFDGKKEKPYNHYANGRGWWDYDMEGVDNEALGVSEVWEGVGSTTLGGIADWH >CAK8569244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686551781:686555971:-1 gene:gene-LATHSAT_LOCUS22122 transcript:rna-LATHSAT_LOCUS22122 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHIAATETVNNLRERLKQRRLSLLDTDIAGYARSQGRAPVTFGPTDILCCRTLQGHTGKVYSLDWTSEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSPTDRDGNLNVSRMLSGHKGYVSSCQYVPGEDTHLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSISINGSNSKLFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNSVKFFPDGNRFGTGSEDGTCRLFDIRTGHQLQVYNQQHHDNEMAHVTSIAFSISGRLLIAGYTNGDCYVWDTLLAKVVLNLGSLQNSHESRITCLGMSADGSALCTGSWDTNLKIWAFGGHRKVI >CAK8569446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1245821:1247114:-1 gene:gene-LATHSAT_LOCUS22295 transcript:rna-LATHSAT_LOCUS22295 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQEVVEFISRTKAADQYRTQRLREALITLKALYETEVDEMRFEGLLDQALLHMQDEFEALLLKLKHRKLGEFSHMQHVGDESDDDFKVSFELGSELEIEVLRRISNILAANDCLDICIDIYVKVRYKRAAKALMKLNPDYLKTYTPEGIDEMEWENLETSITLWTQHFEVATKKVLLSEKKLCESVLGEIIDGLIHPECFVKISDKIMAVFFRFGEGVARSNKEPQKLFKLLDMFESLEKLKPHVLEIFDGESGEDICARFRELEKLIIDASSKVFWEFGLQIEGNVDGFSSTSTRRFCSKNSKICC >CAK8563423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601854098:601855172:1 gene:gene-LATHSAT_LOCUS16837 transcript:rna-LATHSAT_LOCUS16837 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVMNPWLLHSNSKDSSPEPEFFHRNPKSRSVTFSPSSSSSVSPISGPVNKLTRALSETDLSVSPNRKPLHRRQFEDDDEESRTLGFGARSRSASFSSALCSLSELEEKESQSGVDASDSGVLVEEGGGGGFDKNDGGVSRFGDSNHGNDSTDLYYRAMIEANPENPLFLSNYAKYLKEVRKDYVKAEEYCGRAILANPNDGNVLSLYADLIWECHKDASRAETYFDQAVKAAPDDCYVLASYAHFLWDAEEEEEDEVEEESSEKAFGFFTGGSLTTTPPPMAAAAS >CAK8534605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:747577161:747579855:1 gene:gene-LATHSAT_LOCUS4138 transcript:rna-LATHSAT_LOCUS4138 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAIETFSKRLIEDVHKWGCFKQTGVSLRYMMEFGSNPSDKNLLISAQFLHKELAIRIARRAIELENLPCGLSHKPAILKVRDWYWDSFRDIRSFSEIKNMNDEKEFTEVIKAIKVRHNNVVPTMALGVQQLKKDLNPKIYNDDIVEIHQFLDRFYMSRIGIRMLIGQHVELHNPHPHPHVVGYIDTRMSPVEVARNASDDARALCCRQYGSAPDVHIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVEERYMNSDKVSPPIRIIVADGLEDVTIKISDEGGGIPRSGLRKIFTYLYSTARNPLDEHTDLGVGDNVTMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >CAK8540997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40440679:40443502:-1 gene:gene-LATHSAT_LOCUS9950 transcript:rna-LATHSAT_LOCUS9950 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGFWMSRDAGCIAEENVGYENSSRAEPKRSHQWFTDSDEPEVFSNKKQAVEASSDMPVSTTNVSHWDTGSGFHSVTGQFSDRLFGSDLIQSVNLVDKNMSSTGSGNLNIGGRKNFGNNDTSVGLSVLPTILGPSPCLNFGGIRKVKVNQVRDSDNGMPADNNTFSIDSGYDKNDGNITSGPSYSNGSDNTVAIGISKPDDNLLAMGHIFDKGDGSFVLMGQNYGKGDESILSTGRPLERGGGNFITMNQSFGKEDGNLISLGPTFSKEHESFTSMGVTSDKSGENFTTVAPSYDNGISVGPTYVNVDSNIASTSPSFDSINSSFLPASQNLSNRSTVSFGGFHAGGSEPNPSCGVISGFDPLMGNQNSAQGADSQRDLIESNPDPLVNNTSKSNAKNDTFVKNKEPKIAKKKASNNFPSNVKSLLSTGIFDGISVKYCTWSRERNLQGIIKGTGYMCSCDDCKGQKALNAYEFERHAGAKSKHPNNHIYFENGKSIYAVVQELKNSPQETLFDAIQTVTGSTINQKNFRIWKASYQAATRELQRIYGKDDAVIPS >CAK8540996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40440679:40443502:-1 gene:gene-LATHSAT_LOCUS9950 transcript:rna-LATHSAT_LOCUS9950-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNKGFWMSRDAGCIAEENVGYENSSRAEPKRSHQWFTDSDEPEVFSNKKQAVEASSDMPVSTTNVSHWDTGSGFHSVTGQFSDRLFGSDLIQSVNLVDKNMSSTGSGNLNIGGRKNFGNNDTSVGLSVLPTILGPSPCLNFGGIRKVKVNQVRDSDNGMPADNNTFSIDSGYDKNDGNITSGPSYSNGSDNTVAIGISKPDDNLLAMGHIFDKGDGSFVLMGQNYGKGDESILSTGRPLERGGGNFITMNQSFGKEDGNLISLGPTFSKEHESFTSMGVTSDKSGENFTTVAPSYDNGISVGPTYVNVDSNIASTSPSFDSINSSFLPASQNLSNRSTVSFGGFHAGGSEPNPSCGVISGFDPLMGNQNSAQGADSQRDLIESNPDPLVNNTSKSNAKNDTFVKNKEPKIAKKKASNNFPSNVKSLLSTGIFDGISVKYCTWSRERNLQGIIKGTGYMCSCDDCKGQKALNAYEFERHAGAKSKHPNNHIYFENGKSIYAVVQELKNSPQETLFDAIQTVTGSTINQKNFRIWKASYQAATRELQRIYGKDDAVIPS >CAK8536644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3915012:3918425:1 gene:gene-LATHSAT_LOCUS5986 transcript:rna-LATHSAT_LOCUS5986 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLKLHHLHAHIGSKQSKPISHVLPKSTISLRTQHMFVQSSPILTASYRPLQVHASSSSSSFQFRHSNKIRVDESKSLTLESIRHSLIRQEDSIIFSLLERAQYSYNEDTYDKDALFADGFRGSLVEYMVCETEKLHAQVGRYMSPDEHAFFPAYLPEPQLPPLKYPQVLHHCANSININNLIWDMYFKDLLPRLVKPGDDGNCGSAAVCDTLCLQALSKRIHYGKFVAETKFLESSKLYEAAIIAKDRKRLMELLTYETVEALVQERVEMKSRTYGQVVKIEEKGEVVDPAYKIESGLIGNLYRDWIMPLTKQVQVEYLLRRLD >CAK8540072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538277976:538278830:-1 gene:gene-LATHSAT_LOCUS9110 transcript:rna-LATHSAT_LOCUS9110 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNHARSNSFPSQSHPNSTRIEQELSKIKTWETTSTSTSDSITIGLSLLEDLYTSLEDFLNMTSTQKVISRHQGENFVEDLLDGSVKILDICGITRDTMLDIKENVEALHSSLRRRKGDSSFETSVAEYNFFTKRMKKNVTKLITSLKQMESKFGASTLLNQDQEVVSVIKVVREVIVMNMSIFQSILSFLASKSKATKWLKMAKLMHKRTISCEEENLNELQHVDASLRTLLREGSDVAKMQAARESFEALESTIEGIEKGLESVFRSLVKTRVCLLNMTQ >CAK8543117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579815462:579816211:-1 gene:gene-LATHSAT_LOCUS11899 transcript:rna-LATHSAT_LOCUS11899 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNSDRFDPHEHESPSSCLNVGHPIGGKRKGEAISLDCKSRSLAHRYILFNHEDVQKFISEHENSNSNKRKGWSKVKSQGLDFVECFKKRALLSDISGNLRTLSRGPNKIARSFSGYVINGYRFHTKGRDARRNTQNSGVTLAAITESYSSTKDENPITQLITYYGLITEIIEVDYYGKLNFVLFRCDWFEVEEEKYGMTCVYFNKRCYVDDPFILASQVH >CAK8571061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274867677:274868195:-1 gene:gene-LATHSAT_LOCUS23756 transcript:rna-LATHSAT_LOCUS23756 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQRENEVEKESMDLATTLMLLSSHRTHQQQSNKTYSPMEFECKTCNRKFSSFQALGGHRASHKKLKLEGDETLKGNNQPKMHKCSICGQEFKLGQALGGHMRRHRINNEGFSSSSMSYHQVIAKSSPVLKRSNSKRVMCLEMDLNLTPLENDLKFLFGNKAPQVDLSLF >CAK8536455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942905681:942906340:1 gene:gene-LATHSAT_LOCUS5822 transcript:rna-LATHSAT_LOCUS5822 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRFEHVIHHGGGFGEFNRYGYNGLEEIWHVDPNFWSYFEILCGLKDLGYPKVERLWYYDAMDDNELVMLQDDAGTNRMKTIALINGNVHLYVMHLVYGEEKILPLENNVGPNGENNVGPNVENNVGPNDVEDDSLEDDILDELNNGVKGTFDYMGTIEELDNIGDNFKEGGPVRFEDSAAIDQEASFEDVNMDGTNDGSNQKESYQDVNFEGGHCV >CAK8579437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699056185:699056656:1 gene:gene-LATHSAT_LOCUS31384 transcript:rna-LATHSAT_LOCUS31384 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYLELGLVSVEVLLCLIQKCPVLNTLVFEEILEFNHELLNSAAVPDCLTYTFQVVKFGYVRGYEHEFLLAKYLMENGTVLERMSFSFDHPELVKSKAIEEFKEKLYSFKKGISFAILEFSNQYY >CAK8571212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:338831571:338843878:-1 gene:gene-LATHSAT_LOCUS23896 transcript:rna-LATHSAT_LOCUS23896 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNIVPASRAGAVEIAVAAIELTDSSSWWRDIDESPVWQSRIFYTLAILYGVVSAIALIQLVRIQLRVPEYGWTTQKVFHFLNFLVNAVRCLVFIFFPGVQSLQPEIVQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLKPSFYTINIVVYAVQIILWLILWWKPVSLLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFLCFLIRCVMMCFNAFDKNADLNVMDHPILNFIYYLFVEILPSSLVLFILRKLPPKRGITQYHPIR >CAK8568510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612457782:612459548:1 gene:gene-LATHSAT_LOCUS21460 transcript:rna-LATHSAT_LOCUS21460 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFRYYRSWMYDRTLPGRLGLTPKFEEGVKGFIAWAFAQECCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLSSNVHAETTNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDREELPNEEAQRFYQLLKEMNTPLFEGSADLKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLDLEVRKIDCCIKGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLRRLFASMHNASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLRLCSDGFTPYVQASVIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSLKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQKFTLRAALMWTINDFPAYGMLSGWGTHDKMGCPHCMEFTKAFTLEFGGKSSWFDSHCRFLPRDHVFRRNKTDFKKDVRELATVRQLALSEARREAETREAALKAEMDDMRNRQNAEIEEMRKRQLDMEAQMRNFFASKSNYSAEDDEEDEDVSFSDEE >CAK8561528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:274177961:274178548:1 gene:gene-LATHSAT_LOCUS15110 transcript:rna-LATHSAT_LOCUS15110 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNY >CAK8561280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135632898:135633480:1 gene:gene-LATHSAT_LOCUS14888 transcript:rna-LATHSAT_LOCUS14888 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIESIAVAPRPSSVTRSSLKFSRYVALKLKPRPIFTASPTLRTATRTSRVVCEAQNTAVDVAPITEANWQSLVLESDSPVLVQFWAPWCGPCRMIHPILDELAKQYAGKLKFYKLNTDESPSTATRYGIRSIPTVMIFKDGDKKDTVVGAVPKSTLTSTIEKIL >CAK8572226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:522767138:522775717:-1 gene:gene-LATHSAT_LOCUS24814 transcript:rna-LATHSAT_LOCUS24814 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQNGYDTHQQQPQPTDENVTEVGWYVLGENQQQVGPYVFSEVREHFLNGYLSENTLLWSEGKTEWQPLSSISELWDEINRLRIGPDSSSTAVPADAEDVDEFEKWQKEIKEAEAQVEGSELGTFSADVVVGGVAEEDSERPSTPPDGEEEFTDDDGTIYKWDRNIRAWVPQEECTPGSALPYGVEEMTFLKEEEVFPTVPDSNASKIVEDSSELNVSATSLKEESKEENTDTVADRKRKLSDKPEEKKEANKPPVSWFELKINTHVYVTGLPEDVTIDEVVETFSKYGIIKEDPDTKRPRVKLYVDKGTGRKKGDALVTYLKEPSVALAIQILDGAPFRPGDNTPMSVSLAKFEQKGEKFVSKQVDNKKKKRTKKLEDKMLGWGGRDDAKISIPTTVVLRYMFTLAEMRADENLRIELEEDVKEECTKLGPLDAVKVCENHPQGVVLVKFKDRKGAQACIQLMNGRWFGGRQVHASEDDGLINHATVRDLDEDAKRLEQFGEELEGE >CAK8544526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690490924:690493234:1 gene:gene-LATHSAT_LOCUS13197 transcript:rna-LATHSAT_LOCUS13197 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVALQQSQLSFPPLASSRSDFNGTRLQTHIQFKRKTCQPKGSFSVSASSTKKILIMGGTRFIGVFLSRQLVKEGHQVTLFTRGKAPITQQLPGESDTDFADFSSKILHLKGDRKDYDFVKSSLSAEGFDVVYDINGREAEEVEPILDALPNLEQFIYCSSAGVYLKSDLLPHAEIDAVDPKSRHKGKLETESLLQSKDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGSGIQITQLGHVKDLATAFVKVLGNDKASKQIFNISGDKYVTFDGLARACAKAGGFPEPELIHYNPKDFDFGKKKSFPFRDQHFFASVEKAKSVLGLEPEYGLVEGLTDSYNLDFGRGTFRKEADFSTDDIILGKSLVSV >CAK8530238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8880339:8899049:1 gene:gene-LATHSAT_LOCUS120 transcript:rna-LATHSAT_LOCUS120 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIEASLVSKLKTSDSPGIYALVSDYLRPFSDSKASENDKTLIRTLAKRFVPFINSSLSILPKRIPEVSNSNEVLIRELFQVYILCLNCLEAVCSQLDSKPLTIHFLRLRLIRCYESCSRFHEAEAEGFKLLERLHEVKSKGKKILPEIDKGGVVDKDLSSLVVDIVVSLVKCASMASIKDDGYFRRVLHLMDEVRPWLRGLDSNSYEKFHKALAYHLGKCALNFLEKASFSDKDLVITLCRTALIEYAKSSFKDQLFKIAQKMCSILFMLGENKLLYIMDILDCFACENKVDEENAGIEFIELVYYCVLKCQTANASFCHTFATYLNKTAEHYKQFMKPLNSILRLYAAGLLLVSCKLKSKLKSGAEGLVSSGTPKFECFLGTLLENKKILQSSPPLLGSFHICSRSSCMSSSVEDQQFDGHTCTQSASDCEDVTTYQSFYVEALNFLCLPLAKSVNSERKQLLTEKIDASTMTMLSTVEDAFHALCQFILYSPSFTFEKDDDGFAEKSRTMPCFTLAAFTLSIRTNRKLQESKQLIKHVIASKWIDTDGLKYIINCLFNTALFFYKNKQPEEASKVLNLCCKVSWLCIKCHYGNLSEDVLKEFVMDAYTRSALLLDFLYETNNLMIRKKLIQTLKNWSSVSDLYEKIPAPIPVVKKWVKIECRRVTDVDDKVDSPSLYNLLSSSTELSKRNIGTILEQELTAYEEMSDKYPEFCQKMQLEITNILLQNIHITPISCFQKAQTLVRKGKALRFCSIDGLRDCIHCLSEAIIIMKEIPGEICSKAIPIYHQLCVAYCLRALCTQEAEPCSEQIFEDVKAALGLWLDIFCLDCFEEGGCSALSDSIMILLYNIIDLLHLKGFMELFNDAYRLLIRMFKLKSVSVEKWLILLWESRRLSHALCVSPVNEAFILNSLDEFSDFSNINFWTHNLKGNQSSLVGFQQNFSFLFASSHRSSCDHGSSFQVEITVDEVQKAALKLISNDPVPSHCTFLAGYLYGDLCERLIANGKLVEALSFAKEAQRLHTKLFNVKFRHIFQKNNKENNVKVDFPKNLMDGVDKIEVSKSVVREVFLFDSISWDSKDNYLSPWKIMQCYLESTLQIGVIYEIIGDAIEAETYIRWGKAISCSLQLPLFIVAFSSLLGKLYLTKRLWDLAEKELQCAQQILNDNSTPFCCSKCKLILEVTLHGYLGDLCQSKFNACEEGFSEETAKNWYTSALNKLTLSEWKNPLSCPEDNGYATATDAKCAPGKTCTCSIMNEVGEDVTKSTKVGPVTKIEPKQGRKSKNSAKVISKEPTVVVENKPRLTRSRYRSIQNQHANISRKLEVNKNEEGNKISDPSDVLSRTKSVSKEIGCSISSRCAISCILSKMKCWNYLPSEIVKSGLLNDFIILKWEFVRRKLSMKLLTRVVKCFAYPGQIDEAQKILLKSTSLLFGRNPFCHSFSSIPLDSFHQLVAKEIPGDVFAIERAEIVYSICWYSLKCYHSKLTRNIFCNLSHIKFEDIVSWLMVAFVLSREVPIVFQKVSKLLAVMYTVSSLSEQFSMPSFSKVFDENYWSSYFHQASIGTHLTYQFLSHLTGRCKGPCVTGSSFINEVTFDSLRVAPDSTVDLAEYVKKFFAGLPLTTIIGISLLEPEYTSLLQELLPYPAHVQAWMLVSRLNFKTEPVVMLLPLDSVLQDEGDLSTGSDFFQIFQNPSKVWHCPWGFTMVDDIAPAFKTILKENYLSSTARFEDTMQNRISWWKQRTNLDHCLDKFLRNLEDLWFGSWKCLLLGEWLNSKKFDLVLKTLVNDLRSKCKLKVNEGILRIVLGGSKSISEGKTSFSQLFSKKDCYIAKGGYCAGPRSGIFLNAANKLVSSEDAFELLNEALNVLEVDDSVNREPVILVLDSEVQMLPWENLPILRNQEIYRMPSVSSISAVLDNGNKHKEQVGKNLMPFPNIDPLDAFYLVNPDGDLGGTQIEFENYFREQNLEGKAGSKPTVEELASALENHDLFMYFGHGSGEQYLPSRKIQKLQQCGATLLMGCSSGSMTLHGSYAPQGVPLSYLLAGSPSIVANLWEVTDKDIDRFGKAMFNAWLKERSEVSMQCSQCNLESEEFEAMNLKGGKGRAKKKVPKKKSRELLESDSPKNNCSHRHKVGAFMGQARNVCKLPFLTGASPICYGVPTGIWKKKDI >CAK8572582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:549267434:549268390:-1 gene:gene-LATHSAT_LOCUS25135 transcript:rna-LATHSAT_LOCUS25135 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNIWVIPSVPSNQIYRFDKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIRLVQIDLKPLTLLGLNSSIMTYVIDGRCKDFKQSLVAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKLGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPKTWSLPQEIDPEPILNKDINQIIQATEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPRQLPRPSTSQIREEIEAVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFHL >CAK8534074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691124536:691124694:-1 gene:gene-LATHSAT_LOCUS3644 transcript:rna-LATHSAT_LOCUS3644 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLPLLFERYDEEINILFEDIMLVLKKMYRKFEKNYLRKIPRGPLKDKKAQ >CAK8531666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137164890:137166784:1 gene:gene-LATHSAT_LOCUS1441 transcript:rna-LATHSAT_LOCUS1441 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRNNVNGGRNQTKRIAFLGISSILVVAVIGMVAVGLTRSGGSVEQNGKIFTTQRDVEMFCKLNPDCRTKHGGAFGKIRDVKDRIKGVFGEGIGELMKNINNPALSNELVKDKLTRQAMRICDEVLGNAVDKFNKSDGTFHRFEFNRLGDFVFDLQVWMTATLSDQLTCLDAFEKADTKASQRMAQVLSTSMRLSNNAIDMLSSVSGLLQDSGHVPRGLNRRLLPHESEKVVDGFPTWVSEGQRRLLADQSVKPDAVVAQDGSGNFKTVAEALKTVPANNLKPFVIYVKAGVYKEFVNIPLNMNYVTMIGDGPTKTRFTGSLNFVDGVLTYDSATFAVNGENFVAKDLGIENTAGPTKGQAVALRVSGDMAVLYNCQLDGYQDTLYAQSLRQYYRDCSISGTIDFIFGDPFGVFQNCKIVARKPAKGQACLVTAGGRASVNAQSALVFQSCHFSGEPELATVSPKISYLGRPWKPYSKVVIMDSVIDDIFLPVGYEAWPGNVFTDTCTYFEYNNKGPGADTKARVKWPHVKTLTADEAAAFYPGKFLQVSGTPWMTNSGVPCSLGPMSGGSASEATPSTPSAASAPSTPSAASAPSTSSTP >CAK8533097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577827177:577828061:1 gene:gene-LATHSAT_LOCUS2746 transcript:rna-LATHSAT_LOCUS2746 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALWRAARATIIPAWERVMNHMKELNVNAWKDMMDVPAACWTRSHFKTDIQCDLQVNNMCEAFNRAILEYRDKPIISLLKGIKRYITVRISAQKDKLSRYTGVTSPNIKKVLEKTKRAAEGWIATWHTDDDFAIFRVSDGVETYALNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKDPEEYVSSFYKKSIVLATYSHIIMPTNGPQLWSVNVANPISPPVMQRSIDRPKKNCNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNK >CAK8561282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:135652192:135653046:-1 gene:gene-LATHSAT_LOCUS14890 transcript:rna-LATHSAT_LOCUS14890 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKITKFFFSCLSLNPIVSQQNEVSSEDLAWVDSCLNKDSDISESDWSPLRNALFEIISSQSQSFRVNGREDNESHQCSKDKNITSEHIQESSTSNANCLQNRSSTYNVELIRMANKTSTDVILDDELTGPSLSSSSTFEGDPFLPTYSEDLKQNIFATYEMEDASENIFKVWDLDCATDEMEEASENIFKVWDLDIPVEEGELVKQLEKALSENSLRTEPSNFADSGKGKDLKEGLLDDLIADKDLNEGPLDDLIADKDLNEGPLDDLIAGIAELSLNKKV >CAK8570533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56872384:56874880:-1 gene:gene-LATHSAT_LOCUS23273 transcript:rna-LATHSAT_LOCUS23273 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDVAKFGHSPVHKAVILKDYGELKRILGGLPKLCNVAEIRSEAVSNLEEAKADVISAVIDCRDVPNRDTPLHLAVKLGDEVAAEMLMVVGADWSLQNEQGWSALQEAICSREERIAKIIIKHYQPLAWAKWCRRLPRLVATMRRMRDFYMEITFNFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVQPGSLCMISHKEREVLNALDDAAFAANDEEVQQEVAAMSKTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGPWKAKVYDMHNVVVSIKSRGVPGAMTDDELFSTCNGNETESEELNDILTEDERRQLEDALKLDSSEQNNDSDEVIIPHRQSFRDIPIEDASGSTSGENKQEKKGWFGGWRKKDSKHEIASKKFVPARNSLCVEEKVSDLLGDSPSTNQIKPGRHSIEVVVRGDEQRRKKEAKASSANSDSRNRHKDGSRENEYKKGLRPILWLSPNFPLKIEELLPLLDIVANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPSASGEESPIATNLPASSWFQWIKAPYRPSSSAAGSSSRIENTPDPFAIPPDYTWVTAEEKKKKMLEKNKSKKGKSHKQ >CAK8575579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:182752981:182764885:-1 gene:gene-LATHSAT_LOCUS27832 transcript:rna-LATHSAT_LOCUS27832 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGRGIFEGSVVLGCLCSLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVIFEIIPILSKEARVVNWKIDLFCLILLLVFLLPYYHCYLMLKNSGFRSERAALGALLFLFAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMSVLSGFGAVNLPYSYLSLFIREIDEIEIKALERQLMQSIETAISKKKKIILGQIEMESNQGSEERLTARSFIKRIVGTVVRSVQEDKKEQDIKGMEAEVLALEELSKQLFLEIYELRQAKEAAAYSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVVFKQAGSVDPVTRIISIFLQFFDIGINAALLSQYISLLFIGMLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATDYRIIITEVMGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQIDKHPID >CAK8565815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:338394066:338395247:1 gene:gene-LATHSAT_LOCUS18996 transcript:rna-LATHSAT_LOCUS18996 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAVIATKKTSKVRKQLHIHHDIAFSILSKLSIKPLKRFECVCKSWSLLSANRYFMSHYRNSFLTKYHSYYDDASFLPIQRFNIFHNHRFELYSLYEEKFASNVKIDWPYLICFPRIVGCGSVHGILCCSIMIKNDIILCNPSTKDYKVIPLSSNHHECYRRGYSNSGFGYDCVEDDYKVMCIYHLHNEPIEDLYLDPFIWEIFSLRNNSWKKLDVDIKYNPNFWSEEQLYIDGFSHRVCQIEEYGYKTYVLSFDWHREVFTTTLIPFDIDDIPDFLFKWTNLVLLNGSIALILNYTRTSIFHIFILGELGVKESWTKLCTLEHLPYLEFPIGMGKKSDMLFRKKDGGVVWFDLITQKTTDLSISNKACSNIIIHKENPISLLAYVGKSI >CAK8563855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635391558:635394451:-1 gene:gene-LATHSAT_LOCUS17221 transcript:rna-LATHSAT_LOCUS17221 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELPSIKKWIVLYPVYINSKKTVAEGRRIGISKSCENPTCAEIGDCCSYLKLPFAIEIDKAYPRDFMQRGRVRVLLKKEDGTLINPSISSRKQLMLCIAEMVPRHHGRTKKQETASASTATAGPSNKSGKGGKKRR >CAK8577942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598350847:598356521:-1 gene:gene-LATHSAT_LOCUS30000 transcript:rna-LATHSAT_LOCUS30000 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANSCDHCSNGASVSDEGEPIFTSLPLSRASELTISFEGEVYVFPSVTPQKVQAVLLLLGGQEIPNSIPTSDFLAQQNYQDIWGINDPSRNSKLSRRFESLVRFREKRKDRCFEKKIRYTCRKEVAERMQRKNGQFASLKEEYSSPAENQDFNNGAPCPKSNERRCHHCGIGEKSTPVMRRGPAGPRSLCNACGLMWANKGMLRDLNRAGRIAFEQNELDTSTDIKFSTTEPENSCAAQDKEGGFISQEIKESPRETKPVQMDAIQSPEMIDEQYMLESAEAVVDNLSIQVENNALDLHGQDKTMEDLADASGIEFEIPAGFDDQVDIDDPNMRTFWL >CAK8572536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544616132:544617910:1 gene:gene-LATHSAT_LOCUS25090 transcript:rna-LATHSAT_LOCUS25090 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEVVKTMVPSQQPDIQLSNVDVKHYSSPDDLETFYVKLTDLLDSSGFTLILNVRETRIDLYQFYLEVTKRGGYHQVGKEKKWGEIVSALKLEGNNVKLCLQVEKLYANLLYKFEKFYFYRFPATTKGSGKRKQSSTTSLSQLMDDGDYPTAAEISKDYPFEMTAIPEVLLQTPSKDKERKKKRGAPIGRSGYQIFLKHECARLKAYRQDIDGKTLMRVAVDGWNNLSDIDKQPYLEESKKIKEQKKEAMMTENNKQKSTQDLKKDEKKPNVYCCDYNSETLHPQANYPLANNAAVDLAFKMTEKTSKDPFFPFDLDAYRSVDLLTGIATGESK >CAK8578564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638589365:638592181:1 gene:gene-LATHSAT_LOCUS30564 transcript:rna-LATHSAT_LOCUS30564 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKPEEISHSPMDQLQGLEYCIDSNPSWVETIVLGFQHYILALGTAVMIPSFLVPSMGGNDDDKVRVVQTLLFVEGINTLVQTLFGTRLPTVIGGSYAFMVPIVSIIRDPSLSMIEDPQLRFLSTMRAVQGALIVASSIQIILGFSQIWAICSRFFSPLGMVPVITLVGFGLFDRGFPVVGTCVEIGIPMLILFIIFSQYLKNFQTRHVPIIERFALLISTTVIWAYAHLLTASGAYKHRPDLTQHNCRTDRANLISSAPWIKIPYPLEWGAPTFDAGHSFGMMAAVLVSLIESTGAFKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLTGSTVSVENVGLLGSNRIGSRRVIQVSAGFMIFFSMLGKFGALFASIPFPIFAAIYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFREFTIRALHGPAHTKAGWFNDFLNTIFYSSPTVALIIAVFLDNTLDYKDSAKDRGMPWWAKFRTFKADSRNEEFYSLPFNLNRFFPPS >CAK8565863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345185073:345186813:-1 gene:gene-LATHSAT_LOCUS19042 transcript:rna-LATHSAT_LOCUS19042 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVSNFSDLIQRVTASCLLNPLVPVRKVVEDDSPYESEENRDEEEENYHNDDGDDDDDDDEGLEEENDDFKEDKMVGLKTLKVKQMEVLMEEVFETVSSMKRAYVKLQEAHSPWDAEKIRVADVAVVSELRKLAVLRERFRRNGGGNKCVRRRNFGVASVREVVAPYEAVVEELKNEVKVRDLEVQNLKEKLEGVVALSSNGSGEKKPGRSQSKRKLGIQAIAAVPTQELFETTMVQVREASKSFTSMLLSLMHNAHWDITAAVRSIEAATASTDKYRNASTSSIVSAHHAKYALDSYISRKIFQGFDHETFYMDGSLASLLNPDQFRRDCFTQYRDMRSMDPGELLGILPTCHFGKFCSKKYLAIVHPKMEESLFGNLEQNGQVQAGYHPRSEFYNEFLGLAKTVWLLHLLAFSLNPPPSQFEASRGAEFHPQYMDSVVKFSGGRVPAGQVVGFPVSPGFKLGNGSVIKARVYLIART >CAK8577318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555208165:555216511:1 gene:gene-LATHSAT_LOCUS29434 transcript:rna-LATHSAT_LOCUS29434 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALTVSGIRINISSFDSTRSHSHTENLQFSVDDLKAALRRRGPDSLGVKKVTLQRCENQISSFIEHDETLLCSANNECEGELHFIGSTLQLRGIVPLVQPLVDASRNILVYNGEIFGGLELASDCNDGEFLMQALGNCCSCGSCVTGYCVKCGKSSITDVLSTIKGPWAIVYWQDSSRTLWFGRDAFGRRSLLVHWPTEEDSAFLLSSVSPISPAQQASENEAHNGMEYISYWEELPCGVYSMHVDASNSNGFLVGEVQIHEYTNSILKELIKWERISVEPSSENLQISHHKLSRRQHNEHSASLETDPCEAGSTQTAIPMRVHMLLNVLKESVKRRTSLYTIYQEVISGIRQEKFVPVAILFSGGLDSMILAALLDQCLDPCYEVDLLTVSFDGELAPDRKSAKAGIKELKRVAPSRRWRLVEIDADLSDLVFETSHVMSLINPANTYMDLNIGIALWLASGGNGWVSDADDNDDTHARIKYKSNARILLVGSGADEQCAGYGRHRTSFRRGSWLGLHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRVLLSFPLWEVANLDQPSGTGDKKILREVAELLGLYEAAVLPKRAIQFGSRIARESNRKIFGSNRAANQASAGSVKICRKSN >CAK8568237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584499780:584500243:-1 gene:gene-LATHSAT_LOCUS21215 transcript:rna-LATHSAT_LOCUS21215 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRGNSASIIIIFQLCMFVFYSKMIYAETYIVGDKHGWTFNVENWPAETTFNAGDILVFNYDPSKHDVVKVTEDDYNTCADRDIEYYRSGADRITLVKGGNYFICGEPGHCDAGQKIAIIAN >CAK8535374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845030397:845030960:1 gene:gene-LATHSAT_LOCUS4839 transcript:rna-LATHSAT_LOCUS4839 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCNPVIASAWFPYYVSWIETCLTTVTYRINVNGQLLKPFEGNRRMRQGTVDSVDKLLQQFEQFSMASRLVANRNKSEIYYAGLSQEKGSNFSSCPATKGDPVFQVFGHSSKYITSRCWKVFWPGFATGLTGC >CAK8534233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:708599373:708600566:1 gene:gene-LATHSAT_LOCUS3793 transcript:rna-LATHSAT_LOCUS3793 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKSCSEKVSNHIPDDLTVPILSKLALKSLVRFRCVRKSWSLLFHDSYFMNMYRIHFASNNNYTYSDHSCISYELIEPYYELHNALFLYDGNKFENKVRLDMPPPIQQHDFGFQFMGSVLDGTLCFSQNWQIPNIVFWNIKTNNFKILPPSPLQSPPPFYRNAVGTIHGFGYDHVTDDPKLIRHVAHCLHVTDYEGYIPPLDSIWEVYSLRSDSWKKVDIDMSKRLYFNTHFRVHTNGVCHWWDETEDCLVSFDLRNDVFFRTPLPSCVDDNFGLLLVDTQFVALNGSVAFISTWETSANKLTTFHISVLAELGRKESWIKLFIVGPLPCIYHPIGAGNKGDIFFLSKDRKLVQFDLITQRIEIIDINYQLGNKALLYKEVFLPVGELDMYGRDY >CAK8574502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1000846:1002543:1 gene:gene-LATHSAT_LOCUS26843 transcript:rna-LATHSAT_LOCUS26843 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKFSCRGLDYRLCLLIAAVCLLLFATVSRLNIPNVSYYSTTITNLQQNYNDPKKILVTKAKGYPPVFAYWIFGTKGETNKITRLLKAIYHPRNQYLLQLDDFSSESERMDLALYVKSFPVFDEFGNVNVVGKSYGINKMGSSSLSASLHAAALLLKLNKDWDWFFTLSASHYPLITQDDILHAFTNLPTNLNFVHYTNKTLRNEQRNMNQIVVDPSLHHDKSSPLYFAVEARDTPDAFKIFRGSPWMILTRSFMEYCIYGWDNLPRKLLMFFNNVAYPMESYFHTVLCNSQEFKNSTVDYNLIYSLFDDDPSESQLLDMSHYDIMMENGAAFARPFGEDELVLEKIDDLILNRSLNGLVQGEWCSSSNLEINKTRKVSEIDVVKAGLFGIKLRTQLDEIVNSGRYRTSECQFQLV >CAK8538718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493722699:493724238:1 gene:gene-LATHSAT_LOCUS7889 transcript:rna-LATHSAT_LOCUS7889 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKLDNLENKKEDEVVLPGFRFHPTDEELVGFYLRRKVEKKPLRIELIKEIDIYKYDPWDLPKPSTMGEKECYFFCQRGRKYRNSIRPNRVTGSGFWKATGIDKSVYCVKESHECIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAKGKSVNHGNDVLEAEVWTLCRIFKRIPSFKKYTPNLKKDSETTPSFTTNNNNNSSSSNTCSFESDNTKQYSTFTDSQPLQQNHETKPFFNGHVDQRLNHSFLTQVPTTLSQSSFWNEHPNVVDDEAFANENWDDLRSMVQFTFDPSKVYDCKDFN >CAK8559932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1892007:1893074:1 gene:gene-LATHSAT_LOCUS13664 transcript:rna-LATHSAT_LOCUS13664 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLITYTFTFLFTTLLFTSSSSSSHIASQPATKIFITNHNIQSFTNAGKGNIITGISQFKRYLSRFGYLKNNKPFSDEFDASFESALIKYQRNLGLQVTGKLDSDTLSQMITPRCGVPDSTESHHHRENHIHNMTNFVYFPGKPRWSRDNDMPMTLTYSFSRDYMIHNLSLQEIRETFKRAFSKWSIVIPVNFVESKDYGFADIKIGFYSGEHGDDEPFDGVLGVLAHSFSPEIGRLHLDAAETWAVDFGVTKSEVAVDLESVATHEIGHLLGLSHSSVKEAVMYPSLRPRDKRADLNIDDIKGVQSLYGSNPNFRSQWSLESDISTNHAANFGVDTFSLSIIGFTIVIALFV >CAK8532316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246068081:246069169:-1 gene:gene-LATHSAT_LOCUS2037 transcript:rna-LATHSAT_LOCUS2037 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIYNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLLNMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNAAIYCIGFVNKNHWVQVNMKEGFPLPPVTVDWKKFRSLAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8562950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560762167:560762427:1 gene:gene-LATHSAT_LOCUS16410 transcript:rna-LATHSAT_LOCUS16410 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRFFSRVLACFKPTKFSVAVHVDNASKSFEQGCLLDVKGYYCGEKSHQALGMGGFVVYQNFLKTSDCGSPRSTLKCWKDEDEEE >CAK8531817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:156910069:156914669:1 gene:gene-LATHSAT_LOCUS1582 transcript:rna-LATHSAT_LOCUS1582 gene_biotype:protein_coding transcript_biotype:protein_coding MINRNIAEFHFLLGFARETHVDGNGTGAFKRYWNFNYFSPTKVAKLKKENKNVKVMISIGSHDIGYKFDPINKNEWIKKAKSSIKELILDYENEVMTDENIIDGIDINYEYITSSINDFSNCIGLVIQQLKDDPDVSKSMSVVSIAPITPTELLQPHYLKLYLDNKDNIDLIDYKFYNQSFKSTDDFVDLFNQLVTDYDAAYKLLAGVSTEMSTSATMSPSFFVDACTILINRASLAGVFVWDADASAPKYSIEKDLQKLLIKK >CAK8537190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126371700:126382608:1 gene:gene-LATHSAT_LOCUS6498 transcript:rna-LATHSAT_LOCUS6498 gene_biotype:protein_coding transcript_biotype:protein_coding MILDLKFSINMKSFLLSNESSPLSVIPNKFILPESKRPNLSEVKSLDSIPIIDLSYCHDNNPSSLEVIHKISKACEEFGFFQVVNHGVPEQVCHKMMKAITDLFELSSEEKESFYSTDLKKNVKLANYSLKLEGGEEVKFWSECFSHPWYPIDDIISILPDKIKTQYRQAFSEYAKEIGSLGSRLLGLISMGLGLEEDCLLKKLGDQSMPKAQANFYPPCPYPELTMGLIEHTDLNALTVLLQSEVSGLQVNKDGKWVSVPFIPNAFIINLADQIEVLSNGRYKSVLHRAVTNNLNQRLSMGMFFEPSPDTIIGPIQELIDEEHPPKYKNYHFSEFLEEFFNKKGTWTMVKEAFELSHDNK >CAK8537191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126371730:126382608:1 gene:gene-LATHSAT_LOCUS6498 transcript:rna-LATHSAT_LOCUS6498-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLLSNESSPLSVIPNKFILPESKRPNLSEVKSLDSIPIIDLSYCHDNNPSSLEVIHKISKACEEFGFFQVVNHGVPEQVCHKMMKAITDLFELSSEEKESFYSTDLKKNVKLANYSLKLEGGEEVKFWSECFSHPWYPIDDIISILPDKIKTQYRQAFSEYAKEIGSLGSRLLGLISMGLGLEEDCLLKKLGDQSMPKAQANFYPPCPYPELTMGLIEHTDLNALTVLLQSEVSGLQVNKDGKWVSVPFIPNAFIINLADQIEVLSNGRYKSVLHRAVTNNLNQRLSMGMFFEPSPDTIIGPIQELIDEEHPPKYKNYHFSEFLEEFFNKKGTWTMVKEAFELSHDNK >CAK8569025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664027265:664028827:-1 gene:gene-LATHSAT_LOCUS21922 transcript:rna-LATHSAT_LOCUS21922 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPDQITAFPSTLADARGAGGKLRKPPPRKPPASPYTRPSLTANRRWISKLVDPAYRIIAGGATRFLPSLFSSNEDQGEPGADEQHSEDNLLITNSNLLPSELSKMASIGDDSSFDTALPRHIEKGEQHENDRFSDIEQLLRRKKFTRDEFDHLVEVLNSRAIDVASVEQGNTNLTPRQDDRGLVVAHKLPKVFNERRHEESNGAIRGSSTPFMSKVRGEIGASPIDIARAYMDFRASEAGPSSKNKIQTVESTMLLNDEAAIKSYDPSPSKRSPTCWPGAVVQDAYATPQSQGSKYGLINYARTPYSRTLLMKSKSKLIHSQGNESHFSSTPLRQSQTSLYLKDKSEVGASASGYGSVGPIRQTRHKVGVQSTSRRPAYSSMNYSQRENASLIERSSPIVATRMDPGGMSSTRKPLGFERSVPTVHTHTSLMAKKILEHIDRNIPTPKQKSDELKLATKWKNPEFSVNTSTIFSKEDNGLVKPKHTSPCKYGELRGTNSTLRNEDEGNCHVDIQPRES >CAK8569966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18380645:18381667:1 gene:gene-LATHSAT_LOCUS22760 transcript:rna-LATHSAT_LOCUS22760 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQSGDGGKSPQCSRSVLPEEEADQIVNSGGGEVALKKGIEADQAVNAGGGEVALKKGCISTRKRKVSSLSSGNDVGKRSKLSRSILPEEEVDQRDNEGGGEVALKKGLWTKEEDEILKDHIKKHGEGNWKAVQKESGLARCGKSCRLRWSNHLKPGVKKGSFTAKEVRLIIEWHFLKGNKWAHMATFFLGRTNNEIKNFWYTRSKKWKRDGLPIYPDEITSKYSLNDSQESADTLANESNQHDET >CAK8578644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643312771:643318182:1 gene:gene-LATHSAT_LOCUS30637 transcript:rna-LATHSAT_LOCUS30637 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGVPVFNLAPNLTVSRLCLGTMTFGEQNTLIESFHLLDEAFRAGINFFDSAEMYPVPQRAETCGLSEEYLGRWIKHRNIPRDRLVIATKVAGPSGQMTWIRDGPKSLNATNIIQAIDNSLLRMQLDYIDLYQIHWPDRYVPMFGETEYDPDRQYSSISIDEQLEALSKAVKAGKIRYIGLSNETPYGLMKFIQVAQKFDSYLKIVSLQNSYSLLCRTFDSAMAECCHHESIGLLAYSPLAMGILSGKYLSPGNGPPDARLNLFKGKYSEGESRYSLSNKAIHAATREYLDVAKTYGLHPVSLAIAFVLRHPLVASVVFGATRSWQLQEVLNACKIKLTSEVIEEINKIHSRFPNPCP >CAK8569396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698952487:698957346:1 gene:gene-LATHSAT_LOCUS22252 transcript:rna-LATHSAT_LOCUS22252 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVLKQFGAGGYGGSDNMVTDEAELQQHQKLEKLYISTRAGKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGSTLSRAALNYARARAQMEKERGNLLKALGTQVAEPLRAMVTGAPLEDARHLAQRYDRMRQDAEAQAIEVSKRQAKVRETPGHADNAMKLEAAETKLQDLKANMAILGKEAVAAMTAVEAQQQRLTLQRLIAMVEAERAYHQRALEVLDHLEGEMVSERHRIEAPPTPSVDNNMPPPPPYEEVNGVYASQEHNGITDNMGYFLGEVLFPYHAESEVELNLSVGDYIVIRKVSNNGWAEGECKGRAGWFPFGYVERRDQVLASKVAEVF >CAK8576076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:400186073:400186468:-1 gene:gene-LATHSAT_LOCUS28293 transcript:rna-LATHSAT_LOCUS28293 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTAADSGTINIAASAQKLDVDNRIALRFYYRVADNILRQADIFRAEKNIIDLYVLLSRFSSLASETIPRHREYRSSPQTKKQSLKKRLNELEKLEPIATRTVNQLYFLSPQLRPLTAKFLRAGNTS >CAK8566248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397851777:397852649:1 gene:gene-LATHSAT_LOCUS19398 transcript:rna-LATHSAT_LOCUS19398 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKDRNLRKKVVNAGYALNQPSFMYYREDIRLSSAEALRWVDNIPVEKWTRAFDGGARWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLESGQIFSESSMKYMKDETSKVASHRVRPFDRHDYSFIVDETMDHNEGRLMGHYMVELHKNGCDCGKFQTFRMPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYLPTYHGDTIYHNENMRRNKKSRPKSTRITTEMDTTEKIERLCGICRLPGHTRTNFPNVGTSSR >CAK8566249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397851786:397852649:1 gene:gene-LATHSAT_LOCUS19398 transcript:rna-LATHSAT_LOCUS19398-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNLRKKVVNAGYALNQPSFMYYREDIRLSSAEALRWVDNIPVEKWTRAFDGGARWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLESGQIFSESSMKYMKDETSKVASHRVRPFDRHDYSFIVDETMDHNEGRLMGHYMVELHKNGCDCGKFQTFRMPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYLPTYHGDTIYHNENMRRNKKSRPKSTRITTEMDTTEKIERLCGICRLPGHTRTNFPNVGTSSR >CAK8566250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397852137:397852649:1 gene:gene-LATHSAT_LOCUS19398 transcript:rna-LATHSAT_LOCUS19398-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKVASHRVRPFDRHDYSFIVDETMDHNEGRLMGHYMVELHKNGCDCGKFQTFRMPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYLPTYHGDTIYHNENMRRNKKSRPKSTRITTEMDTTEKIERLCGICRLPGHTRTNFPNVGTSSR >CAK8560825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47739256:47740737:1 gene:gene-LATHSAT_LOCUS14470 transcript:rna-LATHSAT_LOCUS14470 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNFLFVFCLLVCIAEAKVEYKIFNVMNYGARADDRTDNSVAFLKAWDDACRWRGKSTILVPQGSYMLHEVIFSGPCNAWMNFQIEGTLKAPSDIYLFRTDSWISFRYVDKLNVGGGGTLDGQGAFAWAKNDCQKNRACRTLPTTMTFQFVTNGYIHHMRSVNTKQNHFVLYGCKNMVLTRLKLIAPFNSPNTDGIKIALSTGVTIKSVHIGTGDDCIAMLYGTKGVRISDVFCGPGHGISIGSLGKNNGEDDVDDIVVKNCTFSGTDNGVRIKTWTAILQKIMHVSNIRYEDIVMENVQNPIVIDQNYCPSHPCQPKGGVSSVQISNVTYRLIRGSGNSDVAARLECSPNKPCLNIKMDTINLWPSGKGKKLNNECLNVNGASYGIQIPRPCV >CAK8540668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15535658:15543795:1 gene:gene-LATHSAT_LOCUS9652 transcript:rna-LATHSAT_LOCUS9652 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQIDIFEIYRRFCDIKSGHAFVVGEEGYRQDSEFQKAKVSRDALTQLSKLVESRVCTGATIFDELSMLMSRLGLMATLSEFSRFYEFVFFMCRENGQKNITVSKAVTAWKLVLNGRFPLLRPWCDFIEKNQRYNISEDTWQQVLSFSLCTRDNLDAYDPEGAWPVLIDDFVEHMYRQPGSYYENSNFQCNCGDPESLSIPGRKRFASLKRKLAEEARKDDMECSYSCEEMNSSNCKKSRSYGVVDCEEENPQGNNTLEECMDTSSRQSSPLCSSKSQCAVEGCLSKGFAGLLSTSSYVQFGRERRPSFR >CAK8544854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709314936:709315577:1 gene:gene-LATHSAT_LOCUS13501 transcript:rna-LATHSAT_LOCUS13501 gene_biotype:protein_coding transcript_biotype:protein_coding MLDESNVHAKACRMARDVLKESTFDDLKLKLISSRSGDGRVYNTPTISKVAALIVSDIDSTKLRDIIIHERDGGLQRIEEFHPTYLGYQYPLIFTYGEDGYRENILHRYKHEQTVTKQNHQTTKDWLCFRLQEHIVEPTNLLHARRLFQQFSVDNYSMIETECLNWLRRKQSKLRVGKYSNLQQQDGVGTSQQGNKQGKHVICLLHLSGEKGT >CAK8542968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563111925:563115712:1 gene:gene-LATHSAT_LOCUS11763 transcript:rna-LATHSAT_LOCUS11763 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSFNSFILSLGVFLFISTCHYVVNASEITSKLVVDAGSGRLIPNTFFGAFFEEINHAGAGGLWAELVNNRGFEAGGFTNGTSNIDPWTIIAENQSSISVSTELSSCFERNKIALRMDVLCHRKSCPRDGVGISNPGFWGMNIEEGKKYKVVFYVRSLDRITLKVSFVGSDDGVELATGKIRASKVNVTNWSKMEIILEAVGTNHHSNLQITTKKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPATFRFPGGCFVEGDFLKYAFRWKDTVGAWEERPGHYNDIWKYWTDDGFGYFEGLQLSEDLGALPIWVFNNGISHHDEIATSAIAPFVEEALDGIEFARGSPESKWGSLRASMGHPKPFDLRIVAVGNEDCGKLNYEGNYLEFYKAIKQRYPDIQIISNCDGSQYPLKHPADFYDFHIYTNAMDMFSQYTKFDKAPRSGPKAYISEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMFAYAPLFVNTNDKYWKPDAIVFNSYQNYGTPSYWLQQFFTDSSGATFLNSTLQNSSSTIVASAIQYKNSQDGKNYLKVKVVNFGNSTENLEILINNLKSNVQQSGSSKMMLTSSNKMDENSFSEPRKIVPQRTSLENASNDMNVELPPYSVTSYDLLI >CAK8530477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23531124:23531315:-1 gene:gene-LATHSAT_LOCUS338 transcript:rna-LATHSAT_LOCUS338 gene_biotype:protein_coding transcript_biotype:protein_coding MQGESGTALTAMNINGGGRIICDRPIPKRGQVKLGIVLGFANSVVFMLTPSCITAPAPVQLLL >CAK8565890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347791392:347793920:1 gene:gene-LATHSAT_LOCUS19067 transcript:rna-LATHSAT_LOCUS19067 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNANVTSVVCKNGNHGAQSKFLNSTFLPRFDVVGRSSNAWKKEPVPSSMALVPRATLTFDPPATNADKKKQTKHTVDPASPDFLPLPSFEQCFPKSSKESREVVHEETGHVLKVPFRRVHLSGDESHFDNYDTSGPQNISPRIGLPKLRKEWIDRREKTGPPRFTQMYYAKQGIITEEMLYCATREKLDPEFVRAEVARGRAIIPSNKKHLELEPTIVGRNFLVKVNANIGNSAVVSSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAEDLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKQHPHAQEWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEKHGYGDVEEALKEGMNAMSAEFLAAKKTISGEQHGEAGGEIYLPESYISSKEGAI >CAK8535141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817128413:817136751:1 gene:gene-LATHSAT_LOCUS4617 transcript:rna-LATHSAT_LOCUS4617 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLKAARASGSLNLSNRSLSEVPDEVYRNLEGVGGTDDKWWEAVELQKLILAHNSIELLKEDIRNLGFLVVLNLSHNSLSQLPAAIGELPQLKMLDVSYNSLPSIPEEIGSAASLVKFDCSNNQLKELPSSLGRCLDLSDLKGSNNLIASLPEDIANCSKMSKLDMEGNKLTAISESIISSWTMLTELNAAKNMLSGIPAGIGGLSRLIRLDLHQNRISSIPSSIIGCHSLAEFYLGNNNISTVPVEIGELSRLGTLDLHSNQLKEYPVEACKLSLLVLNLSNNSLSGLPPEMGKMTSLRKLLLSGNPLRTLRSSLVTGPTPALLRFLRSRLSEDSEAVTTSKKDIIAMATRLSITSKEFSMGGLGLSAIPSEVWESEEVIKLDLSKNSIQELPVELSSCVSLQTLILSKNQIKDWPGSILQSLSSLSCLKLDYNPLRQIPSNGFEVVPKLQILDLSGNTGSLLDSPSFSRLPNLQELYLRKTGLTEVPSDILGLRQLRILDLSQNSLQSVPEGLKNITSLVELDLSDNNISAIPPELGLLEPTLQALRLDGNPLRSIRRPILDKGTKAVLKYLKDKLPAD >CAK8567112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:488493736:488496100:-1 gene:gene-LATHSAT_LOCUS20195 transcript:rna-LATHSAT_LOCUS20195 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGCCKSGPGYATPLDAIKGPRETLIYVTAVYTGTGIEKPDYLATVDVDPNSPTFSKVIHRLPVPNVGDELHHTGWNSCSSCHGDPSAQRRFLIVPGLTSGRIHVVDTKTDPRAPSLYKVVEPADILEKTGLAYPHTSHCLASGEIMISCIGDKEGNADGNGFLLLDSDFNVKGRWEKPGHSPLFGYDFWYQPRFNTMISTSWGAPKAFRQGFNLQHVADGLYGRHLHVYNWPGGELRQTLDLGEKGLLPLEIRFLHDPAKDTGFVGSALSSNMIRFFKKEDDTWGHEIVIQVEPLKVQNWFLPEMPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDVKNPKLTGQLWVGGLFQKGSPVVAVKDDGETWQVEVPEIQGNKLRGGPQMIQLSLDGKRLYVTNSLYSTWDKQFYPKLVEQGSHILQVDVDTEKGGLKINPNFFVDFGAEPNGPSLAHEMRYPGGDCTSDIWI >CAK8533810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661754825:661755109:-1 gene:gene-LATHSAT_LOCUS3406 transcript:rna-LATHSAT_LOCUS3406 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSMCRVFVLAIVVVLLRVHMGNALTCSPVEFSSCLGSITSSSPPSTLCCQKARQQRPCLCGYLKNPSLKQYVYSPGARRVAISCGVPFPTC >CAK8536357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934118068:934118793:1 gene:gene-LATHSAT_LOCUS5734 transcript:rna-LATHSAT_LOCUS5734 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNDQNHDDDHTFYLFGNSDHGSSQSNFNRKILLTAIVSLVLVLILVLALHLYARYVLKRQARRRAAIHQLSLTVAHAHVQFADPSNTGLDPTLIATLPTFLFKQKEKQDDEQNNNIVECAVCLSLLEDGEMMRLLPNCKHSFHVACIDMWLASHSTCPICRTKVEPRLEPEMREGPTWFKPSSESTSDGGGSISESPKNISRLSSFQRILTRDRSSRRIQPSITTRVDINPDRDLERQ >CAK8539854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529258575:529261252:-1 gene:gene-LATHSAT_LOCUS8914 transcript:rna-LATHSAT_LOCUS8914 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGNISKLKATIYGGIHETITRKQRVLPITRFNNPVLSLSSLCATSFISNSTSCLNKKKVSRKSMASSSSNAMLGDVYVDDLISSHGGVHDFTTKHAGVYFKERTHKRFVGASLSLRRPQQLLYGPLNFGRSSFDSSWRIQNSGLLHRPWLKNLSSSSSACCLAGAAHDLSFDNSPPDEQLENSSSLPNITTLDRKPLKMLSGSCYLPHPAKAATGGEDAHFICSDEQAIGVADGVGGWADVGVNAGLFAQELISNSVRAVQEEPKGSFNPVRVLEKAHSNTKARGSSTACIIALTAEALNAINLGDSGFIVIRGGSIIFKSPVQQHDFNFTYQLERGNTGDLPSSGEVFTIPVSPGDIIVAGTDGLFDNLYNNDIVGVVVSSTRARLEPQVTAQKIAALAQKRALDKKRHSPFSTAALEAGFRFYGGKLDDITVVVSYISSSASE >CAK8539459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513176009:513176416:1 gene:gene-LATHSAT_LOCUS8550 transcript:rna-LATHSAT_LOCUS8550 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRYAGKKAVIVKNFDDGTRERAYGHALVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEVVTNDALLSKDKKVTALKEAKKRLEERFKTGKNRWFFTKLRF >CAK8569276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690714469:690714827:-1 gene:gene-LATHSAT_LOCUS22150 transcript:rna-LATHSAT_LOCUS22150 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVNRIQVFAAFFAIILIVASGHGSSEDKEPTKPPSKTCWRNSNTWPHARCFHSGICNHYCQSVENALSGQCGAFFKKCQCKFCDDDPLFT >CAK8564525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679711015:679716409:1 gene:gene-LATHSAT_LOCUS17824 transcript:rna-LATHSAT_LOCUS17824 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEKMVGNGEPPQLTWKRKLNDESNLPSEFVLSIKDIIHLAPIGFRLWRYIREEKAKGKTGVIDPFAKRHITSSHGVPLGGVGAGSIGRTFKGHFQRWQLFPLACEDKPVLANQFSVFVKRPNAEKYSTVLCSGKPDALKENPASGIKSWDWNMNGTSSTYHALYPRAWTVYEEPDPALRIVCRQISPVIPHNYKESSFPVSVFTYTLSNLGKTTADVTLLFTWANSVGGNSEFTGQHFNSKIKRPDGVHGVLLHHKTANEQSPVTFAIAAQETEYVHISECPVFVISGSYNGISAKDMWNEVKQHGSFDRLNSTETLAPSEPGSSIGAAIAATVTIPPDAQRTVTFSLAWDSPEAKFPGGRAYNRRYTKFYGTGGDAAADIAHDAIIEHCQWESQIEDWQRPILEDKRFPEWYPTTLFNELYFLNSGGSIWTDGSPPVHSLVTIGERKFSLDGFISDSENTNNISRQNDTAISILESFTSVVEQIQTPPASKSAYGVSLLQEGEENIGQFLYLEGIEYQMWNTYDVHFYSSFSLVTLFPKLELSVQRDFAAAVLMHDPGKMQLLHDGQMASRKVVGAVPHDIGISDPWFEVNGYNLYNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAVWPSVYIAIAYMEQFDKDGDGMIENEGFPDQTYDTWSVTGVSAYSGGLWVAALQATSALAREIGDKGSEVYFWHKYQKAKAVYEKLWNGSYFNYDSSRGSSSGGSPSSSIQADQLAGQWYARACGLSPIVEEHMIKSALQVVFDNNVMKVKGGKRGAVNGMLPDGQVDMSSMQSREIWSGVTYAVAATMIQENMIDMAFQTAEGIYEAAWSTNGLGYSFQTPEAWNTKDEYRSLCYMRPLAIWAMQWALSKEKVTQHDESEKSYINEEEIVSRCHAGFSKVAQLLKWKEESGSRSLFQVIYDLTCKRYV >CAK8540819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23522552:23523451:-1 gene:gene-LATHSAT_LOCUS9786 transcript:rna-LATHSAT_LOCUS9786 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRKQLVNMGYALTEATFNYYRGEIRRTNIEASNWIDNISREKWARAFDGGQRWGHMTSNLEETINSVLKATRNLPITALVQSTYYRMGSLIGKRGHKWTKMLSSGKVFTDGCNKGMDDKVAKANTHNVMQFDSEKLCFMVQEKTNYNDGRPTGTFSVDLRNRFCDCGKFQAFHLPCSHVIVACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEENWSKYEGFTLCHDDSMRRNKKGIQIVVELELRWTTEKKRKEGVGFVEK >CAK8542055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:462786093:462789616:1 gene:gene-LATHSAT_LOCUS10929 transcript:rna-LATHSAT_LOCUS10929 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLILSRRFLKPRFLNSGFYASLYHTISNPLITSQQFINRNPGQNNSFSLFKQSQFGIYDIEFCKGVGSLSNFQLLVHISTTPSSLEQDKENKNQSSSNVSSGGGISWIGSYLPKLVRPYAQLARLDKPIGTWLLLWPCVWSITLAAPPGHLPDFKMLSLFGCGAFLLRGAGCTINDLIDRDIDTKVERTKLRPVASGLLTPFQGLSFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAFLGLTFNWGALLGWAAVKGNLDPFIVLPLYASGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWLTGFGIASLGGLALTGFNAELGWPYYAFLGVASGHLGWQIWTVDLSSRSDCNRKFVSNKWYGAIIFGGILAGRLSS >CAK8541158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:77560650:77565441:-1 gene:gene-LATHSAT_LOCUS10098 transcript:rna-LATHSAT_LOCUS10098-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSAPPKPVVVRVKRKPFHSPLHAFWLEINDRPLKRPLLDFQNLSLSDSSQHGEIHNKKVLVQHVETISSSEVTLDIVQSFVEPGSKSASESKSKVVERKNIFKKVSKPDQLLFKAKQEKESSAKEARFEQIWKSRKVNKGTSVENTLQEICQFYDIVRVDSQEQIKEVQHKDISLEDQKLLSSFLPLLREVIPNAAAEIEVDISAHSQQEDYVYDLYTVTDETINEENSSHSYPLVQVDDEDYCDGPDDLDYETDDSNAEDHPMNDYPEEISEDDEGSDSESEESEHSKSSNELSDDDEDADDGHYGFAKDGISDPLYDEDFDDYDSQGVDNDDDDDSDT >CAK8541157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:77560650:77565441:-1 gene:gene-LATHSAT_LOCUS10098 transcript:rna-LATHSAT_LOCUS10098 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSAPPKPVVVRVKRKPFHSPLHAFWLEINDRPLKRPLLDFQNLSLSDSSQHGEIHNKKVLVQHVETISSSEVTLDIVQSFVEPGSKSASESKSKVVERKNIFKKKPDQLLFKAKQEKESSAKEARFEQIWKSRKVNKGTSVENTLQEICQFYDIVRVDSQEQIKEVQHKDISLEDQKLLSSFLPLLREVIPNAAAEIEVDISAHSQQEDYVYDLYTVTDETINEENSSHSYPLVQVDDEDYCDGPDDLDYETDDSNAEDHPMNDYPEEISEDDEGSDSESEESEHSKSSNELSDDDEDADDGHYGFAKDGISDPLYDEDFDDYDSQGVDNDDDDDSDT >CAK8561848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:393852743:393857610:-1 gene:gene-LATHSAT_LOCUS15402 transcript:rna-LATHSAT_LOCUS15402 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKTSGGKGNLRISSSLQDLTSYRHLDPKYSIPTLNSIHHQQQQQQLLQNSTFSKTKPTQTLTPARKKWARPITLTLCFFIFLILIIYAISSLYSHHRASGKYYVVLDCGSTGTRVYVYKAEVQTQQNKQDSFFPISVTSLRNGLQKKRGSQSGRAYDRMETEPGLDKLVHNVTGLKTALEPLLKWAMQQIPEGSHKSTSLFLYATAGVRRLPFEDSKWLLDNAWNVLKGSSFVCKKEWVKIITGTEEAYFGWIALNYDNGVLGVKPRKPTFGALDLGGSSLQVTFESDKSLNSETSLYVKIGSVNHHLTAYSLAGYGLNEAFDKSVAYVFKKEKVGLGGTVKHPCLQSGYKNQYTCSRCLSGEREREGESPKVNGSESVVGGGKGELKTSVTLVGAPNWQECSAIGQVAVNLSEWSDVGRSLDCEVSPCALRENLPRPLGHFYVISGFFVVYRFFNLTSEASIDDVLEKGREFCGKKWDVAKKSVAPQPFIEQYCFRAPYVASLLREGLHITDRHITVGSGGITWTLGVALLEAGKSYSTRFGLRGFDLVKMKTNPLILIPILLLSLILLCCALSCVLKWVPRFFRRQYLPLFRNNNGSSTSVLNIPSPLWFKSWSPIISGESRIKTPLSPTIAGSQDRPFGLGHALGDNSGGIQMMESSFYPAASSVSHSYSSSNLVQMPFDSSNIGTFWSPHRSQMRLQSRRSQSREDLNSSVADSHMVKV >CAK8541367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:138600563:138602723:1 gene:gene-LATHSAT_LOCUS10292 transcript:rna-LATHSAT_LOCUS10292 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISDPPFEEAYKILLNKIQNNSNESHPGDKKLAVVEECELPMIDLSHLEDRNEEIREKCKFEIARASQEWGFFQVINHGIPNDIFNRLKCEQEKVFKLPFDKKTKEDKFLQFSSGSYRWGTPSATCVGQLSWSEAFHIPLKDVLGITTQTNTLRYAIEQFATIASNLAQTLADILAEQMSYEPTFFKENCLPNTCYLRLNRYPPCPIASEIHGLMPHTDSDFLTILYQDQVGGLQLVKDKKWIAVKPNPSALIINIGDLFQAWSNGVYKSVEHRVVTNPKVERFSMAYFLCPSNETVIESCRKPSLYKKFSFGEYRQQVRNDVQNLGSKIGLPRFLLF >CAK8578272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615895905:615896669:1 gene:gene-LATHSAT_LOCUS30298 transcript:rna-LATHSAT_LOCUS30298 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGAFWSPQDISEAFVVEWVVDYLGVSRRVAQQQVCDCRGSYYKLEWLYDRFIEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFINLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFLTLGKKGENWIPASNAGLPRAMRWSYRQGALKVDDLRPILDELTPADVI >CAK8538235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472387202:472390387:1 gene:gene-LATHSAT_LOCUS7456 transcript:rna-LATHSAT_LOCUS7456-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRMFLLFLLTYIQIHYAYSIDRKTYIVYMGDHPKGIDPATLPSLHTTMAQNVLGSDFESGAVLHSYKKSFNGFVVKLTRDEAKTLAEMDEVVSVFPNTKHYLCTTKSWDFVGLPQNSKRLSLESDMIVGLLDTGIWPESKSFTDEGFGPPPKKWKGSCHNFTCNNKIIGARYSNIQGSYSGKDIKDPTDVNGHGTHCASTLAGNMVNSVDVEGYASGTARGGVPSARIAVYKVCWGKTGVCNGADILAAFDEAIADGVDILSISIGIDQVHPYFEDPISIGSFHAMKRGIFTANAAGNNGPDLFTMTNLAPWLLSVAASTFGRKFVTKVKLGNGAIYEGSTINTFDLKNKMFPIIFARDIPNTVGGFNSSESRHCFKDSVDMHAVKGKIVLCEWVKDSSDVEFFSGAVGVIFGFVYTQDFASIYALPTTLLGLWDFREIQYYIKSTKNPIATIFKSEEVEDSLSPYVASFSSRGPNPITPNILKPDIAAPGVNVIAAWTPLDPISEVEDDKRILPYNVISGTSMACPHAAGAAAYIKSFHPNWSPAMIKSALMTTATPMSSTLSPEAEFAYGVGQINPVKATNPGLVYDIRESDYANFLCGEGYTDKQLRVLTQDKSNCKVKANEKAVYNLNLPSFSLKMNLTFLGHVYHRTVTNVGSATSTYKARVISPSLLEIQVKPNVLSFTSIGQKKSFSLIIEGKINVEVMSASLIWDDGSHQVRSPIVVYGKYA >CAK8538234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472387127:472390387:1 gene:gene-LATHSAT_LOCUS7456 transcript:rna-LATHSAT_LOCUS7456 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTHGMGGPYDTHLVNHFTSHFNMLSLRMFLLFLLTYIQIHYAYSIDRKTYIVYMGDHPKGIDPATLPSLHTTMAQNVLGSDFESGAVLHSYKKSFNGFVVKLTRDEAKTLAEMDEVVSVFPNTKHYLCTTKSWDFVGLPQNSKRLSLESDMIVGLLDTGIWPESKSFTDEGFGPPPKKWKGSCHNFTCNNKIIGARYSNIQGSYSGKDIKDPTDVNGHGTHCASTLAGNMVNSVDVEGYASGTARGGVPSARIAVYKVCWGKTGVCNGADILAAFDEAIADGVDILSISIGIDQVHPYFEDPISIGSFHAMKRGIFTANAAGNNGPDLFTMTNLAPWLLSVAASTFGRKFVTKVKLGNGAIYEGSTINTFDLKNKMFPIIFARDIPNTVGGFNSSESRHCFKDSVDMHAVKGKIVLCEWVKDSSDVEFFSGAVGVIFGFVYTQDFASIYALPTTLLGLWDFREIQYYIKSTKNPIATIFKSEEVEDSLSPYVASFSSRGPNPITPNILKPDIAAPGVNVIAAWTPLDPISEVEDDKRILPYNVISGTSMACPHAAGAAAYIKSFHPNWSPAMIKSALMTTATPMSSTLSPEAEFAYGVGQINPVKATNPGLVYDIRESDYANFLCGEGYTDKQLRVLTQDKSNCKVKANEKAVYNLNLPSFSLKMNLTFLGHVYHRTVTNVGSATSTYKARVISPSLLEIQVKPNVLSFTSIGQKKSFSLIIEGKINVEVMSASLIWDDGSHQVRSPIVVYGKYA >CAK8566597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440146746:440147627:1 gene:gene-LATHSAT_LOCUS19717 transcript:rna-LATHSAT_LOCUS19717 gene_biotype:protein_coding transcript_biotype:protein_coding MATSILLFCFLLSTISVTTAGIQLIIVNNCKESLWPGILSNGGQPSIKGGGFHLPSGEQVVLQLPNHWAGRIWGRQGCWFDETTGKGTCQTGDCGGQLKCKGTGGVPPATLVEMTLGTSDSPLHYYDVSLVDGFNLPMSMKPVGVDVGGGGGCGDASCAADLNGCCPSSLVVKHDGKVVGCKSACLATQSDKYCCTGEFADPKSCKPTMFARVFKSVCPRAYTYAYDDLTGLMSCLANRYAITFCPP >CAK8574988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19912946:19916079:1 gene:gene-LATHSAT_LOCUS27282 transcript:rna-LATHSAT_LOCUS27282 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMVIPPSHSFQILLTLGLLFSLQILIHIEASSSSKVEHLPGFQGPLPFQLETGYVGLGETDDDMQVFYYFIKSENNPQKDPLLLWLTGGPGCSSFSGLVYQIGPFAFEKKEYDGSVPSLVSRPQSWTKLCSIIFVDLPFGTGFSYAKNVTAHRSDWKLVHHVHQFLRKWLIDHSEFLSNEFYMGADSYSGLPVPAIVQEISNGNEKGLEPSINLQGYLLGNPLTTAKEINYYIPYAHGMGLISDELYASLQRNCKGEYIDVDSKNELCLRDLQSFDECLSGINTFNILDRYCKDDSRLWRRSLTQELKKPLRSRLKVPELSCQIYGFYLATKWANDDSVRKALHIREGTIRKWERCYTEDFEHDIPSSFEFHVNLSKKGYRSLIYSGDHDMVVPFTSTQDWIRNLNYSIVDDWRSWLVNDQVGGYTRTYSNRMTFATVKGSGHTAPEYTPEQCFVMFRRWISYLPL >CAK8536469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944326485:944327910:-1 gene:gene-LATHSAT_LOCUS5836 transcript:rna-LATHSAT_LOCUS5836 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSILGCCKVYISESRNKSALESIERAAKHFPLAPIINKFEDAAYNRVGYTLVSELSSVPSEQSCHLTNAVLAMVKAAFDTVDFELHSGTHPRLGVVDHICFHPLVDASLDQAARTARCLATDMGSKLQVPTFLYGAAHEEGMKLDSIRRTFGYFKPNSSENQWIGVSKSDTLPLKPYSGPFQVTPSKGVVVIGATNWVDNYNVPLLSSDVSAVRRIAKRISGRGGGLASVQAMALTHGEGIIEVACNLLDPKNVGGERVQQEIEHLAKEEGISVEKGYYTDFSQEEIVQSYLKLFRERI >CAK8539046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502369827:502370576:1 gene:gene-LATHSAT_LOCUS8182 transcript:rna-LATHSAT_LOCUS8182 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEFNTQTTLNVGLETLWAAQSRDFIFIVPKVLPNIVKDVQVVQGDGGVGTILIFNFLPGISPVNYQREVITEFDELSHEIGLQVIEGGYLTQGLSYYKTTFQFTAITEDKTLVKVKISYDHESDIEEKVKPTKTSESTLLYLGCLEKYLLNGA >CAK8570324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36876865:36879876:-1 gene:gene-LATHSAT_LOCUS23082 transcript:rna-LATHSAT_LOCUS23082 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIATASALSLPIFCRTSKLDSKRGLKGKFRVFAVFGEADKKSTWGAIFDVEDPRSRVPQSKGKILDVYQALEVARQDIHYCDWRARQDVLTIMLLHEKVVEVLNPLAREFKSIDTMKKDLAGLQDELADAHRQVHISEARVSTALDKLAYMEELVSDRLLQVQVQERSTDEVAETSSSPSTSAKSVHTERRRKPKKSLNVSGPVQSYHPNLKNFWYPVAFSNDLKDDTMVPMECFEEPWVIFRGKDGKPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVKIKSIPCFEKEGMIWIWPGNDPPTVSLPSLLPPSGFVIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSFVNFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECATHLHQLHVCLPSSKNKTRLLYRMSLDFAPLLKHVPFMEHLWRHFAEQVLNEDLRLVLGQQDRMNNGANVWNVPVTYDKLGVRYRLWRDALEKGEKQLPFNTYNT >CAK8571906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492049689:492050822:1 gene:gene-LATHSAT_LOCUS24529 transcript:rna-LATHSAT_LOCUS24529 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAPNDSIDSLSSDLFYDILQRLDGSALASLACTCAAFCSISKEESLWEDVCASVWPSTNREDVKSLISSIGGFRKFYADCFPLIINKEVGEYQWNNYLEYLDDLTEAEYYGDTNEFESITPSDFVSIVDIRFKGKPICSKVLSGIPNANDNAGWFYNCPFRIDLLTYADRDDNNDREGEGEVTLSVSDGLPPITSMERERKDGKLWHELRDGLLLSWILVNKKIKQAANLASWSPLDGQRHWPTDKDFVIRFGSVLPAKDILPSQVVQCILVMKFRVVHIEEEGFETSLKLTELSMQLEDMEGSHVNGKNSLHILKEALSCRRSKNYSEAIESCHMYSKVQNELKEEKMRSESRLDTFCILTGIAAFTTFWYYVL >CAK8568602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:622907707:622908093:1 gene:gene-LATHSAT_LOCUS21544 transcript:rna-LATHSAT_LOCUS21544 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKLSATILVLSLLASTTFTEANGSCPPSPQPTPPPSSQDHCPNDTLKLGVCADVLGLVNVIVGDPPSGSSCCALLKDLVDLEAAACLCTAIKANVLGINLNVPITLTWILSACQKTVPSGYQCV >CAK8534415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724789747:724790610:1 gene:gene-LATHSAT_LOCUS3963 transcript:rna-LATHSAT_LOCUS3963 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQPQNLVQQLHQRTEKCTVGCPVLDRCLNGGVPTKSITELVAESGSGKTQICLQLVLSAQLPPSHGGLSASSLYIFTESPFPVRRLKQLSRFLLSSHPGLLSSDPLSRVFLRGIYSAENFVNLLPDIEIFLTYWKSRLLPVRVIVVDSIAALFRSEFDNTRFDLRRRSSLFFKISGGLKSLAERFGLVVVVTNQVVDLMSEGENGVRIGNLSEMYSSGRRVCPALGLSWANCVNSRMFLSRDEYGESKRRRMSVVFAPHLGECCSEFVITGDGVFGVEMMVQRNV >CAK8568299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591850392:591851765:-1 gene:gene-LATHSAT_LOCUS21271 transcript:rna-LATHSAT_LOCUS21271 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSVKLVSEWFIKPYPPIHDSNQICYLSPWDISMLSANYIQKGLLFKKPTSSLNQPDFIENLLIKLKHSLSLTLFHFYPLSGRLVTKKTQDPPSYTVFVDCKNSPGAKFIHATLDITIDDILSPIDVPPIVQSFFDHHKAVNHDGHTMSLLSVQVTELLDGVFIACSMNHSLGDGTAYWNFFNIFSEIFQNDGSVDVPISHQPIHNKWFPEGYGPIINLPFKHHDEFIHRCETPILRERIFHFSAESISKLKAKANKESKTTKISSFQSLSALVWRSITRARQLQHDQTTTCKLATNNRTRMEPPLPKEYFGNSIHAVSTEITTVKDLLENDLGWAAWKVHLAVANHDDRAVRGLVKKWIESPIVYRLDLLFDANSVQMGSSPRFNMYGNEFGMGKALAVRSGYANKFDGKITSCPGREGGGSIDLEVCLSPDNMMALESDEEFMNSVSVFNSLF >CAK8576973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528674014:528678393:1 gene:gene-LATHSAT_LOCUS29124 transcript:rna-LATHSAT_LOCUS29124-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQTYAGLSLIATLAVTYHAFNSRGQFYPAMVYLATSKISLVLLLNMALVIMCSMWQLTKKVFLGSLREAEVERLNEQAWREVMEILFAITIFRQDFSITFLAMVTALLLIKALHWLAQKRVEYIETTPSVSLLSQVRIVSFLGFLLLLDGTFLYRSVKHLLATQQASVSLFFSFEYMILATTTVSIFVKYIFYVSDMLMEGQWEKKPVFTFYLELVKDLLHLSMYMCFFLAIFINYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELIASDATCIICREEMVTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPTENGSTAAGGQQRSQSDAHRHGTGSSAQTEVGNGVACDNLSRHQARLQAVASAASIYEKSYVYPSPTFACPPGYTLHPMVQRSVVESSNAKREQVSSEQEARKQFVTPGGPMNISSSPPSQTHVAHMVYGEGSQLGAQRKLQIEKENIEKNIGEEDTSLSDSKGKQIAASSSVSSHDESQDIKA >CAK8576972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528674014:528678393:1 gene:gene-LATHSAT_LOCUS29124 transcript:rna-LATHSAT_LOCUS29124 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQTYAGLSLIATLAVTYHAFNSRGQFYPAMVYLATSKISLVLLLNMALVIMCSMWQLTKKVFLGSLREAEVERLNEQAWREVMEILFAITIFRQDFSITFLAMVTALLLIKALHWLAQKRVEYIETTPSVSLLSQVRIVSFLGFLLLLDGTFLYRSVKHLLATQQASVSLFFSFEYMILATTTVSIFVKYIFYVSDMLMEGQWEKKPVFTFYLELVKDLLHLSMYMCFFLAIFINYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELIASDATCIICREEMVTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPTENGSTAAGGQQRSQSDAHRHGAGTGSSAQTEVGNGVACDNLSRHQARLQAVASAASIYEKSYVYPSPTFACPPGYTLHPMVQRSVVESSNAKREQVSSEQEARKQFVTPGGPMNISSSPPSQTHVAHMVYGEGSQLGAQRKLQIEKENIEKNIGEEDTSLSDSKGKQIAASSSVSSHDESQDIKA >CAK8537619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:408140775:408140981:-1 gene:gene-LATHSAT_LOCUS6890 transcript:rna-LATHSAT_LOCUS6890 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRTLPSRRGLTPNFEEGVKGFITWAFVQECCRREGGVICPCLKCECRPIISDSEEVERHLKRKSFI >CAK8541152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:75878440:75893803:-1 gene:gene-LATHSAT_LOCUS10093 transcript:rna-LATHSAT_LOCUS10093 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQPMSHEKASEFRPFSSPVTPHMRTFHLSWFNLFSCFFTTFSIPPLLPIIRHDLNLTAANIGTAGSAAFAGSILSRLLMGPICDLVGPRRASGILSLITAPVILLTSIASTPGEFIAVRFLVGFSLANFVANQFWMSSMFAESVVGSANAFSAGWANTGSGVTQLLMPLIYSSLLTYLPSSTAWRLSFIVPAVFQTVTGVLVLAFGHDKANKANNIPVERKGNRLKVILGGLRDYRGWILGLLYGFSFGVEMTTDNIIAQYFYDRFGVKLQTAGTIAACFGMTNLFSRPMGGFVSDKMGKSFGVRGRLWGLWIVQTVAGLLCVLLGRVESLWSSIIVMCCFSVFVQAASGLTFGVVPFVSKRSLGVISGMTGSGGTIGAVITQMLLFSGDDLSKQTSISLMGLMMIVCTLPVTLIYFPQWGGIFCAPSPHDDYDYDQENYTLLE >CAK8563608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620130094:620133748:1 gene:gene-LATHSAT_LOCUS17002 transcript:rna-LATHSAT_LOCUS17002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIWFSLFLLLISQTAQVQSSYAGSASSIINPSKVKQISWNPRAFVYQDFLTDLECDHLISLAKSELKRSAVADNLSGDSQLSDVRTSSGMFISKNKDPIISGIEDKISAWTFLPKENGEDIQVLRYEHGQKYDPHYDYFTDKVNIVQGGHRLATVLMYLTNVTKGGETVFPEAEEPRRRKGSEKSSDLSECAKKGIAVKPRRGDALLFFSLDTHATPDTNSLHAGCPVLEGEKWSATKWIHVDSFDKIVGTGGGCSDQHDSCVRWASLGECTNNPVYMVGSSDLPGYCRKSCNAC >CAK8570343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37922331:37925809:-1 gene:gene-LATHSAT_LOCUS23097 transcript:rna-LATHSAT_LOCUS23097 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCDKMIKGLRKLKPYLAMVSLQFGYAGMYIITMVSFKHGMSHWVLSVYRHVVATIFMAPFALVLERKIRPKMTLPIFLRLAVLGFLEPVLDQNLYNLGMKNTSTTFASATVNILPAITFIMAIIFRLETVNFRKIHSVAKVVGTVVTVSGAMVMTLYKGPALQIIKGHGGSQHESGSTEPSEQNWVLGTVMLISSCCGWASFFILQSFTLKMYPAELSMTAWICFLGIFEGGIATLIFERDMSVWSLGFDSRLLACVYSGIVCSGMAYYIQGVVTRERGPVFVTSFSPLCMIITAALGSIVLAEQTHLGSIIGAIIIVCGLYTVVWGKSKDSVNTIEATQSEGQELPIKDGTRTESDIFENIEVNVPKVGGMNNGKP >CAK8570589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64047380:64052015:1 gene:gene-LATHSAT_LOCUS23326 transcript:rna-LATHSAT_LOCUS23326 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVIHPPSHDEQLSIDEISSPNISAQIFELCDPDLFQDSLQQNSEVTSTSNCCHHEENNLNNNNNSSYTLNNISQVLDLDNNINSNSNSTTSTTSNNNTTNNTNNNNNLPIIFDSQQDIDNDISASIDFTSSLSFLVPSLLPINTHQEQQFDFSSTQPPQHHLQLSACSVLKGLSSQYQQTENIPVSGAAAPLIGGSFGSVFEDDCISSIHSYSPSCSYLSNGLGVYMPHGNLASGLSGDGSGLFGGGILLGSEMQTHDLDYQGENGGIYCTDSVQQVFNPQDFQALGTENQKLVAGNSATLSPEISHLEDSPLKVAKLSVEQRKEKIHRFMKKRNERNYSKKIKYACRKTLADSRPRVRGRFAKNDEFGENQRPACSNHEDDDEIAVKEEDDMVDSSDIFAHISGLNSFKCNYSIQSLI >CAK8565711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:311341757:311347763:1 gene:gene-LATHSAT_LOCUS18899 transcript:rna-LATHSAT_LOCUS18899 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRALEESLLKGEASVSNNSSAYKKLGGNEILTSYLNAGFFSILTFSWMTPLITLGSKKTLNHQDLPLLSANDTAYGSFSTFREKLELECGNVSTVTTIKLAKVLFLSTWEGILISGIFAFLYVCGSYVGPYLIDNLVQYLNDENKVKNEGYTLATAFVAAKLVECLSQRHSMFKYQQVGVRMQSMLVSMIYTKGLTLSCQSKEGHSSGEIINLMTVDAKRIGEFCGYMHDPWMAVLQVSLALFILQRNVGVASVAAFAATVIVMLLNLPMTSLQERFQAKLMEFKDKRMKMTTEVLMNMRILKLQAWEMKFLSKIIQLRKLEETWLKKFLVGTAIVRFLFFSAPTFVAVVTFGACVLLGIPLETGKILSALATFRILQMPIYNIPDTISVIAQTKVSLDRVVAFLRLDDLQTDVVEKVPQGSSDIAIEIVDGNFSWDLSSVNATLKNINLRLFHNMRVAVCGTVGSGKSSVLSCIIGEIPKISGNLKVCGTKAYVAQSPWIQSGRIEDNILFGREMDREKYERVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRVQIARALYQDADIYLLDDPFSAVDAHTASHLFKECLLGLLKTKSVIYITHQVEFLPDADLILVMKEGRITQSGKYNDILTSGTDFMKLVGAHRAALSSVKSLERGPTFKSSSITGEDTSSLSDFELEQEVENINVQNSKLDESFVPKGQLVQDEEREKGSVGFKVFWKYITIAYGGALVPFLFLSHILTVVLQIASNYWMALATPISATAEPVIGSFTLMLVYVSLAIGISFSTLVRAFLAAIVGYKTATMLFNQMHLSFIRAPMSFFDSTPSGRILSRASADQSTVDINISNLVWGFTYNLVQLVGNIAVMSQAAWQVFIVFMPVVAACIWYQRYYSASARELARLTGICQAPVIQHFSETISGSTTIRSFEQESRFNEMNMQLIDKYSQPKLYSASAMEWLSFRLDLLCSTIFAFCLVFLVSFPSSIADPSIAGLAVTYAINLNAVQFKLIWSLCNLENKIISVERILQYTSIPSEAPLVIKDNQPDHSWPSFGEVNVQDLQVQYAPHLPLVLRGLTCTFTAGAKTGIVGRTGSGKTTLVQALFRLVEPVAGQILIDNINISLIGVHDLRSRLSLIPQDPTMFEGTVRTNLDPLEEYTDEQIWEALDMCQLGDEMRKKEGKLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDMAMDNIIQQTVKQHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAQLVAEYTRRSNTGFGSC >CAK8564941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17960109:17962055:1 gene:gene-LATHSAT_LOCUS18191 transcript:rna-LATHSAT_LOCUS18191 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDMKVYGWIAMTILVISLCLEETNGGSCNLYEGSWVYDESYPIYDSSKCPKVHLELDCLQYGRTDKEYLKYRWQPSNNCNIPRFDGKNFLTKFKGKQIMFIGDSISRNQWQSLLCMLHSSIPNLNVTQKGGKPINSHTFLDYGVTVSIYRNTYLVDIVEEKNGRILKLDSIKVGGDIWKQMDVLVFNTWLWWYRNDAGKGWDYIQIGNKVVKNMDRMEAFKTALTTWAKWVDAEVDTTKTKVIYQGIPPKHYNGAIWKQPGTNCGNETTPIKGSSTSVIMPPASNVVQSVLQKIKNPVHLLDITALSELRKDGHPGIHNVRHSLDCVHWCLAGVPDTWNQLLYASVMN >CAK8569587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6099761:6104978:1 gene:gene-LATHSAT_LOCUS22424 transcript:rna-LATHSAT_LOCUS22424 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRIFKGSEHRVSEGHYYKDDSGYYLPSTSGDVCTENENEDIDRAIALSLAEENHKVKNVNDHKSQLEEDEQLARAIEESLNLESPPKHGNDNNTYQPIQYFPMGYRICAGCNTEIGYGRYLNCMGAFWHPECFRCRACNLPISDYEFSTSGNYPYHKACYKESYHPKCDVCKHFIPTNPAGLIEYRAHPFWNQHYCPSHEHDNTPRCCSCERMEPQGTGYIALKDGRKLCLECLDSAIMDTNECQPLHADILRFYESINMKLDQQVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEELSTISRRPRLGTGNKAMDMRAQPYKLSSRCDVTAILILYGLPRLLTGSILAHEMMHAWLRLTGFRTLSQDVEEGICQVLAHMWLESELSSASGSNVVSSSSSSASYTSKKGKRPPFERKLGEFFKHQIESDISPVYGDGFRAGQKAVRKYGLQRTLHHIKMAGSFPF >CAK8567048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482396206:482397717:-1 gene:gene-LATHSAT_LOCUS20136 transcript:rna-LATHSAT_LOCUS20136 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKKGSVSLEGEKVILVPYMESHVPKYHEWMQNPSLLQATASEPLSLQQEYQMQLSWSSDLNKETFIVLDKDLLLGTFSHGQSHVEAMVGDVNIFMNDMNNPQIAEIEIMIAEPTSRGKGLGKESVMMMMAFAVEKLKINLFEVKIGDSNVESINLFKKLGFVETSHSNIFKEVTLELQITQAKREEMLGLMGTLIKHI >CAK8566150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385694414:385696293:-1 gene:gene-LATHSAT_LOCUS19310 transcript:rna-LATHSAT_LOCUS19310 gene_biotype:protein_coding transcript_biotype:protein_coding MWARWRCSSGGFRSLSKRVSSIVNETHGYDEKLHPFGFGSHLLGLRSVVTVTCGGGWGRGKGVECLSVMMNQMKRGLSSSSSTVVGDGNGKEESISFSEAKKLMRLVNVESLKMKLGMEGKEVISYGELLQACESFGIARNHDEAATFAKVLDEAGVVLLFRDKVYLHPDKVVDLIRRAVPLALTSDDDPMRDELKKLQDKKIEIDMLAHKQVRRVLWSGLGFGVFTVGLFFRLTFWEFSWDVMEPIAFFATTTGLVTGYAYFLITSRDPTYQDLMKRLFLSRQRKLCKKHNFDAERFMELQCKCKTHIHSSTVLKNSTGFDVDLDDALHRD >CAK8542049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460593029:460594442:1 gene:gene-LATHSAT_LOCUS10923 transcript:rna-LATHSAT_LOCUS10923 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQDNNALSSSSFLDDKSAKVFVSGHRGLVGSAIVRRLTQLGFSNLILRTHAELDLTRQSDVEAFFASTKPEFVIVAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAYRNGTKKLLFLGSSCIYPKFAPQPIPEDALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQHKWNAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNNAKEVVVWGTGSPLREFLHVDDLADAVVFMMEKYSGLEHLNVGSGKEVTIKELAESMKEVVGFEGNLVWDSTKPDGTPRKLMDSSKLAALGWTPKVSLKDGLVDTYKWYLENVKQ >CAK8538343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478310160:478313156:1 gene:gene-LATHSAT_LOCUS7555 transcript:rna-LATHSAT_LOCUS7555 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEHFFKHKKYPFILSLSILLISVTLFLITTDITTTFNPLVIYSDLKHQPQPPPLNPTESDSTTISKQQKLPSEEEEPLVSGNTDNNVSIDWKLCKETVTVDYIPCLDNYKAIKDLKSRRHMEHRERHCPETSLNCLLPIPKGYKKPVHWPKSRDMIWYDNVPHPKLVEYKKDQHWVVKSGEYLKFPGGGTQFKDGVDHYIDFIEKTLTKVQWGKHVRVVLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNGFDMIHCARCRVHWDADGGKPLYELNRILRPGGYFAWSATPVYRDDDRDQKVWKAMVAITKAMCWKVVAKADDSSGIGLVIYQKPTSSSCYTKRKENNPPICENVDGKNSSWYSRLNSCLTPLPVDGKGKPQSWPMPWPQRLTGKPPSLRHDSDAIDEFNKDSKHWSQLVSDVYDNGISVSWSSVRNVMDMNAGYAGFAAALVDRPVWVMNVVPIDVPDTLSVILDRGLIGMYHDWCESFNTYPRTYDLLHASFLFKHLEQRCDLVDVVVEIDRILRPDGYLVIHDSMEMLSKLGPILRSLHWSVTSHQNQFIVGKKSFWRPTSL >CAK8538595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488237287:488238649:1 gene:gene-LATHSAT_LOCUS7776 transcript:rna-LATHSAT_LOCUS7776 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEKIIMRSSTNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8534401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723292674:723294071:-1 gene:gene-LATHSAT_LOCUS3950 transcript:rna-LATHSAT_LOCUS3950 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWVQELHLNSLNIPVTIINKFSVTPSKPIPVKPGDSLYLSNLDDMIGARVFTPTVYFYQSDNSSGAFQKPVIEILRRSLADVLVPYYPLSGRLRETRNSKLEVFFGEELQGALMVEARTNIALSELGDFAAPNPSWEPLIFKFPNEEQYKVLEMPLVIAQVTLFTCGGFSLGLRLCHCICDGMGAMQFLGAWAATAKTGRLVTEPEPCWNREIFKPRDPPEVKFPHMEFMRIDERSNLTMKLWKTKPVQKCYRINREFQNQLKSLAQPFDGAGCTTFDALAAHIWRSWVKALDVKPLDYQLRLTFSVNARQKLKNPPLKEGFYGNVVCIACTTSNVSELVNGKLPETTLWVREARQNVTEEYLRSTIDYVEIDRPKQLEFGGKLTITQWTRFSIYKCADFGWGMPIYAGPIDLTPTPQVCVFLPEGEDDCSNGSMIVCICLPESAANKFTQALMIDSLDIDL >CAK8543554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619271878:619272480:-1 gene:gene-LATHSAT_LOCUS12304 transcript:rna-LATHSAT_LOCUS12304 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQLNLCTHFGIYRCESSLWGLGKLNARVPRLPVSQPCPTSQDQVFRDQYHPTEAASQIFADRVLDGLSTYTYSINTRQLLQTKLQALEGFNEGRSWQKFWKNIPAGGLSVDAYLKVTLPNFYAHEYSTSYLSTVFMILCSL >CAK8565326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:125972820:125978744:-1 gene:gene-LATHSAT_LOCUS18548 transcript:rna-LATHSAT_LOCUS18548 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGSTKKTILYDDNGFMDIVFSWSIEDILDEDLFKNEVDKIGLSFESTSRYLGSYKYPLLEETRATLCSSMELIYQAPYGNVLGLKDAKPFDNRNGNETEKTLKDKMYNLKIDGWEKRFVRGREPYKTLPGDVLVLADYKPESVNDLQRYGKMCCFLTIVKTDDENETMDSVSFKVKASKDLYLDELKYKPLFVIFLTNIGSYRKTWICLHMTRGNLKLFKQVLSTSDAEVKGICDCISRSDAIWDDCSYQRVSSELNESQNHAIRDCISGIYCNHNSTVKLIWGPPGTGKTKTLGILLFVLMKMKYRILVCAPTNVAIKEVALRVLQVVRESLGSKNGDLFCSAGDLLLFGNNERLDVDNNEVEDIFLDNRMQQLRKWLSSHTGWRTCLTSMIDLLKYCGSDYKIFIENEILRLQKLHNESHKLKSFLEFLREKFHFRALQLKECISSLCNHVPMCHILEHNYMKLVCLNEKLESFQEMLFREDLASEELEILFSDMEISVDFWNLKIDAAEHVFEIRNECLSALETAKDSLHVLDSIKFTKENPVRDFCFENASIIFCTTATSFRLHTVSMKPMNLLVIDEAAQLKECESIIPLQLPGINHAILVGDECQLPSMVRSKVCIDAGFGRSLFERLSLLGSPKNLLNMQHRMHPDISLFPNSHFYSSKIHDAPNVQRNFTMQYLPGPMYGPYTFINVAGGREEFDDDGRSYKNMAEVAVVMTILKKLHKEWNPKKEQLSIGIVSPYAGQVLKIQEKLEKCNEISHADGFIVNVKSIDGFQGGEQDIIILSTVRTNHRTSLQFVSSPQRTNVALTRARHCLWILGNERALERDENVWKNLIFDSKKRGSFFHADQDSDMAKAISGSLKELDQSLDLLDTNSVIFRNSLWKIHFSDKFRRSFTKVRPQNSKISVINVLERIASGWRPRGRRVEFICEGSSKILKHFKVENRYIICSVEIVKDSRRYIQVLKIWDLVSVEEIARSAKRLDSEFKRYTDEYIVCCKERGFDGKIEFPLSWPGTANIQKLKIVGTNDTKEDDLVVSEDPNITAKNSMIEESTLLMKFCSISSDYTHNGRDVIEVDLPFALTYEQRKIISFPKSTFVLGRSGTGKTTVLSTKMIQNEKLHHTAVESVYGPIDNANSSNENAVHLNIPVLRQLFVTLSPGLCEEIKRNISCFKRSLGESIVQDIDDAPDSFSDLPSNLYPLVLTFGKFLRMLDVTLGNSYIKHQKKEVNFERFDSLYWPHFNYQLVKKLDSYLVFTEIMSHIKGGVKESVIGKLSRNDYCSLSESRSLSSLSMETRDIIYDIFQNYEKMKMKKGEFDVSDIVIDLHHRLKMNGYKGDLMNYVFIDEVQDLTMAQIALFKHICRNVEEGFLFCGDTAQTVGRGIDFRFQDVRSLFYKNFVLESKSGFHDIKKQKVKCISDIFMLSQNFSTHAEVLKLSQSIIELLFHFFPNSVDMLKVESSLVYGEPPIVIQSRNGENPILTIFGESGYSGENFGRFSEDQVILVRDDFTKEEVMQLVGKQARVLTILDCKGLEFKDVLLYNFFASSPMKRQWGIIYEYMKEKGMLDSSSRVNCQSFVDSKHNVLCNELKQLYVGLTRARKRLWICEDDVDEYCKPMFCYWEKKNLVQLKILDTSFVNAMIV >CAK8576710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508333973:508337271:-1 gene:gene-LATHSAT_LOCUS28883 transcript:rna-LATHSAT_LOCUS28883 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKRFVLVGIRIDSQSRQLLNWALVKVAEPGDHVVAVHVVKTKSSDNVLKNKSIIDGYLQVYEGLCDVKKVGLSAKILTGRSIRNSLVREAKNHGALALVVGGKSATAKYCAKRLPLTTNVLAVQDSRIVFGRCNNKLPPVTGSFILDPRPSIDNPSDRIIQSEFGCAVVESEKSLHQNTKESKEETFDGPEKHKSRSISMFAGEPSKEKLGWPLLCRTSSEISQSCHVRDISVVQWVMTLPDRSSESSPQSSSSIENPFERSTSDILEDESIKSYLSPSVVLPKGLEEILNVNSLDCKWFTLEALKSCTNQFFSENLIGKGGSNRVYKGILSDGKPIAVRVLRSSKEAWKDFSFEMEIISPLKHKNIAQLLGICVEDNNTLISVYDYFPKGSLEENLHGKNKDGSILSWEVRFNVAVGIAEALDYLHAEALKPVIHRDVKSSNILLSQDLEPQLSDFGLAIWGPTKTPFLTQEDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELISGREPISSEPCKGQESLVVWAKPLIERGDIKGLLDPKLEGKCDETQLQRMVLAASLCITQAARLRPKFNQILKILKGDDEVEYCFNEHSENEENIDDEVYPNSSAELHLTLALLGVDEENTSSCSSTDHSYNENLKDQWSRSSSFN >CAK8535529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858532204:858534371:-1 gene:gene-LATHSAT_LOCUS4983 transcript:rna-LATHSAT_LOCUS4983 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRPVPRRESPWGITGENHPEPKAHRCNDRVEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPFTYLDLDPPKREPVKLE >CAK8543902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648439160:648439576:-1 gene:gene-LATHSAT_LOCUS12625 transcript:rna-LATHSAT_LOCUS12625 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAPKVVNGEIEIELDDDDAASELQFWENSLIVHVLGEDISMNKMKNFMVKTWNFVHLPELYYHDEGYFILRFKTLDDMEAVLMKRPYTIRNVPMIIFDWRPDFNVKADLLRTLPIWVKLPQLPLHLWGAKSLNKI >CAK8531649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:134814765:134815310:-1 gene:gene-LATHSAT_LOCUS1425 transcript:rna-LATHSAT_LOCUS1425 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYNELSFNLQGSQAQAYILDDIIDYVKYLQNQLKELSGSKLQSDSNAIPLVFHEGYGYYIKDQMLNEPLEEIMGKLVEEHSAATSQLLESKCLILLPIALVEELNQDS >CAK8535681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874259263:874261914:1 gene:gene-LATHSAT_LOCUS5113 transcript:rna-LATHSAT_LOCUS5113 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGETVDSIKSLQIRQVLTQGVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERGDNGEVDVLTKGDNNYGDDRLLYAHGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKE >CAK8560081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7008094:7012306:-1 gene:gene-LATHSAT_LOCUS13794 transcript:rna-LATHSAT_LOCUS13794 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSTPQFPYDVFLNFRGEDSRRSFIPQLHKSLSDAKINTFLDDENLEKGTDLEPELLRAIELSRISIVVFSKAYITSSWCLKELVHIMNCRKTNGQVVVPVFYHVDPAVVRHQKDGYRRALHSTARRGLQGGERMEHVLSKWMTVLTEAANISGWHTKNFSNEVELISEIVKDVSRKLKSRSLKITEFPVGLDTRVQQVIQFIENHSSKVCLIGIWGMAGSGKTTTARAIYNKFNGKFLDHRFIGDIREVCERGVEEINHLQELLLSNVLKTNEKIDSTLDGITTIEKSFMGKKALVVLDDVSTFEQVEALCGNCKCFASGSVLIVTSRDLRILKLLEVDRIYSIKEMDESKSLELFCWHVFREPSPKDDFTELSRSIVAYCGGLPLALEVIGSYLRDRPKQHWINVLSKLERIPNDKVQDKLQISYEGLEDDLEKDIFLDICCFFIGKDRAHVSEILDGCGLHSDVGITVLIERSLLKVEKNNKLGMHGLLRDMGREIVRKESKKEPEKRSRLWVHKDAHKVLTDNSGTKTVEGLVLNSQSTGNLCFKTDTFKEMKNLRLLKLHHVDLIGDFGHLSQELRWLHWQGFTGECIPGDFYLGNLVVFELKRSNIQQVWNETKLMEKLKILNLSHSKYLTSTPDFSKLPNLEKLIMKDCPSLSVVHQSIGDLRNLLLINFKDCTSLSNLPEKINQLKSLKTLILSGCSNIDKLEEGIVQMESLTTLVLKDTGVKEEMYSVVRSNSIGYISLCGYEGLSFDVFPSIIWSWMSPTMALSLASINVQNCNSGFLTPIVRSLSQLRTVWIQCHSKTQLAQELQRILDDQHDINCTDLEESLVSNLSSKLHLIGMGNCHTVMDAHCKRIPQELTTNDSSNVFLPGGNYPYWLAYTSDGPAAPFHIPKDIDCPMEGIVLRVVYSSTSETMAVECLTSVLIVNYTKCTIHIYKRDTVVSFIDEDWKNVTSNLEPGDDVKIHVVFGHGLIVRKTTVCLIYGQSVIMEVDSSIKMEMNVQPQPQLLPEVDIQASSNVTVPKANKSLFSGFGKRMGACLCMSQHRDKDQNNF >CAK8539416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512234543:512237576:1 gene:gene-LATHSAT_LOCUS8515 transcript:rna-LATHSAT_LOCUS8515 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISSGRVKPLPLSSHFRLRCAKCTNVSSFSIPLRSNFPYSGIRLAVSSPRLPLKCAASANFSDSNPPDPTPNHSSHPTTTVKQTILEILKNSNSLLPPAVLASTLLALIYPPSLTWFTTRYYAPALGFLMFAVGVNSSEKDFLEAFKRPAEIATGYFGQFVVKPLLGYLFYIIAVTVFGLPAGIGAGIVLVGCVSGAQLSNYATFLTDPQMAPLSIVMTSLSTASAVFVTPLLLLLLIGKRLPIDVKGMVFSITQIVVVPIAVGLLLNRFFPRICNAIRPFLPPLSVLVAAICAGAPLALNVEVIKSPLGVSILLLVVAFHLSAFIAGYALGGSIFRDSPEVKPLQRTISFETGMQSSLLALALANKFFEDPVVGMPPAISTAIMSLMGFGLVLIWAKKRKA >CAK8565590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285700391:285704157:-1 gene:gene-LATHSAT_LOCUS18787 transcript:rna-LATHSAT_LOCUS18787 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQDPFYVVKSEIQESIDNLQSAFDQWKRTSDAAERVRVTKEVVAGCESIEWQVDELEKAIAVASKEPSWYGIDDVEIENRKRWTSSARTQVVTMKKTVDTGKSSSTTGINGMHRELMRLPDSYQTHTSNHNAANDNDDFIESESDRQMLLIKQQDDELDELSISVQRIGGVGLTIHEELMAQEKIIDELGNEMDSTSNRLDFVQKKVAMVMKKASAKGQIMMILGLLALFIFLFFLVFLT >CAK8568723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635714634:635715234:-1 gene:gene-LATHSAT_LOCUS21655 transcript:rna-LATHSAT_LOCUS21655 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESAPPAEPEIENQLSSLIYEISNEAQGIMENMLKMTAEINQNSAVIEEEIEKCKSSAVERKTALDEEKNHFQKAAYAVLDMLNRD >CAK8540028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536343030:536344086:-1 gene:gene-LATHSAT_LOCUS9067 transcript:rna-LATHSAT_LOCUS9067 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHITRSRRLETQQLEQSRAKWTTSLTKILADLMVDQVHKGNKQNNSFNKKAWKYICDGFYNKTGLKWDREQLKNRHSVLRRQYAIVKSILDQGEFIWDEATGSIRADDEIWAEYIKNHPDAETIKIGGCPIFKELCTIFSEPTTNGKHEFLATSEGEHTPGAPCPKFLSTHQEESSSEYDDEENSNYTPTVQPTTPTATCSSRKRGRKGVDYAIADAILEMASASKMRAAAIEQRNSKYNISDCIKELDLMQGVDQRIYFAALDIFNKPNAREIFLSLKKNKRLTWLHHRCAVALSESAD >CAK8575901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:352299449:352299997:1 gene:gene-LATHSAT_LOCUS28126 transcript:rna-LATHSAT_LOCUS28126 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFFFVVVLLVCVTSCYSVKVVDINIICKNAINPSLCSNLLNSNPSESQDLVNLAQYTTGVIHSNVTNIIDEINNLIKQSVGNFAAEVHYKACDASFEQKGGALGVVHALQDFLNKGDHTFLQYLMDSVQIQMSICVSGNAPHDPPFDDTSSLPKHVDVANRLQTSADTIRTCLRNLHSQ >CAK8533410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:614325800:614326984:1 gene:gene-LATHSAT_LOCUS3035 transcript:rna-LATHSAT_LOCUS3035 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRCGRGSNNMLPQPESNISLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWTKFGSSLEILPKEILNLYNPWCDNSPLIVKNLSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKA >CAK8578140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609482751:609484222:-1 gene:gene-LATHSAT_LOCUS30179 transcript:rna-LATHSAT_LOCUS30179 gene_biotype:protein_coding transcript_biotype:protein_coding MFHASGDFVFSVPILLLLFATPCLGSFVKAENNIKSAVFLSPKFELTPGSVINRYYYDIDFPKGHIALKSFNAEVVDEAGNPIPLHETYLHHWTIERYHQSKHVTHTENDGHMMPHSSDNVMVRNSGICQGDKNGQYFGLGSETRGTTTDIPDPFGIEIGNPEQVPEGFDEIWMVNVHAIDTRGVEDKLRCTECKCELYNVTVDEYRKAIGSGYKGGVLCCYDYAQCKLKEGFEGPKRSLYLRYTVKWIDWDDYIVPLKIYIIDVTDTLKQSDNLKGMNTDHNCKVEYQIESCSTDHKGKNGCVDVKKTSVPQQNGGYVIYGVAHQHSGGIGATLYGQDGRVICSSIPSYGNGNEAGNESGYIVGMSTCYPKPGSVKIMDGETLTLESNYNSTKEHTGVMGLFYILVAEQLPYQPLRHSTRSSFFMESNNTLLDS >CAK8570024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21349029:21357673:1 gene:gene-LATHSAT_LOCUS22814 transcript:rna-LATHSAT_LOCUS22814-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWNLLSNNNPNKLFQYAFSIPTNFRVTPFRSYCDPSRIPKQNRPGPLVQYRNLVDQGKLQHDPYQESVATELQNLLARLENYEREMEEYHVNLSNWEKNRENERQRILIEEVEKQQNEEDWWKKLNNKITERWTTGKRPENVESGVGKWVSYLKREKKLDSLVGRRPNAPPAPKGLYIYGSVGSGKTMLMDMFYSATEGIVKHRRRYHFHEAMLRINEHMHKIWKKQMEEKPLQSGIAGWIMNLPFDTKAKEWLAAEEKYKQEVQMKNILPAVADKFFLDRDGDKKGANILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPKDLNEAGMVREIFQTLVSKLEEHCDNVLVGSEIDYRRFIAQRSVNRAHYIWPIEREAINEFEKKWLNATGRFGGNIISTTISVMFGRTLEVPESCEGVARFTFDYLCGRPLGAADYIAVAENYHTVFISDIPVMSMRIRDKARRFITLIDELYNHHSCLCCLASSSIDELFQGTEEGTLFDLESFQFETEAEGSKLRRDVLAEGNVGSGGTPAGITSILSGQEEMFTFQRAVSRLIEMQTPLYLDGVSDFHPYFQRHHKKNCDSLLSESSSF >CAK8570023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21348987:21357673:1 gene:gene-LATHSAT_LOCUS22814 transcript:rna-LATHSAT_LOCUS22814 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKFSLNKQNAKTMRRIWNLLSNNNPNKLFQYAFSIPTNFRVTPFRSYCDPSRIPKQNRPGPLVQYRNLVDQGKLQHDPYQESVATELQNLLARLENYEREMEEYHVNLSNWEKNRENERQRILIEEVEKQQNEEDWWKKLNNKITERWTTGKRPENVESGVGKWVSYLKREKKLDSLVGRRPNAPPAPKGLYIYGSVGSGKTMLMDMFYSATEGIVKHRRRYHFHEAMLRINEHMHKIWKKQMEEKPLQSGIAGWIMNLPFDTKAKEWLAAEEKYKQEVQMKNILPAVADKFFLDRDGDKKGANILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPKDLNEAGMVREIFQTLVSKLEEHCDNVLVGSEIDYRRFIAQRSVNRAHYIWPIEREAINEFEKKWLNATGRFGGNIISTTISVMFGRTLEVPESCEGVARFTFDYLCGRPLGAADYIAVAENYHTVFISDIPVMSMRIRDKARRFITLIDELYNHHSCLCCLASSSIDELFQGTEEGTLFDLESFQFETEAEGSKLRRDVLAEGNVGSGGTPAGITSILSGQEEMFTFQRAVSRLIEMQTPLYLDGVSDFHPYFQRHHKKNCDSLLSESSSF >CAK8575883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:348801704:348802150:-1 gene:gene-LATHSAT_LOCUS28108 transcript:rna-LATHSAT_LOCUS28108 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8543069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573875767:573879185:-1 gene:gene-LATHSAT_LOCUS11856 transcript:rna-LATHSAT_LOCUS11856 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRCIQCGFNIKTLYLQYSPGNIRLMKCENCKAVADEYIECEITIIIIDLILHKPKAYRHLLYNVINQETLKFQGLLWKLAAIFLLFDAYRYLILESSKGKSSSSVSYSSLVSTCCKMMVDVVFGNFMFLLTFFFMVNIFLHISLSVSRCNGILLGLLISCYFKIFLIAMMVWEFPSPVMFIIELFCLSSNAVALKVMTESSMSRCVLTCFSAYAIKFFFIQAHELMLLWKFMQGWSQMPFTLSLKSTFI >CAK8570085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23325031:23334155:-1 gene:gene-LATHSAT_LOCUS22868 transcript:rna-LATHSAT_LOCUS22868 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAASRSKNKRKRQGDDDDAATSEIWRKIHKTGEVNEDEMNQLYMITKPVCSGCRVNTKDNPNCFCALVPAPNGTRKSGIWQKISDFVESLGFDPNTELRASADSPAGLTNLGATCYANSILQCLYMNKHFREGLFSVETDVLQQQPVLDQLARLFAQLHLSKKAFIDSSPFVKTLELDNEVQQDSHEFMTLLLSLLERCLSHSKIPKARTIVQDLFRGSVSHVTTCSQCGRDSEASSKMEDFYELELNVKGLKSLDKSLDDYFTIEELNGDNQYFCESCKTRVDATRSIKLRKLPDVLNFQLKRYVFLPKTTTKKKITSSFSFPAELSMHDRLSELSQSELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDELVTSLGSCPFAEEAASKSVKNDVEHSNFSEARVDDSNGNGLSVKVSESSPMETFSSSDAYMLMYHLKNTKRFSENGGIVNSANHTEKDADSVIAQVNDCLPSHFCEEIQNSNALFLDACQKYNHRKEVELSCIKERREEVPSVLAEAPVQPLEQPFFWIYSDWFRQWAENVTPTAIDNTPIQCSHGKVPVSKVTSTKRLSSKAWNKLLSKYGGGPTLSHDDCCWDCLIDGARNVVSADTYRDRRESFKQLARDILDGKKEDGNNYVSRSWLQQWWKRKIADAPSEADAGPTAAISCPHGQLMPEQATGAKRVLVPENFWLFLYKDATSVQDNNLLFCPTFPLDSGECSECSKELSEVACMEDSMRLFKQKQRQSHEKLFSGKSMPLSLECNYFLVPSFWISKWRNYISPAVKNSDKPETLDVVVGSLICEKHSRLIERPPELVFRRGTIVQKESSAGELTLISENDWKCFCVEWGATEAKGISVKIENISDSMIAIPGSCKETAICDDQLANWDKVNNESGNAQILIKTCPEVCESCIGEKESCELMHKLNYCNEDIFVVLVRGKEVPRSILEASNVVETDRRVSKRSRKTKSGSSINLKVSASTSIYHLKMMIWESFGVVKENQILQKGDTTIDNNDENATLVDVNIFAGDQIIVRDSEIHENRDIADELCTEKKDTQNTEEGFRGTLLSSNLSSFL >CAK8539657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520195072:520197493:-1 gene:gene-LATHSAT_LOCUS8735 transcript:rna-LATHSAT_LOCUS8735 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESIKENWRRRTNKFELPSVLLLCIFFFLAGFYGSRFFHHSQEDEYGLRAKLLHGGESGDDFITSIPFQVLSWNPRILYFPNFASVKQCNSIIEAAMAGGLTRSTVATESGISDIRTSYGTYISASEDKTGVLDLIEEKMARATKLPRNHGEKFNILRYKVGQKYNPHYDSYYSAIDGPQGRRRVASFLVYLTDISEGGETNFPYENGLNMDLGYHFKDCIGLKIKPRKGDGLLFYSLFPNGTMDRTSFHGSCPVIKGEKWVATKWIWNQLHYDDY >CAK8563491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609936193:609940123:1 gene:gene-LATHSAT_LOCUS16891 transcript:rna-LATHSAT_LOCUS16891 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVILPDLGTEILIPVCAVIGIAFALFQWLLVSKVKLTAGRETVTETPGKNGYNDSLIEEEEGINDHNVVLKCAEIQNAISEGSTSFLFTMYKYVGIFMVAFAILIFLFLGSVEGFSTSHQPCTYDETKMCKPALATALFSTISFVLGGITSVISGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHEFTAMLFPLIISSVGLLVCLLTTLFATDFFEIKLVKEIEPALKKQLVISTVLMTVGIAIVSWIALPSSFTIFNFGEQKAVQNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVTISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKFW >CAK8563037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568646345:568648307:-1 gene:gene-LATHSAT_LOCUS16486 transcript:rna-LATHSAT_LOCUS16486-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENIVLFGDSITQQAFQNGWGSSLADAYSRKLTFLCPQTLSDQIHFMYFSLYIATNKSENQLNFQADVLLRGYGGYNTRWALFLLNHIFPLGSSKPPVATTIFFGANDAAIAGRTSDRQHVPLPEFKQNLQKIVNHLKSSSPTMLIVLITPPPVCEEGRRAFAISLYGDNARKLSERTNEVTGEYAKVCVDTAKEMGVAYIDLWSKMQETDGWQKKFLRDGLHLTVDGNEVVYQEVIKVFNEAGLSADKMPLDFPHHSKIDHKNPEIAFQQNICDASL >CAK8563038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568646345:568648307:-1 gene:gene-LATHSAT_LOCUS16486 transcript:rna-LATHSAT_LOCUS16486 gene_biotype:protein_coding transcript_biotype:protein_coding MRENIVLFGDSITQQAFQNGWGSSLADAYSRKADVLLRGYGGYNTRWALFLLNHIFPLGSSKPPVATTIFFGANDAAIAGRTSDRQHVPLPEFKQNLQKIVNHLKSSSPTMLIVLITPPPVCEEGRRAFAISLYGDNARKLSERTNEVTGEYAKVCVDTAKEMGVAYIDLWSKMQETDGWQKKFLRDGLHLTVDGNEVVYQEVIKVFNEAGLSADKMPLDFPHHSKIDHKNPEIAFQQNICDASL >CAK8563338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593745729:593746124:1 gene:gene-LATHSAT_LOCUS16762 transcript:rna-LATHSAT_LOCUS16762 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKILQQRAKTDWVKKGDGNNHYFYAAIKSRQHSNFLANLRNSDGKLIYKKSEIEEQVLNFYRNLMGKDVSSLDHIDVEAMRMGKQLNLDQRNYLIRNISEADITKALKGIGDLKTPGMDGYGAKFFKAS >CAK8576521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487247102:487247323:1 gene:gene-LATHSAT_LOCUS28703 transcript:rna-LATHSAT_LOCUS28703 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMTTKMIRCFWSLLREKEALMTSNPLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKGNQTTIESYFNRV >CAK8532045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196551236:196551980:1 gene:gene-LATHSAT_LOCUS1784 transcript:rna-LATHSAT_LOCUS1784 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLFFSTIWPFPMALHCLKTKIILWFVKLGSEFRCIKHWLKGNNKGKTDIFIENLSGGPDNINLAPDGSFWIALLQMTSERRGFVHTSKVFKHLLASFPRLINLFNSAIKSAMVVKVDTEGNIIKEFGDNDGKIITGVTSAVEFEDHLYLGSLNTDFVGKFQLPIVEN >CAK8573939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648810677:648816585:1 gene:gene-LATHSAT_LOCUS26333 transcript:rna-LATHSAT_LOCUS26333 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPRDQVEDRDLDKDSVFKERKKSDGRRKEDKSPRNSSSDEDELDRIKGSRKKKWYSSDESSSSYTTESESDRDDKKRKRKGKKKKDKSSRNVSSDEDELDEIKKGSRKKKRYSSDENSSFYTSESESNRDDKKRRRKGKKKKSKSREKKIKGEATDFAANSSRKKDVARKEMGLDWMLRSESKRPAVSETNEILPEEAPVEESKKANPRELNPYLKDDGSGYPEESDGVKVAASNLLSSSLVGDGGASWRLKALKRAQEQAAREGRSFQEVVGERWGSLGELTASVASGTAAPARAHLRAIKSRQRRVAEDNSPDSEKPIQRDSKRDRHHEMKAPKVQDSLSWRKRKSQFVVAEGAEIVAAAASSLNKFANDGSFMRELVSKVSDNSDVNTPSEGNAVAVQNNMSANQLAAKAMQLRLKGKNDEAEKLMEEAKVLSTTQANENRANRSRAEGSSKRYAMQRISSEQKKGEDDADMNLARNIMQNKQFKVHSQADDEYDFEDGPSRKSKKKQGGEDPKNIQNKMNANRFLTQKERCLFCLENPKRPMHLVVSIANFTYLMLPQLQPLVHGHCCILPIHHESATRSVDDDVWVEIRNFKKCLIMMFAKQDKEVVFLETVMGLAQQRRHCMIECVPLPKDIAKDAPLYFKKAIDEAEDEWSQHNAKKLIDTSQKGLRNSIPKDFPYFHVEFGLNKGFVHVIDDEKDFKSSLGLNVLRGMLHLPEEDMYRRQRYAALDVQKQAVASFSKEWKQFDWTTELR >CAK8570221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30036194:30038550:1 gene:gene-LATHSAT_LOCUS22993 transcript:rna-LATHSAT_LOCUS22993 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVVLFSFILLFVLLIFCANRTTKHESSSSSSWIVNSHSGYEHIWPNIEFGWEIIVGTLIGIFGASFGSVGGVGGGGIFVPMLILIIGFDAKSATAISKCMVTGAAISTVFFNLKLRHPTLDIPMIDYDLVLLNQPVIILGISIGVVLSVVFADWMITVLLIIIFIVTSVKAFIKGLDTWKKETILKEEFVKHLESTATGSEDVEYKYLPSCVDDEPQKKITQGTIVSNIQWRKFGLLCFVWITVLLLQIAKNYTATCSIKYWLLTLLQIPVTVGVSMYQAIGLYQGKKITVSKQDHGTHWPLHLLIISLSCAFLAGILGGLLGVGSGFVMGPLFIEFGIAPQVASATATLGMTFTASISVAQYFLLNRFPVPYALYLALVASVAAYIGQKVIDKLVNIFERASLIIFVLSFTILVSAIALGGVGISHMIDKIQRNEYMGFEDLCH >CAK8542643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534405989:534407791:-1 gene:gene-LATHSAT_LOCUS11465 transcript:rna-LATHSAT_LOCUS11465 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLSVILPRVLIVSRRTLRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVHMLLDSFEPIHGVLLCEGEDIDPSWYAEDTSSLSQEELEEIKRLHVSDTSIDKEKDSIELGLAKLCLERNIPYLGICRGSQLLNVACGGSLYQDIGKEISSKCLDESEMVMHINYDDYDGHRHEVRVVEDTPLYHWFKDSLEDGKMDILVNSYHHQGVKRLAQRFVPMAFAPDGLVEGFYDPDAYNPEEGKFIMGLQFHPERMRKADSEEFDYPGCPFAYQEFVKAVVAYQKKLNSLASVKKPLKLNKELENKRKSIVRSFSLAKNLYNHGQDMNSTKESELEAGVEFLESNTALSVQQENRLKQMGATVRNAGSYIERLKQNEEREKMARRVMDKMSIEHLSELLSFYHTMGQISSEVLEKKIHGIVNDTD >CAK8534042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:685415619:685416844:1 gene:gene-LATHSAT_LOCUS3616 transcript:rna-LATHSAT_LOCUS3616 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKGAVIIIMGVSGAGKTTIGQKLEEEINYKYLDADDFHSQSNKQKMSMGIPLTDEDRKPWLESLKDTIEEYIINKNGLVLGCSALKKQYREILRSGDPDYKSKGYTSAVNFILLDVPAEVLIARVNKRAAEGKHYMPASLLQSQLDLLNIDESEGILRVDATLSPESIVDTIKELHPFQGWSQS >CAK8574153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666442329:666444304:-1 gene:gene-LATHSAT_LOCUS26526 transcript:rna-LATHSAT_LOCUS26526 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNLHLSFLFFFAILLGLFSCTVSLINPEDVPSWLALEETEDVNMVQTQRDSWKKCDFSVGKWVFDQTYPLYDSNCPYLTTAVTCQKNGRPDSDYEKWKWKPLGCSIPRFDALRYLSKMRGKRIMLVGDSIIRNQWESLVCLVQGVIPTDKKRVTYNGPLMSFHAMDFETSIEFFWAPLLVELKKGSNNKRILHLDLIEENARYWKGVDVLVFDSAHWWTHSGQTSSWDYYMEGNSIITTMNPMVAYEKGLTTWAKWVDLNLDPRKTRVVFRSMSPRHNRQNGWKCYNQRQPLQSFSHLHVPEPLVVLKGVLKRMRFPVYLQDITTMTAFRRDGHPSVYSKGISEVERKKQGSDCSHWCLPGVPDIWNEMLTAWL >CAK8536158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912999238:913001261:1 gene:gene-LATHSAT_LOCUS5553 transcript:rna-LATHSAT_LOCUS5553-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKAQTQAMSVSTPRKKMTKQLTGKRDDTHLHSAARAGNLEVVSKTLKDAEGDELVELLARQNQDGETALYVAAEYGYVDVVREMIQYYDLAEAGIKARNGFDAFHIAAKQGDINILKILMEVHPELSMTVDPSNTTALHTAAAQGHIDIVTFLLEAGSSLATIAKSNGKTALHSAARNGHLEVVKALLEKEPDVAIRIDKKGQTALHMAVKGQNLEVVEELIKADPSSINMVDNKGNTALHIATRKARAQIVKMLLAQKETNTNAVNRSGETAVDTAEKIGNQEVRAILSDHGVQSAKTIKPQGTTTTASELKQTVSDIKNEVHYQLEHTRQTRKRVQGIAKRINKMHSEGLDNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPSNIPPGMALGEANIAPEIPFIIFFVFDSIALFISLAVVIVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGKEEKWLAIGVTIIGTTIMATTLGTMCFLVIRHRIETKNLKNIRRSSLDSSVKSFSVTAMSDSELITNDFKKMYAI >CAK8536157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912999211:913001261:1 gene:gene-LATHSAT_LOCUS5553 transcript:rna-LATHSAT_LOCUS5553 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKVASPMSPKAQTQAMSVSTPRKKMTKQLTGKRDDTHLHSAARAGNLEVVSKTLKDAEGDELVELLARQNQDGETALYVAAEYGYVDVVREMIQYYDLAEAGIKARNGFDAFHIAAKQGDINILKILMEVHPELSMTVDPSNTTALHTAAAQGHIDIVTFLLEAGSSLATIAKSNGKTALHSAARNGHLEVVKALLEKEPDVAIRIDKKGQTALHMAVKGQNLEVVEELIKADPSSINMVDNKGNTALHIATRKARAQIVKMLLAQKETNTNAVNRSGETAVDTAEKIGNQEVRAILSDHGVQSAKTIKPQGTTTTASELKQTVSDIKNEVHYQLEHTRQTRKRVQGIAKRINKMHSEGLDNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPSNIPPGMALGEANIAPEIPFIIFFVFDSIALFISLAVVIVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGKEEKWLAIGVTIIGTTIMATTLGTMCFLVIRHRIETKNLKNIRRSSLDSSVKSFSVTAMSDSELITNDFKKMYAI >CAK8571743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466731748:466732092:1 gene:gene-LATHSAT_LOCUS24377 transcript:rna-LATHSAT_LOCUS24377 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGLSGRVSNAIALGDFKGFHSNDNLSLGLLQFADDTVLVCDGSWKNLWSVKAVAGFLSCGIGSPSFSFLGIPIGINPRH >CAK8534348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719760352:719760720:1 gene:gene-LATHSAT_LOCUS3898 transcript:rna-LATHSAT_LOCUS3898 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFSSLLQPEKQEATSGEAIPTPSSSSAWQSSGSIGPFFAVIVILTILAVLSCYLTRMCKRRELTPLESIKGRGCFGWMKRRCRNCMGRDLEVGGVGAKVMVCDGEEIDSKVKDGDVQI >CAK8538128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465216052:465216306:1 gene:gene-LATHSAT_LOCUS7362 transcript:rna-LATHSAT_LOCUS7362 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPQDVADRERIFKGFDANGDGQISSSELGEALKALGSVTEDEVKRMMEEIDTDGDGFISYEEFKKFAIANRGLVKDVAKIF >CAK8570537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57179150:57179758:-1 gene:gene-LATHSAT_LOCUS23277 transcript:rna-LATHSAT_LOCUS23277 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRATYGGFAAALKDLPLWVFNVVNVDYGDTLPIIYERGLIRMYHDWCESFNTYPRTYDLLHANKIFSNLKTRCKLIPAIAEVDKILRPGGHLVVRDELSVVDEVENLVKSLNWEITFKTSKNQEGSLCAKKSFWRPDS >CAK8532945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553747728:553748384:-1 gene:gene-LATHSAT_LOCUS2604 transcript:rna-LATHSAT_LOCUS2604 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDGDVDDGSEVDMSSAIDELWKRFKSLDVVGKKALKSRVFELAFPTMTSMCPPPEKIKTKGGIKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIKSYIDDVVNVVSDGNCGFRVITSLHGYGEYGWPMVRRDLGLEIIYNERSSLYVNLFTDQLTHKHKLNRSN >CAK8567808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546726820:546728342:-1 gene:gene-LATHSAT_LOCUS20830 transcript:rna-LATHSAT_LOCUS20830 gene_biotype:protein_coding transcript_biotype:protein_coding MFNELAGGSFRIPWLIWIQLIVLFLLFALFYCFTISDDEDASVSASISPETSSVPSKSFRFEEIQRIDKPLLTGNNDSITPVSSNGQHHDITMKGVQNLSIKDEIETCSSMRSEELLEEEESSKLSSHPCNFFQLATVVFLKCFGLDDSTSGSSSKQKCRKRKES >CAK8575359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:93885835:93886455:1 gene:gene-LATHSAT_LOCUS27629 transcript:rna-LATHSAT_LOCUS27629 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFGVIHDFAFRDFNWNFIFLAPFRDFANKKPLPHQKLKADADNMCLLQQRAKDFADKRALPHQKLEAYGDNKCLPHQMSEHHADKNSSSQQAPKYYANKKCLEPTIRCEICDIKFSPKCLKGHNNGKKHKRRLSKLREKSMKHNTSNGEEIRHIQSSQVNPVVQPNKVPADASKRKHADHTGAKDCDFKVENVKKGTSRILKC >CAK8535903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890205618:890209154:1 gene:gene-LATHSAT_LOCUS5321 transcript:rna-LATHSAT_LOCUS5321 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKNIAMDKRPDLIHGTIEATIFNATPYSPSFPFNCLCINGKPTYVTIKIDNKKVAKTTQESERIWNQTFQIHCAHLADSTITITLKTSCSILGKFHIKAQQLKQESLIDGFFPLLMENGKPNQELKLRFILWFKPANLEPSWSKLLSSEEFQGLRDATFPQRSNCHVKLYHDAHHSPTFQPPLDICGVPRLLWEDVYKAIEGAKYLVYIAGWSFNPKMVLVRDPQTEIPHAREIKLGELLKKKAEEGVSVRIMIWDDETSLPLIKNKGVMNTHDEDAFAFFKHTKVVCRKCPRLHHKFPTIFAHHQKTITVDTKAPNSVNDREIMSFLGGLDLCDGRYDTEEHSLFQTLTKESHYHDFYQTSIVGASLKKGGPREPWHDAHACVTGEAAWDVLTNFEQRWTKQCDSSLLVPANTLQNLIPISSTSTSMERNWKVQVYRSIDHVSASQLFRKLTVETSIHEAYVEAIRRADRFVYIENQYFIGGCHLWQKDRNSGCRNLIPIEIALKVVNKIKARERFAVYIVIPMWPEGGPESEPVQDILHWTRETMTMMYKLIGEAIIESGEPGHPRDYLNFFCLANREKKENEEYIPPHSPHPETEYWNAQKNRRFMVYVHSKLMIVDDLYILIGSANVNQRSMDGQRDTEIAIGGYQSHEGVDHHITKGDIHAYRMSMWYEHTGRAENLFLEPESLECVQRMCSIGDKMWKIYSSEEIVDMEGVHLVTYPVIVTKHGSVEDLTNGQEHFPDTKSLVKGRRSKLLPCVITT >CAK8565361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:151715219:151715721:1 gene:gene-LATHSAT_LOCUS18582 transcript:rna-LATHSAT_LOCUS18582 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKNRTAPGPVVGWPPIRSFRKNLATTSSNSKPLSQTESQTQHQNKVVAGKKPIDNNYGGKGLFVKINMDGVPIGRKVDLKAYHIYENLSIVVDEIFRGLLKAQGDSYGSKKNEDEEKVVSGILDGSEEYTLV >CAK8532280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:237779228:237779663:1 gene:gene-LATHSAT_LOCUS2006 transcript:rna-LATHSAT_LOCUS2006 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTFYGLIYTLRGIGAVVYNVPELENNQKLKISLRSLDTEDTTPISQDFGGGGHRNASSFMLKSEEFKQWKL >CAK8540310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551432813:551433151:1 gene:gene-LATHSAT_LOCUS9325 transcript:rna-LATHSAT_LOCUS9325 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTYLGRRGLKQHFKEGVVVFLTYVFAQEYCRSEEGVRYPCFKCGCRSIISDPNKVKRHLEKDGFRPNYWVWYSNGEILPEMNREASSSQTHIGVEIGTETLSSQSHLQD >CAK8541173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:80724639:80725103:1 gene:gene-LATHSAT_LOCUS10112 transcript:rna-LATHSAT_LOCUS10112 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSSFSNKSMEMREFCEFIVDTNLVDVPITNNKFTWFGEDGLYMSRIDHFLISDGLIHNWGVMGQKLRRRDISDHCHVWIMSNNLDWGPKPFKENLKLLKISLKKWNLEVFGKVNLLL >CAK8570549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:58602811:58605914:1 gene:gene-LATHSAT_LOCUS23289 transcript:rna-LATHSAT_LOCUS23289 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVPNLTSLPFSPSIRCSSSQDGTVKQDWRKRSKPIPPGGTYPAKDQCSRCGLCDTYYIAHVKNACAFLGDGMSRIEKLEPVVHGRGRKTDTLDETYLGVHEELLYARKLIPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPDDRFAPRPVLARTPEEVLAARGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGPREGLDKFLNAASDSPETVLHYEFMQDYKVHLKHLDGRIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYITVRNERGREMLSLIENLLEITPTTNSGDRRPFVMETVKADDKAKLGKGPSQPAPKFVGNLLAFILNLIGPKGIEFARYSLDYHTIRNYLYVNRLWGKERADRHMPTYAKKIVDLYNQNGQIDKMLSEK >CAK8578866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659590651:659592677:1 gene:gene-LATHSAT_LOCUS30848 transcript:rna-LATHSAT_LOCUS30848 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGEDLGHFFSRLLRSSASLNPDIVMPPINAIKSYILDLYMKEKLKLKQELATIPNRISLTIDLWNSRTHNKTCICLTAHFVDANWKLVNKVLTFCTVYLSGDERCKRMIDCLSDFGIEKKIFSLTLGDSSENDILQEQLKTQLVLQNGLLCDGEFFRVHCFARVLKLIVKEGLELVSDAVCKIRESIRFVEHSKSRREKFKECVEKVGGVDSSDHLHLNMSMSVNSTYLMLKSALKYRHVFESLHTYVDDYDLCPCGEEWKRVEKIFAFLLPFRETANMITGTTRPTSNLYFLQVWKVQCVLVDSSRDDDGLIEGMAERMMSKFEKYWDEYNVVLALGAVLDPRVKFSTLAYCYSKLDASTCERKLEQVKEKLYMLFDKYSGKSTSAGVQRTILDQSSSMPLQKKLKSLPLGMFDELKMHHRQLVTETGKSELDIYLDESNLDFRCYEDMDVLQWWKSNNSRFPDLSIMARDLLSVPITTVASDLDFCVGLGLFNKNENRMLQIDMDPRFCIRNWMYNFFYDDGIDDETVEKIMKKIEGDDNMEQASNDLYFEDDDDGDGDDGWIFLEHI >CAK8540030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536388282:536393048:-1 gene:gene-LATHSAT_LOCUS9069 transcript:rna-LATHSAT_LOCUS9069 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKSKLGLLVTTSVAVLLTLSEVVRCAEKGVTYDDRSLIINGQRMLLFSGSVHYPRSPPEMWPDILKKAKQGGLNVIQTYVFWNIHEPVQGQFNFEGNYDLINFIKMIGNHGMYVTLRVGPFIEAEWNYGGLPIWLREVPNIIFRSNNPPFKNHMQKFTKMIIQKMRDERLFLSQGGPIILSQIENEYTSVQKAYKENGTRYIQWAGNMAVGLGTGVPWIMCKQLNAPETVINTCNGRYCGDTFTGPNNPNKPTLWTENWTAQYRTFGDPPSVRSAEDIAFAVARFFSKKGTLANYYMYYGGTNFGRTSSSFVTTQYYDEAPIDEYGLPRDPKYGHLRDLHSALKLCQKALLWGTPSVQNLGKDLEGITYEGKGSVACAAFLSNNDTHLAATVEFRGAKYNIPPRSISILPDCKTVVYNTRMIVSQFSERNYKKSKFANQLQWTMVKEIIPTPDASQIKTMEPSEHFSTTKDNSDYLWFMTSIKVEQDDLPSNGTISPVLEVASLGHLLHAFVNGEYIGSGNGNNIAKSFNFKKAISLKPGDNYISILGATVGFPDSGSYLEHRLAGVRVVRLFGLKSGPRELSYNGWWHKVGLDGEKLNIFTEQDSLKFEWTEVNKGPAPALTWYKTNFDAPEGNNPVAIQMETMSKGMIWVNGKSIGRYWSSFLSPLGKPSQAVYHIPRAFLQPKKNLLVLLEEMGGNIEGIQILTVNRDTICSTVGEDYPPNVETWNRGMGVIRSSVDTPKPTANLVCSDNKTITQVNFASYGDSVGSCGHFLLGKCNAPNSQNIVEQYCLGKTKCTVPVDKNIFDKDGNTCPGTPKTLSIQAHCGHSNVISHSST >CAK8575077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26835553:26837232:1 gene:gene-LATHSAT_LOCUS27367 transcript:rna-LATHSAT_LOCUS27367 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGREVQDNVFNPRGFTNFGGSGFHRIMTMPSLFGGRDPFDDPFFTDPFDNPFFTDPFDNMWSPSSASSRSMQKTNGDKGVVIKELDPDDDGVDSFNEKIYRSPMEPFVEHPDDDVDAEKQTNGVIYENDHRDSEEPFKAHTCNMSFKTSRITYGGIDGAYYTSTRTRKTGSDGMVIEECKEADTSSGEATHRITRGVHDKGHSVLRKFDSDGKVDTTQMLHNLNEDELSRFEETWKENNRARLPDYDVHRKKDSRVGEIKKNKVWSLPFSEQDSRARGVASNFETGNSSEGRAKKIVRINIE >CAK8575076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26835553:26837232:1 gene:gene-LATHSAT_LOCUS27367 transcript:rna-LATHSAT_LOCUS27367-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGREVQDNVFNPRGFTNFGGSGFHRIMTMPSLFGGRDPFDDPFFTDPFDNPFFTDPFDNMWSPSSASSRSMQKTNGDKGVVIKELDPDDDGVDSFNEKIYRSPMEPFVEHPDDDVDEKQTNGVIYENDHRDSEEPFKAHTCNMSFKTSRITYGGIDGAYYTSTRTRKTGSDGMVIEECKEADTSSGEATHRITRGVHDKGHSVLRKFDSDGKVDTTQMLHNLNEDELSRFEETWKENNRARLPDYDVHRKKDSRVGEIKKNKVWSLPFSEQDSRARGVASNFETGNSSEGRAKKIVRINIE >CAK8570597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66619913:66620841:1 gene:gene-LATHSAT_LOCUS23334 transcript:rna-LATHSAT_LOCUS23334 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRVKVRVPEQEDNVYSEYDSGILSFLKLIESLHFEEEENCSKSEPSIYKNTKACPQHIITRRFTPSTKGMLKNNKKVREVPKENTRPSCVIRPRAVLSSPDNDELIGNINDLKNNISLTKRKKDARGTVEGHAKVLAYQRQSSSSKSKVHV >CAK8539533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515209501:515210180:1 gene:gene-LATHSAT_LOCUS8617 transcript:rna-LATHSAT_LOCUS8617 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQQLPHLFLQQIPSLRNSSVMKSNITKEESKCAQMTIFYDGKVLVFDDIPAEKAKDIMVFSASNYVKEHPQVATASVIYDIPMTRKASLHRFLEKRKDRIAARAPYETSNRAVILNKPIDEHMPWLSLAPKSPQDHKSECSSTSVLF >CAK8543417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606878050:606878550:-1 gene:gene-LATHSAT_LOCUS12174 transcript:rna-LATHSAT_LOCUS12174 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHQYIVNAHFNGSVVVSDEVGLIFENTDVTRFSVNKRSSFQHFKERLQMKVNAGSVSQITYKNIVHFGDNHFKFVPLKVRDDDDVETMFSNHERFGFQHIELYVTFAQCEETQNSQVINLSIIPHEAVEEEDGEEENETQVDDLFSTLFEEGNIVNEVNRDEQ >CAK8571910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492360400:492362164:-1 gene:gene-LATHSAT_LOCUS24533 transcript:rna-LATHSAT_LOCUS24533 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSIGRYLTVARHFSAEAARKGTSTANPGGGGDTLGRRLLSLVYPKRSAVIAINKWKEEGHTLPSKYQLNRMIRELRKNKRYKHALEVCEWMTLQNDIKLVEGDYAVHLDLITKVRGLNSAEKFFEDLPDKMRGQPTCTALLHAYVQNNLVDKAEALVSKMSECGFMRSPLPYNHMMSLYISKGKIDKVPKLFEELKINTSPDVVTFNLLLTACASENDVETAERVMLQLKKAKVDPDWVTYSTLTNLYIRNASIKDDCLEKAASTLKEMEKRTWRKTRAAYSSLLSLHANMGNVDEVNRIWKKMKDCFRKMSDEEYICMISSLVKLGDFAGVENLYREWESVSGTNDVRVSNLLLTSYVDQGQMEMAENFCNQLVEKSVCLSYTTWELLTRGYLKKSDVKKFLYYFRKAISSVKTWIPDPVLIQEAFAVMQEQVHIEGAEQLLVILRDAGHVNTNIYNLFLKTYAAAGKMPLIVAERMKKDSVQSDEETHRLLDLTSKMCVSDVSGILS >CAK8530272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11090245:11094659:1 gene:gene-LATHSAT_LOCUS150 transcript:rna-LATHSAT_LOCUS150 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTLCSKAYFVFWAILVFDFVLKGSSNVEGDALNALKSNLNDPNNVLQSWDATLVNPCTWFHVTCNGDNSVTRVDLGNADLSGTLVSQLGDLSNLQYLELYSNNITGKVPEELGNLTNLVSLDLYLNHLSGTIPNSLGNLQKLRFLRLNNNTLTGHIPVSLTNVSTLQVLDLSNNNLEGDVPVNGSFSLFTPISYQNNPRLKQPKNTPAPLSPPSPASSGSSNTGAIAGGVAAGAALLFAAPAIALAYWRKRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELLVATDNFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTSTERLLVYPYMANGSVASCLRERSESDPPLEWPMRKNIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLETLVDAELTGNYDDDEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWEQWQKEETYRQDFNNNHMHHPNANWIVVDSTSHIQPDELSGPR >CAK8564307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667333554:667334259:1 gene:gene-LATHSAT_LOCUS17627 transcript:rna-LATHSAT_LOCUS17627 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLKPRVYVGCMKSGPVLAQRGVKYHEPEYWKFGEIGNKYFRHATGQLYAISQDLATYILINQDVLHKYANEDVSLGSWFIGLDVEHIDDRRICCGSPPDYEWKAQAGNTCIATFDWKCSGICRSVTRMKYVHERCAEDENALWSTTF >CAK8533325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602926734:602927761:1 gene:gene-LATHSAT_LOCUS2963 transcript:rna-LATHSAT_LOCUS2963 gene_biotype:protein_coding transcript_biotype:protein_coding MYYIDMVETKPSPAISIIETDCEVDFAPPLDYKEPKRNDTREGNGTRSFQFQDVFSLESEDSDDVTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGIVFSCLGIAISL >CAK8578655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:644047023:644051498:1 gene:gene-LATHSAT_LOCUS30647 transcript:rna-LATHSAT_LOCUS30647 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTLSSSSQFHEPNNLLNGVNGHGSDSDDGFVSGEDEAEPSTPILVYDAKSTVQKKEKEGEESFDQESPRPIAKVTADDEDDEEEEEDSQDVGLEKGGGEEVKEDEVFVEANDKGFESVDSEGVDVVGEEISNGLREEEDGGTEIETVRSDSVAVQSVSGDNSGVGDVENGDGVVDDEKFTSGGDFVVDSLRVKPLVDGGVAVVGDEVKDEVSEIDGVVAPAPVAGLDNSFEAIEKVGSESVVDEVGSSFETIEKGDEVVVGREVEPSKVVDSGVEVGVDDNVAHEQLRDVVLIEKAGDVVVDDNVAHEQLRDIVLTEKAGDVVVDDNVGGDAKPDEVVDIGVDDGVAQRPVSDIEPAEKGEEISEVVSRSLEAAEDEINIESRAVEGGIESRADDAVEGEVGSNIDSVVEKEAESNVVEVEDGSNVDNVVEKEAESNVDQVVEVEGVSHVGNTVEGEDKSNADRVLEVEDETHLDNAAVGEAESNADRVVEVEDNTQLDNAAVGEAESNVDRIVEVADEPHLDNAVVGEPESNVDPVVKVEDSTLFENAAKGEAESNADQVVDVEDDTDFDNAVEEEADSNVDRVIEMDDGNHVEAAVDHHIDREIDDSVSDTKDESMIFGGSDSANKYLEELEKQIRASESSQDDRIDGQIVTDSDEEVVSDDEEDSKELFDTATLAALLKAASGAGEEDGGGITLTAQDGSRLFSVERPAGLGPSLQTGKPAVRSIRPNLFAPSMSRAGTVVSDTDLSEEDKKKLEKLQEIRIKYLRVIQRLGFTTEESIAAQVLYRLTLVAGRQIGEMFSLDAAKESASRLESEGRDDFAFSLNILVLGKTGVGKSATINSIFGETKTSFSAYGPATTTVTEIVGMVDGVEIRVFDTPGLKSSAFEQSYNRKVLSMVKKLTKKSPPDIVLYVDRLDLQTRDMNDLPMLRSVTSALGPTIWRNVIVTLTHAASAPPDGPSGSPLSYDVFVAQRSHIVQQAIGQAVGDLRLMNPNLMNPVSLVENHPSCRKNRDGQKVLPNGQSWKPLLLLLCYSMKILSEATNISKTQEAADNRRLFGFRSRAPPLPYLLSWLLQSRAHPKLPDQAGIDNGDSDIELADLSDSDGEEGEDEYDQLPPFKPLKKSQIAKLNGEQRKAYLEEYDYRVKLLQKKQWREELKRMRDMKKKGGKNVENDYMEEDEENGSPAAVQVPLPDMVLPQSFDSDSPAYRYRFLEPNSQLLTRPVLDTHSWDHDCGYDGVNIENSMAIINKFPAAVTVQVTKDKQDFSIHLDSSVAAKHGENGSTMAGFDIQNIGKQLAYIVRGETKFKNFKRNKTAAGVSVTFLGENVSTGVKLEDQIALGKRLVLVGSTGTVRSQNDSAYGANVEVRLREADFPVGQDQSSLSLSLVQWRGDLALGANFQSQISLGRSYKMAVRAGLNNKLSGQITVRTSSSDQLQIALIAILPVAKAIYKNFWPGVTENYSIY >CAK8560185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10065720:10066668:-1 gene:gene-LATHSAT_LOCUS13882 transcript:rna-LATHSAT_LOCUS13882 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYRTVLDLLYFLLTLMVVWLMRFRLKSTYIKEFDTMWISLLVVPSAILAVLVNPHIPHAWLVRVVFAFTMYVETISVLPQIRYMQNAKMVEPFTGYYVFALGISRFFALAYWIIHVYETRGRYLFFFGFGYFWMVVLQVLELVQSFILADFCYYYVKSFMQGQLLRKMPV >CAK8576949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527680007:527682406:-1 gene:gene-LATHSAT_LOCUS29103 transcript:rna-LATHSAT_LOCUS29103 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPAKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTNSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKMVMGAIIRNMGSSLGACGDLDRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGESFKSAEPPEVVQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDGGEPQGFNLYVGGGMGRTHGMEITFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRNVVEQYYGKKFEPFRSLPEWEFKSYLGWHQQGDGGLFCGLHVDNGRIAGKMKTALRLVCW >CAK8566202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390500694:390501035:1 gene:gene-LATHSAT_LOCUS19356 transcript:rna-LATHSAT_LOCUS19356 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVRNHLIAFGFLKGYDVWVRHGEKKPKLGDLNNNHMNEEEDQINDIDGLLHERFRDVVQE >CAK8534131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697741497:697742240:-1 gene:gene-LATHSAT_LOCUS3699 transcript:rna-LATHSAT_LOCUS3699 gene_biotype:protein_coding transcript_biotype:protein_coding MCSETSTPPRLSFSHNLSEFHLQKDVTCIETLLLDSNSDFEFNTCNILEFESSSADELFSNGVILPKKHTPLVKFNHTKLPPRPSVPNVDKTKKETDHKSILTQTGTETVRELLDASSNNAKKSHSKSFWGFNRSKSLNCETKKNLSFSLPLLYRSNSTGSAKKSSSSKQTSSTRGKPSSLHSCSSSVNLYPMQKSNSGKSYGGSYGNGHWISPVLNVPTPCVSKGSASFFKFGSFLSVGKVKKSKK >CAK8533755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657346362:657349347:-1 gene:gene-LATHSAT_LOCUS3355 transcript:rna-LATHSAT_LOCUS3355 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVVQQKWQKKVFGLILVVVLCFGSLLFMQMRYTHVLGLVSLQHQFVSQSQVQKPKIAFLFIARNRLPLEMVWDAFFRGGDNNFSIFVHPRPGFLLNEATTRSSYFLNRQVNNSIQVDWGEASMIEAERILLRHALGDPLNDRFVFLSDSCIPLYNFSYTYDYIMSTPTSFVDSFADTKGGRYNPKMNPVIPVYNWRKGSQWAVLTRKHAKVVVEDETVFPMFKKYCKKKPLPEFWRDQIIPADTSKVHNCIPDEHYVQTLLAQKDLEKELTRRSVTHTAWDISNSRDRERRGWHPVTYKFSDATPMLIKFIKEIDNIYYETEYRREWCTSKGKPSTCFLFARKFTRTAALRLLNMSVLGDFS >CAK8543557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619621189:619622709:-1 gene:gene-LATHSAT_LOCUS12307 transcript:rna-LATHSAT_LOCUS12307 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLNLDLEDLYIHLAWPLYRKYGHAFEAFKIVVTDPDTVLSTLMREIKEVGPDGQEVTKGVPAVSEEVKHSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVIRIKETIEAVSSKKRKRKKTSSEVVGGFSVFRSSTSKTIEKVQAGYDESIRLKKENKPLERDAIFRKSHNIHVSASADVTGPVIHKNQLRGALFKYGPNPIQVAFKSGEFKRQVIFIGGLTDGFLATAYLEPLAIALDRENWSLVQFLMSYSYSGYGTSSLQQDAKDLDQLINYLINEEDSEGVALLGHSTGCQDIVNYMRTNFACSRAVRAAILQAPVSDREYQSTLPQTAAMIDLAAKMISEGRGSEIMPREADPTAPIAAYRYHSLCAYNGDDDLFSPDIREVMA >CAK8565574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:281204820:281205512:-1 gene:gene-LATHSAT_LOCUS18773 transcript:rna-LATHSAT_LOCUS18773 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLSRLWFGSTTRATENMDSSIAQGPDDDRPASLQQFAQFGAGCFWSVELAFQRLPGVTKTEVGYSQGLLHNPSYADVCSGTTKHSEVVRVHYDPNECSYESLLNAFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEQQQKKLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSSSKGCNDPIRCYG >CAK8561881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404176015:404176671:1 gene:gene-LATHSAT_LOCUS15432 transcript:rna-LATHSAT_LOCUS15432 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKWLRNVRRKFLRSSKRDITLPPRISVCINQSEQPILDNESTTTTTSTGEDFISLPSPPPINSSSSKLFSKENFAAIKIQAHFRAHLARRAHRALKSLVKLQALVRGLYVRRQSRIAMQCMHALVRLQVRVRARQLLGTYDHTQ >CAK8567527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:521379042:521381993:-1 gene:gene-LATHSAT_LOCUS20573 transcript:rna-LATHSAT_LOCUS20573 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDQIDGEQSSPVQPADQEILTKRDYINFLSHPSLTKILQKQGDQEVLFADKVLKFTSSGKMKSRILLITDFAIYIVDPEIDSLKRRIALAAVDKICISKLNDNFLAVIIPTEYDLLVASARKIEIVIAFNEATRKASDYELEVVSSNRFEYNAASDLVKEIEFEEVEGGVKTRIMRK >CAK8562109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441171160:441171612:-1 gene:gene-LATHSAT_LOCUS15635 transcript:rna-LATHSAT_LOCUS15635 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSFPSPLSSSATFSGKTISSKPCHVRPRRISVSATATTTEPISTQLPRGMASGSLYEILGIAAVASDQEIKAAYRRLARVSHPDVAVVNRKNSSADEFMKIHAAYSTLSDPEKRASYDRSLFRRPQPLTASRFSGYRVRNWETDQCW >CAK8537899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445109518:445109781:-1 gene:gene-LATHSAT_LOCUS7149 transcript:rna-LATHSAT_LOCUS7149 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTEGSALERGAQWVMIGEPGAKRHMFAERLSKLLEVPHISMASLLHQEFNPRSSLYQQIANALDHGKLVPEEIIFALLSKRLEDG >CAK8572979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574200634:574201050:1 gene:gene-LATHSAT_LOCUS25476 transcript:rna-LATHSAT_LOCUS25476 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAAKKPAEKSPVEKPKAEKKIPKDASSTDKKKKRNKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKSTISSREIQTAVRLVLPGELAKHAVSEGTKSVTKFTSSD >CAK8565077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33621808:33622119:-1 gene:gene-LATHSAT_LOCUS18317 transcript:rna-LATHSAT_LOCUS18317 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIKAVKEEINNLDKIAESKVVSAFEANRRRELSAGMHKLLNLNCSIQWKKSRVKWLKEDINSKYFHGCINNRRKNNEIMSLKINGRVVKKDKEIKRKVHE >CAK8536899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35810618:35811685:-1 gene:gene-LATHSAT_LOCUS6225 transcript:rna-LATHSAT_LOCUS6225 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRNTDENKRRKIEDSVQPIVTCYWVDSSYRTKSSALSQPKNNDNVVEDKKMIKNQVSKTTPEDNDNVVEDKKMIKNQVSNTVMPNNTVVEDKKMIKNQVSNTVMPNNTVVEDKKMIKNQVLKTTALSQPEDNDNVVEDKKMIKNKVFKTTASSQPKNNGNVVEDKKVIKNQVSSTVMSNNIDVENKKQVSKTEIAFNSRKESSRGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMVDKSESKSKAIGLKDIERKLRLYATPDEFASDIRLVFSNAMRMYPPRNHIYRIAKKFSENFELKWKSLKDTWKLEDRGRSKTHKSRIY >CAK8533920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671405239:671406750:-1 gene:gene-LATHSAT_LOCUS3507 transcript:rna-LATHSAT_LOCUS3507 gene_biotype:protein_coding transcript_biotype:protein_coding MCESKINTIKDPLISENTEKHLKSNSTVGESKNESREMIITETKSLLALALPTALTALIFYARSIISMMFLGKLGDVELASGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGANRPKLLSLTLQRCIIFLLLCSLPISFLWFEMSRIFHFLQQDDKITQMSQTYLLFLLPDLVTNSFLQPTRIYLRAQSVTYPVTLASLVGTFLHLPFNFLLFKRGISGIAIASAASNFSVLIVLVVYVWISGVHIATWSAPSWECFYGWEPLIKLAAPSCVSVCLEWWWYEIVIVLCGFLVDPTATVASMGILIQTTSLIYVFPSSLGLAVSTRVGNALGANRPRNARVSAVIAVFFAAVMGFTAVVFALMMRRRWGKMFTGDEEILRLTAAALPILGLCELGNCPQTVGCGVVRGTARPKVAANVNLSAFYMVGMPVAVVLAFWFGFGFCGLWLGLLSAQVCCAGLMLYIVGTTDWEQQARRAQLLTLFDEVGNESDGQKEPLISGLESA >CAK8539817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526653266:526653695:-1 gene:gene-LATHSAT_LOCUS8877 transcript:rna-LATHSAT_LOCUS8877 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHTSVFLWSSSIITVMAFKRNFHVSSYRQAVEDDVGIFKAVVIVGFVIMFCRWWKHEVMMVTRRRSGKLESLVV >CAK8542223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490572874:490575059:-1 gene:gene-LATHSAT_LOCUS11079 transcript:rna-LATHSAT_LOCUS11079 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYHTLEESKHALGRNLTSAETLWFNYSAKKSDFILHCHNTLFLCFFYSIAPIPFALIELSGYKKLNQYKIQPSVKRTFMEMFKCYKYVMKTFIIAVGPVQIVSYPTIKWLGIRTSLPLPSRWELFWQILVYFLIEDYANYWIHRMLHYKWGYENIHKVHHEYKTPIGFAAPYAHWAEIWMLGIPAFLGPVLVPGHIVTYWLWFILRQLEAIDTHSGYEFPWSFTKYIPFYGGPAYHDYHHFVGGTSQGNFASVFTYCDYIYGTQKGYQYKKKMSQNYKVD >CAK8531914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:175793163:175794143:1 gene:gene-LATHSAT_LOCUS1671 transcript:rna-LATHSAT_LOCUS1671 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGKGNHDLDNGNCNVDMEDDITSVENGKTKDGVEALENPVTSESADEVSVFPLEHCMRILPHDQNTGAFFIVVLQKVSPLPAITENPSKQIDEQNVEPPNQSLENAQAPQINSSDSIIEEVVKAVPEENMIDNVSNTEDLEVSPLTREEQNSEETEVPHNTQDMEKKAPGKRKLQLQGQWRGVDPVVFFKDAIRDDVHIISLSLGPQSPQGDYFNDAISVASFHAARHGILVVASAGNEGTRGSATNFAPWIIIIAASSTDRDFTSDIILGNGANIKVNTDTVFKHKF >CAK8537570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:399248136:399249551:-1 gene:gene-LATHSAT_LOCUS6856 transcript:rna-LATHSAT_LOCUS6856 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKQHSTHSQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSYCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKTLRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIATCASIRQDHNMHIPDVFKVLTVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRKKCSNVAGPSNRPV >CAK8537571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:399248136:399249053:-1 gene:gene-LATHSAT_LOCUS6856 transcript:rna-LATHSAT_LOCUS6856-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIATCASIRQDHNMHIPDVFKVLTVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRKKCSNVAGPSNRPV >CAK8537259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:182737151:182738362:-1 gene:gene-LATHSAT_LOCUS6561 transcript:rna-LATHSAT_LOCUS6561 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGKLAFDIDFHPSDNLVATGLIDGDLHLYRYSPDNTNSDPVRVLEIHAHTESCRAARFISGGRALLTGSPDFSILATDVETGSTIARLDNAHEAAINRLINLTESTVASGDDDGCIKVWDTRERSCCNSFEVHEDYISDITFASDAMKLLATSGDGTLSVCSLRRNKVYAQSSEPSAASTSLSTSPANIFTFSNSSSQNNGSALQAVKTRICNKFNSAGGCKFGDKCHFAHGEWELGKPFVPSIDDHRQLAPTTVNRMAGRTEAPSGLGTTSFGANSTAKISVEASLAGAIIGKGGVNSKQICRQTGVKFSIRDRESDPNLINIELEGTFDQIAQASNMVKDLLLTLSVSTPTSQPYHNHYQQADNNLPQTVPPDNHTTAPSTPDKFCYKNPTPDKELF >CAK8578019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602021765:602022673:-1 gene:gene-LATHSAT_LOCUS30066 transcript:rna-LATHSAT_LOCUS30066 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYKIAITFSLVLTFLVLLPILTVGELVQEQPLVLKYHNGQLLKGRITVNLIWYGTFTPIQRSIIVDFINSVSTTGAPLPSAAAWWKTTEKYKVGSSTLIVGKQFLHPSYTLGKNLKGKDLLALATKFNELSSITVVLTAKDVNVDGFCMSRCGTHGSVQRGNGGARTPYIWVGNAETLCPGQCAWPFHQPIYGPQTSPLVAPNGDVGVDGMIINLATLLAGTVTNPFNNGYFQGPATAPLEAVTACTGVFGSGAYPGYAGRVLVDQTTGSSYNAHGANGRRYLLPAMWDPQTSACRTLV >CAK8539922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531196674:531199324:1 gene:gene-LATHSAT_LOCUS8974 transcript:rna-LATHSAT_LOCUS8974 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAFYAVGFWIRETGQAIDRLGSRLQGNYYFQEQLSRHRPLMNVFDKAPHVHKNAFIAPSASITGDVQIGQSSSIWYGCVLRGDVNSITIGSSTNIQDNSLVHVAKSNLNGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFIGMGATLLDGVYVEKHAMVAAGALVKQHTRIPCGEVWGGNPARFLRKLKEDEMAFFSQSALNYSSLAQAHAAENAKPLDATEFVKVLDKKVARSEDGSVLDAVQDTPPEINLPNNVVVDKVPKA >CAK8533874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666423403:666425500:1 gene:gene-LATHSAT_LOCUS3463 transcript:rna-LATHSAT_LOCUS3463 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNEQQQDLPQPSDPPLPFDPSRMVGIIKRKALIKDLAAAYHAECLHYCQELLELQTKWDEPFIDLKPLEESKKEPSRPSKRMKKLR >CAK8576790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515387258:515391189:-1 gene:gene-LATHSAT_LOCUS28958 transcript:rna-LATHSAT_LOCUS28958 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEREEIESWIKGDETGAEMLKRVWRVRPFILPPPLHRVPLRVGNVLELVGPSPSAKTQILIQTAITCILPKHWNGIHYGGFDHLVLFIDLDSRFDINRFAQLLLHRIMEPYGEASGDYDKSLFDLCMTRFLYVRCSDSFQFLQTLKTLNWRLDKEKQVHGVSVHLLMIDSIGAFHWMDRASMLLPLKENSRKKLFLQSVSEAVVQDIKKLLQVHPMLVIATKSVIFWNKQSTASIEVKGSFMKNNWEERSSRNVTRNSQHVEYREYMPSAWQSFVTHRILIRSSDDHSVTSNCQNSSFYLLEWLLPRLSFSDKIVVKDTGVFVDS >CAK8569968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18434905:18435438:1 gene:gene-LATHSAT_LOCUS22762 transcript:rna-LATHSAT_LOCUS22762 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLLEEEADQIVNSSGGEVALKKGIEADQKVNAGGGEVALKKGSTSTRKRKVSSLSSGSDVGKRSKLSQSILSEEEADQIDNAGGGEVALKKGPWTKEEDEILKDHIKKHGEGNWKAVQKESGLARCGKSCRVRWSNHLRPGVKKRFVYCQRRTPNH >CAK8566870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468588578:468591634:-1 gene:gene-LATHSAT_LOCUS19971 transcript:rna-LATHSAT_LOCUS19971 gene_biotype:protein_coding transcript_biotype:protein_coding METPQKPHAVFVPFPAQGHVNPMMQLAKLFRCNGFHITFVNTEFNHKRLIKSLGQDFVKGLPDFQFETIPDGLPESDKDATQDVPPLCDSTRKNCYGPFKELVMKLSTSSPYPVTCIVADGISGFAGRAAKDLGIQELQFWTASACGFLGFLQYDELVKRGILPFKDENFISDGTLDLSLDWISGMKEIRLKDLPSFLRVTDLNDIMFDFLGSEARNCLTSSTIIINTFEELEGEALHTLRAKNPNIYHIGPIHLLSRKFLEYENGFKVKGSSLWKNDSECIKWLNKWESCSVLYINYGSITVMTNYHLKEFAWGIANSKLPFLWIMRPDVVMGEETSTLPQEFLDEVKDKGYITSWCFQDQVLDHPSIGGFLTHCGWNSTLEAISSSVPTICWPFFAEQQTNCRYLCNTWKIGMEINHDVKRDEITELVIKMIKGEQGKEMREKSLEWKKKATESTDSGGSSYNNFYKLIKEVLHHNDT >CAK8570958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:194258313:194258706:-1 gene:gene-LATHSAT_LOCUS23662 transcript:rna-LATHSAT_LOCUS23662 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASSAFRFLQSSQVDVTSFTNLSSIRKHLNGDGRVPSVVVVIKSCNPSGFGDMTATLKDPTGTIGASIYRKVFTES >CAK8571657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457000443:457002602:-1 gene:gene-LATHSAT_LOCUS24298 transcript:rna-LATHSAT_LOCUS24298 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVINNITAKRKKEQDADDNVSLSHNKKPRVVWTPHLHSKFLDAVHVLGLDEVVPRKIIALMNVEGITKQHVASHLQKYRLSQKKAIDRVPSKIIVEPTQSQTYFNIHPQSYNFPVNQTSSLLLPNNKPVVHQSNMSGVGVAPSTLIQSQNINIHPPTSTYPIYHHTPTLFPSPLGFPTPAKPVVHQSNMSGVGVAPSTPIQSQNINIHPPTSTYPIYHDTSTLFPSPLGFPTPAKPVVHQSNMSGVGVAPSTPIQSQNINIHPPTSTYPIYHDTSTLFPSPLGFPTPAKPVVHQSNMSGVGVVPSTLIQSQNINIHPPPSTYPIYHDTSTLFPSPLGFPTPANSSKSTSIWDYHWHITEPHSLLFPHGSLSVLESHNTSQNTQMSSQQTEPIIVESTSLEDTNIMDYNLSSHMTLKIYYQVFFLVPQTIHLYEVPLVQCSFLFNVNLLLRSCGRSKTILR >CAK8535066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:810287930:810289701:1 gene:gene-LATHSAT_LOCUS4549 transcript:rna-LATHSAT_LOCUS4549 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFYHQMNNMNNNNDAHLPPGFRFHPTDEELITFYLLKKVLDNTFTARAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTYSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSRNSKDEWVISRVFQKSNTGNGGTTVTATTSGSKKTKMVSTTTASNNSVSICAEPSSPSSVYLPPLLDSSPYSNNIAASTAAFNGGQVCSYNNSSNNTDLKEHVSCFSTSSTTSNVVSSHNNFSNNNNGSFDLVPPSMNATMDPFARFQRNIGVSAFPSLRSLQDNLQLPFFFSTATAQPFHGGDVLGGWGMPQEEQRAVVDGGGHNMGGLGSSELDCMWNY >CAK8567129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489605373:489605966:1 gene:gene-LATHSAT_LOCUS20207 transcript:rna-LATHSAT_LOCUS20207-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFFCGGRNMKFDMQVVHNESLHFISDCSNYFARSSPFYKPYIMSYNFEKGTSTMIKLPREAIKGFHVDCNMGIFNWGKVTDSNNSICLVKSRKSIFTIWYLKDYKSCSWRKILRVRVKALGLEEKDARVTGFTVMNGNILVFSTEHKIYSCGLDEETFMMVTEIGSHNCGFCPQFISYSNTLRSCGANDKTMSC >CAK8567128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489604806:489605966:1 gene:gene-LATHSAT_LOCUS20207 transcript:rna-LATHSAT_LOCUS20207 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSQEDIAYEIFSWLPAKTICKFKLTCSLFSQFLEESHFKTKQSCNLLGKSDTCFFLQHDQISQRYQKRIELHHLSKQHFSGVPNNVMTFLSNSTCVVASSNGLLLCYTIDYPVELFIFNPITKSCFFIPTPESLRNNHRFSNINLMLNCSHGSSDDYLIFYFENTMEWSPTSYVCNIYHGKEGVWKTMANNFFCGGRNMKFDMQVVHNESLHFISDCSNYFARSSPFYKPYIMSYNFEKGTSTMIKLPREAIKGFHVDCNMGIFNWGKVTDSNNSICLVKSRKSIFTIWYLKDYKSCSWRKILRVRVKALGLEEKDARVTGFTVMNGNILVFSTEHKIYSCGLDEETFMMVTEIGSHNCGFCPQFISYSNTLRSCGANDKTMSC >CAK8577707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584423248:584424402:1 gene:gene-LATHSAT_LOCUS29788 transcript:rna-LATHSAT_LOCUS29788 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSRSRVTRYLPVGFRRELSRQKLQQFADNNLVDVEFEFLDDCDVNLDNSSSSSDDFHLDIEDDDDECSQKVHGGSSNVEENRNFWDTQLQLLQTNVYKISALESSIRKATIKAIEEIDRSEIECSCSRQIKGSSARECRNCFMRQVSRCLQNAGFNSAICNTKWTSSHNLPSGEHTFLDVIHSTSNQKSDVRVIIELNFRSQFEMGKASEDYNNLVRKLPEVYVGKVERLSNIIKIMCMAAKRCLKENKMHMGPWRKHKYMQAKWMGPCKRNTSTNSLSMGYSQTTSLKQKKVKASMLTEDLLDMIPNMHCNAVEVV >CAK8574526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1640086:1641576:1 gene:gene-LATHSAT_LOCUS26866 transcript:rna-LATHSAT_LOCUS26866 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTQRMKFYFILLAFLLFADTGILVASFGINYGQVANNLPPPTKVLELLSTLKITKTRIYDTNPEILKAFANSNVEIIVTVENQILNLLNDPEQALEWVNTNIKPYVPETKITGIQVGNEVFTEIDSSLIQYLVPAMINIHNGLVQLGLDTNIHVSTPSSLEVLEESYPPSAGSFRSEISGIMYPLLNFLSKTKSPFWINAYPYFAYKNSPNQISLDYVLFNPNQGMVDPNTNLHYDNMLYAMVDAVTFAISKLGFNGIEVRVSETGWPSKGDSNEIGASLENAEIYNKNLLKRQMANEGTPLSPRRRLESYLFALFNEDLKNGPTSERNYGLFEPDESMTYNVGLSSFAKSSNPSTSISLTSSATRTKAAQKEYQSMFYKMFVYLLISSLCAFV >CAK8568001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562047046:562056954:1 gene:gene-LATHSAT_LOCUS21003 transcript:rna-LATHSAT_LOCUS21003 gene_biotype:protein_coding transcript_biotype:protein_coding METASNSKIQLLVWFSQLMGGLCSRSAEVDRVFANSDADSDASHKPNTNNNAADFTTPPQVREIMDRNPPGVTADDVFYDGIPRYAAKVSEASSRLGRAGLGKAVEVLDNLGSSMANLNAGSGFVSGAALKGTEIFILAFEVANTIVKGYQLLHSLSTKSIRHLNKEVLLSEAVHDLVSKDTDELLSIVAADKRQELKVFSDEVIRFGNRSKDPQWHNLDRYFEKISKESNAQRLSRDEAESIMKQLMNSVQFTAELYHELQALNRFEQDIEHKGEEEDQRGDNLAFLRAEIKSQKKQIKHLKKKSLWCRSLEEVIEKLVDIVHFLHLEISNNFGSADGQKPIIGNIGNRQRLGPAGLALHYANIVLQIDTLVARYIAMPANTRDTLYQNLPPNIKSALRSKLSSIHVVEEITAANIKDEMEKTLHWLVPIATNTSKAHHGFGWVGEWANTGSEVDKKVFEGGAMRIETFHYADKDKVEHYILELLLWLHRLAVKVKSGSDAGKARPAMKSSVGATMEKTNKHSTNALVPILTMDEQNLLEDASKKTKMKGISKSLDFDSLKPTLSEKCRLTKSSSLSSSKCKELSFNRILSKLPVIDFDIDKERALDVIDRLDVVR >CAK8570577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63167916:63169118:-1 gene:gene-LATHSAT_LOCUS23315 transcript:rna-LATHSAT_LOCUS23315 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGIASLFSSSINTVKSPRSKTLSIEASSNRIFASVTSQYSVEPLCIGTTISSHKLWMPRISVAVAQEEAAVAVDDEEKAVVEEEQKEQEQEQEVEKENGGETDAVVDTRTKLYFGNLPYSVDSAQLAGLIEDYGSAELIEVLYDRDTGKSRGFAFVTMSCVEDCNAVIKNLDEKEFMGRTLRVNFSDKPKAKEPLYPETDYKLFVGNLSWTVTSESLAEAFQQHGTVVGARVLYDGETGKSRGYGFVCYANKSEMEAALTAMNEVDLEGRTLRVSLAQGRRS >CAK8577882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595513317:595514803:-1 gene:gene-LATHSAT_LOCUS29949 transcript:rna-LATHSAT_LOCUS29949 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRLSVNEDRLSDLPDSVILHILSFLNTNHAIRTCLLSTRWKHLWKCIPTLILHFSRATTDEHFSVFVSKILSLRDKSTALHALDLYRQCDIEPQLLQKILNCVCSHNTHLQKLGISVCGDSDLILSCVSSCRALTSLKLSVYPRGSNNRQTLFPNSLNLPALTSLDLANFVFCGGENGCVEPFLAFTKLNSLVIRGCKVMDAHIFTISSETLVNLTMNSNSSLLAKIKLNTPSLCTFNYTGDMLIHKICGSGLSSIKQVNIQSRLSLALKEDALALFSWLLDFANIESLTVTSTVLQILSLVPDLLEVKLPSLCNLKSMEIELIPIRDGSLLLLMKDAMLKKAAAKSRKEVAKLRKAFKACLEPPAIPDGIVDFLRQNSPSAKVNITTDYSSSFNLKQVEKSIKGAKIIKYCS >CAK8530646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37860367:37862013:-1 gene:gene-LATHSAT_LOCUS496 transcript:rna-LATHSAT_LOCUS496 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRLSLFSLSNFPISFHFLSKSLTPFSHSLHHFTPNHIIHDDVSSFHTLLHMHPTPSIIQFNKILGFLAKTKNHYTTVISLSNRLEFDGITPDIATLTILINCYSHLGQMNFAFSMLGKILKMGYQPTTITLNTLVNGMCLNGKVSEALHFHDYVVARGFHFDQVGYGSLINGLCKMGETRAAMQMLRKIEGKLVRLNEVMYNTIIDGLCKDKCVNDAYDLYFEMIAKRISPNVVTFSSLIYGFCIVGQLKQASSLLNEMVLKNIYPNVYTFNILVDALCKEGNVKEAKNLLVVMMKEGVIPNIVAYNSLMDGYCLVKEVNKAEHVLRTISRMGVTPNACSYNIMINGFCKMKMVNEALSLFNEMCCKGIAPDTVTYSSLIDGLCKSGRISHAWKLVDEMHHNGQPADIITYNSLINALFKNHLVDKAIALVKKIKDHGIVPNMSTYNILIDGLCKGGLLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDNGIIPDAVTYQTIIRALFHKDKNEKAEKLLCEMIARGLL >CAK8530647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37860367:37861680:-1 gene:gene-LATHSAT_LOCUS496 transcript:rna-LATHSAT_LOCUS496-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAFSMLGKILKMGYQPTTITLNTLVNGMCLNGKVSEALHFHDYVVARGFHFDQVGYGSLINGLCKMGETRAAMQMLRKIEGKLVRLNEVMYNTIIDGLCKDKCVNDAYDLYFEMIAKRISPNVVTFSSLIYGFCIVGQLKQASSLLNEMVLKNIYPNVYTFNILVDALCKEGNVKEAKNLLVVMMKEGVIPNIVAYNSLMDGYCLVKEVNKAEHVLRTISRMGVTPNACSYNIMINGFCKMKMVNEALSLFNEMCCKGIAPDTVTYSSLIDGLCKSGRISHAWKLVDEMHHNGQPADIITYNSLINALFKNHLVDKAIALVKKIKDHGIVPNMSTYNILIDGLCKGGLLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDNGIIPDAVTYQTIIRALFHKDKNEKAEKLLCEMIARGLL >CAK8575776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322184051:322184932:1 gene:gene-LATHSAT_LOCUS28011 transcript:rna-LATHSAT_LOCUS28011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLPHFVQGLALTFLGLWHIINTIKSYLVKGPSNFTVRFWFQINTFQCKFKHLELVLILIFSVLAFLRQIIDFPYFHYAFKLDNFEHATMFIHLALFAGFSFATELTDSLELFSGFIGIFVSSVFSQELFLLHFHSTDHVGLEGHYHWLLQLIVFVSLVAALAATVFPNSFNAAIVLSISVIFQGCWFINMGFMLWIPAFVPEGCVMNMASEKGSEMHGAVTCGTKEADFRARGLANLQFSWILSAIMIFAGVVCLKLARKFTIVSRLEYERIQSKVVDSTAVNDGFKPGN >CAK8537883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444633838:444634818:-1 gene:gene-LATHSAT_LOCUS7134 transcript:rna-LATHSAT_LOCUS7134 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDDCWECVFKFLNNHEDDKYNCYLNSLSLVSKQFLSITNRLRFSAAVGSKILPFIHLLFQRFPNITSLKLNCSFYRDPNAFNGDRNAFLHQISSFSLKLKSLDLSSQNIIPADGLQAFSENVTTLTSLYFSQMNSIRSSDMLLIADCFPLLEELNLGTITTFNSEDNFIDGINTLSLALSKLSKINLSSHRYMTNECLFHLFYNCKFLQEAIIYDCLNITNAGIVSALRERPNFRSLHFTNKTDNCSNLFAILRSCPSLSNIKMECPYPRWWEKSVDNSNSLMVLSPQLETLCLANNTWLSDEKRYNICFHFPQFATT >CAK8560130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8552641:8554608:-1 gene:gene-LATHSAT_LOCUS13838 transcript:rna-LATHSAT_LOCUS13838 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVLLSIWIFSYHVFVSFLLLISSGITYGTETDIFCLKTIQKSIQDPNNYLKSSWNFNNKTEGFICRFTGVECWHPDENRVLNLKLSNMGLKGQFPRGIVNCSSLTGLDLSVNSLSGTIPADIPLLLNYVTSLDLSSNEFSGEIPRSLANCTYLNTLKLDQNQFTGQIPLELGTLTRLKMFDVSDNSLTGQVPNFTESKATVNYANNPGLCGSLSLGGCKAMASSKSNTAVIAGAAVGAVTLAALGLAVVMFFFVRRGSYRRKEEDPEGNKWARSIKGTKGIKVSLFEKSISKMKLSDLMKATNNFSNSNIIGTGRTGTVYKATLEDGTAFMVKRLQESQHSEKEFMSEMATLGIVKHRNLVPLLGFCMAKKERLLVYKNMPNGMLHDQLHPAAGECTLDWPLRLKIAIGAAKGFAWLHHSCNPRIIHRNISSKCILLDAEFEPKISDFGLARLMNPLDTHLSTFVNGEFGDFGYVAPEYTKTLVATPKGDVFSFGTVLLELVTGERPARVAKAPETFKGNLVEWIAELTTNSKLHDAIDESLVDKGDDKELFQFLKVACNCVSETPKERPTMFEVYQFLRAIGSRYNFTTEDEILIPEDIGNDNMDELIVAKEGNN >CAK8560131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8552641:8554602:-1 gene:gene-LATHSAT_LOCUS13838 transcript:rna-LATHSAT_LOCUS13838-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSIWIFSYHVFVSFLLLISSGITYGTETDIFCLKTIQKSIQDPNNYLKSSWNFNNKTEGFICRFTGVECWHPDENRVLNLKLSNMGLKGQFPRGIVNCSSLTGLDLSVNSLSGTIPADIPLLLNYVTSLDLSSNEFSGEIPRSLANCTYLNTLKLDQNQFTGQIPLELGTLTRLKMFDVSDNSLTGQVPNFTESKATVNYANNPGLCGSLSLGGCKAMASSKSNTAVIAGAAVGAVTLAALGLAVVMFFFVRRGSYRRKEEDPEGNKWARSIKGTKGIKVSLFEKSISKMKLSDLMKATNNFSNSNIIGTGRTGTVYKATLEDGTAFMVKRLQESQHSEKEFMSEMATLGIVKHRNLVPLLGFCMAKKERLLVYKNMPNGMLHDQLHPAAGECTLDWPLRLKIAIGAAKGFAWLHHSCNPRIIHRNISSKCILLDAEFEPKISDFGLARLMNPLDTHLSTFVNGEFGDFGYVAPEYTKTLVATPKGDVFSFGTVLLELVTGERPARVAKAPETFKGNLVEWIAELTTNSKLHDAIDESLVDKGDDKELFQFLKVACNCVSETPKERPTMFEVYQFLRAIGSRYNFTTEDEILIPEDIGNDNMDELIVAKEGNN >CAK8571012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:261825798:261826172:-1 gene:gene-LATHSAT_LOCUS23711 transcript:rna-LATHSAT_LOCUS23711 gene_biotype:protein_coding transcript_biotype:protein_coding MLITNAIDSTETTPSSPSNLTSTPSNFKPQELLKTKKALKRKTRFKMPSYHSLQRHSGIGPYEQEWKAAHALKSKICKFSQGANPSTTRLCLSITQLPVLIPGSVIIPVARLVAPVRQKTPSHG >CAK8532295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:240509737:240513242:-1 gene:gene-LATHSAT_LOCUS2019 transcript:rna-LATHSAT_LOCUS2019 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKTTSSSSSVEPLKKKKKECLGWIEWFRGWFNLIYEFLFQRIMASHLENPMPLPPINDLTCIVTGSTSGIGLEIARQLAQSGAHVVMAVRNAKAATELIQKWQIESAGLGIALNVEVMVVDLLSLDSVARFAEAWNARAAPLHVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPAMLSILLLPSLIRGSPSRIVNLNSIMHHIGFVDAEDMNATSGKRKFSSLTGYSNSKLAQVMFSSVLFKRVPAEAGISVLCVSPGIVQTNVARDLPKYVQTGYHLIPYFIFNAQEGSRSTLFAATDPQITEYCELLKSDEWPVCAYISHDCRPTNPSEEAHNLQTSYEVWEKTLEMTGLPSDCVERFLEGGEVKCRYGQEQQ >CAK8564953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18460555:18462408:1 gene:gene-LATHSAT_LOCUS18202 transcript:rna-LATHSAT_LOCUS18202 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKAFGVISLISLQFLLANCYSTKSLVPALYVFGDSTVDAGNNNNLDTVAKANTFPYGIDFNNCSTGRFSNGKTFADLIAIKLGLPMPPPYIGVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDLPRNFQSKTKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFVIIGIGPIRCIPGFVIRKPHTQDCNELINQVVKLFTNKLPRKLQQLKLKAKLSGSIFTILDSFNLFMKIQNSPENFGLKNIWDSCVGEGGKPCGNRKEYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8564862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13884166:13884633:-1 gene:gene-LATHSAT_LOCUS18119 transcript:rna-LATHSAT_LOCUS18119 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMTGYNTAKTFTSIAEQNAVQEAASGLESVEKLIKLLSEARHKYQTSSYSSSFSPSNPNNNHNTSMEIERDCKAVVDIAVPKFKRVISLLEKEQDRSRSFQEKLLHHNKLNLLNEQSTTHKHKLLRTPIISLSPVSPKPTFLLPQNDNPHR >CAK8572219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521680484:521683734:-1 gene:gene-LATHSAT_LOCUS24808 transcript:rna-LATHSAT_LOCUS24808 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPGSSMATRAACFNYQGRSSTESKLNLPQITFNNNQAFTHNGLRSLNKLHVRSARATSGSSNTSEKSLGKIVCGMNLVFVGAEVGPWSKTGGLGDVLGGLPPVLAGNGHRVMTVSPRYDQYQDAWDTNVLVEVKVGDKIETVRFFHCYKRGVDRVFVDHPLFLERVWGKTGSKLYGPKTGIDYRDNQLRFSLLCQAALEAPRVLNLNSSKYFSGPYGEDVIFVANDWHSALIPCYLKSMYKSRGLYKNAKVAFCIHNIAYQGRNAFSDFSLLNLPDEFRSSFDFIDGYNKPVRGRKINWMKAGILESDQVFTVSPHYAKELISGEDRGVELDNIIRSTGIIGIVNGMDNREWSPQTDRYIDVHYDQTTVTEAKSLLKETLQAEIGLPVDSSIPLIGFIGRLEEQKGSDILVEAIAKFIDENFQIVVLGTGKKIMEKQIEVLEEKYPGKAIGIAKFNSPLAHKIIAGIDFIVIPSRFEPCGLVQLHAMPYGTVPIVSSTGGLVDTVKEGYTGFHAGPFNVECEDVDPDDVDKLAATVKRALKTYGTPAMKEIILNCMAQNFSWKKPAKLWEKALLNLEVTGNVAGIDGDEIAPLAKENVATP >CAK8565669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:302313853:302315496:-1 gene:gene-LATHSAT_LOCUS18860 transcript:rna-LATHSAT_LOCUS18860 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAVVDGGEAAPAPQGRQQGGGGFSFTGIIRMVVFWYFASKFFSPAKKPTEPSALFSNLFHKGQPLDMWLYLTENEKFNEFGSESALVWHETNIPYAVWVPESTRTLTLNFPPTESLKHNGSLYAHVFFAQSGYSPDPSDPEYQPQAAFGRTLPVVVYSPKSKADKKKSLLGGVPDSSEGQVTPEVVDDSEDDSKDDGSVEWLAYWKPNITINLIADFTQYPNTGIPPNIAPHLNIDPITGNYYPTIYFNEFWLLRDKLIALNETVTELTLNLEVGPISMTKWQLFMQVDQSFQVHRSYGSMIEGEADELKRVFLEGNPYLLGITMVVSLLDSVFDFLAFKNDIQFWNKNKSMEGLSAKTVVVSFISQLIIFLYLLDNDTAWMILLSSGVGLIIEFWKIGKAMHIEIDRTGRIPMLRFRDRDSYAGNKTKEYDDIAMKYLTYVLFLLSAGFAGYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPKCFIKYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYVY >CAK8533699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651110557:651112310:-1 gene:gene-LATHSAT_LOCUS3302 transcript:rna-LATHSAT_LOCUS3302-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSFYTNILPVVVIIGNECIDMSLLTLFKAATLEGMNNHVFIAYAYALGTFVLLPVTLFTRRSRVVPVPLSFSVICKSFLLGAIGCASQILEYIGVSYSSPTLSSAIANLVPAFTFILAVSFRMEKLALKSKSSNAKVVGSIISIAGAFVLTFYKGQSILNSSPLHQPIQFLKSVDSSWVVAGILLIVDYFLVSLWYILQVHILQEFPDELTLVLLYSTTATIISTVVALLSVPNASAWKIGLNLSLVSIVSSGIFGKLIGNIVYAWLIHLKGAVYVTSFKPLQIVISVVLGVIFLGDSLYVGSIIGATIISIGLYGVLWGKATEEIEKDVGSMESPSIENAPLLQSYTTQTFEKKIDANV >CAK8533698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651095022:651112310:-1 gene:gene-LATHSAT_LOCUS3302 transcript:rna-LATHSAT_LOCUS3302 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSFYTNILPVVVIIGNECIDMSLLTLFKAATLEGMNNHVFIAYAYALGTFVLLPVTLFTRRSRVVPVPLSFSVICKSFLLGAIGCASQILEYIGVSYSSPTLSSAIANLVPAFTFILAVSFRMEKLALKSKSSNAKVVGSIISIAGAFVLTFYKGQSILNSSPLHQPIQFLKSVDSSWVVAGILLIVDYFLVSLWYILQVHILQEFPDELTLVLLYSTTATIISTVVALLSVPNASAWKIGLNLSLVSIVSSAIFGKLIGNIVSAWSIHLKGAVYVTSFNPLQIVISVILGVIFLGDSLYVGSIIGATIISIGLYGVLWGKATEEIEEDVGILDSPSTENAPLLQSYGTQTFEKKKDGNV >CAK8579328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691812766:691815549:-1 gene:gene-LATHSAT_LOCUS31281 transcript:rna-LATHSAT_LOCUS31281 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQTCDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTQSATKKCECPFRIRSIPATDGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNAFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVERKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVDVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLEIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPATTSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8564782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9495989:9496582:1 gene:gene-LATHSAT_LOCUS18049 transcript:rna-LATHSAT_LOCUS18049 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDANTTYFHSMMKMRNRRNYIGASRSDNDIIEEVYDLKIHMKDFFEEKLKEHKGPGVKLDLSDIQNLSAKDNNMLVEQFLAEEIREVISSTDGSKSPGPNGFNFKFLNQCCSIVEGDIVNIVSHFHKFASLPKFSASSFITLILKKEQPMKLKDYRPISLITCVYKLISKLMARRLSRLMDKLIYVNQTAFIYG >CAK8543309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599607211:599609453:1 gene:gene-LATHSAT_LOCUS12075 transcript:rna-LATHSAT_LOCUS12075 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYIFFFLALLIFTLLIKNIGTTNENTKFNPPPSPPSLPVIGHLHLIGSVIPKSFQKLSHLYGPLLHLKLGASTSILVSNAQVAKQVMKTHDLNFCYRPQFGSSHDLLYKGSYFITAPYGPYWRFMKKLCVTKLLSNSQLGRFLHIREQELERLLKSFVVCSNENRSTDLCLDFTTFTNNILCRMVMGTTFFDKDVIRCLVREFMHIGAKLSMGEVFGPLGKMDLLGYGKKLRKIVGEFDDILEGFLKEHEKRNIEDSQGDMMDVLIQVYRNPKGEVRLTRNDIKAFFLDIFLAGTDTSSVAMQWAMAEIINNPKILNKLRAEIKSVVGPMRLVRESDVPKMPYLQACIKEVLRLHPSAPFALRQSAQDCKINGYDIKAQTRTLINVYAIMRDPQSWVDPEEYIPERFLESGDEINGGNEYGNKIDGGDDFRYIPFGFGRRGCPGSSLALRVIEVTIAALVQCFEWKIKGGDNKVDLEEGSSFSVGLKKPLVCYPVTCFNPFEVCD >CAK8543310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599607478:599609453:1 gene:gene-LATHSAT_LOCUS12075 transcript:rna-LATHSAT_LOCUS12075-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHDLNFCYRPQFGSSHDLLYKGSYFITAPYGPYWRFMKKLCVTKLLSNSQLGRFLHIREQELERLLKSFVVCSNENRSTDLCLDFTTFTNNILCRMVMGTTFFDKDVIRCLVREFMHIGAKLSMGEVFGPLGKMDLLGYGKKLRKIVGEFDDILEGFLKEHEKRNIEDSQGDMMDVLIQVYRNPKGEVRLTRNDIKAFFLDIFLAGTDTSSVAMQWAMAEIINNPKILNKLRAEIKSVVGPMRLVRESDVPKMPYLQACIKEVLRLHPSAPFALRQSAQDCKINGYDIKAQTRTLINVYAIMRDPQSWVDPEEYIPERFLESGDEINGGNEYGNKIDGGDDFRYIPFGFGRRGCPGSSLALRVIEVTIAALVQCFEWKIKGGDNKVDLEEGSSFSVGLKKPLVCYPVTCFNPFEVCD >CAK8571194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335597787:335600905:1 gene:gene-LATHSAT_LOCUS23881 transcript:rna-LATHSAT_LOCUS23881 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPYPSSQLLSSTSICFQPLTFTKSFTTQPSFLRFKGASGFVKKHDCCLGVEPFCASQKIRPKISCAMNMSAHSSDDGRKLQLDQLLEKARKLWDNSPQPVKNFPWNTALGNFIQLVLDLTLAVVKYLCVPVFTVTSISELSYCARQSKLVLVPFPILLGAAVAGILKETALELSPRLRDAEVPWHLIAIAIFFTLIKLPGPYYPYWGRILIPHFANGVLLRALWFAILWYRRPKVLKMSESDS >CAK8531897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172981716:172982180:-1 gene:gene-LATHSAT_LOCUS1656 transcript:rna-LATHSAT_LOCUS1656 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVLKEDWCPVYAVTTEGKPIYADKIDGHFIWDVDPTRCDPDCDCWMHDDDIDRDIILPKTKKKGRCKPSPPPQRRSDPDNGPWVGIHGKKKPLCIYEEGLKILRREGLLPPDDLNLITWSPTDHCKPLHPPAVAQPIPCFMYSTTTSEYKR >CAK8530810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51624061:51624687:-1 gene:gene-LATHSAT_LOCUS650 transcript:rna-LATHSAT_LOCUS650 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFNSRMSPSKTLSLNDCLTIIIDYTRLIISSTSNDPKNLTFRLITPNFPKFEIPLDILCNNNDINNQCLYEIFEALPNYLMNLVIRDMRDCARKMVQRGKLEAVNLALRWVNSHIGKEDEFDLNHAYHNDQQIVGLSSNLEVDITSDSKDQCSICFEEFCNGSETELFYTKCSHIFHKMCIAKWICQCVTHTHIYSCPLCRCEIM >CAK8531651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135115405:135119007:1 gene:gene-LATHSAT_LOCUS1427 transcript:rna-LATHSAT_LOCUS1427 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIELCWLFSLSEEFKYVTVRQDEKMELAKLLGRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSMTSDMVFITQSAGCLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFTGIPSDILTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLGVELTITPDFAWDDRIHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIEEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKYFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKLPDSVMRVVYVAPIEALAKERYRDWEKKFGGGLKLKVVELTGETATDLKLLEKGQVIISTPEKWDALSRRWKQRKHAQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIAQHAKNGKPAIVFVPTRKHVRLTAVDLITYSGADSGEKPFLLRSVEELEPFINKISDEMLKVTLREGVGYLHEGLNSLDHDIVSQLFEAGWIQVCVLSSSMCWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTSTFMYRRLAQNPNYYNLQGVSHRHLSDHLSEMVENTLSDSEASKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILSSASEYAHLPIRPGEEEVVRRLINHQRFSFENPKVTDPHVKANAVLQAHFSRQFVGGNLSLDQREVLLSANRLLQAMVDVISSNGWLSMALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGRSIETIFDLLEMEDDERRELLNMTDSQLLDIARFCNRFPNIDLSYEIVDNDNVRAGDDVTLQVTLERDLEGKTEVGPVDAPRYPKAKEEGWWLVVGDTKTNMLLAIKRVSLQRKLKAKLEFTAPADAGKKSYVLYFMCDSYMGCDQEYGFTLDVKEADGEDE >CAK8564876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14864386:14865336:-1 gene:gene-LATHSAT_LOCUS18132 transcript:rna-LATHSAT_LOCUS18132 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFALVQEKSESRRSTNQQIHKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQLFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQNEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8530649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37899451:37900938:-1 gene:gene-LATHSAT_LOCUS498 transcript:rna-LATHSAT_LOCUS498 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPSIIQFNKILGFLAKTKNHYTTVISLSNRLEFDGITPNIGTLNILINCYSHLGQINFAFSMLGKILKMGYQPTTITLTTLVNGMCLNGKVSEALHFHDYVVACGFHFDQVGYGSLINGLCKMGETRAAMQMLRKIEGKLVRLNEVMYNTIIDGLCKDKCVNDAYDLYFEMIAKRISPNVVTFTSLIYGFCIVGQLKQASSLLNEMVLKNIYPNVYTFNILVDALCKEGNVKEAKNLLVVMMKEGVIPNIVAYNSLMDGYCLVKEVNKAEHVLRTISRMGVTPNACSYNIMINGFCKMKMVNEALSLFNEMCCKGIAPNTVTYSSLIDGLCKSGRISHAWKLVDEMHHNGQPADIFTYNSLINALFKNHLVDKAIALVKKIKDLGIVPDMFTYNILIDGLCKGGLLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDNGIIPDAVTYQTIIQVLFHKSKNEKAEKLLREMIARGLL >CAK8530650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37899451:37900725:-1 gene:gene-LATHSAT_LOCUS498 transcript:rna-LATHSAT_LOCUS498-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPTTITLTTLVNGMCLNGKVSEALHFHDYVVACGFHFDQVGYGSLINGLCKMGETRAAMQMLRKIEGKLVRLNEVMYNTIIDGLCKDKCVNDAYDLYFEMIAKRISPNVVTFTSLIYGFCIVGQLKQASSLLNEMVLKNIYPNVYTFNILVDALCKEGNVKEAKNLLVVMMKEGVIPNIVAYNSLMDGYCLVKEVNKAEHVLRTISRMGVTPNACSYNIMINGFCKMKMVNEALSLFNEMCCKGIAPNTVTYSSLIDGLCKSGRISHAWKLVDEMHHNGQPADIFTYNSLINALFKNHLVDKAIALVKKIKDLGIVPDMFTYNILIDGLCKGGLLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDNGIIPDAVTYQTIIQVLFHKSKNEKAEKLLREMIARGLL >CAK8566149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385684391:385692370:1 gene:gene-LATHSAT_LOCUS19309 transcript:rna-LATHSAT_LOCUS19309 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLSVLKDYFGFMSFRPYQREVIEKIIEKRDCLVVMATGSGKSLCYQVPPLVLKKTGIVVSPLISLMQDQVMALKQRGIKAEYLSSAQKDYSIQGKAEHGQFDILFMTPEKACTIPTSFWSNLLKEGISLFAVDEAHCISEWGHDFRVEYKQLDKLRGVLLDVPYVGLTATATEKVRFDITNSLKMNNPYIVVGSFDRPNLFYGVKQFNRGQYFIDELVEEISKEVANGCSTIIYCTTIKDVEQIYKSLMEVGINAGMYHGQMDGKSREESHRLFIRDEKQVMVATIAFGMGIDKPNIRKVIHYGCPKNLESYYQESGRCGRDGIASACWLYYTRSDFSKGDFYATDLKSENQKKAVMESLLEAQHYCLTATCRRKFLLEHFGEKFTAERCGNCDNCTVLKQHRDMSKEAFLLLACVHSCKGRWGLNMPIDILRGSRAKKITEAQYDKLPLHGLGKAYQANWWKALGHQLVSLGYLKEFVSDVYRNVSVSSKGEQFLATCRPDYQPPLVLPLIGELAEEETRSAEEFKTLAASESEGFSEAEGQLYQMLLEERLNLARSVGTAPYALCGDQTIRKIALIRPSTKARLANIDGVNQHLVTRYGDHFLQAIRKLSQELNLSLDGQPIIQTNEVRKVSPVVTIKSTKLSGAKLEAWKMWHEQGLSIQRIANFPARSAPIQEQTVVQYLLDAALEGLPIDWTRLCEAVGLKQDYISAIRGAIVKAGSADKLKPIKNELPEEITYPHIKVYLTMQTCGVSLESIQSEGHQSGKDGEAVNNASNSSEPSSVTHDAESHPQANIVTESIMEINEVVPSEPVNVCQVQEFPTSKRLKVSDTEEVKIIKLKATASSIVEWLENLDEGATLTDMLEHFNGSNQDSIVELLNCLECDFSIYKKGNVYKAM >CAK8544530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690572164:690574162:-1 gene:gene-LATHSAT_LOCUS13200 transcript:rna-LATHSAT_LOCUS13200 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSFVPLILMLIIQLAYALMNATSKLALAGGMNPLILVAYRQIFGTVAIAPFAYWLERDMIPRMTKRIMIQILLSSITGVTGNQVLYFLGLKFSTATIACALTNLLPAFTFILAVLFRQENLRIRKKSGISKVVGTVLCVGGAILLSFYHGQVIGIGKSSIHWGYAEKVQSGGDSSGSTSNSLLGPILLILSALIWSSWFVIQADLSRNFPVPYTSTTYMCFLASFQCVFIALCIDHKAASWSLHDAMRLTASVYSGVICTGLAYCVISWTIERKGPLYGSVFTPLQLILTAMISWAFMSEKLYVGTAIGSLLIVFGLYGVLWGKSQEINNKEDAADEEDEDIRKDDMEMQSYVLPSSNGNGNGNGVGHV >CAK8560639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33302320:33306310:1 gene:gene-LATHSAT_LOCUS14299 transcript:rna-LATHSAT_LOCUS14299 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDLFTSGPTTTAISQIIDTIGEFLCYASDVLVNKDSFKELGAYLERIMPVLKELRKEKVSDSEAFNRAIDVMSRETKDAKLLALECGKKSKFYLLMNCRSIVKQLENHTKEISKALGLLPLAASGLSAGILKEIEKICDNMERAGFKAAVAEEEILEKIDSGIRENNVDRSYANNLITLIAEAVGITNERSTMKVELEEFKKEVENARVDKKKAEAMQMDQIIALLERADAASSPCERKLKYFAKRQSLGTRILEPLQSFYCPITHDVMMEPVETSSDQTFERSAIEKWFEEGNKLCPLTLIPLDTSVLRPNKTLKQSIEEWKDRNTMITIATLKEKIHQFGDDDEVMECLKTVQDLCEQREQHREWLMLEDYILVLIQILGSKNRDIRNRALVILCILANDNDEAKERIVTVDDAVESIVHSLGRRPEERKLAVALLLKLSRNDMVREHIGKVQGCILLLVTMSNGDDDQAARDATELLYNLSYSDQNIILMAKANYFTHLLQRLSTGPDDVKMIMAKTLAEMELTDHNKESLFDGGVLAPLLHLFLHHDLQMKTVATKALRNLSSLKRNGLEMIRQGAARPLLDLLFHHSIQTSSLWEDVAGIIMQLAASATSQDAQTLVLLLDSDDDVFNLFPLVNVTQPGVQQNIILTFYVLCQSPSSSYIKTKLNQCSAIPELVKLCENENTDLRASAVKLFSCLVESCDEAIILENVDKKCINTLLQILESPSDKEEILSAMGIICHLPEIDQITQWILDAGLLPIIYKYVQDGRDRDLQRSNLVEEAIGALHRFTVPTNLEWQKIAAETGIITVLVQLLVSGTTLTKQRAALCLAEFSKSTARLSTPILNRKGLLCCFSAPNEIGCRVHGGICTVKSSFCLLAAEAIGPLTRNLGESDYGVCGASLDALLTLIEDEKLESGSKVLANANAIPLIIKFLSSSSAELQEKSLNVLERIFRLVEFKQLYGSSAQMPLVDLTQRSNGRVRSLSARVLAHLNVLHDQSSYF >CAK8576486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482900214:482900525:-1 gene:gene-LATHSAT_LOCUS28669 transcript:rna-LATHSAT_LOCUS28669 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEYVASKLVNGVVEIEIEQEDIETEVQFWDNALILYMVGKDLSMNIVKNFMQKMWNFVKIPDLYYHDEGYFLLRFNSHEDRDAVMMKGPYTIRNMHMILKE >CAK8573154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589678805:589680199:-1 gene:gene-LATHSAT_LOCUS25633 transcript:rna-LATHSAT_LOCUS25633 gene_biotype:protein_coding transcript_biotype:protein_coding MDITITSKETIKPSFPTPNEHKLFKLCLFDQLQLITYLPMVMFYPKKQGLTQISHFIAQLKKSLSETLTIFYPIAGRRKNHTFITCNDEGAIYLEAKVNQTMLEFLAPPKLEFLNKLLPCEPNKMHQNIGEEDLPQVLVQVNIFNCGGIAIGTCNLHTLLDGCSGSLFQTTWAAICRGRSSDELPRPDFFSASSFFPPNDSLILHDHVGDKNDNEVLDGKKKCSTRRFVFGLESINALRDKAKDDDYNDNENENDIEKSKFPTRYEVLSAFIWKHMTLACKKEGGVDSKRPTLAIHIVDMRRRMGEPFSKYTIGNILWPVMVFCEEVNEETDIKYLVRICKEKFGKVSRELFIRVKNDTSMLGSNECVDLPQGVETKCPIPVVLTSWCGLNFSELDFGWGKPYWVGVRGGDQETLPNVAVIMETDEGMEAWLTMEMEHIGKLENDMEFLKLAVTNPSVSNILLL >CAK8568358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598469219:598471570:-1 gene:gene-LATHSAT_LOCUS21320 transcript:rna-LATHSAT_LOCUS21320 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIKKAKDGGLDAIETYIFWDLHEPIRRQYDFFENLDFIKFLKNVHEEGLYVVLRIGPYVCAEWNYGGFPMWLHDLPGIQLRTDNVVFKEEMKIFTTKIVTLCKEAGLFAPQGGPIILAQIENEYGDVINNYGEDGNAYIKWCAQMALAQNVDVPWIMCKQNNAPSPIINTCNGYYCDDFKPNNPKSPKMFTENWVGWFQKWGERKPHRTAEDVAFSVARFFQKGGVLQNYYMYHGGTNFGRTAGGPYIITAYDYDAPLDEYGNLNQPKWGHLKKLHAAIKLGEKVLTNGTVIEKQYGDSVYLTTYANNATGEKFCFLSNSHNSKDVEVDLQQDGKYYVPPWSVSILQDCNKEVFNTAKVDAQTSVYVKKLSTELGNQLIWTWASDPVEDTLQAIGTFNASQLLDQKSVTVDASDYLWYMTKVFINETFNWNNATLQVNTSGHVLHAYVNGEYIGPQWGTHDNLRFTYEKMVSLKQGTNIISLLSGTVGHANYGALFDMKETGIVGGPVKLIATNSSNTLDLSKSSWSYKVGLNGEARRFYDSKINNGVQWNIDNIVIEKPLTWYKTTFKTPEGKDSVVLDLIGLTKGHAWINGQSIGRYWPTMVADKNGCDTKCDYRGNYKADKCLSGCGEPSQRFYHVPRSFLNNYTKSNTLVLFEEMGGSPFNVSVQTVAIDFICARTDYGKTLELKCPDGKTISEIQFASYGDPQGTCGSFQVGEWESRHSVTVVEKACSGKLSCSINVTTSVFGITKGGINGQLAVQLLCDGSNPEDNRVQQIHV >CAK8560140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8654064:8656546:1 gene:gene-LATHSAT_LOCUS13845 transcript:rna-LATHSAT_LOCUS13845 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIITYILILCFISPSLSTLSNVGTTNGIYNVMENGAIGDGKTDDSQAFASAWSKACKGGGMSTLVIPSGKSFLVTKVNFNGPCNAKILIQFEGEIVAPPKEEWKGDAYLISIQRVNGLTIDGNNQGGVDGDGSTWWTCKNCERPGVLYFHACNDLSVNNLKISNSPKCHVSVNQCNHATFSGISIDSPATSPNTDGFDISFSTYVSIQNSNIKAGDDCIAINGGSSFINATGVSCGPGHGISVGSLGKKRADDQVSNIYVHNCTFTETQNGARIKTVLGGTGYAKNITYDQIILQNVRNPIFIDQGYDARLDETSLLVSSVTYRGFSGTSSCVFAINLNCSSSGCFDILLEQNNIVSPGKMASAFCKNAHGTARDTIPNVGCLSN >CAK8532601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:315777460:315779128:1 gene:gene-LATHSAT_LOCUS2289 transcript:rna-LATHSAT_LOCUS2289 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLRRFLVQQLPRRPGISWRKYKGVEKIKKVKLQTMQRQYELLQMEKSDTIFDYFTRILSLTTQMKGCREAVKDQLVVEKVHELRIKERSPDKNSDHALQAQSNKRNSVHFASKCKFNRGNGGTDAKARMAHGEDSKEEQMLLMVITKDENDKSD >CAK8541846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414718194:414718532:1 gene:gene-LATHSAT_LOCUS10739 transcript:rna-LATHSAT_LOCUS10739 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRNLEDFWAFYVSQHSKRATRHWHFVGTLLSILFLVCSVFFSWWFLFFVPLSAYGCAWYSHFFVEKNVPATFGHPFWSFVCDFKMFGLMITGKMDREIKRLGKRPVLQVF >CAK8560373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16478946:16482219:-1 gene:gene-LATHSAT_LOCUS14050 transcript:rna-LATHSAT_LOCUS14050 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIKPETETTDRTTVIPINAGRNSQVAVKWAVDNLLKKNSNCVLIHVRTKPITHSDDNVNEDVLKHGRPPTQEELHQFFLPFRGFCARKGIEAKELVLHDIDVPSALTDYVVENPVDNVVVGASSSPWNAFLRRFREVDVASMLAKTLPESSTLYVISKAKVQKIQPCGPPIPRDDQSKNILATPPKSIRDIAALLLQNAQLLPPKKCLDHISSSDDSNKKLLKDAGKVWELDMTLAANNVEDNNSPRGPRLSVWSRSTQTSSNPSSAANSNDSSGKYSFGNIEVISSDSSKYLFSGKPPIQTNIEAEIKKLKLELKKTSEQYGMACREAVFAKQKTMELEKFREEKERNVEKARLAEEAALALAEVERQKAKVAMESAEMSQRLAEMETQKRKLAELKAKYDEEERKRTLQDVVYNSIPYRRYNIEEIAVATNGFDNALKIGEGGYGPVFKGVLDHTVVAIKVLRPDLAHGERQFQQEIIVLSTIRHPNMVLLLGACPEFGCLVYEYMENGSLEDRLFRKDNTPPIPWKNRFRIASEIATGLLFLHQAKPEPIVHRDMKPANILLDRNCVSKISDVGLARLVPPSIANKTTQYRLTGAAGTFCYIDPEYQQTGLLGVKSDIYSFGMVLLQIITAKPPMGLSHLVEDAIENGNFLEVLDPSVPDCPIEEALAFAKLAIKCCELRKRDRPDLSTVILPELYRISQIWNCDED >CAK8563592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619305289:619306299:1 gene:gene-LATHSAT_LOCUS16986 transcript:rna-LATHSAT_LOCUS16986 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSNTSLPPLPDPPIAVPLALPAPLPLPPSTRRLPPPCWSPDETLALIDSYRDKWYSLGRGNLKATHWQEVADAVSHRCPNASPAKTPVQCRHKMEKLRKRYRTEIQRARSLPLSRFNSAWVHFKLMDSMEKGPSAVKSENNDSDSPDDDEEEDHDQDLYMEIKNGHGSNTRSINKLYRNGFGGGSGGGGGVGVGVGGGFRIRFPQAQPESRFPSDQKYNPNLNHNYGMPPPPAATTKGLGNKRERDPLGEVVSAIKVLGDGFVRMEQMKMEMAREIEAMRMDMEMKRTEMILESQRRIVEAFAKAVSDDNNNNGSNHQMKPVNKRIPSPQQP >CAK8573757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635329311:635332829:1 gene:gene-LATHSAT_LOCUS26165 transcript:rna-LATHSAT_LOCUS26165 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKQRLTTDADAAPELDPITGNNDKSHSKKKLKKHKKIKTNEIPTVSIAVPASIIDNVPTLELATRLASQIARAATIFRINEVVVFDNRSNTDKDYTVAENSDDESSSAFLMRILQYLETPQYLRKALFPMHNSLRFVGMLPPLDAPHHLRKHEWGPYREGVTIKGHSNSGTTLVDVGLFKHVAVDQILEPGRRVTVAMGANRNLDSDLPREVVSSSKPREEGAYWGYQVRYAHNISAVFNECTYKGGYDCIVGTSEHGQIIKSSELKLPSFRHLLISFGGLAGLEESIEEDDNFKGKNAKEVFSLYLNTCPNQGSRTIRTEEAILISLQYFQEPISRAMLR >CAK8567975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560397507:560404187:-1 gene:gene-LATHSAT_LOCUS20979 transcript:rna-LATHSAT_LOCUS20979 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSFIFCLISTSLIFTFVSAGTSAGSNVTYDSRSLIIDGQRKLLISAAIHYPRSVPEMWPGLVQTAKQGGVDVIETYVFWNGHELTPGNYYFGGRFDLVKFAKIVHEAGMYLILRIGPFVAAEWNFGGVPVWLHYIPGTVFRTYNQPFMYHMEKFTAYIVNLMKQEKLFASQGGPIILSQIENEYGFYENFYKEDGKKYALWAAKMAVSQNTSVPWIMCQQWDAPDPVIDTCNSFYCDQFTPTSPNRPKIWTENWPGWFKTFGGRDPHRPVEDVAFSVARFFQKGGSVHNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPRLPKWGHLKELHKAIKLCEHLLLYGKSVNISLGPSVEAGVYTDSTGACAAFISNSHDKNDQTVEFRNASYHLPAWSVSILPDCKNVVFNTAKVSSPTNKVAMVPEKLQQSDKGQKTFKWDVLKENPGIWGKADFVKNGFVDHINTTKDTTDYLWHTTSIHIGENEEFLKKGSGPVLLIESKGHALHAFVNQKYQGTAYGNGSHSAFTFKNPISLKAGKNEIALLSLTVGLQTAGPFYDFIGAGVTSVKINGPNNRTIDLSSNAWTYKIGVQGEHLRLHHVDGLNNVKWTSTSEPPKGQALTWYKAIVDAPPGDEPVGLDMLYMGKGLAWLNGEEIGRYWPRLSEFKKEDCVQECDYRGKFNPDKCVTGCGEPTQRWYHVPRSWFKPSGNVLVFFEEKGGDPTKITFVRRKIV >CAK8561604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:317333919:317334230:1 gene:gene-LATHSAT_LOCUS15181 transcript:rna-LATHSAT_LOCUS15181 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITNPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRQGRTLYGFGG >CAK8575608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:203001521:203002477:1 gene:gene-LATHSAT_LOCUS27857 transcript:rna-LATHSAT_LOCUS27857 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPETTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITGIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8564305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667311362:667312904:-1 gene:gene-LATHSAT_LOCUS17625 transcript:rna-LATHSAT_LOCUS17625 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQDQRIVINKWFDLRRRAIVQLLCAIVYYYVRMSRKRKLCYSMSSERERVREEIMYRISNSETSRNILRMCPKTFMSLCGMLEREGGLQATRWSSVEEQVAKSIYILTHNAKNREVNFWFRRSGETISRHLHKVLRAILELEEKFLIQPDGSTVPPEISSNYRFYPYFKDCVGAIDGTHIRVKVSAKDAPRYRGRKDYPTQNILAACTFDLKFTYVLAGWEGSASDSRIIKNALTREDKLKIPQGKYYLVDAGFMLTSGLITPYRGVRYHLKEYSARNPPQNSKELFNLRHSSLRNAIERAFGVLKKRFEILSNSTEPNYGVKAQKLIIFACCILHNYLMSVDPDEDLIAEVDAEIANQNVSHDNHQSSRSDRDELALAGVIKDSVAHQMWLNYQNNDLA >CAK8532779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:513341630:513343144:1 gene:gene-LATHSAT_LOCUS2451 transcript:rna-LATHSAT_LOCUS2451 gene_biotype:protein_coding transcript_biotype:protein_coding MITVTSVTYKFNINGYHTKTIQAKRGLRQGDPISPLLFVIIMEYLNMCFRKIQKNPNFNHHAKCEKLHITNLSFADDLLLFSRGDCMSVELLMEAFNDFSDSTGLKLNPAKCKIYFGGVDADTKQNIINITKFREDPFPFRYLGVPLTSKKLSIHHYMPLIDKIMSRINHWSAKLLSYAGRAQLIKSVTFAIANYWMQCFPIPRSAIHKIEAMCRSFMWTGGADISRKSPIAWDNVCRPLNYGGLGIIDLKIWNKITMIKLLWNLSSKTDSLWVKWVHSYYLKHEGLMNVSIKPHTSWIMRTILKQRDSLVDIQQVWNRMLTSDKFRMTDIYLAICSNAPKVMWKNILRNNVARPRALITMWFTCHGRLATKQRLFRFGMITDDRCCLCTKEEETINHLLFCCPETVHIWTKVLDWIQIPHVPRPRDEEMDWVCKSTSGKGWRASLLKLAITKTVYGIWQYRNDICYGNVIDKTKIGDNIMDMIVYRGWYNQKLRPHIAHLMTY >CAK8536711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9389032:9390987:-1 gene:gene-LATHSAT_LOCUS6045 transcript:rna-LATHSAT_LOCUS6045 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLFVFLILVIASHSLCVRITPKADDEWITFDVTNTKYGAIGDGNTDDSEAFIKAWQDVCGSQVTPTLIIPNNKTFFLQPLIFQGPCKCATIKVWLGGTIIAPKNMEDWKWAEDKELAWIRFEDISGLTVNGGGQINGQGAPWWKEYPDNESKRPSAIKFVGCEKITISNLTHYDSPKNHMGIASCKDVYIFDLKMIAPDDSPNTDGINIASSSNVIIKDSTITTGDDCVAINTDSFFINITGVFCGPGHGISVGSLGKNGEYAKVEDIYVNNCTFTRTSNGARIKTWEGGNGYARKITYEDIEFNEVKNPIIIDQSYNPKIYDDDDGKGVAVTDVIFRNLRGTSTEDPIQLKCKPNISCINIELYNINITRIDNEKSHTSLY >CAK8533127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580708510:580709343:-1 gene:gene-LATHSAT_LOCUS2775 transcript:rna-LATHSAT_LOCUS2775 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKNTLESMRLMFIILKKKRKPRKANNQREDFIQWFKTRVIEEEVTEWLKVLSRGPNDVLRRYSGYVINGYRFHTTNCEARLKTQNSGVTLEAVTQVIRNAKDENPKKICVACYGVVKDIIELDYYGHEKYVLFKCDWFVDEKDKYGTPFVYFNKKCYKNDPYVLASQVQQCFFIEDPLNKNKHYVLNALSRETFDMGECLGSDAQEYDISTNLDTLEDDCEVDLVRKDVSDDIFEIPLSELHNQKN >CAK8574974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18932658:18936814:1 gene:gene-LATHSAT_LOCUS27268 transcript:rna-LATHSAT_LOCUS27268 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRLSRKKVLLVLDDVDKMEQLQAIAGASDWFGPGSRVIVTTRDNNLLAKHDGGFGFGRTYEVEELSEKEALALLSWNAFKTDKAVDRRYAHVLNRALTYASRLPLALQVLGSYLFGKLINEWNDALDEYEKIPDKNILRVLKISFDSLEEEERRIFCDIACFFNGHKLVEVEDILGAHYGLSVKNSIGVLIERSLVKIDDGLVTLHDLIQDMGREIVRQESPDVPGKRSRLWLPQDVVQVLQDKSGSNTVESLLLDFPKDGINSNGEEVIWDGEALKKMQNLKTLIIRNVRLNKGPTHLPNSLRVLEWSGYPSSSLPDGFHPKKLVILKLSESRLRISEPIQGFKSLAVLDFSYCEWITHISDVSGLPNLEKLSLKHCENLTQIHESVGLLEKLRILDVVHCQKLSALPPIRLTSLEQLNLSHCSALESFPEVLGKMENLTELHIMASPVKELPFSIQNLIRLRKLELHICGMIQLPSSIAMLAELSSMRVSKCQRLCLSKPDTGENFESKSSKTEHLILSYCNISDDLLPIGLTWFANVKDLDLSGNNFEILHASIKECPFLRNLKLDNCKNIQEIKGLPWKLESFSAQGCTSLKYLDFTGERPSSILELLLDGCSFLKQVIGVLPKLESFSAKNCTSLSTSMFVNQESVEAGNKMFSLPGTKIPDWFTHRVNGGSISFWFRNKFPVIYLCLVIESRGEQAITIKFSPRVFINGNKKSLGNRKVHEFKIATDHILLFDIRLLKFEDKEDVVYSYNNWNHVLVSYADHIDNNGVPIKGVAKYSGIHVYQQDNCMENIRFNNPQQSLLSVNLNTNSTEAHQRDQIAAGIYQKDQTENLSSPILSSTRLESAITDVRKGPVHKHTLPAKRSLEGVVRETSKSLLEEDIHPTTISNSPPVIQSFCVGDDIESEAVSSCELKSEESSSSEGSDSDDPFDRIDRRLGISAKETVSSASHSRDASLESIREAIKSLDQLMVKDLSEVSSDPDAQSGLRQLLDVLSSSSHPKVTFEVMEAIVEFKRKAFLSFQEFQSAAESVNKLKDFERHLARIQQQTLAGKGQRKDIKTSMKKVSLGIKSENRRKKELETEIATLRIHICIKERDIEQLVLNLKDQEETLSTYSTSYASLNDQALALLKQADDLLVANSGVKHEGKAAEVIQSRLKSTWSFDLTSLFNKIKYNMNTDHL >CAK8573292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601007137:601010387:-1 gene:gene-LATHSAT_LOCUS25759 transcript:rna-LATHSAT_LOCUS25759 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSESASADCFSEVMSSIPGFRFHPTDEELVMYYLKRKICGKRLKFNVICETDVYKWDPEDLPGQSFLKTGDRQWFFFCHRDRKYPNAARSNRATRHGYWKATGKDRNVIFNSRSVGVIKTLVFYIGRAPNGERTDWVMHEYTMDEEEFKRCCDVKGYYALYKVFKKSGPGPKNGEQYGAPFKEEEWADDDVVDFNVNSAEREAPKAVMDPPIDQLQPLLDDEIDEIIKGMCDVEPVLEQDYVNGYTDFPQVVTEETQSMGMCQFSEAILFPDPSGNLQSSSQHYDAQPSFDFNQSVTSQFHISEAPEVTSASNIQSEEELVFYDDGFLEVNDLLDTEPTISNSEKLNDDLFENLQFEDGLSEFDTYQDADMFLCGLGPICDETVSHAYMNNGGSSIENQSHQLLSDIEVANQTVDDFWMHVERNTRSPTEDFTGSFSLTNPGVVCDPVSFPTESTMEDVATTSRFSSALWAFVDSIPTTPASAAENPLVNRALNRMSSFSMMKMKPSDMTAGIDTTTMKRAGRKGVSFLFFPILIAICAFLWVSIGNLRLLERYVSP >CAK8575809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329551459:329552274:-1 gene:gene-LATHSAT_LOCUS28043 transcript:rna-LATHSAT_LOCUS28043 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLIYEILEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYETAIEGQYWRIITSAFSHISIIHLVFNMSALWSLGMVEQLDHVGLGVEYYLQYTLVLVVVSGMLVLAMYHLLIQRFKVEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLELFGLLSLPISFAPFESLIFTSIIVPQASFIGHLSGIVVGYAIAWGLIHGMTNYWALSLLGWIVVVFVFSLKKSGAYELNFIEIESVTDPSLPSVRFLASSNGRTLQMNALPDGNVEIV >CAK8535789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882522585:882525657:1 gene:gene-LATHSAT_LOCUS5212 transcript:rna-LATHSAT_LOCUS5212 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQYEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNHWREEFLIQASPSDPENFPFVVLGNKVDIDGGNGRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECITKNALKNEPEEEIYMPETIDVGGGGRQQRSTGCEC >CAK8562792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537616186:537622819:-1 gene:gene-LATHSAT_LOCUS16259 transcript:rna-LATHSAT_LOCUS16259-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVAMTMEEDEDAEIEHVYVAHEIDLDYEFDAARFFDFNRPETAVEAHQAELWFQNAPNYPPSPFVAKLAVREEFDLDDVNDSRKSRNVDCMSTVDDKGLGPTVPSENEISDIVNGHTSGNKATGNSLNSNFKPAVPKGSTLMKPTATQLARKNRPAKLVGSRYRKLLTQNEMNLSSSTGVENQSAKRQKLEGGHLCKVTDVKQQTDFVHKTPMRRVTVEQNSACSKLKLTIPREPDLKTAHRAQRIRPKIEEAEHTTVAAPRFKARPLNRRILDAPSLPLPKRSTPRLPEFQEFHLKTLERAMQHTSATSSSLHCNDSEKGWDKHTSVSALEHRIKDAIRPTAMGAPKHDGLGFTHIFKAQPLHKKILSSKEHAGVVQTNKEETTVPMEFDLHTEKGIQHNPPIELFSKLSLTSEGQPNNGPHFQLPQQSRMCRKGKDSKENILNSFRPNQEEKAFTFGAKKIHHGNGGCHSLLSARRSLGIR >CAK8562793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537616186:537622819:-1 gene:gene-LATHSAT_LOCUS16259 transcript:rna-LATHSAT_LOCUS16259 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVAMTMEEDEDAEIEHVYVAHEIDLDYEFDAARFFDFNRPETAVEAHQAELWFQNAPNYPPSPFVAKLAVREEFDLDDVNDSRKSRNVDCMSTVDDKGLGPTVPSENEISDIVNGHTSGNKATGNSLNSNFKPAVPKGSTLMKPTATQLARKNRPAKLVGSRYRKLLTQNEMNLSSSTGVENQSAKRQKLEGGHLCKVTDVKQQTDFVHKTPMRRVTVEQNSACSKLKLTIPREPDLKTAHRAQRIRPKIEEAEHTTVAAPRFKARPLNRRILDAPSLPLPKRSTPRLPEFQEFHLKTLERAMQHTSATSSSLHCNDSEKGWDKHTSVSALEHRIKDAIRPTAMGAPKHDGLGFTHIFKAQPLHKKILSSKEHAGVVQTNKEETTVPMEFDLHTEKGIQHNPPIELFSKLSLTSEGQPNNGPHFQLPQQSRMCRKEKAFTFGAKKIHHGNGGCHSLLSARRSLGIR >CAK8579640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714165408:714169774:1 gene:gene-LATHSAT_LOCUS31570 transcript:rna-LATHSAT_LOCUS31570 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSGDVGMEATVVVTPTPLTVSGSFKEGRNSSRRRQHSMRPSLDADEFMNLLHGSDPVKLELNRLENDVRDKDRELSEAQAEIKALKHSERLREKAVEELTEELSKVDGKLKLTENLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMLRLKVAEVEKLTQTVRELEEAVLAGGAAANVVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLREKLATADRTAKYEAQLKEKYQLRLKVLQESLRETSNSINRGTSEGRCVSNGPSTSNGPSRRLSLGGADNISKLNSNGFLTKRTSSFQIRSSVSSSTILKNAKGASKSFDGGSRSLERSKILLNGKPPSSSFNQSSERTKDKEENNNWKGSLDDKPNDFPLVDPEDSVPGVLYDFLQKEVLVLRKAGNEKDQILKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAVMEKEVAAMRVDKEQETKAKRFSNVKSSMNNAQQQLVSGRNMTRGGLTRSTQ >CAK8539022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501926556:501931500:1 gene:gene-LATHSAT_LOCUS8161 transcript:rna-LATHSAT_LOCUS8161 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDQWLQSQFTQVELRTLKSKYVSQRTLSGRVTVGDLPHVFNKLKAFSELFTEDEIKDVLAESYQNMDDEIDFESFLRAHLNLQARAIAKDGGSKSSSSFLKAATTTVHHAINESEKASYVAHINSYLAEDKFMKQFLPIDPAANALFDLAKDGVLLCKLINIAVPGTIDERAINTKRDLNPWERNENHTLGLNSAKAIGCTVVNIGTQDMVEGRPYLILGLISQIIKIQLLADLNLKKTPQLLELVEDDKDVEELISLPPDKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLSALAPEVAGPGALTTTDPTERAKMVLEQAERLDCKRYLTPKDIVEGSPNLNLAFVAQIFQHRNGLTVDSTKMSFAEMMTDDAQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKQATKPPIKMPFRKVENCNQVIKIGKDLNFSLVNVAGNDIVQGNKKLLLAFMWQLMRFTMLQLLKNLRSHSQGKEITDADILNWANNKVKKAGRTSQMESFKDKNLSNGIFFLELLSAVEPRVVNWSLVTKGETDDDKKLNSTYIISVARKLGCSIFLLPEDIIEVNQKMILTLSASIMYWSLQHSEENSSPESSPVASVDGEQETDLVNEVSDLAIDDNASEKPSSP >CAK8537403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:334303730:334304053:1 gene:gene-LATHSAT_LOCUS6696 transcript:rna-LATHSAT_LOCUS6696 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEKHATHTRVVMADPPDCCSKPKNKLTSEIILVH >CAK8531635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133133847:133134399:-1 gene:gene-LATHSAT_LOCUS1411 transcript:rna-LATHSAT_LOCUS1411 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNFEDEATSVVAPAILYKALVTDADNLTPKVIDAIKSIEIVEGNGGAGTIKKLTFVEDGETKHVLHKVELVDAANLAYNYSIVGGVGFPDTVEKISFEAKLTAGPNGGSIAKLGVKYYTKGDAAPSEEQLNKDKAKGDGLFKALEGYCLAHPDYN >CAK8571084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:286814183:286814853:1 gene:gene-LATHSAT_LOCUS23777 transcript:rna-LATHSAT_LOCUS23777 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEHHVAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSVHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWVVDHLGVLQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASR >CAK8560308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13850314:13857960:1 gene:gene-LATHSAT_LOCUS13992 transcript:rna-LATHSAT_LOCUS13992 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETCCSTQLIDGDGLFNASGIDKFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNNLFNTNFREMDAFKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHIETPLSEFFNVEVVALSSYEEKEEQFKDQVAHLRQRFHQSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYATFVANEEWCQIEETVQSNPIPGFGKKINSLLHAGLSEYDAEATYFDEGVRTAKQKQLQDKLLQLVQPAYQSALGHIRSGTLEQFKDKLEKALKGGERFSAAANSCIGSCVAQFDEACADVVIEVADWDTSKVREKLLRDIDAHVASVREAKISELVSSYEEKLKLALSGPVEALLDGANSDTWPSVRSLLKREMASAVLGFSAALNAFDMDEETRQNMILSLENFAKGVVEGKAKEEAGRVLIRMKDRFTMLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAVLRLDDGDKDNIEKTLAVALLDSSSGVKDRSITAADPLATSSWERIPPGKTLITPVQCKSLWRQFKMETEYSVSQAISAQEANKRNNNWLPPPWAILALVILGFNEFMTLLKNPLYLGVIFVLFLLLKALWVQLNIAGEFSHGILPGLISLSTKFVPTIMNLMKRLAEEGSDSAANPERNTSQNNSNAVPVSSSSSSNITSLDNRNRYTSSSKDE >CAK8569311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693319071:693320014:-1 gene:gene-LATHSAT_LOCUS22175 transcript:rna-LATHSAT_LOCUS22175 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGHQPHHHSGKDYVDPPPAPLLDFAEIKLWSFYRALIAEFIATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIISQCLGAICGVGLVKAFMKHPYNSLGGGANSVASGYTKGSALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNNGKVWDDQWIFWVGPFVGAAAAAAYHQYILRAAAIKALGSFRSNPTN >CAK8575960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374779102:374779293:-1 gene:gene-LATHSAT_LOCUS28183 transcript:rna-LATHSAT_LOCUS28183 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLLFYFILHSGFEAEALSYDYSASVECLAHPENPLHNGGIIQNPKLNDGLQGWTTFGEAN >CAK8531076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78617926:78621159:-1 gene:gene-LATHSAT_LOCUS891 transcript:rna-LATHSAT_LOCUS891 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLEIISPYHLYLNPRLVVKQYQFWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPYIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPKMTGRRPLKTPSLIKALFADDPVVVARPANVRFAAPQADELHQD >CAK8536905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:36966027:36978437:-1 gene:gene-LATHSAT_LOCUS6230 transcript:rna-LATHSAT_LOCUS6230 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSREEGFMTSSSQLKRPMLSSRGEASGQPQMINGGGQKLTTNDALSYLKAVKDIFQDKRDKYEDFLEVMKDFKAQRIDTAGVIARVKDLFRGHRDLILGFNTFLPKGYEITLPIEDDQPHSKKPVEFEEAMSFVNKIKTRFHGDDHVYKSFLDILNMYRKENKSIDAVYQEVAALFQDHPDLLDGFIHFLPDVSAAASAHTVARNSVFRDRISAMPTVRQVHVEKRERTIVSHGDRDTSVDRPDPDHDGNLLRAEKEHKRRVEKEKDRREDRDKRKRERNDRDEQGRGRDRERLSQKQKIDHMAEDSGAEPLLDADQNFGVYSQELAFCDKVKERLRNPDSYQEFLKCLHIYSREIITRQELQSLVGDLLGKYPDLMEGFNEFLLQAEKNDGGFLAGVMNKKSLWIEGHGLKPMKAGQRDRDHDKDRYRDDSMKERDREFRERDKSSMISNKDVSGSKMCLYPSKDKYLSKPINELDLSNCERCTPSYRLLPKNYPIPIASHRTEIGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVQELLEKINKNLLKGDSPIRIEEHLTALNFRCIERIYGDHGLDVLEVLKKNASLALPVILTRLKQKQEEWARCRADFSKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKHKEDDVLLAIAAGNRRPILPNLEFEYLDRDIHEDLYQLIKYSCGEICTTEQLDKVMKVWTTFLEPMLCVPCRPQGAEDTEDAVAKNSPGRNVAEGDGSPGVGATIMSPKHINTSRNGDDCVPLDQSTSSKAWQSNGDTGVREDKCLDSDRTVREGEAFCSNLQNGKPDIIVSVPNELSGVNKQDLSGERLLNVSPASGMELNNKRIKIDNASGLAATPSRNDNVFVAGGHELPSSQGGDSARAVTYINGAIAGGTDVCRYQEESNRQFKSEREEGELSPNGDFEEDNSAVYGDAGLDAVHKGKDGGVHRQYQNRTEEEVCGEARRENYADADDEGEESPQRSSEDSENASENVDVSGSESAEGEECSREEQEDGENDSKAESEGEAEVVADVHDVEGDGISLPFSERFLLNVKPLTKHVPSVLHVKDRNSQVFYGNDSFYVLIRLHQTLYERIQSAKVNSSSAERKWRATNDASSTNQYDRFMNALYSLLDGSSDNTKFEDDCRAIIGTQSYLLFTLDKLIYKLVKQLQAVASDEMDNKLLQLYAYEKSRKHGKFFDIVYHENARFLLHDENIYRIEFSPKPKTLSIQLMDCGNVKHEVTAVSVDPNFSAYLHNKFLSDVPDQKTSGIFMKRNKRGYAGNDELSSQVMEGLQIINGLECKIASNSSKVSYVLDTEDFMFRMRSKRKALRLKGSCHEQEKSSNITSRRVARFRNLFSFT >CAK8539845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528791804:528793294:1 gene:gene-LATHSAT_LOCUS8905 transcript:rna-LATHSAT_LOCUS8905 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTKTHAALIASPGLGHLIPTVELGKRLTTHHGFHVTIFVVTTATNSSKTTKSPILQQTSNLNGLDIIVTPPVDVSNKLDSKNPPLVLTIGLTMIESLPFIRSKILSMKFPPSVLIVDLFGTIALPMARDLHMSTYVFFTTNAWFNAVTMYFPFITNEAFSRHANNHEPLLIPGCEPVRFDDTLEIFVSPCRPLHEGYVNAARDILSVDGILMNTWKDLEPGTTHAVIDNDILGQFAKGPVYPVGPIVRTVEPVEKKEGQSENFIFSWLDRQPAESVIYLSFGSGGTMSEVQMRELAHGLELSQQRFVWVVRHPAQGDPSAAFFDTTKGGDEMMVEDYLPEGFVSRMKDVGICVPFWASQAGILKHPATGGFVTHCGWNSVLESILNGVPMVAWPLYAEQKMNATMLSEELGVAVRATAAEGEVIFREQIADVIRRVMVDEEGIAMRIKVKEYKVSGEKALSMFGSSHKSLCQMAKDCKFHDLNQSSDEVKARGA >CAK8576871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521396030:521397874:1 gene:gene-LATHSAT_LOCUS29033 transcript:rna-LATHSAT_LOCUS29033-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSHPDCIKVVEDAWKTNFVGCPMFVLAAKLKFLKEKLKVWNKECFGNVQDNVKMAELALENIQHHIDTDGHTDINQRKMATVAWKVCCQDRKDGGLGIRSLRVLNKANHLVQCWCIMNEIDTWASVVKARVLRKGSVRKSHVFSSVWTGCAEFLEDLLQNSMWMIGKGNKINLWCDNWCGSPLSSSLHLSEAQMVLLKADLSSILSGNSFNLPPGLISFCPELPRMVENIYVNCLKEDWLAWSRSDSGDITVKDAYSYCKHSGNACVWGNSIWHSNIPPSHSILFWRVINNRVPTDDVLRHCGMAGPSICNLCLHTEENINHLFFQCDYSRRIWNWLRDMLNVNVSFSDMQDCFIAMHKTGVAQCMVVYRASIIAVVNNIWRARNQAQFQNRFIPWRTTCSSIYATSQLAGNSFKGASSMDIGDFQVLKKFSISINPVNPKMCVEVIWNPPPNGWIKVNIDGASGGDPINAACGGIFRDHFGNHIESFACNLGPVNSLFAELMGAILAIEHALVRGWSNIWLESDSTLVVMAFSKPSVVPWKIRNRWDNCTATLGNCNFLASHIFREGNHCADKLANIGLNISNFTWWNDVHRDIESDFCRNRLGLSNFRIR >CAK8576870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521396024:521397874:1 gene:gene-LATHSAT_LOCUS29033 transcript:rna-LATHSAT_LOCUS29033 gene_biotype:protein_coding transcript_biotype:protein_coding MQMWTSHPDCIKVVEDAWKTNFVGCPMFVLAAKLKFLKEKLKVWNKECFGNVQDNVKMAELALENIQHHIDTDGHTDINQRKMATVAWKVCCQDRKDGGLGIRSLRVLNKANHLVQCWCIMNEIDTWASVVKARVLRKGSVRKSHVFSSVWTGCAEFLEDLLQNSMWMIGKGNKINLWCDNWCGSPLSSSLHLSEAQMVLLKADLSSILSGNSFNLPPGLISFCPELPRMVENIYVNCLKEDWLAWSRSDSGDITVKDAYSYCKHSGNACVWGNSIWHSNIPPSHSILFWRVINNRVPTDDVLRHCGMAGPSICNLCLHTEENINHLFFQCDYSRRIWNWLRDMLNVNVSFSDMQDCFIAMHKTGVAQCMVVYRASIIAVVNNIWRARNQAQFQNRFIPWRTTCSSIYATSQLAGNSFKGASSMDIGDFQVLKKFSISINPVNPKMCVEVIWNPPPNGWIKVNIDGASGGDPINAACGGIFRDHFGNHIESFACNLGPVNSLFAELMGAILAIEHALVRGWSNIWLESDSTLVVMAFSKPSVVPWKIRNRWDNCTATLGNCNFLASHIFREGNHCADKLANIGLNISNFTWWNDVHRDIESDFCRNRLGLSNFRIR >CAK8532844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:530404966:530410058:-1 gene:gene-LATHSAT_LOCUS2508 transcript:rna-LATHSAT_LOCUS2508 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLLGFMAILEKHKPYVSMIFIQFIYAGMALLSKAAISKGMSPYVFVVYRQIFASLALSPFAYFDSKHGGAPLSCNLLCKLFLVSLVGLTASSNLYYVAINYTSATFAAACTNTIPSITFIMAILIGVETISIKHKHGVAKILGSVLSLSGAIVFALVKGPPLDFIKWHNSENQNHNSHEFSKIHSKGENIKGSLMMLSANTCWSLWLILQGFIVKQYPAKFRLTMIQCLFSFIQSGIVAIVMERNPSAWKIGWDIHLLSVAYCGVIVTGICYWLQVCTIETKGPVFTSMFTPLALVLTAIFSAIWWKETLFWGSIGGTVLLVIGLYSVLWGKNKECVKQVVQEKAETRLECVIQSDGFDKV >CAK8539923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531202947:531203330:1 gene:gene-LATHSAT_LOCUS8975 transcript:rna-LATHSAT_LOCUS8975 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNSTRRGHEKYEKLDKESGENNSGSSNEEYLKRSASVPSGPSNTKAKMAMASNFVDINLQRNPTKKASSDHKEKSSAHPLLNFFDFRRKKKKATSKPEFARYVEYMKEGGMWDSESNKPVIYYK >CAK8578234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613868697:613869047:-1 gene:gene-LATHSAT_LOCUS30264 transcript:rna-LATHSAT_LOCUS30264 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGGLFINSKRFSSLHKPCMKEMIMFMNCMATSHSDVEACAKQKEILNTCIESQSKKNRKSWGSINYQLQRLSRGRK >CAK8532448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264261477:264261635:1 gene:gene-LATHSAT_LOCUS2152 transcript:rna-LATHSAT_LOCUS2152 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSQGHSYFIVRGEIIGFMENEQLRKHLPRMFSLIKNESWGLEDDQIPS >CAK8567866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551656631:551659625:1 gene:gene-LATHSAT_LOCUS20883 transcript:rna-LATHSAT_LOCUS20883 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRWKNFVSMKNSIIPSLEHFSAFHSTPCSWHKWKSNPDIRGQQPSKNQIKFVTRQKRADAKKALKNLLYNSGSSRFAFEDKETKQKLDGNSNDRSNSHSRKGQPKSGQRFGGKPQKKTKQKIRRESFCEDVDDHGHPEQIFQATFGNRCYTWSYSNMRGSSSEHSTHGFEWGENTNRTNTNKWKSASDDEYDGNDKDEADSCFVGSSSDRTILGLPPTGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCVNAYKTLCNALSPA >CAK8538545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486767146:486771763:-1 gene:gene-LATHSAT_LOCUS7732 transcript:rna-LATHSAT_LOCUS7732 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVIPIGEGSGNRGMENGGEAEIGEVNNAGNSGSLAEGMVFEPYLGMEFDSEDSAKTFYSEYARRLGFSSRAGLHGQFNADGTNMFREFVCSREGLKRKMTESCEAMIRIEQKGQNKWAVTKFVKEHSHSMAVPDKVEKLRPLKHFSSVGRTMPETYKGVGLVPTGVMYISIDGNHVSSKNSHKSRNYPAAASAKTSHPAKNSPLKTYTIRQPPIQKKTLGRDAQSLLEYFKKVQAENPGFFYAIQLDDDNCVSNVFWADSRSRTAYSHFGDAVTLDTTHRANQYSVPFAPFTGINHHGQMILFGCALIFDDSEASFGWLFKTFLSAMNDRHPLSITTDQDRSIQTAVSQVFCQARHCINKRYVLREGHEKLGHLCRMHPHFHYELYNCINLTETVEEFEFSWNSIMDKYGLRGHDWLQSLYSARDQWVPAYFRDSFFAVLSPNQGFDGSFFDGFVNQHTTLPMFFRQYELALERWFEKEIESDLETISATPVLKTPSPMENQVAKLYTRKIFLKFQEELVETFAYTANRIEEDGANSIFKVAKFEDVQKSYTVTLNHSELRANCSCQMFEYSGILCRHVLTVFTVSNVFTIPSHYILKRWTRDAKSSVVLDECGGESHAHKSLTSRYTNLCREAIQYAEEGAVAMGTYDAAIGALREGGKKIAVMKRNIAKASPTSQRISENACADKKALISTSDTRTTPILWPLDGETTRFNLNDAGSPVQPVADLNLPQITPNPHKRVDVPTSVHRQRVDGPSPGPRQRVDGPRPVPRRRVDGPSPMPSRRDDHPPCVLLQQDDAPPENTMVLPCLKSMTWVMENKTSTPENKVAVINLKLQDYSKGPSAEYEVNFHLSRSTVGPVLKSMAEVSEQLSATANKVAVVNLKLEDAQASGESEVKFQVSRDALGAVLRSMSYIREQLSCAGSEPISKKHRN >CAK8538546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486768636:486771763:-1 gene:gene-LATHSAT_LOCUS7732 transcript:rna-LATHSAT_LOCUS7732-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVIPIGEGSGNRGMENGGEAEIGEVNNAGNSGSLAEGMVFEPYLGMEFDSEDSAKTFYSEYARRLGFSSRAGLHGQFNADGTNMFREFVCSREGLKRKMTESCEAMIRIEQKGQNKWAVTKFVKEHSHSMAVPDKVEKLRPLKHFSSVGRTMPETYKGVGLVPTGVMYISIDGNHVSSKNSHKSRNYPAAASAKTSHPAKNSPLKTYTIRQPPIQKKTLGRDAQSLLEYFKKVQAENPGFFYAIQLDDDNCVSNVFWADSRSRTAYSHFGDAVTLDTTHRANQYSVPFAPFTGINHHGQMILFGCALIFDDSEASFGWLFKTFLSAMNDRHPLSITTDQDRSIQTAVSQVFCQARHCINKRYVLREGHEKLGHLCRMHPHFHYELYNCINLTETVEEFEFSWNSIMDKYGLRGHDWLQSLYSARDQWVPAYFRDSFFAVLSPNQGFDGSFFDGFVNQHTTLPMFFRQYELALERWFEKEIESDLETISATPVLKTPSPMENQVAKLYTRKIFLKFQEELVETFAYTANRIEEDGANSIFKVAKFEDVQKSYTVTLNHSELRANCSCQMFEYSGILCRHVLTVFTVSNVFTIPSHYILKRWTRDAKSSVVLDECGGESHAHKSLTSRYTNLCREAIQYAEEGAVAMGTYDAAIGALREGGKKIAVMKRNIAKASPTSQRISENACADKKALISTSDTRTTPILWPLDGETTRFNLNDAGSPVQPVADLNLPQITPNPHKRVDVPTSVHRQRVDGPSPGPRQRVDGPRPVPRRRVDGPSPMPSRRDDHPPCVLLQQDDAPPENTMVLPCLKSMTWVMENKTSTPENKVAVINLKLQDYSKGPSAEYEVNFHLSRSTVGPVLKSMAEVSEQLSATANKVAVVNLKVCYFVFKTCRSLICPVSMLCCFIILLLE >CAK8539556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516162464:516166351:1 gene:gene-LATHSAT_LOCUS8639 transcript:rna-LATHSAT_LOCUS8639 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFWECLLKLFNFVLSLTGLAMVAYGIYLVVQFSKASDDDTPAISPVSDDSALIQLGRPMLMAVSLSNNIFDKLPKAWFIYLFIGVGVVLFVVSCFGCIGAMTGNGCCLICYSILVALLILVELGCAAFLFFDKSWKEVIPTDKSGDFDMIYGFLRENWSIVRWVALGIVIFEALLFLLALIVRAVNKPADYDSDDELINPRQQARQPLLNRQAGPAAGVPVTGAIDQRPNRNDAWSSRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEEKSRCAIM >CAK8541243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:95549199:95549872:-1 gene:gene-LATHSAT_LOCUS10175 transcript:rna-LATHSAT_LOCUS10175 gene_biotype:protein_coding transcript_biotype:protein_coding MINKADSGCNNSVITSIIPIQHCQILTSLQSQSFDGHLSLKNNEDAAKDFGNIHHFPPLAVLHPKTVSNISRAIKHVFEKGSDSEMKVAARGHGHSLQGQAQAHQGLVIKMESLKGPEMKIQTGEFPYVDVSGGELWINILHETLQYRLAPKSWIDYLHLTVGGTLSNAGISGQDFKHGPQINNIFQLEVVTRK >CAK8575971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:377332497:377333027:-1 gene:gene-LATHSAT_LOCUS28193 transcript:rna-LATHSAT_LOCUS28193 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTCLSLFLTISLIFNSHAITPNSNSNLCKNVCKDAGKDNERCLKLLSPNPKITSAKDYLTLCKLFLEMAIEKATKGQDYLKALMKEFPSSRAIQNCATSDYDGLVTSFRSSLSELVVDPISANYDARVAGDGPQACDRELANEKIVNPSVSRMNNEMKFLSDVAYLATNNLRK >CAK8571948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495892664:495894394:1 gene:gene-LATHSAT_LOCUS24563 transcript:rna-LATHSAT_LOCUS24563 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESNSKKDNSSEPVSYENEQDTNDHDVSEDHHLQNQQFGKIEAQWNCLSKLPYSNYVEYLSESSTYLPTAEYNLVGNNYQTLGGTNSFNDQGRAFGFKPIGSSSNDYGSRKHVGFWRNNGEEEEAIKAKTETTQNLLNAEGDATWPSDSVGDKHNASRFDPMGIVGDVSPFLLPNPKSGSSSTKHKSEKARCTDRQRRQRIADNLKALHELLPNPEGGSQAQAYILDDIIDYVKYLQNQLKELSGSKLQSDSNAIPLVFHEGYGHYIKDQMLNEPLEEIMGKLVEEHSAATSQLLESKGLILLPIALVEELNQDS >CAK8567070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484634661:484635071:-1 gene:gene-LATHSAT_LOCUS20157 transcript:rna-LATHSAT_LOCUS20157 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8562538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:507122441:507123013:1 gene:gene-LATHSAT_LOCUS16028 transcript:rna-LATHSAT_LOCUS16028 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSSSYFSSNKSSYMSQNSKKVNGSMKYDQYPFQSKYSWLHSVRKSPLKKSKKAPIAPMPPTPVKIYKVDPINFKELVQSLTCAPEFTTPQPDHHNLQSTDHNISRDTVPSLPIHFFSNSRVETVEVSPPLVPVSTPNNWYHYFQAEYLEKKCKDDRVMTPGLMEINLLSPTSFGNWCFVPPIMSPTV >CAK8563169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580014795:580016735:1 gene:gene-LATHSAT_LOCUS16603 transcript:rna-LATHSAT_LOCUS16603 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEERLVSLLSKCSTTLKTSKQIHAHLYVTGLHTHPFFFGKLLLQCAISISDHALQYALRLFHHFPNPDTFMYNTLIRSLSHSSTPLTSIQPFILLLRHPTLFPDSFSFAFALKGVANYGYLKRPGTQLHSQAFRHGFDDHIFVGTTLISMYAECGCYQSARKVFEEMSQPNVVAWNAVVTACFRCGDMEGAWGVFQRMPIRNLTSWNVMLAGYVKGGELGFARRVFSEMPTRDDVSWSTMIVGFAQRGSFYDSFGVFRELLREGIIWPSEVSLTGVLSACAQAGAFEFGKILHGFMEKAGALCIVSVNNALIDTYSKCGNVDMAKMVFHNMSVAKCIVSWTSMIAALAMHGRGDEAIQLFHEMEKSGVTPDGVTFISLLYACSHSGLVDQGCALFSKMKDLYGIEPAIEHYGCMVDLYGRAARLQKAYEFISQMPISPNAIIWRTLLGACSIHGNIELAELVKARLAEMDPNNSSDHVLLSNVYAVAGKWKDVAGIRRTMIEKSMKKTPGWSMIEIDKVIYGFVAGEKPNEVTEEAHDKLREIMLKLRTEEGYAPQVRSVLHDIEEEEKEDSVSKHSEKLAAAFGIAKLPKGRVLRIVKNLRVCGDCHTVMKLISKVYQVEIIVRDRSRFHSFKDGFCSCRDYW >CAK8543439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607963204:607965771:-1 gene:gene-LATHSAT_LOCUS12196 transcript:rna-LATHSAT_LOCUS12196 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLPTFSFSVIPHKFETKCSFFPTSFTCIQHQNQLVSKNLQDLIIPFSQNLTSKLCATSSRLSIEETEQKLIPFTQSEDIKTALPYLFRTEISEGLVKVYVKKKKDTYFVYIEVSYLKLSNIEGETFVLCWGVYSGDSSSVDSGKGMNMSPLVKNSLGKFSVELEFDVEQVPLYLSFLLRLSGLEIRTHLKRNFCVPVGFLRGRPSPLGISFSPDGSINFTVFSRHAERVVLCLYDNNDDTGVKKPALELDLDPYVNRSGDIWHISLENAKSFVSYGYRFRGANSDNSYAECVVLDPYARIVGNSFPNGIGSAKNLGFLRKEPAFDWGHDYHPNLDMEKLVVYRLNVKRFTEHESSQLSSDLAGTFSGLAKKLQHFKDLGVNAVLLEPVFTFDEEKGPYFPCHFFSPMNLYGPSGDPVSTINSMKEMVKTLHANGIEVIMEVVFSNTAEVGALQGIDDLSYYYANGVGGLKVHSALNCNYPIVQNLILDSLRHWVSEFHIDGFSFVNASHLLRGYHGEYLSRPPLVEAIAFDPVLWKTKIIADCWDPNDMEAKDIRFPHWMRWAEINTNFRSDVRNFLRGESLLSNLATRLCGSGDMYSDGRGPAFSFNYIAGNFGLSLVDLVSFSNTGLEAELSWNCGEEGPTNSTVVLERRLKQIRNFLFILFVSLGVPILNMGDECGHSSGGSLAYGNTKPFNWAALKTGFGNQTIQFISFLTSLRTRRSDLLQSKSFLKEENIEWRGVDEAPPRWEDPSCKFLAMTLKAERNEHQESSVSSDILGDLFVAFNADDRPETVVLPLPPEGMSWYRIVDTALPFPGFFLNNSDLVLEQMSGLSTYEMKSYSCILFEANISPS >CAK8542875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554789742:554790305:-1 gene:gene-LATHSAT_LOCUS11676 transcript:rna-LATHSAT_LOCUS11676 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWIHRTATRLDFEVVIGRSDNDSERRNAFVTLLCERRGKYHTPLRKFKRDDTDSRKYECPFKIRGYMLSTKKWEFSVIFCLHNHELCLKLQGHPTVCQLKPEEKTCISDMILNLVQSKNILATLKRKEPDNMSNIRQVYNIRYCTNKEIRGDISEMQQLLKLLNDNSYVSRYRTYDDGVRVRDVF >CAK8542029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457212231:457213895:1 gene:gene-LATHSAT_LOCUS10903 transcript:rna-LATHSAT_LOCUS10903 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKGYTRSNGPPRCMVQLDLQKAYDMVDWGALENILNEVGLPTRFVDWIMTTVTTVSYRFNINGKYTDKIEARRGIRQGDPLSPLLFVIIMEYLSRLLGDYGSMDILHLIVNKFLESTGLKINPSKSRVYFSNVSANVKCDILQLTSYQEGTFPFRYLGIQVTSKRLAIIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLCAITTYWMQCIWFPKTVINRINAICRSFLWTGGTTISRKSPVAWDNVCKPHVKGGLNVMNLEIWNRMFMIKLLWNIFAKSDDLWVRWIHAYYLRHEGILTRTAKASDSGIFKTILLQRDNLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLILHNRARPREIITLWMICHDKLPTKARLLRLGMLQNNKCGFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQWALINYGGKGWKFDLFRLALAETLHELWMYRNDSCFNQRTDNRNCLDSIINNIIYRGWTSPKLRPQIARLLLP >CAK8535446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849525407:849525616:-1 gene:gene-LATHSAT_LOCUS4910 transcript:rna-LATHSAT_LOCUS4910 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSRRVKCVDLHPTEPWILASLYSGNVCIWNYQSQTMAKSFEVTELPVRSAKFVARK >CAK8572043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504264565:504265029:-1 gene:gene-LATHSAT_LOCUS24651 transcript:rna-LATHSAT_LOCUS24651 gene_biotype:protein_coding transcript_biotype:protein_coding MIESGFIKLDDKQSESKCALVYGQMNEPPGSHARVGLTGLTVAEHFRDAEGQDVRLFIDNIFRFTQANSEVFALVGRIPSVVGYQPTLLFCPVL >CAK8568187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580494010:580494420:1 gene:gene-LATHSAT_LOCUS21174 transcript:rna-LATHSAT_LOCUS21174 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKYSVGKVYKFLNKDDPDVGWKHMLSNTIARPRVLFTMWVTCHRRLATRGRLKRLGLTTDDRCNFCDKEGTIDHLLFDCPPFKTCWQQILVCLGFQRFPCEWHEELEWLIMHCKGKGWRKCILRSAVAETIHEV >CAK8561682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:354698390:354700573:-1 gene:gene-LATHSAT_LOCUS15250 transcript:rna-LATHSAT_LOCUS15250 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQTVIRRVTSVTNHLISTPTPPQPQLISSCHTSSSTNNHSYQRVHGNVPSNDVVWKVASDHEGKAFTDIVYEKSVGEGIAKISINRPERRNAFRPHTVKELIRAFNDARDDPSVGVIILTGKGTDAFCSGGDQALRTEDGYSDYENIGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAVFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYSAVEAEKMGLINTVVPLENLEKETIKWCREILRNSPTAIRVLKASINAVDDGHAGLQEMGGNATLIFYGTEEANEGKTAYMERRRPDFSKFNRRP >CAK8562958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561902728:561903696:1 gene:gene-LATHSAT_LOCUS16418 transcript:rna-LATHSAT_LOCUS16418 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENWMKSVHDQKQPLFSFGLISDVQYSDIPDGRSFLGVPRYYRHSILVLQRAVQSWNNHHRHKFVINCGDIVDGFCPKDQSFNTVKKIADEFEKFEGRVHHLIGNHCLYNLPRNKLLPLLKIRSLEGHGYYDFSPVPEYRFVFLDGYDISAVGWPRDHPRTLEAVKLLREKNPNEDKNSPTGLVGLERRFLMFNGGVGKEQMEWLNNVLQDATKSKQKVVVCCHMPLDPVASSKEALLWNYDEVMSLIHRYSCVKVCFSGHDHKGGYSIDSHGIHHRILEAALECPPGRDAFGYVEAYHDRISLYGTDRMPSTNMYFNNA >CAK8535023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804460712:804461104:1 gene:gene-LATHSAT_LOCUS4508 transcript:rna-LATHSAT_LOCUS4508 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSESNASTSSYHNYGTSGSLIHKNKMIECFCQDESVLRTANDVNSVNKGRKFWGCRNYRNHIEKGCNFFKWLGDEFLDERDLKLERQKKKINRLKNEVMYTRGWLKMSIVVGIVSLGLNLVFVTMYLN >CAK8563026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567230250:567232803:1 gene:gene-LATHSAT_LOCUS16476 transcript:rna-LATHSAT_LOCUS16476 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDNSSESTVKNGSQRLPGMVNWGTATVIAMFAGMLYGGSREASSSVSKDAEVMLKLGSTEDKRAQYRLMRDAMEKRFIRVTRGALVGGVRLGMFTAAFYNIQNLLAEKRGVHDVFNVVGAGSATASAFGLIMPGSFRWRARNMVLGSVLGAAFCFPLGWVHLKMVEIANEENSEAHSDQREVKSGVSAAIERLEGNLRK >CAK8534232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:708534162:708535340:-1 gene:gene-LATHSAT_LOCUS3792 transcript:rna-LATHSAT_LOCUS3792 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKSSCEKVRNHIPDDLIFTIISKSPLKSLGRYRCVRKSWSLLIQSPYFMNMYRINFASNNYYSYVDHSCIIHELIEPYKLHGALFLYHGKQFENKVKLDWPPPIQEDARDFKFMGSVVDDTLCFSTWWKIPKIVFWNINTKEFKTLPPSFLESLQPSYQKVVCTIHGFGYDRVTNDHKLIRHVAHCLHVTDYEGFIPPHDSNWEVYSLRSDYWKKLDIDMPNGYSNTDLQVHTNGVCHWWDEPEYCLVSFDLRNDVFFRTPLPSCVDDNFDLLLVDKYFYALNGSVAFISTWETSSANKLTTFHISILAELGRKESWTKLFIVGPLPCIYRPIGAGNKGDIFFFSKDGELLQFDLITQIIEKIDIQYQLGNKILLYKEVFLPVGALINV >CAK8572416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535241290:535242832:1 gene:gene-LATHSAT_LOCUS24987 transcript:rna-LATHSAT_LOCUS24987 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYVVALQVVLFCICLVLADSVDFSYPAVFNFGDSNSDTGELTAAKGFQLLPPNGRNYFKTRTGRFCDGRLIVDFLMDAMHLPFLNAYLDSVGLPNFHGGCNFAAAGSTILPANAASISPFGFGSQVSQFQLFKARVLEFLAGKKFHKYVPAEDYFQKGLYMFDIGQNDIGGAFYSKDLDQILSLIPTILLEFENGIKRLYDSGARNFWIHNTGPVGCLAQYIATFGNDPSELDEQGCLRAHNQAAKAFNLHLQDFCAKLQGQYLDVNVTYVDIFTIKYDLIVNSSKHGFEQPIMACCGYGGPPLNCDSRVSCGLTKILNGTTVTAKGCNDSSVYVNWDGTHYTESANQYVASQILTGNYSNTHFS >CAK8567948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558719477:558739569:-1 gene:gene-LATHSAT_LOCUS20955 transcript:rna-LATHSAT_LOCUS20955 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSVTVLNVAEKPSVAKAVAQILSRNPGIRGRDGRSRYNKIFEFDYTIRDQPCKMLFTSVIGHLMELEFDARYRKWHSCDPADLFQAPVHKSVPEDKKDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVVDVCTAVNPHLTIKRARFSALIASEICQAVQNLVEPNKWFADAVDARQEIDLRIGASFTRFQTMLMKDAFNIDTVTDGRNTVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWQINCSHRSDEGTANFSWGRGHLFDYTCAVIIYEMCIEEPTATVTNVRQQEKLKYPPHPLSTIELEKRASRYFRMSSEHTMKVAEELYQGGFISYPRTETDNFSSRTDLHAIVQEQQGHPEWGIYAQRLLNPETGLWRNPRGGGHDDKAHPPIHPTKFSAGESGWSQNHRNIYELVVRHYLACVSKPAVGAETTVEIDIAGELFSACGRVILEKNYLDVYRFESWGGSMIPTYIIDQQFIPTTLTLDPGITRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLERFYATKDASMRFTPTSLGEALVMGYDDMGYKLWKPYLRAVMERDMKLVSEGNKSKGEVLDTSLQQMKACFLDARLNKVKLLEAMAIFFERSNRVGSEHQNTTEEVVRRCGLCQESDMVLKKNRDGKFMVGCLGYPQCRSVVWLPGSVSEAVVTTNTCNNCTPGPVFLIQFKFRQLEIPPSYNVNHLGCIGGCDEILTQLTEICGTGPRMPARARGPAPATNNAHPTPATSNTHHTNPRQSACLHCQETGHSSNDCPSQTRRSRNAQHPETNQQSGESPVSCSSCGAPGVLRTANTANNRGRKFYTCQSQECSFFVWEDSINNGTGGRGSARSNNVPTSTRGRNGGRGPRGRGRSGSNSSSGTFVTATGDPISGRRCFTCGDPSHFANVCPNRGV >CAK8536185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915009371:915011854:1 gene:gene-LATHSAT_LOCUS5578 transcript:rna-LATHSAT_LOCUS5578 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSLNILKMSLSFPMLMFFLLFISPSFSSPTASSLGNLRAENLIRGLNLFPKDSINTPQNEPHNIVRGNIVEKKFTFPGVSHSGLSVEELGHHAGYYRLPNTKAARMFYFFFESRNTKDDPVVIWLTGGPGCSSEIALFYENGPFQFSKNSSLVWNEYGWDKASNIIFVDQPTGTGFSYTTDDTDIRHDEEGVSNDLYDFLQAFFKEHPQFTKNDFYITGESYAGHYIPAFASRIHQGNKAKEGIHINLKGFAIGNGLTNPEIQYKAYTDFALDNGLINKAEHDRINKLIPPCQKAIEACGTKGDEACLTARDICSGIFNEILDIAGNINYYDIRKQCAGSLCYDFSNVETFLNMDTVRKALGVGDLEFVSCSSKVYSAMMEDWMKNLEVGIPALLEDGIKLLVYAGEEDLICNWLGNSRWVDAMKWSGQKEFKTSSITPYLVDREEAGSLKTHGPLAFLKVKEAGHMVPMDQPKAALQMLQDWMQGKLVRKRGGDNVSPI >CAK8530393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18757022:18757507:-1 gene:gene-LATHSAT_LOCUS261 transcript:rna-LATHSAT_LOCUS261 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSLVCALGLIFIVGSHVAYAQDSPSDYVNAHNAARSQVGVANIVWDNTVAAFAQNYANQRKDCQLIHSGGGGRYGENIAMSTGGMSGTDAVKLWVDEKSYYDYNSNTCASGKVCGHYTQVVWRNSVRLGCAKVRCNNGGTFITCNYDPPGNYVGQKPY >CAK8571294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366005532:366007197:-1 gene:gene-LATHSAT_LOCUS23969 transcript:rna-LATHSAT_LOCUS23969 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAITASSPIYHHKLFNFSFIHSSLPQTLNFPHTTITISSKPLFLNPQLLNHSSLKLHPPSFSFHQFEFTQEENDPKTEPKTLQNPDPNLSPSKRLFVGNLPFSLSSSQLAQLFGEAGNVVSVEIVYDDIADRSRGFAFVTMGSVEEAEEAIRMFEGTNLGGRVIKVNFPEVPKVGKGVQMSSKYRGYVDSPHIIYAGNLGWDMTSHDLRESFAEQQGVLSAKVIYERSNGKSRGYGFVSFETAEDVEAALNAMNGMEVQGRPLRLKLAVDYKKDSSPPVIDQNKESNVDSLEMLFGISK >CAK8561897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406555830:406557434:-1 gene:gene-LATHSAT_LOCUS15445 transcript:rna-LATHSAT_LOCUS15445 gene_biotype:protein_coding transcript_biotype:protein_coding MTSINGKNNSIIPNNYASVFFFLLYFMAKLDMNIANEVVPTLYIFGDSTFDVGTNNDLNSKTKANSPYYGIDFHNSFPTGRYSNGLNIADQIARRFGYKKSPPSFLDLEKLQYSFKHNIMLGLNFASGGSGILRYTGYKKWGEVIFLEKQVQQFALVSRNITKILGPAKATSFVSKALFLISIGSSDIFDYERNESGVFHLGKEENLALLQLNYYTCIRKLYELGARKFGILSVPPIGCYPAVTSINGGKCVKALNDFAVAFYKATQTLLQKLSLEFEEFEYSLGNTYAMFKTMLKDPLAFGLNDTKSACCGIGKLNGEGPCLKTLKQNHCGFGMLNENDLLLKSLNDKLWGIGRFYREEPCIKTMNLSLCVNHDDHLFWDWLHITERASEVIAKMVFEGGAEFVFPKNLSHLVS >CAK8573530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618099701:618101559:1 gene:gene-LATHSAT_LOCUS25966 transcript:rna-LATHSAT_LOCUS25966 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQEQPKKVETVSSSNPLSLPPPKEDVAQEKSVIPQPDHLDHNPPPPPPHPVVDDSKALVVVQTETDEAAEEKPQEGSINRDAVLERVATEKRLSLVKAWEESEKSKAENKAQRKLSTITAWENSKKAATEAELRKLEEQLERKKGEYAEKMKNKIALLHKKAEEKRAVIEAKKGEELLKAEEVAAKYRATGTAPKKLFGLF >CAK8573529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618099701:618101559:1 gene:gene-LATHSAT_LOCUS25966 transcript:rna-LATHSAT_LOCUS25966-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQEQPKKVETVSSSNPLSLPPPKEDVAQEKSVIPQPDHLDHNPPPPPPHPVVDDSKALVVVQKTDEAAEEKPQEGSINRDAVLERVATEKRLSLVKAWEESEKSKAENKAQRKLSTITAWENSKKAATEAELRKLEEQLERKKGEYAEKMKNKIALLHKKAEEKRAVIEAKKGEELLKAEEVAAKYRATGTAPKKLFGLF >CAK8577452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564915567:564915893:-1 gene:gene-LATHSAT_LOCUS29559 transcript:rna-LATHSAT_LOCUS29559 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGHEQFTHLRSYGEEFLKSNPNSTVKIKCDDSDGSPVFERIYECLEACKAAFATTCRPLIGLGACFLKGDFGGQLIGVVGKDGNNKIYPISYTIVEAETKDS >CAK8567601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527817322:527818404:-1 gene:gene-LATHSAT_LOCUS20638 transcript:rna-LATHSAT_LOCUS20638-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPAQPPMHHNQSPSSPLSANSPAVDFSPPLIAMVVVVAAAFVIVTYSRLLTRHLSPPIHRLIQRFHSRRFLPPSSSLGDIESLQYESSTFEAPHTFGLDESIIKTIPFFIYTTKYEQESLRDCAVCLLEFEDHDYVRTLPLCSHTFHLDCIDAWLRSHANCPLCRGVLLCESPFRPLMAARIRPSFHDQTNIIHLDTPVQPVPEITPHSPVMNNADENRFIRREDFLLKRSYSFGFERSLPSERMVIDPAATSPWRYRRGSTSFWSKRPSPFGSLGKSRVFSFRYYRGMKSPFFRRRGFFPLSESSVRYADGGTSWRRSKSIASPMFLRSSAAVFSSSRLRCGDPEALLSPERFNRRR >CAK8567600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527817322:527818410:-1 gene:gene-LATHSAT_LOCUS20638 transcript:rna-LATHSAT_LOCUS20638 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIAPAQPPMHHNQSPSSPLSANSPAVDFSPPLIAMVVVVAAAFVIVTYSRLLTRHLSPPIHRLIQRFHSRRFLPPSSSLGDIESLQYESSTFEAPHTFGLDESIIKTIPFFIYTTKYEQESLRDCAVCLLEFEDHDYVRTLPLCSHTFHLDCIDAWLRSHANCPLCRGVLLCESPFRPLMAARIRPSFHDQTNIIHLDTPVQPVPEITPHSPVMNNADENRFIRREDFLLKRSYSFGFERSLPSERMVIDPAATSPWRYRRGSTSFWSKRPSPFGSLGKSRVFSFRYYRGMKSPFFRRRGFFPLSESSVRYADGGTSWRRSKSIASPMFLRSSAAVFSSSRLRCGDPEALLSPERFNRRR >CAK8542142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478204600:478205671:1 gene:gene-LATHSAT_LOCUS11008 transcript:rna-LATHSAT_LOCUS11008 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKETVKTEDVNLSEEERTEKEQRELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILVDQMGLGKTIQTIGLLSHLKSKGLDGPYMIIVPLSTLSNWMNEISRFAPSLPAVIYHGSRDQRDEIRRKHMPRTIGPKFPIVITSYEIALNDAKKFFWAYQWKYLVVDEGHRLKNSQCKLVKMLKYISVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSLEESESWAYCGEY >CAK8535683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874347426:874349900:1 gene:gene-LATHSAT_LOCUS5115 transcript:rna-LATHSAT_LOCUS5115 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVLSRIKPRHCLKASSSSFPPRIKNLITDVIHILKTHQCRDSLESRFAESEVIVSDVAHFVIDRIHDPELGLKFFDWASTIPFSSPLNGVAYSSLLKLMAKYRVFSQMELVLESMKAQNLKPTFEALDNVICVYAEHGFVNRALQLFNTVRELHNCFPSVYANNSLLNCLVKNGKVDIALEMYDKMLQKGGYDGMDVVVDNYSTSIVVKGLCDLGKVEEGRRLINDRWGKGCVPHVVFYNVIIDGYCKMGNLRGATKVLEELKLKGFLPTVETYGALIAGFCKVGNFQVVDQLLKEMNVMGLNVNVLVFNNIIDAQYKHGLVEEASETLRRMDEIGCEPDITTYNILINSSCSDGRIKEAEEFIERAKERRLLPNKYSYTPIMHAYCKQGDYVMASDILFKIAESETGGKPDLVSYGAFIHGIVTVGEIDVALMVQEKMIQKGVFPDAQIYNVLMSGLCKKGRFPAAKQLLSEMLDQNLQPDAHVYATLVDGFIRNDELDKATTLFEVVMSKGIDPGIVGYNVMIKGLCKFGKMSDAVSYLNKMKVAHHTPDEYTYSTVIDGYVKQHDLDSALKMFGQMMKQKCKPNVVAYTCLINGFCKKADMSRAEKVFIGMESFNLEPNVVTYTILIGGFCKAGKPEKAASFFELMLIRNCVPNDTTFHYLINGLTNITDSTFLIRKNEENGKSLILDFFATMISDGWSEVIAAYNSIIVCLCKHGMVDTAQLLQTKMLRKGFLMNSVCFSAMLHGLCQTGKSKEWKDVISGDINKIEFQTAFEYSLKLDKYLYQGRLSEASFILQTLIEDSKFSDQQDKDQRVTSLQ >CAK8570861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:134768529:134772280:1 gene:gene-LATHSAT_LOCUS23573 transcript:rna-LATHSAT_LOCUS23573 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSTPFLEIRQENQSQITQQHQSSTDVSSTAAQPLVPKKRRNQPGTPYPDAEVIALSPKTLMATNRFVCEVCQKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHENARHPSNMNPLATHLFSTNHIGLALNQNQQTSTNNILTLGNIPCTPKYEHHLISPLNPSSSSFGQQQQQSPQQQSMSSSPFFINDPNQTFQQDLSSPFSNKQLHLHHGLLQLPDLQGNTNNNTTSSSVSPFNLSFFPNTNSSTMHDQFNNISEPHHHHQHHQEGFSSLFGNSSMQQENMLSSPHMSATALLQKAAQIGSTTTTTINNNGVESSNEGLRSSMENEHNQHLHGLLNSLGNGNTSIYGNINHDNNINLGQFQNMEENKKLPQQNLAGNLCFGGSDKLTLDFLGVGGIVRNMSGGFSQREQQLHSMSNMNNMDPKLESSQANQPF >CAK8541627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:313576626:313577084:-1 gene:gene-LATHSAT_LOCUS10532 transcript:rna-LATHSAT_LOCUS10532 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIMVLVYRLCVFSNLVNGDNFSGNWVTVGALTEKGIHKTSSNGKSFCIWKIGCLDENVVPIFLLGNAYQRNCQEQAGTVFAFFDYGVRKNAKGNGFSLSIYSPNQIVKMGTSVDYWNVYIL >CAK8535551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863187775:863191086:-1 gene:gene-LATHSAT_LOCUS5000 transcript:rna-LATHSAT_LOCUS5000 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHHHHHHHHHGKAASGIKKGGGNGLVAVAIDKDKGSQYALKWAADTLLTRGQTVILIHVSHPTSSSSRASNDAIICNFNSNTSSPQRSQIDNATRDLFLTFHCYCTRKDIHCLDVLLEDNDIVKAVTEYVAYAAIENLVVGAPSKHGFIRFKSSPTPSNISKGAPDFCTVYVLSKGKVSSVRTASRPAPHTSPLLIHINNLNNHDINQPADQICSKRMNLRDRPSMKPHCWQDDSMKSAFGRAGRGTSGMLCMDFTESDTDITFVSSGRPSSACSSSIYDYMDSARTSRVSTSSDRSFGSNRLGFKFNDPGSPDTSFTLESARTSCSSQTLDEAEADMRRLKLELKQTMDMYSTACREALTAQQKLMEMSHWKLEEEKKLEQAQLAQEAALAIAERERARSKAAMEAAEAARKIANVELKRRSTVEVKSSKETEELRKLLDNLGQTDVRYRRYSIEEIEVATHMFSEKQIIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGKSQFQQEVDILSCMRHPNLVLLLGACPEYGILVYEYMANGSLEECLTRKKKDKVLFWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDQNYVCKISDVGLARLVPAVADNVTQCRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQLLTGRHPMGLAHHSEQAIENGTFEKMLDPCLPNWPVEQALSLAEIAVECAQLRRKDRPDLAKEVMPKLVKLRDFADENMGPIFLSGGMSCRKAATFPDLSEEASVQQEVMSDPQLVNSGSSISPPPTPPTPTTEENP >CAK8538840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497635877:497637308:-1 gene:gene-LATHSAT_LOCUS7995 transcript:rna-LATHSAT_LOCUS7995 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTLITFMILSFLLFVNIDANHQPCSEKFTKLIEKKNITVCKRLRTQGAEFGWNLHNSTNSTTALEILFGASLFTSEGWIAWGVNPGKRAEMIGTKAIIGIKNPDFATTSSPLRVHTYDVTKETKSGCTLSPIIDIGLNVSHMEFRDEGSSFYTIYARLILPSDEYNITRLNHVWQIGDHVSDESPLRHPTNLHNVDSTETIDLTSSHGRSTGQFRSFLRTVHGVLSIIGWGTMLPIGVIIPRYFRVFPFKKEPMWFFLHIGCQLSGFLIGTAAFVIGLVLGHSSRYYIFHTHRDFGILIFTFSTIQMLAFRLKPKPTDDYRKYWNMYHHFLGYGLLAIIFINIFKGIHILKGGKKWMWSYIGILICLGAIAFAFEIFTWIKFIMMKWRENHHDKIANLQQNKDKS >CAK8575271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66776811:66779452:1 gene:gene-LATHSAT_LOCUS27545 transcript:rna-LATHSAT_LOCUS27545 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKEENPNPIPNSNPNSNPNPNPNRNPNPPHTTKGKSCKGCAYYSSVRKANSQNPVCYGLSRTLEQVPPYVVGETELEASKEGRRLANFKYACIGYSIYLDNKDSSPDSQDKQRKLPFCVGLEVVSEVNPSTSPASHVPAHARKTNEREHATPQPRIGKPTNTSAEEFLNRFQKNATLVANGVVKNLNRVGNYVKDTLDDILYRRPK >CAK8566312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:405387201:405388085:1 gene:gene-LATHSAT_LOCUS19449 transcript:rna-LATHSAT_LOCUS19449 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNEYLLSQAEYIASSLYSYALRVKRNRRKNSGNYRYCFYRYHGLQTLVHSEDAKLTGRILHCYNYNIDKTRIALSHGVKTSLLWERLSNSLWSSSSGSPGLRSTVMGDLIGTESGDCMSCDLLELLGQDRSEKKGFVFKEREKVKKEFPPAITLLRESGGVMPWCIRKECNEDGRLIFKTERIKCYYENMEAQRENGRLTMRLIYYQDEDDDYDDGWPIEDDNEDEEKSGYDEFEMELAKELDSSETRKNEIGRCWADFRPCVSYGGGGFARDSGSFYLGQSGSAPITYVM >CAK8566019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368217459:368217965:1 gene:gene-LATHSAT_LOCUS19187 transcript:rna-LATHSAT_LOCUS19187 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGCVDEITHSITAGEVLKANPNHVLSKPSSQGVVRRILIVSPETELKRGSIYFLIPTTSLPEKKRSGSVVNDSNLNTRTSMSSKKRNSKCSRDKDHDKSGDADNFYSSTSQLQNMEKKSSRRDRKKGRVGIWQPHLASISED >CAK8545004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:718203992:718204449:-1 gene:gene-LATHSAT_LOCUS13639 transcript:rna-LATHSAT_LOCUS13639 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFTLLFFLALFTAAFISSLWSTLSTTNIKPTTKTVANQTLAVNVPSREETTKQSSTLLNCSRGNQTTCSSDYPTTSSETEKNEATCPETKQHVQAIIRQHLRKQRKTRQHVRSTSGGFTRI >CAK8565032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:29580507:29584136:-1 gene:gene-LATHSAT_LOCUS18273 transcript:rna-LATHSAT_LOCUS18273 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLRKLQTTLLTLQAVLDDAEERQINNLAVKNWLDDLKDAVLDAEDLVSEISYDSLRCKMDNREAGSRTNKVWNFLSSPFTNFYRDINSQLKIMCETLEAFAQHKNTLGLQTKSARVSRRPPSSSGVNESVMVGRNDDKDSIIKMLLSESGTSKNNNLGVVAILGMGGVGKTTLAQLVYNDEKIEQHFDFKVWVCVSEDFDVVRVTKSLLESVVRNTTSASSKVWESDNLDILRVELNKKTRMKRFLFVLDDFWNDSYNDWDELVSPLIDGKPGSSVIITTRQQKVAETAKTFPIHKLDPLSHEDCWSILLKHALGSDEYQGTALEEISRKIAKKCGGLPIAAKTLGGLLRSRVDITAWTDILNSNVWNLPNDNIMPALHLSYQYLPSHLKRCFVYCSIFPKDYPLDRKNLVLLWMAEGFLDCFQKGKSVEDVGDDWFAELLSRSLIQQSNDGARGKSFFMHDLINDLATAVSGKICCRSECGDISEKVRHLSYVQDVYDIFTKFRPFYNFKCLRSFLPITTRRSCNYLSIKVVDDLLPLFKRLRMLSLSNYVNITNLPDSIGNLVQLRYLDLSFTKVKSLPDTICNLYNLQTLILLDCVRLIELPVHMGNLISLRHLDISGTGIKELPMEIGGLENLQTLTVFLVGRRHEGLSIKELSKFPNLRGKFTIKNLYNVVDAKEAEDANLKNKEKIEELELLWGKITEDSVKVKVVLEMLQPAINLKSLKIDLYCGTNFPNWLGNSSFSNMVSLEIHNCEFCVTLPPLGQLPSLKKLNISNLLLLETIGQEFYCVEEKACSNPTFQPFPSLEHLIFHKMPNLKNWISFEGKIFAFPRLRTMELRDCPELKGDLPDHLSRMEEIEIKDCFKLLETLHTLSWLSAIQTVSIKDLKYEAYAQKMFETDLRHAAAERYAMFISKTIMSSICLTRLTLCNIQSLTAFPTCGLPTSLQALYIAGCSKLSFMPFETFQNYTSLVDLCMWYSCDALASFPVDGFPALQSLSLYCCKSMQSIFISESPSRRQSSLRTLQIIGSASIESFNVNLQLNTLTNLECLSLCCYEELSFREGVCLPPKLQTVSIKCKRTKPHLKEWDLRDPTALSTLQIGVGDNLFSEGNELRHLSSLQKNLHFIDCRHLKSLPENWIPSSLKSLNFTNCDKLESLPEDNLPASLKQLTIQRCPLLEERYKRKENWSKIAHIPVIQINDQLTI >CAK8566760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460624803:460627865:1 gene:gene-LATHSAT_LOCUS19870 transcript:rna-LATHSAT_LOCUS19870 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVFSSPLFSPSFPHRHLPNLNLRTSSLTYPLSSPIHRTTTSIAVANVSVAPIAVKPVQSLPQLIESLINGIDLTEAEAESCLHLLLNEGSEALISAVLVLLRAKGETVEEVAGLAKAMMKHATKVEGLGDVVDIVGTGGDGANTVNISTGASILAAACGVKVAKQGSRSSSSACGSADVLEALGVVIDLGPQGIKKCVNDVGIGFMMSPRYHPAMKVVRPIRKKLKVRTIFNILGPMLNPAQAPFAVVGVYKEDLVFKMAKALNRFGMKRALVVHSEGLDEITPIGPGLVLDVTPERIEKFSFDPLEFGIPRCNLEDLKGGDPEYNAEVLKRVLGGEKGPIADAFILNAAAALMVSGFVRNLHEGVSLARETQQSGKALKTLNLWKDISNKIKDDACAAI >CAK8541549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:236874207:236878913:-1 gene:gene-LATHSAT_LOCUS10463 transcript:rna-LATHSAT_LOCUS10463-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGTISGVLPEPHGFLVHYPGYPSSTSRAIDTLGGTQGILKARSSQSNRLELRFRPEDPYSHPAFGEHRPTNALLLKISKKKAPDDDGAKASNSICGMEHGMQENHVESEHGAADIVDEKENLCADIVAHVPEAYFFEGMVDYQYVVPVHADVAKRKKRNWSEPEETHLAKGSRIDVDHEDIMIIVPPIFSPKDMPENLVLRLPTVSSSKKKDDQEIAQPHFEIDREPVLALDFHIKDILLSIMNWEEYIPQGSDQWESQMAVSRLFDEKPIWCKNSLTERLLDKGLSFSHGMFRRLLSRIAYYFSSGPFLRFWIKKGYDPRKDHDSRIYQRIDYRVPVPLRSYLDTHSANKLEHRWGDICAFRAFPYKFQTSLQFFELVDDYIQAEINKPPMQGTCTFESGWFSTRKINCIRQLLMVRYLSIFPKPGAESLLKVATYKFEKMKRECNRITMKLGVESQQANTGLEESEEPDNVEDEDRQADEANDSGEEESEEELDLIGDTEMPLPSPSRLNISMTHLQDLFGSFPSDEIDGNKAPENGSEEEYQIYEEDSDNYSEE >CAK8541550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:236874207:236878913:-1 gene:gene-LATHSAT_LOCUS10463 transcript:rna-LATHSAT_LOCUS10463 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGTISGVLPEPHGFLVHYPGYPSSTSRAIDTLGGTQGILKARSSQSNRLELRFRPEDPYSHPAFGEHRPTNALLLKISKKKAPDDDGAKASNSICGMEHGMQENHVESEHGAADIVDEKENLCADIVAHVPEAYFFEGMVDYQYVVPVHADVAKRKKRNWSEPEETHLAKGSRIDVDHEDIMIIVPPIFSPKDMPENLVLRLPTVSSSKKKDDQEIAQPHFEIDREPVLALDFHIKEIPKKVNWEEYIPQGSDQWESQMAVSRLFDEKPIWCKNSLTERLLDKGLSFSHGMFRRLLSRIAYYFSSGPFLRFWIKKGYDPRKDHDSRIYQRIDYRVPVPLRSYLDTHSANKLEHRWGDICAFRAFPYKFQTSLQFFELVDDYIQAEINKPPMQGTCTFESGWFSTRKINCIRQLLMVRYLSIFPKPGAESLLKVATYKFEKMKRECNRITMKLGVESQQANTGLEESEEPDNVEDEDRQADEANDSGEEESEEELDLIGDTEMPLPSPSRLNISMTHLQDLFGSFPSDEIDGNKAPENGSEEEYQIYEEDSDNYSEE >CAK8564747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8065317:8070133:1 gene:gene-LATHSAT_LOCUS18019 transcript:rna-LATHSAT_LOCUS18019 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRQRSPRGFYASEYRNRGGGGGGDGFGRGYNNRRGSVSAVIGSAATVPGGGGGGGDIFVEAGRLAAEYLVFQGLLPPSVLSLKVYNQNGSFKKHVGVGVGGVGENLVDGGRSSVLARLGNNAVSGVDGGFTGRGKLGFEEFGQKGNGRRRGSFKSNGFDLGNREYRRNGSWSDRYIGGSDIRDDDDDDVDGGDIGIGSGSGSGVRPQDEEDCRIPQQEEVEVVGGGGGGDVDTIMQKSNLNEFVPTSEDGNDLEVEANKNQVSVSDGELLELKQNSSGEGKDAGDMDVDFVGSSNDLENMSMDKVKEVVKDGTGSSGDDGDKPSISKNSSDQSCDQENNSSGVVFTDLLSLCKSVKVPTKTRSSLTHKNLKAFPLVNNVEVVNNAAENVHDIMDVQETGVLVENESIKDSSPGNLLPDKTYDIVHIDSDAGEEPVHSVEDMKELDTACEAVEDQSVGSQSDKGQEFAAELPEFGGCSSMSEERGEKRVAEEDVDVREDTKRLREWQPLPSPIPKTEAYFLHNTPIEVKDSPEEDVIPISHVDKMSLTSDQGNLMSSSQFADEEDRPFFQCSEAKPPLPSSFRTCDLNLIETSEVHDNHVDHPVLIYSPPTVSDTKEAVPVDIDLSMNHASVSGKFSTHGANGKEIEVIDLENDSIQEEKAIDSIDRKTETVFTGLDGFSSHAQNAADIHDVQDGYGLMISELLGTDFANCSSVSDDINSVHNEIGLDNGTGTLAEDDSIYMSLGELSFLRPWEQPPPQDYQKF >CAK8576982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529445270:529447749:1 gene:gene-LATHSAT_LOCUS29132 transcript:rna-LATHSAT_LOCUS29132 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILKNLVKFSSSTATTTATRNFSLVTTQISNHTAKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDTDPALGHPIEYICLDLAKPAVCKYCGLRYVQDHHH >CAK8538557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487207803:487208217:1 gene:gene-LATHSAT_LOCUS7743 transcript:rna-LATHSAT_LOCUS7743 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLLDAYLHQQTLGNKNGNSMTTSIMDSILKELKTHFPDKPISKEKIKDHRKHIKTKFNSCYDLFHNGLSGFGWDSTTNMWIAEDEVWNKLIEAKPEVAE >CAK8538358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478861798:478864177:-1 gene:gene-LATHSAT_LOCUS7570 transcript:rna-LATHSAT_LOCUS7570 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNNTHQVNHQISSHNNPFQMTNAPEKNNYYQLFFIFIICVHFITNPVSCEEHWDGVVVTQSNFLALQAFKQELIDPKGFLKTWNDSGFGACSGAWVGIKCAQGQVIVIQLPWKGLKGQITERIGQLQALRKLSLHNNRITGSIPSSLGLLVNLRGVQLFNNRLTGSIPSSLGSCPLLQSLDFSNNLLTGTIPDSFGNSTKLYWLNLSFNSLSGSIPTSLTSLNSLTFMSLQHNNLSGSIPSLKNGLFSLRNLVLDHNFFTGTIPGSLGDLRELREISLGHNHFSGHIPQSIGKISNLKQLDLSVNNLSGEIPVSFDNLLILNFFNVSYNNLSGPVPTLLAQKFNSSSFVGNVQLCGYSPSTPCSSPAPSEGPSEVSKHRRQKKLGTKDIILIVAGVLLVVLIIVCCILIFCLFRRRKASNDVEGHVTARSAAASARTGKGVPPTGGEVEAGGDAGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQAAVKRLREKITKNQREFESEVSVLGRIRHQNLLAMRAYYLGPKGEKLLVFDYMPKGSLASFLHAHGPETRIDWATRMNIAQGMARGMLYLHSHENTIHGNLTSSNVLLDENTNVKIADFGLSRLMTTAANSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVILLELLTRKPPGEAMNGVDLPQWVASIVKEEWTNEVFDVDLMRDSSTNGDELLNTLKLALHCVDPSPSARPEVQMILQQLEEIRPQMVSSGMSSDEGAIPTSE >CAK8538342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478295782:478296995:1 gene:gene-LATHSAT_LOCUS7554 transcript:rna-LATHSAT_LOCUS7554 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVEVCEPAEVRIEFTLNTKCRATVQLKSLHSTTPIAFKIQTSSPNKFLVNPPSGLIPPLSISTFQVILKPQPNLPRSYPRSPSDRFLIKTAEFTSNSSDSTHPDSINSWFASRPYGFSTYDIKLKVAFIGSFLLCDAVSRADLETVRSLIKRKRSVLAGLSPDESNSLLRVASELDNPDDMVHLLLEAGLRIHETVRSDNFKRRDDVHVADANIVQHVEDEEHGQLVLEASRNGNVREVESLLRRGVNFNYRDDYGLTALHVAAFKGHKDVVLMLCKAGLELECEDDDGHVPLHMAVESGDFETVRVFVDKGVNVNAMNKKGVTPLYLARVWGHQDVCELLIRRGALSSLSLALA >CAK8562984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563765895:563767154:-1 gene:gene-LATHSAT_LOCUS16439 transcript:rna-LATHSAT_LOCUS16439 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLFNHITRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDVIISLHELLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPTTHKPLTEPYIKEENKTTETPETTTTPMQIPSVTSQGSSFLLSDSSYYDNNGLTEASRDIFSSKSALDSLFCYDFQSGYTLPMNNYQSQSQFGITSSYGFSSMPSLTNSDHAVVSVTEFSDNNSPSKISSLFMNDQVKESNSSNSSNMSTIYPSQMRNTMIENNNNNAGFSWDGDNKIDPLFHFQVNAIKSEDFGTSSWEEGQIQTHNSIDFNSYPLTSLSEDLSEANFDVFHHI >CAK8542991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564380576:564382842:-1 gene:gene-LATHSAT_LOCUS11782 transcript:rna-LATHSAT_LOCUS11782 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMALVKPISNFSNITPKFNPIRRTVSYSIRMSATPTSSPTSTKPSKKPNKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNEDEFLALLQEFKTDYNQTHFVRNKEFKEAADKLDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKENPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVINQKILAIGESDDNSVIKNLKRVPLIAALVSELLAAYLMPPIESGSVDFAEFEPRLVY >CAK8541485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:199308045:199308632:-1 gene:gene-LATHSAT_LOCUS10403 transcript:rna-LATHSAT_LOCUS10403 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQHRLLILYATQTGNALDADERLAREAEQRSCPNKLLSLHDYDPSLLPQEEAVSFVVSTTGQDDAPDSMKVFWRFLLQRNLSNHWLKGVHYTVFGLGDSGYHKYNFVAKKLDKGLMDLAGTTIVERGLGDDQHPSGYECTLDPWMSSLWRILNMIKPELLPNSLDVVIQDTMLVDQPKVQISYHKIENIKSHF >CAK8533080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575698627:575701337:-1 gene:gene-LATHSAT_LOCUS2730 transcript:rna-LATHSAT_LOCUS2730 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTILTLKQCNVHIKWNHIFANSVRNISSTTQNDSTTSYSVPREKVDCVVIGAGVVGIAVARALALKGREVIVIESGPSFGTGTSSRNSEVVHAGIYYPRDSFKAIFCVKGRQMLYEYCSKHDIPHEQTGKLIVATRSSEIPKLNDILNHGIQNGVDGLKVMDGVEAMKMEPELQCVKAILSPLSGIVDSHSLMLSLVGEAENHRTTFTYNSTVIGGHIEGNELSLHISETKSLKEWNERSILQPELLLIPKLVVNSAGLSAPALAKRFTGLQHRVIPPAYYARGCYFTLSNTKAPFKRLIYPIPEDGGLGVHVTLDLNGQVKFGPDVEWIDGVDDISSFQNKFDYSVHANRAEKFYPEIRKYYPNLKDGSLEPGYSGIRPKLSGPCQSPVDFVIQGEDIHGIPGLVNLLGIESPGLTSSLAIADFISTKFL >CAK8534196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703688513:703689646:1 gene:gene-LATHSAT_LOCUS3757 transcript:rna-LATHSAT_LOCUS3757 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDDARYPSKGYPLRRKNPNLRQKHPIRNLQYHRYDDPEDNNIDDDYEDEEPEEFGDYSIGEGGIENGYVGNFEGNEGFIRKKRKLRSGGSGSGGGGGGSSVSNYELVPRGKFSYVNRSGGGSSSGSEEWTEHATFVLVEIWGEKFLQIGRNSLRSEEWHEVSEKVSEELKVERDVAQCRGVLDKVKRRYKKEKSRMDEMGLGVNSCKWPFFKRMDMLMSSSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMMDSPGESETSEDDHEDDDFDGDDDEEEDEVDKEEEEASYRVLADSIQKFGKIYEKIENTKRQQMMELEKMRVDFNKELELQKKQILERAQDEISKIQVGDDGDEEEEDTDTSGDNLSE >CAK8579633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713773354:713775395:1 gene:gene-LATHSAT_LOCUS31564 transcript:rna-LATHSAT_LOCUS31564 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGLRFMTHQSIFTMVGSGDLDGLKKLLEQLKKEDDDNSNNGSSSSSSPMSVSDVMSLQNDHGETPLYIAAEHNLKQVFNFLLQFCDFEILKIRSKSDMNAFHVAAKRGHLDIVKEILSSWPEVCKLCDSSNTSALYSAAVQDHLDVVNSILDVDVSSMFIVRKNGKTALHNAVRYGVDRIVKALIARDTGIVGIKDKKGQTALHMAVKGQSTSVVEEILQADPTILNERDKKGNTALHMATRKGRSQIVSLLLSYSAVDVNAINKQQETALDLADKLPYGSSALEIQEALSEYGAKYARHVGKLDEAMELKRTVSDIKHEVQSQLIQNEKTRRRVSGIAKELKKLHREAVQNTINSVTVVAVLFASIAFLAIFNLPGQYIMTGPETGKSNIADHVGFQVFCLLNSTSLFISLAVVVVQITLVAWDTRAQRQIVSVVNKLMWAACACTCGAFLAIAFEVVGKKKWMAITITAVGTPILVGTLASMCYFVFRQHFGIFQSDSQRRIKRASGSKSFSWSYSANISDLDEYNSDIEKIYAL >CAK8542819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551338758:551339508:1 gene:gene-LATHSAT_LOCUS11623 transcript:rna-LATHSAT_LOCUS11623 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEEEMVLSDDLASIGDGISASSVDSGGVHNQVYNIQMLEAAYFKVPLPQDSERPRKYTPRHEAITPPSYPQVQGPMVNNPAFWQRLGLEEYGTDILFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYSTWFQRYEEPKVATDEFEQGTYVYFDFHIENDDLQQGWCQRIKNEFTFEYNYLEDELLV >CAK8579652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714817525:714817887:-1 gene:gene-LATHSAT_LOCUS31582 transcript:rna-LATHSAT_LOCUS31582 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLADDHPPQAETIDIRTCNQTVPKTYHDKVNDGNDYTTHHSHSGSKNMGRTIEEASRYSGKKFSLTPYITPREHTLMKLLACVNNHCGNIDESNKTQTTLLKFTNDITMIMQQQWYYG >CAK8541133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:70276813:70278315:-1 gene:gene-LATHSAT_LOCUS10079 transcript:rna-LATHSAT_LOCUS10079-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIYRVVVLVRDPSCICRPRTFISSLATCHPGDEASNFWRKEQSQDLSRYVDTLTAKIGKGSSEEDILLSLISDEVVNGIPPSQNLVNRLLCRYKDDWKSALGIFKWASSHAHFKHSQESYDMMIDILGRTKVMGKMREILEEMRQESLVTPNTIAKVMRRFVGAKQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLCKEKFVEQAREIYLELKRHIAPNAHTFNILIHGWCKIRRVEEALWTIQEMKGYGCRPCVISYSTIIQCYCQDQNFDRVYELLDEMQAQNCSPNVVTYTTIMCAMAKAEKIDEALQVVDRMSSTGCRRDTLFYNSFIYTLGRGGRIDDAMHVFKVVMPKAGVTPNTSTYNSLISMFCYYTQEDRAFGILKEMEESGLCKPDIQTYHPLIKSCFKMRQIDSLLNDILNDMINKYHIGLDLSTYTLLIHGLCRADRCKWALDLFEKMIDQDILPMYRTCRLLLDEVKQKNMYQAVEKIEILMKKL >CAK8541132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:70276813:70278345:-1 gene:gene-LATHSAT_LOCUS10079 transcript:rna-LATHSAT_LOCUS10079 gene_biotype:protein_coding transcript_biotype:protein_coding MILKFLQVTSMQSIYRVVVLVRDPSCICRPRTFISSLATCHPGDEASNFWRKEQSQDLSRYVDTLTAKIGKGSSEEDILLSLISDEVVNGIPPSQNLVNRLLCRYKDDWKSALGIFKWASSHAHFKHSQESYDMMIDILGRTKVMGKMREILEEMRQESLVTPNTIAKVMRRFVGAKQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLCKEKFVEQAREIYLELKRHIAPNAHTFNILIHGWCKIRRVEEALWTIQEMKGYGCRPCVISYSTIIQCYCQDQNFDRVYELLDEMQAQNCSPNVVTYTTIMCAMAKAEKIDEALQVVDRMSSTGCRRDTLFYNSFIYTLGRGGRIDDAMHVFKVVMPKAGVTPNTSTYNSLISMFCYYTQEDRAFGILKEMEESGLCKPDIQTYHPLIKSCFKMRQIDSLLNDILNDMINKYHIGLDLSTYTLLIHGLCRADRCKWALDLFEKMIDQDILPMYRTCRLLLDEVKQKNMYQAVEKIEILMKKL >CAK8568927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655233238:655233689:1 gene:gene-LATHSAT_LOCUS21834 transcript:rna-LATHSAT_LOCUS21834 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKEVYTYDGLEVYITNAIDALWKRFKSFDVAGKRALKSKVCKIAYPTTTRMCPPLEGVKRKGKKPAGYDVYHDPSYHEPFIDDIVNVKSDGNCGFIVIASFHGYG >CAK8533082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576344059:576344472:-1 gene:gene-LATHSAT_LOCUS2732 transcript:rna-LATHSAT_LOCUS2732 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPIPHEMEKPPTLSTYDGTSDPDDHLEDIEAMLDYRNVRGGIKCRLFPTTLRKGAMTWYKSLEPESITSWRVLKDLFLKHFTASRRHPKTEAALGVIIQAPDEPLRKYIERFTREAVQVTTSDEMKRYLMEQGLH >CAK8531970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185271773:185274032:1 gene:gene-LATHSAT_LOCUS1717 transcript:rna-LATHSAT_LOCUS1717 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPEGSQFDAKQFDTKMNELLTTEGQDFYTFYEEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSVTECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLGDIQKFYNVLIEELPSNVAELL >CAK8532572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:300139378:300139554:-1 gene:gene-LATHSAT_LOCUS2264 transcript:rna-LATHSAT_LOCUS2264 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPNVPENAENDRINFDYSMLAPEDLLDLCLVGSLLTNKPTGFNAMKDKLVQLWQSG >CAK8570520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55088468:55091109:-1 gene:gene-LATHSAT_LOCUS23262 transcript:rna-LATHSAT_LOCUS23262 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKVVRGDNVMIIRGKDKGETGVIKRVVRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPIHASNVQILDPVTGKPCKVGTKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPSVAGPKDTPLEHVYEKTYDAKTGRGMPEL >CAK8573108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585519053:585520414:1 gene:gene-LATHSAT_LOCUS25594 transcript:rna-LATHSAT_LOCUS25594 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCYARVAIFLCALTLSTSLHRTSSNHETLIQDVARKLELKDNELLNTEKKFKLFMKDYSKKYSTRQEYLLRLGIFAKNMVKAAENQALDPTAIHGVTQFSDLSEEEFERFYTGFKGGFPSSNAAGGIAPPLDVEGLPENFDWREKGAVTGIKTQGRCGSCWAFSTTGSIEGANFLATGKLVSLSEQQLVDCDNKCDITTASCDNGCNGGLMTTAYDYLIEAGGLEEETSYPYIGDRGECKFDPSKIAVRVTNFTNIPVDENQIAAYLVNHGPLAIGLNAIFMQTYVGGVSCPLICSKRRLNHGVLLVGYNAQGFSILRLRKKPYWIIKNSWGEQWGEKGYYKLCRGHSMCGMNTMVSAAMVAQVQPAENKSYASY >CAK8564365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670124082:670128057:1 gene:gene-LATHSAT_LOCUS17677 transcript:rna-LATHSAT_LOCUS17677 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGGPGIAPPTVPQQQHHQQYQQHHQQQPYLMMPPQPQPQTQPPHMWAPSPQAPPQSAPQQQQAQPASADEVRTLWIGDLQYWMDENYLYQCFSHTGEVGSVKVIRNKQTNQSEGYGFLEFTSRSGAERVLQSFNGTIMPNGGQNFRLNWATFSSGEKRHDDSPDYTIFVGDLAADVTDYHLTEVFRTRYNSVKGAKVVIDRLTGRTKGYGFVRFADESEQMRAMTEMQGVLCSTRPMRIGPASNKNLNTQTSKASYQNPQGGAQNENDPNNTTIFVGNLDPNVTDDHLKQVFGQYGELVHVKIPSGKRCGFVQFADRSSAEEALRVLNGTLLGGQNVRLSWGRSPANKQTQQDPNQWNGSSGYYGYPQGYENYAYAPAAGQDPNMYGSYPAGYANYQPPQQQQQLGYS >CAK8572776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562277184:562283734:1 gene:gene-LATHSAT_LOCUS25303 transcript:rna-LATHSAT_LOCUS25303 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKMTVEELRSELNHRGLTTTGIKPTLVRRLEAAIRKETKLSKLDADASSSLGKRPRDSLDGHSGKTTSTRSRRGVSNKQTLETISEDSHLVNQEEEAIEVEKRVTATKKGAAVLDQWLPDHIKMHYHVLELGGEIYDAVLNQTNVGDNNNKFYVIQVLESDDGGKFLVYNRWGRVGIKGQDKIHGPYTSRENAIQEFEQKFLAKTKNAWSDRNDFVSHPKSYVWLEMDYSGKEKESTVTESPGHALRKQPLESKLEPRIAKFISLVCNLSMMNQQMMEIGYNANKLPLGKLSKSTILKGYNVLKRLADVVDKFDRKALEQLSGEFYSVIPHDFGFKKMREFVIDTPQKLKRKLEMVEALAEIEVATKLLKDDAEMEGDPLYAHYQRLHCELVPVDFGTDEFSMIENYMKNTHAETHSNYTAEIVQIFRTSKEGEADRFRKFSDTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYPTPTSADGVLLLCEVALGEMAELLTAKYDADKLPKGKLSTKGVGATAPDYSKARELDDGLTVPLGKPKKNSGIKGDLLYNEYIVYNVEQIRMRYVVNVKFNFRRRN >CAK8565614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292940830:292941944:-1 gene:gene-LATHSAT_LOCUS18811 transcript:rna-LATHSAT_LOCUS18811 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRFNTAAEQSARLTKFSLQPPKYVEVEFANGSVFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRLNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKYGLSRDPRGRK >CAK8575050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24510885:24511293:-1 gene:gene-LATHSAT_LOCUS27342 transcript:rna-LATHSAT_LOCUS27342 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLFIFVVFLSALISNSFAQIESFKDRRQFGATEEFKPKIGIHERAVYTGGWTNKIQRGGYGKDVGGAKKGGPSSGGSVLGGGAQGVGEQNGGGKDKKSEPDERGKF >CAK8565601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:288395911:288399455:-1 gene:gene-LATHSAT_LOCUS18798 transcript:rna-LATHSAT_LOCUS18798 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKAVNEIAYTKNLSKLSPLHFSLLPFLSISSSFYKFALSIRRRFFLSRIHRLPVPVISVGNLTWGGNGKTPMVEFIAAFFARSGISPLVLSRGYGGGDEVNMLQRHLLGTSTKFGVGANRATVASHLIQKYGYTDIRKSSLHEKQNLNQKAHNSLDSEKIGTVVLDDAMQHWSLWRDLDIVMVNGLTLWGNGRLLPLGPLREPLTALRRADVVVIHHADLVSDRVLEDIKSMVQGIKKSVPIFFTKMDPTYLFEVGNINAKILLTALNEATILCVSAIGSPESFVKRVQEMGALYVDRIDFSDHHIFHARDIGMIRAKLGELERKFGFKPIVVITEKDYDRDPEILKQLYPFKTFALCSTLKILPYRGNNEDSFKKFLTDKLELELPAAD >CAK8536004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897310122:897313089:-1 gene:gene-LATHSAT_LOCUS5411 transcript:rna-LATHSAT_LOCUS5411 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAILAQCLPGLVPHDRGSLSIASSIFEKDIHLASPAVEILPSKAFHTDKEGGENIDHFKGIVSVADIMGFGGSETISSRPDGYLKSWASSIDLVSVLKNEIRDGQLSFRGKRVLELNCSYGLPGIFACLKGASIVHFQDQNAETIRCTTIPNVLGNLKQARDRQSRQPETPLTPSRQTLAPSVNFYAGDWDELPAVLSTVKNDGYEVTPGMSLSFSEEDFLDGCSSQEGSIVGHESSSRRSRKLSGSRAWERANEADQGDGGYDIILMTEIPYSVSSLKKLYALIKKCIRPQYGVVYLAPTKKHYVGFSNGVKQLRNVVDEEGIFGVHLVKDLADRDIWKFFHK >CAK8534741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762548124:762549744:1 gene:gene-LATHSAT_LOCUS4257 transcript:rna-LATHSAT_LOCUS4257 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQSSPMASSIPHHFYPNYTSDFTPQQGLMSSSIIDNNTMMWGCQENIMPVFDNYGTYDQIVSLDCDAMSSGTWVPNFVEQQDFAVPALLSDCKVGFYGGGFQNFNGRYSNSNNQPHVGHEFVEDQCCGFVEDIKPSPAVYPNVSRENWGIQGNQIAAVEEPNIKVGRYSEEERKERILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNEICEEDHMATKKLENHHDHINEDFYGSDSFQFQLKNEEEDWLQEAMASLVYLSHSSPEDI >CAK8571537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435954638:435983228:-1 gene:gene-LATHSAT_LOCUS24188 transcript:rna-LATHSAT_LOCUS24188 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQICVDGDSKELTQSLRDGKSNLKTGVAGEGDEVKCNGVAEEVKVGEGGNRESSSVQYQSQGAIICWERFLHIRSLKVLLVEYDDCTRHIVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDIVLTEVAMPGLSGIALLYKIMGHTTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPVRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSLEKFDNNSGSNDEQDNGSLGLNNGDGSDNGSDTQSSWTQRAVEVDSPKSGSQWDQITECPDSTCAQVFHSNAEICRAKVVPPVIKEQIVEIAGSKHINTLDVGPSKFNEQINRGQQELNCENQSGKLRCKGLSLSDGVISTSDSQMRSGETETLNRIPKSSDIYNKGTNNDEELPSLELSLKRPREVKEAGNTISLKRSTSQDERNVLRRSDLSAFTKYNAALNAKKSPTRCVGSNSPHNNSLEVTKKDSSLDVQSHSSGNPPNQNSNGSNNIDMGSTTNNTFTKSAVISEPAVASKTKFVYKTSAFQPIKSSLLRTSQQVVLHNNEDTTTTMLAPPKIDTNKGSATQDFHYQYENHNNVANMQHQLPPENDAESLKKMTDVAPHCGGSSNVVEVLVEGYSINRSASGSNVESNGQNGRSTAINAGGTNIESNNGLAGNSGSGDASGNGSANRVDQNKNSQREAALTKFRQKRKERKEKCFHKQVRYQSRKKLAEQRPRYRGQFVRQSSNNEASEATDN >CAK8540107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539915664:539916923:-1 gene:gene-LATHSAT_LOCUS9140 transcript:rna-LATHSAT_LOCUS9140 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSPHHHHHYQQTQTSSVSNTVPTAFYLSPSHFTDTPICYGVPENPNFHSSLSVMPLKSDDSLCIMEALGRSQSQEDFQGGATMGTHDEYGSHERDAAMALSLDSIYYNNQQNADPHQQQQSHMTSHPYYAALGFHGMFQTPLEIESKETSTNVDVCSSQMPQNWFSLRDYSFASHASQTLEQQMNTNMGNNNSGGGSVGCGELQFLSLSMSPGSQSSRVTAPTQISPSGTESVTMEAKKRGAAKLGQKQPVHRKSIDTFGERTSQYRGVTRVVLYAIAMVDFDHENGEVCKDLKQKMEQIIASYSSSVER >CAK8576057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:395435199:395437089:1 gene:gene-LATHSAT_LOCUS28274 transcript:rna-LATHSAT_LOCUS28274 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNMIADMASLPAEDQQRMTAMIDQLQVRDSLRMYNNLVERCFQDCVDTFKHKSLQKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATQD >CAK8575573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:177022036:177022468:1 gene:gene-LATHSAT_LOCUS27826 transcript:rna-LATHSAT_LOCUS27826 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEDAKHHYGLCHACWDHIGELELGGLLHMVIPSSNETLGVLILKSPGFFPQAYWYWIGVGALIGYVFLFNFFFALALHYLSPFR >CAK8542883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555550037:555556057:1 gene:gene-LATHSAT_LOCUS11683 transcript:rna-LATHSAT_LOCUS11683 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKSIHYGHQIVALANLMPVDDSVDELDSYMFQTVGHQIIINYAECMGLPLFRRRIQGSSRHLELGYKTTQGDEVEDMYILLREVKRQIPSVTAVCSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLHEMIANGIVAITVKVAAMGLDPAKHLGKELAMLNAYLHKLKEAYGINVCGEGGEYETLTLDCPLFINARIVLDKYQVVMYSSDSIAPVGVLHPLAFHLENKADIQCLKTVDKIHEGRTQNLGPVFEVHDSPESLEATCKPLDFTDQIDGVEHKFKISKTNNKSTFSIGCWLQGSCNAGLQEDLTIVLRKIESQLEGFGFGWENVLYIHLYIDDMNKFSEANETYVKFITHEKCPFGVPSRSTVEMPLVEMDFSRAYMEVLVSNNKDKKVLHVQSISCWAPSCIGPYSQATLHEGILLMAGQLGLDPPTMNLCSGGPGVEHEQALKNSEAIAKSFSCSISTSAIGFVIYCSKNISSLDRLDIEKKQETILRQMKISDLQEGKKYKALEPITLYVLVPDLPKRAFVEVKPILYVEDGADAAIETITEIPCSKTSCYWGFEQENWHDSCIQKCVIPGKICAIILSITSELAAKICSDSLPADYVNDNGQHSLSKAHMEQLSKFCIYLLDKAITDNNFAWEDIMSLRFYIPVSLQMSVELIQPMFSNALFELSEMNKRKVKNCEEPIFNIVPVIGAGRSASSMDDVVTCELLARKS >CAK8542882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555550037:555556057:1 gene:gene-LATHSAT_LOCUS11683 transcript:rna-LATHSAT_LOCUS11683-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKSIHYGHQIVALANLMPVDDSVDELDSYMFQTVGHQIIINYAECMGLPLFRRRIQGSSRHLELGYKTTQGDEVEDMYILLREVKRQIPSVTAVCSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLHEMIANGIVAITVKVAAMGLDPAKHLGKELAMLNAYLHKLKEAYGINVCGEGGEYETLTLDCPLFINARIVLDKYQVVMYSSDSIAPVGVLHPLAFHLENKADIQCLKTVDKIHEGRTQNLGPVFEVHDSPESLEATCKPLDFTDQIDGVEHKFKISKTNNKSTFSIGCWLQGSCNGLQEDLTIVLRKIESQLEGFGFGWENVLYIHLYIDDMNKFSEANETYVKFITHEKCPFGVPSRSTVEMPLVEMDFSRAYMEVLVSNNKDKKVLHVQSISCWAPSCIGPYSQATLHEGILLMAGQLGLDPPTMNLCSGGPGVEHEQALKNSEAIAKSFSCSISTSAIGFVIYCSKNISSLDRLDIEKKQETILRQMKISDLQEGKKYKALEPITLYVLVPDLPKRAFVEVKPILYVEDGADAAIETITEIPCSKTSCYWGFEQENWHDSCIQKCVIPGKICAIILSITSELAAKICSDSLPADYVNDNGQHSLSKAHMEQLSKFCIYLLDKAITDNNFAWEDIMSLRFYIPVSLQMSVELIQPMFSNALFELSEMNKRKVKNCEEPIFNIVPVIGAGRSASSMDDVVTCELLARKS >CAK8535005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802008123:802008332:-1 gene:gene-LATHSAT_LOCUS4492 transcript:rna-LATHSAT_LOCUS4492 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNSQNSTGANKPRHISFVTNNPNMYPIPQMNSNSMKLSTQVPPFSTQVPPFST >CAK8571874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:487987323:487989648:-1 gene:gene-LATHSAT_LOCUS24497 transcript:rna-LATHSAT_LOCUS24497 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALMSNSTLSSARLNHDVSVSTINSSQHPQKTKKKRNLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVVKKRAYVCPEPSCVHHNPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKIYAVHSDWKAHSKTCGTREYKCDCGTLFNRKDSFITHRAFCDALAEESARMAANQLSTATATATATNTNTNSLVQSLFLFQNQQSHITWDPPQQNPNPSNLHHNIKQESQTFPHPYLHHTNKNNIMTTSSPFHVSTQHATTNPATSPHLSATALLQTAATVGAAAITGQHTSQLGMSELGSVTELNSVDHYINNMRGFTKNDEGLTRDFLGLTNNGGGNGGSVDVKDMLTFTGGVELEYEQNMMFKSQQGFGFFGTTTTTVPESWGNC >CAK8541093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:63472548:63474488:1 gene:gene-LATHSAT_LOCUS10044 transcript:rna-LATHSAT_LOCUS10044 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGRAVGIDLGTTYSCVAVWLDDHNRVEIIHNDQGNRTTPSVVAFNADQRLIGDAAKNQVASNPQNTVFDAKRLIGRKFSDSVVRKDILLWPFKVIPGINDKPMISLMYNGEEKQFCAEEISSMILSKMREFAEAYLESPVKNVVVTVPAYFNDSQRKATIDAGTIAGLNVIRIINEPTAAAIAYGLDKRRYCDGKRNIFVFDLGGGTFDVSILTIKGDVFKVKATAGNTHLGGEDFDNRLVNYFVQEFKKKHKVDISLNPRALRRLRTACEKAKRTLSFAFVATIEVDSLFHGIDFFSSITRAKFEEINMDLFNECMKTVDSCLNDSKICNSDIDDVVLVGGSSRIPKVQDLLQDFFKGKDLCKSINPDEAVAYGAAVQAAILSEGFKNAPNLVLRDATPLSLGISTGIDDVMSVVIPRNTTIPVKMSRGFVTAIDNHCSVPINVYEGERARAPDNNLLGMFSLSCLPGAPRGQPLDVCFVIDENGVLSVSAKEVSTGNTNEITITNDRERLSTLEMKKMIEEAERYKVEDKKFLRKAKVMSGLDYCVYNMKNALKEDVSLKLSPQESAKINNAITVATNLLAGNNNTNEIDVLENHLKELESMLKHLVAMNG >CAK8575414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:113579000:113581695:1 gene:gene-LATHSAT_LOCUS27683 transcript:rna-LATHSAT_LOCUS27683 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEERRGYKEVSWDDREVCGFYMVRFCPHDLFVNTRSDLGPCPKIHDPKLKESFENSPRHDAYVPKFEAELSQFCEKLVMDLDRRVKRGRERLNQEVELPPPPPLTAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVETLNTEKAVLTQPQNEKVLMLQEKKMALCEVCGSFLVANDAAERTQSHVAGKQHVGYGMVRDFINEYKTAKEKAIEEERLAREKEIEERRKQKEKDNERKRRSDSSDREKYRDKDRDRERDRYRDRDSEHERSREYEVRGNRDRGRGMDSRSRNGKNGGRDRYRNRSRSRSPIRHNYRRS >CAK8572965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573552787:573553148:-1 gene:gene-LATHSAT_LOCUS25463 transcript:rna-LATHSAT_LOCUS25463 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRIIRNEFDVNKRIQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLDAFNVSYFQSIGEQATTGHIHASFPAWFKD >CAK8567542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523558905:523562800:-1 gene:gene-LATHSAT_LOCUS20588 transcript:rna-LATHSAT_LOCUS20588 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNGTGNGGFSSTEMEYIRRHHNQEPGENQCASALVKHIRAPVPLVWSLVRRFDQPEKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLEVLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVVESFVVDVPEGNTKDETCYFIEALIKCNLKSLSDVSEGHAVQDLTEPLDRMQELLISG >CAK8570458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47885564:47889068:1 gene:gene-LATHSAT_LOCUS23205 transcript:rna-LATHSAT_LOCUS23205 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMASSPKRGHNWPPMAMALAILLISTTVVSAAADSYVYSSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPSYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPSYEYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPYIHKDPPYYYKSPPPPTPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPTPHTPYYYKSPPPPKVLPPPYYYNSPPPPVAYPHPHPYHHSLIVKVIGKVYSFRCYDWEYPEKSHDKKHLKGAVVEVTCKAGSKIIKAYGKTKINGKYSITVEDFDYVKYGATVCKASLYAPPKGSPFNIPTKLNEGTKLYLYSKDKYEVVLKAKPFAYASKKHFKECEKPKPSPTPYYYKSPPPPTPVYKYKSPPPPVHYYSPPYYYKSPPPPVKSPPTPYYYKSPPPPSPVYKYNSPPPPVYKYKSPPPPIHYPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVHYYSPPYSYKSPPPPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVHYYSPPYYYKSPPPPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYNSPPPPVHYYSPPYYYKSPPPPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYNSPPPPVHYPSPIYKYNSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKEISNPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYNKSPPPPSPSPPPPYSYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYQSPPPPSPISHPPYYYKSPPPPSPSPPPPYLYVSPPPPVKSPPPPAYIYASPPPPIYN >CAK8536823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24359147:24360925:-1 gene:gene-LATHSAT_LOCUS6153 transcript:rna-LATHSAT_LOCUS6153 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKIKNAHSFLLHHHLITTIITIIIIFNISQTTTATLITPQQNLTTMNSFGGRGHWIQLQKSIGISAMHMQVMKDNKVVIFDRTDFGPSNISLTNNRCRYDPRDMALKIDCTAHSILYDLTTDKFRPLTLQTDAWCSSGAVNPNGTLIQTGGFNDGYTKLRTFTPCPKNNDCDWEELPQNLSSSRWYASNQILPDGRIIVVGGRSSFSYEFVPKNSNDPSFYFFRFLQITRDSNPGEENNLYPFLHLLPDGNLFIFANRRSILFDYTHNRVIREFPIIPGEEKRNYPSTGSSVMLPLNLTGSEKSLIEVEIMVCGGAYPGAFDYAKKQQVFLEASDSCGRMKVTDSEPEWVMEFMPTPRVMPDMLLLPTGNVIILNGAANGTAGWENAANPVLHPVLYRPGLVNSGLRFQLLAPASTPRMYHSSAVLLPDGRILVGGSNPHRGYDFRAYPYPTELSLDIYNPDYLGPKMDPLRPSVITVEVVNHTVEYGNLFAVSFSLKEVRDVSGILFSLVAPSFTTHSFAMNQRVLFLEVTALEEVANSSPESPQGPVKSVYKAMVRGPGSFTVAPPGYYMLYVVHAGVPSVAKWVHIR >CAK8533824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663280918:663287453:-1 gene:gene-LATHSAT_LOCUS3418 transcript:rna-LATHSAT_LOCUS3418 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMLKSGSLSRNSTGSSNTTSKSAASAAAAAALRLSSPQQSFRRLGLCSQISTSGEHSSPIVFPEKRGKLKASKKGNDAVRPGGGGDQDAAGKNFEHRIDIGGGGGGGDEKSDLLGYVVFSGKLVLDKRKISVNNNKNDTQQASFDTTNQASVDAKLTSKALIWGSHVLHLDDVISVSYHAGLKHFTVHSYPMKKASCGLSCFIKSRRSRKDFRFVASTIEEAIQWVGGFADQHCFINCLPHPLVSSKKQASSELFQTDTPSELLFRCKTPPKMLVILNPRSGRGRSSKVFHDTVEPIFRLAGFRLEVVKTTSAGHARNLASTVDISTCPDGIICVGGDGIINEVVNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPISAAMAIVKGGLTATDVFAVEWIQNNKIHFGLTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPRYSYEVEYLPASKTEREGKLSGEKEVVDISELYTDIMGRSNKDGLPRASSLSSIDSIMTPSRISGGDLDTCSSTHASTEPSELVRGLDPKSKRLSSGRSNITSEPEVIHPQLPLSTTPNWPRTRSKSRNDRGWTGLTTTHDTSKWGNTTNDREDISSTLSDPGPIWDAEPKWDTEANWDAENPIELPGPPDDTEVGSTKEAVPHFGEKWVVSKGQFVGILVCNHACRTVQSSQVVAPKAEHDDNTLDLIMVHGSGRLRLLRFFLLLQMGRHLSLPYVEYVKVKSVRIKSGKHTHSGCGIDGELFALNGQIISSLLPEQCRLIGRFRI >CAK8579563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707923839:707927769:-1 gene:gene-LATHSAT_LOCUS31498 transcript:rna-LATHSAT_LOCUS31498 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVDNVLDVTKESVKTFTYESLNNIVRLINGISALLLALLPGKANILEGIQGWELRPTFRGPRLPRWMENGVSSFNQFIHELSVDSDVESLDYSAGEEEEEYDDGYDDYYPETPSSVSSRASSRASFNAYSRHRMDWIRYILLCILISVKFVLGIPFRLFWLVYDGISKPRSVSGNQQSAQSHLHAKVQILKDQIIHRTTDRRRGIIEDIHLALEIFIEAVFDIVHKGVYLLLSPSKAFGKLSRLFSSHERGVEDDDNVVNASVSTAMLGENDPTPTERNTDFRQSFSTDARTCQDVITELGYPYEAIHVITADGYVLLLERIPRRDARKAVYLQHGVFDSSMGWVSNGVVGSPAFAAYDHGYDVFLGNFRGLVSREHIDKNISSREYWRYSINEHGTEDIPAMIEKIHQVKTAELKLSTPNIEEENNGDQLYKLCAISHSLGGASMLMYIITRRIEEKTHRLSRLILLSPAGFHHDSNLAFSVAESVIFFLAPVLSRIFPAFYIPTRFFRMLFNKLARDLQNLPAAGGLVQTLLGYVLGGDSSNWVGALGTPHYNMNDMPAVSFYVGLHLAQIKRSRRFRMFDYGSASANIEVYGSPEPLDLGEHYWLIDIPVDLVAGHKDRVIRPSMVKRHYRLMKEVGVDVSFNEFEYAHLDFTFSHREELLSYVMSRLLLVKTLPKHEVSRRSLRLRKKEHVP >CAK8570090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23480549:23482714:1 gene:gene-LATHSAT_LOCUS22873 transcript:rna-LATHSAT_LOCUS22873 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELAWPQFIRNHLIMVGSRPRSHLKRPNWIIVLVFVVCFFLIAAYIYPLWSVLSACSFFSSQGCSFIDGSGSTYSQPPPVQTREFSDGEVESRVVINEILKYYPVQTKVPKVAFLFLTPGSLPFEKLWHTFFQGHEGKFTVYVHASKEKPVHVSSYFVGRDIHSEPVTWGKVSMVEAERRLLANALLDPDNQHFVLLSDSCIPVRRFDFVYNYLLLTSVSFIDSYVDLGPHGNGRYVERMLPEVEKKDFRKGSQWFSMKRQHAIITMADSLYFTKFKHHCRPNMEGNRNCYSDEHYLPTFFNMIDPGGIANWSVTYVDWSERKWHPRSFRAQDITYQLMKKIAYMDESPHYTSDSKRTVVITPCELNGLKRSCYLFARKFYPETQDKLIKLFSNSTTF >CAK8562531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506520585:506522471:-1 gene:gene-LATHSAT_LOCUS16021 transcript:rna-LATHSAT_LOCUS16021 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAESATVMIHHPGNKIERIYWSVSAHEVMNSNPGHYVALIVSSPTIKSENGTPSKHLKLLRPDDTLLIGQVYRLISFEDVLKEFTSKKCGKLGKLLKESGNHGVQMKHKDSRGPNPSPSSKSEYGQVKVEQETQGMENSGSSRRNNKVVGRHLVGGSGQWRPALQSIAEFGT >CAK8531800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152600626:152608696:1 gene:gene-LATHSAT_LOCUS1565 transcript:rna-LATHSAT_LOCUS1565-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSCSSNVNKDVPMCSTSTVNISHSHQSFGGGFVQQPVFASGWMYVNEHGQMCGPYIKEQLYEGLTSGFLPFELPVYPVINGTTMNPVPLNYFQQYPDHVSTGFAYMGMNFSDTKMSTNGSFSSQDMTLYEQDRSFEPAALMAVNANSKSISQSNVNDYTKESNHLNLNSEAFRRFISCQMSGGECCWLYEDKKAMKQGPHSISELISWHHHGYLEDSTVISHFDNKYGNFVLLAAVNALKGDISGTICGSASKINGVGDVMNLNCEISEDISSQLHMGVMKAARRVVLDGIIGDIISEFVNEKKCKNQKLESSDRTSETCMMDNKMMNNGTSISPSEPAPSHFLDDQACREISRPSSTSVKAVGSIENFWWSYSVVRKALFDYCLQVMWNAVFFDTVSEYLFSWRKRKYWSHPKPPLTVDESKDYVKKIKSEALVLRPGSSICSVDADIQCGVMATEKDYHPELFLSANNLKSRNIAEGQTVSCSYDNSKVLTCILERVENDLHFSAKVSLADYVLSVVEKEVNQVIPSLEKDKFSEVDGSNCHISEMLTSKTSVKEILNDKSVDPVKNRDLSCMSSPGNRMSNVFSKAFQELCGHLNDVVDEEEFGDMPPGFENNSQIIFPPYNSKFRPSRIVECNPKITEYVAVALCRQKLHDEVLEKWKLSILDSTFKQVLMSSCTIKKNCQSRGHERKSFGANKEHLNGATSGLGKRKEGSKSSGVCLLDGKYAYQRKKLPRKEFCSFQPVVEDNLGPGKKPLANLWKHVSGDVNESSEVKITAIKGGKTEMIKGKKDASSKSGSSVNVDNSSPNDQLSLKNKTSQKALKFAHTVQNGVMDIMKFNEKRSSASSKSGSSFNVDNSSPNDQLSLKSKTSQKALKFAHTVQNGVMDIMKFNEKRPSASSKSRSSFNVDNSSPNDQLSLKNKTSQKALKFAHTAQNGVMDIMKFNEKRPSASSKSRSSFNVENSLPSDQLSLKNKTSQKVVKFAHIVQNGVTDIMKFNEKRLSPSTDNVVGMKVAKRNNSDVTIHRKTTGHISREELSVTNKVSKSKRKHQTDGVTSSHPAKVLKISNRGSSLRASKQVTEALKESAISKSLDLCPKSNGCSRTSINGWEWHKWSLSASPACRARVRGLPRFQNKSRNSENISSQMSNSKGLSARTNRVKLRNLLAAAEGADLLKVPQLKARKKRLCFQRSKIHDWGLVALEPIEAEDFVIEYIGELIRPRISDIRELQYEKMGIGSSYLFRIDDGHVVDATMRGGIARFINHSCEPNCYTKVISFEGQKKIFIYAKRHINAGEEITYNYKFPLEEKKIPCNCGSKKCRRSLN >CAK8531799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152600626:152608696:1 gene:gene-LATHSAT_LOCUS1565 transcript:rna-LATHSAT_LOCUS1565 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSCSSNVNKDVPMCSTSTVNISHSHQSFGGGFVQQPVFASGWMYVNEHGQMCGPYIKEQLYEGLTSGFLPFELPVYPVINGTTMNPVPLNYFQQYPDHVSTGFAYMGMNFSDTKMSTNGSFSSQDMTLYEQDRSFEPAALMAVNANSKSISQSNVNDYTKESNHLNLNSEAFRRFISCQMSGGECCWLYEDKKAMKQGPHSISELISWHHHGYLEDSTVISHFDNKYGNFVLLAAVNALKGDISGTICGSASKINGVGDVMNLNCEISEDISSQLHMGVMKAARRVVLDGIIGDIISEFVNEKKCKNQKLESSDRTSETCMMDNKMMNNGTSISPSEPAPSHFLDDQACREISRPSSTSVKAVGSIENFWWSYSVVRKALFDYCLQVMWNAVFFDTVSEYLFSWRKRKYWSHPKPPLTVDESKDYVKKIKSEALVLRPGSSICSVDADIQCGVMATEKDYHPELFLSANNLKSRNIAEGQTVSCSYDNSKVLTCILERVENDLHFSAKVSLADYVLSVVEKEVNQVIPSLEKDKFSEVDGSNCHISEMLTSKTSVKEILNDKSVDPVKNRDLSCMSSPGNRMSNVFSKAFQELCGHLNDVVDEEEFGDMPPGFENNSQIIFPPYNSKFRPSRIVECNPKITEYVAVALCRQKLHDEVLEKWKLSILDSTFKQVLMSSCTIKKNCQSRGHEKRKSFGANKEHLNGATSGLGKRKEGSKSSGVCLLDGKYAYQRKKLPRKEFCSFQPVVEDNLGPGKKPLANLWKHVSGDVNESSEVKITAIKGGKTEMIKGKKDASSKSGSSVNVDNSSPNDQLSLKNKTSQKALKFAHTVQNGVMDIMKFNEKRSSASSKSGSSFNVDNSSPNDQLSLKSKTSQKALKFAHTVQNGVMDIMKFNEKRPSASSKSRSSFNVDNSSPNDQLSLKNKTSQKALKFAHTAQNGVMDIMKFNEKRPSASSKSRSSFNVENSLPSDQLSLKNKTSQKVVKFAHIVQNGVTDIMKFNEKRLSPSTDNVVGMKVAKRNNSDVTIHRKTTGHISREELSVTNKVSKSKRKHQTDGVTSSHPAKVLKISNRGSSLRASKQVTEALKESAISKSLDLCPKSNGCSRTSINGWEWHKWSLSASPACRARVRGLPRFQNKSRNSENISSQMSNSKGLSARTNRVKLRNLLAAAEGADLLKVPQLKARKKRLCFQRSKIHDWGLVALEPIEAEDFVIEYIGELIRPRISDIRELQYEKMGIGSSYLFRIDDGHVVDATMRGGIARFINHSCEPNCYTKVISFEGQKKIFIYAKRHINAGEEITYNYKFPLEEKKIPCNCGSKKCRRSLN >CAK8533582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639151819:639152787:-1 gene:gene-LATHSAT_LOCUS3201 transcript:rna-LATHSAT_LOCUS3201 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLESITPLIFFIITLNNFYGNVEGHQATFYIHNKCPFPIWPATAPNTGQPVIAEGGFYLPSSQTKKILAPWSWSGRIWARTGCDFASNTWKPSCETGDCDGRLACNGLIGTPPVTLVEITLQGDKGKPNFYDVSLVDGYNIPVSVVVPNKNVNSKCNIQGCLKDVRSLCPHELEVLNSNGEVVACKSACLAFDLDNFCCRNGYGSPEKCKPNVYSKIFKEACPNYFSYAFDTPNPLVSCGSLEYVITFCPNGWGGAAAYVAKNRIEVSEI >CAK8564755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8186620:8189700:-1 gene:gene-LATHSAT_LOCUS18026 transcript:rna-LATHSAT_LOCUS18026 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDGDSGTQLSDGSYRNDHKLNSRGGLVERIAARTGFNAPRLNTEGIRSTELSLNSEIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKSKLNGFNDMYASSFAFKPTPDTGPSFYHGAGRNINQTTLPQQTLHGFEASVQSQRVDATENKSSLHLKAEFSDSPPQKDNSAPMEDQAEEEGEQRVNGDTIVAGVGGTPSEDGYNWRKYGQKQVKGSEFPRSYYKCTHSNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPSRRSGIGSANPHADMQVDTLEHVEAQGNIANWKPENLEATSAASAIAEYGNQSTNLQTQNGITHLDSGDAVDGSSTFSNEEEEDDQGTHGSVSLGYDAEGDESESKRRKLESYAEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHVNANNASNAAQGQASGLLQNHAHRTEPSQIHNGMGRPSLGSFNFHGRQQLAHPHGFSFSMNQHLSNLAMSGLGPSSRMPMNPFIAHQQQQHQQQQQQQQRAANEMGFMLPKGEPNIDANSERGSLNMQNGSSSMYQDIMNHMPLGPHM >CAK8537197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:127960317:127960574:-1 gene:gene-LATHSAT_LOCUS6503 transcript:rna-LATHSAT_LOCUS6503 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNFGGKFWGCGNFRNHVNMGCNFFKWFDDDIIDERELKIQRQKKKIYKLKNEIINTRGWLKFSIIIGILSLLFNVIFVTIVF >CAK8569519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3867068:3867352:-1 gene:gene-LATHSAT_LOCUS22362 transcript:rna-LATHSAT_LOCUS22362 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFQHVHREKEITQDQINAGNKIMQILIDADVNEDGRLSKEEIKKALKNLGAYFPGWKADRCLKKLDRNEDGQINDDEIDDLVNYLVGQGFGK >CAK8579481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701996622:701999534:1 gene:gene-LATHSAT_LOCUS31425 transcript:rna-LATHSAT_LOCUS31425 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGEYYKSLPPITKAYGTACFVATAVYQLGLYNPFYIALLYDQVFYRFQVWRLFTNFFFLGGFSINFGIRLLMILRYGVQLEKGVFDRRTADFLWMMIFGAFALLVLSVIPFFWTPFMAVSLVFMLVYVWSREFPNAVVSFYGLVSLKAFYLPWVTLALDVIFGSPIMPDLLGIIAGHVFYFLTVLHPLAGGKNILKTPMWVHKLVARWRIGAPPITRVNNVQQESSSGVFRGRSYRLNG >CAK8573724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633134173:633137195:1 gene:gene-LATHSAT_LOCUS26133 transcript:rna-LATHSAT_LOCUS26133 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDNTSSSNKETTKVEAVLELIRKQTPLTVKQEKFCNYACVKRFLKMKGDNVKKAAKQLRACLSWRDTIVTDQLIADDFSAELAEGLAYVAGHDDESRPVLIFRMKQDYQKLHSQKLFFTRLLAFTMEVGISSMPKNVEQFVMLFDASFYRSASGFMNLLLGSLKIVGEYYPGRLCKVFVIDPPSLFAYLWKGVRPFVELSTCTTIVSSLDFEESMDYNDFSAYPRASSLRFDPSTIKSTAKIGSCSSSRFSFTVSHQLDSLKPWYLSLGDTSASKVGPTSPSPASLISPLNARSFSFASPVARNPLGPPASRKGLFPSTPLPQRVTVPHRTTSSFLQSPATFFRRDNGKVERGRESFFPYVKFYRRPYDEMVYRSKMRPPLGGLVSIVSTHVRRRHNHLSVSQRF >CAK8564523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679673197:679675560:-1 gene:gene-LATHSAT_LOCUS17822 transcript:rna-LATHSAT_LOCUS17822 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMTGVAVFPCNNVLRLTRHCSRIHHHNNGRISKSRLVVRNSSKLDYGVAEADVSKLDYGVAEADVIVIGSGIGGLSCAALLARYEQNVVVLESHDVAGGAAHSFDVKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGESVPCATYDSWMVYVPEADFLSRIGPTEFLKDLQNYAGSEAVQEWQKLLDAIRPLSTAAMALPPLSIRGDFGVLYTAAARYAPSLFNTFLQMGPQAAIRSTQLLSPFSQILDSLELKHPFIRNWIDLLSFLLAGVKSDSILSAEMVYMFAEWYKPGGCLEYPLDGSAGIIDALVRGLEKFGGRISLQSHVEKIVIENDRAVGVKLRSGQFIRAKKAVVSNASMWDTLKLLPEEIVQKSYSERINTTRQCESFMHLHLGFDAEGIRDDLGIHHIVVNDWERGVDADQNVVLISVPSVLTPNLAPSGKHVLHAYLPGTEPFELWEGLDRRSAEYRNLKAQRSEIMWRAVERAVGEGFSREKCEVKLVGSPLTHERFLRRNRGTYGPAVKAGKDTFPGHSTTIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >CAK8530345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15889505:15897817:1 gene:gene-LATHSAT_LOCUS215 transcript:rna-LATHSAT_LOCUS215-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFFPPPLKRPISGLPDPIPNGSSKRPKPSKRQQPLSVSPGQVTFRLLCNSSRIGGVIGKSGSVIKTLQQSTSSKIRIEDSPNESPDRVITVIASGVPDGKVILGGEAIDVSNAQEALLRVFDRILEVAAEMEGVELGDRIVSCRLVADAAEASSVIGKGGNVVEKIKKDTGCKIRVCRDNLPACISSPDEVIEIEGSVSSLKKALVAVSHRLQDGHHADRTEMVSHRLQDGHHTDRTKVTGQNPHEALVGVPRETLNSAPHDTFIGAPRETLIAAPCETLTDLHEDHLLQRGSSLPTLHNCSSSYATGVHSLSAEVNRVSSLEPKAHQQEINFKIICSNDRVGGIIGKGGNIIKALQSETGATISVSPSVAKCEDRLITITASESPGSRYSPAQKAAVLVFSRSVEAGIEKGIDPGLNTGLSVTAQLVVPSNQVGCLLGKGGAVVSEMRRTTGASIRIIGTDQVSKCVSDDDQVVQISGEFSNVQDALYNATGRLRDNLFGDTQNSAGTRSLSSVQADTSPYGRLRDIPLGGQSAAGISHSRTRHTFSQGIDHFALSRTYDRPSSPGLWAPSGVAGINSRSINEASWGLTSRKGGIELVSGSKSAIVTNTTIEIVVPEDTLYLVYGENGSNLARLRQISGAKVVIHEPHPGTSDRTIVLSGSPDETQAAQNLLQAFILDGSS >CAK8530346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15889505:15897817:1 gene:gene-LATHSAT_LOCUS215 transcript:rna-LATHSAT_LOCUS215 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFFPPPLKRPISGLPDPIPNGSSKRPKPSKRQQPLSVSPGQVTFRLLCNSSRIGGVIGKSGSVIKTLQQSTSSKIRIEDSPNESPDRVITVIASGVPDGKVILGGEAIDVSNAQEALLRVFDRILEVAAEMEGVELGDRIVSCRLVADAAEASSVIGKGGNVVEKIKKDTGCKIRVCRDNLPACISSPDEVIEIEGSVSSLKKALVAVSHRLQDGHHADRTEMVSHRLQDGHHTDRTKVTGQNPHEALVGVPRETLNSAPHDTFIGAPRETLIAAPCETLTDLHEDHLLQRGSSLPTLHNCSSSYATGVHSLSAEVNRVSSLEPKAHQQEINFKIICSNDRVGGIIGKGGNIIKALQSETGATISVSPSVAKCEDRLITITASESPGSRYSPAQKAAVLVFSRSVEAGIEKGIDPGLNTGLSVTAQLVVPSNQVGCLLGKGGAVVSEMRRTTGASIRIIGTDQVSKCVSDDDQVVQISGEFSNVQDALYNATGRLRDNLFGDTQNSAGTRSLSSVQADTSPYGRLRDIPLGGQSSLRADTGPYVRLKSIPFVGQSSLQADTSPYVRLRDVPLGGQSSLQADISPYVRLRDVPLGGQSSLQGDTSPYGRLRDVPFGGQSSLLADTSPYGRLRDVPLGGQSSLQADTSPYERLRDLPLGGQSSSQADTSPYGRLRDVPLVGQSAAGISHSRTRHTFSQGIDHFALSRTYDRPSSPGLWAPSGVAGINSRSINEASWGLTSRKGGIELVSGSKSAIVTNTTIEIVVPEDTLYLVYGENGSNLARLRQISGAKVVIHEPHPGTSDRTIVLSGSPDETQAAQNLLQAFILDGSS >CAK8560431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18951661:18954487:1 gene:gene-LATHSAT_LOCUS14100 transcript:rna-LATHSAT_LOCUS14100 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLGFSLTPHFGQEFATENQNQNHGEGSETGRNYVPSSPHPHPHQHLSVMPLRSDGSLCVSDSFTPQEWRYENGITGGNSNEDGPKLEDFLGCYSNQNQNSTNISKINVNVSPSFCTNNNTEIETVENHTHNHHTHNHHNHNPHNHNHLSNQSLIHSFHHAYTDNNNNHHALINNSTMYKSWMTQSQFSEGKSSNDGNCSFQSLNLTMSPSVSNGVSVGVGVGVGGAISNVQMNEDPRKRSLSKSGSAREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAAKAYDLAALKYWGPTTHINFPLSTYEKELEEMKNMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGDLAKRSPKESAPPAATTVEDFNSCGSTSTHSQPPPLAITDREQQHSDELSNMVWNSNKDEQPPQNECGNNTNVVVAESSQLGDGSPSNKNEMNKLPNEFGGSGADYGHGYFTLQGPKFDDGNNENRLGNLGLVNQVPMFALWNE >CAK8573343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604587458:604591503:1 gene:gene-LATHSAT_LOCUS25803 transcript:rna-LATHSAT_LOCUS25803 gene_biotype:protein_coding transcript_biotype:protein_coding MFKMPPQKDPDKFFTSISNGENKQFDYLRALYEGCIAGGVAGVAVEAALYPIDTIKTRLQVARAGLKIDFKGLYSGLAVNLAGSLPASAIFIGVYEPAKQKLLEIFPENLNALAHIAAGAIGGAASSLVRVPTEVVKQRIQTGQFKSASSAVRLIIANEGFKGLFAGYGSFLLRDLPFDALELCIYEQLRIGYKVAAKRDLNDPENAMIGAFAAAITGAVTTPLDVIKTRLMVQGTQKHYNGICHCVRTIVKEEGANALFKGIGPRVLWIGIGGSIFFGVLEKTKKVLAQRNPQTDAEK >CAK8573344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604587467:604591503:1 gene:gene-LATHSAT_LOCUS25803 transcript:rna-LATHSAT_LOCUS25803-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQKDPDKFFTSISNGENKQFDYLRALYEGCIAGGVAGVAVEAALYPIDTIKTRLQVARAGLKIDFKGLYSGLAVNLAGSLPASAIFIGVYEPAKQKLLEIFPENLNALAHIAAGAIGGAASSLVRVPTEVVKQRIQTGQFKSASSAVRLIIANEGFKGLFAGYGSFLLRDLPFDALELCIYEQLRIGYKVAAKRDLNDPENAMIGAFAAAITGAVTTPLDVIKTRLMVQGTQKHYNGICHCVRTIVKEEGANALFKGIGPRVLWIGIGGSIFFGVLEKTKKVLAQRNPQTDAEK >CAK8568077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:570102517:570109805:-1 gene:gene-LATHSAT_LOCUS21073 transcript:rna-LATHSAT_LOCUS21073 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTDSPVYTRQWSENSSSTGSSSPVMSPAHHQSRLGPTSTGISTIKRTQNVAAKAAAQRLARAMATRTVDDDEDEDDDLEFGFSVPPASAHSSFSSNRSSTANANVIPPISIARPNRSPSPALGRNFVEHTQSVRSSSAGRPAVSVRSAQVVPPPKSTIRTPMPVPPIDPPTNRNREKRFPQDITIRQLNSKDTGDQREASALRDELDMLQEENDALFEKLKQAEEKRQEVEIRSRELEKQVASLGEGVSLEAKLLSRKEAALRQREAALLAAKQTRSERDEDLTALRVELQNLKDDAAAAEEQRQEAEAEAKALRTMTERMILTQEEMEEVVLKRCWLARYWGLSVKHGICADIAQSKHEHWSALAPLPFELVTSAGQKAKEESWNKSADGSDRSKIVRDVNDLAGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPNLIRQSVLDSKSPGDAKYLEAFELSEEEAEDVLFKEAWLIYFWRRALFHGVEEDIAEDRLQFWIARNGQAPTSHDAVDVERDLLELRKLGIEQQLWEASRKGIDQPSGLSTLDNHKPASDSDSSS >CAK8537649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415234767:415236727:-1 gene:gene-LATHSAT_LOCUS6915 transcript:rna-LATHSAT_LOCUS6915 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEQRRKRLKEMRLQADLAEDSGGGGGGGEASGTQGILSNPLAEAPSIMPPPDAAPRFNYYTDPMNAFSSDKRSSGNVRPAPEYLPPPPPLPLNFGGSPMVQFSSPHTESTNLQMSPSPTQTLPAPYRNPVWNRPRGPLQYNFPFRPSGGGTYLSPRFEPPGGPSYNNAPGMNQWPNHNSNPSSGYSPNHSEGYSPSPSSGYSPNHSPAFRNSPNTSQGRGRGFWHSTRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYYKRSMIEDPWKCLKPIIWCSTYHFSNISFTPENSKPQALSESTSTKREGPSAVFSKSNSGPSLAEYLASAFNEAANTEE >CAK8564990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21773552:21776020:-1 gene:gene-LATHSAT_LOCUS18234 transcript:rna-LATHSAT_LOCUS18234 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSCYNILLISIIMLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTIFNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGNNNVTMDLSTNLWSYKVGLNGERKHLYDLQPRSSVSWKTNSSHIPIGKPMTWYKAEFKAPFGTNPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8564991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21773552:21775975:-1 gene:gene-LATHSAT_LOCUS18234 transcript:rna-LATHSAT_LOCUS18234-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTIFNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGNNNVTMDLSTNLWSYKVGLNGERKHLYDLQPRSSVSWKTNSSHIPIGKPMTWYKAEFKAPFGTNPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8566570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:437403449:437403721:-1 gene:gene-LATHSAT_LOCUS19691 transcript:rna-LATHSAT_LOCUS19691 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSKIASFFFTEFPDDHEAREMFDIFKEYDLVLEVIIPSRRDKRGKIFGFVRFRNIEDSRMMAIKLDKIMINGRKIYANIPWFQRRNA >CAK8563513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611994139:612000721:1 gene:gene-LATHSAT_LOCUS16913 transcript:rna-LATHSAT_LOCUS16913 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNVEKIPSNNSTGSSETTIEIKIKTLDSQTYSLKVDKQMPVPALKEQIASVTGVISEQQRLICQGKVLKDDQLLSAYHVEDGHTLHLVVRQPDLPPPGSLPNHAVTESNSSTSHNHSTQVAPGVFIETFNVPVHGDGVPPEINRIVSAVLGSIAGLPNFASGGEGIIVREHDSQGLGRTSDSNGVSDPNRPPPDQTGLRSLSDRLRNTFGFPASVSLGSLQPPVIPDSLTTLSQYLSHMSHEFDTIVREGENNAQAAEAHTNVEIGSVSSRLGSTPESRSSPASLAEVLRSTRRMITEQAGECILQLARQLENQADVTDAQLRSTAQSRALATGVLFYNLGAFLLELGRTAMTLRMGQTPSEAVVNGGPAVFISPTGPNHIMVQPLPFQPGASFGAVPVGAAQSDSGLGSGLGSNFFPRRIDIQIRRGTSTTTPNANQEEHGDTQPASVQRNPAENSANQASARRPDASTAGEAGIRIVPIRTMVATVPGPLGGLSEPSAANSAGLYHPVIGRFQRVTTGHADSEQGSQSASQHPAAQHSTPESTLLRQSAEDSARNGTSSTPNARPELFSSRVVNINILSAGGPQNNQESDRQVPSNVIQFLRTLFPGGEIHVEDSSSQGANAGSAAVLAATTTTAAPQVPEAEPPVSDEGVFLSNVLREIMPVISQQVGSERNSSDDQMAQDSSTQVETNAGTSRRHSDSESSAPDPKRQKME >CAK8532499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271389179:271395417:1 gene:gene-LATHSAT_LOCUS2198 transcript:rna-LATHSAT_LOCUS2198 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMKKSSEQNRFEAVFNKLLSQIGEPVDFELPDWIKIRTTQYTHIKRNIYVTKKNKRKFDDGIFCSCESSPDSTSVCGRDCQCGMLLSCCSSGCKCGVTCLNKAFQHRPVKKLKLVKTEKCGSGIVADEDIKLGEFVIEYVGEIIDDKTCEQRLWNMKDRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAARNIEKGEHLTYDYQFVQFGADQDCHCGAIQCRRKLGARPTKPKMSSDAALKLVAYQVCQNGGLQIGQIGSSRVVDQSKCLYNCVDEVIMIKQLGNVRFGIIKWFDEYTRKHKIMFEDGCVEIHDMSKQNWELVRL >CAK8569882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14160601:14163863:1 gene:gene-LATHSAT_LOCUS22686 transcript:rna-LATHSAT_LOCUS22686 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFTAMASGESKLPLQTQRLQIYPKPNSGVSPFWREKYEREAKRNWDVFYKRHKDKFFKDRHYLDKEWGDYFSGGGKKVILEVGCGAGNTIFPVIASYPDAFVYACDFSPRAIELVKAHEDFKESHVHAFVSDLTADDLCKEITPSSVDIVTMIFMLSAVSPEKMPLVLQNIRKVIKPSGYVLLRDYATGDLAQERFSGKDQKISDNFYVRGDGTRAYYFSNEFLTSLFKENGFDVHKLDVCCKEVENRSRELIMKRRWIQGVFHVSDGSVSSSSKEAEANHLDSDNNIGTAINQNDYGSLTETVIDMSEGVAADMFGVLPSNEYEVIEIKLRGWSFKINLLSKEYQHTCKSTGLMLWESARLMASILAENPNIVAGKKVLELGCGSGGICSMIASRHADQVVATDGDDFALDLLAKNVASNIESPLLDKLTTKKLEWGNKDHIESIKKLSNGGFNVIIGTDVTYVHEAILPLFATAKELIACSGSNTDDNVSALILCHIFRRVDEPTLLSAAAQFGFRLVDRWPTTGNSTETSRSVIDNWFVENDLKNDLPSAALNILLFCKD >CAK8533565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637166259:637167050:1 gene:gene-LATHSAT_LOCUS3185 transcript:rna-LATHSAT_LOCUS3185 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPNTQPINTTSSLSLPKLCKKTNSHKIENLIEYSHVPEDAQISETIPPLLSSYNIFKRQRCVTRSIRNLISTNRPHMKEYVQSSRLDQCSLRATNQEQYVDLEIPQYLINHWKTEGYTALHFGVVKLILSLHGRKNQPVFCKIALLDSSYLHYENVVIETVLTTLHAGSVVLTIFPNYNVSLNDNTLSTRLKVQIQITGTDQVPEAMSATLHHQIIYRLQNHSIDLPISGCSYDSLLVVTNREEDIPSIVQIPRKITRE >CAK8536133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910712948:910713565:-1 gene:gene-LATHSAT_LOCUS5531 transcript:rna-LATHSAT_LOCUS5531 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILKQRDSLVDIQQVWNRMLTSDKFRMTDIYLAICSNAPKVMWKNILRNNVARPRALITMWFACHGRLATKQRLFRFGMITDDRCCLCTKEEETINHILFYCPETVHIWTKVLDWIQIPHVPRPWDEEMDWVCKSTSGKGWRASLLKLAITETVYGIWQYRNDICYGNIIDKIKIGDNIMDMIVYRGWYNQKLRPHIAHLMTY >CAK8578276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616478668:616483844:1 gene:gene-LATHSAT_LOCUS30301 transcript:rna-LATHSAT_LOCUS30301 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVWILISLSLSLLATLVRSDSSDHRYTDGDSVPLYANKVGPFHNPSETYRYLDLPFCVTGKEKEKTEALGEVLNGDRLVSAPYELHFKKEKDSNVVCKKKLTREEVAQFREAVKKDYYFQMYYDDLPIWGFIGTVDKEGKSDPSEYKYFLYKHIQFDVLYNKDRVIEISARMDPHSVVDVTEDKEVDVEFMYTVKWKETEIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKYKSIFAAALGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGTNWVRNLLLTGCLFCGPLFLMFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTSPLLVLGGIAGKNSKTEFQAPVRTTKYPREIPPLPWYRSTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMSCICYGFFLMLGSIGFRASLLFVRHIYRSIKCE >CAK8565866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345493786:345496350:1 gene:gene-LATHSAT_LOCUS19045 transcript:rna-LATHSAT_LOCUS19045-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSRRSRYSPSPSPSPSPYRRYGRSLSRSLSRSRSRSRSRSISRDAENPGNNLYVTGLSPRITKRELEKHFAAEGKVIDVHLVVDPWTRESRGFGFVTMDTLEEADRCVKYLDRSVLEGRVIMVEKARRRRGRTPTPGKYLGLRTIRGRRRSPSYSPRRSPSYSPYRRSYSRSPSDRSRSRSYSPDYRRRRSYSPEYRRRKSYSRSRSPYSRSPVSTRVPSPSPYDSRYDSPDDSYYRRYRYRSVSRSVSPKPRRSRRRSYSRSASPVRSVSPRPRKRSGRSHSRSSRRSGGYAKHNHSRSRSSSVRASSRSFSRSNTPGSTPSN >CAK8565867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345493786:345496350:1 gene:gene-LATHSAT_LOCUS19045 transcript:rna-LATHSAT_LOCUS19045 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSRRSRYSPSPSPSPSPYRRYGRSLSRSLSRSRSRSRSRSISRDAENPGNNLYVTGLSPRITKRELEKHFAAEGKVIDVHLVVDPWTRESRGFGFVTMDTLEEADRCVKYLDRSVLEGRVIMVEKARRRRGRTPTPGKYLGLRTIRGRRRSPSYSPRRSPSYSPYRRSYSRSPSDRSRSRSYSPDYRRRRSYSPEYRRRKSYSPEYRRRRAYSPYSSRHRSYYYYDRHRSYSRSRSPYSRSPVSTRVPSPSPYDSRYDSPDDSYYRRYRYRSVSRSVSPKPRRSRRRSYSRSASPVRSVSPRPRKRSGRSHSRSSRRSGGYAKHNHSRSRSSSVRASSRSFSRSNTPGSTPSN >CAK8569460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1827341:1828103:-1 gene:gene-LATHSAT_LOCUS22309 transcript:rna-LATHSAT_LOCUS22309 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGFCAVFLMVVTVFTFFSFSGTITSPSWLPDLVGTKRADETTPVAVSRKLKENVKSDTGDRVSLEDYNPVDPTPGGDQKDVKPGPIEHGTPLMPFIPKSPPPKPGPGDYY >CAK8566661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446674965:446675867:-1 gene:gene-LATHSAT_LOCUS19776 transcript:rna-LATHSAT_LOCUS19776 gene_biotype:protein_coding transcript_biotype:protein_coding MRITIPTNTTVIIALQGEGNDVAFWQSVWISDLPFKVIFNGLFQCCSMKTVFVRDMGYWEEGQWNWKLRDSLIDSDISLEPDWSDCCKLLENVSVIPDESDKWRWLLHDSLSFKSLWKTVLPAKVQTLSWRLALDRLPTRSNLLKRRVFDSEQDLDYVFCSSSLEDVSHLFFSCIKSTQVWNSSLSGRCQANRVYSIWFITCWSIWRSRNECIFNNVVTEVDNIVFDIKLYSWNLLILCKKGSKKCCLYG >CAK8543226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590305227:590308658:-1 gene:gene-LATHSAT_LOCUS11997 transcript:rna-LATHSAT_LOCUS11997 gene_biotype:protein_coding transcript_biotype:protein_coding MACNGSGGCQSGCYKDEETTCDQPTVSSETDSRSSSNLCLKCKLNDVVSGYGGIDDGRFCADCFRSNLFGKFRLAVTSNAMISPTDKVLVAFSGGPSSRVALQFVHDMQERAHKNFEASRDRSLPVFGVGVVFIDESVVFPIPSHEMEEAVEAISLIVSSLAPPKKEFHFVPIETVYSSDSSDGKERLIELMNVVSDPTGREDMLLSLRMLALQKVASEFGYNRIVLGSCISRIAAHVLSATVKGQGYSLPADIQYVDARWEIPVVLPLRDCFIQEINMFCRLDSLKTIKLSTGPSSSINSLVSSFVALLQEENPSRESTIVRTAGKLIPFQFNRIPEIIDGNVPLATRRRQKRYNLKSNESVSSESFCPLCNSPLDKSEIVDWSNHKNCRSSDTFYTSCCSSCQFQILPPDSTSMEKFYIDLPHSVVARSNQVNNGKLNALREQIQDCLLSDGEDET >CAK8565410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:173602500:173603994:1 gene:gene-LATHSAT_LOCUS18628 transcript:rna-LATHSAT_LOCUS18628 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRKNLKAAAKKASGGDGDEEDED >CAK8575610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:204337340:204344380:1 gene:gene-LATHSAT_LOCUS27859 transcript:rna-LATHSAT_LOCUS27859 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLSQTFRSVKRPITNPKLSKTSTKSELKTNAKPKSELKTNAKPKSELKTNAKPKSDSWCVYLILSTNLPIKTYVGVTTNFPRRLRQHNGELKGGAKASRAGRPWICACIVCGFTDRSEACVFESIWKALTRRLPRKNQTGEPSKQSEDPSRPLLQHRQAALNRVKTSLDCTNLEINWHLDPL >CAK8563796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631391877:631395929:1 gene:gene-LATHSAT_LOCUS17171 transcript:rna-LATHSAT_LOCUS17171 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNPKNELGRALVKQHNQMIQQTKEKGRIYKKKFLESFTEVSDIDAILEQPDEDDKQLLDLPVPPPTALINLDPVSGSGFNGLTPEEMKKEQKNEEALHASSLRVPRRPSWSAEMSADELHTNETQHFLTWRRSLARLEENKKLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDSRDPLFYRCPDLEAYVKEVDVHKRTLLLVNKADLLPASVRVKWAEYFRAHDILFIFWSAKAATAVLEGKKLGSSQTDNMLNANNPVTKIYGRDELLTRLQSEAEDIVCRRRNSGSSDTGSSTIKSSGENTSASSSSNHVVVGFVGYPNVGKSSTINALVGQKKTGVTSTPGKTKHFQTLIISEKLTLCDCPGLVFPSFSSSRYEMITCGVLPIDRMTEHRECVQVVANRVPRHVIEEIYNISLPKPKSYESQSRPPLASELLRTYCASRGQSTSSGLPDETRASRQILKDYIDGKLPHYAMPPNVSNQELDSEDSTGHDQVNLHSNVSDSSDIEESSDVETVLTPKLEHVLDDLSSFDIANGLASNKVAAKKSKESHKHHKKPQRTKDRSWRAGKAGKDDTDGMPIARFHQKPVNAGPLNV >CAK8543406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605916438:605917019:-1 gene:gene-LATHSAT_LOCUS12163 transcript:rna-LATHSAT_LOCUS12163 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLSFSITFLVSLNLLISILSFATATKYYQSLSPTMLGFQEKKFSHLHFYFHDIVTGPKPSMVFVAEPNGKAKNALPFGTVVAMDDPLTAGPERDSKLVGKAQGIYTSISQEEMGLMMVMTMAFSDGEFNGSTLSILGRNMIMSEAVREMAIVGGTGAFRFVRGYAQAKFFSVDFTTGDATVEYDIFVFHY >CAK8542616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530810531:530815287:1 gene:gene-LATHSAT_LOCUS11440 transcript:rna-LATHSAT_LOCUS11440-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQSDLIDHFVKQASAASNAPALFSVIVDATSHPLLFAFSEILALPNVLQLEATDNKSYLDMLRLFAHGIWSDYKSNADRLPQLTPDQILKLKQLTVLTLAETYKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIHTLSNWLSTSENMLVSIQDKIKWADSMSENDKKHRKDVEEKVEEVKKSLFKKLHTVSRPTSTSEGMRRRSALNLVE >CAK8542615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530810531:530815731:1 gene:gene-LATHSAT_LOCUS11440 transcript:rna-LATHSAT_LOCUS11440 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQSDLIDHFVKQASAASNAPALFSVIVDATSHPLLFAFSEILALPNVLQLEATDNKSYLDMLRLFAHGIWSDYKSNADRLPQLTPDQILKLKQLTVLTLAETYKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIHTLSNWLSTSENMLVSIQDKIKWADSMSENDKKHRKDVEEKVEEVKKSLFKADIDFRGHEEEICTESGGVMDFEEERSRPKRRRHPIS >CAK8542683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537241291:537242463:-1 gene:gene-LATHSAT_LOCUS11502 transcript:rna-LATHSAT_LOCUS11502 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTPLFYALILLASTFSLHFQAHAAPAGPLIKHLSSLIKWTRSATKMPQSDGNVLQFENGYVVETVVEGNEIGVIPYRIRVSEEDGELFAVDKINSNIVRITPPLSQYSRGRLVAGSFQGYTDHVDGKPSGARFNHPKGITMDDKGNVYVADTQNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVHPTCSLLVIDRGNAALRQIILNQDDCDYQSSSISSTDILTIVGAVLVGYATCMLQQGFGSSFFSKTRHSRGEFKGQPSNEKHMPIPDSSKEDPGWPSFGQLIADLSKLSFEALASTFTQFIPSHFKSNSVNRGLTPLKDRLVMPEDEVKSPLVNRKTAHVTHTENRQMPQVHTPSTAEKYSEVKAPLINC >CAK8535506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855425423:855428206:-1 gene:gene-LATHSAT_LOCUS4961 transcript:rna-LATHSAT_LOCUS4961 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASFVVPGISSSQLSSQNQQKSASKWVFHGGVSVKSLKIMMHVVDHNQNQMGRGSGGGDVSHGLHKDLGSLPRPLSITDIVSASDSLAKVRISYKGIPGSYSEDAALKAYPNCETISCSDFEEAFKAVELWLAHKVVIPIENTSGGSIHRNYDLLLRHRLHIIGEVQLATNLSLLALPGVRKEHLKRVLSHSQAFELSDTFLNNLGVSRENVDDTAGAAQIVAANFLYDTGAIASIRAAEIYGLNVLAESIQDDSEIISRYLVLARDPIIPKANKPFKTSIVFTLDEGPGVLFKVLALFALRDINLSKIESRPQRNRPLRVVDDSNTGNAKYFDYLFYIDFEASMTESRAQTALEHLQEFATFLRVLGCYPIDTTI >CAK8530958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66291947:66292750:1 gene:gene-LATHSAT_LOCUS783 transcript:rna-LATHSAT_LOCUS783 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSQRSVVEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVIISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSAVFCLFGTRSYSSNSDAQMRFGGVPCYGRLIDIIVLSYDGFTVPMFKYEWANTINPRGIKIDKLGFTSINFTKLLHSREHEDNELYIQASESQMVFYVDDKNEEGCSILVHLNPGDLYDMGGNDEIMSPLSHIHHKIWNKFFRMMILELHQQMITIINI >CAK8566958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476178402:476178737:-1 gene:gene-LATHSAT_LOCUS20053 transcript:rna-LATHSAT_LOCUS20053 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGGFSHSVESGAGKRRVFAIGNYVNQRLLRPLQPRNEAIFLLYDHRLKLEDPPEIVLSLTYLDFSGSTNPRRDKSASDQASSQDRSNPPFKPTYKTSFH >CAK8534545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740328529:740330498:-1 gene:gene-LATHSAT_LOCUS4085 transcript:rna-LATHSAT_LOCUS4085 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEIKSSTSSNNPQGENELKSIDEKGSDGGEGDSPRNHDEDHNQFNEGSDEVEEIEPLAAGVKSEEEVQKDSKVDEGEGGKESVDVIEWDMKSDESSENKDVSVVRIKSDEGLNNGSRNSGGSNEVIETGTGKNAKDESYDNSVKETAAFDKEPVSLVKGSVNSAAESSAGSVNGVNSVSEMQSGDAGNNLLEKSLGSQGAETDLAVKRNEDKVRVLPDENVKTLSLEEPEPREFDGKVSSSPASQGAETDLAVKRNEDKVHVLPDENVKTLSLEEPEPREFDGKVSSSPASQGAETDLAVKRIEDKAHVLPDENVKTSSLGEHETREFEDKVSSSPVSQGVETDLTVKRNEDKVHILPDENVKTLIIEESETREFDGKVSSSPVSQGPITESTNGVERVKDSNTAECSENQSPVASAPHIVQKTSWLSCCGLFEALSRSNR >CAK8543415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606697579:606698118:-1 gene:gene-LATHSAT_LOCUS12172 transcript:rna-LATHSAT_LOCUS12172 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKSTLGRPSGTDGSDYSYRMVVDSRYQLVAKGKKRLSVLFIIEALFLLIGALFAVLRGKKDDTTNTVAVSSLMASFVLLIIADLGRRRSRSSFLRLYAVLSSLAMLLFTASLANQYSLLKVIQYFVNRGTSSFDTDFPSLQTGLLVYILTLSLFKISIIKAVVFLLFNMTPPKKAS >CAK8535872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887942205:887945202:1 gene:gene-LATHSAT_LOCUS5291 transcript:rna-LATHSAT_LOCUS5291 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSATSYVSDSLLEDVPHLSDYIPDLPTYPDPLQDNPSYAVVKQYYVNSDDTVAQQIVVHKNSPRGTLFRRAGPAQKVYFDSKEVYACIVTCGGLCPGLNTVIRELVCGLYHMYGVHKVLGIEGGYRGFYSRNTIPLTPKIVNDIHKRGGTILKTSYGGHDTSKIVDSIQDRGINQVYILGGFGTQNEAALIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSIGFDTAVEEAQRAINSAHVEAESAENGIGVVKLMGRCSGFIAMYATLASRDVDCCLIPESPFYLEGPGGVLEFIEKRLREQGHMVIVIAEGAGQELILPSDKSNKNRPDAASDDLFHDVGLWLSLKIKDHFARSKKMAINLKYIDPTYMIRAIPSNASDNVFCTLLAQSAVHGAMAGYTGFTVGPVNGRNCYIPFHLINEGQKRVVITDRMWARLLSSTHQPSFVNPQHTEEAKAE >CAK8573585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:622163856:622165432:-1 gene:gene-LATHSAT_LOCUS26018 transcript:rna-LATHSAT_LOCUS26018 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIAAKKRKMGDRKETTPLNKTAPKWPSIKPKNNLQITTLRDFDLFTVRNFFSSAESKAFVETAEGIGFVHQGSLGPAKGEAYRDNDRISVNDSVLADSIWNSGLSKMFSDIRIRGKSAVGLNPNIRLYRYKVGQRFGRHIDESVDLGDGKKTRYTLLVYLSGGPGELKPKSKNDSGKPVDSSFDRLVGGETVFYGSRNSTVAEVAPIEGMALLHIHGDKCLLHEGKNVTKGVKYVFRSDVVFA >CAK8560928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58476585:58480347:1 gene:gene-LATHSAT_LOCUS14565 transcript:rna-LATHSAT_LOCUS14565 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLMKKAFELSVLCDAQVALIVFSPRGRLYEFASSSTLETIERYCNHSRNNNTSTTSESVENTQHLKEEAENMMKKIELLETSKRKLLGEGLGSCSIDELERIEQQLERSITKIRAKKIQVYREQIDQLKEKEKALVAENVRLSEKVNFSSQQARKPYADYISLSSYVETELFIGLPETRTR >CAK8560672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35395187:35397167:1 gene:gene-LATHSAT_LOCUS14330 transcript:rna-LATHSAT_LOCUS14330 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSTVSSLIMMDEDLHPHQFSSSTSKLHTNGTPTSTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKIAESLELPCRYTSVGCPEIFPYYSKLKHESVCNFRPYNCPYAGSDCSNAGDISQLVAHLRDDHRVDMHSGCTFNHRYVKSNPMEVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDERDARSYSYSLEVGGSGRKLIYEGSPRSIRDSHKKVRDSHDGLIVYRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGVCIPNLCN >CAK8531895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172922091:172928144:-1 gene:gene-LATHSAT_LOCUS1655 transcript:rna-LATHSAT_LOCUS1655 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDEEYKHFLENRMFLQNQELDSWGLDETVSGYYDSSSPDGAASSKNIVSERNRRNKLNQRLYELRAVVPKISKMDKASIIKDAIEYIEHLHEQEKKIEAEIMELQSGMPNNNINPNYDFDQELPVLLRSKKKRTDQLYDSVNSTNSPIELLELRVTYMGENTIVVSLICSKRTDTMVKLCQAFESLNLKILTANMTCFSGKLLKTVFIQANEEDKDLLEIKIQTAIASLNDPLSPMSI >CAK8531896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172922091:172928144:-1 gene:gene-LATHSAT_LOCUS1655 transcript:rna-LATHSAT_LOCUS1655-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDEEYKHFLENRMFLQNQELDSGSWGLDETVSGYYDSSSPDGAASSKNIVSERNRRNKLNQRLYELRAVVPKISKMDKASIIKDAIEYIEHLHEQEKKIEAEIMELQSGMPNNNINPNYDFDQELPVLLRSKKKRTDQLYDSVNSTNSPIELLELRVTYMGENTIVVSLICSKRTDTMVKLCQAFESLNLKILTANMTCFSGKLLKTVFIQANEEDKDLLEIKIQTAIASLNDPLSPMSI >CAK8536384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936910537:936910878:1 gene:gene-LATHSAT_LOCUS5759 transcript:rna-LATHSAT_LOCUS5759 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPCGKEILCPCANCYNSNWFTRNEVKNHLIAFGFQKGYDVWVRHGEKKLKLDGLNDNHMNEEEDQIDDIDGLLHERFRDVVQE >CAK8536613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2519682:2520977:-1 gene:gene-LATHSAT_LOCUS5966 transcript:rna-LATHSAT_LOCUS5966 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFLKPSPSNTTPGSDIEPDKNTTLTPARRTSSEPVLAVPKSKGNYFDEDDDDDWGRKPTSTATSAASKAKYKTDFKDSGGLENQSVQDLENYAVHKSQETTDSVNNCLRIAEDIRSDATRTLDMLHQQGDQITRTHNMVVDTEKDLSKGEKLLNNLGGMFSMPWKPKKGKTITGPVITPDNSSKKNVKNKEDREKLGLAPLPKGRSAPTTPPNESANAYQKIDHEKAKQDDALSDLSDILGDLKGMAVSMGTELDSQNKALDHLSDDVDELNSRVKGANQRARKLVGK >CAK8570159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26543511:26546489:-1 gene:gene-LATHSAT_LOCUS22936 transcript:rna-LATHSAT_LOCUS22936 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARSWTQKYAMG >CAK8541330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:122337455:122338123:1 gene:gene-LATHSAT_LOCUS10259 transcript:rna-LATHSAT_LOCUS10259 gene_biotype:protein_coding transcript_biotype:protein_coding MENDANKNFFSTSSSPSTPTSLNSNSFINHNTKNSPQTKCKKRERNNENDTKHPTYRGVRMRAWGKYVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPKLAQNLPRPSTTSPKDIQVAASKAAAMVFVEIKDSCQGENGQDQVSSSIENVQESNSSSPSSSTTTTTNDDDNDDTLFDLPDLFPDGKNGLVSYSSSWLDEQFFSSWEYY >CAK8575808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329391712:329392602:1 gene:gene-LATHSAT_LOCUS28042 transcript:rna-LATHSAT_LOCUS28042-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEDQNKSEWIDRIRSEGAIPFLDPSKCSNGWTSPPGDAFMVRGPEYFTTKVKIPASGYLLKPLGFDWITSPMKIGEILKHPNSRVRKVIENEFPDGDRPFVWAFNLQLPTKDNYSAVAYFTNKEPIVEGSLMDRFLKGDDAFRNSRLKLIANIVNGPWIVRKAVGEQAICIIGRALSCKYSVTENFMEVDIDIGSSMVASAIVHLAFGYVTTLTVDLAFLIEGQTESELPEKLLGAFRFSNLDPASARPIEPSSVSSTASLQKSLPTRLWYSIGHILHPGSQEDGSSTSQKSN >CAK8575807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329391709:329392602:1 gene:gene-LATHSAT_LOCUS28042 transcript:rna-LATHSAT_LOCUS28042 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQEDQNKSEWIDRIRSEGAIPFLDPSKCSNGWTSPPGDAFMVRGPEYFTTKVKIPASGYLLKPLGFDWITSPMKIGEILKHPNSRVRKVIENEFPDGDRPFVWAFNLQLPTKDNYSAVAYFTNKEPIVEGSLMDRFLKGDDAFRNSRLKLIANIVNGPWIVRKAVGEQAICIIGRALSCKYSVTENFMEVDIDIGSSMVASAIVHLAFGYVTTLTVDLAFLIEGQTESELPEKLLGAFRFSNLDPASARPIEPSSVSSTASLQKSLPTRLWYSIGHILHPGSQEDGSSTSQKSN >CAK8534055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689707228:689710945:1 gene:gene-LATHSAT_LOCUS3629 transcript:rna-LATHSAT_LOCUS3629 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDEFVSYSPLPSNPNSNPYSYPYPQQNVVVLLPSYRPRSRHHHHRTCLIYSVALLLTLLIAAGIFILYPSDPEIRLVRIRINHIGIRTNPKPILDLSFSLTVKVRNRDFFSLTYDSLDVSVGYRGRQLGLVSSVGGARIKARGSSNVDVVLTVDGFEVIYDAFYLLQDIAKGVIPLETDTRVDGKLGLLFFDVPLKATVSCEVYVNLNKQTVVRQDCYPESLGDTLDRNVSIAAGETP >CAK8569223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:684626912:684632234:-1 gene:gene-LATHSAT_LOCUS22102 transcript:rna-LATHSAT_LOCUS22102 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGERIGEDMDLVHSENAAATAGGGSVSQDQEMVDQGDADATNVHSTPTPQAKVKNVAEMNNHSVINSAGEMSGYDETGTALEREAFMKELESFYRSRSLEFKPPKFYGVPLNCLKLWRAVIKLGGYELVTGSKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKRDTGELQLPVGSLHQPSSVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAESAVKDKNFSPTPKREKNLKSIGVINKQRTPSGMDHADKAANIEGDRQLVTAVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHVDNPWGITPFKKVVNLPARIDPLQTSAIVSLHGRLFVRVPFEQGAV >CAK8574891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13781608:13784185:1 gene:gene-LATHSAT_LOCUS27190 transcript:rna-LATHSAT_LOCUS27190 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLGSLETCKPCNNHIASPPNGTVSTIQKSTSSTTLASSESTLGSHLARRLVEVGVTDVFTVPGDFNLTLLDYLIAEPKLKNIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLAGIPHPTFSREPVPFSLSPKLSNKMGLEAAVEAAAEFLNKAVKPVLVAGPKLRVAKASEAFTELADKSAYPYSVMPSAKGLVPENHQHFIGTFWGAVSTSFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKSIIVEPNRVVIGNGLAFGCILMKDFLSALAKRIKRNNTAYENYHRIFVPEGVPLKSEQGEPLRVNVLFQHIQNMLSGKTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVPSKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGKCWTTKVTCEEELVEAIETATEEKKDSFCFIEVIAHKDDTSKELLEWGSRVSSANSRPPNPQ >CAK8579534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706377598:706383295:1 gene:gene-LATHSAT_LOCUS31474 transcript:rna-LATHSAT_LOCUS31474 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSDIPPKNVLFDSVSASNSKKLARQLDFNNFGGTQVTVPLPEQSQPPATLPPLPSAKLGKPESPKSKSRANSETKDATPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVDNEAARREAVEATLERNPNAFRPKIASSPHGTRENREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNNMVFIQQAANAAITGAIGSSGYSSPPVSRKRKGSELWPSVKDPSVSKLGQQANPVRGPGAPSSALSPVPVPRVGPSSLGPSKFMYRSLLADIIQPQHLKELCSVLVLVAGQATKTLADQKNLIDKHTEDRTETSLASSNQEQLPNQKEPDIEKAMADDCSSANQTDKTSPENSSSDGADVSKGRPMSPGTLALMCDEQDTMFITAAPSTGSMAHACNTSSQLPRGQGATEVYAEQERIVLTKFRDFLNRVITMGEINETKCSSLARSELEIQKDPIINSTENASTAAAHQQGLGATSNGVTKAVSNPTTSTSLVPCSFVSENGEIKPKVEK >CAK8572364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532312363:532315471:1 gene:gene-LATHSAT_LOCUS24937 transcript:rna-LATHSAT_LOCUS24937 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVTHLELGDSEFVELDPTGRYGRYNEILGKGASKTVYRAFDEYQGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLRHKRVNIRAVKHWCIQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEESYNELVDIYSFGMCLLEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRQFVDKCLATVSLRLSAKQLLDDPFLRIDDYEYDLRPVDSGEFDDFIPLIRDPLFDFHRSYSNFSNEYSNGFGYEGDSYSHPADNEHCGIELFEHHDDEPSEHVDISIKGKQKDDGSIFLRLRISDKEGHIRNIYFPFDIEMDTAISVATEMVAELDITDQDVTSIAEMIDGEIASLVPEWQSGPGIVETPRFANQGFCRNCVSNHTSSGSLMDYLSHNQGNLQLPEYCKHGCASMHGRFEEITFPSEECDNRVRENLNVSNRSDSLQYQGVWNQHESRELSPVESDQSHSDEQNDQLDKSISAEDQGQIVSENNFPPNATISPRYSSGTHDFSNIRSLYCALNNDYEKEIQKELRWLKARYQMELRELKDQQLGITDDKSSRSSNSNKEHKTNYAVIKGGINGIRSKPVHKSSPDSDAERAENCEAIESPGEGIVTAKSFYTGSLLPHSLHRTVSLPVDAFDI >CAK8560937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:60567113:60581466:1 gene:gene-LATHSAT_LOCUS14573 transcript:rna-LATHSAT_LOCUS14573 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKLIEDTTSRQVRFSKRRNGLMKKAFELSILCDAQVALIVFSPRGRIYEFASSSILETIERYRSHTRINNTPTTSESAEITQRLKEEAENMRKKIDLLETSKGKLLGERLGSCSIDELQRIEQQLERSITKIRVKRAEVFSEQIDQLKEKEKTLVAENSRLSEKYDSFSSQQAKKDGRENVVEVESYADQTSPISDVQTELFIGLPETRTRRISPNLRTN >CAK8562205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456587399:456587858:-1 gene:gene-LATHSAT_LOCUS15723 transcript:rna-LATHSAT_LOCUS15723 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTWTSGYDIHEVVPFVEWGPKGGRQGQSAAETLTFNRNSMCGEPGRTVGWRDPGFIHTSFQHRILSRAGLGDETCLPKGITSRPPNICMEVARQEEEVVMFGALYALFAKTSVNSRDIDIL >CAK8569505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3567806:3569169:-1 gene:gene-LATHSAT_LOCUS22350 transcript:rna-LATHSAT_LOCUS22350 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPFITLFYLFLSFLPLLSSSTLLFQGFNWESSSKGGWYNSLKNTIPDLANAGITHVWLPPPSQSVGPQGYLPGRLYDLDASKYGTKDELKSLIAALNDKGIKSVADIVINHRTAESKDDRGIYCIFEGGTPDSKLDWGPSFICKGDTTYSDGKGNDDTGEDYQAAPDIDHLNPQVQKELSEWMNWLKTEIGFSGWRFDFVKGYAPSITKIYVEQTKPDFAVGEYFNSLSNGNDGKINYNQDAARGELANWVDGAGGGVVSAFDFTTKGILQAAVQGELWRLKDSNGKPPGLIGIKPENSVTFIDNHDTGSTQKLWPFPSDKVIQGYAYILTHPGTPSIFYDHFIDWGLKDEITKLAAIRQKNGINEKSSVNILAADADVYVAKIDDKVIVKIGPKTDIGNLVPSNFQVVASGKDYAVWSG >CAK8536529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:951682752:951684515:1 gene:gene-LATHSAT_LOCUS5888 transcript:rna-LATHSAT_LOCUS5888 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTHLGVSSYLTTSLSRRNSSTFTNHSRIMSLQNPNSTIIHGKLSIKSIAFNDKLPPLRTDDKTRSLEQVKRRSRDALLNSNDPIETMKMIDSIQGLGIRHHLEDEINIQLERICDWDASTNLFATSLQFRLLRHNGWPTCPDIFSIFLDKNGNFKDSLTKDIYGMLSLYEASYLGTEDEEILNKATEFSRSHLSKLIPHLSPEVGKDIDRALTLPKHLRMARLEARNYMEEYSDASNQIPAFLELAKLDSNMIQSLHQRELAEICRWWEELGLVDRLGFARDRPTECFLWTVGAFPEPCYTNCRIELSKSICILDVIDDIFDNYGTLDELILFTNAIKRWDLDSMEQLPEYMKICYMALYNTTNEISFRIQKEHGLTVVSFLKRTWMDMFDAYLEEAKWFNNGYVPSFRTYLDNGAISVGSCMGLVHATFLIGDGLSKETISMMKPYPRLFTCTGEILRLWDDLGTSTVK >CAK8573011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576870281:576870644:-1 gene:gene-LATHSAT_LOCUS25506 transcript:rna-LATHSAT_LOCUS25506 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYQKVACNTNFSSKQRKVAILMIILRAFVMPSFDGNLFPRAYVSLLEDVDSVSNYAWGDAIFSFLLSGIETFRIKKKKNLNGNFWVFLTFFN >CAK8575819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330796350:330799085:1 gene:gene-LATHSAT_LOCUS28053 transcript:rna-LATHSAT_LOCUS28053 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGRCFVIYGLNIILEFVVLILISCLLCHVYSLGSMSSIAISYGEKGSVFCGLKPDGSRTVTCYGSNSAIIYETPTRFFHGLTAGDGFVCGLLMDSNQPYCWGNSGYIQMGVPQPMIREAQYLEISAGDYHVCGLRKVKNRNVSLVDCWGYNMTANYMFDGMIQSISAGSAFNCGLFSNNGSVFCWGDETSSKVISLIPLKMKFKMISAGGFHVCGILEGVNSRTFCWGRSLNIEEQISVTHVSGEGNVDLAPIDPMVSVVGGRFHACGIKSYDHRVICWGFIIKPSTSVPSEVKLYEIAAGDYFTCGVLAERKPLRPVCWGVGFPRSLPLPVLPGLCKPSPCPSGFYDFGQQKGLCESPNSGLCKPCSGDCPVEMYQKSGCNLESDRVCEYNCSSCSSPECFLHCSSSYSNTRTEKKNEKFWALQLPVVIAEIGLVLFIICIVILIAVLYVHHRLRDCECSARFKKLKKLDPSSSLQNENINKIRPEMEEFKLRKAHRFTYNELETATCGFKEESIVGKGSFACVFKGVLKDGTVVAVKRTIVSLSPLNNMHKNFKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMANGSLHQHLHGTNKVLKQQLIWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPVDSNSPLFELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEVLSGRKAIDMTQYEEGNNIVEWAVPLIKSGNIAAILDPILKPPHDLEALKKIANVACKCVRMRGKDRPSMDKVTTALERALAELMGSPCIEQPILPSEVVLGSNRLHKKSSQMSSNRSVSENEDQRFELRAPSWITFPSVASSQRRSTSEVDGDGKITEVKNIGNVGGISDVLRSLEEEIGPASPHQRSFLEHNF >CAK8537926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448171582:448172294:-1 gene:gene-LATHSAT_LOCUS7174 transcript:rna-LATHSAT_LOCUS7174 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAQDILAIAARDSVAILGGNQYWYQLLLGRRDARTASWDAANANLPPPFFNFSQLIINFNSHGLNLKDLVVLSGGHTIGFAKCATFRDRIFNDTNIDTTFAAKLQNTCPRIGGDNNQAPFDSTPKKVDTAYYKGLLYKKGLLHSDQELIKVMVLKVIGWCSYIAKIHMPFAKDFGVSMIKMGNLKPLTGKKGEIRCNCRKVNYY >CAK8568228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583743853:583746123:1 gene:gene-LATHSAT_LOCUS21207 transcript:rna-LATHSAT_LOCUS21207 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGCFLLFLLFSSTSFLSHAISNEEASDIARRQLTHLEENEDLPENYAETVKTEFSFPNPNLKRAYVALHAWKNAIYSDPTGITKNWVGADVCSYEGVFCTPSLNDSKMEVVAGIDFNHADVAGYIPREIGLLTDLALFHINTNRFCGIIPKSFCKLRLLHEVDISNNRFVGGFPHSILCLPDIKFMDIRYNNFEGEIPPELFNKNLDGIFLNNNRFTHTIPDNLGKSPASVIIMANNNISGCIPSSIGEMKTTLDEFVVTNNNLAGCLPSEVGKLETATVFDVSGNMFVGVLPRTLKGMQKVEMLAIAHNNLTGFVPKSVCSLPNLVNFTFSYNYFNGEEEGCVPPRKEVLLEDKDNCMPDRPKQKSANDCNVVISKPVDCSKAQCVHGNGNDQKETPSDNPSPSVPKPEPQPTPSPSPSHSTPSETPTPIPQPKPTPSPSPPSDKTTPSPSPPSDKSTPSPPSDKPEPKPTPPPSPPSPEPKPTPTPSTPSKSTPTPSSDKPEPTPTPSKDKPESPKPEHTPTPPTPEAESPEDDPHTETPRRRIRSPPPPKVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVKSPPPPVHSPPPPVHSPPPPVQSPPPPVHSPPPPVHSPPPPVHSPPPPVFSPPPPVHSPPPVQSPPPPEYEEVILPPDFGSSYASPPPPIIAGY >CAK8576000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:382735955:382736401:-1 gene:gene-LATHSAT_LOCUS28220 transcript:rna-LATHSAT_LOCUS28220 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTIAEKSPAEKKPKAGKKLPKDGGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >CAK8534238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709092032:709095123:-1 gene:gene-LATHSAT_LOCUS3798 transcript:rna-LATHSAT_LOCUS3798 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMLDSKHHEWFCFVFFLSFLVVGSSVNAVPVPETLHFDLGGLRQGFPKGFVFGVATSAYQVEGMANKDGRGPSIWDEFIKKPGIVANNGTGEVSVDQYHRYKEDVDLMAKLNFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYLLEKGITPYANLYHYDLPLALELKYNGLLSPNVVKDFADYADFCFKTFGDRVKNWMTFNEPRVIAALGYDNGLFAPGRCSKEYGNCTVGNSGTEPYIAAHNLILSHATAVQRYREKYQEKQKGRIGILLDLVWYEPLTRSKADNYAAQRARDFHIGWFIHPIVYGEYPKTMQNIVGKRLPKFTKEEVKIVKGSIDFVGINQYTSYYMYDPHQSKPKVPGYQSDWNVGFAFAKNGVPIGPRAYSSWLYNVPSGMYKCLMYIKEHYGNPTVLLSENGMDDPGNETFAKGLHDTTRINYYKGYLTQLKKAVDDGANVVGYHAWSLLDNFEWRLGYTSRFGIVYVNFKTLKRTPKLSAYWFKKLLAKVYV >CAK8530962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66407474:66410221:-1 gene:gene-LATHSAT_LOCUS786 transcript:rna-LATHSAT_LOCUS786 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEFESLFNHAMRGQWREVLELYEKNPEVLEAKITKAEDTVLHIAVYVSQTFFVTTLLDNISQNMCRNILRMQNSKGNTPLHVAAELGNVDICNNIAKRDPTLISYRNFEGETPLFLAAVHGRKDAFLCLHGRHQNKDDDSISRKNNGDTILHSTISSEYFDLALRIIGMYPKLVNGVNHEGLSPLHILAKKPNCFRSCTRMELIDNIIYACSIVDEEKEERYDQSYNTSAHTQTSSNYPLNYGTCMTFLSSLNGCFKVTTTGKDTDATETTDEENSFWRKAEQGQAEKEKKNYRFPPNWESMIRLLILTLKSFLILFGVGSTWIEKIQRKKEKHIRAKQVMNELIQRASLYKYDFTGPSPHIEEYDDDDDRDISKIKGIAEKEAAEKRRVMSPILIAAKMGVTEMIEKILDMYPVAIHDVDFQNKNVVLLAIENRQPHVYSMLNKRSVIKETAFRQVDSNGNSALHLAATYRRFRPWRVPGAAMQMQWEYKWYKVVKDSVPPNFYERYNKDGKTAKQVFIDTHGQLAKEGSKWLTKTSESCSVVAALVASVAFTTSTAIPGGPDQESGIPLLLKKPAFKLYAVASLVALCSSVTALILFLSILTSRFQEKDFVVDLPRKLLLGLTTLFTSIASVLVSFCAGHFFIVESQMRFAVYPIYAATCLPVSFFALVQLPLYFDLALAMFRKVPQRSYKVFFHELHHH >CAK8543827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641747377:641748652:-1 gene:gene-LATHSAT_LOCUS12558 transcript:rna-LATHSAT_LOCUS12558 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSQFAGKVPPNAGSQGFNPGLIVLLVVGGLLLTFLIGNIVLYTYAQKIIPPRKKKPVSKKKIKKERLRQGVSAPGE >CAK8537986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:453978280:453979375:-1 gene:gene-LATHSAT_LOCUS7230 transcript:rna-LATHSAT_LOCUS7230 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRWRIGLLRQRMCSVMNGNHGYVKKIHPFDPFLFNSKDFKMGYYGEKGKGVTCFSVVMMNQMKRGISSGSSENNNNGEKNKETISFNEAKKLMRLVNVESLKMKLGVGGKEVISFSELVEACESMGVARNYEEASAFARILDEAGVILLFRDKVYLHPDKVVDLVRKAVPLALTEEGDPIREELQKLLQKKEEIDMLAHKQMRRVLWCGLGFGVVTVSLFFRLTFWEFSWDVMEPITFFTTTTGLVIGYAYFLFTSRDPTYQDFMKRLFISRQRKLYKRYDFNVERCKELQYLCKIPLDAKSILKKRIGVDLDLDDALHKD >CAK8538017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458689083:458691738:-1 gene:gene-LATHSAT_LOCUS7258 transcript:rna-LATHSAT_LOCUS7258 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTNKVSSGPILTNRTTLCKSNGEHYFPSSARINRVQFSRVRLEHGHQNNGFMLNERSSLFNDWFVNGKPVGLISKTSPFSCKSTGANNTEEKECVTTYERHAEDGKNDRAHSVRGLAEAYRFACNDARFLSRGIMRMDARARQDVAFLGTEFLKLDARARKDTEKIDRGVKEKAKRLNRIATILKDIAQSRLKSAADEHWSDGALEADLRLADFRAKQRAMEDALMSLELIKNIHDMMVSKTYDFPIFRDKGSLSENNVGGRIMLEKNGKTTNSFLGDVTAERITALQEAYWSMASALSEADGIDYTDPEELELLITTLIDLDAMDGKQSVSLLAECSSSPDVGTRRALAKALASAPSMWTLGNAGMGALQRLAEDSNPAIAAAATKAIYELKKQWEIEEGDSWRFMMDESTKEEK >CAK8566818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465147651:465148468:-1 gene:gene-LATHSAT_LOCUS19923 transcript:rna-LATHSAT_LOCUS19923 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMCPPPEKIKTKGGVKKKGKKSVGYDVYRDPSYHEYVDQASQSSQRQSQPSQTSKKLKLSQSSQNKSQPSQASKKLKLSQSSQSSKQFILQFPNHITSYIDDVVNVVSDGNCGFRVIASFHGYGEDGWPMARRDMGLEIIHNERSSLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLLDIGYVVANPFYCIRFVNRNHWVQVNMKEGFPCHQ >CAK8537153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:110550242:110563575:1 gene:gene-LATHSAT_LOCUS6463 transcript:rna-LATHSAT_LOCUS6463 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISCIKITLLTIAALILQFIGLYLFVFSFFPVKPLLSGYSDSESFRRPTCDGDVNSNPNEASLPPDRLRALYQEVSEMPPTYDRLILMVIDGLPAEFVLGKKGQPPSKAFMEAMPYTQSLLANGAGVGYHAVAAAPTVTMPRLKAMVSGAIGGFLDVASNFNSQAYSDDNLLAQFFKIGWKMVMHGDDTWLKLFPGFFVRHDGVSSFFVKDTVQVDRNVSRHLGDELSKDDWSFLILHYLGLDHVGHIGGRSSPLMASKLSEMDEVVKMIHTNILQNQANDQGQTLLVVVSDHGMTESGNHGGSSYEETDSLALLIGPKNHASDHTLSYHDTIFQVDIAPTLALLFGVPIPKNNIGVLISQTVNSMTDEQNLRALQLNSWQLFRLLQAQLPDLSCRKFPCDAFITNSGPTISECKGSKEKLFCCLYLNAATLHDTWRAEVVIKSNTTEGYNTAVAAYHEFLSRASEWLSHKATDRPVSLLAFGVASLVTSCLILLKLLFVINKEVLTVEIQDVENYTKPWKLDEVFVFLGILILVISMGSSSMVEEEHYIWHFLTSTINLIFFRKAIQSFDHNKAVDDLISLGKGNFTSGCQISLLFLTLFSGRILKGWHQGGVNWTNLPDISTWLEQAGSQYINLIKIAACIMIIMLGIFILFLLQSKTKVVTVIGFSLLMSGLLVLQHFMKHQDMSASYNKDATLSVQVFYATLGITIVTVVLVLPWIMPMKTREMCSKWNLYMSAAVPAEVHNMTPIFVLKDSLHVMGCVYITSWCLLQLLLQRPINAMPLLLLNVQILAYMLAFSSCGSHHKHWVEITALYNLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGVLMFIITYASPMLFFFSLVMYISIKIRIYPLVSGAGNSGEILKSLLGFPCLVPLSINSILLSANTIVLVLMRNHLFIWSVFSPKYLYVCAATACVYIGVFIVVATVIYTYIVLFWLRKSLSITSKENDT >CAK8539106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503990576:503991186:-1 gene:gene-LATHSAT_LOCUS8234 transcript:rna-LATHSAT_LOCUS8234 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQEDFAVLTTRCRDNNVQHNLENTVSNDRQHVTGLRLNLRFRLKFGDCCSFVSERLRLRISTAETWIQSVQHQFINNNIGHVPLILTMTMAYREFSAMQQREAGKTWLCLNSSLQLRDSGSTAPTTRARHKPCKKVLFRNILC >CAK8533686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649734834:649737727:-1 gene:gene-LATHSAT_LOCUS3290 transcript:rna-LATHSAT_LOCUS3290 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSVTISTDKANDFTLLQVHDLDSPIFQEKQKKSASTKQFTWVILLKLHKVLTCLTWLTTGLKSMVSLVKKRVSLSDIGDENPKNTTRLYRFIKFFLALSILALVVEIIAHFNQWNLLVIQPWEVKSLLQWFYLGWLSFRENYVGPLVLLVSKFCIVLFLIQSLDRLVLCVGCFWIKYKKLKPVIDDDAYDVEDPSSFPMVLVQIPMCNEREVYSQSIGAACELDWPKDRILVQVLDDSDDPSLQMLIKEEVLSWKEKGVNIVYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDYLKQTIPHFKGKPDLGLVQARWSYVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIEALEDSGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVRVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAVITSKISIWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYVPIVMSFINILPSPKSVPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLFALAERESKSSNEEKLHRRHSESGLELLGKIQKSEVIVQKKRNKLYRKELALAFLLLTASARSLLSEHGVHFYFLLFQGLSFLVMGLDLIGEQVS >CAK8532514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:275153375:275154016:1 gene:gene-LATHSAT_LOCUS2212 transcript:rna-LATHSAT_LOCUS2212 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTITSEYDRQFPTLERKMDPITGRTSKPFIHPSEVQPNGKLKPLTQAEEVLNWQSENMVSQNEILLNLDKKVDKIAEKRDETDEDLKVLSQKMQKHYKSLKAQVSQLDQDLWQMLEERAFGKTFDQKEREIRSLQSQVKDIDDFLRASHERKPKPVESPWKDHYESSKQKGDESLLDGDNISNPKSSSDETPRYFFQLKQNLKTTFSQDSS >CAK8534103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695054067:695055152:1 gene:gene-LATHSAT_LOCUS3673 transcript:rna-LATHSAT_LOCUS3673 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLIIHLVREIRLCGPVYLRWMYLVERYMKILKGYVKNQCRPEASIVGRYITEEVIEFCSEYMSEADVIGVPKSRHGGRCGGIRGLKLKSIARVEVLQSQMYILNNTDEVQPYISAHKNIVKETFPGMNEKWVLNEHNKTFLKWFKKTILVDNTCSETLNCLARAPKFDVITSTGYDINNFTFYTMTQDDTSIMKNSGVMVIAESMHFSSSKDKNHVMASIAYYGVIEEIWDINFITFKVPLFKCKWVDTKNSVKTDEFGFTLVGLEKVAYMDEPFIMASQAKQVFYVRDPSNKKWPVVLQGKSNHNPNDSEHATLDIYETPSFSQRVPTLVEDTIGDEVYATREDHQEGIWENIQTIHN >CAK8531456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111871865:111873148:1 gene:gene-LATHSAT_LOCUS1247 transcript:rna-LATHSAT_LOCUS1247 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPVQRKDLQMELNSNGRIWIFWDDSYKDIRLVSCTSQMIHCGIYDANGNFQNWMTAIYAMNQLDQRRKLWDDLVYIHNNQQGPWFLMGDFNNVTKSMDRIGGNLVTEREYADLRNLMDHAGLFEKDSTGDYFTWTNKHSVGTIYSKIDHVLGNIDWLQGNTDMNLEILPPSISDHCLLCLSDQKRNRTVHTNFKFTNSVIKVAGYQDIVKQSWHKVCVGRPMARLWYKLLRLQAPLIKLSKQFSHLHMSIVKARTELLKAQEELMVDIMNRSKLDWLREGDSNSAFFYAYLNSRHAVTHLSQLQKEDGTYIQNMPDIEKEVCDFYAKLYGIQEHRVSMIDINAMREGPQLIMMQRAELITPATVAEIRTAIKGIGDLKSPGIDGYGGKFFKASWNVI >CAK8531389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107168749:107170199:-1 gene:gene-LATHSAT_LOCUS1185 transcript:rna-LATHSAT_LOCUS1185 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVSAVEKIIGYTFRNKKLLEQALTHTSYPEAVSYERLEFVGDAVLGLAISNHLFLAYSSVDPGTLSLLRAANVSTEKLARAAVRNGLHRYLRHNTLSIVDMINEFVDAVECEDDCVVVKYGGSVKAPKILADIVESVASAVYVDVDFDLKKLWVIIRGLLEPIVTLQDLEQKPQPVTMLFEICQKNGKKVDIEQQRNGAKSTASVYVDGKLVASASSDQKDIARLEAAKAALHKLERLLPATTMMPDCCVDIDATFEVEAAKQKLYAICGMKKWPKPIYSIEKDEGTPQDKKFISAVQIATPAAILKMSGDERSRVKHAENSAASLMIRALRQHQYV >CAK8535312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836979956:836980399:-1 gene:gene-LATHSAT_LOCUS4780 transcript:rna-LATHSAT_LOCUS4780 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSTASPTLYYYGLSAEYQDQYEYLGSMKKYNLEMQCPKLKPNDVLNFHISVVSHSVDSNSVPRLNTLLHNFQQVSCKRFFQQGEDWIQSILFHPDFSCKSLEGLTKRIVHEVHELFDFDQVADGVGASVSHRFTLYLRIVLQK >CAK8534791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769016370:769016969:-1 gene:gene-LATHSAT_LOCUS4305 transcript:rna-LATHSAT_LOCUS4305 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRFKQYTYTPQKPLPLPPPCVIPKPNPFDMIRVENESVFSAIHVEEESVFPVIDDGVYQPKSKRTRASAIHVEEESVFPVIDDGVYQPKSKRTRAAYEKLLSLIQQPVPGHPLAGQPLSTVRFVADKILEILKNDAVSIQDKKINIEMLLNPIPNHVFDQLVSIGKHITDFYGFAAGHVDGGIVDGVSKLCICSNR >CAK8573873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643532139:643533455:1 gene:gene-LATHSAT_LOCUS26273 transcript:rna-LATHSAT_LOCUS26273 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKIEEVIEKQVLTVAQAVEDKIDEEIAALERLDDDDLEALRERRLQQMKKMSEKRSRWISLGHGEYTEIPSEKDFFSIVKASERVVCHFFRENWPCKVVDKHLSVLAKQHIETRFVKINAEKSPFLAERLKIIVLPTIALIKNTKVDDYVVGFDQLGGTDDFSTEVLEERLAKAQVIFLEGESSMHRARSTAQTKRSVRQGTHADSSDSE >CAK8577709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584591028:584591234:1 gene:gene-LATHSAT_LOCUS29790 transcript:rna-LATHSAT_LOCUS29790 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPDRRGLTPNFEKGVKGFITWAFVQECCRREGGVRCLCLKCECRPIISDLEEV >CAK8542680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536941490:536947159:-1 gene:gene-LATHSAT_LOCUS11499 transcript:rna-LATHSAT_LOCUS11499 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSAHTTLFVGASLIRHSNNSLRSLAFCLPFSSSAASLSSDKRSNKKWRQPVLSSLELGGVKITKQDVVRDDPTNNVPDNIFSKLGMQLHRRDQHPLGILKNAIYEYFDTNYSNKFNKFDNLCPIVSLKQNFDDVLVPEDHVSRSYNDTYYIDPQTVLRCHTSAHQAELLRDGYTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFVPEEWEASGMDATSFSAADLKKCLEGLARHLFGDVEMRWVDTYFPFTNPSFELEIYFKEKWLEVLGCGVTEQEILKRNGVSNNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQLGVKFKSFSKYPPCYKDISFWINESFTENNLCEVVRGVAGDLAEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDDEINDLQWKVRELVQNKLEVVIR >CAK8567859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551234288:551238494:-1 gene:gene-LATHSAT_LOCUS20876 transcript:rna-LATHSAT_LOCUS20876 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLRKNLLFFTSSCSPSQSRTLLVRFQLCEFSSSRTLYSHWKSHDEESPNVRVSVWWDFENCNVPSGVDGSKVAPAITDAVRANGIKGPVHITAFGDVYQLSRPNQEALAFTGIHLTHIPKGGRNSADRSLLVDLMFWVSQNPPPAHFFLISGDKDFAVILHRLRMNNYNILLASPGKAPDVLRSAATIRWQWTSLLKGEDLTGKHFNHLPVPLETPSEQSTSSQNIHVTEINDPSSDLKVGGGVPKSVISRIKNILSLHPKGITISELRAELTKYDVSLGKGIFGYKRFSRFLSSIPHVQLRHLKDGNYCVYLIPSANHEPSESSTALSTVSPLKNEEKGCTTTPKLNSEDKDINSQSISLQGIPIEEDMSHKSFGYTTTSMVHSEDKDMNRDVHRTPSKSSVHERITEDGLKSLQSIPSQGKPIEENVSHKSSVGSEKVLNVSHEQLSESQLSSKDNDVYKNEIGSFKVRSMKFSDDEIVRSADVCPKVLEKYTTLGKLSAGTDHTMRVNNDLVNCESGKSIAKNKLEDQPRKEVDDHSPYSSAVDDSLVDKRPDVHPETYSKRSTFFSWIKSWWPFQKSNVKADDSTVYQKKVTSNLEDSKLSELDMTASNLEEPKPLEQQHYVSHSGKHEGSKLPELDQTASNLEKPKPLEPHQDVIHSGNPELFSSGSFWNDMESFVFTPKGSLLISQSRSREDLAHKLQKHGPMTLKSFAENDIFQLVELLIAEKKWLEESPSKAFPFRLTQSVWKSTLKGQSNGTSRLRSLFLSRTSQSNMQKSFEHEGEKHSQSTQKTAVSRPATETKYTEKSRNDILQDCQKLVSDVLREHPEGYNIGCFRKQFADKYGYHLDIKKLGYQKMAYLIQIMPGVQLDSTYIYPSTPAVCASDSDTSILKTRATSASHEKFNSYNESSDTTPEKYNTESPWEELGPVSLKNSSQNHLESNLTQKTIELNTPKYPDYEPIVSDYDSSESEGDNSGSTQSEDQVKPKYDEQDSSFWQALDSWHSSKEEENSVKKSENIDVLGNSLLGILNSAPDSKQGIHGILSNKEKQRSHKYSFVVDSASPDKDKFIGGILDDLKKEDEPKMHN >CAK8566575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:437818939:437819631:1 gene:gene-LATHSAT_LOCUS19696 transcript:rna-LATHSAT_LOCUS19696 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGRPKSTVPPPPPSVSTASLTTPQNVSRTTLPSDSSLKAHEFGSKAEKEKITLTEEETTPTIEDATKEPGIETPQAQPEDRKQWVDIINDNRNPSKGLTMEYVAPKVVNGMIEIAIEQEDIETEIRFWDNALILYVVGGDLSMNMVKNFMQRMWNFVKIPDLYYHDDGYFLLRFNSQKDKETVMMKGPYTIRNMPMILKEWQSGFNLKRDLLRTLPIWVKLPQRPL >CAK8578109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607465997:607466356:-1 gene:gene-LATHSAT_LOCUS30148 transcript:rna-LATHSAT_LOCUS30148 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTKTKRRSPIKVSLSEIEAAKLLIQLSSGDSEQDRNSNNNSNSYSVNHDNKVDSEYVSSSSISAQPAVTEMSDSEDDGRCFPRRKKRYRYVNEELYRVTSPLPVIDKAKIIKKRRK >CAK8562021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426695193:426696173:-1 gene:gene-LATHSAT_LOCUS15557 transcript:rna-LATHSAT_LOCUS15557 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGLWHIWCAVVRYVSSPKTFRVHVWNPVPGFDGKLKHLELYVISIGAFIDLCVELLVATQLRFFVGGVLNSTYLNNFEHSGMLIMFLIFGVVSLLSEKTRYVPLPEGALCLIAATAFTAEYLLFYFHSTTHKGLEGYYHVLLAFINGLCVLSSVAGALFPTSFPVDLCKGIAITLQGIWFYQTAFVLFGPMLPAGCSMKDNIVACHSHESEIRGELFANAQLFFAVLAVHVGTILSFGFAASRYGNLEELALNSGFD >CAK8544560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692632485:692633447:1 gene:gene-LATHSAT_LOCUS13226 transcript:rna-LATHSAT_LOCUS13226 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQEEILIEKVCDLYEQISSLETLKPCKNVDMLFTKLVLTCMPPSPIDVTKLPKTIQEKRSHLIRLCGEAEGYLESHYSAILGSYQNPLHHLHIFPYYSNYIKLGHLEFSILSQYCSHVPSKIAFIGSGPLPLTSIVLASNHLPSTTFHNYDIDPLANSSAENLVSSDPDLSNRMIFHTNDILDVTDDLKEFEIVYLAALVGMNKEEKNRIIDHLEKHMAPGALLMLRSAHGARAFLYPVVEPSDLRGFEVLSIFHPMDEVINSVVISRKYHLPIHSLDQGLGSMILPNKCSEIQVFNPLRSLNHGNMIEELTIEDQLL >CAK8533734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655140165:655140521:-1 gene:gene-LATHSAT_LOCUS3335 transcript:rna-LATHSAT_LOCUS3335 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHLCEKLRVKLVRRKGLGLNVDSLIAAIKDERGNRKPISTSMSLKPGLGVSKLKAGIMRQKIFRKAKVVKKSNRSIPRANLNVGSASKVLEENRIIDQVLPNVVVSGNDLSKSNP >CAK8533370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610229154:610231372:1 gene:gene-LATHSAT_LOCUS3003 transcript:rna-LATHSAT_LOCUS3003 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFPFLKHCSCILLFLLFTLFYTSTEAYDPLDPNGNITIKWDILNWAPDGYIALVTMNNYQRYRHITTPGWSLGWKWAKKEVIWAMVGGQTTEQGDCLKFKQIIPHCCERTPTIVDLLPGSPYNQQIANCCKGGVLSSWTQDPINSIASFQITVGRAGTTSKTVRLPQNFTLKAPGPGYTCGPTKIVKPTKFISRDKRRATQALMTWNVTCTYSQFQAQKLPTCCVSLSSFYNDTIVPCPTCSCGCQGNTVQSGRCVDPIAPHLASVVNSSGNNSTTPLVRCTSHMCPIRVHWHIKLNYREYWRVKVTVTNFNYRMNYSDWNLVVQHPNFDNLTRIFSFNHKSMIPYGSINDTAMLWGIKYYNDLLMHAGPSGNVQSELLFGKDKSTFMFDKGWAFPRRIYFNGDICVMPPPDVYPRSPNDGSKQEVSFLALMMAFLVSLVFYAYV >CAK8575788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:324917064:324926384:1 gene:gene-LATHSAT_LOCUS28023 transcript:rna-LATHSAT_LOCUS28023 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKFQIFSGLPSAPLQPSSSSLLKKPLATTLFGTRPVDTLKFRVLRIAKPKPARGGGAIGAGMNLFDRFARVVKSYANALVSTFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAQQASEEWYRKAQLALQKGEEDLAREALKRRKSFADNASSLKAQLDQQKSVVDNLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMTMESQAEALGQLTSDDLEGKFAMLESTSVDDDLANLKKELAGSSKKGELPPGRSSTTTSTKTGNPFRDADIEIELEQLRKRSKEL >CAK8538616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489334100:489334489:1 gene:gene-LATHSAT_LOCUS7797 transcript:rna-LATHSAT_LOCUS7797 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNFMQNYQNPNSQNSQIPSVPPNPAIFFPSPNNPNMYQNPNPQNFQVPPFSTQVGVEKEERVLVKKKSREQFTRDEDICLIQSWLSVSKDPIVGVDQKAESFWHRIAANYNQYRGQL >CAK8534517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738346193:738346495:-1 gene:gene-LATHSAT_LOCUS4060 transcript:rna-LATHSAT_LOCUS4060 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIWILTLFLTIITLTIASNPNEHMMTGPNQQGPIHRKLSLGLGFFSPAVGILGERHSNPPTLRRPVIRLGVPGIHVPAEDHIGSGRIPNFTIRPPHGL >CAK8534389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722408219:722408419:1 gene:gene-LATHSAT_LOCUS3939 transcript:rna-LATHSAT_LOCUS3939 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSMAITPRSMKYAYSKDEWFCYTSKACIEVEYHNGNGDSDSELNAYKEGDDDDDGGYDYAPAA >CAK8541490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:201969582:201972587:-1 gene:gene-LATHSAT_LOCUS10407 transcript:rna-LATHSAT_LOCUS10407 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSIRESWCFCKGVSKSERMKGAIFSGKNQALATISNTNVVNVVSGTGFLIHRNLLLTTHANLPSAVAAASSEIRLHNGVAATLVPQRFFITSSVLDLTIVGLDDADGESNAPGQNSHYLKTCCKTNLDLGSVVYLLGYTKKQELTVGEGKVVIATDNLIKLCTDGIIWSPGSAGFDVNGNLAFMICDPMKLSTSPNTKSPSTSTSSSSLWKKDLPMQFGIPIPVICDWLNQHWEGNLDELNKPKLPLMRLMSNGPRSEHSCASFTLRQVFKSTDGDNEGTSSSSNNNVVKTRDQGQSSAAVGSTAEEENLITNPNAAHIQGIPTPEIYESPRVTSVPLRKKENAQIQLLDINFPPRIAKPAVFSQPPKELHPKPAEPLHENQSKGEQNQDRMRMPATTPIAEVSSTGSVNGALSEVQSSSSPVDASQMHDGYTSDGETMYSAETAESRNYTSPRELNFQQVGRSQSCVSHNRWGAAKRSQVARGMLVENPRSFMNVKKMHSQGATSQRSNEYFSPTVSSIMKRNGSEQPTRSRQTAFHSPSPRWMF >CAK8541058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:51948874:51953409:-1 gene:gene-LATHSAT_LOCUS10009 transcript:rna-LATHSAT_LOCUS10009 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQWVHRKLQQKNIDPFKDFTLGNPCAHLTVQLTVDSQYSKTKSSYSSINHSCFLKPHYQESQTTWCREEEISSAAISELFEGFLTIGTLGAEAITNEPAAPIFATPLENIPTEDADVTETELNLISYELEKFLEAEEECFYESSRKNSLSNITLSRKQTDGSDAEDYENKAVCPLQGYLLGSSLEIPEKVEVREKSASLAELFQRTKTAKEDCVETGVKEKQVKQAHKSSMHIMKKMWKKIYSSSKSCSTAGNIADSTTTNAKLCKVPRKFHRKVYPKDTTNAKSVTKSHKADKGDTRNPDPGRRFHSDSKSKKSSKHCDSIWNPSQDGLSCGGLTGNNEHWIKTDAEYLVLEL >CAK8540359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554374590:554376328:-1 gene:gene-LATHSAT_LOCUS9369 transcript:rna-LATHSAT_LOCUS9369 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENQDNTSVREIKPKNRRIMGVGGPDEEDDHRWPPWLKPLLKEIFFVQCKLHADSHKSECNMYCLDCMNGPICSLCLAYHKDHCFIQIRRSSYHDVIRVNEIQKVLDITGVQTYVINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFQKKKKQLTAMASESEDSYSSNGNIHSRQKKIKVQSFTPSTPPPTSVNYRTAKRRKGIPHRSPLGIDSWYY >CAK8565269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:92612266:92614136:-1 gene:gene-LATHSAT_LOCUS18495 transcript:rna-LATHSAT_LOCUS18495 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSMVPSRLMFCVMLISMFLLVLSSLFLLQFSSHSFIPRSVLELIIVNNSSFYFTPDLTREQIVLPTDSSEDLRFQTLKHRESDWKVSNSSEREHLVEQQMDVACDQNQALLKVFMYDLPPEFHFGLLGWKGSVNQTWPEVDNPNRIPRYPGGLNLQHSMEYWLTLDLLLSEKTKIGRPCTAIRVRNSSQADIIFVPFFSSLSYNRHSKTQGEGQVSVNKMLQDRLVRFLRGRKEWKHAGGKNHLIVAHHPNSLLDARRNLASAMLVLADFGRYPVELANIKKDIIAPYKHLVGTIPRAKSPSYDERSTLLYFQGAIYRKDGGVIRQELYYLLKDEKDVHFTFGSIGGNGVNQASQGMSTSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPYEDVLDYSEFSLFVRAADAVKKGYLLNLLHSIKREEWTRMWERLKEITHHYEYQYPSQPWDAVNMIWQEVARKISSIQFNSHRKNRYNRSQLLVKTN >CAK8543397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605265762:605267040:1 gene:gene-LATHSAT_LOCUS12154 transcript:rna-LATHSAT_LOCUS12154 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIASYSHFVYKKLHHRDEHCISSFVSGKDSLLWRKSCFGNILIENRNRKREEKKIGRRDFRVKSTWPDFSRPSTVEMEVINDSEQLDQILDHAQQYSEPVVIDWMAAWCRKCIYLKPKLEKLAAEFDTKTKFYYVDVNKVPQSLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVIEEVREMIQKYI >CAK8542739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541793699:541807872:-1 gene:gene-LATHSAT_LOCUS11550 transcript:rna-LATHSAT_LOCUS11550 gene_biotype:protein_coding transcript_biotype:protein_coding MITANTAVLFAVLMTALCAVQSEYIEYNTTHRIVPNKINVHLVPHSHDDVGWLKTVDQYYVGSNNSIRGACVQNVLDSVMSALLEDQNRKFIYVEMAFFQRWWRQQSKAMKLKVKELVNSGQLEFINGAMCMHDEATPHYIDLIDQTTLGHQFIKDEFGKIPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEIVWQGSKSLGSSSQIFTGIFPRHYDPPDGFTFEVHDVSSPIQDDILLFDYNVEERVNDFVSAALAQANVTRTNHIMWTMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDEKYAANEQWPLKIDDFFPYADHPNAYWTGYFTSRPALKGYVRVMSGYYQAAKQLEFFKGRNESGPNTDALADALALAQHHDAVSGTERQHVAADYAKRISIGYAEAEGLVASALALLVNQRLSSHVMNPITGFQQCPLLNISYCPPSETTLANGKSMVIVVYNPLAWKREEVIRIPVSTAEVFVQDSAGKEIESQLLPISNITSSIRKKYVKAYMGKAPAGELKYWLAFPVSVPPIGFSTYVVSRPKQTGHVSTISKEFRSEGSTNSSIEVGQGNLKLLYSANEGKLTHYVNNRNLVTASVGQSYSFYSGNVGDDKDSQASGAYVFRPNGSFPIKSDQQASFTVLRGPILDEVHQQLNPWVSQIVRIYKSKEHAEVEFTIGPIPVDDGTGKEIITQFSTTMKTNKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPVNLGIYMQDNGMELSVLVDRSVGGSSLVDGQVELMLHRRLLHDDARGVGEILNETVCIADKCEGLTIQGKLYLRIDRKDKGAKWRRTVGQEIYSPLLLAFTEQDEDNWLHFQQPTFSAIDSSYSLANNTALLTLQDFGNGKVLLRLAHLYEVGEDKDYSVTANVELKRLFPNKKISKVTEMSLSANQERGEMEKRKLVWNVEGSFSEESKVVRGGPVDPTKLVVELAPMEIRTFFVDFDLLQTVHAAENHVAM >CAK8531414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107800895:107805526:1 gene:gene-LATHSAT_LOCUS1207 transcript:rna-LATHSAT_LOCUS1207 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALTASNTNSWNRCFIHPTTNKPFSFSLSNTNFRTRCSISMANTIKTHHLSNLTHTELLSLKSRPRIDFTSIFNVVNPIVDDVHNKGDAAVKQYTSRFDKVDLDKIVELVSDLPDPVLEPSIKEAFDVAYSNIYAFHAAQKSPEKSVENMKGVQCKRVARSINSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLANPPTQDGSTCKEVLYCAKKAGVTHILKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHAIPSHVAADLLSQAEHGPDSQVVLVIAGDGVDQSAIQEELSKQCQSLPRGEFAAKALSHSFIVHARDMLEAITFSNMYAPEHLIINVKDAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKYITVQSLTEEGLRKLGPYVETMAEVEGLEAHKRAVTLRLQDIEARQVSR >CAK8544842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708607692:708613199:1 gene:gene-LATHSAT_LOCUS13490 transcript:rna-LATHSAT_LOCUS13490 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEEAIAALSTFSLEDEQPEVQGPGVWVSTERGATESPIEYCDVAAYRLSLSEDTKALNQLNSLTQEGKEMASVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASASSKLAADMQRFSRPERRINGPTISHLWSMLKLFDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSGQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFVVESLELDFALLFPERHILLRVLPVLVVLVTSSEKDSESLYKRVKINRLINIFKNEAVIPAFPDLHLSPAAILKELSTYFPKFSSQARLLALPAPHELPPRESQEYPLNCFLF >CAK8574272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673045912:673048333:1 gene:gene-LATHSAT_LOCUS26632 transcript:rna-LATHSAT_LOCUS26632 gene_biotype:protein_coding transcript_biotype:protein_coding MDHETNTSKLSSSHWESFYSFADKAKRFPSLLRRTIWKVGKDDPRKVVHSLKVGLALTLISLLYLTDPLYKGIGKNAVVAVMTVVVVMEFTVGGTLCKGLNRGLGTLSAGLLAFFIEYLADAPGHIFRAVFIGAAVFLLGAAATYVRFIPYIKKNYDYGVMIFLLTFNLIIVSSYRVDNVLSMAKDRISTICIGVALCLVMSLFVFPNWSGEDLHKSTISKLDGIANSIEVTVVEYFNDSEKQANEDDSSEDPIYKCYEAILDSKAKDETLAMQANWEPRYSRSCHRIPWQQYATVGASLRHFSYTVVALHGCLQSEIQTPRSIRNLYKDSCIKLAQEVSKVLRVMANSIRNKRQFPLERLSDNLSEALQDLDNALKSHPQLLLGSRNGRSQIPITPKTPTTPTFYMLDEDTRITLSSIKSDYCSPVGNKSKEHSREQTKEGQGHKKVLRPQLSNNMTMSMITSLEFSEALPFAAFTSLMVEMVAKLGHVMDKVEELGNMSHFRKFRDDDDEIIVTCERPKMKTAENDLPTYGGVE >CAK8564739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7953952:7958161:-1 gene:gene-LATHSAT_LOCUS18011 transcript:rna-LATHSAT_LOCUS18011 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLRKLLEIMLRRNPTCSDEEEKQVLERGDLGELDQLPSDILVQILRLLGPKEVAKLSVICKSLRFFVSDNRLWLYFLQTHQSDPSWDSVFFAETNLTSGYPIPSFVGQSQRPQLSFKHIYGQRAQVPGAIIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYTRLRHFFATIYNRMQVKPNNQPVIVSVPICHYEDTESARASRRQLKEAIYASLFDLNVPSVCAVNQATLALYAAKRTSGIVVNIGFQVTSVVPIFNGKVMRKIGVEVLGLGALKVTGFLKEKMQLNNLNFQSLYTVRALKENLCYVALDYEAELLKKNTQASFQAAGDGLFTLSKERFQTAEVLFKPYLAGLQAMGLHHAIALCMEHCHSAELPGESDWYKTVVLSGGTACLPGLAERLEKELHSLLPPYVSNGIRVIPPPFGTDTPWFGAKMIGNLSTFPGPWCVEKSKFRQKPRLSLIW >CAK8540432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559947671:559949075:1 gene:gene-LATHSAT_LOCUS9437 transcript:rna-LATHSAT_LOCUS9437 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAYKKDNYGDHIDRISELPGTVIDCILGHLNVRDLVRTSILSRKWRYMWISVPRIEFHEEFYNLFNNLDDPASEFCRIITEILFLHNGPINDFILQVPCDSKHKITYTYLNKWILFLSRKHVKYIYLDNYEKDNIQTPSHLFSCQGLTYFKLHSFNLSIPPNFCGFKSLLHLHLQFMTFESGALETLLPACPLLKQLGIVYCSGYECIDLSSSTLTDLTVSIRGNCIFRLNKSLPIIQRLEVELPSEMLYAHADIFPLSQMINLKYLCLDDVNLDEREEFLYIVSVLKSASNLVEFVIATYYTWGCKIQAPEPSEKLECSCCCLSELEEVSITVRSRNKHVMSLARFILANFSSLKTLTIYLPFRTQSDALILSGLLRDLLRMERASQKAQVEFRHSHT >CAK8573516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617724226:617724693:1 gene:gene-LATHSAT_LOCUS25958 transcript:rna-LATHSAT_LOCUS25958 gene_biotype:protein_coding transcript_biotype:protein_coding MERYFKCVVLLVFIVIAQMCLCANSNVPCIEQERQALLDFKASISQNSSNRLSSWKGTHCCQWDGIRCHNVTGHVLKLDLRNPCYLPSWVLREREVYHYFRYGLSLIAPNVSSSLLQLEHLTYLDLSGNDFCGSSIPMFIGSMEHLEYLSLSCFF >CAK8563457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607613326:607614271:1 gene:gene-LATHSAT_LOCUS16866 transcript:rna-LATHSAT_LOCUS16866 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYGMVARGEVVLAEFSAIQSNASAIAKQILNKINQGTDNYNDSNVSFSHDRYVFHVKRTDGLTVLCMAEEAFGRRIPFSFLEDIHNRFVKTYARAIVSAPAYTMNDEFSRVLSQQMDYYSTDPNADRLNRLKGEMTQVRTVMIDNIEKVLERGGRLEMLVEKTSAMNSNSIRFKSQSRRYKNNMWWMNVRLTVTLMIIFALVLYIILAFMCHSPLLTSCWR >CAK8559987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2932921:2934202:1 gene:gene-LATHSAT_LOCUS13711 transcript:rna-LATHSAT_LOCUS13711 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKEQEHVKKGPWKTEEDDVLIHHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFAIEEERIVIELQEQFGNKWAKIASYLPGRTDNDVKNFWSSRQKRLARLLKTSSSTSKSHKNKAKVSSHVPTSEVPFKFSSSSEGETPSKPKPCSLPCIEKPSEVIKMMPLQDLIKPEKPSIDANYVEQDLTPFVQSYKSTEHIELPQIPELQTDLTFPMSRVDEQNLFDVFDPLYSSEFGMVPFFEPSGSCSIGNMDTNDDFSTHIESFFDDFPEDMFNHFDPPASPSNL >CAK8533670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648256187:648270268:1 gene:gene-LATHSAT_LOCUS3276 transcript:rna-LATHSAT_LOCUS3276-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPATNFHHTYTPSSSFFNFPFHSPNSKSLTPSKFIVRCCVSKSRTLPKSAIQRIADKLHSLGITTDQSTSPATSTTAGEIFVPLPHNLPKHRVGHTLDLSWSTPENPVPLPGKGIEKLSENEAERQRLERAKAREAKRRRVPTLAELSLTDGEILRLTKLGFEMKQKIKVGKAGVKEGIVNGIHERWRRSEVVRIVCEDLCRTNMKRTHDILERKTGGLVVWRSGSKIILYRGIDYKYPYFLSDKVLRDDNSGDALQHMNGDDKNGDERESHLSDMNSTTHTGQSSNIKTVKSALVQGVGAPNKVRFQLPREAELLEEVDSLLEGLGPRFTDWWGFDPVPVDADLLPAVIPGFRRPFRLLPYGVKSNLTDDELTTLKRLGRPLPCHFALGRNRKHQGLAAAIIKLWERCEIVKIAVKRGVQNTSNKIMAAELKYLTGGTLLSRDKDVIVIYRGKDFLPAAVSSAIRERRNVLINNVKAENNSSVTASSHSEGNDMTLLKDKEIIEKRILAKANEDIKRTTIKLSQALEKKEKAEKLLEKLERRESPQEQEIDKEGITQEERYMLRRIGLKMDPFLLLGRRGVFDGTVENMHLHWKYRELVKIICKHGDLEYVHQTAQTLEAESGGILVAVERVNKGFAIIVYRGKNYSRPDTLRPRTLLNKKQALKRSVEAQRRQALKLHVLKLNKNIDELKLQMVKDEASNKQIAEELRSDLEQHIELTDSSGARQDKHEASSNSTNYNSPKEASVDNQQLMQEQHIELIDSGVARQDKHEASSNSTNCNSPKEVSVDNQQPTQELQIRLIDSGGAPQGEPEFRGRLVHPKKQLDEVRDSLIDTGLEVSNNKAMEALIKSSKSDPEPSAPVINRSSNEFSSRSSYLSNRERLLLRKQALKMKNRLDIAIGKSNIVTGLAKAIKDHFKRIPFVIVNVKGRAKGTSVNELVSKLEQATGGVLVSREPSKIILYRGWGAGSNPGTNLNLKDSKGGGGGRGAKPSVSPELLEAIRIECGLQ >CAK8533671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648256187:648270268:1 gene:gene-LATHSAT_LOCUS3276 transcript:rna-LATHSAT_LOCUS3276-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPATNFHHTYTPSSSFFNFPFHSPNSKSLTPSKFIVRCCVSKSRTLPKSAIQRIADKLHSLGITTDQSTSPATSTTAGEIFVPLPHNLPKHRVGHTLDLSWSTPENPVPLPGKGIEKLSENEAERQRLERAKAREAKRRRVPTLAELSLTDGEILRLTKLGFEMKQKIKVGKAGVKEGIVNGIHERWRRSEVVRIVCEDLCRTNMKRTHDILERKTGGLVVWRSGSKIILYRGIDYKYPYFLSDKVLRDDNSGDALQHMNGDDKNGDERESHLSDMNSTTHTGQSSNIKTVKSALVQGVGAPNKVRFQLPREAELLEEVDSLLEGLGPRFTDWWGFDPVPVDADLLPAVIPGFRRPFRLLPYGVKSNLTDDELTTLKRLGRPLPCHFALGRNRKHQGLAAAIIKLWERCEIVKIAVKRGVQNTSNKIMAAELKYLTGGTLLSRDKDVIVIYRGKDFLPAAVSSAIRERRNVLINNVKAENNSSVTASSHSEGNDMTLLKDKEIIEKRILAKANEDIKRTTIKLSQALEKKEKAEKLLEKLERRESPQEQEIDKEGITQEERYMLRRIGLKMDPFLLLGRRGVFDGTVENMHLHWKYRELVKIICKHGDLEYVHQTAQTLEAESGGILVAVERVNKGFAIIVYRGKNYSRPDTLRPRTLLNKKQALKRSVEAQRRQALKLHVLKLNKNIDELKLQMVKDEASNKQIAEELRSDLHIELTDSSGARQDKHEASSNSINSNFPKEASIDNQQPTQEQHIELTDSSGARQDKHEASSNSTNYNSPKEASVDNQQLMQEQHIELIDSGVARQDKHEASSNSTNCNSPKEVSVDNQQPTQELQIRLIDSGGAPQGEPEFRGRLVHPKKQLDEVRDSLIDTGLEVSNNKAMEALIKSSKSDPEPSAPVINRSSNEFSSRSSYLSNRERLLLRKQALKMKNRLDIAIGKSNIVTGLAKAIKDHFKRIPFVIVNVKGRAKGTSVNELVSKLEQATGGVLVSREPSKIILYRGWGAGSNPGTNLNLKDSKGGGGGRGAKPSVSPELLEAIRIECGLQ >CAK8533669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648256187:648270268:1 gene:gene-LATHSAT_LOCUS3276 transcript:rna-LATHSAT_LOCUS3276 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPATNFHHTYTPSSSFFNFPFHSPNSKSLTPSKFIVRCCVSKSRTLPKSAIQRIADKLHSLGITTDQSTSPATSTTAGEIFVPLPHNLPKHRVGHTLDLSWSTPENPVPLPGKGIEKLSENEAERQRLERAKAREAKRRRVPTLAELSLTDGEILRLTKLGFEMKQKIKVGKAGVKEGIVNGIHERWRRSEVVRIVCEDLCRTNMKRTHDILERKTGGLVVWRSGSKIILYRGIDYKYPYFLSDKVLRDDNSGDALQHMNGDDKNGDERESHLSDMNSTTHTGQSSNIKTVKSALVQGVGAPNKVRFQLPREAELLEEVDSLLEGLGPRFTDWWGFDPVPVDADLLPAVIPGFRRPFRLLPYGVKSNLTDDELTTLKRLGRPLPCHFALGRNRKHQGLAAAIIKLWERCEIVKIAVKRGVQNTSNKIMAAELKYLTGGTLLSRDKDVIVIYRGKDFLPAAVSSAIRERRNVLINNVKAENNSSVTASSHSEGNDMTLLKDKEIIEKRILAKANEDIKRTTIKLSQALEKKEKAEKLLEKLERRESPQEQEIDKEGITQEERYMLRRIGLKMDPFLLLGRRGVFDGTVENMHLHWKYRELVKIICKHGDLEYVHQTAQTLEAESGGILVAVERVNKGFAIIVYRGKNYSRPDTLRPRTLLNKKQALKRSVEAQRRQALKLHVLKLNKNIDELKLQMVKDEASNKQIAEELRSDLEQHIELTDSSGARQDKHEASSNSINSNFPKEASIDNQQPTQEQHIELTDSSGARQDKHEASSNSTNYNSPKEASVDNQQLMQEQHIELIDSGVARQDKHEASSNSTNCNSPKEVSVDNQQPTQELQIRLIDSGGAPQGEPEFRGRLVHPKKQLDEVRDSLIDTGLEVSNNKAMEALIKSSKSDPEPSAPVINRSSNEFSSRSSYLSNRERLLLRKQALKMKNRLDIAIGKSNIVTGLAKAIKDHFKRIPFVIVNVKGRAKGTSVNELVSKLEQATGGVLVSREPSKIILYRGWGAGSNPGTNLNLKDSKGGGGGRGAKPSVSPELLEAIRIECGLQ >CAK8576320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:458977999:458979231:1 gene:gene-LATHSAT_LOCUS28518 transcript:rna-LATHSAT_LOCUS28518 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLANTVLKVISKIDKIRVARENRHHTIRMKGKKKKDQIEGAKELEQSIHMLPIPKVKVVEPLKVKVAVSQQQPEQNLAMEE >CAK8539863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529589467:529589784:-1 gene:gene-LATHSAT_LOCUS8923 transcript:rna-LATHSAT_LOCUS8923 gene_biotype:protein_coding transcript_biotype:protein_coding MLITLLRKVTNGRDLIRQAMRRFANAYLTLGCLDMFDSDYSKSNRFATTKDGRKIAKSVMDHRFWKNNVMCLKTANSLMEVLRLVDFDAKPTMSFINEVMDSCQK >CAK8579144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679393090:679393652:-1 gene:gene-LATHSAT_LOCUS31112 transcript:rna-LATHSAT_LOCUS31112 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPMHLAYEAYLGGPVQYRWMYPFERFMGDSKRSVKNKAEVEGSICAYYLHREISHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPTGKDNVHWLIQKELQSTHVHVLINCIEVSPYLEAFNASYFQSTGEQATTDHIHASFPSWFKD >CAK8573792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637214536:637214973:-1 gene:gene-LATHSAT_LOCUS26197 transcript:rna-LATHSAT_LOCUS26197 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSVLNGIVSKLETIGVKIDDEDKDMRLIWSLPSSYEHIKPVLIYGKKTLSFQEVDSKIIYEERRLKGEENTSSNSVLVARGRSYVKKNNEMGVRCWKCGKLGHIKYKCPNGAALEKGYESNTNNVSLAVREDYLL >CAK8569742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9543853:9544647:1 gene:gene-LATHSAT_LOCUS22558 transcript:rna-LATHSAT_LOCUS22558 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKISAASARSHTRRANKSSSFHLPSGILRTTVAVLVIGFLAWAYQVTQPPPPNICGSPDGPPITVPRIKLRDGRHLAYKEHGVPKDEAKYKIISIHGFTSCRHDTVVADTLSPDVVKDLGVYFVSFDRPGYGESDPDPNRTLKSIALDVEELADQLGLGSKFYVVGVSMGGQVVWNCLKHIPHRLAGAALLAPVVNFWWPDLPANLADEAYSQYKLRDRWAIRVAHYTPWLTYWWNTQKWFPICSAISRSPDILSKQT >CAK8577706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584285561:584286661:-1 gene:gene-LATHSAT_LOCUS29787 transcript:rna-LATHSAT_LOCUS29787 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISTYVEASRQEINLNKSEVFFSCNISNLAKEDLARIMGVRHVLGTRKYLGFPSMIGRSKKETFSFIKDRIWKKINSWRGRALSKAGKEVMIKLVLQAIPSYVMSLFILPDAVCNDIEKMLNSFWWGGGSNNKGIHWLACDKLAFSKKDGGLGFRDFKAFNMSMVAKQGWNLLSKPHALVSRIFKARYFLRTSYFESNRYNSIFMWRSIWKAKDVLSLGCRWSIGDGSQIKVMHEPWIKWKREGCLSGPQKQNVYDIIVKNLILPNEKQWNLRMIREMFNCAEAEEILWVPLLNEVKEDRMIWKEEQNGSYSMRFGYRLWRSLWRKNKDEGGTRIGIVSAILKPLLGLSIYCGESVKKCFTDSC >CAK8541489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:201492574:201492831:-1 gene:gene-LATHSAT_LOCUS10406 transcript:rna-LATHSAT_LOCUS10406 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALICSQNSLMPSNENDSRVVDLLEEYDITDSLVSCRCYRWSNCSWAGCACLNHL >CAK8573977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651541251:651541886:1 gene:gene-LATHSAT_LOCUS26370 transcript:rna-LATHSAT_LOCUS26370 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVTSVTYKFNINGYHTKTIQAKRGLRQGDPISPLLFVIIMEYLNRYFRKMQKNPNFNHHAECEKLHITNLSFADNLLLFSRGDSMSVELIMEAFNGFSDSTSLKLNPAKCKIYFGGVDADTKQNIINITNITNFQEGPFPFRYLGVPLTSKKLSIHHYMPLIDKIMSRVNHWSAKLLSYAGRAQLIKSVTFTIANYWMQCFPIPRSVYS >CAK8532374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250526413:250530439:-1 gene:gene-LATHSAT_LOCUS2085 transcript:rna-LATHSAT_LOCUS2085 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSWSCFGDSLSNLQRFLQCVTPVVPSQTLPQSCSNDLSLQWKPLGKDTIECFTLKDLWNRFYEWSAYGAGTSMILEDGEAVVHYYVPYLSAIQIYTNKSVAASRNRREDSDGVEFESDSWSDDSGSDKLSRSLSNDSSKSWDAISEESSSDQEGSCQTKDKLGNLYLSYIEMSSPYHRVPLALKIPELAKTYPELMTLKSVDLSPASWMAVSWYPIYTIPSRKNDKDMEACFLTYHTLSSSFQDFELENHGMDIDKDKDLCCLISGWENIVGHHDSKKKSKSSISLPPFGLATYKMQSDLWLNSDPYDYESISYLYSAAESWLKQLNIDHHDFNFFGSNSIL >CAK8571832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482207512:482208725:-1 gene:gene-LATHSAT_LOCUS24458 transcript:rna-LATHSAT_LOCUS24458 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLFQGLSSVLHSMDQGLICSSNCDLETMTEAPYHCYYILQPSDKGPMHMRRLAGAEEVKQAPDNRLINPLVNKDVENSVQACLLKFLLLML >CAK8560261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12304773:12305897:-1 gene:gene-LATHSAT_LOCUS13950 transcript:rna-LATHSAT_LOCUS13950 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLFFCVTLLATCHGDDLSHEHGSTNKVFPLRMKTGPGGHYIPEVSCSSWRLGVEAHNVINWKTVPLECETYVGNYMLGDQYRADSKTVNREGYYYAKTLNISGDGKDIWVFDIDETSLSNLPYYAKHGFGVNPYNDTSFNEWVDLGKAPALPESQKLYKKLLSFGVKIVFLTGRPLKQTDITAKNLKLAGYHTWERLILKDLSIYHGKTAVTYKSSERKKLEEEGYRIIGNIGDQWSDILGTNTGDRTFKLPDPIYYIA >CAK8532183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216414093:216415427:-1 gene:gene-LATHSAT_LOCUS1914 transcript:rna-LATHSAT_LOCUS1914 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFYSSNHQRLLSMTKLITSHVNQSRHKQALSIFHHMHTTLPISLDPHVFTLVLKSCTTLHLPLLATSIHSHLIKTSFLTSNHFLSSSLINFYGHCLSLNSARKLFDETPQPNVVVWNSIIALYSRSQQISTAVELFNLMNVPPNESTFNPIIAALSSSLSSNQNNASFQAVNFYRKMIELKLKPSLITLLALLRASVLTAALNLIKEIHGYGIRNDIDLDPQLSSGLIEAYGRCGCLMNSRKVFSGMRECDKDVVVWSSLISACALHGEAREALEFFQEMEVSGVKPDGITFLGVLKACSHAGLDDEALSCFMRMHRDYGVEPNSEHYSCLVDVLSRAGRLYEAYEVIKGMPVKVTAKAWGALLGACRNYGELGLAEIAARALAEIEPDNAANYVLLAKIYASVGRQEEADRMIREMKEKGVKTTGGSSWVVYSESRD >CAK8576066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:397850263:397852439:-1 gene:gene-LATHSAT_LOCUS28283 transcript:rna-LATHSAT_LOCUS28283 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVKRFNRTERVIGTTCIYWLQGRCNRNPCKFLHSETPSILSTGSCRDDTASYHNARKRCHISSESAKTKTVTIRKTRNDMLPPKHTTKKVLNRNMGDDRERTQVPEKHTTKKVLIRNMGDDRERTQVPQKHTTKKVLNRNMGDDRERTQVPQKPSISICKYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALPNGSDKLYSGSTDGTLRSWDCHTGQCANVMNLGAEATSLISEGPWIFVGLPNTVKAWNTQTASQFTLDGPKGRVLAMTVGNDTLLAGAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLTVGVFKMLYSGSKDQSIKVWDLDTFECKMTLNAHTDEVTSLLCWDNFLLSGSSDCTIKVWYKTVAETLEVAYSHKLENGVVALSGMTDPKNKPILFCSTRDNSVRLYELPSFAERGRLFAKQEVGLIDIAPGGLFFTGDRTGLLTVWKWLEEPKVAVAASS >CAK8543707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632822690:632825277:-1 gene:gene-LATHSAT_LOCUS12448 transcript:rna-LATHSAT_LOCUS12448 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLTIEDVEVAPPQANEVRIQILFTALCHTDAYTLGGKDPEGLFPCILGHEAAGIVESVGEGVTDVKPGDHVIPCYQAECGECKFCKSGKTNLCGKVRAATGVGVMMSDRKSRFSVKGKPIYHFMGTSTFSQYTVVHDVSVAKIHPDAPLDKVCLLGCGVPTGLGAVWNTAKVESGSIVAIFGLGTVGLAVAEGAKSAGASRIIGIDIDSNKYDTAKNFGVTEFINPKDHEKPIQQVICDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLKKEIKVDEYITHNLTFTEINKAFDLLHEGQCLRCVLAVQE >CAK8572039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504012756:504014069:1 gene:gene-LATHSAT_LOCUS24647 transcript:rna-LATHSAT_LOCUS24647 gene_biotype:protein_coding transcript_biotype:protein_coding MHACSRTCDTHEFRTRGHKYFPHDPLIQPFLERAGFGEVVKITNYLIDSKFILALQERWRPETHTFHLPIGECAVTLEDTYMLLGLPIHGKAVNGKTNPTGDFIRELLGVEPTPRDLRGQKLLMSWLKKIYRELTLTPDSTEILKIRKTRIYIMLLIGLFLCSDTSGSVVHSMYLPLLDDVDKIKQYSWGSATLAHLYHSLCHNSIANTGNWTGCGVLLQSWGWSRMTNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQ >CAK8538670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492117714:492118598:1 gene:gene-LATHSAT_LOCUS7845 transcript:rna-LATHSAT_LOCUS7845 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLPEGDLLKVKEVHGFTVRNFGFDAHAPIGNALIDNYGKCGSLKDSENVFKTVSCANLVTWTTMISCYGMHGKGEESVFLFEKMINEGFRPNAVTLTAILASCSHSGLLDQGKKMFDSMISDYEFEPTAEHYACMVDLFSRCGYLEEALRLLERMKSSSLTGSMWGALLAGCAMHKNVEIGVIAAHRLFQLEPNNTSNYVALCGIYQSRGMAHDVSTVKAKMKGLGLVKIPGCSWINIAGKEHKFYQGDLSHPLSHMIFQMLYEINNTQLSTNDLGVGYLLHDDDIFVMAL >CAK8536871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:31271978:31272802:-1 gene:gene-LATHSAT_LOCUS6198 transcript:rna-LATHSAT_LOCUS6198 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELKLLGGWYSPFAVRVQIALSVKGLDYENIVEDLKLKSELLLQSNPVHKKIPVLIHGDKPVCESGNIVEYIDEVWKDNGTPSILPSNAYDKAIARFWVSYIDDKFYNSLRNGLFAQDEDSKKTYFEQLEQVLVTLEDVINNFSEGNDFFGGDKIGFIDIGLGCYLSWMRVKEKVTGKMFDEAKTPALVKWAEAFAAHPAVKGILPETDKLLEYAKAMLQK >CAK8569449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1283868:1285001:1 gene:gene-LATHSAT_LOCUS22298 transcript:rna-LATHSAT_LOCUS22298 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLTPYPNPAKTAEIMSRYRPIAPKPETCSSNNTMSDGSSSSNSLSQKLKQSPYLRNLWPQLQARPTRTRKRGRAPISLPSSLKRQKTHVLGFCQPLHVTSPIKNLSLQGGTFVPPTSLPQLSLPNHGIGVLNSNHLEKNLNLVTLPLLPCSPNSSPHLSLPHHSSPHPKNASKFELEVIDLNKTKGEIPQEIDLLQQLQKPASSYSSNDVINVIAPQPIRPVGSCISVGCINEVSTTIPSLTQQTPKKSEELEQEVESEELPAVISDSNNRVRMVNSAYREMVGQPECPWLEVQCGSSTSCKRISGEVTLQICDSSSIPISSNGFSCWVRIEWENNGKKKNCVNAFCDVVKLSCDQSRDYVFTWRFHTRSSCNA >CAK8574508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1176848:1180512:-1 gene:gene-LATHSAT_LOCUS26849 transcript:rna-LATHSAT_LOCUS26849 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIHGDDSRMQPNDGQHPILMSYEHHELHHMSNGNGMNDDQNNGGGGDSNCGGSENAEGDVPSNNGNLHGNQSSIMDQGNNDIGDQLSLSFQGQVYVFDSVSPEKVQSVLLLLGGRELPPTLPSMPISPDENNRGFTGTPQKFSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKSNHDESETNCGTNEGLMADNNGSQQQDAVCRHCGISEKCTPMMRRGPEGPRTLCNACGLMWANKGALRDLSRTATLPAHNSPLNKNESQNLENNQMVLRDAAESS >CAK8534112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696007356:696007874:-1 gene:gene-LATHSAT_LOCUS3681 transcript:rna-LATHSAT_LOCUS3681 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSNNCWLKEEDIEDVVQNGWKHGESHNVMQRIGSCTEELENWNRVRYSRKKDELKRHKEIVERYRRNHDLESMSRFLEAQKEYNKMFVGEDTYWKQRDKMHWLQVDDLNMKLFHLTAMTRKNFQKIDMKELRNV >CAK8542214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489847956:489850447:1 gene:gene-LATHSAT_LOCUS11071 transcript:rna-LATHSAT_LOCUS11071 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFIGSWIIKTLLQNGYTVNTTVRPDPGQKKDVSFLTNLPGASQKLKIFNADLSNPESFNAAIEGCVGIFHTATPIDFEVNEPEEIVTKRTIDGALGILKACKNSKTVKRVVYTSSDSAVSFQEREEDVLDEIYWSDVNFLRNYKPFVWSYAVSKTLTEKAVLEFGKEHGLDIVTIVPTFVIGPFICSKLPGSIHGSLSFLFGDAAKNLFGVSRLQIVHVDDVARAHIFLLEHSNPNGRYNCSVFTTTVDEIIDIISLKYPEIQISKSDVLMGAKGSKPPRLTSKKLMDAGFEFKYSVEKMIEDTIECCKENGYL >CAK8543391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604511583:604513401:1 gene:gene-LATHSAT_LOCUS12149 transcript:rna-LATHSAT_LOCUS12149 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGAEEETSGPPANQYTAPSRGGNNAYGGGGGNDRGEPRSNITKSGGPQKVLPIEIPSITLDELNRLTGNFGSKAFIGEGSYGRVYYAKMSNGTEAAIKKLDTSSSPDPESDFAAQLSVVSRLKHDNFVELTGYCLEADNRILVYQYASLGSLHDVLHGRKGVQGAEPGPVLSWNQRARIAFGAAKGLEFLHEKVQPSIVHRDVRSSNVLLFNDYEAKIADFSLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPPGPDANA >CAK8536090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906210703:906211134:1 gene:gene-LATHSAT_LOCUS5490 transcript:rna-LATHSAT_LOCUS5490 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFDKKGVVRLITNPTRESFEMKPEPTQPTTATATATAPGARPRLLVYLPTNQVITSFSQLEQRLNELGWTRYYSNSHHHHHHHHHHHQCPNDIIQFHRSDASNYLLSLPRNFSSFKHFHLYDIVIQNRSFFQVRDPTS >CAK8569793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11543249:11545972:1 gene:gene-LATHSAT_LOCUS22603 transcript:rna-LATHSAT_LOCUS22603 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKAMEFQHGNENIMTSEMDMSSVSMANKPSSEIVNHHHPFLASSAWDNPLVSLSQAHTFGGSSMVSHNEFANTNSTYNPLVLENHQGISSTSHLVQYSNLSGMIHKVPSYGSGSFSEMVGSFGQHGDHVANTSGYPIPPQHYNHVKDAGIQRGQIHGEQSQVEDSIHEDGALGSAPSSGNRRKRGFDQNSNFSPNKNAEGDGVKDSPRKISDASKEHEKKPKVEQSGKQAKDNSPSGDTSKDNFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPEMNFDVERLLSKDILQSRLGLGIGGFVPGISSSHPFPSSSFQGNLAGLPSSSTQFPPLPQNVLDHDFQSFYGMGYDSNTALDNLGPNGRLKPEL >CAK8544994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717076378:717076751:1 gene:gene-LATHSAT_LOCUS13630 transcript:rna-LATHSAT_LOCUS13630 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRNIFWVGRKWRLLSSNYRNTSVGIVVPHSMPVTTKTSDRAAVHGVKSPLPDILDKEQAEKDIEKAKQDKEAKRKHIEKTIHSYLTKYDSP >CAK8577476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567202005:567202652:-1 gene:gene-LATHSAT_LOCUS29580 transcript:rna-LATHSAT_LOCUS29580 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKQGRGRPKSTVPSSPETITSLKTPELESRNTTTNLKTPEIESRTTTVEDTNITNTLENETKKILTETIQTQPEERKLWADVINDNRNPTKGMEIESVVPKVVNGVIEIEIEQEDIATEIQFWENSLILYVVGDDLSMNKMKNFMQRMWNFVKMPDLYYHDDGYFLLRFNSQEDKEAVMMKDPYTIRNMLMILKEWQTGFNLKKDLLRKLPI >CAK8534835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:774609316:774612055:-1 gene:gene-LATHSAT_LOCUS4345 transcript:rna-LATHSAT_LOCUS4345 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKSKKVKVMEKEVDLINSLPDVLLISIISLLPGMEGVRTSVLSKRWESLWKYSSHLSFNQFQILKSLIEEHIQNPDKKKRHEMIMNHKISTEEDEILDTIAEASMLIKSIMDNHIGPLKSCSIQHLPESCKNRDVVGWLKKLLEKGAVKVSLECESMNYLHKITNMISRDISGNLYLPFNVFSSFKVFELKNYVFKTLPSPNPQQILKTLILNKVCIISKFHKILSHCFSLENLTLEKCIFIRDKIKIVSQSLKYIKICHLKAHIILVSAINAEVMEIDSIICNYKDLVFNTPKLHVLCAYYDTQRIEQNFSTYGGRLLTSRDILIVCSRTLGRQSSSMGTILRNLTTLCLDLDLNNNRNTIAISFALKYCVQLKNLQINNQVNWNYTDGMDDQNGIDSLYYPIGLFWPKRESCECVSHNLKSFCIKGYKGGDFEVEFVKYLIINGGVIENITIWFLDDCSWVEVVATICLLSYPRNSSKLSIDLKPGKKIIKEDGASFEKWVRTLK >CAK8539522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514940405:514942317:1 gene:gene-LATHSAT_LOCUS8608 transcript:rna-LATHSAT_LOCUS8608 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVFLQALFSPNQCLPSKLCFASSSSSASTFGSRNLPLISTSISRRCSPLIAKPTFIARADSNPDAASASDVVDEVPETDAEQISESEPEVEPLKPPRQTRVKLGDVMGILHKRAIEASDEVRFTPDIRTGDIVEIKLEVPENKRRLSLYKGIVISRQNAGIHTTIRVRRIIAGTGVEITFPLYSPNIKEIKVVKHRKVRRARLYYLKHKLPRFSTFKK >CAK8570111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24067974:24079439:-1 gene:gene-LATHSAT_LOCUS22892 transcript:rna-LATHSAT_LOCUS22892 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKSRTDLLAAGKKKLQQYRQKKDNKGGSSRGKSSKKSSKNQLPESDADADAASVTSTGSSQVTDGNVETNSDSNVVITEPIESQSLGSSTAADNVDPSVDSSSVGKTSDTGEETDLDSNAKLALQGRGVHEKDSELSSPDQGGSSQNIGANVAKDVSFTSSSAPDNVDPSFDSSSVVMTYDTSHETELDSSDKLALEGHGVHENDSELSAKDQGVSSLNVGADVAEDVSLRTSNSEGGTTHDHASKPVDLLSPHASITTAVDESITIEKECEEKERSLPLSEDISSTYVVQTREDQEADDLDMAKSCQSTDAIIDDQKDIPLFEAGEGDQSLSGITTENTRIKEVSHEAEQLDRPVELFSSLEDIVSDKHSGSDKGQDDAFATVGTSVKNLERGTLLGSSYGEMILQSTEEQISKGVLSGQDVALHGGFNQQCTLVGSAADDSTHELSTRASTRLFDLSPISDASSVNLLQLAEFIRGLNEEEYQFLLKARGAFSDADPLTSSSVLPNHDFSEAFQRLKEELFLAHMMQNIFSMQLAEQLDLQSESDYHRYQLIGELSQLHDSRNEVNENNQRLNEELANCRVELENSFSKSVELQNQFDTAMAGIEALSARLVELQISFEMAQKDSLDLSTELADCRSLISSLQDEKKGVSETLDLVISEKNKLADEKEFNLCESQKLATELSCLKSSMEAVEVENSNLTDRISLVTEESNMFKAEIKHLLQEIDRISLDLVENKDLVASLKSENSNLNGNLALSVDKIKNMEDDNESVVLENQRLTSQIVSILEQLSIEKGERMRFEDDLKEATMHLEQLSKENLSLNSTLDEHKVKIEEMAVTKDSRQIGQGLDDGAAGGPFENIPEQETFNDSLGFISLKTDLNEAENVLVKLEKAIDELHSQSQISGGTVEKVSSPVVSKLIQAFEPKVNETEHVGEISVTTDVQSQSKCGRKIEEQIGNLRKLLSKWKLDVQSAYSLFKGERDGRKIGDAKYSDLEDQFEGLKQHCSDLEASNVELAVQYETVKQLLGDIQEKKCHLEEICEALKQEDIHLKAKNSELYDKLGYCHSKMIELLTEMNDVKLSLNEMASVISSQLENLQKEVTQRAVLLEQGWNTTIDEIVKLVAKLNESVGGTSHTMVSYDTHDGSHISHWLDASVSAATEMIFGLQQKLEASNADHEIITMSHKEMTTKCDHLLERNEMAINVLHKIYSDLRKLMFSGWSLDEDTIDVQSEALPDLLNYNNYDTIMKHLGDILIEKLELESVTNKMKSELVHKETEYEELKIKCLGLDSVGKLVNDVAGALHVETPNIEINTSPLLHLDSLVSSLVQKTKEAEIQNHATKEDDGSKEMELDELKEKMHYLDMLRLENENEIFVLRESLHQAEEALSTARSELREKANELDHSEQRVSSIREKLGIAVAKGKGLVVQRDGLKQSLAETSTELERCSQELNLKDTRLHELETKLKTYSEAGERVEALESELSYIRNSANALRESFLLKDSMLQRIEEVLEDLDLPEQFHSSDIIEKIDWLVRSVVGNSLPMNDWEQKDFAGERSYSNAGNAVADSWKDNSQLQPDSGDDAGRPSYSDAGFVVTDSWKDDSQQQPDSEGDFQKNFEELQSKYYGLAEQNEMLEQSLMERNSLVQRWEELVDKIDMPSHLRSMEMDGRIEWVGRALAEANHHVDSLQLKIERYESYCGLLNTDLEGSQRRVSTLQEDLKVQTLEREHLSEKVEALRNECEKLSVQTRGAELENENLHVEITSLKDQLEQKAEIEEQIFTIDGKIKKLQDLVGDALLESETEYLVSDGANIDYLEELLRKLIGNHASLKDRLEQKAEIEEQIFTIDGKIKKLQDLVGDALSESETEYLVSDGADIDSLEELLRKLIGNHASLKDRLEQKAETEEQIFTIDGKIKKLQDLVGDALSESETEYLVSDGANIDSLEGLLRKLIENHASLLSMKSMRGVVLDGHHPQNDDATLHEEKSIDMHDKDQADIDRYKKDLEAALSELEHLKEEGGRYLEKQISLSGEVEALSKRTEELQELLNQEEQKSASAREKLNVAVRKGKSLVQQRDSLKQTIGEMNVEMEHLKSEINKREHTIAEHEQMMRQLSTYPDRLEALESESSLLKHRLEETEHHLQEKEYSLKLILNKLGEIDVAGEGHISDPVKKLEWIGKLCSDLHDSVASLEQESRKSKRTSELLLAELNEVQERNDSFQEELAKVADELVDLRRERDSAEAAKLEALSHVEKLSKLHEEEKQSHFYELVELKSSMNQVWKGFGEVQNLLAKTFFTDLESFRNVEVGLELCMKGYNAPNVVDSSSSEERDRILLKSPDNKTSSMYADSWSEFGTIDHYNDNTIIENFHLLGHKLQEFLVEVSSLKERIHMHSSSTREQDKTLSKLMTNIQREITSQRESFEKIKTEVNKRDLQLIALRGNVAHLYESCINSVTVLENGKTELLGEKVEFPDQGINLKTPSFDDEISEECVKTLADRLLLAANGFASIKTEFLDANQKEMKATITNLQRDLQEKDVQRDIICADLVKQIKDAEAAAKRYSQELQSLRMEEHDLKKQVEVFEGEKKILEQRIKELQDRQGIAAELEDKVRSQIGLLAAKDQEIESLMHALDEEEMQMEELTKKNAELEKAVQQKNQEIENLESSRGKVMKKLSVTVSKFDELHCLSANLLSEIEKLQSQLQEKDSEISFLRQEVTRCTNDDLRASQLNNQRSLDDIVEFFMWVDTIVSRDGMDDIPPDVKSDAQVHEYKEILQKKLMSLISELENLREVAESRDEMLQAEKSKVAELNHKAETLEKSLREKESQLNLLDGVEETGKGIASSSEIVEVEPVINEWTTTGAFVTPQVRSLRKGNSDYVAIAVDEDPGSTSRIEDEDDDKVHGFKSLTSSKIVPRFTRPVTDLLDGLWVSCDRTLMRQPVLRLGIIIYWTIMHALLAFFVV >CAK8570110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24067974:24079439:-1 gene:gene-LATHSAT_LOCUS22892 transcript:rna-LATHSAT_LOCUS22892-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKSRTDLLAAGKKKLQQYRQKKDNKGGSSRGKSSKKSSKNQLPESDADADAASVTSTGSSQVTDGNVETNSDSNVVITEPIESQSLGSSTAADNVDPSVDSSSVGKTSDTGEETDLDSNAKLALQGRGVHEKDSELSSPDQGGSSQNIGANVAKDVSFTSSSAPDNVDPSFDSSSVVMTYDTSHETELDSSDKLALEGHGVHENDSELSAKDQGVSSLNVGADVAEDVSLRTSNSEGGTTHDHASKPVDLLSPHASITTAVDESITIEKECEEKERSLPLSEDISSTYVVQTREDQVTNLGAMQEADDLDMAKSCQSTDAIIDDQKDIPLFEAGEGDQSLSGITTENTRIKEVSHEAEQLDRPVELFSSLEDIVSDKHSGSDKGQDDAFATVGTSVKNLERGTLLGSSYGEMILQSTEEQISKGVLSGQDVALHGGFNQQCTLVGSAADDSTHELSTRASTRLFDLSPISDASSVNLLQLAEFIRGLNEEEYQFLLKARGAFSDADPLTSSSVLPNHDFSEAFQRLKEELFLAHMMQNIFSMQLAEQLDLQSESDYHRYQLIGELSQLHDSRNEVNENNQRLNEELANCRVELENSFSKSVELQNQFDTAMAGIEALSARLVELQISFEMAQKDSLDLSTELADCRSLISSLQDEKKGVSETLDLVISEKNKLADEKEFNLCESQKLATELSCLKSSMEAVEVENSNLTDRISLVTEESNMFKAEIKHLLQEIDRISLDLVENKDLVASLKSENSNLNGNLALSVDKIKNMEDDNESVVLENQRLTSQIVSILEQLSIEKGERMRFEDDLKEATMHLEQLSKENLSLNSTLDEHKVKIEEMAVTKDSRQIGQGLDDGAAGGPFENIPEQETFNDSLGFISLKTDLNEAENVLVKLEKAIDELHSQSQISGGTVEKVSSPVVSKLIQAFEPKVNETEHVGEISVTTDVQSQSKCGRKIEEQIGNLRKLLSKWKLDVQSAYSLFKGERDGRKIGDAKYSDLEDQFEGLKQHCSDLEASNVELAVQYETVKQLLGDIQEKKCHLEEICEALKQEDIHLKAKNSELYDKLGYCHSKMIELLTEMNDVKLSLNEMASVISSQLENLQKEVTQRAVLLEQGWNTTIDEIVKLVAKLNESVGGTSHTMVSYDTHDGSHISHWLDASVSAATEMIFGLQQKLEASNADHEIITMSHKEMTTKCDHLLERNEMAINVLHKIYSDLRKLMFSGWSLDEDTIDVQSEALPDLLNYNNYDTIMKHLGDILIEKLELESVTNKMKSELVHKETEYEELKIKCLGLDSVGKLVNDVAGALHVETPNIEINTSPLLHLDSLVSSLVQKTKEAEIQNHATKEDDGSKEMELDELKEKMHYLDMLRLENENEIFVLRESLHQAEEALSTARSELREKANELDHSEQRVSSIREKLGIAVAKGKGLVVQRDGLKQSLAETSTELERCSQELNLKDTRLHELETKLKTYSEAGERVEALESELSYIRNSANALRESFLLKDSMLQRIEEVLEDLDLPEQFHSSDIIEKIDWLVRSVVGNSLPMNDWEQKDFAGERSYSNAGNAVADSWKDNSQLQPDSGDDAGRPSYSDAGFVVTDSWKDDSQQQPDSEGDFQKNFEELQSKYYGLAEQNEMLEQSLMERNSLVQRWEELVDKIDMPSHLRSMEMDGRIEWVGRALAEANHHVDSLQLKIERYESYCGLLNTDLEGSQRRVSTLQEDLKVQTLEREHLSEKVEALRNECEKLSVQTRGAELENENLHVEITSLKDQLEQKAEIEEQIFTIDGKIKKLQDLVGDALLESETEYLVSDGANIDYLEELLRKLIGNHASLKDRLEQKAEIEEQIFTIDGKIKKLQDLVGDALSESETEYLVSDGADIDSLEELLRKLIGNHASLKDRLEQKAETEEQIFTIDGKIKKLQDLVGDALSESETEYLVSDGANIDSLEGLLRKLIENHASLLSMKSMRGVVLDGHHPQNDDATLHEEKSIDMHDKDQADIDRYKKDLEAALSELEHLKEEGGRYLEKQISLSGEVEALSKRTEELQELLNQEEQKSASAREKLNVAVRKGKSLVQQRDSLKQTIGEMNVEMEHLKSEINKREHTIAEHEQMMRQLSTYPDRLEALESESSLLKHRLEETEHHLQEKEYSLKLILNKLGEIDVAGEGHISDPVKKLEWIGKLCSDLHDSVASLEQESRKSKRTSELLLAELNEVQERNDSFQEELAKVADELVDLRRERDSAEAAKLEALSHVEKLSKLHEEEKQSHFYELVELKSSMNQVWKGFGEVQNLLAKTFFTDLESFRNVEVGLELCMKGYNAPNVVDSSSSEERDRILLKSPDNKTSSMYADSWSEFGTIDHYNDNTIIENFHLLGHKLQEFLVEVSSLKERIHMHSSSTREQDKTLSKLMTNIQREITSQRESFEKIKTEVNKRDLQLIALRGNVAHLYESCINSVTVLENGKTELLGEKVEFPDQGINLKTPSFDDEISEECVKTLADRLLLAANGFASIKTEFLDANQKEMKATITNLQRDLQEKDVQRDIICADLVKQIKDAEAAAKRYSQELQSLRMEEHDLKKQVEVFEGEKKILEQRIKELQDRQGIAAELEDKVRSQIGLLAAKDQEIESLMHALDEEEMQMEELTKKNAELEKAVQQKNQEIENLESSRGKVMKKLSVTVSKFDELHCLSANLLSEIEKLQSQLQEKDSEISFLRQEVTRCTNDDLRASQLNNQRSLDDIVEFFMWVDTIVSRDGMDDIPPDVKSDAQVHEYKEILQKKLMSLISELENLREVAESRDEMLQAEKSKVAELNHKAETLEKSLREKESQLNLLDGVEETGKGIASSSEIVEVEPVINEWTTTGAFVTPQVRSLRKGNSDYVAIAVDEDPGSTSRIEDEDDDKVHGFKSLTSSKIVPRFTRPVTDLLDGLWVSCDRTLMRQPVLRLGIIIYWTIMHALLAFFVV >CAK8579580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:709533758:709537528:1 gene:gene-LATHSAT_LOCUS31514 transcript:rna-LATHSAT_LOCUS31514 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCSSETINIAARTQKLDVDNRISLRFYYRIADNILKQADIFRAEKNIVDLYVMLLRFSSLVSETIPRHRDYRTSPQSKKELLRKKLLASVIELEKLKPLAQQKINELNSRKSYQQSRRENFQSNFTIDFSPVKKQTMASYDQIKAVRQTAGELVSRGSKGQQFPYVRPAEDNMKRLSLTLPRPKEETLSRHSILGPNGLKGQWQPPTSDKGVRYPTIIDLSPVEIPSLHQSLEDGSSNKKDNSISEHNRSDLESTLTQSEDCQVQQAEETPSLISFEETEDLDPIKVIRQPSPPPVLAEVQDLVPTVSPHVDEAGCKTETLSSDSFVRGESPLQLHISTAMMGSFMKLAKSNTDKDLETCGILAGSLKNRKFYITALIIPKQEATSSSCQATNEEEIFEAQDSRSLFPLGWIHVSFQFSVCGRWLQLVEFF >CAK8578135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609221297:609223815:-1 gene:gene-LATHSAT_LOCUS30174 transcript:rna-LATHSAT_LOCUS30174 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFVVDLNKPLVCQVGHLGDEYEEWVHQPIMGKEGPRFFHSGVLEVFTRTAWWVIPIVWLPVASWFIYNSFKLGLDTPRLPLFVLLGIFVWTLAEYLLHRFLFHVQTKSYWGNTMHYLLHGCHHKHPMDSLRLVFPPAAAAIIASMIWSLVKLVFPPLTAPAVFGGILLGYVMYDCTHYYLHHGQPKSDVPRSLKKYHLNHHYRVQSLGFGITSPLWDKVFGTVPPPSKADAKRR >CAK8560558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25087428:25088990:-1 gene:gene-LATHSAT_LOCUS14220 transcript:rna-LATHSAT_LOCUS14220 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQEVKGYSQEEEEEEEIITRTTKDEENSSKQQLQHCPFSSSPSNFSLSSSSPSTTHHPHHHHNHDVTNQWLGINHHHHHSPESHNSSSGGLGLLHQQHQDATTTTTTSNFTNQTSLKQIDFMELSLGNNNSFNKDPSSSNANNINSELPHQQQQQQQQQPSTHEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGDLFRHRLFIDWRRRSNHNHNMDPSATLFTPFFLPNQQQYSIRWGGANSRFYSLPYPSPQRLQQQQNLHDPLQNQMNYNYQMYPFHQHGVGNVNQSYNFHHHDPSSVFYMRSSLPAAAPSMVDQGSLTRQQGGVGGDNNVSNMIIDSVPVSHHYHHQQGGKSGYSSTGNFTSTTTIASTSTSINGAAKRLRLFGVNMECGSSTSDENLANSVPSSSSNLRLHHEETLSTSTRYQEDKRREASLIFGLDPSLQYHNFHQQ >CAK8537392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:324305496:324306434:-1 gene:gene-LATHSAT_LOCUS6685 transcript:rna-LATHSAT_LOCUS6685 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGVVGLETDYGILRIKLLPECAPQSVSYILELLALSHCVGCQFCRAESRGRFWDAKGNHIKKATFGPPFALVQGTLESQEFMFNDIPKEHFANQSTTTSKDTTTLLAS >CAK8575150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:40604381:40606004:1 gene:gene-LATHSAT_LOCUS27436 transcript:rna-LATHSAT_LOCUS27436 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLRCMISLIVVYSFIVKPCYCLNRKLFNVSQVQDDTNQWQGAIATWYGPPNGAGSDGGACGYTDSVENPPLSKMISAGGASLFLNGVGCGACYEVKCTENSACSGNAVTVMITDECPECVESAHFDLSGTAFGSIASSGQADNLRNAGKINIQYRRVACSFGNSIVFTVDNGANPYYFATEIEYENGIGDIVKVEIKQSDQWLSMGRSWGARWALNLGSQLITPFSIRLTESENGSNRSIVAENVIPSNWQPGQVFRSLVNF >CAK8570664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83949977:83951401:-1 gene:gene-LATHSAT_LOCUS23400 transcript:rna-LATHSAT_LOCUS23400 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLEWKELGKEGSNDKWKNQKVRRRKNFLVRRMGLAKHFLLTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINKLYKIVIFRNNTLIELLRTSGFTPAELVMSQEKLVQEAVDALLDNGIRGQPMRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNWFNCRNSQNEKMSNNNFKNLKYMKKKEPCFCNSYDAIGAYRQKRINLDSPFWLRWQIHQCIMSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8567504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519220916:519224637:1 gene:gene-LATHSAT_LOCUS20551 transcript:rna-LATHSAT_LOCUS20551 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNASSLLQPWRIPLSPHITITRSRSRNSFYAPSSSSSTQFSSSQFSTFPYTPIKPLVIPHGKKKISDSEPVLESTIVQEVSSDEEDDDDEFEYDTEIDEDMDDDSDGDEEFFDEEEDSVLPYAGDGGAGGGISLAGTWWDKKALAVAKEVTMSFEGDLQIYAFRTLRNSTVQVRIEKLSNKSGSPTMEDIEAFSTTYRAKLDEAELAKLIPDNLSLEVSSPGVERVVRIPDDLDRFKERPMYVRYTIEDDSNNPSAEGDGVFKLESFDMETKYCTWSLANVRVNREKAGKGRPLNKKQREWRLSTPFGSLRFVRLHSDI >CAK8574163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666977069:666980227:-1 gene:gene-LATHSAT_LOCUS26535 transcript:rna-LATHSAT_LOCUS26535 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSKVSHLRCALVTALFFATVSLSCLILLRDVDSYRFFSGFPSSYHLARFSTFFPLVSNDLAVTSNEYPLEKILNDAAMEDKTVILTTLNEAWATPNSVIDLFLQSFRIGDRTRRLLNHLVIVALDQKAFARCQVIHTHCFSLASKEADFHEEAYFMTPSYLMMMWRRIDFLRSVLEMGYNFVFTDADIMWFRDPFPQFYLDADFQIACDHFTGSSDDVQNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFIADIGLKMRFLDTTNFGGLCEPSKDLNQVCTMHANCCFGMDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDALRNDGSPEEND >CAK8575228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56894413:56906707:1 gene:gene-LATHSAT_LOCUS27510 transcript:rna-LATHSAT_LOCUS27510 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQALAKTAAVIEKKVQTTVHEVTGPKPLQDYELLDQIGSAGPGLAWRLYSARARDPSRQHQYPVVCVWVLDKRALSEARVRAGLTKVAEDSFLDLVRTDAGKLVRLRHPGIVHVVQGLDESKNAMAMVTEPLFASVANTLGNLDNVANVPKDLRGMEMGLLEVKHGLLQMAESLDFLHNQAHLIHRAISPENVFITMSGAWKLGGFGFAIPASQNPGELSNQQAFHYAEYDVEDSILPLQPSINYTAPEMVRSTASSAGCYSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLTYLSSDAFSSIPSELVPDLQRMLSLNESVRPTAMDFTGSQFFRNDTRLRALRFLDHMLERDNMQKTEFLKALSEMWKDFDSRVLRYKVLPPLCAELRNVVIQPMILPMVLTIAESQDKNDFEQSTLPALVPVLSTASGDTMLLLLKHAELIINKTTQEHLISHVLPMIVRAYDDNDARIQEEVLKKSVSLAKQLDSQLVKQVILPRVHGLALKTTVAAVRVNALLCLGEMVNRLDKHAVLEILQTIQRCTAVDHSPPTLMCTLGVANSIFKQYGVEYVAEHVLPLLMPLLTAQQLNVQQFAKYMLFVKNILQKIEEKRGVVVTDSGLPEVKLSPAVNGLQVDAPRTINSTVATPAKSSSSWDADWGPKTTRTTSYVSNPTDTSSQSVIGNPVGQVASLQNHVSLSGVSNQQTAKSCPSVDLEWPPRASSGVTSQFGDIERQTVAVGTSSTSNNEDDDPFADWPPRPSGPLSGGFGNPNNGTSGMPLNKLGHNSMTSNSSNLQASNNWSVNSQRSVESISLNPMNASSSISNLNNGFQPESPLGFLKQSQAFPVSNLVSSSYNNVKSTDLGSIFSSNKNEQIAPRLAPPPSTTVGRGRGRGRGATSTKQPSHTKSSTEQPPLLDLLG >CAK8578901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661218664:661223669:-1 gene:gene-LATHSAT_LOCUS30880 transcript:rna-LATHSAT_LOCUS30880 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLRFRQTGANWTLSPTICAAVTGIATIAAVGIIFVLKDTKSSKPITSLVKYNNAPEKPLLIPGLQNLGNNCFLNVVLQALASCVCFQSFLDSVIAEYENDERRDGNMSLVFSLASLLQELSSVCTENVVLSPTNLMRHMSCYIPDFDLTSQQDAAEAFGHLLGSLRKESGGCYAPKISSLADLFASNNRILAPIQTDWQSEPERWHSLFLGPFDGILCSSLTCQSCSSQISNKFENFDCLPLSLVLSNSYTVRVGCTLVDCLKQFILAEHIENYHCSHCWHNTAIEYLSLMEGDKVELEKLRSCSDQEFCDCRKTYDLENLPWSNRFSHALKQLNIARCPRILCIQLKRAHMDGVGESFKLQGHISFPLILDVSFMTTRLGVNIQEDVQSPPLNMQYNKKNSLPNHSNLQSETRMIESSGRHELDELPCSGSSESIRSNTQLQSIDTVNISCNSISQNTCVYQLVSVVEHFGETGGGHYIVYRCVRSESSDVSGDCFNQNSMHWFCVSDSHVDAVSVDDVLSAEASLLFYERIPNN >CAK8571109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:299248182:299248610:1 gene:gene-LATHSAT_LOCUS23802 transcript:rna-LATHSAT_LOCUS23802 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPITQTPEGDPKSSVTTDKDKRSSSSKDLEEILHAKL >CAK8565078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33782317:33783090:1 gene:gene-LATHSAT_LOCUS18318 transcript:rna-LATHSAT_LOCUS18318 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEHPNCINVIKYCWKAKFYGCHIYTMSQKLELLKVKLKAWNKEIFGNIHHLLNNATTNMNDIQAQIQSDGHNDDLIAKEQKAQLEMAIALKLEELFWHEKSNVRWHNKGHRNTAYFHRIAKIKNCTKHVSTIQHKGVTYSRPEYIYHIFSSHLEQLFNSCNDCFDNSLVDEVVPQLINDHFNALLNVLPNPEEIKIVVFSLSKNSSPGPDGFGGFFFQYYWDVIHTDAINATAQFFSTGWILPNWNANNIVLIPK >CAK8574289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674154060:674154803:1 gene:gene-LATHSAT_LOCUS26648 transcript:rna-LATHSAT_LOCUS26648 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPDPLRNGVDNNRSPSAPRFHSTVAEHKLLRFNSLILVFRLTSFSFSLASSVFMLTTSRTSGSPHWHHYDTFRFVVAANAIVAVYSLFEMCASVWEISRGATLFPEVLQVWFDFGHDQVFAYLLLSASAAGTAMARTLKDMDTCTVSNSFCVQSDIAISLGYAAFLFLGFTSLLSGFRLVCFIINGSRFHL >CAK8540021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536133292:536139047:1 gene:gene-LATHSAT_LOCUS9061 transcript:rna-LATHSAT_LOCUS9061 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNPNRRTSLYPEVIESNPDAPSYPSSSSQSNLYPSIDFNDLVQNLFPDDVAATVDTPNSPSAPLETTEEILVKIPGAILNLIDKEYSVELASGDFTVVRLRQGENSIAVYARIADEIQWPLAKEETAVKVDDSHYFFSFLAPKGFDEEDDKHEPQKKNEINSDLLSYGLTIASKGQERLLKELDTILGNCSNFSVQKVSEKAKKKGEALDGSMAKEVSPKDLESKKKKEIMEGQCAAYWTTLAPNVEEYSGSAARFIASGSGHVIKGILWCGDVTMDRLEWGNKVMKKRMASGSRPTEISPETLKRIKRVKRVTKMTEKVANGLLSGVVKVSGFFTSSVVNSKAGKKFFSLLPGEVVLASLDGFSKVCDAVEVAGKNVMSTTSTVTTELVDHRYGEQAAHATSEGLDAAGHALGTAWVAFKIRKAINPKSVIKPTTLAKSVAKAAASDYKSSKSK >CAK8540433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559959667:559972017:-1 gene:gene-LATHSAT_LOCUS9438 transcript:rna-LATHSAT_LOCUS9438 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFSPRTRNTRPKADIYSTFVVHDEDDDGETNRRRKLANAEVQEDPYSTMVFKDNGHNDEDDEDSFLPPLLKRLPKDFGGGASMDYDDDDESGDFGTVIVKSDRGQQRDRSSTGVGLPVGPTWKTGSSSQANPLNRRNEDDAEEDDGGGFSTFVMRSTVRAGETESVGGTMVRRTGSNEGGGSTMERAIASMQGVGEFGKRKGSGSSHNEEGKQHSNATKVSTSSIPDSVIREDPTTKYELLNELGKGSYGAVYKARDYRTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEALDESQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSSVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPAASEMLKHKFFEKWKIGSAVMYPKLEKARQVRASMALQAQSLASAAAGEQEPMIASILNDEYGDTVPSRPQSMCIEEAAYIPSHEIMRKLQKVDEDDNTEGNFGTVIVHGNELFKTRQDADSASYKSAFASNTGGTFSDLRVESLKVGDINTASFRASSPTTDTIQSSLPSVSNLNEQNLKTKGTHRAQAGIGSDIRNNALQNETVSRKFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVFGGMQQDTGGTKTVEALQELFSGDGQSKKGRRGINEHMPLPPSICQRLTSSSTLMNLAQALAYHKMCYEDMPLQELQATQEQQTIQNLSDTLRTILRL >CAK8566878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:469079547:469081603:1 gene:gene-LATHSAT_LOCUS19978 transcript:rna-LATHSAT_LOCUS19978 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLYACLLLNLSLLVIFPYSKASQANKLDELILSRSSQNPPKTLSWEEEEDALKTQSSSAYVVPPQEEQRLADKIVTLPGQPYGVNFDHYSGYVTVDHEAGRELFYYFAESPYNSSTNPLVLWLNGGPGCSSLGYGAFEELGPFRINSDGKTLYRNPYAWNEVANVLFLESPAGVGFSYSNTTSDYDKSGDKITAKDAYVFLINWLERFPQYKTRDFYITGESYAGHYVPQLASTILHNNKLYNQTIINLKGISIGNAWVDDATGSKGLYDYFWTHALNSDQTHELIDKYCDFTSENNSAICTNATAKALIEKGNIDFYNIYAPQCHGSSLKNGSNGYVSNDFDPCSDYYGIAYLNRPEVQKALHAKPTNWSHCSDLITQWNDSPITILPTIKYLIDSGIKLWIYSGDTDARVPVTTSRYAINTLKLPINSAWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALILISSFIYGILPPVSPSN >CAK8575500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:141491249:141491479:1 gene:gene-LATHSAT_LOCUS27758 transcript:rna-LATHSAT_LOCUS27758 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQPNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQ >CAK8530682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40514966:40515277:-1 gene:gene-LATHSAT_LOCUS528 transcript:rna-LATHSAT_LOCUS528 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLITVCIIVLSTFAYPSMSRMLFESSVIKAHQQWMKKYGITYTNSSEMEKRLQIFKENLEYIEKFNNGGKKSYTLGLNPYSDLTAEEFLASHTGLKVSNHD >CAK8533418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615882142:615885510:-1 gene:gene-LATHSAT_LOCUS3043 transcript:rna-LATHSAT_LOCUS3043 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHFTSIIIKWTSFTHFNFFFFLLLLTSQYDAVSSYSDKSTLLRLKNSLSDPAGVLSTWNPSSGHCLWYGVRCDSDLRVVSLNITGNGGGGDGHRSSHPCSDFGMFPLYGFGIRRSCLSGKGSLLGKFPSLISELTELRVLSLPFNGLEGSIPQEIWSMEKLEFLDLEGNLISGYLPFHIQGLKNLRFLNLGFNKIVGVVPSVLSSLDSLEVLNLASNGLNGSVPGFVGKLKGVYLSFNQFSGVIPEEIGKNCRKLEHLDLSGNSLIQEIPKSLGSCVGLRTLLLYSNLLEEGIPAELGNLKSLEVLDVSRNTLSGSIPGELGNCKELSVVVLSNLFDPVGDVEFVSLNDELNYFEGAIPEEVVSLPNLRILWAPRVNLEGSFPRSWGDCANLEMVNLAQNFFTGEFPNRLGLCKKLQFLDLSSNNLTGELSEELHVPCMTVFDVSGNMLSGSVPDFSNSVCSPFPSWNENLFQTDDMSSPYSSYFSSKVSERTLFASLGGVGLSVFHNFQQNNFTGIQSLPIARDRTEKSGYTLLLGENKLTGPFPMYLFEKCDGLNALLLNVSYNMFSGEFPSNISGMCKSLKFLDASGNQILGTIPFTLGDSVSLVSLNLSKNRLQGPIPASLGQMKDLKFLSLASNNLSGSIPSNLRQLYSLQVLDLSTNSLTGEIPKFIGKMRNLTHVLLNNNNLSGQIPIGLANVTTLTAFNVSFNNLSGYLPSNSTLIKCNSAVGNPFLSSCRGVSLTVPSANQPGQFDDNSSNTSQTPSKNSDNGFNAIEIAAITSASAIVSVLIALIVLFFFTRKWKPRSRVGGSVKREVTVFTDIGVPLTFENVVQATGNFNASNCIGSGGFGATYKAEISQGILVAVKRLSVGRCQGDQQFHAEIKTLGRLHHPNLVTLIGYHACETEMFLIYNYLSGGNLEKFIQERSTRAVDWKILYKIALDIARALSYLHDQCVPRVLHRDVKPSNILLDDGLNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWGCMLLSQGRAKEFFAAGLWDAGPENDLVEVLHLAVVCTVDSLSTRPTMKQVVKRLKQLQPPSC >CAK8542914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559692571:559692972:-1 gene:gene-LATHSAT_LOCUS11712 transcript:rna-LATHSAT_LOCUS11712 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMHRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8573791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636906966:636908645:-1 gene:gene-LATHSAT_LOCUS26196 transcript:rna-LATHSAT_LOCUS26196 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRLPGSRNQRSKGVKIKHVLQVILLLGVCFWLIYQVKHNHDKKKEFDKNDSTLSSVRTETDTVVKLGRKDLHPVKDEVDQNEKQEEEDEPVVEDAENKREHGEQEKQGNKHETEEREEQDEEENKHGTEEQEEGENKTEEIEDEGDVEIDENDHENEKSDVDNDQDDEDADELKDKVEDSDETENEDKEDEEKGGLDENHEAREEHYKGDDASSAVAHDTHATSTETESINLTQADLSERMNIKQPDNTTSFHSDENNRIQNDSDLSDREHEVADAVTSNVTSGKEIGNDSLSTETAETKTDSHLDVRSNLTAVVIEASNNSTGAGDDTANSSEQIKRVIVSESDNAQNATANTTVAGDIKQTEGLEQSGNKTSEGNLPDNDATVSSKPENHDADATVSIKPENHDADATVSIKPENHDAAPRESPTLGASALEKTIGVVASNETENISGNLDINVTSDTTESDKSKGSTETNEANETQNTDATMDEMFKSNTQTRETDEKPDSSNANEILDSVIHDAIDSSDTQNIHEDMATAQTDLDTLPEIQTEGNDGDENSAE >CAK8578664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645487832:645490218:-1 gene:gene-LATHSAT_LOCUS30655 transcript:rna-LATHSAT_LOCUS30655 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKLIVLPTVLAVFVLILHFITRIIKLRKLNLPKGTLGLPVIGETLEFFKDNLEGKQIRFIQERMKKYDSRVFKTSLFGENIAVFCGTAGNKFLFSNENKNVQVWWPSSVKKLLRFSLVNKVGDEAKITRRLFMSFLNPETLRNYLPKMDRIAQHHINTHWKGKEQVVVYPIIQLYTFELACCLFLSMEDPIQISYLSSYFEEFLKGVISFSINFPGTRFHTAMKAAYVIKKEIKLIMKKRKVDLEKKKASPTQDLLSHLLVTSDTSGRFMSEAEILDNMLLLLFAGHDTSRSVISLIMKYLGNLPEVYEQVLKEQLEISQGKEEGELLQWEDVQKMKHSWNVASEVLRLSPPVGGAYRNATKNLTYADYNIPVDWKLHWNTHTTHMDPTLFKNPENFDASRFEGEGPIPYSYVPFGGGPRMCLGQEFARLEILVFMHNIVKRFKWDLVNPDENFKYDPMLEPEKGLPIKLHPFPYTS >CAK8568869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:649209325:649209900:1 gene:gene-LATHSAT_LOCUS21779 transcript:rna-LATHSAT_LOCUS21779 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIQQPHNSESKTISNNSPPQSQKIKRIRDTDTDTNTNKHPLYRGVRMRNWGKWVSEIREPKKKSRIWLGTFPTPEMAARAHDVAALSIKGNAAILNFPELANSLPRPASVSPRDVQAAATKAAHMEFPSSAAPYELSEIIELPSLGNSGEFEKEFVFIDSIDASWMFQPPCLHTMEDVLWSEVYSNYG >CAK8540790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21347413:21347913:-1 gene:gene-LATHSAT_LOCUS9760 transcript:rna-LATHSAT_LOCUS9760 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNILSITLLLALVFQAYGQPCTLSNIEVKQTKTSGSLWNVTVTNNCICTQSNVKFNTKGFKSSTPVDPAIFSQDGLLIQGAPFYGFKSFTFTYTSASEFKFTPISSQVACS >CAK8533117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580323591:580324515:-1 gene:gene-LATHSAT_LOCUS2765 transcript:rna-LATHSAT_LOCUS2765 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPIFIKDLVKGNQVWKMHVRVVDLWVVKEKSGQQHLELVIQDGKGDQIHVVTRNQDFKEWVEQLKEHDTYAVYNGELVVNDGSFKVCSNSLKLVFNGGTTISNIVMPEIPLHQFKFKTIGDFLNGLFQIDMLYDVIGILQDVVKTQMGGGGKKPCANIILREEPSNVIEVALWDDYGKQFMNYNNSNKNDGPTILILTHAWCKKNSASTPPSFQMLGMALDFTLT >CAK8574107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663060875:663061918:-1 gene:gene-LATHSAT_LOCUS26484 transcript:rna-LATHSAT_LOCUS26484 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSNPTLIPQHGTKNHQQHHGSSIVEEIKGLIKLHKNGYIERSNIVPSVTPDHISPNLNVISRDIIMDSVTNIWARFYVPNSQQNKLPLLVYFHGGGFCVGSAAWSCYHEFLAMLSSKLGCIIMSVNYRLAPENPLPAPYDDGLNALIWLKKQSLYQNETSTSSEFEWWTKNCNFCSVFLGGDSAGGNIAYNVAKKVDSCEDAFLRPLNLKGLILIQPFFGGKERTLSEKCMVQLSGSALNLAASDAYWRLALPYGEDRDHPWCNPLVKLEELKMAVLVCISEMDILKDRNLEFCDGLGRIGERVEYEVFEGVGHAFQILSKSQISKIRVVQMMDRVKSFMLGY >CAK8566927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473160884:473170845:1 gene:gene-LATHSAT_LOCUS20023 transcript:rna-LATHSAT_LOCUS20023 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKPWRIIPRPLLETILNNHAQHHRVHQPLLLHGPRGVGKTTLILERLLNDWNKGPHITGYVDFAESIKPQTGPSFPWASWSNSPPPTLSDCRKSLDQCLEAMAEKALRMGAITSRQIFTSLNKWHGLTASLRRVLNTPASNKGSPAALWDRAVLTMSSQCNADEIDRVLGFGENEKNVLSVEEASYFKESVVALKLAKKVIEMQQGWRANAIAHMNRTGRFSRTLTHSSTDWPLLLLDLLSQAAEIHHFQPKLVLNNIEVLKHATVNDEFTVTGSLYHDSLIWRIIALGANEQCLPIILVTSDGYYSYEAFLDFGYMEIFISRETFGWTPQEAQMHMVTDYFSHSEWKVIAEVLGPNPRHLFELYALKQNNYLHKTTADRASTFEDIVDAYLAYLQISVVNPALDGALEILQKFAIDVRNGKVSDDRLRFGAPWRHPPKVDDPKLRMEWAKLQLMDFVKSLVNADFGVNYRIEYSEEIFDDPSAVALLQVGLLYAQRDPPFFRPISRGIQRCLVRWLVQQRMQLRFPQLIQFYWHRIIRGRYYRHLMVQVDNKY >CAK8568349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597980923:597982189:1 gene:gene-LATHSAT_LOCUS21312 transcript:rna-LATHSAT_LOCUS21312 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWSGAIGAAKKKLDEDEQPRTSGSVALIIGVTGIVGNSLAEILPLPDTPGGRWKVYGVARRHRPSWNADHRIEYIQCDITDPNDTQTKLSVLTDVTHIFYVSWTSRPTEAENCKVNGAMLRNVLRAVIPNAPGLRHVSLQTGGKHYVGPFELFGKIKSHEPPFTEDLLRLDAPNFYYTQEDILFEETRKKEGLSWSVHRPQVIFGFSPYSLMNLVGTLCVYASICKHEGVPLRFPGTKEAWESYSVASDADLIAEQHIWAAVDPYAKNEAFNCSNGDVFRWKQLWKVLAEQFGIEEYGYEENGSRLRLSELMKDKGPVWDEIVSEKQLQPTKLEEIGEWWFVDIMLGGEGVVDSMNKAKEHGFVGFRNTKNSLINWIDKTRAFKIVPEK >CAK8544459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686237759:686238910:-1 gene:gene-LATHSAT_LOCUS13135 transcript:rna-LATHSAT_LOCUS13135 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQYLLFGNEDHQRRFQHAWFSLFPSWLKYSPSEDAAYCLPCYLFSKRPSGRPGSDVFISTGFRGWKKVRNGKNCAFLKHIGKNPCSPHNNAMKACQDLLNQDGRIRNIIQGQSSIEIMKNRLRLKTSIDIVCWLTFQACVFRGHDESKKLLNQDNFFELIKLLVGYNDEVAKVVLENSPSNSKYTSHLIQKEILHILSSRVKKYISEEIGDFKFCIIVDEARDESKKEQMSLILRFVDKNSFIQERFFGLARVSGTTSLTLKQKVCDILSLHNLDVSNIRGQGYDGVSNMRGEWIGLKEIFMKDCPYAYYVHCFAHRLQLALVTTSREVKPIHQFFEKLTLIVNVVCFSTKHHGELQASQLAENEHLLEIGEIVTSKGEN >CAK8563450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607177109:607179976:1 gene:gene-LATHSAT_LOCUS16861 transcript:rna-LATHSAT_LOCUS16861 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDKFKQDVDELIAQFSQDESKTLADMKRVWISKKFSYIYEASPSTNLAFFMQSLYAHCIGYIVSTAGSLSHRLGGLYCLYCLYETQPFKPTFKVYISLGELKNLRTLVVDAKANDISVVPALVKRMLERNTFLFGAVDLVESSATETVNQLQNLQKARIQVAYKKLFDSTQIETYVRMDLGMEVDLNLLKQMSTEYAEAKNVAIKEASSILDVQNIKHISEDKELIGDVVEKIADDWNVQKQTFYKQTGLEEDDGYDQELEQFLLDDEHNEY >CAK8535736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878446866:878449749:1 gene:gene-LATHSAT_LOCUS5164 transcript:rna-LATHSAT_LOCUS5164 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNVFSQTLHPTISSSFCCSSSFYSNSSYGSFCSNSNTSKFHFFSNSSSLSAPTLSNSSKTLFTKSGSGSSWLQSSSTSVSNEQKNGPVHSVYPSSPAQVSSVQDLYTFICSGPLLDKIGLTPENVAESIDKWLTYGRQLCRLFQLNELFLTEPQKVRLYHYYIPVFLWCDSEIVQHRSKFKDGEDIPPLVIGFSAPQGCGKTTLVFALDYLFQMIGRKSATISIDDFYLTAEGQNKLREANPGNALLEFRGNAGSHDLPISVETLSALTKMSKEGIKMKLPRYDKSAFGGRGDRADPSTWPEIEGPLEVVLFEGWMLGFKPVPVEAVKSVDPQLEIINKNLEAYYDAWDKYIKSWIVIKIKDPSCVYQWRLQAEIAMREAGNPGMSDDEVNDFVSRYLPAYNAYLPTLYSQGPNGSDPQHLLTIEIDEKRNPILGV >CAK8531265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94373500:94376012:1 gene:gene-LATHSAT_LOCUS1069 transcript:rna-LATHSAT_LOCUS1069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLPKLSIIFPSSNNPRYLANVAQRFISSATRRTITRPTSRVKIGVKTLVPVRCMSNSPISSQNASKDQVQRGKLLSSTDDFYGGVIVELDQPMDSTKFVLILRASILHWKQLGKKGVWIKLPIHLVSLAEVLVKEGFWYHHAEPNYLMLVNWIPETPNTIPANATHRIGISSFVVNEKHEVLVVQENSGQFQGTGVWKFPTGVVDQGEDICVAAVREVKEETGVDSEFEEVLAFRQSHNSFFAKSDLFFLCLLRPLSSEIQIQNLELEGAQWMPFVEYTAQPIMQKSDLLKCINDICFAKINGRYSGYTPVATISNFSDKQFYMYLNAGALKKSNS >CAK8531264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94373497:94376012:1 gene:gene-LATHSAT_LOCUS1069 transcript:rna-LATHSAT_LOCUS1069 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSLPKLSIIFPSSNNPRYLANVAQRFISSATRRTITRPTSRVKIGVKTLVPVRCMSNSPISSQNASKDQVQRGKLLSSTDDFYGGVIVELDQPMDSTKFVLILRASILHWKQLGKKGVWIKLPIHLVSLAEVLVKEGFWYHHAEPNYLMLVNWIPETPNTIPANATHRIGISSFVVNEKHEVLVVQENSGQFQGTGVWKFPTGVVDQGEDICVAAVREVKEETGVDSEFEEVLAFRQSHNSFFAKSDLFFLCLLRPLSSEIQIQNLELEGAQWMPFVEYTAQPIMQKSDLLKCINDICFAKINGRYSGYTPVATISNFSDKQFYMYLNAGALKKSNS >CAK8544861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709510892:709511737:1 gene:gene-LATHSAT_LOCUS13507 transcript:rna-LATHSAT_LOCUS13507 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHWKRSGQIPAFGNWDFANELPITQYFENARQAGLIRYSSSSGDTDPYLHGGDQDLYGVDFKKLGKATRNRETTMRKQSKVYDVTEHSTKAMKNKKTLHVNDVVVRKSPKPVDEDLYKISPELVRTTRRKKMLGFISKCLVPTACVS >CAK8577039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533341096:533341956:1 gene:gene-LATHSAT_LOCUS29182 transcript:rna-LATHSAT_LOCUS29182 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLCEEQQKLLLNLKKSLSMRDNITDNIPPRKLLTRRQSTNTTTDMFSEEDPYSSDHFRMYEFKIRRCTRSRSHDWTDCPFAHPGEKARRRDPLRFQYSGEVCPDYRRGNCERGDACEFSHGVFECWLHPSRYRTEACKDGKNCKRKICFFAHTPRQLRVLLLPPPPSPPPQNNVKNCCSFCHCCSNSSSSSPTSTLLSGPYFSSSNSPPLSPLSNSNPKDVHVLNELIRSMESFNFGGDDSPAGKYYSSSGSGKFLREEQRINVVDDDVSANPDFGWVNELLR >CAK8538328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477621734:477622112:-1 gene:gene-LATHSAT_LOCUS7540 transcript:rna-LATHSAT_LOCUS7540 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFRSFSQPAFSPIKSTIAKPKSSSPFLLRTRSPVTVRSIAELGCVQILLQNRFYGSREMFQACKL >CAK8576737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510425882:510427441:-1 gene:gene-LATHSAT_LOCUS28909 transcript:rna-LATHSAT_LOCUS28909 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIFFLIILTFFTLSFLSEVQSTSFKIVNKCRHTIWPGLLSGATSPPLPTTGFALKSGESRTVKIPKAWSGRLWARTLCGHGSDGKFSCVTADCGSGKVECAGGGAKPPASLAEFTLNGADGLDFYDVSLVDGYNLPMLIVAKGGTKGGCSPTGCLVDLNGGCPADLKVARGDGSGDNVACRSACEAFADPRYCCSEAYSTPDTCAPSEYSQFFKHACPRSYSYAYDDKTSTFTCASANYLIIFCPLPYTSQKVLGARQDGAQLPLVNKTMMYLKRQHSGGSSSTGLIQTQIIAYVASITLAFFLSCSHN >CAK8560326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14478519:14486146:-1 gene:gene-LATHSAT_LOCUS14010 transcript:rna-LATHSAT_LOCUS14010 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETCCSTQLIDGDGLFNASGIDKFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNNLFNTNFREMDAFKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHIETPLSEFFNVEVVALSSYEEKEEQFKDQVAHLRQRFHQSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYATFVANEEWCQIEETVQSNPIPGFGKKINSLLHAGLSEYDAEATYFDEGVRTAKQKQLQDKLLQLVQPAYQSALGHIRSGTLEQFKDKLEKALKGGERFSAAANSCIGSCVAQFDEACADVVIEVADWDTSKVREKLLRDIDAHVASVREAKISELVSSYEEKLKLALSGPVEALLDGANSDTWPSVRSLLKREMASAVLGFSAALNAFDMDEETRQNMILSLENFAKGVVEGKAKEEAGRVLIRMKDRFTMLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAVLRLDDGDKDNIEKTLAVALLDSSSGVKDRSITAADPLATSSWERIPPGKTLITPVQCKSLWRQFKMETEYSVSQAISAQEANKRNNNWLPPPWAILALVILGFNEFMTLLKNPLYLGVIFVLFLLLKALWVQLNIAGEFSHGILPGLISLSTKFVPTIMNLMKRLAEEGSDSAANPQRNTSQNNSNAVPVSSSSSSNITSLDNRNRYTSSSKDE >CAK8540658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15393204:15394922:1 gene:gene-LATHSAT_LOCUS9643 transcript:rna-LATHSAT_LOCUS9643 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFLLLAVFHLVSHVYAHGGHASWINAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIKCVNDPQWCTPGSIIVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYKAGIVPVAYRRVRCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTSNGHSVVSFNAAPASWSFGQTYTGRQFNY >CAK8541422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:159771460:159773328:-1 gene:gene-LATHSAT_LOCUS10342 transcript:rna-LATHSAT_LOCUS10342 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKSNQLEEFNNLSSEKIAEVKAFDDSKEGVKGLVEAGVSKIPRIFHSGKLNIDESSATDIRFSVPIIDLKDIHNNPARRVEVISEIQKACYEWGFFQVINHGIPVIILDGMIEGVRRFHEQNPDVRREFYTRDTSKKVKYFSNGSPFSGQPTNWRDSFGVTVSPDPFKPEDIPLLCRDIVIEYSQKINDLGFTMFELLSEALGLNPSFLKEFNCAEGHSIQGHYYPPCPEPELTLGASSHTDADFITLLLQDHIGGLQVLHEGKWVNVPPIHGALVVNIGDLLQLISNDRFVSVYHRVLSKKEGPRISVASFFVNSPHPSEGELKIYGPLKELISEKTPRIYKDISIKEFLAHYYTKGLDGNSSLEPFKV >CAK8536178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914674914:914676878:-1 gene:gene-LATHSAT_LOCUS5571 transcript:rna-LATHSAT_LOCUS5571 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHVRRTIQINDDAGVRINKTFQSLVKDAGGHENIPFCEKDVRNYINKERCTIGKECDVKTLISYFCKMREQNTNFFYDIDLDDDFHVSNVFWVDARSRAAYEYFGDVVTFDTTYLTNKYNMSFAAFVGVNNHGQLTLLVYGLLSGEDTYSFMWLFKSWFWLHCMLEKSPLGIVINQCKAKKNAIELVFPTTHQRWCFWHIMKRVPENLSGYGEYKRIEYAMKEVVYDTFTTASFEQK >CAK8570970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:209295640:209298033:1 gene:gene-LATHSAT_LOCUS23674 transcript:rna-LATHSAT_LOCUS23674 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAAPKPNTDDYKLKDTKPELGEKWPHGGQRGGTGWIYSERATSTYDLVEQMYYLYVRVVKAKELPPNPVTGNVDPYVEVKVGNYKGKTRHFEKKTNPEWKQVFAFSKEKIQSSIVEVFVRDKEMVARDDYIGKVEFDMHEVPTRVPPDSPLAPQWYRLENLRGETRRRGEVMLAVWMGTQADEAFPEAWHSDSASVKGEGVYNIRSKVYVNPKLWYLRVNVIEAQDVEPHDKTQPPQVFVKAQVGQQVLKTKLCPTKTPNPTWNEDLVFVAAEPFEEQLVLTVENKASPGKDEVVAKLTLALNKFEMRMDHRPVHSRWYNVERFGFGVLEGDKGNELKFSSRIHLRVCLEGAYHVLDESTMYISDTRTTARQLWKQPIGILEVGILSAQGLTPMKTSNGKSSTDAYCVAKYGMKWIRTRTITESFNPKWNEQYTWEVHDPCTVITFGVFDNCHLGGGNSQQSATKTIDARIGKVRIRLSTLEMDRIYTNSYPLLVLRPSGLKKMGELQLAIRFTCLSLSHIIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMSIVAVRLGRTEPPLRKEVVEYMLDVDSHIWSLRRSKANFFRIVSLFSGLISMSKWIGEVQKWKNPVTSILVHVLFFILICYPELILPTIFLYMFLIGVWNFRKRSRYPPHMDTKISWAEAAHPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATFLFVIFCLVTAVALYVTPFKMVISVAGIFWLRHPKFRSKLPSVPSNFFKRLPSGADSML >CAK8538129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465344746:465345192:1 gene:gene-LATHSAT_LOCUS7363 transcript:rna-LATHSAT_LOCUS7363 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKNIFIKKNDAKRIVVKCMEGCNFYMRLSKRVVNQFWQVVSLIDEHSCCRTPKNKQAKKCWLAKKFTNILRQCPNMKPVGLISESFDRWGLKLSHDQAYKAKRRELDMIQGAGIDQFSHLRSYAEELLKSNPNSTVLVQCSDSKE >CAK8532899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546391977:546392252:-1 gene:gene-LATHSAT_LOCUS2561 transcript:rna-LATHSAT_LOCUS2561 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKRHKMIMRDNIWGITKPAIRRLARRGGVKRISGLIYEETRLFLKVFLTKIIHDVASYTDHARRKIVTAMDVVYTLKRQGRTLYGFGG >CAK8577116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538056953:538057483:1 gene:gene-LATHSAT_LOCUS29249 transcript:rna-LATHSAT_LOCUS29249 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSNTHGKNMEQESPTIPTTVTLSRYESQKRRDWNTFGQYLNNMRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPDTNPFASGSIRVYLREIRECQAKARGIPYKKKKKGSSQSKGSEESTSSSTMNFS >CAK8535926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891845460:891846569:1 gene:gene-LATHSAT_LOCUS5341 transcript:rna-LATHSAT_LOCUS5341 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSKLFKGQSKKKSIPANRHGKVPQTRKGKRFIKPSKVTKDMDADREVSKFINHCNEIKAATGATKDGGYLSIVKAAPASASGADK >CAK8577155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540668871:540669584:1 gene:gene-LATHSAT_LOCUS29285 transcript:rna-LATHSAT_LOCUS29285 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEQVLTLLDSFWFETTILTNKNPLNSHTKLEQTLPQEDTNLLAPTPNLDVRFYSEQNLSFTGSVFSDSPSPNSVLTSSKLRTIPSEREIIEFSNGTSTEKKDINNKKKQSNSNNHSQSQRRRRRKSRSLSELEFEELKGFMDLGFVFSEKDKDSTLASLIPGLQRLGKEENEEQKKIDESVVADEKPYLSEAWDLVDLRGRRNPLVNWRVPEKGSEIDMKHNLKFWARTVASILG >CAK8563538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:614661546:614666602:1 gene:gene-LATHSAT_LOCUS16937 transcript:rna-LATHSAT_LOCUS16937 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGGVYYSDQAHSWDDGRGETEATVSNHTILQKFKEFIRNFETGNNVFPYRESLLNNPKLLVIDMEDLNSFDPDLPSKLRSVPADILPLFETAAAQVLVNLKTKVAGDTGGMEDAAPGDVQILLTSKEDSVSMRSLGAQYISKLVKIAGITIAASRTKAKATYVTLICKNCKKGKQVPCRPGLGGAVVPRSCDHIPQPGEEPCPIDPWLIVPDKSKYVDQQTLKLQENPEDVPTGELPRNLLLSVDRHLVQTVVPGSRLTIVGIYSIFQASNSSSSNKGAVAVRQPYIRVVGIEDANESKSRGPTAFTTEEIEEFKKFASEPDAYKNICSKIAPSIFGHEDVKKAVACLLFGGSRKHLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIQDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKIIASHIIKVHASASATRGENKTIISKEENWLKRYLKYCRTECHPRLSESAAKLLQNNYVKIRQDMRQQANETGAAAAIPITVRQLEAIVRLSESLAKMKLSHLATEENVQEAIRLFTVSTMDAAKSGINQQINLTPEMAHDIQQAEIQIKRRIGIGNHISERRLIDDLGRMGMNDSIVRRALLIMHQREEVEYKRERRVVFRKA >CAK8563539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:614661546:614665538:1 gene:gene-LATHSAT_LOCUS16937 transcript:rna-LATHSAT_LOCUS16937-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGGVYYSDQAHSWDDGRGETEATVSNHTILQKFKEFIRNFETGNNVFPYRESLLNNPKLLVIDMEDLNSFDPDLPSKLRSVPADILPLFETAAAQVLVNLKTKVAGDTGGMEDAAPGDVQILLTSKEDSVSMRSLGAQYISKLVKIAGITIAASRTKAKATYVTLICKNCKKGKQVPCRPGLGGAVVPRSCDHIPQPGEEPCPIDPWLIVPDKSKYVDQQTLKLQENPEDVPTGELPRNLLLSVDRHLVQTVVPGSRLTIVGIYSIFQASNSSSSNKGAVAVRQPYIRVVGIEDANESKSRGPTAFTTEEIEEFKKFASEPDAYKNICSKIAPSIFGHEDVKKAVACLLFGGSRKHLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIQDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKIIASHIIKVHASASATRGENKTIISKEENWLKRYLKYCRTECHPRLSESAAKLLQNNYVKIRQDMRQQANETGAAAAIPITVRQLEAIVRLSESLAKMKL >CAK8530308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13954753:13956295:-1 gene:gene-LATHSAT_LOCUS183 transcript:rna-LATHSAT_LOCUS183 gene_biotype:protein_coding transcript_biotype:protein_coding MKNARLNSIYQQKQDNDIAMYTNTKQELVSVELQSIISANHGLKDSDEVKYEEKSLINNSPGSFSGNGNGSIFISLYNYNYRIQYPATTTYGTPVRPCYPPSRPPSVFGNKRAVLFGISYANTAAPKKLRGSANNAKCMKQFLIDKLGFPSNSICMLTDDSEEKNTIPTKSNMRVAMKWLVEGCKPGDSLVFYFCGHGSRVRDRNRDEADGYDEAICPADYEHEGMILDDEINATIVRPLPHGAKLHALVDASFSGTVLDLSFMCKTNWFGSFGWKDQRHRRAGYKGTRGGLAVCISACEDDGNAASKSALTYSFLQVMQDVPKLTYGRLLNAMFFTIHWAKAGKYELKGQDLAENTWQQQYAHEAQLSSSEKFDVSTKIFLI >CAK8576929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525675133:525677750:-1 gene:gene-LATHSAT_LOCUS29085 transcript:rna-LATHSAT_LOCUS29085 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLRPILRSQPRSSIWVLHHSSYGYGYCTSSAAAIQAEKTIQHGPRNDWTKDEVKSIYDSPILDLLFHGAQVHRHAHNFREVQQCTLLSVKTGGCSEDCSYCPQSSRYDTGLKGQRLMNKEAVLQAAVKAKEAGSTRFCMGAAWRDTIGRKTNFNQILEYVKEIKGMGMEVCCTLGMLDKDQAGELKKAGLTAYNHNLDTSREYYPNIITTRSYDERLQTLEYVRDAGINVCSGGIIGLGEAEEDRVGLLHTLSTLPTHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARITMPKAMVRLSAGRVRFSVPEQALCFLAGANSIFAGEKLLTTANNDFDTDKLMFKVLGLLPKAPTLDEDETSETENYKEAASSS >CAK8535391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845907466:845908485:-1 gene:gene-LATHSAT_LOCUS4856 transcript:rna-LATHSAT_LOCUS4856 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVVAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVCKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIQKEELKRVF >CAK8568769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639671279:639671726:-1 gene:gene-LATHSAT_LOCUS21694 transcript:rna-LATHSAT_LOCUS21694-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALTPFLPSLPSISTSTHNRSFIVAPYSTRRIHITIKCVKLDNADTESEATIMCEACNGKGWLVCDFCEGQKTNVKAQNNRIYRRCPSCKAVGCVLCSKCKVFKCVTFPDFNDSPIN >CAK8568768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639671279:639671798:-1 gene:gene-LATHSAT_LOCUS21694 transcript:rna-LATHSAT_LOCUS21694 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSLRSNHHHHHHHHQLKRTGMGVALTPFLPSLPSISTSTHNRSFIVAPYSTRRIHITIKCVKLDNADTESEATIMCEACNGKGWLVCDFCEGQKTNVKAQNNRIYRRCPSCKAVGCVLCSKCKVFKCVTFPDFNDSPIN >CAK8573868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643128221:643129305:-1 gene:gene-LATHSAT_LOCUS26268 transcript:rna-LATHSAT_LOCUS26268 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFEK >CAK8566645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444996607:444997176:-1 gene:gene-LATHSAT_LOCUS19762 transcript:rna-LATHSAT_LOCUS19762 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNWLDLPRDITSNILQRLGTKEIVTSACLFCPLWWDICKDPLMWHTVHMTYFRSVFYKNHSEYLKVCRYAVERSCGHLKDINIECFCTDELLECIAENASNLRTIWLLNCFSISDKGFSEAVRKFS >CAK8562799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538345914:538348481:-1 gene:gene-LATHSAT_LOCUS16265 transcript:rna-LATHSAT_LOCUS16265 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPYAAVSTPRVCSPPSVSTKIYSGLKHQSSRSLGASSVSSNVNAQFFGKVNKVLNFRYANQKPVRAQFHMMPIGTPRVRYKTPGEGTYQWIDLWNALYRERIIFIAKDINEEFSNQILATLLYLDSIDNTKLLYLYINGPGGDLTPCMALYDTMQSLQTPIATHCVGQAYNLSTYLLAAGVKGHRTAMPLSRIALQSPAGSARGRADDIRNEADELLRIRDYLFNELANKTGQPVEKITEDLKRVKRFNAQGALDYGLIDRVIRPRRIEVDSPRG >CAK8565202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67181461:67186637:1 gene:gene-LATHSAT_LOCUS18435 transcript:rna-LATHSAT_LOCUS18435 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTSSSKSQISKNVSNDHFFVWREFVWGAVAGAFGEGMMHPVDTIKTRIQSQAILNGVKNQKGILQMVRSVWKVDGLKGFYRGVLPGVTGSLATGATYFGVIESTKKWIEDSHPSLEGHWAHFIAGAVGDTLGSIVYVPCEVIKQRMQVQGTITSWTSTAMKNGIEIKPGAEIYDYYKGMFHAGCSIWRSQGLKGLYAGYLSTLARDVPFAGLMVVFYEALKDATEYGKQRWISNPNWHVNNSFEGLVLGGLAGGLSAYLTTPLDVVKTRLQVQGSTLRYKGWLDAIYNVWAKEGLEGMFRGSIPRIAWYIPASALTFMAVEFLREHFNERVPNGNLRVPKKKSLQEAT >CAK8533083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576346055:576346618:-1 gene:gene-LATHSAT_LOCUS2733 transcript:rna-LATHSAT_LOCUS2733 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCSFLLLVILLLCVASSNSNEVVEVNVICKETFKPSFCSKLLNSKPGGAKGADLVNLAQYTIDVLRVNLTNTVNLINKLISQGDGKAKARKHYDTCLLDLGPYGTSASVHLVDADFFLKRGEYRNMAASTALLMQNILHCKNDPKDYYYHDDDYHSDKSLLSKYVDVIMKIGQVLDIISKYLNLG >CAK8571794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:474240348:474244430:1 gene:gene-LATHSAT_LOCUS24423 transcript:rna-LATHSAT_LOCUS24423 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLHQLLRSLCLDTDWKYAIFWKLQQHCARMILTWEDAYYDNLDNCDSSQSMYCQKNLDQICGGNFSNDPLGLSLAKMSCHVYSLGEGIVGQVAVNGNHQWIFADNQVTCSGPSFKLAEEWQSQFSAGIRTIVVVAVVPLGVVTLGSLNKISEDTGFVTRIRSLFLSTHDQTLGYLPSRIQTVKHDTQRTMMGETSDRLMPFQCPGKNYSPPPTVYQKTTVDARHGGLEIYSDQSSVLLQSMSNMMTAENPKLEGVKPSNERKCEGNNNSFKDTSLKSDKNVSPVLHNSVTDDNSISANVLVSPYLRADTEMSTFQTESYYEDISYASKFPAGYELHEALGPGFLKESKYFDWAVQGNQDSKDAEMSDEFSCSQLTSESRPEHLLEAVVTKVCHSNNVDNELSFSTSVHDAMVSGRNPEVPIHNVSTINSEGYSIDQPSHVKENKHNHSLSSSGICGVISPKSFSSACPSSCSNQFERSSEPSKISKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLECTIKHMLFLQSVTKHADKLHKFSETKTKLHDHMEKDIHGSSGYQHGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEECSHFLEIAEAMRSLGLKILNGVTEARAEKTCISFVVEGQNNRNLHRLDILWPLVQLLQSKSTMSA >CAK8562842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547033977:547035062:-1 gene:gene-LATHSAT_LOCUS16307 transcript:rna-LATHSAT_LOCUS16307 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEVEEQLVIDLHARLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLIKMGIDPITHEPVNKQASSSNETSSPAENLSQPENNHEVKEIDGVMNSEENSSSPPTENSSGEESLLLDSLCSDDSLMNSLWLDETPLVEALWEMDATPIAETETENAKNEMNFVPSWEDNCAWLLDCQDFGIHDFGFNYFNEIESKENQH >CAK8531757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147605601:147606680:-1 gene:gene-LATHSAT_LOCUS1523 transcript:rna-LATHSAT_LOCUS1523 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAINELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQHSQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLQGLGEDGWSMIRQDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGSQPPHKWLTLPDMGYMIANRYNVVLVCLGIECWTFFPMTTSFSPNAAIYCIGFVNGNHWVQVNMKEGLPLPSVTVDWKNFRSPAPTSWMLGFAPRLQHWQQLTPILPTHYTL >CAK8534631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750810670:750811386:-1 gene:gene-LATHSAT_LOCUS4162 transcript:rna-LATHSAT_LOCUS4162 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVFICLIIFLSILFPYANGVSVIIINGGHETIWPAVHTEKGDLVNPTGVKLESEEQIELQLPDTWSGTIWARTGCSGDPNTDFHCAVGDCRTKKMECLESKPHNPVTQVKLNLVPKGGKSTYEVDLRDGFSVPVTLTPIETECEKILCIQNLDNDCPDWLAVYSNEGRKIACKSPCHFTKEPKDCCTGEFASPEKCAQNQYTELLDAKCPNVLSAAFDDSHFTCFVGTSYSILFN >CAK8565628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294480383:294481186:-1 gene:gene-LATHSAT_LOCUS18824 transcript:rna-LATHSAT_LOCUS18824 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDYFLDSAYTKGKPRGKEILCPCANCYNSNWFTRNEKPKLGDLNDNHMNEEEDQIDDIDGLLHERFRDVVQEDNDVNISLNEDAKKFYNLVEEAKQDLYPGCKNFSKLSFIIRLYLSKCLYGWSNVSFDALLELLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDACPNDCMIYWKDHENDTSCHVCGAPRWNEDIKGNDHVEKNHKSHKVPSKVLRHFPLIPRLQ >CAK8568481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610364652:610366548:-1 gene:gene-LATHSAT_LOCUS21435 transcript:rna-LATHSAT_LOCUS21435 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRLYWAIIVSWLTLFQENMLLVKCQFNYKEALTKSLIFLEAQRSGKLPPNNRVPWRGDSALDDGKLVNMDLAGGYYDAGDNVKYGLPMAFTVTSLSWAAIFYKAELQATKEMGNIQEAIKWGTDYLLKCSSKKNRLFVEVGEPHEDHHCWAPPEKMKTKRSVKVIDTNTPGSEIAAETAAAMAASSIVFRSIDPKYARSLLNKAKLLFTFAKSYRGTYDGTCPFYCSYSGYNDELMWAATWLYMATKKPIYMKYIQEEAISASVAEFSWDLKYAGVQVLLTQLHFEGHKGLETFKLHGENYICSVLPESPYHQITLSPGGFIHMRDGANTQYATSTSYLFTVYSDLLAKYKQKIKCGGKQFDSSHLLAFARKQIDYILGKNPEGRSYMVGFGKNPPTQAHHRGASVPTLAPNEEINCGTSFGKWLQRDAPNPHELTGAIVGGPDINDKFTDKRTDSPQTEPCTYVNSLAVGTLAKLASLS >CAK8566155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386040755:386041315:1 gene:gene-LATHSAT_LOCUS19315 transcript:rna-LATHSAT_LOCUS19315 gene_biotype:protein_coding transcript_biotype:protein_coding MANASGAIPTSHFLRVKKLSDKAVIPSRGSPLSAGYDLSSAVDTKVPARGKALIPTDLSVAVPEGTYARVAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKVGDRVAQLIIEKIITPEVSEVQDLDSTVRGEGGFGSTGV >CAK8577783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588296149:588299378:-1 gene:gene-LATHSAT_LOCUS29856 transcript:rna-LATHSAT_LOCUS29856 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMSICNNNRLIALLVLLLIPIVLGWGKDGHYAVCKISQEYLSEDALFAVKQLLPDSAQGDLAALCSWPDEIRYHYHWSSALHYVDTPDFKCNYQYCRDCHDSYGHKHRCVTGAIHNYTMQLKLAYADASSKLNYNLTEALLFLSHFVGDVHQPLHVGFTGDLGGNSITVHWYRRKTNLHHVWDNMIIESALKTFYASDLSTMIQAIQRNITDIWSNDVSIWEHCAHNYTACPDWYASESVSLACKFAYRNATPGSTLKDEYFLSRLPIVEKRLAQGGVRLAAILNRIFTSKTGIAQA >CAK8538756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495160854:495161786:1 gene:gene-LATHSAT_LOCUS7921 transcript:rna-LATHSAT_LOCUS7921 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGVSNGINDQHEEQSVTKISVLERERDKLVSENNEKKEQIKKLTVEIDEVRSKGEEMREKIDELQAEVERSQDDVKATEAIAARAAELETQVARLQHDMVSDLSAGEELKKECDELKAVLKEKESRVEDLVKEVEGLKKVKAESEVRLRDLEKRIGVLEMKEIEERNKRIRVEEELRDTIGEKDKEIEGFRNKVEELEKVAGEKKHESGDWSKEKLSLQKALKESEEKAKGFELNIIQLREEAVETEKKIRALNEKAVEIVDRDLNGIQRERNEVKLQWPIVAAGAGSTVAVFGAAALIYVYCSKRR >CAK8537091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:81167205:81169524:-1 gene:gene-LATHSAT_LOCUS6407 transcript:rna-LATHSAT_LOCUS6407 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDSSAKMDHPKDKYPGKLTFRVIITCVMAASGGLIFGYDHGVSGGVTSMDTFLKRFFPSVYAQESNLKPSSNQYCKFNSQILTLFTSSLYLSALISGLGASTLTRALGRRVTMILGGLFFVSGALLNGLAINILMLIFGRLLLGFGIGCANQSVPIYVSEMAPYKYRGGLNMCFQLSITIGIFSANLCNYYFSKILNGEGWRLSLGLGAVPALIFVVGSFCLPDSPNSLVARGHHEAARKELVKIRGTNDVDAEFKEIITASEASDKVKHPWRSLFERKYRPQLVFAILIPFFQQFTGLNVITFYAPILFRTIGFGSQASLMSAAIIGSFKPVSTLISMYVVDKFGRRALFIEGGAQMLICQILMTIAIAITFGTSGNPGKLPKWYAIVIVGIICVYVSGYAWSWGPLGWLVPSEIFPLEIRSAAQSVTVSVNMTSTFFIAQFFTSMLCHFKFGLFIFFGCFVVLMTFVIYKFLPETKGIPLEEMNMVWKKHPYWGRFLEAENNKQVSMTETKG >CAK8565396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:163913806:163914342:-1 gene:gene-LATHSAT_LOCUS18614 transcript:rna-LATHSAT_LOCUS18614 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSRFYGSSFVCSGLKLCCGTGNSPFLFAIEVCGSSRFMSSVCCGAAACWRLQEMRTVEQVFCVKVLYGVADHTVDARIVARRSYHFCVFLLQIRLCWRWKLG >CAK8571256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:355226791:355242731:-1 gene:gene-LATHSAT_LOCUS23934 transcript:rna-LATHSAT_LOCUS23934 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSGGAEEKRMKMKVMVAIDDSDGSLYALKWALENLFDVMTSMEEATSSENEGMVFLVHVEPTFQNYVHAIGPAGAAFYPASVVVDSVKKAQQEKSVAILSRALQMCKDKQVKAESVVLNGDPREMICQAAEQLQVDLLIMGSRGLGTLKRAFLGSVSDHCAHHAKAPILIVKPQEEQHKNHS >CAK8561660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:342272256:342276132:-1 gene:gene-LATHSAT_LOCUS15229 transcript:rna-LATHSAT_LOCUS15229 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESMVELDNDLSLSSFSMDVEGGDKDPSGNGVSSVIPGWFSEISPMWPGEAHSLKIEKILFQGKSEYQKVMVFQSSTYGKVLILDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSIEKIDICEIDNMVVEVSKQFFPEVAVGFSDPRVTLRIGDGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVMCTQAESIWLHMDIIEDIVANCRHIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPLVDFKHPVNPIDQKECQKSLKPLKFYNSVIHTAAFCLPSFAKRKIDSKEN >CAK8561691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:356793905:356795648:-1 gene:gene-LATHSAT_LOCUS15257 transcript:rna-LATHSAT_LOCUS15257 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSIEEFIGNGVLKELLPKLLDEGWDDVPTLKIMDSDDMNSIKMTQRQKDSIGIRSYLHDRGLMQYADKLEASGKSLPELMSLSSTDLSAQFDMKRGHIVRFIDRTKFDDSFKLRAIMARRRSSMMYRHESIPKSLASNGSNSMMRMQIRSNTTTDRSIEQSLSELKIKDGYVFKGIVASEPAEPRACGCVQPPPVSDQVAPYAALENISVQKLTPEYKIGMEPLVKMKTPPMKAAELWQDKPAIFLCLRRPGCIMCRADAHKLFSRKPIFDALGVQLFAVVHENMEAEIKDFWPRYWGGVVLFDRGRDFFKALGGGKLHKEKFFSGFLLNPRAIANYKRAKATGFEKNFRGEGEIKGGLFILGSRKTGIAYQFIERNFGDWAPLAEVIEICTQLQKQQLGQSVHELP >CAK8564262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663544456:663546053:-1 gene:gene-LATHSAT_LOCUS17585 transcript:rna-LATHSAT_LOCUS17585 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLYNLKSESGLKKLDEYLLTRSYITGYQASKDDITVYSALSSVPSHEYVNVARWYKHIDALLRISGVSGEGSGVIVESSLVAEEAIATPPAADTKATEAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVKLDGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDNMVEDYLTVEPINEYVQSCDIVAFNKI >CAK8559943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2098320:2100701:-1 gene:gene-LATHSAT_LOCUS13674 transcript:rna-LATHSAT_LOCUS13674 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMVKYNVVLLLIISMVFTCCGTENGNESSKVYIVYMGSLPKEASYSATSHHVSMLEQVIGDSGVENSLVQSYKRSFNGFAAILNEQQREKLVAMEDVVSVFPSTNFHIQTTRSWDFLGFPPSIKRDQIIESDLIIGVIDSGIWPESESFNDKGVGPIPKKWKGVCKGGSNFTCNKKLIGARFYGNTDSARDDAGHGTHTASTAGGREVQGVSFFGLAKGTARGGVPSSRIAVYKLCGADGACTGSNILAAFDDAIADGVDIITISLGSDTPLDFLKDPIAIASFHAVEKGVLVSHSAGNSGPGPSSTSSVAPWLFSVAATSIDRQFIDKLVLGNGKTLVGRSVNTFTSNGTKISIAKGSCTNGFGQTVVKGKIVVCDSPAFEESAFLSGAFGIVSSADVNDVSFVTQMPSVTLDSKDYVLVQSYINSTKSPVAEILKSDIFHDTTAPRVASFSSRGPNSFIPHILKPDISAPGVDILAAYSPLVPPSGNSGDTRKVKYNIESGTSMACPHITGIAAYVKSFHPDWSPAAIKSAIMTTAKPVNGTYNDLAGEFSYGSGNANPALAVDPGLIYNITKDDYVQLLCNYGYDNAKIKQITGDNSSCRGASNLTLIKDLNYPAIVISVAPETPFNIKFPKKVTNVGSPNSTYKATVTPIPNVKITVEPNLLSFKSLQETQSFFVTAVGKLGSRQTLLSSSLVWSDGTHNVKTPIIVHIKP >CAK8559944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2098320:2100653:-1 gene:gene-LATHSAT_LOCUS13674 transcript:rna-LATHSAT_LOCUS13674-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTCCGTENGNESSKVYIVYMGSLPKEASYSATSHHVSMLEQVIGDSGVENSLVQSYKRSFNGFAAILNEQQREKLVAMEDVVSVFPSTNFHIQTTRSWDFLGFPPSIKRDQIIESDLIIGVIDSGIWPESESFNDKGVGPIPKKWKGVCKGGSNFTCNKKLIGARFYGNTDSARDDAGHGTHTASTAGGREVQGVSFFGLAKGTARGGVPSSRIAVYKLCGADGACTGSNILAAFDDAIADGVDIITISLGSDTPLDFLKDPIAIASFHAVEKGVLVSHSAGNSGPGPSSTSSVAPWLFSVAATSIDRQFIDKLVLGNGKTLVGRSVNTFTSNGTKISIAKGSCTNGFGQTVVKGKIVVCDSPAFEESAFLSGAFGIVSSADVNDVSFVTQMPSVTLDSKDYVLVQSYINSTKSPVAEILKSDIFHDTTAPRVASFSSRGPNSFIPHILKPDISAPGVDILAAYSPLVPPSGNSGDTRKVKYNIESGTSMACPHITGIAAYVKSFHPDWSPAAIKSAIMTTAKPVNGTYNDLAGEFSYGSGNANPALAVDPGLIYNITKDDYVQLLCNYGYDNAKIKQITGDNSSCRGASNLTLIKDLNYPAIVISVAPETPFNIKFPKKVTNVGSPNSTYKATVTPIPNVKITVEPNLLSFKSLQETQSFFVTAVGKLGSRQTLLSSSLVWSDGTHNVKTPIIVHIKP >CAK8578756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651431595:651431954:-1 gene:gene-LATHSAT_LOCUS30742 transcript:rna-LATHSAT_LOCUS30742 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNNSYGWSSMHDIYEPAYYPRHHHQKRPVAIYDEPAVETNQSYHVQARREIETDQQDTRFGGRIYNTYDSVDQQDTRFDGRNYNTYESVDQEADAFIEHEHRRMAMAKLMSSMKSI >CAK8573904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645693763:645695193:1 gene:gene-LATHSAT_LOCUS26299 transcript:rna-LATHSAT_LOCUS26299 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEALTHLLLISYPAQGHINPLLRLAKCLASKGASVIFLTTEYAGKDMRTVNNITDKSTTPIGDGSLTFHFFDDGLPDDDPLRTDLPAYIQQLKLVGEPFLSQMIQNHADSNEQFSCIINNPFLPWVCDVASQYHIPSALLWTQSVSVFIAYYNYLHKLVPFPSISEPYMDVPLPCLPLKYNEIPDFLHPFSAFPFLGELILQQFKNLSKVFCVLADTYEELEHDFIDYVSEKSIPIRPIGPLFKNPMIKSASNIRGDFVKSNDSSILEWLNSKAKRSVVFISFGTVAYFPQEQMNEIAHGLLESKVSFLWVVKPPSKELRLKEHVLPEGFLEETSGRGKVVKWSPQEEVLSNPSVGCFMTHCGWNSSMETLTLGVPVVTFPAWGDQLTNAKFLVDVFEVGIRLGYGHLENKLVTKDEVKKCLLEAMTGEKAERLKLNTMKWKKAAEDAVAVGGSSDRHLDAFIEDIKNRGGVNI >CAK8578904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661372155:661376191:-1 gene:gene-LATHSAT_LOCUS30883 transcript:rna-LATHSAT_LOCUS30883 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSTEHCDPVLVSQKVCAGVYRGVTTSQLDELAAETAAGMTANHPDYASLAARIAVSNLHKNTKKSFSETVKIMYNHFNTRSGKKAPLIADDVYEIIMENAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGTVVERPQHMIMRVSVGIHKHDIESAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRAYGSYIRGTNGTSNGIIPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLELKINHGKEEQRARDLFYALWVPDLFMERVRGNGDWSLFCPNEAPGLADCWGKEYENLYTQYEKAGKAKKVVQAQSLWFAILKSQIETGNPYMLFKDACNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVRDKGVPVESHQSKLVGSRGSSTRYFDFEKLAEVTAVVTTNLNKIIDVNYYPVETAERSNMRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKTSCELAAKEGTYETYNGSPVSKGILQPDMWGVTPSNLWDWSALREMISKTGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYNRRVLSGEFVVVNKHLLHDLTEMGLWNPALKNKIIYDNGSVQNLSEIPAELKGIYKTVWEIKQKILVDMAVDRGCYIDQSQSLNIHMDKPNFGKLTSLHFYAWSKGLKTGMYYLRTRAASDAIKFTVDTSAIKEQAKAEEAELDEDAKMAQMVCSLTNRDDCLACGS >CAK8544172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670408028:670408975:-1 gene:gene-LATHSAT_LOCUS12873 transcript:rna-LATHSAT_LOCUS12873 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIGSKYDNVEGGVGNENDNVEGNEDDNVEGGIGKKDDNVDGKEHDNVDEGNDSLSEGDEEYVESEGENDSSSEGEDDYVTSKDDTDSINVDWTLVIQGSEDVCQVAKKECDYDSDQLHTSPDNGDDEKKIEKFPSFRSGEGLQFQLDILFNNKELVREAVKDYLMDMKKNQVVSLIDEHRCCRTPKNRQAKPGWLAKRFTNILRYNPNMKPVGLIDESFDRWGVKLSHDQAYRAKRRAMDMIQGAGIDQFSHLRSYAEELLKSNPNSTILVQCVDSKE >CAK8570152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25985442:25986644:-1 gene:gene-LATHSAT_LOCUS22930 transcript:rna-LATHSAT_LOCUS22930 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKEEEAVTATAMNQAENDEHDSKEKVLKKYFLQEWNLVKAFIDDTVSNGRVSDLASAHDIRSIMDKYQEQGQLLEPYLESIVPPLMNIIRSRTIELGVVSDEILEIVNPICIAVHSLIHVCGYKSVIRFFPHQVSDLELAVSLLEKCHPRKSVSSSRVESTGENEAKCVMLLWLYILVLVPFDISSVDTSIVSSDNLSEFEIVPLVLRIIGFCKDYLSTGGPTSSMAGLVLSRLLTRPDMPKAFTSFVEWTHEVMTSVTADVLQHFEVLGTIEALAAIFKAGSRNLLLDVIPVVWNDTSLLYKSSIAAKNPLLRKYLIKLTQRIGLASLPHRLPSWRYTGRTAKLNISLNASSKANQSNLGVNDNYSNSIELTDEAKIGIMGRKFECFCQSSGLGSD >CAK8530256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10520185:10522394:1 gene:gene-LATHSAT_LOCUS136 transcript:rna-LATHSAT_LOCUS136 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKRLLEESCKPKCVRPLLEYQACIKRIQGDDSGQKHCTGQFFDYWYCVDKCVAPKLFLKLK >CAK8566344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410830220:410830984:1 gene:gene-LATHSAT_LOCUS19479 transcript:rna-LATHSAT_LOCUS19479 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFKALSMTPRTEVTEEFEASTKKRKWEEPFTEEFFIDKKSTFDIKPRLKTMFPSDKWRQYISIQSGQIQLCNTKLDEAATEDSRRSLRTPPSDQMSLDLELNLTCESQRKKENKTCCDDVSEKRNSGNSNSPKNLRERDDLCAESNKCKKDSPGGLNGSPSWLSTTEGDYKEMVATVCMQCHMLVMLCKSSPACPNCKFMHSPDQNPSKFLKSRCSFFCSS >CAK8542929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560625076:560625495:-1 gene:gene-LATHSAT_LOCUS11726 transcript:rna-LATHSAT_LOCUS11726 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPAAEKSPAEKKPKVEKKISKEGGSDKKKKKAKKSVETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8541198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:87791822:87792718:1 gene:gene-LATHSAT_LOCUS10134 transcript:rna-LATHSAT_LOCUS10134 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQQYIVNAHYNGSVVVSDEVGLIFGNTDVSRFSVNKRSSFQHFKDRVKMKMQAESVTQITYRNDVHFGDHHFKFIPLKVRNDEDVETIFSNHERFGFPYIELYITFEHCQETQNFQVINAFVEETPTIIPHEDVKEEDDEEENEAQVYDLYTTLFEEGNSVNEVNRDEQHIPIGNVLCPLAHMTNLPLNVEGTSFEWPRNPHILMEGDIEVANQFKNKADCVLATKQYHMKHCMDYKVTDSDKKRYIICCKNDSCKFRLLASYRKRSDLWEIGIMNSPHSCSTTMFNQDHQKLTS >CAK8535217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826870398:826870849:-1 gene:gene-LATHSAT_LOCUS4690 transcript:rna-LATHSAT_LOCUS4690 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGGNVANAIDFTADGFDIEEGDPNIEIILPPWN >CAK8539415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512224223:512226552:-1 gene:gene-LATHSAT_LOCUS8514 transcript:rna-LATHSAT_LOCUS8514 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNEDNSVNEDPHVKENDPLIQTYNKTNDGGGDYIDLVTPHHDSIPETTGLSPPPPPPLLYWVKLGVLLLALSVLGLVVFNWVGPFFIHKVFIPLIKWQEETFTTMQLAIIVFASISIFPTVLLPSSPSMWFAGMIFGYLYGFLIVMSAAVIGVSLPFFIGSMFHHKIEGWLNKYPKKATVLRSAGGGTWFHQFRAVALIRISPFPYILYNYCSVATNVHYGPYLCGSLAGMTPEVIASIYTGILIRALADVSHKTKFLSAPQIAFNVVGFCIAIGTTVFFTLYAKRQLDLLRREDELLLKKHHYGSLYIN >CAK8541209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89362524:89366737:-1 gene:gene-LATHSAT_LOCUS10144 transcript:rna-LATHSAT_LOCUS10144 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMLKRAINRLSSSSPASRLTLLRAHASEAQAQQVQPKARDTTALKKFQIYRWNPDTPSKPELKEYEINLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSEGSDTTITPLPHMFVVKDLVVDMTNFYNQYKSIEPWLKRKNPPSEPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTTERLESINDEFKLYRCHTILNCARACPKGLNPGKQIAHIKSLQPKA >CAK8574958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18279963:18282862:1 gene:gene-LATHSAT_LOCUS27255 transcript:rna-LATHSAT_LOCUS27255 gene_biotype:protein_coding transcript_biotype:protein_coding MPINLQPSNEFVIPSEDMVFGFEFKKLSSKRHSNSKTDKGSSALPQLNRNPNPKGADKLRSKSGVGPQCSDLKQKAKQDAEGNIRNRETAKRSGNEHDELVKHMSNLPGYLLHNDRLENVQEKAFNVGVLDWSRLEKWKHNHIPEELTNHFTSVDRGESSSRIVTISSLSAGRREKLDNTKSLRDVRPTNKESLPQISKHPFENIERFEFSRSGTKSIGNEQRRNGSTKRTLDAGNSALKSRHHRVSSSIPYENVNGKDKDDDYYHKKKERNRKSSCDMIQPSVKSKGKGESFSSKNTSSDNNESRIKANRLLESGSDNSCKNDHSKPSNIVLLYPHETPDSSSSDDFRLSEFRTSSDENCPESSRSSLSYVSVPEEDNIGNVGPEIRLSSGHRSVIEDTSLSGSMQHSVSTDPGMNRSSAVSEKMPGMQSEAVCFEKDVLENRLSIQSAFSNLIESLDQETAELTSQKSMNPSSHNRRFSFSLSRIGRSFSFKEGPAVSQFGSKYVCSKSGPVTPESSIRWDNSSKEKMNSQNRTRSSPLRRLLDPILKHKAASDTHHSGESSQKQKGRMNSVSFRSIGLKKSLQDEKSKVSSIQGLLQLTITNGMPLFKFVLNDERKIYAATKNNLASQEKNDLGCCFTFYMVNEIKKKSGGWMSHGNKEKSCGYAYNLVAQMKSSTSKITEAINQNSKRQCMVKEYVLLGVDVNQTDQGPPKFIPSMELAAVVIETLCAKLSNERIHSDYNLHEKKCLADGICLCRSGENDISVSSTVILPGGVHGSPNKGEPSSLIHRWKTGGSCDCGGWDMGCKLLVLHEQSPSSNIQRSYKPYQDRFQLFVQEGAEQETPIFTLVPLKNGFYSIEFSSTISHLQAFFISVVLLSSRKQPGSLEIGSMREEILKESSSNNNSSTHQGKIPMKYTPIPPLSPVGRV >CAK8542953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562324455:562330795:1 gene:gene-LATHSAT_LOCUS11748 transcript:rna-LATHSAT_LOCUS11748 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADMEDYGFEYSDEEQEEQDVDIENQYYNSKGLVETDPEGALSGFSEVVGMEQDKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINSIMDYVSGSASQNFGLLQEFYQTTLRALEEAKNERLWFKTNLKLCKIFFDIGEYGRMSKILKELHKSCQREDGTDDHKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEHDVEQLLVSLILDNRIQGHIDQVNRLLERSDKSKGMKKYTAVDKWNTQLKSLYQTINNRVG >CAK8560936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:60476109:60476735:1 gene:gene-LATHSAT_LOCUS14572 transcript:rna-LATHSAT_LOCUS14572 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLDINPLSISATDSPRFPFLNSHPTPSTRTQLKPVFLSPFSPKPSKTTYANNIVSAALSSSFNGRPGPPSKGHSFYKELQFDNTTENDFELELELERNPLDEGSSKETDGSIPLDENDDKGSGKNENEMREDDLIRVRDDGEGDEGVDLRKDDKVEKFGGNFRLRKGKQVIRQSILLAKQVISIQSALSLGFVSQFWVDTTSVSK >CAK8575628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:212011777:212013309:-1 gene:gene-LATHSAT_LOCUS27874 transcript:rna-LATHSAT_LOCUS27874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVPIRADEISQIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFKEGTVGIALNLESKNVGVVLMGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVVCVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSCQLGEGSMTALPIVETQAGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGLSVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFSTDLEKTTQNQLARGQRLRELLKQSQSSPITVEEQIITIYTGTNSYLDSLEIQQVRKFLVKLRAYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEQMELFLLQEQVEKN >CAK8575629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:212011777:212012655:-1 gene:gene-LATHSAT_LOCUS27874 transcript:rna-LATHSAT_LOCUS27874-2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSCQLGEGSMTALPIVETQAGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGLSVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFSTDLEKTTQNQLARGQRLRELLKQSQSSPITVEEQIITIYTGTNSYLDSLEIQQVRKFLVKLRAYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEQMELFLLQEQVEKN >CAK8565262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:88099841:88131359:-1 gene:gene-LATHSAT_LOCUS18490 transcript:rna-LATHSAT_LOCUS18490 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGNRPNEPASFWIQANALLRKNLTFQKRNVKTNIRLILFPFGLCILLVLLQNLLNNQFDKAKFKCGCICTKTQGEQCLENQCGVQFSDFDQVGACPIINPIEWTPLLQIPDPRYRAVRTDFLPFSDFPNPLCRINGSCPLIMLFTGTNHSFGEVLSRNMIPSSFALNNNDVMGSLATNVMGSASETENTNFLEPAFFSDLPIYYLQSQCNKNSSFSVPIQISTTSIQQELRCAQALRLWRNSSSEVNNELYKGYRKGNTERQINEIAAGYDFLNSNENTLNVSIWYNSTYKNNTGFDVIALARIPRTVNLVSNAYLQFLLGPGTRMLFEFVKEMPKPKTPIKFDLASLLGGLFFTWVILQLFPVVLTSLVYEKQQNLRIMMKMHGLGDGPYWMISYSYFLAISIIYMLCFVMFGSVIGLKFFTMNDYSIQFVFYFIYINLQISLAFLLASLFSNVKTATVIAYIGVFGTGLLSGFLFQFFVQDNSFPRGWIIVMELYPGFALYRGLYEFSQSSFSGDTLGTHGMRWGDLSDSTNGMKEVLIIIFVEWILVLFFAYYVDQVLTTGSWKSPHLFLKRFQKKPSSSFRKPSIQRQGSKVFVMTEKPDIHQETEKVEQLLLEPTVNHAIVCDKLRKVYQGRDGNPEKFAVKELSLALPQGECFGMLGPNGAGKTSFINMMIGLAKPTSGTAFVQGLDIRTDMNGIYTSMGVCPQHDLLWEILTGREHLLFYGRLKNLKGSALTQAVEESLKSVNLFHGGVADKKAGKYSGGMKRRLSVAISLIGDPRVVYMDEPSTGLDPASRKNLWNVVKRAKQDRAIILTTHSMEEAEVLCDRLGIFVDGSFQCIGNPKELKGRYGGTYVFSMATSVDHETDVEKLVQQLSPNAKKIYHISGTQKFELPKDEVRIADVFQAVETAKRNFTVSAWGLADTTLEDVFIKVAREAQQYDTLS >CAK8573454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613393677:613394258:-1 gene:gene-LATHSAT_LOCUS25900 transcript:rna-LATHSAT_LOCUS25900-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEINEDRIKQKAMKAVSGLSGVESVSVDMKDMKLTAIGDIDPVKVVTKLRKFCHAEIVSVGAAKEEKKEEPKKKEDDKKDSTKEIVIDPFMFYGTHAYYNHQMKPQYNPYYGAVSVEEDPNSCVII >CAK8573453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613393677:613443187:-1 gene:gene-LATHSAT_LOCUS25900 transcript:rna-LATHSAT_LOCUS25900 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEINEDRIKQKAMKAVSGLSGVESVSVDMKDMKLTAIGDIDPVKVVTKLRKFCHAEIVSVGAAKEEKKEEPKKKEDDKKDSTKEIVIDPFMFYGTHAYYNHQMKPQYNPYYGAVSVEEDPNSCVII >CAK8532501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271475539:271477157:-1 gene:gene-LATHSAT_LOCUS2200 transcript:rna-LATHSAT_LOCUS2200 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEVMKMIVPSTQLTNVDVSGDGEKQNFFPEEIETFYVKLTNLLNSSGLTLILNVRQTSLDLFNFYLEVVTRGGYKQVGKQKKWGEVVSALKLEGNNAKLPSQTKKLYANLLYEFEKIYFYRFRAIQTASDTTKEYFGTPIEALPERLLQAPLNDKEKKKPRGVPRGASGYQIFLKHECARLKACRQDIDGKAILPMAVEGWKYLSDIDKQPYVEESKKMKEAMMIDNNKQESTQDLKGKEKMPSISGDYYCVTSQPPQANYSFGDKAALDLAFKITEKTSTDPLFLCDLDAYRSGDLLSGIASGESK >CAK8537929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448216468:448217127:1 gene:gene-LATHSAT_LOCUS7177 transcript:rna-LATHSAT_LOCUS7177 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVFKGTRNLPITALVRATYYRLGSLFGEWGSKWSAVLNSGETFTDNCLKVMKEETTKFSTHQVRIFDYANNVFSVKEIMDHGEGKPMGHYKVDLLNGWCDCGKFQAFSVPCSHVIAACSNVCHDAYALLSDVYRVTNLFGVYSTSFPVLSYDEYWPVYEGYQIFHNPRMRRNKKGRPMSTRITTEMHNFDKLERKCSMCRQTDHNRTRCPNVRTSNR >CAK8578950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663469991:663470308:-1 gene:gene-LATHSAT_LOCUS30928 transcript:rna-LATHSAT_LOCUS30928 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRHVLDTGKYLGMPSMIGRSKEVMIKSVLQAIPSYIMSLLLSHTRFIMIFRRCSMLSGGEEEVIIGESIGWPGIS >CAK8579323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691606257:691607051:1 gene:gene-LATHSAT_LOCUS31277 transcript:rna-LATHSAT_LOCUS31277 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYPATSKKQISEEATMASAQSVKPSTAIEACQPKTQHSSIGQKISEMTNKAFKGHHARHGSTQNQVQSYSSQSQVESNGHNGTKTETHHYGQTQTHHDKKHGVIKTHITVCVVQAEITETKEGPSPYGATTTCFGTSAKKNRELNNKRDINLFQRIKNGMSRHNGEGNSSSSDSESDNEKKCSKTKPKVGASDDEKKCPTTKPKVGALDNEKKCPKTMPKN >CAK8579324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691606308:691607051:1 gene:gene-LATHSAT_LOCUS31277 transcript:rna-LATHSAT_LOCUS31277-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQSVKPSTAIEACQPKTQHSSIGQKISEMTNKAFKGHHARHGSTQNQVQSYSSQSQVESNGHNGTKTETHHYGQTQTHHDKKHGVIKTHITVCVVQAEITETKEGPSPYGATTTCFGTSAKKNRELNNKRDINLFQRIKNGMSRHNGEGNSSSSDSESDNEKKCSKTKPKVGASDDEKKCPTTKPKVGALDNEKKCPKTMPKN >CAK8564594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1733074:1737566:-1 gene:gene-LATHSAT_LOCUS17884 transcript:rna-LATHSAT_LOCUS17884 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNVRLRREYLYRKSLEGNERALYEKKRKIREALQEGKPIPTELRNEEAALRRQIDLEDENTAVPRTHIDDEYAFASEKDPKIMLTTSRDPSAPLQQFVKELSIVFPNAQRINRGSQVISEIIDACRSHDYTDVILVHEHRGVPDGLIVCHLPFGPTAYFSLNNVVTRHEIKDKKAVGTMPEAYPHVILDKFSTKLGERTANILKYLFPVPKPDTKRIVTFANNLDYILFRHHIYEKRGGPNSVELKEIGPRFDLRLYKIKLGTVDQDEAQTEWVLRQYMNTTKKRKFLSD >CAK8540395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557689542:557695393:1 gene:gene-LATHSAT_LOCUS9401 transcript:rna-LATHSAT_LOCUS9401 gene_biotype:protein_coding transcript_biotype:protein_coding MMELQVIWIIRLVVACVLCLCIFIRSASSATEGFESIACCADSNYTDPKTNLNYTTDYRWYSDKSSCRQIPKILLSHRSNVNFRLFDIDEGKRCYNLPTIKDQVYLIRGIFPFDSVNSSFYVSIGATELGEVTSSRLEDLEIEGIFRAPKDNIDFCLLKEDVNPFISQLELRPLPEEYLHDFSTNVLKLISRNNLCGIEEDIRFPVDQNDRIWKETSTPLNALPLSFNVSIVDLNGKVTPPLKVLQTALTHPERLEFVHNGLETEDYEYSVLLYFLELNNTLKAGERVFDIYLNSEIKKESFDVLEGGSKYSYTVLNISANGSLNITLVKASGSKFGPLLNAYEILQARPWIDETDQTDLEVIQKMRKELLLQNQDNEALESWSGDPCMLFPWKGVACDSSNGSSVITKLDLSSSNLKGTIPSSVTEMTKLQILNLSHNHFDGYIPSFPPSSLLISVDLSYNDLTGQLPESIISLPHLNSLYFGCNQHMSNDDEAKLNSSLINTDYGRCNAKKPKFGQVFMIGAITSGSILITLAVVILFFCRYRHKSITLEGFGGKTYPMATNIIFSLPSKDDFFIKSVSVKPFTLEYIELATEKYKTLIGEGGFGSVYRGTLDDGQEVAVKVRSATSTQGTREFDNELNLLSAIQHENLVPLLGYCNEYDQQILVYPFMSNGSLLDRLYGEPAKRKILDWPTRLSIALGAARGLAYLHTFPGRSVIHRDVKSSNILLDHSMCAKVADFGFSKYAPQEGDSYVSLEVRGTAGYLDPEYYKTQQLSEKSDVFSFGVVLLEIVSGREPLNIKRPRVEWSLVEWAKPYIRASKVDEIVDPGIKGGYHAEALWRVVEVALQCLEPYSTYRPCMVDIVRELEDALIIENNASEYMKSIDSLGGSNRYSIVMDKRALPSTTTTAELTITAQNVSHPQPR >CAK8563627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621092762:621097306:-1 gene:gene-LATHSAT_LOCUS17019 transcript:rna-LATHSAT_LOCUS17019 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSSSRRVSRLLKSPIFLSFHLRSTEQPFLSGIAQRNYSSGVDNVEETPTEAVKDLYDKMLQSVNVKRSMPPNAWLWSMIENCKHRHDISLLFDVLQNLRRFRLSNLRIHDDFNCSLCREVTKACVHAGALDFGKKALWKHNVYGLAPSVASAHHILTYAKNHNDTNLLVEVMKLLNRNDLPLQPGTADIVFSICHNTDEWELINKYAKRFVKAGVKLRQTSFETWMRFAAKRGDTESLWKIEKLRSDSMKKHTLATGFSCAKGLLLERKPSDAVAIIQVLNLTLSDAKKSGMKDELQKLVSEWPLEVLKYKKEEERKTLAASLKSDILDMVNNLDTGLEANISLEDLNQRESIPQ >CAK8533981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679104805:679105311:-1 gene:gene-LATHSAT_LOCUS3561 transcript:rna-LATHSAT_LOCUS3561 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNRSTPTMSTDSLEQKGQNITESNAGIIQCPLSQQHCSSLDGPVSILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLINVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFASAFIRMSLHAFIF >CAK8577862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594775376:594775762:1 gene:gene-LATHSAT_LOCUS29931 transcript:rna-LATHSAT_LOCUS29931 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSVGNSSQSQIPVYGCNRAMRMFISNSSENHKRRFWKCANSGVMSNYKLFLWDDELERSISTEPKISIGCNCSEVMHELSCIIKDVEARKKEKMKLKLENERKKANLFRMLLILSWVLFFSYQKW >CAK8577597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576907549:576909855:1 gene:gene-LATHSAT_LOCUS29688 transcript:rna-LATHSAT_LOCUS29688 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGKISSSGYDDLELLNQCLHEFGGSDGSLLLSSSSKSERVGGKNESCKAKALAALKNHSEAERRRRERINGHLATLRTLVPSTHQKIEKATLLAKVISQVKELKNHAMEASKGFLIPMETDEVKVEPYDTKLGYGCMSYMATICCDYQPEILCDLKKTLDALQLQLVKSEMSTLERRMKNVFVFTCCKGDNNKSVNVEACQSIANVVHKALGSVLEKASMSMEFALRTSYQNKRRRMC >CAK8531032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:71513952:71516045:-1 gene:gene-LATHSAT_LOCUS849 transcript:rna-LATHSAT_LOCUS849 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPASLRLKFSPPSLPFPLRHLSTSIPTKTTPPQHFIRIFSNARQHHNHAECELALVSALKSCSSLSLPSQGRQIHSLAFKLGLHSNTFIRNSLINMYTKCGSMRDARVMFDAFPTLDSVSSNIMVSGYVRARQLENARKLFDIMPNKDCFSYTTMIMGFVQNGFFDEALEVFTDMRSLGVVPNDLTLVNVISACSHLGDILNCRMIHGLVVKLFVEGLVIVWTNLVHAYCLCLGVEEARRLFDEMPERNLVTWNVMLNGYAKTGLVDEARELFEGISDKDVVSWGTMIDGYIQKGLFYEALEIYRAMLQTGLGPNEVMLVNLVSACGRRTAIVDGWQLHSTIVKRGFDCYNFIQTTIIHFYAACGRMDHACLQFEVGVKDHLESWNALIAGFIKKGMIDQAKKMFDEMPERDVFSWSTMISGYAQTEQPQMAIELFHKMVASGIKPNEVTMVSIFSAIATLGTLQEGKLTHEYMRNESIPFNDNLRAAMIDMYAKCGSINTALQFFNQIRDEVFTVSPWNAIICGLASHGHASMCLEVFSDMQRYHIKPNPITFIGVLSACCHAGLVEPGRKIFKSMKSAYNVEPDIKHYGCMIDLLGRAGLLEDAEEMIRSMPMEADIVIWGTLLAACRTHGNVNIGEKAAENLARLAPSHGGGKVLLSNIYANAGRWEDVSVVRSVMQSQTMEREPGCSGVVR >CAK8578684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647013625:647020123:-1 gene:gene-LATHSAT_LOCUS30674 transcript:rna-LATHSAT_LOCUS30674 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQFRSIFFLFFSLFISSIFASESDHKYQRDDPVNLWVNKVGPYNNPQETYNYYSLPFCHPSSTSSAAHKWGGLGEVLGGNELIDSQIEIKFLKNVDKTAFCQIELEEAKVKQFKDAIENNYWFEFFMDDLPLWGYVGELHPDKKSDNGKHVLYTHKNIIVKYNNDQIIHVNLTQDIPRPLEVGKHLDLTYSVKWIPTNVTFGRRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRYLVILSAVVGTGAQLALLVFIVILLAIIGMLYVGRGAIITTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFTCFEIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILTIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTSVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTLMFSIGLGILCGAVGFLGSNLFVRRIYRNIKCD >CAK8534779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766646759:766647220:1 gene:gene-LATHSAT_LOCUS4293 transcript:rna-LATHSAT_LOCUS4293 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAIKSSSHNVIQFDRERFCFMVAKSINQRDGRLNQAFHLRCSHVIAACASIRQDHNMHIPYIFKVLSVFKVYNESFLGLPHQQNWPTYEGFTLCHDETMRKNKKGHPNSTRITTEMDDFEKEKKRCGLCREIGHMRRKCPNMVDPSNRPV >CAK8572201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519813085:519813827:-1 gene:gene-LATHSAT_LOCUS24792 transcript:rna-LATHSAT_LOCUS24792 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIEARLYFCFNCRNHVALHDDVVSKAFQGRNGRGFLFSHAMNVRVGPKEDKELITGLHTVADVYCCDCNHVLGWKYERAYEENQKYKEGKY >CAK8560220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10827387:10827887:-1 gene:gene-LATHSAT_LOCUS13914 transcript:rna-LATHSAT_LOCUS13914 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSISNHRRIHDVFLNFRGKDTRRKIVSHLYAALKNAGINTYIDNQLFKGTELGYQLLRGIENSRIAIIVFSKNYTQSSWCLNELQKVMECHRTSGQLVLPVFYNVDPSVVRHQKGDFGKVLRATAKKVYFRSEGKEKMENMLSNWSTALTQAAYLSGWNVTEYR >CAK8575007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21108103:21109719:1 gene:gene-LATHSAT_LOCUS27300 transcript:rna-LATHSAT_LOCUS27300 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSTNLKVLVIHHDVILLEQIQEMCDRFHYLVTKCTSASYALNLLAESKGYFDILLSDVCMSNMDSYNFVQNVTLHHKIPVIVISSDATKSSVIESIINGACDYWLQPLHEKQFKTMWQHVARKTLMENKEHQDLGFLNVGTDKEPEVLGILETQSHQEDENLEILKAQSHKEPEVLEMLEAPRHSKKSKKSRLSWTRQLHQKFVNAVNQLGLDEAKPRNILKIMDISDLTTAHVASHLQKYRNYLKRPSCGKKSKKSPRIETPAECINKTSLESEDAHSMLQEDQSSQLNSTLHSDNIFETQQQSNDVTNYQVPDSGYNYETQQQSNGVTNYQVPDSGYNYETQQQSNDVTNYQVPDSGYNYETQQQSNGVTNYQVPDSGYNYETQQQSNDVTNYQVSDSGYNYETQQHSTDVGDYRVSNIMSNDFPDPSDVFFDLEELMSLLI >CAK8536699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8078927:8080928:1 gene:gene-LATHSAT_LOCUS6033 transcript:rna-LATHSAT_LOCUS6033 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGTSLLVPSVQDLAKQINTKVPEQYLHPNQDPIVVSNTTSLQQVPLIDLSKLLSEDELEVEKLDHAGKEWGFFQLINHGVHPSIVENVKIGVCEFLSLPAEEKKKFWQTPDDIEGFGQLFVVSENQKLEWADLFFMATLPSSARNPRLFPNIPQPFRDDLETYCLELKKVCITIIKHIEKALKVEPNEMLELFENINQSMRMNFYPPCPQPENVIGLNPHSDAEALTILLQANDIEGLQIRKDGQWISITPLTNAFVVNVGDILEIMTNGIYRSIEHRATVNSKKERISIATFHRPQMSKVIGPTPSLITSGKPALFKTITMEDYYKAFFSRKLLGKSCLDVVRIQNENDK >CAK8530869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:57732208:57732762:1 gene:gene-LATHSAT_LOCUS702 transcript:rna-LATHSAT_LOCUS702 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIACGGTSKFMAPLSLPPSFNSFVITQNNKMKKGLVLGYWGFSNTRRNVIVKASSDVASPSIWENWKNPKSFSTLSFSGILWPSAGAFVAVAILGKLDQLLTPKELTITIALLGAVSALLFASPSAPSTRKHQFLLSSHLHYPSASPRRVISVVAIKRLPYRFYHHVSKAPLKRRQGKLGR >CAK8579077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672848962:672853407:-1 gene:gene-LATHSAT_LOCUS31049 transcript:rna-LATHSAT_LOCUS31049 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKRIPLGIFALLFFAISTSSLHLLTASDDAIFYESFDEAFDGRWILSDKDEYNGVWKHAKSEGHEDYGLLVSEKARKYAIVKELDEPVSLKDESVVLQFETRLQNGLECGGAYIKYLRPQEAGWKPKEFDNDSPYSIMFGPDKCGATNKVHFIFKHKNPKSGDYVEHHLKFPPSVPSDKLSHVYTAILKPDNELQILIDGEEKKKANFLSSEDFEPSLIPPKTIPDPEDKKPEDWDEREKIPDPNAVKPDDWDEDAPLEIVDEEAEKPKGWLDDEPEEVDDPEATKPEDWDDEEDGEWEAPKVTNPKCEEAPGCGEWKRPMKKNPAYKGKWHAPLIENPAYKGIWKPQDIPNPVYFELKKPDFEPIAAIGIEIWTMQDGILFDNILIAKDDKIAASYRETTWKPKFFIEKQKQREEDSATESSGLASIQKKVFDLLYKIADIPFLSAYKLKIHDLIGKGESQPNLTVGILVAIVVIVFSIFLRLIFGGKKTPERVVKTDTPATDISTSQSDGENEENKEEESSKAPRRRVKRDN >CAK8538462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483556032:483556664:-1 gene:gene-LATHSAT_LOCUS7654 transcript:rna-LATHSAT_LOCUS7654 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRATPCVDRSPVPGLIPPAAPEIRYRGVRKRPWGRYAAEIRDPWKKARVWLGTFDSAIQAARAYDAAAISLCGAKAKTNFPLPPEALATLLPPPPPPINRGPKLRHTEDDMVFVNYPTSSGMSSTVESSSGPRAGSSSTSRVHVFLPVNDEACHSDCDSSSSVVDDDEDCVVVCSSVRKPQPRDIDLNLPAPMNYSDEEIRATDLHL >CAK8562241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460265400:460272916:-1 gene:gene-LATHSAT_LOCUS15754 transcript:rna-LATHSAT_LOCUS15754 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNDQDSKSTNPSDPPPVFALNERILSSMADKKDAAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKKSGLIKVDRILYSSVVYPHNYGFIPRTLCEDEDPLDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYQDIKELPPHRLAEIRRFFEDYKKNENKIVNVEDFLPAESAVQAIEHSMDLYAAYVVGNLRK >CAK8531656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135357624:135371248:-1 gene:gene-LATHSAT_LOCUS1431 transcript:rna-LATHSAT_LOCUS1431 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLMAAGGPRYVQMKSSPPTSPPTADIGSSLSFRHSSVSDAYRIFDELPKASIISVSRPDPSDISPMQLSYTIEVEYKQFKWELVKKAHQVFILHFALKKRVFIEEIHEKQEQVKEWLHNLGIGEHTTMEQDDEEGDDETVPLQTDESAKNRDVPSSAALPIIRPALGRQHSIADRAKSAMQGYLNHFLGNIGIVNSPEVCKFLEVSMLSFSPEYGPKLKEEYVMVKHLPKIKKDEDSRKCCLSDCFNCCNDNWQKVWAVLKPGFLALLAHPFDKQPLDIIVFDVLPGSDANGDGRLSLAIEMKERNPLRHSFKVTCGIRSIRIRSKSSSKVKDWVAAINDAGLRPPEGWCHPHRYGSFAPPRSLNEDDSQAQWFVDGQSAFEVIASSIEDAKSEIFICGWWLCPELYLRRPFQTHASSRLDNLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDNHICFIGGLDLCFGRYDSPEHKVGDVPPLIWPGKDYYNPRESEPNSWEDTMKDELEREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKAPYEQTIPLLMPQHHMVIPHYLGSSEAPIEIKNTVNDRALKREDSFSSSSQDQDIPLLLPQEPGGLDDPEEDPKPNGLISFLHHLDTPRRISSGLPFSFRRAKVAAVGPDTPMKGFVDDLDSSQCHEKTAHDRVAHVDSQNTDLEWWETQERGDQGGFADESGQIGPRASCRCQVIRSVSQWSAGTSQTEESIHNAYCSLIEKSEYFIYIENQFFISGLSGDDMIRNRVSEALYRRIMRAYNDKKSFRVIVVIPLLPGFQGGLDDGGAASVRAIMHWQYRTICRGQNSIIHNLYQLLGSRLHDYISFYGLRNYGKLSDGGPVATSQVYVHSKIMIVDDCISLIGSANINDRSLLGSRDSEIGVVIEDKELIDSYMDGKPWKAGKFSLSLRLSLWSEHLGLPAGEVSQIMDPVVESTYKDIWMATAKTNTAIYQDVFSCVPNDLIHTRLAFRQNVALWKEKIGHTTIDLGIAPEKLESYHDGDIKNTHPMERLALVKGHLVSFPLEFMSQESLRPAFNEGEYYAAQVFH >CAK8530159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3569915:3571444:1 gene:gene-LATHSAT_LOCUS48 transcript:rna-LATHSAT_LOCUS48 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDLQNNKQKRGTTTLPHHQHQHQQESSPSNSHPQQQQQLVVPFDGRPPPPFIMGSISNIQPSSNSSSSSSNSSSSSASASATTPHLIDASLAIATRSEPQVLDSTAVANNNSQIQQFSSSSTAVTVANPPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHGALGLAGHHPYEEAAYQHAPLLGFHPHQHQQHLMNADQIGEALSNESYMRKRYREDLFKDDNNNNESGGDGSSSPKAYKTNHQQDSIGEGEGGGGGGGGGGASSSSSPGNSISGLLRPSNMLPGTAMWATAGSGSTIWMVPVSGGGGATANSDTQTQNMWPFSPHTQPQNQANATATPSQLHFMPRFNLPPGGSGGGVEFQSGRGGLQLGSVYQPSQHLGLAVSDSNLGMLAALNAYTRAGHFNVNNSSSDHQHHHHHSISLDSHPQPNDESGEDAPNNSQ >CAK8539206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506636089:506639525:1 gene:gene-LATHSAT_LOCUS8324 transcript:rna-LATHSAT_LOCUS8324 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIHVPHNLYQIILMIVNLGLQLLWYFLQIIISTWYYISAVVNLFESYFISYGVLKKYKSLRIGKLRYLAIVIESEDAYQTSKVVKLLQWLDSIGVKNICLYDMNGVLKKSKVAIFQEMKNAKSIEEVNEAVTHHVSDHMTLEFVSYVDGKEAVAKAANLIFVENLKRHNFGGELDCQIPLESHLNQALQIVGCKGPEPDLLLVYGPVRSHLGFPAWRIRYTEIVHMGSLNFMRHGSLIKAIYNFIKVHQNYGT >CAK8537411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:345001791:345004103:-1 gene:gene-LATHSAT_LOCUS6704 transcript:rna-LATHSAT_LOCUS6704 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSKPSIWRIKTITDFFWAIVNFIGVFFATMFSLEKSDAYRKRSAGKKWSGGGPGGGGGGGGGGPRGPPRGGLDNVRGLDSVRGGDHSSLPACGSCCG >CAK8540878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27968320:27980298:1 gene:gene-LATHSAT_LOCUS9837 transcript:rna-LATHSAT_LOCUS9837 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPQHLRRPHPLPPGPAMLHHDQVGPAMHHHALPPPFDLMPPPQVMEQKLASQHGEMQRLATENQRLAATHGVLRQELAGAQHELQMLHAHVGSLKAEREQQMRSVVDKIAKMESELKAVEPLNMELQKARGEAQSLVVAREELMAKAQHLNQEIQRVHVDVQQIPALISELERLRQEYQHCRATYDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANVDRSSGPYGGTSGTNNKEASGLPVGQNAYEDGYAVAQGRGSLPTASSGGGNATTTTPAGAQPGSASAGTGYEVTRGGPGYIASAGPTYGTQNGSTYDPQRLTGYDAFRGSAYDAATRGQMFDAQRTGYDPQRGSGYEVQRGPAYDPSRTAGYDAQSRGGAGPQGQVPPVNNMPYGSATPPARNVGGGGYDAARGVNPARR >CAK8542209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489555523:489556953:1 gene:gene-LATHSAT_LOCUS11067 transcript:rna-LATHSAT_LOCUS11067 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFLGSWIIKSLLENGYSVNTTIRPDPERKRDISFLTNLPGASERLHFFNADLSNPESFSAAVEGCVGIFHTASPIDFAVSEPEEIVTKRTVDGALGILKACVNSKTVKRFIYTSSGSAVSFNGKDKEVLDETDWSDVDLLRSVKPFAWSYAVSKTLAEKAVLEFGKQNGIDVVTLILPFIVGRFICPKLPDSIEKALVLVLGKKEQIGVTRFHMVHVEDVARAHIYLLENSVPGGRYNCSPFIVTIEEMSQLFSAKYPEFQILSVDELKEIKGARLPDLNSKKLVDAGFEFKYSVDDMFEDAIQCCKEKGYL >CAK8577815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590388316:590389893:-1 gene:gene-LATHSAT_LOCUS29886 transcript:rna-LATHSAT_LOCUS29886 gene_biotype:protein_coding transcript_biotype:protein_coding METLNFLLITLTIVMSISTTTSQSPIQNFINCFSPNSSVSQVIYTPNKPSFSTILNMKILNKIFKQATTPKPLAIITAKDASHVQATVKCAKSNNIQIRIRSGGHDSEGFSYVSDVPFVVLDTLHLNSVDVNVQEETAWVESGATNGKIYYTIAKKSDSLAFPAGVCFTVGSGGHYSGGGYGNLMRKYGLSVDNIIDAKIVDASGNILDRKSMGEDLFWAIRGGGGASFGVILSWKIKLVHVPPQVTVFNVSRTVDEGATNIAYKWQFVAPKFDKDLFIRVVHNVVQIGETGKKTMQINFVGQFLGTVERLLPLVSDSFPELGLKKSDCIVMPWINSTVFWYDKPVGTPIESMLEGPKESDAMYFKRKSDYVKKPIPKEVIESLWKLMIEGETMFVQWNPYGGRMEEILPSETPFPHRAGNLFMIGYFNNWIDESHGNTKIHLNFSKSVEEFMTPYVSNSPREAFLNYRDGDVGVNQHSNVTKFDIARTYGTKYFKDNFERLVSVKTKVDPENFFRYEQSIPTRI >CAK8561151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:106683270:106683788:1 gene:gene-LATHSAT_LOCUS14764 transcript:rna-LATHSAT_LOCUS14764 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFPYATRWSSLGMNYDNCSHFSITQYRNLIDHLGQDDFIWQPYLGLEAIHEVNQHDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPP >CAK8578202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612186944:612187297:-1 gene:gene-LATHSAT_LOCUS30235 transcript:rna-LATHSAT_LOCUS30235 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMSSENNTSTTMKMKDESVTMATKSVAATRSSSTCCCLMTKLMRKVLKRSRSRIRQGSFQCCYDPLSYSLNFDTSDCGSLLDEDYYYKFCAFSSRFVANPTTSCHVLQVASGNSQ >CAK8533616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642290460:642293628:-1 gene:gene-LATHSAT_LOCUS3231 transcript:rna-LATHSAT_LOCUS3231 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKRKENLLRSNVKQSNQVIIVLNSIPATAIEDINMNNATEEASESYTPHKDNYTQQASPRSTLSPRSTQSDSIDLAIDGVVDTSIEQLYTNVYEMRSSDQSPSRASFYSYGEESRIDSELGHLVGNIVDLEITKEVVTENKEDSISNVNAIEEVIVSSSTGIGEGSAKASGKSRSLRNRNEKGTRKGNGFYNMTRKHRRLGLKDSVVDELDNPDLGPFLLKITRDMISSGENPNKALGLAHRALKSFEICNADGKPSLELVMCLHVLATIYCNLGQYNEAIPILERSIDVPVLEDGQDHALAKFAGCMQLGDTYAMMGNIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDEARRLCQMALDIHKGNGLPASFEEAADRRLMGLICDSKGDYESALEHYVLASMVMAENRQELDVASVDCSIGDAYLSLARYDEAVFSYQKALTVFKSTKGENHPTVASVFVRLADLYNKIGKFKESKTYCENALRIFGKKNPGIPLEEIANGLIDVAAIYQSMNDLEKGLKLLKKALKIYNNVPGQQSTTAGIEAQMGVTYYMLGNYSDSYNIFKSSVAKFRASGEKKSALFGIALNQMGLACVQRYAINEAADLFEEARTILEKEYGPYHQDTLGVYSNLAGTYDAMGRVDDAIEMLEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRARNRRSKRSLETLLDSNSQVMKNNGIKVL >CAK8533617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642290460:642292631:-1 gene:gene-LATHSAT_LOCUS3231 transcript:rna-LATHSAT_LOCUS3231-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNATEEASESYTPHKDNYTQQASPRSTLSPRSTQSDSIDLAIDGVVDTSIEQLYTNVYEMRSSDQSPSRASFYSYGEESRIDSELGHLVGNIVDLEITKEVVTENKEDSISNVNAIEEVIVSSSTGIGEGSAKASGKSRSLRNRNEKGTRKGNGFYNMTRKHRRLGLKDSVVDELDNPDLGPFLLKITRDMISSGENPNKALGLAHRALKSFEICNADGKPSLELVMCLHVLATIYCNLGQYNEAIPILERSIDVPVLEDGQDHALAKFAGCMQLGDTYAMMGNIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDEARRLCQMALDIHKGNGLPASFEEAADRRLMGLICDSKGDYESALEHYVLASMVMAENRQELDVASVDCSIGDAYLSLARYDEAVFSYQKALTVFKSTKGENHPTVASVFVRLADLYNKIGKFKESKTYCENALRIFGKKNPGIPLEEIANGLIDVAAIYQSMNDLEKGLKLLKKALKIYNNVPGQQSTTAGIEAQMGVTYYMLGNYSDSYNIFKSSVAKFRASGEKKSALFGIALNQMGLACVQRYAINEAADLFEEARTILEKEYGPYHQDTLGVYSNLAGTYDAMGRVDDAIEMLEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRARNRRSKRSLETLLDSNSQVMKNNGIKVL >CAK8542764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544546600:544548878:-1 gene:gene-LATHSAT_LOCUS11573 transcript:rna-LATHSAT_LOCUS11573 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPPQQINTLRSPSTLFQILPTTPEKSNRYSPNSNPQDRNNCFECHQPGHWASVCPLKSPNNSNTKPHFNSSLSASKLIQCRCGHGFCHVKTAHSEKNYGRRYFLCPIKRGARCSTFVKWCDDPINETDLQPPLIMYPECKCGAGVCRKVMETEEGSNGVKYYFACPIKQGHGSCGYRVWEDELLSVDASINSTSVVPVRQSKQRSLNEFIKGDQIDTAVNDDLANDLADGSDLLLTTKRMRITDSSENPSPVSGSEILEGKSGGSSVEEAGSLDVGFPEIEFEDDLESINLASWAAIEAEAFLFSRLSTTSRISCRQSLFQNDVLAADASLGIIPSPDTIEVPKQTSTLNSQSECNNLAIKTPNQCTQLSTDVVSLDRSPGSDSQLKAQRQREVALFTQQRLLNDLEDFHQHESMRETAEATFSMLNLLGFECKQFSDYVWNFINLATSMAEIDKSMKNSPTLEEHSKFLEVEKARLANIKDDYMKTKALLAASNQKRNLLSEDIARLEAMVLEKGKELKICESETVKVEIQLDDLKRRKLEVDISVKDKARQAEEARKQIVERDRKQVEAMTALEKAKHELVN >CAK8572937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572221077:572224454:1 gene:gene-LATHSAT_LOCUS25439 transcript:rna-LATHSAT_LOCUS25439 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLLLILCFSTLLYVTSAALNFEGLALLSLLSHFTVVPARINSTWNSSDSTPCSWNGVQCNRALNVISLSLSNYSLLGQLGPEIGNLVHLETLDLSINDLSGEIPPQLSNCSMLQYIDLSANNFGGEIPPELSSCSMLQYMDISVNNFSGEIPQSLFDINQLQELYLNNNSLSGSIPANIGSLTNLYSFDFESNQLSGEIPESIGNCTQLSFIVLDSNQLEGVIPESLNNLKALSYLSLNFNNLEGTIQLGLRNCKNLTYLSLSYNNLSGAIPSSLGNCSGLLEFFAAKNQLDGNIPSSFGLLHNLSRLVIPANHLSGNIPPQIGNCKSLERLHLYTNRLDGEIPSELGKLSKLRDLRLYENLLVGEIPVSIWKIQSLEHILLYNNSLSGELPLEMTELKNLKNISLFYNQFSGVIPQTLGINSSLVQLDFVSNMFNGTLPPNLCFGKRLARLNMAGNQFIGRIPSDVGRCTTLKRVRLGDNYFTGPLPDFETNSSISYMDISNNNINGTIPSSLSNCTNLSLLDLSMNSLTGSVPLELGNLVNLQSLYLSYNNLEGPLPPQLSKCTKLSIFDVGFNFLNGSFPSSFQSWTTLTTLILSENRFSGGIPAFLSEFENLVELKLGGNNFGGNVPISIGKLQNLQYDLNLSANGLVGKIPTEIGNLKSLLKLDLSWNNLTGSIQLFDELSSLSELNISYNSFEGTLSQKLMKLSNSSRSFLGNPGLCVSLSLSSALSFTRSSNLRICNNDDTKSKKLSKVAIVMIAFGSSILAVLSLGLIYIFLIRRSKHEAIFTEENGSPNLLKKVMEVTQNLNDEYIIGRGAAGVVYKAVISPDKILAVKKLVFGEDERKHISMLREVETLSKVRHRNLVRLEHVWLRENYGLISYKYMPNGSLYDALHEKNPPQSLKWNVRNKIAVGIAQGLVYLHFDCDPVIVHRDIKTSNILLDSEMEPHVTDFGLAKILHRSSSSTSAQSINVSGTLGYIAPENAYTRAKGKESDVYSYGVVLLELISRKKAIDPSFMEGMDIVTWVRSLWEEAGVVDEIVDSEIADEISNSNDVTKEVTKVLLVALRCTESDPRRRPTMRDVIKNL >CAK8538569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487408628:487409017:-1 gene:gene-LATHSAT_LOCUS7753 transcript:rna-LATHSAT_LOCUS7753 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSLHSSRKLQYLSLRRFSSATPLVLKPGHLLKKARVFTQEDVIYYSNVSHDSNHLHADSTVARNVGLEGPLVHGVHVASLFKTTPPYIIRLSKINFDRIQSHLVSHSCHGVKHFLRYNYVLRYIPP >CAK8572748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559940475:559947076:1 gene:gene-LATHSAT_LOCUS25277 transcript:rna-LATHSAT_LOCUS25277 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKQSEGIALLSMYNDDGDDEMEDAEEEDVNREEQQDDAAGQAAEEDLAADTDRMTVADSGNEVSADGFTPTEKSRTPQVSRLFSPPQEQQRVELRISKSATLTIVDYGHDEVAMSPEPEEGEIDGSGRVVFGDQLHVTNGDLLDRIPPGTVQVLSPDDQANTPQLSETFKSDALNNDDVIGPDDAEIGEADHDEHKSVDPLDKFLPPPPKVKCLEELQRKINKFLEYKKAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDSSDFYDQIEADMRRESDRKEQEKKKPQNQKVDFTSGGTQPGIVLGAPRISMPVTGGSAATTGGLHLVPPPADSINRDGRQNKKSKWDKVDGDRKIPLPSGGQDSVSTAGAHAAVLSAANAGSGYMLFAQQKRREAEERRSSERRLERRS >CAK8572749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559940475:559947062:1 gene:gene-LATHSAT_LOCUS25277 transcript:rna-LATHSAT_LOCUS25277-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKQSEGIALLSMYNDDGDDEMEDAEEEDVNREEQQDDAAGQAAEEDLAADTDRMTVADSGNEVSADGFTPTEKSRTPQVSRLFSPPQEQQRVELRISKSATLTIVDYGHDEVAMSPEPEEGEIDGSGRVVFGDQLHVTNGDLLDRIPPGTVQVLSPDDQANTPQLSETFKSDALNNDDVIGPDDAEIGEADHDEHKSVDPLDKFLPPPPKVKCLEELQRKINKFLEYKKAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDSSDFYDQIEADMRRESDRKEQEKKKPQNQKVDFTSGGTQPGIVLGAPRISMPVTGGLHLVPPPADSINRDGRQNKKSKWDKVDGDRKIPLPSGGQDSVSTAGAHAAVLSAANAGSGYMLFAKKDERQRREDLVKGG >CAK8574855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11877483:11883551:1 gene:gene-LATHSAT_LOCUS27155 transcript:rna-LATHSAT_LOCUS27155 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPFSGDDEEDLVNDDFLNFPFFGLDIPARISRKYDVFLSFRGEDTRVSFTSHLSAALQNAGIIVFKDDQSIQRGDHISKSLLRGIEDSVISIIVFSKNYADSPWCLQELVQIMECHKTIGQVVLPVFYDMYPSEVRRQTGEFGKAFQSLLNRFSAAEELYMEQSWRSALHEAAGLSGFVVLSSRDECEIVKSIVANVTRLLDKTDLFIADNPVGVESRVQDMIQLLDSQQSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLGNVREVWEQVTGPTYLQEQLMHDIFKETTKIQSLELGKCMFKERLCHKKILLILDDVNKLDQLNALCGNRKWFARGSRIIITTRDKHILRGNRVDKIYMMKEMDDRESLELFSWHAFKQTSPKEDFSEISRNVVKYSGGLPLALEVLGSYLFDREVLEWDCVLEKLKRIPNDQVYKKLKISYDGLNDDTEKEIFLDIACFFIGMDLHDVIHILNGCGLFAEIGIRILVERSLVTVDDKNKLVMHDLLRDMGREIIREKSPKKPEEHSRLWFHEDVLDVLSEHTGTIDVEGLILKLPSFSTQCFSTKAFKNMKKLRLLQLSGAQLEGDFKYLSRNLRWLYWKGFPLTYIPSNFYQRNLISLELENSNVKLVWKEMQRMEKLKILNLSHSHYLTQTPDFSYLPNLEKLVLEDCPRLSEVSHSIGHLNKILLINLKDCLSLCNLPRNIYTLKSLKSLILSGCLMLDNLEEDFEQMESLTTLMADNTAITKVPFSIVRSKSIGYVSLCGYKGFSRYVFPSIIQSWMSPINHLSPPVQTTTGMSSFISIGSSSRSSHDLSSITISIPKLQTLWLECGSEFQLSQDKTRILNALSALEDSIATTSQVSDVKTSLIVECQSQAHVSTSRNSTKSLIIQMGMSCLITNILKERILQSSIVDGWGYFSLPGDNYPNWLSFNSEGSSVIFEVAQVEERSLKTVVCIVLSLGPDEITSNGLKNVLVINHTKATIQLYKREALSFFENEEWQRVVSTMEPGDKVEIVVIFGNGVVVKKTAVYLIYDEPFCKKMDQFHAPDKNAVLGGHENEYAVKRVSPQVEPSDDFKQKEKRRK >CAK8577359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556860436:556861502:-1 gene:gene-LATHSAT_LOCUS29473 transcript:rna-LATHSAT_LOCUS29473 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIRQRLLHTLRVHVPTESLKRKALELEKKRKMRSSKTKDQFIVPVPESLSYLDTATMPMIVVAVGVAIFAKLLMMYDDSRSQELLERKIKNSPEGQGSVRMLSREEWEKIRELPPRTPFESKFSRPNSRIRTGEPLRLEDLKDWTIDVFMDGVARAEEYGKRRNTK >CAK8532947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554106781:554112982:-1 gene:gene-LATHSAT_LOCUS2606 transcript:rna-LATHSAT_LOCUS2606 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKTGNLLGTNSGNEHGLFPQYNQQESHSGVTNVHNNSNNKNISKEVGRVFASPDVSQDRENRFGLNTENGHVRYEDLTNILGLERMDSVSFSDIGDFIIPKKQPPAQEIENSISANILSKNQKGDNNNGGRGALRKTFSESISEQIGTRPAVLSPVYVHKRSHSNGFSGSGILEDSSSGGKMKFLCSFGGKILPRPGDGKLRYVGGETHIISMQKDISWEELMNKTSSICSHPHTIKYQLPGEDLDALISVSSDEDLQNMIEEYYELESHEGSQKLRIFLVPLGESEETSSTDANTVLQNDPDYQYVVALNGIVDHNPKKNIGGQSLTNEAYHSATSFTFAPTIASSPKEIRDVNNGMSALNPDRILNDPVKLQSLLRISPTPVQVAGSSTCYIQLLGSKSCQGSIDSNASFVTAQMNSGNSSINAADCRDSQQASVPRQHGDIGPPKNLNGQYFDKHNPNNDYSDAVFGGTLHKDRILFSRNPIAQQTESYGTNNELSHGMPHAFSDSQLHPSGAKSIYCSQEGITPSFSLNLEKAELSLTVGVSQVNLVEGQHDPFIHHPQIQSKIPNVESAEMHRRQGVASSSLYSESVGTNDRINKDSTLTGKNYLVAQTDLSGSNFVEKDVQENPMRSERIMTNEEKNPISKKDKNVYEGNPTVNYMSELHLLDTFPTNNISANIGMQYNWDQPREDTLPSSSGMMGLSRNNLMDKTPTDLLDIGQKTSYGRNTDFPARNINLNSPISECAENSRDESSIRDDMFNFSFDPHSLKSAQIQPSQNQIATGFHDSPTINSESSNPAVLHDDVCPSLILPVDGLDSSGKNVSFKNPPSFLNDFITNTDQMVDEFKHEYSASGLSKVESEILGRLKNSERSNDSKLVESFSVAKDATGVVPSYHKSSPAHTPRNFDEVGSDVSQTEVESTIPESDPEDYKDDQTDMNDFLSDAMIAEMEASIYGLQIIRNTDLEELMELGSGTYGTVYHGKWRGTDVAIKRIKKSCFGGRSSEQERLAKDFWREAQILSNLHHPNVLAFYGIVPDGACGTLATVTEYMVNGSLRHVLVKNNRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNSSRVSEKVDVFSFGISMWELLTGEEPYADMHCGAIIGGIVKNTLRPLIPERCDPEWRKLMEECWSRDPEYRPSFTEITSRLRSMSMALQGKGDPQAWQLRPSNVP >CAK8531596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127977412:127978560:-1 gene:gene-LATHSAT_LOCUS1374 transcript:rna-LATHSAT_LOCUS1374 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAGVFARRGFNIESLAIGFNQDRALFSIVVSGLMTFFAKLLSSFRSLSNVLKVDDLSNEPQVERELMLLKMHADPQYRAEIKWLVDTFRAKIVDISEDSVTAEVTGDPGKMVAVQRSFSKFGIKEIARTGKIALRREKMGASAPFWRYSAASYPDLEGKTAINALVGQKSVNPVGKTDMPVGGDVYPIEPSDSFTVNQVLDAQWGFLHDEDTRGIRSHTLSMLVNDAPGVLNIVTGVFARRGYNTQSLAVGHSEVEGISRLTTVVPGTDESISKLVQQLYKLVDLHKVQDITHLPFVERELMLIKIVVNAAARRDVLDIASIFRARAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGSIELARESGVDSR >CAK8532476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269122897:269123544:1 gene:gene-LATHSAT_LOCUS2178 transcript:rna-LATHSAT_LOCUS2178 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVCSLTLSLVLLSFISNLSPAFSKDGSGIIDTNFFPVHQDGRYYIVPSYFAIRVGRLKLAKTGDFSNCPVTVLEQDFPTDDKGVSVKFSIIGTSYDILTGTPIEIEFTKKPDCVESSKWLVFVDKAIQKSCVGIGGPENYPGMEILNGTFNIQKNGLSTYKFVFCVSGYNTCSNIGWYKNGEDGARLILTDKPISGFSFYEAPYEDGVIKSVV >CAK8566321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406763907:406764833:1 gene:gene-LATHSAT_LOCUS19458 transcript:rna-LATHSAT_LOCUS19458 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVALLVFLCVLPAMVSAIRPAKNPFCLKGRVVCDPCRAGYETSAITHIAGAEVALECKDRISNKVVYTKAGKTDPSGEYTMYVDEDRADNVCDVKLVRSPQNSCNEATPGRDQARVILTRYNGIASNDRFANAMLFMANEVASGCAEILQKMRELDDDEN >CAK8571415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:403547687:403549064:-1 gene:gene-LATHSAT_LOCUS24082 transcript:rna-LATHSAT_LOCUS24082 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGETLDFRNLKVISAVGRGAKGVVFLARLYGSSKEEWLALKVISKEFQKKKNNNRTDKYKRVSFEQQILRRFDHPLLPRLKGVLETEKLIGFAMDYCHGGNLHYVKKKQPEKKFSEETIRFYAVELVLALEYLHNLGVVYRDLKLENIMIQQTGHIMLVDFDLSKKLNPKSPNSLSCNSSPGSNSPGVKNRTMKWLSHFNCHCPSMKAELDSVSQIDPNSTCKRSESDSVEKSNSFVGTEDYVAPEVIEGHGHNFAVDWWSFGIVLYEMLYGMTPFNSPNRKETFYKILTMEPELKGEMTPLKDLIVKLLEKDPDLRIQINGIKGHDFFKSVKWNIVLEITRPPYIPPNEIVDKAGFSRKEVESFVHGIFFPKTKNNNTNGEKEKNNEEIKKDGKEKGENEDINDCGNKNVWVEKLSQNQTRDDKFLIF >CAK8539736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523604651:523607033:1 gene:gene-LATHSAT_LOCUS8806 transcript:rna-LATHSAT_LOCUS8806 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSIDSLSPPSPSQYQIGHPRHFYLAVDRLQFKMQTLVDLLDLVGRRSCLPIVVCCSTRDDLDSLCSSLSPLPFISSSALYSDLAEDERAFVLDKFCQVATKWNQVNHAGAGNEDDVGKDDRSHMVIVTDACLPLLTSGESPMNAHLLINYELPAKKETYGRRLAACLTADGIVINMVVGGEVVTLKSIEESTGIVMQEMPMQILDIL >CAK8542108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474386912:474394731:1 gene:gene-LATHSAT_LOCUS10978 transcript:rna-LATHSAT_LOCUS10978 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATSSTRKAKRNNRNLKSQDPELDRLESLPWNSSLPQHDEQDDNTFSLFTGSNELEGGFLSLEEIDEAEYGLNIPDPENHDRKHNSKQNKKLNKQKQDSACSDGEILNDESIKSKAKKKKKKKKKSKDAKENQKVQPLNTDADVKDGVEEETIDETEYYAWNELRLHPLLMKAIHKLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKASNVIGEKGEEAEKYASTGLLRSLIIAPTRELALQVTDHLKAVAKYINVRVTPIVGGILAEKQERLLKARPEIVVGTPGRLWELMSSGEKHLVELHSLSFFVLDEADRMVQNGHFKELQSIIDMLPMSNISSEDNSQDAQSCITVSSVQRKKRQTLVFSATVALSADFRKKLKRSSIQKKQPSTNALDSIETLSERAGMRPNAAIIDLTNPSILAAKIEESFIECTEDDKDAHLYYILTVHGQGRTIVFCTSIAALRHISSILRILGVNVWTLHAQMQQRARLKAMDRFREKENGILVATDVAARGLDIPGVKTVVHYQLPHSAEVYVHRSGRTARASAEGCSIALISPKDTSKFASLCKSFSKDTFQRFPLENSYMPEILKRLSLARQIDKITRKDSQEKAEKSWFDRNASSVDLVTENYDSEEEQVNKHRQKKASSKKLKNLQTELSMLISRPLQSKTFSHRYLAGAGVTPLMQEQLQQLARQKLSDRQGAVFGKKGKLVVIGQDCVDTLHALRSAGEEVRMDIKDSTGRQRNTVSSKRKRKEEKTRLRDQRKKKKRDLNQDDE >CAK8564989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21768072:21770495:-1 gene:gene-LATHSAT_LOCUS18233 transcript:rna-LATHSAT_LOCUS18233-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVAHFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKRLYDLQPRSSVSWKTNSSHILIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8564988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21768072:21770540:-1 gene:gene-LATHSAT_LOCUS18233 transcript:rna-LATHSAT_LOCUS18233 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSCYNILLISIIMLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVAHFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKRLYDLQPRSSVSWKTNSSHILIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8531059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76338583:76341620:1 gene:gene-LATHSAT_LOCUS875 transcript:rna-LATHSAT_LOCUS875 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNIISPNQDVGEMVVFETLRKVKRLRLFEPSLVVVGFFLVFVVTTCCFFYLDFRELGGRFGELKRLSWSTEHDEDSNRVDFVGEKGDGCDLFEGNWVWDEKYPLYESKDCEFLDLGFRCSENGRPDLFYTKWRWQPKHCNLPRFNATIMLEKLRNKRLVFAGDSIGRNQWESLLCMLSSEVPNKESIYEVNGNPITKHKGFLVFKFKDYNCTIEYYRAPFLVLQSRPPTGAAEEIRTTLKLDQMDWSSRKWSHADVLVLNTGHWWNYEKTIKGGCYFQEGEEVKLNMKVEDAYKKSIETILNWIQDSINPSKTQIFFRTYAPVHFRGGDWKKDGNCHLETLPEIGSSLVPKDNWSQFKIANSAILEHTNSSQVMMKLKILNVTKMTGQRKDGHSSKYYLGRNENPHRQDCSHWCLPGVPDTWNELLYALFLKYETSHRWNLQHTTH >CAK8568884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651145372:651147675:1 gene:gene-LATHSAT_LOCUS21793 transcript:rna-LATHSAT_LOCUS21793 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIESQMEPLTSGASNRIIPLLKAFRGSLIFVYTFFLSFILFVGRNKVAVPGSPKRNLKKRWLVREEEDTFRRRALAQDVGMGRDDGCCRWNTSIFYGVRNNALFCRSWFPVFGDLKGIMIIIHGLNEHSGRYADFARQLTLCNFGVYAMDWIGHGGSDGLHGYVPSLDQVVADTGAFLESIKSENPGIPCFLFGHSTGGAVVLKAASHPQIEAMLEGVILTSPALRVKPSHPIVGAVAPIFSLVAPRFQFKGANKRGIPVSRDPAALVAKYSDPLVYTGPIRVRTGHEILRISSYLMRNFNSVTVPFFVLHGTADKVTDPLASQDLYNKAASEVKDIKLYDGFLHDLLFEPEREEIAQDIINWMEKRLFNSI >CAK8543832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:642168018:642169275:-1 gene:gene-LATHSAT_LOCUS12562 transcript:rna-LATHSAT_LOCUS12562 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNPHSEIQLPPGFRFHPSDEELVVHYLRNKVTSSPLPASFIAEIDLYKYNPWELPSKALFGEEEWYFFTPRERKYPKGLRPNRAAGEGYWKATGTDKPILTSCGLESIGVKKALVFYKGRPPKGSKTEWIMHEYRLHHSVISNSNHSGSMRLDEWVLCRVRQKTGSPRRSLEDSNELSYYESTSQFQQMKESSNPEPVKNYMQNEYPMLPYILASSNSVLPNTIGMSSTNVKPHDSFYEENNLNIIGAQFLSSATEGLFNNPLKRKAVENNSETDFYALLNQNLSREVEKDLSKGYNFNNFDQWSSIIQPQEVNSLAFTEYT >CAK8560803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:45061346:45063591:1 gene:gene-LATHSAT_LOCUS14450 transcript:rna-LATHSAT_LOCUS14450 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGQKVDLYKLFTAVKGKGGYEVVCDRELWDSVGEECGLGVDVGSSVKRVYTEYKSVLEGCLEKLVSGKVSYERVLLESLAEVKALLYQTENPVAGEEVKGGVESDMTDVGKLSEGNDDANKVVEEVETEVDASNAVKSGESGLLNGEGRDGGHGKSYGLKRKREPLPEMMSWITCVANNPCDPEIGYMPEKPRWNSYDSQEAWKQVLLFREAAFHKKQGSIEKLNWQNQKMHPCLYDDAATTAYNLRERLKHDKKLLVEKPKSASPSSSNSSSDERVAKHLRDSVSTPSSFEVWADACICVGESYQAQLPEWTGMASESDPKWFGTQVWPPLEAVNSRFLIERDPIGKGRQESCGCAVSGSIECVRFHVSERKAKVKLELGAAYDQWQFDKIGEDVRKLWNIEDEKKFTEVIQSNPPSSARHFWDHIFRAFPNKSSASLVSYYFNVYLLQRRAYHSRHTADDIDSDDEESFCKLKSVFGQQKSRASAFLTPKKRHRKRR >CAK8565801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336338307:336339850:1 gene:gene-LATHSAT_LOCUS18982 transcript:rna-LATHSAT_LOCUS18982 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLYKVDTTPRLAQWRIENLASCTYRKSDPFKIGNWNWYLSVEKHKVVFVKLFPEISNVTRDNPPIASFIMRVVSSALGDRKALTHPEIKDKVIKSNEDFVWSLEVPLSGKFIIDVDFLDLKIASPDGGEPCSIWGGEGFTQERSNAKALGSLGKMLRKGIHTDVTINASDGSIGVHRAVLASRSPVFQSMFSHDLKEKELSTINITDMSIETCQAFFNYLYGIIKNEEFLTHRLALLHAADKYDISDLREAIHESLLEDIDTKNVLERLQNASLYRLTKLKMSCIQYLVKFGKIFEIRDDFNTFLQNADRDLISEVFAEVLGAWKGY >CAK8574013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654045216:654046038:1 gene:gene-LATHSAT_LOCUS26405 transcript:rna-LATHSAT_LOCUS26405 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTTKSGAGGGSGGTTRFLVKTYHMVEDLYTDNIVSRSQSNNCFIIMDPDECVSNLSKYFRHNNFSSFVRLLNTYGFHKIKHDQWEFSNEYFLKDQYYLLGNIHRKKTVHSHSLGEVERLALEEEIEKLANEKASIELDISSFNQYMPTKKLHVVNLVQRLKASGYRHNNLKNSFELVLQYPKFVKKNK >CAK8577285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552752287:552753684:-1 gene:gene-LATHSAT_LOCUS29406 transcript:rna-LATHSAT_LOCUS29406 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESCVHVVMFPSAGMGHLTPFLRLASLLLKHHCKLTLITPLPTVSDAESRLLSHFHSSFPQLNLLPFHLPPPPSPSPTSVDPFYHRLQTLRHSAHLLPPLISSLSPSISIFISDIFLLTPLLSITQKLSLPNYALFTSSAAMLSFFSHFPTLAQSKSDDDAPEISFPVPGLPFSPLPYSYISPILLEPTTIFGNQIREDSPNLSKFHGVFVNTFESLESHSLKALNDGQVIKNMPPVYAVGPFLPFEFDKKNTSTPLTIWLDDQPIGSVVYVCFGSRTVLKREQMREIGNGLMRSGYKFVWVVKDKIVDREDQEVGLDEVLGVELVEGMKEKGLVVKEWVDQSEILSHKSVGGFVSHCGWNSITEASLNGVPILAWPQHGDQKVNAKLVEISAWGIWKKSWGWGGERVVKGDEIGDAIKEIMENEVLKEGAMKVKEGAMKAISNGGECEVTIQKLIQKWKNNV >CAK8567663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534531858:534532893:1 gene:gene-LATHSAT_LOCUS20695 transcript:rna-LATHSAT_LOCUS20695 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKARVEGSICTNYIHRETNYFCSHYFNSLGLLPNINICNNPRLDHDDILPTMSLLESGGRPSGKSGKHYLSDKEWKSSHVHVLINCDEVKPYLDIFLKSHSISIEDSSGQIHTEFPIWLKKYVNEKTNGVTNKDIIALSHSPSSLAISWNMYFVNGYKFHTEEWSKGKKKTVNCGVHVKGLAEGGKDDFYGIIKHIYELDYFGLKEKIPLFYCEWFDPTKNTGTKVHPQYKIVDIKMDKRYRPYDPFILAQNARQVYYVPYPEMCRDVRGWCATITTKPRGHVMIDNIEDEMPYQSFYPLSKLNQYLVCVTTHK >CAK8543536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617363215:617367265:1 gene:gene-LATHSAT_LOCUS12287 transcript:rna-LATHSAT_LOCUS12287 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVVRVKRETIAACMTCPLCNKLFKQATTISECLHTFCRKCIYDKFTDEDLECCPECNIDLGCVPLEKLRPDHTKQDVRAKIFPLKGRKVKEPEVVAASVPLPAKRKERSLSSLVVNTPRVSAQSTMTGRRTKPTRKASSLRSSSFSFEKSIKKEEALLDDRLGSSSSPETSNKLRQNNGQSEGSQSTPNKETENGAETWDAKLDLWKPLNCLVEVASRTKSFKSNTQGSTAKLEPIQVNESDSQVQKIKNKENKRKAKPEDEKNSPFPVSSDTAKPNKLRRVRRKKETFGESGISPQAVVDSTSNKLFMSGPIWFSLVASENQEGDAPLPQIPASYLRIKDGNVPVSFIQKYLMKKLDLMSETEIEIKCMGQPVLPTLKLHSLVELWLDSAASASDRIPAIIGSSAKDFVMVLAYARKTPHPPHP >CAK8533133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581859652:581860542:1 gene:gene-LATHSAT_LOCUS2781 transcript:rna-LATHSAT_LOCUS2781 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSTNTNLRLSGKIAIVTGGASGIGETTARVFANEGTRVVVIADIQDELGEQVAASIGNQRCIYVHCDVTDEDQVQSLIQSTVNTYGQIDIMFSNAGFINSTNQTLMELDMSKLDRLFAVNVRGMALCVKHAACAMVEQRVRGSIVCTGSIGGSHGGSRSTDYIMSKHAVLGLMRAASVQLAPHGIRVNSVSPNGLATPLTCKLLGMSEENAQETYKNLARLEGVVLTPKHVADAVLFLVSNDAEFITGLDLRVDGGFVYGKL >CAK8535220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827066614:827069587:-1 gene:gene-LATHSAT_LOCUS4693 transcript:rna-LATHSAT_LOCUS4693 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSTPFDSTQDPDFNRPIVDFSPSIWGDVFLQYDSKSTEINDNKQAQMPKEEVKKFFQSSSNNNISQKLNIIDSLQRLGISYHFESEIDEALEQIHNSLIINNEIITKEDGLHFPALAFRLLRKKGHHISPDIFKKFKNNKGIFNEKVDKDVEGMRSLYEAAHLKIRGEDILDEALDFTQARMNSKITTNQLSTFLRAQVTKCLRKPLHKGIPRLETRCYISSYEQDPSRSKVLLNFAKLDFNMLQKMHQKELASITKWWKKSDFPTEVPYARDRVVEAYFWPLAISYEPKYATSRKIGGKLVSCISLLDDTYDAFGTVEELEIFTEAIQRWDFSLIQSLPECMKVVFKTVVGLWDEIEMTLLENGKSNFVLPYIKHAFYNLAQSYLVETKWGNEGFIPTYDEYFANGLISSTIPLQLVSFIGLGEFSNKELLDWISSDPTIIHAVSAIGRLADDVSSHKFEQQRLHVASAVECCMKQFDVSQEEAYRLIQKDVEDFWMDINEECLKLRSIPRSALDCIVNVARVTEFTYENYEDKYTNGELLKDYVVQLLIDPITTGERK >CAK8536846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:27910573:27911751:-1 gene:gene-LATHSAT_LOCUS6173 transcript:rna-LATHSAT_LOCUS6173 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNSRCVAVKRDWANLDSLALNLILDKLKEPFHHIWFGSVCKNWHLIAKLNHHGNIQFRSNMLPMLMIPSEKTTEKRNLYSVVANRVYPFEFTTLNQKRCCGSSYGWLATVDADNIITWVNPFKDVAPIILPWIDIYMKYKHCEFNIRKVTLSADPITSPDDYVVAAIYTNRGALAFIKAGQEFWTYIKENHPCGFVDLTFYKGLVYAVSRWKKIVSFELCYSSDPHDPYGRERRNPNVVLERSEDEIYSPLTYLVKSLEGELWMVRRFITREEDSTNKGTKDFHVFKLKLDHTGENLIHLSKLESLGDNVLFVGDGDSTSISASYFSNSLQKNSIYYSDNYFDDEPNPYPQGPFDLGFYNVKHASFGLHCPYKSYFKNMTPPIWIAPYF >CAK8576935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526029137:526034559:1 gene:gene-LATHSAT_LOCUS29090 transcript:rna-LATHSAT_LOCUS29090 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVERGMSSKRGMHLVWENLSVVIPNFGNGHTKRLLNGLSGYGEPNRIMAIMGPSGSGKSTLLDALAGRLSRNVIMSGNVLLNGKKRSLDYGVVAYVTQEDIMLGTLTVRETISYSANLRLPTTMTKQEINDIVEGTITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTRPCLLFLDEPTSGLDSASAYFVAQTLRNIAHDGRTVISSIHQPSSEVFELFDDLFLLSGGQTVYFGPAENAVEFFAKAGFPCPSRRNPSDHFLRCINSDFDTVTTTMMASRGTHEQKTLSTAATKAVLVEKYRWSEYATCARARIKQMSNFDGRDYESKSKSQVKWWKQLSTLTQRSFVNMSRDVGYYWIRLTIYVALSLCVGTIFFQVGSSYQAIYARGACGAFISGFMTFMAIGGFPSFIEEMKVFYKERLNGYYGIAVYVLSNFLSSFPFVAAMSFATGTITYYMVKFRPEFSRLLYICLDLLGCIAVVESSMMIIAALVPNFLMGLIIGAGYIGLMMMTAGYFRKIHDLPKFFWRYPISYINYGAWGLQGAFKNDMIGMEFDSFIAGGPKLKGEIILTTMLGIKVDYSKWWDLAVVIFILVLLRVIFFFILKFKERVVPFLHSIYTKQTLQRIMKRPSFRKTPSFASKRHQPMHPLSSQEGLNSPCH >CAK8530534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28237558:28238514:-1 gene:gene-LATHSAT_LOCUS392 transcript:rna-LATHSAT_LOCUS392 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFAVKTLEQTIQISKEIQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAVMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKSGSEIIAICYRIYYKVLTTLNPKANHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFLETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQTREEIEVVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFQL >CAK8536040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901920870:901942750:-1 gene:gene-LATHSAT_LOCUS5442 transcript:rna-LATHSAT_LOCUS5442 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNDDIRFVSSSIKIPLPSKPLNTPFQTARSHFTNATNSFSQLIHSFRTHSTELTRSVFRKSHSLCSATLSLTDEKKGLSLSLAEETQLKTRQNEDRVLISEVLVRNKDGEELERKDLEAEAVQALKACRPNSALTLREVQDDVHRIINSGYFCSCLPVAVDTRDGIRLIFEVEPNQEFQGLVCEGAKVIPAKFLENSFRNGHGKVINLRRLDEAISSINDWYMERGLFAMVSAVEILSGGILRLQVSEAEVNNISIRFLDRKTGETSVGKTKPETILRQISTKKGQVYSMHQGKRDVETVLTMGIMEDVSIIPQPADTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLRGLIGSFAYSHRNVFGRNQKLNISLERGQVDLIVRANYTDPWIQGDDKRTSRTIMIQNSRTPGTVVHGNQDGSSNLTIGRITGGIELGRPIRPKWSGTAGLIFQRAGVCDNNGVPIIRDSFNSPLTASGNTHDDTLLAKIETVYTGSGEHGSSMFVLNMEQGLPVLPDWLSFTRVNARARKGVDIGPARFNLSLSGGHVVGNFSPYEAFTIGGTNSVRGYEEGGVGSGRSYVVGSGEISLPMMRWPVEGVIFSDYGTDLGSGSTVLGDPAGARNKPGSGYGYGLGIRVDSPLGPLRLEYAFNDKEEKRFHFGVGYRN >CAK8563176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580638281:580638799:-1 gene:gene-LATHSAT_LOCUS16610 transcript:rna-LATHSAT_LOCUS16610 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKKKESSSSLCNKELFNVFSSHRHCESKRKGKCDDHEVQQANKSEIQKESNSNTTQSNQGNRVNVATVVECLGKVMLSINKVGLEAPRDNGGIQNRKWNRRKIVKNGEVAPAKTQKPNNFKRQLVDVMITEGLIEDCGVGENKQKQTEVGSDFLINQPEVVLDGQHRLF >CAK8562411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486371910:486372210:-1 gene:gene-LATHSAT_LOCUS15908 transcript:rna-LATHSAT_LOCUS15908-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHVHELINCVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSPEIIHLRSLSEGPH >CAK8562410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486371910:486372339:-1 gene:gene-LATHSAT_LOCUS15908 transcript:rna-LATHSAT_LOCUS15908 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTIRNEVHFNKRSQFTLSVFGRPGRPSGKTSVHWFSEKEMQSAHVHELINCVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSPEIIHLRSLSEGPH >CAK8574754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8614627:8619043:-1 gene:gene-LATHSAT_LOCUS27067 transcript:rna-LATHSAT_LOCUS27067 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKSNSFAVMKNLLASVSLVALVCVANALTKSDFPPHFLFGASTSAYQVEGAANEDGRKPSIWDTFAHAGNGGHYKGDGDIACDQYHKYKDDVKLMAKMGIDAYRFSISWSRLIPDGRGPINPKGLEYYNNLINELTSQGIQAHVTLHHWDLPQPLEDEYGGWVNRRVIKDFTAYADVCFREFGDRVKHWTTVNEGNVCSLGGYDTGNLPPQRCSSSSISNCSKGNSSTEPYLVTHHMLLAHASAARLYRTKYKAKQKGFIGFNLLVFGFVPLTNTSEDIIAARRARDFYIGWFLNPFIFGEYPDSMKKNAGSRLPYFTIKESNLVKGSIDFLGINFYYAFCIKNDPKSLQKKDRDYTADMAVEATLYTGNDTTEDEVPAIPWILEGLLQSLKNDYGNFPIYIHENGQQTRRNSSLDDWSRVRYMHQYIGSLLDMLRNGLNIKGYFVWSFLDAFELLSGYESSFGLYYIDLNDPTLRRQPKLSSVWYSNFLNNKTMDSKITMKIEEHSSISNTPLMHTAT >CAK8574755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8614627:8619013:-1 gene:gene-LATHSAT_LOCUS27067 transcript:rna-LATHSAT_LOCUS27067-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLASVSLVALVCVANALTKSDFPPHFLFGASTSAYQVEGAANEDGRKPSIWDTFAHAGNGGHYKGDGDIACDQYHKYKDDVKLMAKMGIDAYRFSISWSRLIPDGRGPINPKGLEYYNNLINELTSQGIQAHVTLHHWDLPQPLEDEYGGWVNRRVIKDFTAYADVCFREFGDRVKHWTTVNEGNVCSLGGYDTGNLPPQRCSSSSISNCSKGNSSTEPYLVTHHMLLAHASAARLYRTKYKAKQKGFIGFNLLVFGFVPLTNTSEDIIAARRARDFYIGWFLNPFIFGEYPDSMKKNAGSRLPYFTIKESNLVKGSIDFLGINFYYAFCIKNDPKSLQKKDRDYTADMAVEATLYTGNDTTEDEVPAIPWILEGLLQSLKNDYGNFPIYIHENGQQTRRNSSLDDWSRVRYMHQYIGSLLDMLRNGLNIKGYFVWSFLDAFELLSGYESSFGLYYIDLNDPTLRRQPKLSSVWYSNFLNNKTMDSKITMKIEEHSSISNTPLMHTAT >CAK8563018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566749272:566750444:1 gene:gene-LATHSAT_LOCUS16468 transcript:rna-LATHSAT_LOCUS16468 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHLCTSCNNTSLSRDDDTGGLFCASCGIVQPFDQYKSFTGGINGPQGTFVHIGTSGSGNFYSYKDRKLLSTRNSIEEFTNRLGICSKTIEIKFMISDITDGEFGQGNWFQVLIEACYYVVMRKSDRPLPMAEIANALACDVYELGKMILRVIDFLDLRGSDFPEFDIVYSLERTINSSCCFDDVDRSLIDKMKKKGVFLLQCAVKLFLSTGHRPLPLVVAILVLVAEINQVEVRKEDLAKEVHVVVSTCRTRYKELLETLVKISQVLPWGKDITKKNIVKNAPFVIQYMEKKSMLKPVEKRKNLDQTRFDLEEVVAECLAQENGYEYGVDGLVSPKYSQYLSLPSNTDREEIRDIDTSQISPECLSQIYEKFLNENRGAMLSRTINV >CAK8543936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650458547:650460154:-1 gene:gene-LATHSAT_LOCUS12658 transcript:rna-LATHSAT_LOCUS12658 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLMPFVDKDPSIKVSVCISKIVSEFNFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNNNIFPVAFALVEGETAEGWVFFLRNLRRHVAPQPDLCLISDRHASIESAYNNAENGWHDPPSVHVSCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMANADALRWIDNIPAEKLTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFYYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPMMPCDEYFPVYEGDQICHNPRMRRNKKGRPVSTHIRTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8568840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:645172073:645175368:-1 gene:gene-LATHSAT_LOCUS21756 transcript:rna-LATHSAT_LOCUS21756-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYKYQTRATKVNRTITAQMHPKQLNRTKTTQTRAIELNRTDTETEIDETDLEDETVLGKNDAIQIEKEFRDAFMKMLGERRAPQKDPVTVEIAKPAPNPCYPNPDEEEIMNACPRKDIPNFKDLLVEENLYLNIEEGDQGKLPLLILSLKETDKQKKRPAVVFNHGSDTSKEYMRPLLEAYASRGYIAISVDARYHGERAKNKDTYIESLVSAWKTGATMPFIFDTVWDLIKLAEYLKNHRQDIDSSRIGITGISLGGMHSWFAAAVDPTYSVVVPIIGVQGFRWAIENDKWQGRVESIKKVFEVASEDLGKSEIDKEVVEKVWDRIAPGLTSIFDSPYSIPSIAPRPLFILNGEEDPRCPRAGVRKLMRNLKRMYIAFGHEKFKYYQEPETVHQITKLQMRKSADWFDHFLKP >CAK8568839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:645172073:645175503:-1 gene:gene-LATHSAT_LOCUS21756 transcript:rna-LATHSAT_LOCUS21756 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLTMFPSPKTSLKMHAAIPFPSPKTTNKMHATMLFPSPNTTLKMSHYKYQTRATKVNRTITAQMHPKQLNRTKTTQTRAIELNRTDTETEIDETDLEDETVLGKNDAIQIEKEFRDAFMKMLGERRAPQKDPVTVEIAKPAPNPCYPNPDEEEIMNACPRKDIPNFKDLLVEENLYLNIEEGDQGKLPLLILSLKETDKQKKRPAVVFNHGSDTSKEYMRPLLEAYASRGYIAISVDARYHGERAKNKDTYIESLVSAWKTGATMPFIFDTVWDLIKLAEYLKNHRQDIDSSRIGITGISLGGMHSWFAAAVDPTYSVVVPIIGVQGFRWAIENDKWQGRVESIKKVFEVASEDLGKSEIDKEVVEKVWDRIAPGLTSIFDSPYSIPSIAPRPLFILNGEEDPRCPRAGVRKLMRNLKRMYIAFGHEKFKYYQEPETVHQITKLQMRKSADWFDHFLKP >CAK8563497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610265912:610266517:-1 gene:gene-LATHSAT_LOCUS16897 transcript:rna-LATHSAT_LOCUS16897 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLANAETHRRVTTLLSPFHVITHRQHNLFFDGAASELSSRRAVLRLRFYGNDERCVVSLKAKAVLVDGVSRVEEDEEDLDPKVGRDCVAEPGKLGLLESRILKRVKEEFGVVGENGFLGLGGFRNVRNVYDWKGLKLEVDETDFDFGTLYEIECESADPEEAKRILEEFLKENQIDYSYSVASKFAIFRSGKLP >CAK8561194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117269334:117269888:1 gene:gene-LATHSAT_LOCUS14806 transcript:rna-LATHSAT_LOCUS14806 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNMDIPENNTFLLPRDILTAADHLIGMKFGMGTLDNMNHLKNKRIRSVADLLEDQFGLALVRLENIIREKMCRAIRDKLIPTTENLVSSTPLTTTYESFFRLHPLSQVFDQTNPLSQIVHGRKWSSLGPGGLTAQTASFRIRDIHPSNYGRICPIDTSEGINVELIGPWQFTQGLVVGGL >CAK8560848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49643761:49645567:1 gene:gene-LATHSAT_LOCUS14492 transcript:rna-LATHSAT_LOCUS14492 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYNETYLKLIRGGSKSSHKKRSLFSKKAKVTTTVVSLFCLVATFCYFGPSNNYIISGDSVRNQNPSSIHINIHKEQEFPLRCTKRNKTEAQTCPRDYFPTKHNPTNQNSNVCPSYFRWIHEDLKPWREQGITKEMLEGARRTAHFKIVIVDGKLYVERYRKSFHTRDVFTLWSIVQLLRLYPGKLPDLELLFDCEDRPVIRLDKFQGPNASPPPLFGYCSDQSSLDIVFPDWSFWGWAEINIKPWNGILKDIKEGIERTKWKDRVPYAYWKGNPTVAATRKDLLKCNVTSENDWKNHLYIQDWKKETHEGYKESNLGNQCTHRYKIYIEGISWSVSEKYILACDSMTLYVRPNYYDFFIRGMVPLQHYWPIRDDSKCTSLKFAVEWGNNHADKAQAIGEAASRFMQEDLDMNNIYDYMFHLLDGYAKLLRFKPTIPLGAEELCSETMACDYKGTHRKFMEESMVMFPRDSNPCIIPPPYDSLTLQEVLERKANSTRQVEIWEDEFLLHKNNAQ >CAK8535549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:862404834:862434514:1 gene:gene-LATHSAT_LOCUS4998 transcript:rna-LATHSAT_LOCUS4998-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAKEVGTRRDLLQRWRSIEEEEGDADDDDPSRLHLHKEKWFADAYKFLIALPSENHIWCASWDIMGPLLETFYNYYKDDRQQSPLRQLWNRISNEMRHCLQCISQHHQAQDTYSMEYDSTSIAPLLDILRKLDYERVTSHLTDINAKIIGKEYDSARDNAQVVNVLYEVLMFPILLDYQPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGRVRSAVDLEPLQPLLKKFIGCLEVDTLPLVMETSTPKTPLDRMSIWIGIKSLLGFLEPPAFEEGILENYPFFLDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLSSTLSPNAMRNTLLGQCFHIRNEKIHIDIFGLFQPFLQSLEALHDGEHEKQRRHFLYFLLHQVPASSNFSILTRRLARQMALLIVHRGYKMSPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIVSDATALIYSVLNCCVTRSIDSSMAYEFLKLDDETDDILLSSILDGEKQDCNSSWNEFNVQSGIASQECRDWMCIPMLWVDVLVDISPSILPLSFSKAVFWARSRFPMVELESSAEMMLPVRSCLSSFAAEISSSFGWRVPTGSNDGGDGNKSKNSVEVLTMSFPLIRTFNRFTTHFLVQMRQGELRSQWIWEPLMSQSLILSLLDPNDDVRQFGKSMLEHVSDTRGLSCGLKFLCSHRPSLHATILGLKHAMKLVQLDSVLLKFHILHHFWFLLCKLLKDEGVLGPELPENRHGDSCVPKFSSQGGFLKQPAFDSLPVDIDKHVINVEPKIKETFSRLLSEMAWPVFCRCLVKGKEFIDYNFCQMTCVRLLEILPVLVDKLFLFGREELRNFAMLVKNKLGVKWIHNLMEWGKSMLKVVIIYWKRALTYLLNLFKGSSNKTSASAIMIIENIISSNGYTLEELTEHVSRLTMSLSSEDSHNFQDENAKFKSSLTKSMSFKNNFLSSDIHSSSTEDKGLQILDSEVMTGKKDTETIVILSDDEFEPNMFSNSILSVSETGQNISDGNVMPHTAGNSSSASGRAIQNVAYMKTSKEIKEAFQKKDTAEAFSLSSQKQDSGNLRNKLAVAPYVDSKGPVSCKREGISKSKDRVNSIKSSVETVSANSLNRTSSNMASKISDTLSRPGNKVLCDFRDSEDDLLETALKSVGRTQLYVPKPTSILKRQVIQLKTTLENRSGCLHKAGDQTRRFKLPSLDDWYKPILEIDYFAIVGLSSARKDESRAVNKLKEVPVCFQSAEQYVEIFRPLVLEEFKAQLQNSFLEMSSWEEMFYGSLSVMSIERIDDFHIVRFVHDDGDSATCKSFSENDFVLLSKDPPQKSNHDVHMVGKVERREKDNKRSLSIIIIRFYFQNGSSRLNQARRNLTERSKWHGCRIMSITPQIREFHALSSVKHIPLLPLILNPVKDSFYHDEFKEVDLNKLCQSLQQTLRSSFNVTQLQAISVSIGRSKAKKTVELSLIQGPPGTGKTRTIVAIVSALLASSPHRMNGLKNPLEENMTQNSFSTYSRPKINQRAAIARAWQDAAMARQLINDGQSSSKSLENCARRRILICAQSNAAVDELVSRLSSPGLYGSNGKMYKPYLVRVGNAKTVHQNSLPFFIDTLVDQCIAEDRMHSKDGKNDLRVDSSAVLRSNMEKLVDSIRFYETKRANLRDGDSDVKSRVGDETKMSDAEIEKKLCKLYEQKRQIYKDLRNVQAQEKKANEETKTLRHQLRKSILREAEIVVTTLSGCGGDLYGVCSERMLCSKFRVPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTQCIMVGDPKQLPATVLSNVASKFRYECSMFERLQRAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGSQMSSKSAPFHQTEGLGPYAFYDIIDGREAHGKNSGAMSLCNEHEADAAVEILRFFKKRYPAEFIGGRIGIITPYKCQLSLLRSRFLNAFGSSTIADIEFNTVDGFQGREVDILLLSTVRAAHSSTTTSQINSSSIGFVADIRRMNVALTRAKLSLWILGNARTLQTNNNWSALVKDAKERNLIMTAKIPYHSMFKTANSKRVCENSDNHARPLKHVEKVKDNCHNVPKKMVNDNYTLVGKNKCVSEVKDRNKGSRDENNFSVLEKTSLTKEKDSKNKHISIKKDTVCVDAEREDKYKMNMSLGKTPSSKRQSKFQNSTNDLDYRVEKTGGGHKASKPSKSEKLSMYPGGDRNSSTEVSTSSMKGCHEERDANNQGKASNQSMVAEISKRKKQREAVDAILNSCLISTKKDERSTKVSAKRSFSSSGADRSIRPPKKRSVRPDE >CAK8535548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:862404828:862434514:1 gene:gene-LATHSAT_LOCUS4998 transcript:rna-LATHSAT_LOCUS4998 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMAKEVGTRRDLLQRWRSIEEEEGDADDDDPSRLHLHKEKWFADAYKFLIALPSENHIWCASWDIMGPLLETFYNYYKDDRQQSPLRQLWNRISNEMRHCLQCISQHHQAQDTYSMEYDSTSIAPLLDILRKLDYERVTSHLTDINAKIIGKEYDSARDNAQVVNVLYEVLMFPILLDYQPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGRVRSAVDLEPLQPLLKKFIGCLEVDTLPLVMETSTPKTPLDRMSIWIGIKSLLGFLEPPAFEEGILENYPFFLDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLSSTLSPNAMRNTLLGQCFHIRNEKIHIDIFGLFQPFLQSLEALHDGEHEKQRRHFLYFLLHQVPASSNFSILTRRLARQMALLIVHRGYKMSPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIVSDATALIYSVLNCCVTRSIDSSMAYEFLKLDDETDDILLSSILDGEKQDCNSSWNEFNVQSGIASQECRDWMCIPMLWVDVLVDISPSILPLSFSKAVFWARSRFPMVELESSAEMMLPVRSCLSSFAAEISSSFGWRVPTGSNDGGDGNKSKNSVEVLTMSFPLIRTFNRFTTHFLVQMRQGELRSQWIWEPLMSQSLILSLLDPNDDVRQFGKSMLEHVSDTRGLSCGLKFLCSHRPSLHATILGLKHAMKLVQLDSVLLKFHILHHFWFLLCKLLKDEGVLGPELPENRHGDSCVPKFSSQGGFLKQPAFDSLPVDIDKHVINVEPKIKETFSRLLSEMAWPVFCRCLVKGKEFIDYNFCQMTCVRLLEILPVLVDKLFLFGREELRNFAMLVKNKLGVKWIHNLMEWGKSMLKVVIIYWKRALTYLLNLFKGSSNKTSASAIMIIENIISSNGYTLEELTEHVSRLTMSLSSEDSHNFQDENAKFKSSLTKSMSFKNNFLSSDIHSSSTEDKGLQILDSEVMTGKKDTETIVILSDDEFEPNMFSNSILSVSETGQNISDGNVMPHTAGNSSSASGRAIQNVAYMKTSKEIKEAFQKKDTAEAFSLSSQKQDSGNLRNKLAVAPYVDSKGPVSCKREGISKSKDRVNSIKSSVETVSANSLNRTSSNMASKISDTLSRPGNKVLCDFRDSEDDLLETALKSVGRTQLYVPKPTSILKRQVIQLKTTLENRSGCLHKAGDQTRRFKLPSLDDWYKPILEIDYFAIVGLSSARKDESRAVNKLKEVPVCFQSAEQYVEIFRPLVLEEFKAQLQNSFLEMSSWEEMFYGSLSVMSIERIDDFHIVRFVHDDGDSATCKSFSENDFVLLSKDPPQKSNHDVHMVGKVERREKDNKRSLSIIIIRFYFQNGSSRLNQARRNLTERSKWHGCRIMSITPQIREFHALSSVKHIPLLPLILNPVKDSFYHDEFKEVDLNKLCQSLQQTLRSSFNVTQLQAISVSIGRSKAKKTVELSLIQGPPGTGKTRTIVAIVSALLASSPHRMNGLKNPLEENMTQNSFSTYSRPKINQRAAIARAWQDAAMARQLINDGQSSSKSLENCARRRILICAQSNAAVDELVSRLSSPGLYGSNGKMYKPYLVRVGNAKTVHQNSLPFFIDTLVDQCIAEDRMHSKDGKNDLRVDSSAVLRSNMEKLVDSIRFYETKRANLRDGDSDVKSRVGDETKMSDAEIEKKLCKLYEQKRQIYKDLRNVQAQEKKANEETKTLRHQLRKSILREAEIVVTTLSGCGGDLYGVCSERMLCSKFRVPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTQCIMVGDPKQLPATVLSNVASKFRYECSMFERLQRAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGSQMSSKSAPFHQTEGLGPYAFYDIIDGREAHGKNSGAMSLCNEHEADAAVEILRFFKKRYPAEFIGGRIGIITPYKCQLSLLRSRFLNAFGSSTIADIEFNTVDGFQGREVDILLLSTVRAAHSSTTTSQINSSSIGFVADIRRMNVALTRAKLSLWILGNARTLQTNNNWSALVKDAKERNLIMTAKIPYHSMFKTANSKRVCENSDNHARPLKHVEKVKDNCHNVPKKMVNDNYTLVGKNKCVSEVKDRNKGSRDENNFSVLEKTSLTKEKDSKNKHISIKKDTVCVDAEREDKYKMNMSLGKTPSSKRQSKFQNSTNDLDYRVEKTGGGHKASKPSKSEKLSMYPGGDRNSSTEVSTSSMKGCHEERDANNQGKASNQSMVAEISKRKKQREAVDAILNSCLISTKKDERSTKVSAKRSFSSSGADRSIRPPKKRSVRPDE >CAK8573138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589007383:589007583:-1 gene:gene-LATHSAT_LOCUS25619 transcript:rna-LATHSAT_LOCUS25619 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGQFPTKGLNGASSGDIGWYFGTPESGSYSNVRCKLCYVVIKGGITRLKQHITQIERTSSGLW >CAK8534690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755513898:755515916:1 gene:gene-LATHSAT_LOCUS4210 transcript:rna-LATHSAT_LOCUS4210 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRKASVVTASSLLRFCNGCYMSRTICTAAERWDSQFSSGFKTDVSSGYQHNQAGFYQQNTSGSYRNNHASSEQSSYGAGRTAGRGQVNITRNVVPNNLAEHNGGVDGDFVQSNMKMQHDVGVGVDNSRGFRMHPNTFEKHNWTSESVEKVEYSNACSFPRPLEYQGHPKGNVTQNIGHFQPTRNDYYTSNERGQQNVTQNIGHFQQTPNDYYTRSNQMGQQYSAYGQSQQSVGGQYPPNLNSAQKSTVGSHLSSNPKPDGESVDASNNSPYRGTLEELDSFCMEGKVKEAVDVLQMLEKLHIHVDLHRCLQLMHQCRKARSLEEAKVVHRHALQHLSPLNVSTCNEILEMYFECGSVDDAVKVFKNMTECDLTTWYTMIMQLAKNGFAEDSIDIFTQFKSLGLKPDGQLFIGVFGACSMLGDISEGMLHFETMSRDYGIVPTMAHYVSLVDMIGSIGHLGEALEFIEKMPMEPSVEVWETLMNCCRVHGNTELGDRCAELVEKLDPSRLNEKSKAGLLLEETSDSIKNKEQNKLASKNLLEVRSRIHEYRAGDTSHPENDKIYALLRGLRVQMKEAGYIAETKYVLHDIDQEGKEDALLAHSERLAVAYGLLNSSARSPIRVIKNLRVCGDCHTALKIISDLVGRELIIRDAKRFHHFKNGLCSCRDYW >CAK8534689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755513898:755515916:1 gene:gene-LATHSAT_LOCUS4210 transcript:rna-LATHSAT_LOCUS4210-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRKASVVTASSLLRFCNGCYMSRTICTAAERWDSQFSSGFKTDVSSGYQHNQAGFYQQNTSGSYRNNHASSEQSSYGAGRTAGRGQVNITRNVVPNNLAEHNGGVDGDFVQSNMKMQHDVGVGVDNSRGFRMHPNTFEKHNWTSESVEKVEYSNACSFPRPLEYQGHPKGNNVTQNIGHFQQTPNDYYTRSNQMGQQYSAYGQSQQSVGGQYPPNLNSAQKSTVGSHLSSNPKPDGESVDASNNSPYRGTLEELDSFCMEGKVKEAVDVLQMLEKLHIHVDLHRCLQLMHQCRKARSLEEAKVVHRHALQHLSPLNVSTCNEILEMYFECGSVDDAVKVFKNMTECDLTTWYTMIMQLAKNGFAEDSIDIFTQFKSLGLKPDGQLFIGVFGACSMLGDISEGMLHFETMSRDYGIVPTMAHYVSLVDMIGSIGHLGEALEFIEKMPMEPSVEVWETLMNCCRVHGNTELGDRCAELVEKLDPSRLNEKSKAGLLLEETSDSIKNKEQNKLASKNLLEVRSRIHEYRAGDTSHPENDKIYALLRGLRVQMKEAGYIAETKYVLHDIDQEGKEDALLAHSERLAVAYGLLNSSARSPIRVIKNLRVCGDCHTALKIISDLVGRELIIRDAKRFHHFKNGLCSCRDYW >CAK8574700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6801695:6803458:-1 gene:gene-LATHSAT_LOCUS27019 transcript:rna-LATHSAT_LOCUS27019 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFKWRSFKIASFYLFYLFTSSATSTQIISNTKLDGFDDLLDICKIVYCGKGTCQQSVFPNFLCDCDPGWKKFTIGSFEFPTCVLPNCTIDFQCGNGQLPIPSPQLPNPADPCSGNLCGDGKCVRDGTDFKCQCNEGSANVLNDPKLVCLKKCGIGGDCNGVDLGFNTPEKAESPPATPGSGPSSSGTTGSGEMLNCTKKLYMLTIMILAITFQNWI >CAK8574783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9374432:9377392:-1 gene:gene-LATHSAT_LOCUS27092 transcript:rna-LATHSAT_LOCUS27092 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLLVFFLLCFHFLFTFTLSLSSDGLALLSLKSTVDQSGDGAFSDWNGGDSYPCGWSGISCANISGIPEPRVVGIALAGKSLRGYLPSELGTLRYLRRLNLHDNEFYGVVPMQLFNATALHSIFLHRNNLSGPFPASLCSIPRLQNLDLSVNSFSGNIPDDVKKCKNLQRLILARNKFTGEIPTGVWSELDNLIQLDLSANDFKGSIPDDIGDLVSLSGTLNLSFNYFSGRIPSSLGKLPATVNFDLRNNNLIGEIPQTGTFSNQGPTAFLGNKNLCGLPLRKSCTGSGSSSHKDELGNRSKGLSTGLIILISAADVVGVTLVGLVIVYVYWKRKDDENACSCIRKRRFGDENENEKGSACTLLPCMNGLKNEEGNDESEVEEDGGKGEGELVTIDKGFRIELDELLKASAYVLGKSALGIVYKVVLGNGVPVAVRRLGEGGEERYKEFVAEVQAIGKVKHPNIVRLRAYYWAHDEKLLISDFISNGNLANALRGRNGQPSSNLSWSTRLRISKGIARGLSYLHEFSPRKFVHGDIKLTNILLDNDLQPYISDFGLNRLISITGNNPSIGGFMGGALPYMVKTSHKDVNRTNNRLSLDNSRGSNNYKAPEARVPGCRPTQKWDIYSLGVILLELLTGKSTESSPTSASSSASVEVSDLVRWVRNGFDQESPLSEMVDPSLLQEVRAKKEVLAVFHVALACTEGDPEVRPRMKTVSENLERIGR >CAK8538664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491213643:491214011:1 gene:gene-LATHSAT_LOCUS7840 transcript:rna-LATHSAT_LOCUS7840 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSKYFLHKDCHEISSNYLPSRWQVQVSHDNDEVDPQQVSVVFGEHVDVVHCPPLSKANGRPKRRRIKFGKEISHNKNTCGLCNDIGQNNVTCHLKENTKNKKKNICKDTNLNPVLLLKI >CAK8540906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31023041:31030849:-1 gene:gene-LATHSAT_LOCUS9864 transcript:rna-LATHSAT_LOCUS9864 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKLDDSPMFRKQIQCMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQEVKEARKRFDKASLIYDQTREKFLSLRKGTKTDVAHALEEELHSARATFEQTRFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQDYKRQIDRESRWASNGSNGSPNGDGIQAIGRSSHKMIEAVMQNAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQISKSSGSSSQHSGQRNSSELGSGLLSRWLSSHHHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERLLPASPMGSGHHRSTSESSSFESSDFDHSAVEESAADRSFASSHLERASRNLNQQRSCNKSEKPIDALRRVMGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLQSRSAFQVDLVPTGSSKSNKPQTVFITKPGQYDSLSVKEKFIHAKYAEKIFVRRPKDNQYQLLVAQQIWEAVRANDKKAVYRYIVNSDVDVNVVYEQACNNSLTLAKVMLLQEQANNDQGSNLAGNTLDWSSNCSLSLVGTKEGQTMDNLEGCTLLHIACETADIGMVELLLQYGANINASDLRGRTPLHRCILKGRSIIARLLLSRGGDPRAVDEEGRTPIELAAESNADERQVHAPPTDSNG >CAK8536218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:918159790:918162086:1 gene:gene-LATHSAT_LOCUS5608 transcript:rna-LATHSAT_LOCUS5608 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLVQVRSFRNGYYNHEEPVVVYSSSENPNFFTTLNPLEYQEQLGASAEESSRLFMMQQHHHHQQQQYGSYANSLIDFHSHEQKHVSDFGNWRNSTVQHQVSDRFLNNATNSIPCVYGGDKQLGHVMHHTPTSSTLYQNHSLQDIVKSITSNSSDSHMTSLMHHNNGQEICVVNASELQHQPNHLEFGWKNQTDSNPQSLSLSLSSKAHVSRDCGKPLQDLVVGIIPNSKTTSYGNVGPLGPFTGYATILKSSRFLKIAQNLLSEVCCPKFVSTCDVSETEDTSKESHSCSSSSMFHVTKENSADWGSRSSFGVSLRPDYQQNKAKLVYMQEEVSRRYKQYQHQMQMVFSSFESVAGLNSATPYITLALKLVSKHFKSLNNSISNQLKLVTEVMQNDSSIATTNNSSHLVDTNNVANVRYMDQSMQKNKVEKVLTGFHDPQQHVWRPQRGFPERAVAILRAWLFEHFLHPYPTDTDKHMLATKTGLSRNQVSNWFINARVRVWKPMVEEVHMLDKKTTGTNENSNQNEGTSGTEGGSCNQPKMDKTVNGFFMHSIPENQIQDMEFGSSIDRSADESAIKEAEQWREEKRSKLECEMSSRMDGTLMDFLPYRHSGHDVGGSLGSVSLTLGLRHGVEGVQPQEVQFRHHHHLGGQMIHDFVG >CAK8565091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35322524:35322853:1 gene:gene-LATHSAT_LOCUS18330 transcript:rna-LATHSAT_LOCUS18330 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEFDAIVFAIGYKSVANGWLKDYQYALNEKGFPKTPFSKHWKGDHGLYCAGLARKGLFGVKKDAKAIAEDINRTLKFEN >CAK8536034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901358332:901358850:-1 gene:gene-LATHSAT_LOCUS5436 transcript:rna-LATHSAT_LOCUS5436 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDQTGCEAAPEGPMLCVNNCGFFGSAATMNMCSKCHKDMMLKQEQATLAASSIGNIMNGSSSSSGIEPAIAANVEISVDSVEPKTISAQPLVASGSEESLEKKPKDGPKRCTNCNKRVGLTGFNCRCGNLFCAVHRYSDKHDCPFDYRTAGRDAIAKANPVVKAEKLDKI >CAK8578203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612306247:612308784:1 gene:gene-LATHSAT_LOCUS30236 transcript:rna-LATHSAT_LOCUS30236 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWRVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8564270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664783665:664788079:-1 gene:gene-LATHSAT_LOCUS17592 transcript:rna-LATHSAT_LOCUS17592 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAGSLVNARQLLVHIAENGHSFELDCDENTLVEAVMRSIELVTGIGFNDQLVLCSDLKLEPHQPLSAYKLPSEEREVFIFNKARLLTSAPPPPLEQVDVPGNLEPPSPTSSHDPHPLDDALDPALKALPSYERQFRHHYHRGHAIYSGTSMKFEHCERLLREQMVQERAVEVATCNLDHYYRIVNLNYADFMKRYMQQHRIHSDLLTNFGKNVEKLRSIKLHPALQTANRKCLLDFVNEETLRKSVENCTSSHKQFENKMSQFKQTFGEVKRRTEDLLTSRPFLAAKNLDQAIKEHHKFINEQKSIMQSLSKDVNTVKKLVDDCLSPPLSSSLRPHDAVSALGPMYDVHDKNHLPKMQACDRAITKLLDFCKEKKNEMNLFVHNYMQSVAYVSYLMKDQKLQFPVFKEAMVRQDGLFGDLKLFHSVGPSYRACLAEIVRRKASMKLYMGMAGQLAERLATKRELEVSKRDDFLRVHGSSIPRDVLSCMGLFDSPNHCDVNIAPFDDGLLNIDISDVDRYAPEYIAGVTFKMEKHGSFKGTTYGSISDNSQLAEAVDIASNSIEKYDSKDLLDDSGLVEIAGTCMMEVENAKLKAELAGRIALMCSLCPQIEYESLDDDGVGNILQNATAKTSEALHLKDEYIRQVQSMLKMKQMQCESYEKRIQELEQKLSDQYVQGQKMSNVNNAADFPLLTGKTDNYKSECVSGEANMPCTSTSEPMDEVSCISSSFDAKLNFFTERAGKSLDGADEKMWDSSGMQNPHLDSSMVEPHREEVQSGDKDKKDTTGGQLGLSLTNSSTAESMPVSRDLVPCDSTVCLNLDTKVNDDKLLKLQGALADKSNQLNETDTKLTAFMEEVAVLKRELEANRKLLDESQMNCAHLENCLHEAREEAQTQKSSADRRATEYSLLRASVIKMRSFFERLKTCVYSPAGVADFADSLRNLAQSLANSANDRDDDDIVEFRKCIRVLADKVGFLSRHREELHDKYTRLDASNEQLRKELEEKSEQVKTYYNKHQIEKQANKEKISFGCLEVHEIAAFVLTQSGHYEAITKNKSNYYYLSAESVALFTDHLPSRPNYIVGQIVHIEHQIVKASPEHGRATTSDKGTTDWLTLNSGSTPNPYGLPVGCEYFVVTVAMLPDTAIRSSSPTS >CAK8541283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104292352:104303849:-1 gene:gene-LATHSAT_LOCUS10214 transcript:rna-LATHSAT_LOCUS10214 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGWNYDVFLSFRGEDTRTSFTGSLYHGLHQKGINVFIDDEKLRRGEQISPALITAIEESRISIIVFSENYASSTWCLDELAKILECWKTKGQLVFPVFYYVDPSWIRHQRGSFGIAMAKHEATFKGDVQRLNKWKKALFDAANLSGWSLKNGHGYEYELILRIIEEVSSKLNNTLLHIAEHPVGLETRISEVKSILKFEQPVEEVSFFGIHGHGGIGKTTIARALYNSIANQFEVTSFLTDIRESSTQRQGLVQLQETLLYETVGEKNIKLGNVYKGIPIIKKRLCCKKVLLILDDVDKLEQLQALAGGRDWFGFGSVIIITTRDKQLLASHLVDKSYEVKKLNHEEAYELFTWNAFKRKASDKEYMEISNNVVVYAEGLPLALKVMGSNLFGKTVEEWKSALAKYEKIPNKEVQNVLRVTFDNLEENEKEIFLDIACFFKGETVEYVEKTLQACGFFPKFGISVLTDRSLVSIDEYNRLRMHDLIQDMGREVVREVSPLEPGKRSRLWNHEDVIEVLTQSSGTYRIQGMMVDLPDQYMVHLKDDSFKKMKNLKILIVRNGHFYGSPQHLPNNLRLLDWMEYPSSSLPPTFQPKKLVALNLSSSRFTLQEPFKHFDSLTSMDLSHCEFLTKLPDVSSVPNLTELNLDYCTNLEKIDDSLGFLENLTELRAYGCTKLKDFPQAIKLTSLKTLILNWCSSLQTFPTILAKMENLISISIEGTGIEELPPSIGNLVALEELSMTSCLSLKELPENIDMLQNLRNLDIEGCPQLRSFLTKLRELGKSTLTFCNVQSLNLESCGLIDEDLPIIFKCFPNLSSLVLSGNNFVTLPRCIDEYDSLQMLHLDDCKELQEIPCIPPNSQYINARNCISLTAESSNLLLSQETFEACELQITVPGTRIPEWFDHIREGEYMTFWVHENFPPIILCFALAIESEMKKTFSCEIRFYINSEEVYELEISRSFSEMVTDHVWLFDLRTHPPIKFHDLEPYLIDDWNQIEISCEKIIGSSNVNISWCGVHVCRQEANMEDILFEDPDVDLDSSHEFEKIGSNVEELNTNLEDEDMEAFYANLDAENNHNKVVSESSNRTMPSEETKKALKTLDDFLTKDFSVLLRPNEYNTMKSTLDYLTNLPREEGISVETRSLVIEVSRQFTCWSYDYTNESKKIESTKAKLLKKDELEEGLEANKNLFREVKCLENELLNELEYLEERKKELEELINGVRANISASQVAKNMVADTKREIFEKAKILKVERDELREQVQCLRDEHELAKKSQANIRDEWLKLGEKFSYTMKNEK >CAK8541284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104298974:104303849:-1 gene:gene-LATHSAT_LOCUS10214 transcript:rna-LATHSAT_LOCUS10214-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGWNYDVFLSFRGEDTRTSFTGSLYHGLHQKGINVFIDDEKLRRGEQISPALITAIEESRISIIVFSENYASSTWCLDELAKILECWKTKGQLVFPVFYYVDPSWIRHQRGSFGIAMAKHEATFKGDVQRLNKWKKALFDAANLSGWSLKNGHGYEYELILRIIEEVSSKLNNTLLHIAEHPVGLETRISEVKSILKFEQPVEEVSFFGIHGHGGIGKTTIARALYNSIANQFEVTSFLTDIRESSTQRQGLVQLQETLLYETVGEKNIKLGNVYKGIPIIKKRLCCKKVLLILDDVDKLEQLQALAGGRDWFGFGSVIIITTRDKQLLASHLVDKSYEVKKLNHEEAYELFTWNAFKRKASDKEYMEISNNVVVYAEGLPLALKVMGSNLFGKTVEEWKSALAKYEKIPNKEVQNVLRVTFDNLEENEKEIFLDIACFFKGETVEYVEKTLQACGFFPKFGISVLTDRSLVSIDEYNRLRMHDLIQDMGREVVREVSPLEPGKRSRLWNHEDVIEVLTQSSGTYRIQGMMVDLPDQYMVHLKDDSFKKMKNLKILIVRNGHFYGSPQHLPNNLRLLDWMEYPSSSLPPTFQPKKLVALNLSSSRFTLQEPFKHFDSLTSMDLSHCEFLTKLPDVSSVPNLTELNLDYCTNLEKIDDSLGFLENLTELRAYGCTKLKDFPQAIKLTSLKTLILNWCSSLQTFPTILAKMENLISISIEGTGIEELPPSIGNLVALEELSMTSCLSLKELPENIDMLQNLRNLDIEGCPQLRSFLTKLRELGKSTLTFCNVQSLNLESCGLIDEDLPIIFKCFPNLSSLVLSGNNFVTLPRCIDEYDSLQMLHLDDCKELQEIPCIPPNSQYINARNCISLTAESSNLLLSQETFEACELQITVPGTRIPEWFDHIREGEYMTFWVHENFPPIILCFALAIESEMKKTFSCEIRFYINSEEVYELEISRSFSEMVTDHVWLFDLRTHPPIKFHDLEPYLIDDWNQIEISCEKIIGSSNVNISWCGVHVCRQEANMEDILFEDPDVDLDSSHEFEKIGSNVEELNTNLEDEDMEAFYANLDAENNHNKVVSESSNRTMPSEETKKALKTLDDFLTKDFSVLLRPNEYNTMKSTLDYLTNLPREEGISVETRSLVIEVSRQFTCWSYDYTNESKKIESTKAKLLKKDELEEGLEANKNLFREVKCLENELLNELEYLEERKKELEELINGVRANISASQVAKNMVADTKREIFEKAKILKVERDELREQVQCLRDEHELAKKSQANIRDEWLKLGEKFSYTMKNEK >CAK8572200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519747560:519752147:1 gene:gene-LATHSAT_LOCUS24791 transcript:rna-LATHSAT_LOCUS24791 gene_biotype:protein_coding transcript_biotype:protein_coding MADVADKLAYFQAITGLEDVDLCTEILAAHGWDLELAISSFTTTSNPTTAPGGDDVNNNNNLQSSSQSEPPLPPPPPGLAWKIIKLPVSVISGSLGLISGAVGLGLWAAGGVLSYSLGFMGLGSGSGSASSSSSASAPLVSVSAATTEAMDFVAAFERDYGTTKPNFVSEGFMDALQRSRNSFKLLFVYLHSPDHPDTPLFCRRTLCSDGFAEFVNQNFVCWGGSIRASEGFKMSNSLKASRFPFCALVMAATNQRIALLQQVEGPKSPEEMLVTLQRVLEESSPVLVAARLDAEERRNNARLREEQDAAYRAALEADQARERQRREEEERLAREAAEAERKLKEEEEARERAAREAAEKQAALAKLREEKAQSLGEEPEKGPNVTQVLVRFPNGVRKERRFNSTVTIQSLYDYVDSLGCLEVENYSLVSSFPRVVYGQEKLTLSLKEAGLHPQASLFVELSS >CAK8564791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9622015:9626358:-1 gene:gene-LATHSAT_LOCUS18056 transcript:rna-LATHSAT_LOCUS18056 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGNDCDLGGDASLPCCSILSSTFLLDHVGEVIASMFSDGLSWKLVEPVENDVSTCLGIRYVSKIANEIALSDIYAVELLDSSLIHKSNLPRTLFDHDIKMYHFTVHGFIRSKSQPSQWILTEYTFGHEDLQTCQMWVNQLNELLKLEVGRPRNLLVFVHPRSGKSNGCRNWEAVAPIFSRAKVETKVIVTERAGQAYDMMSSITNEELNSYDGVIAVGGDGFFNEILNGFLSPRLKAPYPPTPPGFVHLAKDKGDSLVADEDEILEKTSSQIEDHLPLISSPNQPRSIISNSNSEDKAHGFPVPNEWFRFGIIPSGSTDAIVICTTGTRDPITSALHIVLGKRVHLDIAQVVRWKTTPRSEVEPFVRYAASFSGYGFYGDVITESEKYRWMGPKRYDYAGTMVFLKHRSYEAEISYLDVESEETIPTPKRNRESSLLWGLKAPRRSERYICRINCKVCNESPKNAATEIRSLTPHLNSETKRWVECKGRFISVGAAVISCRNEKAPDGLVAEAHLSDGFLHLIMIKECPHASYLWHLTQLTRRGGSPLNFKFVEHHKTPAFTFTSSGNESVWNVDGEMFQAHQLSAQVFRGLVCMFATGPEV >CAK8564790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9622015:9626358:-1 gene:gene-LATHSAT_LOCUS18056 transcript:rna-LATHSAT_LOCUS18056-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGNDCDLGGDASLPCCSILSSTFLLDHVGEVIASMFSDGLSWKLVEPVENQDVSTCLGIRYVSKIANEIALSDIYAVELLDSSLIHKSNLPRTLFDHDIKMYHFTVHGFIRSKSQPSQWILTEYTFGHEDLQTCQMWVNQLNELLKLEVGRPRNLLVFVHPRSGKSNGCRNWEAVAPIFSRAKVETKVIVTERAGQAYDMMSSITNEELNSYDGVIAVGGDGFFNEILNGFLSPRLKAPYPPTPPGFVHLAKDKGDSLVADEDEILEKTSSQIEDHLPLISSPNQPRSIISNSNSEDKAHGFPVPNEWFRFGIIPSGSTDAIVICTTGTRDPITSALHIVLGKRVHLDIAQVVRWKTTPRSEVEPFVRYAASFSGYGFYGDVITESEKYRWMGPKRYDYAGTMVFLKHRSYEAEISYLDVESEETIPTPKRNRESSLLWGLKAPRRSERYICRINCKVCNESPKNAATEIRSLTPHLNSETKRWVECKGRFISVGAAVISCRNEKAPDGLVAEAHLSDGFLHLIMIKECPHASYLWHLTQLTRRGGSPLNFKFVEHHKTPAFTFTSSGNESVWNVDGEMFQAHQLSAQVFRGLVCMFATGPEV >CAK8578514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634576722:634577159:1 gene:gene-LATHSAT_LOCUS30519 transcript:rna-LATHSAT_LOCUS30519 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHSNGKGISSSALPYRRTAPSWLKISSQDVDETICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKVVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTT >CAK8562473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498313268:498313927:1 gene:gene-LATHSAT_LOCUS15965 transcript:rna-LATHSAT_LOCUS15965 gene_biotype:protein_coding transcript_biotype:protein_coding MRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIKNHGKPSSEAFESVLGKQKPGRLRCHGRTTTPTLLKRNEEISKIKREHAAEIRQFNDRLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALDINSCN >CAK8568430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605866115:605866900:1 gene:gene-LATHSAT_LOCUS21388 transcript:rna-LATHSAT_LOCUS21388 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTSKPAINGAATTNGNGNGNGNPLPVKAQLYNPNRQVYRPQSQYNRRRRSNRSLCCCCCFWTILTVLAAALLVAIVGAALYVLYHPHQPEFSITNLRIAKMNLKTSTDSPSHLTTLFNLTLIAKNPNNHLVFFYEPFTVTAFSDSVQIGNGSLPAFDSGKNNQTSLRSVLSVSQDLDTDSLTSLRSGLKKKKGFPVVIQMDTKVKMKMEWLKSKKVGIRVTCEGIRGTVPAGKTPAVASVINSECKVDLRIKIWKFSV >CAK8536897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35708676:35709953:-1 gene:gene-LATHSAT_LOCUS6223 transcript:rna-LATHSAT_LOCUS6223 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTLIINFHATEPIQLKLGHEITISTDYSLKGDENTICMSYKKLAHDVKPGSVILCADGTISFTVLSCDKEQGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDIMVWGVPNKIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSARAALILVLTRGGTTAKLVAKYRPGTPILSVVIPELTTDTFDWSCSDESPARHSLIFRGLIPILSAASARASHAETTEDAIEFALRCAKTKGLCVNGDSVVVLHRVGTASIIKILTVK >CAK8533099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577848412:577848798:1 gene:gene-LATHSAT_LOCUS2748 transcript:rna-LATHSAT_LOCUS2748 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCMLGGDFNDILNAEEKKGIDSISIREWNKFKSRIGHCGFMDLGFNDYQFTWRGSTYHFGKRIYDRLDRALCNDYWRMKFLDAFGKVLTRLKYSYHHPFFLSFNDQHHSSATPKFNFEGVWLLEEY >CAK8574518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1454784:1456496:-1 gene:gene-LATHSAT_LOCUS26858 transcript:rna-LATHSAT_LOCUS26858 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKRKRKSLKFSKQESFYLPDECWELVFKFLKDDDEDNLKFLSLVSKQFFFITNRLRSSLTLYYPFRPNLFQRFTDLISLNLTCFHGDLNTFLSQISCFRLNLTSLDLSNKPTIPANGLRIFSKNITTLTSLTCSNLYSFKTNDLLLIADCFPLLEELNLSNPAKCRGKFLKKLEALSLALFKLRKVDLYGHKYINDKMIFQLFKNCKHLEEANLLCCFKITTTGFALALSERPSLRSFSFSTPFSDSVVRDSVVRLKSLTCLHLLGSIISNDLLSSIAKEGLPLRTIILQNCPGYSYVGIFNLLSKCQHIQHLDLQNAGFLNDQHVVELSLFLTDLVSINLSYCKKLTKAALFALVRNCHTLDEIQMRGIGRKSIHNTNSWIHSDVYPQLKYLYLSYNSWLTDEGITAFASIFPNLQLFDVNDCENISEEGIGQVLRRCFNIKQLNLANCSKVKTLGRNFGVSKLEVLNLSDTQVDDEALYVISKCCRQLSQLNLKWCEYVTEKGVKHVINNCAYMREINLRGCFKVHYSFVASMALRPSLKKITTPSRYELNKKERKLFSQHGCHVC >CAK8532441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:263475560:263477041:1 gene:gene-LATHSAT_LOCUS2146 transcript:rna-LATHSAT_LOCUS2146 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLDDVDKIKQYSWGSATLAHLYHSLCHNSIANTGNWTGCGVLLQSWGWSRMTNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSLQYMTWYRSVSIGFISHPRYLNDPRQQDSSSRPQQPSQPYFEPPTQPQCQPSTQYYFQPPTQPNFQPPSQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQSFTPIPPYDQAGYRPEIASSSQPPQTNYEGMGNSFDLDDLTDMDPSAWAEVIQMLDDDTVDPTPPQRPPRNVRNRGCGTGGHLNRPSRRN >CAK8531127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82789964:82793655:-1 gene:gene-LATHSAT_LOCUS939 transcript:rna-LATHSAT_LOCUS939 gene_biotype:protein_coding transcript_biotype:protein_coding MAHICQCLSRLLTFRRDFQVTISGNHRKTGQQFVEEVISLAHGLLQLGVSPGDVIAISAYNSDSYLEWLLAIAFVGGIAAPLNYRWSFEEARFAMAVVKPVMLVIDESCYLWYFKFQQIDIPSLKWRVLLDSPSSDFTKKWNVLTPGMIKKHIVKPIEFNYSWAPEGAVIICFTSGTTGKPKGVTISHEALIIQSLAKIAIVGYSEDDVYLHTAPLCHIGGLSSAMAMLMVGGCHVFMPKFDAELAVDAVEQHTVTSFITVPAIMASLISIVRHKETWNGGESVKKILNGGGSLSLELIKDSNIFFHKAKLISAYGMTEACSSLTFLTLYDPLQKTTSHPFQTYGEVESKHLIHQPQGVCVGKAAPHVELKICTDSSGDTGRILTRGPHLMVRYWDQTLTTSSNQRNEVWLDTGDIGSMDCHGNLWLLGRTNGRIKSGGENIYPEEVEATLLGHPGIANVVIVGIPNARFTELVAACIQPSKNWQWLEHSTSNEECHLSKKNLQQYCLENSLSRFKIPKIFIEWKKPFPVTTTGKIRRDQVRKEVVSELQSLHSNL >CAK8576686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505856197:505857557:1 gene:gene-LATHSAT_LOCUS28859 transcript:rna-LATHSAT_LOCUS28859 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALVDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKNRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAEKLSLLLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIADAKNKSVPQVVLRWIYQHGVTAIVKSFNKERMKQNLEIFNWELTQEESDKINQISQMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8530920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63341043:63342605:-1 gene:gene-LATHSAT_LOCUS747 transcript:rna-LATHSAT_LOCUS747 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLVKSILSLSTSLSLTQLIPYLICFTLFLLLLEQISYLNKKRFIPGPSLVLPFIGNAIPLVRHPTKFWDLQSTLAKSTPLGFSANYLVGNFIVFIRDTELSHKVFSNVKPNAFHLVGHPFGKKLFGEHNLIYMTGQEHKNLRRRIAPNFTPKALSTYTSLQQIIILKHLKSWVEKARESSIPIRVLARDMNLETSQTVFVGPYLGLKARERFERDYFLFNVGLMKLPFDFPGSAFRNARLAVDRLAETLGTCTAMSKAKMEKGGEPSSLIDFWMQDTIREMEESKLNGVTVPPFSTNSEIGGYLFDFLFAAQDASTSSLLWAVTLLDSHPEVLDKVREEVAGIWSPESDTLITAEQLREMKYTLAVAREVVRFRPPATLVPHIAAEKFPLTESYSIPKGAIVFPSALESSFQGFTEPDRFDPDRFSDERQEDQIFKRNFLAFGAGPHQCVGQRYALNHLVLFIAMFTSLIDFKRDRTDGCDEIVYVPTICPKDDCRVFLSKRCTEYPSFSKVPELGN >CAK8537092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:81372521:81377854:1 gene:gene-LATHSAT_LOCUS6408 transcript:rna-LATHSAT_LOCUS6408 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLSRSMTTASLATIPSSSSFTLSRSSPSLRTAFLPPQPPRRKCSSNAAALKCKHHDRRRNGRFSVRCEAAVAEPEEATAEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGEAGELEIRIKADPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVADKVVVSTKSPRSDKQYVWEAVADSSSYVIKEETDPEKLLRRGTQITLHLRPDDKYEFSEPTKIQSLVKNYSQFVSFPIYTWQEKSRTVEVEEEEPKEGEEPKAEGDAKKTKTTKTEKYWDWELGNETKPIWMRNSKDTQKEEYDEFYKNAFNEFLEPLAYTHFTTEGEVEFRSILYIPSMGPLNNEEVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDLSERENKEDYKKFWENFGRFIKLGCIEDSGNHKRITPLLRFYTSKSEEELKSLDEYVENMGENQKGIYYLATDSLKSARTAPFLERLVQKDIEVLYLVDPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKDREIKQEYTLLCDWIKQQLGDEVAKVQVSNRLSSSPCVLVSGKFGWSANMERLMKAQALGDQSSLEFMRGRRILEINPDHPIIKDLSAACKNAPDSNEAKIAVDLLYDTALISSGFSPESPAELGNKIYGMMALALGGRWGRTEEEGDAPVEAAADSNESEGSETEVFEPSEVIAENDPWTN >CAK8532133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210935471:210938823:1 gene:gene-LATHSAT_LOCUS1866 transcript:rna-LATHSAT_LOCUS1866 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRISGKDLPIKSAQITETTDINELVDKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAEVAGFVKERLGKEVEMGGCKGPITTFIVEPFIPHDEEFYLNIVSERLGNSISFSECGGIDIEENWDKVKTVFIPTGESLTSENISALIATLPLEIKGELEDFLKVVFNIFQDLDFTFLEMNPFALVDGKPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVMSPTESFIHGLDEKTSASLKFTVLNPLGRIWTMVAGGGASVIYADTVGDLGYAPELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGQKRALVIGGGIANFTDVAATFSGIIQALKEKEQKLKEANMHIYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIQCITASA >CAK8534105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695366261:695366702:1 gene:gene-LATHSAT_LOCUS3675 transcript:rna-LATHSAT_LOCUS3675 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEPNQTLIDEVQDELANERDVQEGHQDHRQENDDMISGEPIRDNVADMEASLKRSRKLKSLETCNWTTAIYEVLLDAYLHQ >CAK8578761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651840926:651841963:-1 gene:gene-LATHSAT_LOCUS30747 transcript:rna-LATHSAT_LOCUS30747 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTVTTLMGIIKDKASQSKAALLSKPTTLSLLRVTTHNSFYPPTHKHISTLLSSTDGSRATASAFLELLMDRLHNTNDAAVALKSLIVVHHIISQGSFILQDQLSVYPYTGGRNYLNLSNFRRNTNPTSWELSSWVRWFAQHIENLLCSSRILGFFFLRNSSSDGEERVSAITNGDLLKEFDSLVTLVEGICKRPDRGSDSNKGNENKLVDEIANLVDGDWVVIETVVIIEVREFKERLGCLEFGEAVELVCCLKRLEECRDRVVMILKLQQGFWHLVRDLKEKVGVEVYKEKGKVQKEGRRVRFSESDRFSDRVVSSYDSIRFSDGVVSSYDSIRFPSGRFL >CAK8542589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528215997:528221525:-1 gene:gene-LATHSAT_LOCUS11416 transcript:rna-LATHSAT_LOCUS11416 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSALLYDQLKAADPFFLLAGPNVIESEEHIMRMAKHIKTISSKFGIPLVFKSSFDKANRTSSKSFRGPGMVEGLKILEKVKIAYDLPIVTDVHEASQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLEELLEELIAISRVSKGKKPFKIDLTPFHE >CAK8530671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39186993:39189760:1 gene:gene-LATHSAT_LOCUS517 transcript:rna-LATHSAT_LOCUS517 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIGSLQQPQQWLLIVLSLVFVTAATSCNTSIFSFGDSLTDTGNLNFISEPQSPNCLLQPYGETHFHHPNGRCSNGRLIIDFIAEYLGLPYVKPYLGFKNGDVEESGNVEHGVNFAVAGVTALGRSFFEEKGFVVGVTANYSLMVQIDEFKEMLPSICNSSSRCKDVLGSSLFVVGEIGGNDYVFPLFAKNTYVELIAYAPRIISVITSAIRELIDLGAVTILVPGSLPLGCNPVLLTMYATTDEAEYDESGCLKWLNMLFEYHNELLQIELNKLRVVYPFTNIIYADYFNAALQIYKSPKQFGFDGNTLKLCCGGGGPYNYNETALCGNSEVTPCDDPSKYVSWDGYHLTEAAYRIMAKALLEEPYTIPKLSVSCLRSKTSRVSLATQ >CAK8540883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:28477237:28477870:1 gene:gene-LATHSAT_LOCUS9842 transcript:rna-LATHSAT_LOCUS9842 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKKSEMLRRGQVEHVKAERDLLAEVDSNYIVKLYCSFQDEDCLYLIMEYLPGGDMMTLLMRKDILTEYEARFYVGETVLAIESIHKHYYIHRDIKPDNLLLDRHGHMKLSDFGLCKRLDCSNLQEKDFSTGINRSGAL >CAK8538892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499022236:499025358:1 gene:gene-LATHSAT_LOCUS8041 transcript:rna-LATHSAT_LOCUS8041 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTNSGESNSSSENQTEANRTVSGLNSMARYKLLSPAKLPISRSPYITIPPGLSPTSLLESPVLLSNMKVEPSPTTGSFLQALHCSMASPGSATFSVASACFNTSTVNGRKSSFFEFKPHDRNMVPADFNNDVNEQSTQVEGQGKVQSFCSSPLNENEVDDQSNELSLSSPVQMVSSGTCAPIDVNSNELNLKGNAVNGPQSSNDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSNRYSAGSVMSTQGERSDNRVDKASNSPEQSPVATNNLSLDGAGFAPTRTNDVVDDDDPFSKRRKMEVGNAGVIPIVKPIREPRVVVQTMSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAARNSSHDMSRHAASIETTRIKLEESDTVSLNLGMGINSATENRSNGRRQVLLSEFGNSSQTQTSNSNFKFVHTTSVPVYYGVLNNGSNLFGSRENKNDGSSSLNRSAYPCTQNMGRVLMGP >CAK8572774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562235071:562235456:-1 gene:gene-LATHSAT_LOCUS25301 transcript:rna-LATHSAT_LOCUS25301 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFTNIKAISTLSTLVTDGFFNSLTRRGYAAAAATQNATRGVATSVSGKMAAPKSGTDKVANKEKVSWVPDPVTGYYKPENTNAVDVADLRATVLGKK >CAK8571766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470812461:470813656:1 gene:gene-LATHSAT_LOCUS24399 transcript:rna-LATHSAT_LOCUS24399 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRPFRKKGTIALATYLRTYHIGDYVDIRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVRNKILRKRIHVRVEHVMPSRCTEEFRLRKIQNDKLKAEAKAKGEVISTKRKPEGPKPGFKVEGATLETVTPIPYDVVNDLKGGY >CAK8538844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497684606:497688817:-1 gene:gene-LATHSAT_LOCUS7999 transcript:rna-LATHSAT_LOCUS7999 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKVEKNVTWSFTLCFVLISLITISFPFCESFNYGQALSQSLLYFESQRSGHLPYNQRVNWRHHSGLNDGLDQGVDLVGGYYDAGDNVKFGLPMAFTITLLSWAAIEYGEKIASAGEYVHTMEAIKWGTDYFIKAHTQPNVLWVEVGDGETDHYCWQRPEDMTTSRRAYKIDENNPGSDAAGETAAALAAASILFRKTNPHYSQLLLHHAQELFEFGDKFRGKYDESVEVVIGYYTSVSGYMDELLWAAIWLYKATEKEEYLKYVLENGYDFGGTTWAMTEFSWDVKYAGVQAIASMLLMEEKHKKHEVILEQYRSKANHYICACLNLNNASKDNVNRTPGGLLYIRQWNNIQYVANAAFLLTVFSDHLLATNQKLQCQKGVVDSNEIFSFAKSQVDYILGSNPMNMSYFVGYGPKFPQRVHHRGASIASYKENMGFIGCTQGFDIWYGNPKPNPNILIGALVGGPDKNDQFRDARGNYEQLEACTYNTAALVGVFARLYDLE >CAK8567634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532765895:532768800:1 gene:gene-LATHSAT_LOCUS20670 transcript:rna-LATHSAT_LOCUS20670 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSQAYGESWYWDNRYTTQPGPFDWYQKYITLAPIINLYVPPNQSILVVGSGNSAFSEGMVDEGGYTDVVNIDISSVVIDAMQNKYRNCPQLKYLKMDVRDMSAFASETFGSVIDKGTLDSLLCGNNSRQNATKMLEEIWRVLKDKGVYVLVTYGAPLYRLRLLRESCSWTIKLHVIDKLASEEKSDNPLWELTKPITLNYDGSSVEETLGTNPDVHYIYICTKDLSATQT >CAK8561347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:163141597:163142548:-1 gene:gene-LATHSAT_LOCUS14948 transcript:rna-LATHSAT_LOCUS14948 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAIKQQRRVERSSNFRGLAPLYQIYIAVTKIKQKEEEIKVPPTYEAEMASECVECGGHGNADKTRGTSNKVEHCERRLSFVELNIRGGKGDD >CAK8565235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78304154:78307815:1 gene:gene-LATHSAT_LOCUS18466 transcript:rna-LATHSAT_LOCUS18466 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPPLHTSTFPMITLPRNPFHSMLYLPHVPRRKINRITATSADAGNVEPSNNPLSLASIRRQTRRPLTDFGFGGRSIWEGGVGLFLVSGAVLFALSLAWLKGFQIRSNFSKYTATFEFSQASGISTGTPVRIRGVTVGDVIRVNPSLKSIEAVVEIEDDKTIIPRNSLVEVNQSGLLMETIIDITPRDPIPTPSAGPLDKECHKEGLIVCDREKMKGSQGVSLDALVGIFTRLGRDVEKIGIADSYSLAERAASVIEEAKPLLTKMKAMAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAHSSIMTPENTELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8565236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78304154:78307815:1 gene:gene-LATHSAT_LOCUS18466 transcript:rna-LATHSAT_LOCUS18466-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPPLHTSTFPMITLPRNPFHSMLYLPHVPRRKINRITATSADAGNVEPSNNPLSLASIRRQTRRPLTDFGFGGRSIWEGGVGLFLVSGAVLFALSLAWLKGFQIRSNFSKYTATFEFSQASGISTGTPVRIRGVTVGDVIRVNPSLKSIEAVVEIEDDKTIIPRNSLVEVNQSGLLMETIIDITPRDPIPTPSAGPLDKECHKEGLIVCDREKMKGSQGMKAMAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAHSSIMTPENTELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8533941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:673928414:673930605:-1 gene:gene-LATHSAT_LOCUS3526 transcript:rna-LATHSAT_LOCUS3526 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFFLHLRFYHVKFKHLLTVVIFVAVILVFQSYWKTFSILDVPTFIGNNVTFEKDQQGSDLDHEFDHEEGRIFGSDSFEFEVDSHENENHSFTQKKLRGDSLQKVRELYNKHGIQHQRNNMDFAANGNGIQSMEVIEPQNVKPRLLKTSLSDLNNISMTGSSTRMSKLAWPTSLTELNSQLIQSFNTSSMKPAWSSSQRDRELLTAKLEIENANVISNSPGIYAPVYRDVSKFLRSYELMERKLKVYIYREGEKPIFHQPKMRGIYASEGWFMKLMEGSKRFVVKDPKKAHLFYLPFSSQMLRANISNNNQMEQYLERYVNLIAGRYRFWNRTGGADHFLVACHDWASRITVQPMKNCIRSLCNANVAKGFQIGKDTTLPVTYIHSVMNPLRKIAGKPPSERTILAFFAGGMHGYLRPILLKHWENKEPDMKIFGQMARDAEGKRIYMEYMNSSRYCICARGYEVHTPRIVEAIFSECVPVIISDNYVPPFFEVLKWETFSVFVRESDVPSLREILLSIPEKKYLALHLGVKKVQQHFLWHKIPVKFDLFHMILHSIWNNRLSQMRLK >CAK8564291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666260445:666264078:1 gene:gene-LATHSAT_LOCUS17612 transcript:rna-LATHSAT_LOCUS17612 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWSCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYSLKWIFHNDLGLVFVAVYQRILHLLYVDDLLAAVKREFSQVYDPSRMVYRDFDEVFKQLKIEAEARAEDLKKSNPVVVNGNRKNQVTWKGDGSEGKKNGGGGGGGGGGGLKNDGDGKNGIKSESDRDRDRFVVANGNNNNGSNLKSNGGNVSVNGKDNDSLNNGAFDVNRLQKKVRNKGGNGKKTDSVVSKAEPKKLVKKNRVWDDKPKETKLDFTDHVDVDRDADLDRKVDYLAKEQGESMMDKDEILSSDSEDEEEDGDDSGKNSNKPDAKKKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVHTAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHNVNVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLASSPTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >CAK8562384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480913609:480917448:-1 gene:gene-LATHSAT_LOCUS15885 transcript:rna-LATHSAT_LOCUS15885 gene_biotype:protein_coding transcript_biotype:protein_coding MELRILILTLSNPLATMFSLHRGLLCKGKTFNCFVVLLYIFFCLSAYGMCSTNLRPNSPEYSACESLEKNCNSGFSGTVVAGGGLGSASPSIHNGFQNLCPDTHSSRAPLSLKAGSLGGSGNQCNWPFCVGLARDSEVTSNIKGLLLSPNAVIHVGVIYCNNLHTDLRNMSPEVSNLQENCKLVVLTNDTTSPQVEIPCEDAPNICFEYQRLSFVGSKDKTKAEYAVRNKGLPPNIKVTETADVDKLVFGNRVSLLEDVEVLFPMVQVGSYVSKWITVKNPSHRPVTVQLILNTEEMVDECRGPDDIIQKFSAANLVIDEVISAAKYGFSVTESAVTEAYVNPYDYATLGPIIFYPSKRCRWNGSALIRNNLTGIELIPLRGIGGLHSLALLERSEHVQSVNFDLQMHNLLGFSLSYSLLHMKDMISVCSQPLVKEIYAKNTGDLPLEVKSMRVSGRECGLDGFKIQDCKGFSLDPSESIKLLISYQTDFSAATVHRDLELALASGIFLIPMKASIPFDVLCNCKRFLFWARVKKWLLGFILFASLFFMVSLDYLCKNDSNSVHITLQHSGKTPLVLPCNQRKDKLSMSGKMTNMVCSTGKGTTSPIQATCVRYSYDLSETSDQDSENRKQFSLLLDTPKKEKSPTTAVQSSDVTKPPQQSETKIKTGKEKGRRKKNNNKSFTAKLTAVSEVSSSRSGNSTPLSPVAPSSTKSTRPLSPDVEQPQHHKKFQPSASASKKNTSKQNTPKQNTSKHRVPVKSLTNNAASPKVSVSPSPSSSDASIPYKMFYAASPPPMPATPPSLVSTSSVNLSRRAPGPKLNKQKSVLAPKKLGVADEYTYDIWGGHLSGLHLLVPKDVTCMKSSPDEKNFNSFFVGEPQSIK >CAK8571738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466328085:466328579:-1 gene:gene-LATHSAT_LOCUS24372 transcript:rna-LATHSAT_LOCUS24372 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISWNCRGLGSPRAVRALTRLLRSENPLVVFLMETKLKKEELLVLKRKLIFKFYATVDCRGIGRDRAGGINLWWNDLTEVQVTSYSQNHIAGLYQQEDDDRPWHFAGVYGFPEEENKKETWKLVQALYEEGGDQMIFFGDFNNISSTADKLGGLCKSGSQLN >CAK8575851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337481660:337482124:1 gene:gene-LATHSAT_LOCUS28080 transcript:rna-LATHSAT_LOCUS28080 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPINEGQGNGSNSNTLNLWDPNLMFQLDPFMDFHFPLSSPFSNFFPEFGFGSSTNTRLDWKETPRAHVWKVVLPGFTNEDVLVELQDERMLQVSVESGNFMSRFKIPDDGNLHQLKANMVNGVLVVTVPKLEHSSVSGGRNIRIVEIEGTD >CAK8571408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:402365769:402369577:1 gene:gene-LATHSAT_LOCUS24075 transcript:rna-LATHSAT_LOCUS24075 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFQEARYGLGLQLEFIWRQIREPLIVPILRVSVFLCLAMSLMMLVERVYMGIVICFVKLFGRKPEKRYKCESFKDDVELGNSSYPMVLVQVPMYNEREVYQLSIGAACGLSWPSDRIIIQILDDSTDPTIKELVQLECRKWRSKGVNIKYEVRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPQPDFLWRTIPFLVNNSDIALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSCTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLANLQVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIVTNKKVSLWKKIYVVYSFFFVRKIVAHINTFVFYCIVLPATVMVPEVVVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENTMSLHRTKATIIGLLEASRVNEWIVTEKLGDAHKGKSGGKGLKKFRFRIGDRIHMLELLVGFYLIFCGCYDVMYGKNHFFIFLYIQAIAFFIMAFGYVGTFVPNS >CAK8578483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631762065:631764689:-1 gene:gene-LATHSAT_LOCUS30489 transcript:rna-LATHSAT_LOCUS30489 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNVTVERSIFLLLHPYNYTTLNVCSAAPPNIVSDGLWGGKDNGRVPLKSALPIFELQVLVIFTITQICNFFLRRFDFPEFIGQMMAGIILGPSIRMNEMDNFKFFLFPYGSQDILASISSIGYALFIFITAVQMDLSMVTRTGHKAWTFAITGLVVPLFLTSAVQSSMKSLASDYLKEMYNDIPYVVLSHTVISFAVVASLLNELKILNSELGRLALSSVLVGDILGTSIGCLTNILMLQREIQKKLIFGLSGLAFAIFVPLILRPGMFLIIKHTKEGRPVDDGYVYLIIVLVCGLGWISVYIGQDFIVGAFVLGLAVPEGPPLGAALVKKLQFFSSSLFLPIFVTCGVMKADLGLPHTGRAFVGIGGFIVFTHLIKMIAYVIPALICKIPLKDALALSLIMNAKGAVDVGIFSGLYDERLFTAQTYGVMTISIMIIACIIKWSVKILYDPSRKYAGYQRRTIMSLKPEAELRILACIHKQYNIPAITDVLDLCSPTTEKPIVVDALHLIELVGRTSPIFISHRLQKTVSCAHKSYSDDLILALDLYEHENFGGVTSHTFTAISPPTLMHEDVCQLALDKVASIIILPFHRRWAIDGGVESDDKNIRALNCKVLEIAPCTIGILVTRSLLQSNMSIKLAMVFLGGRDDREALCLARRAITNPRINLVVYHLTVDQHMPNLEFLLDNEALNEIKKITHYGPAKVSYQKVMVNDGPATSAILRDIANEHDFFIVGRTHDADLPQTEGLTNWSEFSELGVIGDLLASPDFESRAGVLVVQQQVKDK >CAK8532052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196806296:196806788:-1 gene:gene-LATHSAT_LOCUS1790 transcript:rna-LATHSAT_LOCUS1790 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEMKNVIMIMMMLILAEASYSLTRESPNSIGSVVKCAGECAVKCGDHLGDEIKYAECFAGCIIFTCHIISLQAAYDCTTRCAYSKLNNINTDARDVNGIVNSCFKTCKDNTINKS >CAK8564530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679956518:679956976:1 gene:gene-LATHSAT_LOCUS17829 transcript:rna-LATHSAT_LOCUS17829 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFSNSSEKASLQRNLKALESMLEDAILGGLEKHSHEDIQQKFTSIGNLVSADSTQKLESLRNSFNERDSTTFTIHSNPLFEKDSISSSCMNDNEGGQEVDDLPEEKDSCSNCCVLAIGVGIGMILMGFIMVNISGCFQYVEQTNFAIPT >CAK8561205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117340185:117340724:1 gene:gene-LATHSAT_LOCUS14815 transcript:rna-LATHSAT_LOCUS14815 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRAHVPFRTTESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFKLDRATLKSDGVFRSSPRGWFTFGHVSFALLFFFGHIWHGATTLFRDVFAGIDPNLDAQVEFGAFKKLGDPTTKKQGV >CAK8532783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:515164881:515167482:-1 gene:gene-LATHSAT_LOCUS2455 transcript:rna-LATHSAT_LOCUS2455 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRLFLDLSTSLRHCPPHVRRYYPINKTHFLQRMSHPAVNNRSFSSSSSNKTGLVGWYLRKLESHPVITKSITSSLIFSAADFTSQMITLPSSASYDFKRTSRMATYGLLFLGPSQHLWFNFLSRILPKRDVNATLKKIFMGQAVFAPISNTVFFSYNGALQGDSGPEIIARLKRDLLPTLLGGAMFWPVCDFVTFRFIPVNLQPLMNSSCSYVWTIYLTYMANRTNLTGA >CAK8573556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620041718:620044713:-1 gene:gene-LATHSAT_LOCUS25991 transcript:rna-LATHSAT_LOCUS25991 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHNELGSVREENVVVGVGPETSNNLDLNVEQDCGSPNIVHGNCSQSGVTSANAFCSSTILGIGTVFESDEHAYRCYNKYARLMGFNVRKDWINRSKVHGMVVSRKFTCSKEGYRRTDRRDCNVKKHRKETRTGCLAHMIITRQSDGKYHVTHFEAQHNHGDVNHSNANMLLLDLQNEINVAQAVEAAEVDSYNSLGPKSRSALKMMNKKLGARDSLDQLSMNYDNYLHSVRGRDMGKGEAGRLLGYFQRQHFENPAFFYALQLDADDKVSNIFWADDNMVVDYDHFGDVVCLDTTCRTNRALRPLVQFLGVNHHKQVIIFAAAFLYDETVESFNWLFQTFIGTMSRKKPKAIITEQDAAIIEAINTVLPETIQYTCVWQMYENTVTHLSHFVKDAKSFANDLRCCIYGPKNEEDFTHAWEAMLEKYNLHQNEWLRWMYREREKWAVFFGQNTYFVDIKGFHLGEILSLKFRNYLNPDLDVVQFFNHFERVVDEQRYKEIEASDEMKRCSPKLMGNVVMLKHASVAYTPRAFEVFQQRYEKSLNDLVNLHNKDGSLFEYKVNTFGHARQYSVTFNSLDDTVVCSCMKFDHVGFLCSHALKVLDNRNIKVVPSQYILKRWTKDARLGNSRELKQCKMQDNPKMVVASCYKDLCHRLVNLSARASESVEAYQFAAKQLDEVMEGVQKILALKGDEAQVITSNSIHVDASENEPAEIFPNGHATENQDESNRVSTEVVRIATPDRWQTTVNYNQTNSDRILNGEPSPNTVLCISTPASPYVSPQSGTPNPLFQDLFGFEANQVVQCMYEQPDLVLDHQSDTNLFPPPNFFSNQQNPPCGSQLSQEPIIPNTYHESMPIINQTRQGMDLDIQNPVSCCVTIDTEGLTLRNGFGDHG >CAK8575014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21516608:21517334:1 gene:gene-LATHSAT_LOCUS27307 transcript:rna-LATHSAT_LOCUS27307 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLNLSTNVSFDGVDVSSILSQLNSTLANILNYPKSWVTVSLEGSIPMSFGNTEDPAAYGEFVAIGILNPELNKKLSAEIALVLQTMLSIPKSRFFLRFNDIEGYNCGLDGSIMVVESK >CAK8543665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630352736:630353530:1 gene:gene-LATHSAT_LOCUS12409 transcript:rna-LATHSAT_LOCUS12409 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >CAK8569167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678430451:678433262:1 gene:gene-LATHSAT_LOCUS22051 transcript:rna-LATHSAT_LOCUS22051 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQPQLTATPLPFHSTRVKIFPIHRRRRRHHHHAISQPPPSAATPFSSASLIIKEDGPDDILSLQNRRYDFAPLLQFLSNDSNSNNNKNTDSPSPTSLDSTEFQLAESYRAVPAPLWHALLKSLCSDSSSISLAYTVVSWLQKHNLCFSYELLYSILIHALGRNEKLYEAFLLSQEQVLTPLTYNALIGACARNGDLEKALNLMSRMRHDGFQPDFVNYSSIIKSLTRSNQIDSPILQKLYAEIESDKIEADAHLLNDIILGFSKAGDATRAMHFLAVAQGKGLRAKLGTLVAVILVLGNSGRTVEAEALFEEIKENGLEPRTKAYNALLKGYVKTGSLKDAEFVVSEMEKSGVLPDEHTYSLLVDAYSQAGRWESARIMLKEMEASNLQLNSFIYNRILAGYRDKGEWQKCFQVLKEMKSCGVQPDRNFYNVMIDAFGKQNCLDHAMATFERMMSEGIQPDTVTWNTLIDCHCKSERHNRAEELFEEMQQKGYSPCVTTYNIMINSMGAQERWERVSDLLRRMQSQGLLPNAVTYTTLVNIYGKSGRFNDAIECLEVLKSMGFKQTSTMYNALINAYAQWGLSNQAVNAFRMMAAEGLTPSLLALNSLINAFSEDRRDAEAFAVLQYMKDNGIEPDVITYTTLMKALIRVEKIRKVPAVYEEMVRSGCTPDRKAREMLRSALRYMKQELRS >CAK8565594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:287148937:287149632:-1 gene:gene-LATHSAT_LOCUS18791 transcript:rna-LATHSAT_LOCUS18791 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAQAMNFILKATRNLSITALVPSTYYRMDSLFGKRGHKWTKILATGKVFTDGCNKGMADEVAKANTHNFMQFDRERFYFMVQEKINQNDGRPTGTFSVDLRNRWCDCGKFQAFHLPCSHVIATCSSIRQDYTIHIPEVFIVLNVIRIYKESFLGLPHEKNWPKYEGFTLCHDDSMRRNKKGRPTSSRIITGMDDAEKEKRRCGICREIGHMRRKCPNVVGLSNRPPR >CAK8574821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10567499:10568167:-1 gene:gene-LATHSAT_LOCUS27124 transcript:rna-LATHSAT_LOCUS27124 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPPKSSLLLHRINHTTTPTVTNHHDHLPGENTPLFVNPVTTIPNTISHYHTHPISSNQLCSAVVQETTASIASVWSVVRRFDKPQAYKNFIKSCSLINGDGDVGTLREVNLISGLPAARSTERLEILDEDQHVISFSVVGGDHRLANYRSVTTLHPSGEGDSSGTVVVESYVVDVPPGNTKEDTGVFVDTIVRCNLQSLAQTAENITQQNNNDHYKCCS >CAK8541304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:110556390:110557001:-1 gene:gene-LATHSAT_LOCUS10234 transcript:rna-LATHSAT_LOCUS10234 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAPINKNSFTFPYANKWSVPGMNYQRCPRHSIIQYRNLIDHLGPDDFVWMPYKGLDHEPNPDDTAVWTSKTPIIWFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGQWHQKRVDAQWSLSDWKEFAKDLRIHRKRRRQILEIFLNS >CAK8578482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631756031:631761644:1 gene:gene-LATHSAT_LOCUS30488 transcript:rna-LATHSAT_LOCUS30488 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLASEKHVRYIVSVEERKDSFESVVMEHLRMNGAYWGLTALDILGKLHIVDVDEVVSWIISCQHESGGFGGNVEHDPHILYTLSAVQVLALFNKLDVIDVDKVTSYIVSLQNEDGSFSGDIWGEVDTRFSYIAICCLSILSRLDRINVEKAVKYIISCKNMDGGFGCIPGGESHAGQIFCCVGALAITGSLDLIDKDLLGWWLCERQVKSGGLNGRPEKDPDVCYSWWVLSSLIMIDRVHWISKEKLIKFILDCQDMENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIFFSK >CAK8573743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634369512:634371014:-1 gene:gene-LATHSAT_LOCUS26151 transcript:rna-LATHSAT_LOCUS26151 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCQKKLSKVIVPDKWKEGASNTTENGGRKINENKLLSKKNRWTPYGTTKCIICKQQMHQDGKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >CAK8574135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664430679:664431898:1 gene:gene-LATHSAT_LOCUS26509 transcript:rna-LATHSAT_LOCUS26509 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEKRISAIDLNQPKQAELQEEYNFEVEPASVETRNTSLSGLTIKPENIENGVRFSASLPSNVSSVLDSDLGGTAKDELPSMILMGCSTCLMYVMVVKADPKCPKCKNQMMNVGIF >CAK8536898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35721027:35726951:1 gene:gene-LATHSAT_LOCUS6224 transcript:rna-LATHSAT_LOCUS6224 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSKKVEVTSDDAKIYIKEIKDAFQDEKHKFNEFVMTMKDIKKKRAEIACMLARVNELFEGHDELLLKFNTYLADEFESMPPPKKPEVNIEYARKYLDKVKTRFQDDPDTYQSFLAILNMYRNKEKSIEEVSRMVISLFKDHPDLVDGFIEFFP >CAK8567381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509787713:509794708:1 gene:gene-LATHSAT_LOCUS20441 transcript:rna-LATHSAT_LOCUS20441 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDFALTVPSELESALKLKTVNYFVTRRPWLDLYGVNVRPVAPFGSASRKPYVDSALIHRALPDELLFEVFARMTPYDLGKASCVCRKWRYTVRNPVFWRNACLKGWQLSGAVENYRILQSKYDGSWRKMWHLRPRLRTDGLYASRNTYIRVGVAEWKITNPVHVVCYFRYLRFFPSGRFLYKNSSQKIKDVVKCMNFRSSKADSVFGGHYTLTDDKVEAAVLYPGMRPTVLRMRLRLRGTTTGANNRMDLISLVTSGVNTNEASAYEEDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFEEVETSVLNLSVEKMDYYVPG >CAK8578252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614976163:614979169:1 gene:gene-LATHSAT_LOCUS30279 transcript:rna-LATHSAT_LOCUS30279 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVQVPTNNSSLIAMIADEDTIVGFLLAGVGNVDIRRKTNYLIVDSKTTVKQIEDAFKEFTSRDDIAIVLISQFVANMIRFLVDSYNKPVPAILEIPSKDHPYDPTHDSVLSRVKYLFSNESVASDRR >CAK8535570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864045317:864048408:-1 gene:gene-LATHSAT_LOCUS5017 transcript:rna-LATHSAT_LOCUS5017 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQDNQIIFKSKLADIYIPNHLPLHSYCFQNLSQFYSHPCLIHAPTGKLYTYSEVQLTSRKVASGFNKLGIQQGDVIMILLPNSPEFVFSFLAASYLGAIATSANPFFTAPEIEKQAKASNAKLIITQACYCDKIKELLNNTDHRKLVLIDSTADDDNNNVHFSTLTDADEKDLPEAKIHPDDVVALPYSSGTTGLPKGVMLTHRGLVTSIAQQVDGENPNLYYRNDDVILCVLPLFHIYSLNSVLLCGLRVKATIVLMPKFEINAFLSLVSKHRVTVAPVVPPIVLAIAKSRDLNKYDLSSIRILKSGGAPLGKEMEESVRTKFPKAILGQGYGMTEAGPVLSMSLAFAKEALTVKGGACGTVVRNAEMKIVDSETGNSLPPNKLGEICIRGQQIMKGYLNDLEATDRTIDKEGWLHTGDIGYIDEDDELFVVDRLKELIKYKGFQVAPAELEALLLSHPKISDAAVVPMNDEAAGEVPVAFVVRSNGYTDLTEDEVKQFIFKQVVFYKRINKVFVVDAIPKSASGKILRKDLRAKLAACVPN >CAK8544332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678876184:678876801:1 gene:gene-LATHSAT_LOCUS13021 transcript:rna-LATHSAT_LOCUS13021 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAELKQRFITPDQTTTTTTATTNDDDKNGTTNHKRAKRMALARRGLRSLIIAVSFPLSMTILSIYIASSFTFSNHNNESITSSRKPFWFPPSWLLHLMLPSCSFVMGLSAWLVWAEGAFHTDPTAFLLYTIQLLFTVLWEPVVLGVGATSFGLMLCLGIFGSLIGCMYVFGKVNPVASDLIKPCLAVVSFLFIVNLKLIYI >CAK8579396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695668792:695669088:1 gene:gene-LATHSAT_LOCUS31345 transcript:rna-LATHSAT_LOCUS31345 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSISYQQEIKELIDFAFKGAKENDVVICPCKHCGFKKSKSMSDMFDHLMWSPFSQGYTMWIHHGESLVVPSTISPRTTQNMVEDTIIF >CAK8578535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635792708:635793436:1 gene:gene-LATHSAT_LOCUS30537 transcript:rna-LATHSAT_LOCUS30537 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHQQRKSNEGKHREKLIMKNRSKFVGVRQRASGKWAAEIKDTQKNIRMWLGTYKTAEEAARAYDEAACLLRGSNTRTNFSTNHSIPTNSPISLKLKKLLHRKSISNQTQTQSQNQSTMTCSSFQGAPIDNSIMLQEKENNSSWSSEDSKSLFWVQNQVSEYSNHYGVDMNMINCELGISPNTLEFDYSWSFPQQRINESTTSKDDMSVYGLSECYVEDTYEVKHEYDVNYPLSHLFCFT >CAK8535825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883763658:883765463:-1 gene:gene-LATHSAT_LOCUS5245 transcript:rna-LATHSAT_LOCUS5245 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMSPAGKDGQSPPSSKKTSKKPKYSKFSQQELPAWKPILTPGWVIAAFTVIGIIFIPVGLASLFASERVEEAVFRYDDTCLPSSDAGNPVAYIKNNAANKSCTHKWIVEHKMYAPIFIYYQLDNYYQNHRRYVKSRNDKQLWNKDAGGETANCFPEAKTKENEPIVPCGLIAWSLFNDTYKFSMKNKDLTVNKKNIAWKSDKGSKFGHDVYPKNFQGGGDLIGGAKLDESIPLSDQEDLIVWMRTAALPTFRKLYGKIESDLEANDEIDILIENNYNTYEFGGKKKLVLSTTSWIGGKNPFIGMAYLFVGGISLLCAIGFILMYVIKPRPLGDPSYLSWNRNPGILK >CAK8530339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15074821:15075150:-1 gene:gene-LATHSAT_LOCUS210 transcript:rna-LATHSAT_LOCUS210 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNVNLSLTIVALLLLSTSMAQSPTKSQPPRRAISPSPAAVTQSPASSPSSGSSPPEPSTFAPAISPSSISSPPSEAPGPASNAVLLNRVSVAAGSALMIFVAVLIS >CAK8530933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64529928:64531340:1 gene:gene-LATHSAT_LOCUS760 transcript:rna-LATHSAT_LOCUS760 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITLSLPSTNPSFFSSSPKPFKFNPKCSSQLSPTPSSLSKTSRVIIIGAGLAGLAAATHLNSQNIPFLLLESSDAVGGRVRTDIVDGFRLDRGFQIFITAYPEAQKLLNYQSLNLQKFYSGAKIFYDGKFHTVADPLRHFLDSAKSLTNPIGSIFDKLLIGTTRIGVLTKSDEQILTSEEVPTIELLKRLGFSDSIVTRFFRPFFGGIFFDPELQTTSRLFDFIFKCLALGENTLPANGISAIPEQLAARLPTDSILLNTKAVSIDFGGSESPRVRLQNGDVLDSELGVIVAVEEPALVKLLAGRKNPVLKKPVRSTVCLYFTAEPDYIPLHDPVLFLNGSGKGIVNNMFFVTNVAPTYGPPNKALVSVSLIGLFENESEDELVDKVVQELSDWFGDRMVQEWKHLRTYRIEYAQPNQCPPTSLKKNPNVESGLYLCGDYLTSATFDGALVSGRRAAESLLKDKRFIG >CAK8579465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701113179:701116528:-1 gene:gene-LATHSAT_LOCUS31411 transcript:rna-LATHSAT_LOCUS31411 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIFGKPKQEANTLTTLDKLNETLEMLEKKEKVLLKKAGAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAATMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAASVHVPAGRQPTRPAHAKPTPEEDELAALQAEMAL >CAK8539905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530828708:530831064:-1 gene:gene-LATHSAT_LOCUS8961 transcript:rna-LATHSAT_LOCUS8961 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSIVRELKEMRDGIGNTSRRSAGSRHSQRRTKSHIVPDITLTSLEPIQQGQWANIPSELLLDIIRRVEETETSWPARAVVVSCASVCKSWRAVTKEIVQTPEQCGRLTFPISLKQPGPRDSPIQCFIRRDRETSTYLLYYGLVPSENETDKLLLAAKKIRRATGTDFIISLVADDFSRSSNAYVGKLRSNFLGTKFTIYDSQPPHGAGIQPNSRSSRRFHSKQVSPRVPACNYIVSTIAYELNVLRTRGPRRMNCIMTSIPVSAVQEGGNAPTPTSFPQITDEHFCSAPAPKGKGPIGDLSNSTFSEMLPVQSKGPIDSLVLKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >CAK8535150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817818600:817819460:1 gene:gene-LATHSAT_LOCUS4626 transcript:rna-LATHSAT_LOCUS4626 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPITASTIGFHFTAATEKPIFSVPFMKVSSRFSHTKPCYFLHKTRLLSSGTTIIPRAAAPVTDVQDGNQGETDTVPTPIVIIDQDSDLNATVVKITFGDRLGALLDTMSALKSLGLNVVKANVFLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTIINNLIQYHPESSSQLAMGAAFGLLPPKEQVDVDIATRIYVSDDGPDRSLFYVETADHPGLLVELVKSITDIDIAVESGEFDTEGLLAKAKFHVSYKGKAISKQLQQVLANSLRYFLRRPATEESSF >CAK8544107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663175176:663178395:1 gene:gene-LATHSAT_LOCUS12811 transcript:rna-LATHSAT_LOCUS12811 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMLDGAIRRVVVIGNGFAGAENQSIGLVRALGLSNRLSLYRVTRPQGGINRWFKWLPVSIHKKLDSVMRRICGNSRFQSLNSNIVISNVLEADAHSIAMMARETFYKDGPLLVVASGRDTISVATSIKRLASENVFLVQIQHPRFLLNRFDLVITPRHDYYPLTPHAQQQIPWFLRRWVTPWEPPGRNVVLTVGALHQADSAALRVAASAWHNELANLPKPLLVVNIGGPTGNCPYGVDLAKNLVVMLQNVLWSCGTIRISFSRRTPEKISKILLKEFSTNPKVQIWDGEGPNPHMGHLAWADAFVITADSVSMLSEACSTGKPVYVIGAELCTWKFADFQNSLQKQGVARPFTGMENITESWCYPPLNDTAEAATQVIAALAQRGWTIRAQ >CAK8531705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141956755:141957924:-1 gene:gene-LATHSAT_LOCUS1477 transcript:rna-LATHSAT_LOCUS1477 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLSIFPPSIITLKLNTWPNRTLTHLNCLTSPQPSPSSPHSDSTSFQVSYLINNFDFSPQFASKLCSAYRVGFKTAQNPDSVINFFTNYGFSNSQLRDMIAKAPWLLSWNLSKTVLPKFEFFLSKGASNSDIVHLVSKNPRALSPSLENHIVPTYELLYRFLQSDRDVIASAIQNPYLLSRHLVPCNITMLIENGVSDSNIVRILRTRSGTLDVHNLVNLLEELKDLGINPSKYAFAIALMAKTSVNKTRWKKKVDAFKKWGWSDKDVIESFKKQPYCMLTSIEKINLVMNFWVNQLGWNALALAKQPVIFCLSLEKRIIPRASIMQFLLNNGLRNNSASLTYPFAVSEEDFVDMFINRYEKESSYLLNLYQEKLKLADTRDKNCML >CAK8535856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885845754:885847940:-1 gene:gene-LATHSAT_LOCUS5276 transcript:rna-LATHSAT_LOCUS5276 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIIYRRSLRALSALHHSFSATSILPISGHISSSPSSCSRRESSLNTIGVQSRSFRSTSISLLSSRYTETPDEIGPDTILFEGCDYNHWLFVMDFPKDNKPSPEEMIRTYEETCAKGLNISVEEAKKKIYACSTTTYTGFQAVVTEEESKKFESLPGVIFVLPDSYIDPVNKQYGGDQYIDGTIIPRPPPIQYGRNQGGRRDFNRQGQGNPSYNNQGGRNFGPSQNYPPQQGSQGYPPQQSHGQTSQGYPPQQSHGQTSQGYPPQQSHGQTSQGYPSQQNYSPPRNIDQAPQNYSQQQTFGSAPPQQSFGSPGQGERRNYAPQQHFGPPGQGERRSYAPQQNFGPPGQGERRNYAPQQNFGPPGQGERGNFAPQQNFGPPGQGERGNFAPQQNFGPPGQGERGNFAPQQNFGPTGQGERGNFAPQQNFGPPRQGERRDSVPSDGGWDFKPSYMEEFEQVDKGNQNAKEQPGSQQRFPPPGPGNFPGEGRY >CAK8536835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25968466:25972949:-1 gene:gene-LATHSAT_LOCUS6164 transcript:rna-LATHSAT_LOCUS6164 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPTASYLSVFFPHLTVSLFPPIFVSLPSSKRVQRFAGLSEPGLVIGRGDFSNRGLCCSCSRFLTRCKDWAGDFSSLEDEILEFMQKSDNPDTFPTKEELVKAGRADLAEAIVKEGGWLSYGWDLKDGSLESVDFEDVSGSDIEGYGTGDSVVAASSSSSGSSLVNFSQPAEPAEIVVEESGIEGILNRLEKHRNSSFGRGFGEKEDVISPNNNNKDKDKDKWDHRTTMDGVAANLHNSSKPSSLNPTTRSLSSSPTKLDQHGSQIGSDKSRNSIKPETWRSWVVQRTGYSDADFEDAEIVPSDIKKGGVCDVSGRPEIVKIGNFSREPVNRETELDANRNDIKSRIQHLESELSTVLYSLRSNTSDVTMLTEQKNTSDNLEKLSDAWEFQETEIMNAQARLRSLRAKLAVLEGKMALAIMDAQKALDEKQKKIDYVHKALKLLKSTCVVWPNNASEVFLVGSFDGWSSQRKMEKSDTGIFSVVLQLYPGKYEIKFIVDGEWKIDPLRPVVNNNGYVNNLLVVHD >CAK8563050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569475115:569477364:1 gene:gene-LATHSAT_LOCUS16497 transcript:rna-LATHSAT_LOCUS16497 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLQSLVSLSELVTTSSTNQRLRIFQREVPAFLNSSVSDDVAPELASLLTDIIFRTVAIYDDRGSRKAVDDVIVKALSGTVFMKTFAAALVQSMEKQMKSQSHVGCFRLISWSCLLLSKSKFSTVSKNALCRVATGQASLLNIVWRRSFRERTACKKKIFHLFSKSPDIYKVYVQEVKNGLIPYKDSPELLLLLLEFSTRSPPLFGEFKPTFLDIYVNAILSAKEKPGKSLPEAFHPLYLQISHEDFGIIVLPAAVKMLKRNPEIVLESVGILLKSVNLDLSKYAAEILSVVLVQARHADEGRRGVALAIVRSLSQKSSNPDAVDTMFNAIKSVIKGSEGRLAFPYQRVGMVNAIQELSNAPDGKYLIILSQTICDFLLSCYKDDGNEEVKMATLSAIASWAVKSSNIIRESLVSFFASGLKEKEILRRGFLRSLHVICKNDDAVLKISSLLGPLVQLVKTGFTKAVQRLDGIYALLLVGKIAAVDIKAEEILVKEKVWATISQNEPSLVPISTASKLTVEDSIACVDLLEVLLLEHLQRTLSSFSVRSLLQLIIFFICHPRWDIRRIAYNVTKRIITSVPQLSEDLFSEFSKYLYLIEEKLSALRTSDTDISLDPQVPFVPSVEVLKTLHVIFINFPKLHISEAATLCIPHLVGALKSGGEVAQDSVLDTFFLLKQSWSTMPLDIAKSQAMIAAEAIPILQMIMKTCPPSFHERADTLLHCLPGCLTVTIKRGNNLKQTRKTTINM >CAK8534724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759472713:759472886:1 gene:gene-LATHSAT_LOCUS4243 transcript:rna-LATHSAT_LOCUS4243-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPNGSTIPDCSHACGPCSPCKRVMVGFIKCSMTESCPIVYRCTCKGKYYHVPSN >CAK8534723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759472237:759472886:1 gene:gene-LATHSAT_LOCUS4243 transcript:rna-LATHSAT_LOCUS4243 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYSLGAHKSFLVVIFFTLFSIGWSLRAIPNHAKLMGLQEEKVAIRDKKEETREDNTSMELYPNGSTIPDCSHACGPCSPCKRVMVGFIKCSMTESCPIVYRCTCKGKYYHVPSN >CAK8534892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787653106:787653603:1 gene:gene-LATHSAT_LOCUS4394 transcript:rna-LATHSAT_LOCUS4394 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYTTSHKVIHWVNWEIVCRPMAKGGLRVKDLAAFNEDLLLKWKWRFLSEKEAIWKPIIDQKYSISSLVLVVMGYEGKMSNKNGSIWWRDLSLILYNKATSNDLFVNGLNCILCNDKYLAFWHCKWALKCPLKGLFPNLFIFCSNSCCSVAEMGNWGCGRMELE >CAK8571225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:343609869:343610429:-1 gene:gene-LATHSAT_LOCUS23907 transcript:rna-LATHSAT_LOCUS23907 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGIHTETLALVESHLFENRLIDASQHQTSSYATTTSGPEAISWTVQSSTANGVYSNPTYQYDQHPQPPEKNVQEGQSVSSVAGNTSNLGTANTPQGYNAYTSYANSSNPYGYGSSTGYSGYYNSYQQQQPNHAYSQPIGAYQNADYWRLPKQQWIHKSSAGVEQWQLFKLFLSSIYKLRTRFQ >CAK8575824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:331668278:331676156:1 gene:gene-LATHSAT_LOCUS28058 transcript:rna-LATHSAT_LOCUS28058 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPACGNLCCVCPALRASSRQPVKRYKKLLADIFPRNQEAEPNDRKIGKLCDYASKNPLRIPKITDNLEQMCYKDLRNEVFGSVKVVLCIYRKLLSSCKEQMSLFAGSLLEIIRTLLEQTRMDEIRILGCNTLSDFIDCQTDGTYMFNLEGFIPKLCQLAQEMGEDERTLCLRSAGLQALSYMVRFMGEQSHLSLDLDEIMLVTLENYMGFQSNSNLPKEHKLSSMSLDQLEHEFPKEDCSSTDISKKDHLWLKLVAGAGMDSMLDTAKDPAYWSKACLYNMVKLAKEATTLRRVLEPLFHYFDTQNQWSSEKGEATRVLTYLQSLLEDSGHNSYLLLSILVKHLDHKNVSKQPILQINITNTTTQLAQNVKQHASVAIIGAISDLIKHLRKCLQNLATASSIGNDEYKLNTELQSALEICILQLSNKVGDVGPVLDLMAVVLENISTTTIVARTTIYAIYQTAKLVTSIPNVSYHKKAFPDALFHQLLLVMAHPDHETRIGAHSVFSTVLSPQLDHKTMMAQNVPNESFSIQHKSFSGEDQMNRKPMEGRAVVDVSSRKYRVLPYRVYSFSGALNHGNDELSSFRLSSHQVSLLLSSIWVQATSMDNGLENFEAMAHTFSIALLFTRSKTSSYMALVRCFQLAFSLMSISLDQEGGLQPSRRRSLFTLASYMLIFSARTGNFPELIPIVKASLIHSTVDPFLELVDDVRLQAVNIESEKIIYGSQEDEVAAIESLSAVELDDQQLKENVISYFLTKYSKLPEDELSSIKKQLTQGFSPDDAYPSGPPLFVETPSTCSPLAQIEFPDFDEIVASVALIDEETGPEPSGSQTDCKSPLSFNNLTILSVNQLLESVLETARQVASFPISANPVPYDQMKNQCQALVSGKQQKMSVLHSFKHQQETRTLILSSANETELTSLPIKTLDYSEGDLELVSQEQLQALYPVHPCSYDYRQHHSLRLPPASPYDKFLKAAGC >CAK8576588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496125258:496128464:1 gene:gene-LATHSAT_LOCUS28764 transcript:rna-LATHSAT_LOCUS28764 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFETAAGFALFKVLDEGKLSKVEDLQESFSSAATARKVVKLKAFSKFENTSEALKSANLLIDGKASKDLRKFLGVHCQNETLGVADTKLASIIKEKLQIDCVHNIGVMELMRGVRYQLTELIAGLAVQDMAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIILDNIQYAKAVKLMGDRINAAKLDFSEILSEEVEAEVKEASVISMGTEIGDLDLENIRELCDQVLSLSEYRAQLYDYLKSRMSTIAPNLTAMVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTNHATPKYGLIYHASLIGQAAPKFKGKISRSLAAKTALAIRYDALGDGQDNTMGLENRAKLEARLRGLEGKELGRFAGSAKGKPKIEAYDKDRKNGGLITPAKTYNTAADSVIEPKSSSAMDEDTQETPVTGKKKEKKEKKKKEKKEEKVEDVVEEPEQEVVKKEKKKKKESTEKTELQNGDNNLNGGEKKKKRKKSAEEEDTTDMPSKKKGKKKKKDV >CAK8531190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87727700:87734181:1 gene:gene-LATHSAT_LOCUS997 transcript:rna-LATHSAT_LOCUS997 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVFLGYEPFVNVGRDDEEEDEFCSCCEDEEEREQQQQDDEEWKETEETVVEGLKEELDEFSVRMYFKGLSITGVENSTTGFSGIGVFMERSLNFSPIRVQKRLDFYAEEPMVDYLALMDGLLEALQNKIRRVYAFTDSELLYKTTFEKNLDMPLLMALKERILEHANNFETFDLKLIPSTDLEQPLQLAKVAMGLVTFPVNEKTSLENCSICCDDKPVPMMITLKCSHTFCSHCLRSYADGKLQCCQVPIRCPQPGCRYCISAPECKIFLPFTSFESLEKALSEANIGQSERFYCPFPNCSVLLDPCECLSAMDGSSSQSDNSCIECPVCQRFICVDCGVPWHSSMSCEEYQHLPEEERDASDISLHRLAQNKRWKRCQQCRRMIELTQGCYHMTCWCGHEFCYSCGAEYRNGQQTCQCAFWDEDSLTNSLQESEQWAWETSMIMDAYSDQERSQLALIQRFLDGGFSLSDHNPYQSPPPPPQCTESFVDPLKDLHQLPWLERFVSVISDNYYEDYMQ >CAK8570624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75831905:75832972:-1 gene:gene-LATHSAT_LOCUS23360 transcript:rna-LATHSAT_LOCUS23360 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVCKQHGNSDASIASFITTGFVGQLRGWWDHYLTESQKLEILNHKKIVKSEPRTSTSTIVATSTLGEEDAVYTLCLSILQHFVGTNVPIGEKIQTLLQNLRCPSLTHFRWYKDTFLSRVYQLNNPNSLHWKAKFIDGLPHFFSEKVGQSSRQKNDGININYSDLTFGHIISTYVNEGLTLCNDKKLRNQLKKQKLSEKHQIGEFCEQFAFDLGKSPDNKKKKGNIFRNKPYRDKPKSSYKNSYKNKKRGHYNKSIPKEKSFDPKGKRKAKKLDITCHKCGKPGHYANQCWTKKALNEIEDEQLRSQLEKVLLLKSDSEEYSSEEDINIIYESSSDYSSESYNNNDCQCNQLD >CAK8573775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636127419:636130192:1 gene:gene-LATHSAT_LOCUS26181 transcript:rna-LATHSAT_LOCUS26181-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGLLFLLATFSSLFVQCSSSPVLQAALDNSTHAYYNCTKNSTSATYNTYRSNIKTLLDLLSSKSINATYYNATVVSDNNVDTVYGLFLCTRDIDLKICKMCVIEAAKLISSLCATAKEAIVWYTVCYVRYSDNSFFSVVEKTPEISFMNDNDYVGQVGLFNNILWDMLNDLRNAAANSSAKLADKSANITENQKLYAYAWCLPYLSAENCGWCLSDAIAEVPTSCCRGKSGGTILYPSCGIRFELYPFHKIHDSISWVPTSPISPRSLAPPVGNDITTLESLQFEFAQIEAATNRFAAANRIGKGGFGEVYKGILSDGQEIAVKRLTRSSGQGAVEFKNEVQVIAKLQHRNLVRLLGFCLEDEEKILIYEYVPNKSLDYFLFDPQKRKLLSWSQRQKIIKGIARGILYLHEDSRLKIIHRDLKPSNVLLDSNMNPKISDFGMARIVSIDQIEESTCTIVGTYGYISPEYAMHGYFSVKSDVYSFGIMVLEIISGKRKGCSSESECIDDIRRYAWIKWALQTPLELMDSSIEGTYSQEEVIKYIHIGLLCVQEDPDERPTMATIGFYLNSSSINLPSPLEPPYFKRSGNEENTAPNKESANIGDSTNGITITQFFPR >CAK8573774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636127419:636130192:1 gene:gene-LATHSAT_LOCUS26181 transcript:rna-LATHSAT_LOCUS26181 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGLLFLLATFSSLFVQCSSSPVLQAALDNSTHAYYNCTKNSTSATYNTYRSNIKTLLDLLSSKSINATYYNATVVSDNNVDTVYGLFLCTRDIDLKICKMCVIEAAKLISSLCATAKEAIVWYTVCYVRYSDNSFFSVVEKTPEISFMNDNDYVGQVGLFNNILWDMLNDLRNAAANSSAKLADKSANITENQKLYAYAWCLPYLSAENCGWCLSDAIAEVPTSCCRGKSGGTILYPSCGIRFELYPFHKIHDSISWVPTSPISPRSLAPPGKQKTITIFEITVPTTVLMVLLVLGYCCFLYRKGRKSKHDILKESFGNDITTLESLQFEFAQIEAATNRFAAANRIGKGGFGEVYKGILSDGQEIAVKRLTRSSGQGAVEFKNEVQVIAKLQHRNLVRLLGFCLEDEEKILIYEYVPNKSLDYFLFDPQKRKLLSWSQRQKIIKGIARGILYLHEDSRLKIIHRDLKPSNVLLDSNMNPKISDFGMARIVSIDQIEESTCTIVGTYGYISPEYAMHGYFSVKSDVYSFGIMVLEIISGKRKGCSSESECIDDIRRYAWIKWALQTPLELMDSSIEGTYSQEEVIKYIHIGLLCVQEDPDERPTMATIGFYLNSSSINLPSPLEPPYFKRSGNEENTAPNKESANIGDSTNGITITQFFPR >CAK8566327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407134618:407139117:1 gene:gene-LATHSAT_LOCUS19463 transcript:rna-LATHSAT_LOCUS19463 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFSNDDLEYVNDDYFEFSDFQDEDTFSNNVPNRTSDSDSEDDFDTSNAKTDTSAMEARNGKDIQGIPWEMLNYTRDEYRETRLKQYKNYESLTRSHEELDKECLEVQKGKSFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRSKEVLNVAKPIIPTLQKHSGLAHPTSRVQISTMAVKENLMVAGGFHGELICKNLNHSGVAFCSKITTDDNAITNAVDIFCNSSGSLRVIAANNDSQIRVFDSENFASLGCFKYDWSVNNASVSPDGKLLAVLGDSTEGLIANANTGKITGNLKGHLDYSFSSAWHPNGQILATGNQDRTCRLWDIRNLSQSLAVLKGRIGAIRGLRFTSDGRFLAMAEPADFVHIFDSQSGYTHSQEIDIFGEIAGISFSPDTEALFVGVADRMYGSLLEFTRKHYYQYLDSML >CAK8566326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407134618:407139117:1 gene:gene-LATHSAT_LOCUS19463 transcript:rna-LATHSAT_LOCUS19463-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFSNDDLEYVNDDYFEFSDFQDEDTFSNNVPNRTSDSDSEDDFDTSNAKTDTSAMEARNGKDIQGIPWEMLNYTRDEYRETRLKQYKNYESLTRSHEELDKECLEVQKGKSFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRSKEVLNVAKPIIPTLKHSGLAHPTSRVQISTMAVKENLMVAGGFHGELICKNLNHSGVAFCSKITTDDNAITNAVDIFCNSSGSLRVIAANNDSQIRVFDSENFASLGCFKYDWSVNNASVSPDGKLLAVLGDSTEGLIANANTGKITGNLKGHLDYSFSSAWHPNGQILATGNQDRTCRLWDIRNLSQSLAVLKGRIGAIRGLRFTSDGRFLAMAEPADFVHIFDSQSGYTHSQEIDIFGEIAGISFSPDTEALFVGVADRMYGSLLEFTRKHYYQYLDSML >CAK8577530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571641458:571642390:1 gene:gene-LATHSAT_LOCUS29629 transcript:rna-LATHSAT_LOCUS29629 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELSLRGGMARSINNNSEISHQIADSSSQLASDSHNIKETEERQARELKAGLHPLKRKFVFWYARRVPGIRNQSYEDNTKKIVEFNTVEGLCVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNDGKWIIQFKKAVSGCFWEDLVLALVGDQLDYGDNICGAVLSIRFNKDVVSVWNHNASDNQAVMALRDSIKRHLKLPHSYVMEYKSHDASLRDNSSYRNTWSRGKIMGNSKEHHKCNNKDSRPESNLQQQFTVTRKMRVKLRGINHSINKSKKGQCVTTSFTVVKSKNIAVVAIHNEISIK >CAK8566725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456173767:456174940:-1 gene:gene-LATHSAT_LOCUS19837 transcript:rna-LATHSAT_LOCUS19837 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLFHYITIFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEENLIITLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKRLMKQGIDPATHKPFFNESLIKEENQKSSMLMPALSQLSQPQRTLSSQDYYSEALLMSDLNNYHYNINGLAFTEASRKILMNSSKPLFDPLCYNLSVSNYYQPKLREFEQNLYFGNDSNYFFSSMPCLNSSDNNNSVSKFSSLLVNENSNNGCSTMMNDYLVREMSSSMIENAGGLLSWEGENKVLDPLLQFEVNAVKCEELLINKTSSWQEGQFLTSNDSINFSTFPLTSLSEDLSNEANFDVFHHL >CAK8577179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543235087:543235329:-1 gene:gene-LATHSAT_LOCUS29308 transcript:rna-LATHSAT_LOCUS29308 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRVTSENSGAASSLKLRYVNHKNGSSSEDSEFDSLCLSDTGLAALADGFPKLEKLRVVMLEIKAWLLLDSVASNLGI >CAK8574991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20129753:20132443:1 gene:gene-LATHSAT_LOCUS27284 transcript:rna-LATHSAT_LOCUS27284 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHIEASTRSKVEYLPGFQGPLPFELETGYVGLGENDDDDDMQVFYYFVKSENNPQKDPLMLWLSGGPGCSSLSGLVYEIGPIAFEIKKYNGSIPSLISRPHSLTKISNIVFPDLPLGTGFSYAKNVNSHRSDSKMVHHVHQFLRKWLIDHPEFISNEFYLGGDSYSGIPIPAVAQEISNGNDKHLQPLINLQGYILGDPITTRKEKNYQIPYARGMGLISNELFESLQQNCEREYVDVDSENRLCLRDLQSYRQCFVGIRYDNILDRFCKDDSDLWRRSLIEESKESLSYQPIVPDIKCQIYKEYLNKKWADEELVRKALHVREGTVGKWTRCYRYHYKCDISNSFEFHVNLSKKGFRSLIYNGDHDAVVPFLSTEAWIKNLNYSIVDDWRSWLVKDQVAGYTRTYSNRMTFATVKGSGHITFDYTPEQSFVLLYRWMSNIPL >CAK8534444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727110086:727127859:1 gene:gene-LATHSAT_LOCUS3990 transcript:rna-LATHSAT_LOCUS3990 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTNLKAETSSLMDKRSLLESEMNSIIARLSQPGAPGLSGNLLDSEGFPRSDIDVPVVRAERRRLAELRNDYMEITKKIDQNVQILLSPRPGKNISGMQTSSTVEAVPSTPSQNVPLSPSPNSMDVDVLVSRPFAVVDEISDASPAVEDGLQLGDQILKFGNVEVGENLLPRLASESQSNMGQAVPVVIMRQGTVINLTITPRTWPGRGLLGCHFRIL >CAK8564208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657601395:657602398:-1 gene:gene-LATHSAT_LOCUS17531 transcript:rna-LATHSAT_LOCUS17531 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHSSAITLLLFVSFVTAYAAGNFYQNFDITWGDGRANILDNGQLLTLSLDKASGSGFQSKNEYLFGNIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNVSGQPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTAIREFKNMESKGVAYPKNQAMRIYSSLWNADEWATRGGLVKTDWNEGPFIASYRNFKADASSTNAWYSQQLDSTSQQRMGWVQKNYMIYNYCNDTKRFPQGLPTECAAS >CAK8543438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607945930:607946343:-1 gene:gene-LATHSAT_LOCUS12195 transcript:rna-LATHSAT_LOCUS12195 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKFNMTTKQKVVFSCLQAAHAQDFLLVIPIDELSQHMPVVEYHNILRYRLIITLFPFDEVCPICCKACLNTFGEHTIHCREIPSFKYQHDLVMDDFFDIFQRVGVSVMKNASRNFLTDPYEGISTLSATNVLVYE >CAK8535992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896840936:896841613:1 gene:gene-LATHSAT_LOCUS5403 transcript:rna-LATHSAT_LOCUS5403 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILLRIFLLYNVVNSFLISLVPKKLITFFPLSWFSHQTLTNLIQNNNNTTTTTTTLSSSSKKGLVITKTITMDPTELKRVFQMFDRNDDGRITKKELNDSLENLGIFIPDKELSQMIEKIDVNRDGCVDIEEFRELYESIMDERVEEEEEDMREAFNVFDQNGDGFISVDELRSVLVSLGLKQGRTVEDCKKMIGKVDVDGDGLVDYKEFKQMMKGGGFSALS >CAK8531122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82499566:82502566:1 gene:gene-LATHSAT_LOCUS934 transcript:rna-LATHSAT_LOCUS934 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRLRSLLSLAKCNSQTFPPTTTRTFSSTSHHLQNVGFIGLGNMGSRMANNLIKAGFKLTVHDKNSDVLEMFSQMGVPTKKTPYEVSEASDVVITMLPSSAHVIDVYTGPNGLLHDGKLIRPWLLIDSSTIDPQTSRNLSDTLSNYILKDKKGDWEMPVKLDAPVSGSIIAAEAGTLTFMVGGSEEALLAAKSLFLSMGKSTIYCGGAGSGSAAKICNNLALAVSMLGISEALALGQSLGVPASSLTEIFNCSSARCWSSDTYNPVPGVMEGVPSSRDYNGGFASKLMAKDLNLAVESAKLAGCKYPLTSQAQEIYTELCNGGHDAKDFSCAFRHYYSGMDEPLDK >CAK8575030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22634746:22638137:-1 gene:gene-LATHSAT_LOCUS27323 transcript:rna-LATHSAT_LOCUS27323 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNQSGCGMQKRENDVFASAVANGEMEVVEAMVDDDVSVLDRTIGRARLSPLHLAAANGRIEVLSMLLEQNVKVDVLNRHKQTPLMLAVMHGKTGCMEKLIQAGANILMFDSIRRRTCLHYASYYGHVDCLKAILSAAHSTPVADSWGFARFVNIRDGNGATPLHLAARHRRLECLHSLLDNGALVCASTGGSGFPGRTPLHMAARGGSVDCVRMLLAWGADRLQLDSSGRIPFTVALKHRHRACAALLDPSSAAPLVWPSPLKFINELNQEAKALLENALLEANREREKTLLKENDIPSSPLRSDNKDDDIVSDSEESNMELCCICFDQVCTIEVKPCGHQMCAHCTLALCCLKKPNPQTGCTTGPACPFCRGAILQLIVAKIKTCSDSEVESNPTKPRRSRKSNFSEGSSSFKSLSAISSFGRITGHNSGKIDTEKQ >CAK8535272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832484751:832485864:1 gene:gene-LATHSAT_LOCUS4745 transcript:rna-LATHSAT_LOCUS4745 gene_biotype:protein_coding transcript_biotype:protein_coding MATFIKLFVTLSITSLLACSTDGQLIHNFYGVTCPSLQTIVRNTMINAIKNEPRMGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAAPNKNSARGFGVIDAIKTKVEASCKGIVSCADILALAARDGVFLLGGPRWVVPLGRRDARTASQTAANNQIPSPFSDLSTLTRMFSAKGLTVKELTVLSGAHTIGQGECQFFRTRIYNETNIDPNFATLRKKTCPLSGGDTILAPLDTLTPTSFDNNYYQDLVAKKGLFHSDQVLFNNGFQDNLVRSYSTNRATFFNDFVAAMIKLSEISPLTGTKGEIRRNCRLVN >CAK8536639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3387829:3388083:-1 gene:gene-LATHSAT_LOCUS5982 transcript:rna-LATHSAT_LOCUS5982 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIFKKYRDIDEVVIPSRRDENERRYGFTRFFNVMDERRMAVRLDNIIINNVKLFFNLPRFQRDNHDDKKHENDRNYDNRKNT >CAK8570939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:179982292:179982983:1 gene:gene-LATHSAT_LOCUS23646 transcript:rna-LATHSAT_LOCUS23646 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLTYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCTTFFAGIVIAAISCWEVALLCLVVVPLILIIRASYTKKMNRISITKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFTENMDK >CAK8540653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15281083:15282642:1 gene:gene-LATHSAT_LOCUS9639 transcript:rna-LATHSAT_LOCUS9639 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRIGITTPIPNLVTLKQIHALIIINGFDTSSNVVFLRNLVSTIATSLVGPIATPTVTNYAHQLFAQIPEPDTFMWNAMIRGSSQSPDPLRAISLYSQMHRCCVKPDNYTFPFVLKACTKLFWVNTGSAVHGRVLRIGFGSNTFVRNALLVFHAKCGDLKIATSLFDDSCKGDVVAWSSLIAGYAKRGDLSYARKLFDEMPERDLVSWNVMITGYAKQREMENARLLFDEAPIRDVVSWNAVIAGYVVSNLNQQALELFDEMSRVGVCPDEVTLLSLLSACADLGDLEIGKKVHDKVMEISKGKLSTLLGNAIVDMYAKCGNIDETLHVFRSIRDKDVISWNSVIVGLAFHGHAEESLDLFKEMLRTKVCPNDISFGCVLAACSHAGKIDEGYKYFDIMRSEYKIEPNIRHYGCMVDMLGRAGLLKEAVKFIESMKIEPNAIVWRTLLGACKVHGDVELAKVANENLLRMRKDQSGDYVLMSNLYASRGQWDGVEKVRKLMDDSGVTKSRGSSFVEA >CAK8535859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886235652:886237690:1 gene:gene-LATHSAT_LOCUS5279 transcript:rna-LATHSAT_LOCUS5279 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPSKGGGGGGGVKLFGVRLTDGSIIKKSASMGNLTLANHHHCSSPSSVNPSSILNPSSPCSDPPNEPEGYLSDDPANVSSFAIRRSDRKKGVPWTEEEHRLFLVGLHKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQSNATRRKRRSSLFDMAPDLCPDSTSMPEEQVLLPPSENSPPCNGKSQPSLNLSLKTEYEPMETTSEENVEEGNETPMESKGLTPMTHGFFPSYLPVAFSIWPTIGAPFEVSNGGEISHRTHHQVLKPIPVIPKVPVNVDELVGMSHLSIGETLVRDREPSPLSLKLLGEPSRQSAFHANAPVGSSDLNSGKNNAIQAV >CAK8537546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:391902365:391903239:-1 gene:gene-LATHSAT_LOCUS6835 transcript:rna-LATHSAT_LOCUS6835 gene_biotype:protein_coding transcript_biotype:protein_coding MRTETKLLPQCWEQINHMYEERRLLVSQSCGELAEFVRLEIRDSLILSIVQQLIEDSATIVREATTHNLAKLLPLFPNTDKYFKVEELMFQLICDPTGVVVETTLKEMVPIVVKWGNKLDHVLGVLLSHIFSSAQHCPPFSVVEGCIESYLHVLGERER >CAK8534218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707106658:707107248:-1 gene:gene-LATHSAT_LOCUS3778 transcript:rna-LATHSAT_LOCUS3778 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQVSKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMVYVRDGICKDFKQSLAAMVETSMCHGPVYFDVSPNLNLSLSDKNLLDIMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKGKHLSFPGTTTLVQTNLLTSNVATNR >CAK8572567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547056545:547057357:-1 gene:gene-LATHSAT_LOCUS25120 transcript:rna-LATHSAT_LOCUS25120 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSTKPSLSDPPTPTPLNGFPFVKGECGNCGVKERWLLHNVVVRGVDRRICTSCVLRLHPSSFCPCCFEFYEHPVSITSSSSAHRFVSCAKCSSLSHIHCLPSPPPLPSPYLCPPCSKPNFTFFPVPEEIVEVNLSKIFVCASKIALASMKKQHTMSSVRCEKAVKESALARKRTKESIYQCFTIERHKDSPELENIIKSVCKKEDLVGYGGGYPQSPNGPLNNKIGTNGIGSPAIMNKIGANGANGSDGRIGNNGGRFGASAFKASA >CAK8572476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539359243:539360256:-1 gene:gene-LATHSAT_LOCUS25039 transcript:rna-LATHSAT_LOCUS25039 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGLLWEVEGKWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKLLTPKLEAEKQEIFKKHIEGATKFLLPKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFIYFAHGLKEIKC >CAK8530182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4711920:4713128:-1 gene:gene-LATHSAT_LOCUS70 transcript:rna-LATHSAT_LOCUS70 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSNYIPNDLIISILSKLPLKSLKRFECVQKSWTLLLKDSHFVSAFRKKFMSKTLFGFDDISYLMRYYMFGPPNVRSYFYFLFGERLENKVKMDYSLPFVDHGQDFIVVGSCSINGILGIVSQNEGFALWNPTIDEYKVIPPSPAESVPYRNFSWLIHGFGYDCVKNDYKVLRRIFFYQLNRHDCECLGLDEENVPWKDVSYEPVWEIYNLRSDSWRKLNINIPMTIPYIFLIPNNDDGIDRCYTKGMCHSLYKVSEYIFQTCLMSFDVCNEVVFTTPMPSYMNDNMDPEWNYKHLMILIKGFIALSSHHGETNTIHISILNEIGVKESWTKVFILGPLACVAYPFAGGKNGDLFLRKENGELACFDLDTQMINELGVEAYKSHIIVYNKSLLSIRSIHD >CAK8565511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249596468:249597939:-1 gene:gene-LATHSAT_LOCUS18720 transcript:rna-LATHSAT_LOCUS18720 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRDPVFVRKKQSSVPSLVDLCVQKAIDNVRYLGNVGPVDHHLLEQILPHCTLDQLMHIEKASEGDLSPVTDKLWKKFFEKQFGSNCTQEVIKRMAAKRVSFRWLQLYEAKVKEMAEAENEALDRIRQRYKKEDARKQSRQVKICAKVPPSSKRRFWGDNGPGYNVSNVKSNIMKKSKIEFLKCREVKNIAAMNKNSIQRPTSSSSMMKSGSMSGIGSTSKDPKSSKRLF >CAK8540070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538250281:538250559:1 gene:gene-LATHSAT_LOCUS9108 transcript:rna-LATHSAT_LOCUS9108 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEQEVDTEDGEEVDMTNAIDALCKRLRSLDVVGKRELKGRACEIACPTTIKMVPPLEKIKTKGKIKGKKPVGYVDQAYNSSQKSSKRPCL >CAK8567854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551003516:551005336:1 gene:gene-LATHSAT_LOCUS20871 transcript:rna-LATHSAT_LOCUS20871 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLQKNLMLFTSSRSPLQSCTLLVRFQLSKLLSSSPIPYSHRKRHGNKEYRNVRVSVWWDFETCNLPSGVSISKVKPAVTNAVRANGIKGPVHITAFGDVCQLSRPNQEALPFSGIHLIRFLKIPKGGENSDDISRTADLMNWVSENPLMYWVSQNPPPAHLFLISGDRDFAGILHLLRIKMYNILLASPGNAPDVLCSAATMMWQWTSILKGEDLTGKHLNHPPDGQFGSWYGNSKVPLENPFWTSILKGEDLTGKHFNHPPDGQFGSWYGNSKVPLENPFSVENPFSAAEESTSSQNIHVTEINEPSSDLKVGVGLKVRSMKFSDDEIVRSTDISPKVREKYTTLGKLLAGTDHTNKHEDQPRKEVDDHSPYSSAVDDSLVDKRPDVHPETYSKRSTFFSWIKSWWPFQKSNVKADDSTFYQKKVTRLKNPSH >CAK8564735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7867980:7868936:1 gene:gene-LATHSAT_LOCUS18007 transcript:rna-LATHSAT_LOCUS18007 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYIFGEIDVFSRFAVKTLEQTIQISKETQTIKRLTKKDLKPFKNYNFIHIELVQIVLKPVTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLFDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFLGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSISEFYTTPSQLPRPSTSQIREEIEAVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFHL >CAK8561474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241101666:241102118:-1 gene:gene-LATHSAT_LOCUS15062 transcript:rna-LATHSAT_LOCUS15062 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKLVQEVVDALLDNGIRGQPIRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILV >CAK8539729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523165626:523167688:-1 gene:gene-LATHSAT_LOCUS8800 transcript:rna-LATHSAT_LOCUS8800 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKNLVFKKNDKKRMVVKCVDGCPFHIRFNMRTTNQYWQLVSLTDRHGCHRTAKNRQAKTDWLGRQFVYTIRHTPEIKTKWLIAEAIKKWGVKFSKDQAYRAKKKAMELIQGAGREQFTHLRSYGEELLKSNPNSTVKIKCVDSDGGPVFERIYVCLEACKAGFATTCRPLIGLDACFLKWEFGGQLIGVVGKDGNNKIYPIAYAVVEGETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETSQHVEHRLCVKHLYGNCRKKYPGIFMKEALWRAARATTIPTWEREMNHMKELNVNAWKDMIDVPAACYTRSHFKIDTQCDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYIIVRISAQKEKLSRYTGVTSPSIQKVFEKTKRAAEGWIATWHADDDFAIFGVSNGVETYAVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEEYVSQFYRKSIVLATYRHIIMPTNGPQLWHVGGVY >CAK8541258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98301485:98302118:1 gene:gene-LATHSAT_LOCUS10190 transcript:rna-LATHSAT_LOCUS10190 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTWEDSRPEVSDSESNDLQEKWGADQAAIGRAFAALLQQVWLTPLFTQDQQDQNSLTLSCIEDLSALLRSLMLSIDSSHLIQELKVSSL >CAK8565351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:148236757:148237191:1 gene:gene-LATHSAT_LOCUS18572 transcript:rna-LATHSAT_LOCUS18572 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEGTRNSTGFDRVTHLQSDPRTVQQLATSKRIQQYAQKNCIQYERKSQKKQQNGYRNYRAKRTLCISITDSPKPPPERIAFIFPVINASAYIALVVTGTGKADMVHSVLSGFETADRLPAALVSPEGELKWFVDKSAASKL >CAK8562404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484980046:484980627:-1 gene:gene-LATHSAT_LOCUS15902 transcript:rna-LATHSAT_LOCUS15902 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKWEFANKNFKRGQKELLSAIKRQKSQSYVPIRHVGVQGNSASNLGHGNIGSTSTGARLMERNTHHTNLSSENEKLKKENENLKSQLNLVNKRCDELVTFLRDNVNVEVDQINHIIQQGTSGFSRDAVRSDDVIGIGKNKGQEGVKLFGVWVKGGGGEGKDKAKIENGDGNRRKRGPEEAIGSEIKKLNN >CAK8536865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29922931:29923626:1 gene:gene-LATHSAT_LOCUS6192 transcript:rna-LATHSAT_LOCUS6192 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLEKAMNSILKATRNLPITTLVQPTWYRMGSLFGKRGHKWTKMLVTDKVFTDDCNKGMVDEVAKANTHNVMQFDRERFCFMVQEKINQNDGRPTGTFSIDLRNRWCDCGKFQAFHLPCSHVIVACSRICQDYTIHILEVFIILNVFKVYKESFLRLPHEENWPKYEGFTLCHDDSMRRNKKGPPTSSRIRIKIDDAEKEKRRCRICREIGHMCRKCPNVVGPSNRPSR >CAK8568926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655011436:655013145:-1 gene:gene-LATHSAT_LOCUS21833 transcript:rna-LATHSAT_LOCUS21833 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGDGDDGEGDEDY >CAK8574724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7523892:7525481:-1 gene:gene-LATHSAT_LOCUS27041 transcript:rna-LATHSAT_LOCUS27041 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPLPYAAAQGLIEIPYIAVQTLVFGLITYLMVNFEKTAGKFFLYLLFMFLTFTYFTFYGMMAVGFTASQQLAAVISSAFYSLWNLLSGFLIPKTGMYMLPKPLGCLFTSSSQCHGRQPMRFPTLWHVFLKALVIGCLI >CAK8575642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227463726:227464550:-1 gene:gene-LATHSAT_LOCUS27886 transcript:rna-LATHSAT_LOCUS27886 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPNQTLIDEVQDELANERDVQEGHQDHREENNDMTSGEPVRDNIVDMEASLKRPRKLKSLETCNWTTAMDEVLLDAYLHQQTLGNKNGNSMTTSAMDIILKELKTHFPDKPISKEKIKDHMKHIKTKFNSCCDLFQNGLSGFGWDSTTNMWIAEYEVWNKLIEAKPKTAEWKN >CAK8569517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3822102:3823438:-1 gene:gene-LATHSAT_LOCUS22360 transcript:rna-LATHSAT_LOCUS22360-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLDDVDKIKTYSWGSATLAHLYWSLCHNSIANTGNWTGCGVLLQAWGWSRMTNLAPIQQNHFEFPFAKRWSSLGMNYDNCLHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVEQQESAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHYRPSTQYYFQPPTQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSLSEYQQHPPQNHTYSQFQTPNQPIPTQTFTPISPYDQAGYRPDIASSSQPPQNNYEGMGNPFNLDDFTDMDSSWAK >CAK8569516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3822102:3823756:-1 gene:gene-LATHSAT_LOCUS22360 transcript:rna-LATHSAT_LOCUS22360 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRLECAVTLEDTYMLLGLPIHGKAVNGTTNPTGNFIGELLGVEPTSRDLRGQKILMSWLKRIYRDLTLTPDSPEILKIRKTRIYIMLLIGLFLCPDTSGSAVHSMYLPLLDDVDKIKTYSWGSATLAHLYWSLCHNSIANTGNWTGCGVLLQAWGWSRMTNLAPIQQNHFEFPFAKRWSSLGMNYDNCLHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVEQQESAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHYRPSTQYYFQPPTQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSLSEYQQHPPQNHTYSQFQTPNQPIPTQTFTPISPYDQAGYRPDIASSSQPPQNNYEGMGNPFNLDDFTDMDSSWAK >CAK8560155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9072781:9073739:-1 gene:gene-LATHSAT_LOCUS13859 transcript:rna-LATHSAT_LOCUS13859 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGYLSKNIKELRFLMCQTSPASSSARTFVEKNYKELKTLNPKLPILIRECSGVEPQLWARYDLGVEKGIKLEGMTEPQISKVLEDMVKAGETFKT >CAK8535659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872835878:872836429:-1 gene:gene-LATHSAT_LOCUS5095 transcript:rna-LATHSAT_LOCUS5095 gene_biotype:protein_coding transcript_biotype:protein_coding MTDECTANRLRVSYARILVEMDITKEPPQSITIADHEGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNQGPIEVGNVNMDIVQIRKGNASTTADESLSIRNKDVETVMEKWTEVIKSGRDRGKKTSNVGAAGVLSYDNGFDALEILKDLIEAQNTGQ >CAK8568778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640026129:640027934:1 gene:gene-LATHSAT_LOCUS21703 transcript:rna-LATHSAT_LOCUS21703 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSQKSKAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVLAAAYAHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLEMDEEYEGNVEATGEDYSVEPAESRRPFRALLDVGLVKTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDAEIHRKYIFGGHVTAYMKTLIEDEPEKYQTHFSQYIKKGIEADGIEELYKKVHAAIRADPSIKKSGKQPPKEHKRYNLKKLTYDERRTKLIARLEALNSAVDEDEEDDE >CAK8539798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525638637:525639998:-1 gene:gene-LATHSAT_LOCUS8860 transcript:rna-LATHSAT_LOCUS8860 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSKNGSILGRKYGKLKKSDDEFDGYNSENHPRKNSPVITIDAPHLDPLMAADNSPFDATLSPLSKSPWSSHLNEDASSSSEEALVGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNQNEFAGFKSNSGLVKAIVIAGEKILTGHQDGRIRVWKVSGKNERVHRRVATLPTLRNYIKCSMKPSNYVEVKKHRNMLWIKHYDAISCLSLTEDHKLIYSASWDKTFKVWRSSNYKCLESVTAHDDAVNALVVGFDVLVFTGSADGTVKMWRREMQGKTTKHFFSQTLLKQECAVTALALNSEGTFLYAGSSDGLVNYWVRETNLEHSGVLRGHKLAVLCLATAGSLVFSGSADMAICVWKRSMSNEHACMTVLSGHSGPVKCLAAEKDPDAMLNEKRWILYSGSLDKSVKVWKVNESGGQQNNHPQLPRVSVSNDFPRASSLRKMGSRRY >CAK8562657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:522956766:522959529:-1 gene:gene-LATHSAT_LOCUS16136 transcript:rna-LATHSAT_LOCUS16136 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPTTQFPVKEDPVILASQTAFTVSEVEALFELFKSISSSVVDDGLISKEEFQLAIFKNKKKENIFANRIFDLFDVKKKGVIDFDDFVRSLNVFHPNAAIEDKIEFSFRLYDLHNTGFIERQEVKQMLIALLFESEMKLADDVIETILDKTFLDADLNQDGKIDMVEWRKFVSENPSLLKIMTLPYLRDITTSFPSFVFNSNVDEIVA >CAK8561799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386211119:386212977:1 gene:gene-LATHSAT_LOCUS15355 transcript:rna-LATHSAT_LOCUS15355 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESQSPSPTLHLLQEQIPVKQQPLLLSNKLKTGLVLVDIINGFCTVGSGNFAPKEPDEQVNKMVEESVRLSKVFAEKNWPIFAYLDCHHPDVPEPPYPPHCLIGSDETKLVPELLWLENEPNATLRCKDCIDGFIGSYEKDGSNVFIDWVKSNQIKQVLVCGICTDVCVLDFTCSVLSARNRGFLSPLENVIVASQACATYDLPLHVAKAGKDLVSHPQEVMHHVGLYIAWGRGAQIVSEVSFE >CAK8543740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635856595:635857011:-1 gene:gene-LATHSAT_LOCUS12480 transcript:rna-LATHSAT_LOCUS12480 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVIIPWLFLDSFSPKTPSCTSNSKVSTTSKKSFIEAVNNVCDIPISQLPHSIIKGDHISITIPEDEYMAGLESCKHNLHGRIIWPKGATLLKVEDLKKKLSDILSSLGKWGLTSLGSKDGARNLNTTPEITFSSA >CAK8573119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586569037:586574036:-1 gene:gene-LATHSAT_LOCUS25604 transcript:rna-LATHSAT_LOCUS25604 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGTSFPDRDSGQLGRPNSENGGGQDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFSKAQYWVKELQKHGSPDIVMALVGNKADLHEKREVAVQDGMDYSEKNGMFFIETSAKTADNINELFEEIAKRLPRPPIT >CAK8573120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586570682:586574036:-1 gene:gene-LATHSAT_LOCUS25604 transcript:rna-LATHSAT_LOCUS25604-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGTSFPDRDSGQLGRPNSENGGGQDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFSKAQYWVKELQKHGSPDIVMALVGNKADLHEKREVAVQDGMDYSEKNGMFFIETSAKTADNINELFEDIVDYATSRLPYDVLAA >CAK8570256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31691536:31693801:-1 gene:gene-LATHSAT_LOCUS23021 transcript:rna-LATHSAT_LOCUS23021 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKMPVNLTLIMMLLCLLYPLPCLTQTNHQCLLSSCGDIRNITYPFRLQGEPKNCGDPNYELSCENNQTILNLDNGKYFVEAINYNNSTIRIKDSGVNKHNCSSIPLYTLTNESFTIGKPYSLLNENNNLVTVAFISCAASVISPIYMDTSSCIKGSFNSSNYAVFGDLKISELEDSCSILVMVMISNLNKGNNNNNNNNKVSYVKIHQQLVYGLELSWSEIFCKECQGRGYCKLNNKNEVIGCSRGRPCSRDDISSFKCALKVVIQAAKDVTEGPHKLSGIIGIILLIRIIGGLLFIPMYMIYKWRRRHLSAYDSVEEFLKTHNNLMPIRYSYSDIKKMTKGFKDKLGEGGYGSVYKGQLRNGHLVAIKMLGGKSRANGQEFINEVATIGTIHHVNVVHLIGFCVEKSKRALVYEFMPNGSLEKHIFSRERMGSLSCEKMFDITLGVARGIEYLHRGCDMRILHFDIKPHNILLDANFTPKVSDFGLAKLYPIDKSIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMVSRRKNMNENEDRKSQVYFPSWVYDQFSEGNEIEMGNGTEEEERISKKMIIVALWCIQMKPSDRPSMNKVVELLEGDVEALEMPPKPFQTPKGMAGQGIGQFRNLPWLLPGESTNSLTIVINRR >CAK8535170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820465561:820468092:1 gene:gene-LATHSAT_LOCUS4646 transcript:rna-LATHSAT_LOCUS4646 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMRVKLVLVLVTIIAFVENVSFALYTPHDNYLIACGSSKSISFQDRDFVPDSQHSALVLKTGNSIVVGSSNSSVVPSPIYESARVFTDKGSYRFEVQPGRHWIRLYFYPLPNTSHDLTSASVTVVSDDFVLLRNFSFRNYSGSYLFREYAVNVTSDTLTVTFIPSNGSVAFVNAIEVVSMPDDLFVDQALALSPLAPFNGLSELAFETVYRLNIGGTLLTAENDTLGRTWENDQKYLHVNSSVTNVSTSPSSIRYRPGVTAETAPNWVYATAEAMGDANVANSNFNITWSFNVDPDFSYFVRLHFCDIISKAMNTLVFNVFINTDIAIGSLDLSSLTNDLALPYYKDFVSNASSGNTLTVSVGPDTMADITNATMNGLEIMKISNSWKSLDGLSSVASLLPSSKSNKNKIGIIVGSAAGAVVALAFVGLCFCFLVRRKSKSTQEGGHSWLPLPLYGNSQTMTKMSTTSQKSGGTASFISSTNLGRFFTFQEILDATNKFDEKLLLGVGGFGRVYQGNLDDGTNVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDDSFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMNWQKKGMLDQIMDQQLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETSSALREPEDNSTNHITGIQLTPLENFDNSVSMIDGSNSVTDDDGEDAATSAVFSQLVNPRGR >CAK8567805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546527506:546530633:1 gene:gene-LATHSAT_LOCUS20827 transcript:rna-LATHSAT_LOCUS20827 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKRHQIAEIQCCSSQSESQVIPNNRSTFDASETTSYSSSQFQKPKPKSKGILCKRRNPRATVRRTRVNNVAAIGFPLGMSFAAVMAQVLYRRDAAGDSMSPSHLSSMCTSAINESLSSVFGDKLDGLTKNFEQSFDSTLSTLRLIYESTASNEGNKLNNMRLEIPNSELNNMRLEIPNSELNNVRLEIPNSELNNMRLEIPNSKLNNVRLEIPNSILNRGDCSRDIVMEDCQSEPLSHEHAENIDQSISSEEVRDNFHMESVTHDLALHGQSNQMVCFSPTSSGAVFNNSVNSTFEKSVVEQCRSNDLKTVEIGLTRQKLKLKEIDLALRYDLNDLERSKLAMEVSKSSFKTENFKNQLEDTRYGELNKTCIDCLIAGLFIMSASLFYGAYVYNFERFIEAAELCAPEEESYSWFTPKSVAWFNSSVHIFICRVGVVSRMVFGFLMIIVVAYLLFQRASTLSSQTMPVTFILLMLCIGCGYCGKLCIDTLGGSGNVWLLYWEILCLLHFVSICWTPALYRILHGPIEVMQTKKGNTIFWYWIRRLLFYVILLVVLPLCCGLMPFASLGQWKDHFMSKVITSSEW >CAK8535857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885947254:885964992:1 gene:gene-LATHSAT_LOCUS5277 transcript:rna-LATHSAT_LOCUS5277 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLSRSISRSLSRSSWRMEGVFTSGRYSRRTSNVDEDEEALKWAAIEKLPTYDRLRTSILQTYAEEYLGDAEDHPNKVQHREVDVRKLDGNERQQFIDKIFKVAEEDNQKYLQKFRNRIDKVGIKLPTVEVRFENLSIEADSYVGSRALPTLPNVALNMLESSLRIFGISATKTTKLTILKNVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDTDLRVEGEISYNGYKLNEFVPRKTSAYISQNDVHLGEMTVKETMDFSARCQGVGTRYDLLSELARREKDAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKALFMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIVLISEGQIVYQGPREHVVEFFESCGFKCPERKGTADFLQEVTSRKDQQQYWSNRNIPYRYVTVTEFTNRFKNFHVGMQLNNEVSTRFDKSTGHRAALVFKKYTVPKMGLLKACWDKEWLLIKRNSFIYIFKTVQICIMAVICGTVFLRSEMHRRNEDDAAVYIGAILFTIAMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFVLRIPITLFEATVWVLITYNTIGLAPEASRFFKHLLLVFLIQQMAAGLFRVISGVCRTMIIANTGGSLMLLLVFLLGGFILPKRDIPNWWVWGYWLSPLSYAFNALSVNEMFAPRWSKPSSNGSTSLGVATLDIFDVYSNENWYWIGVGVLIGFTVLYNVLFTLSLMYLNPIGKKQAIISEEEASEMEIGGDSKEEPRLVRQESNKGNDTREVAMQRMGSRGNASSKLESAIGVAPKRGMVLPFQPLAMSFDSVNYFVDMPAEMKEQGVTDNRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIQGDVRISGFPKNQQTFARISGYCEQTDIHSPQVTVRESVIYSAFLRLPREVNDDEKMKFVDEVMNLVELDNLRDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKIIEYFEAIQGVPKIKDKYNPATWMLEVSSIAAEVRLRMDFAEYYKTSTLHQRNKAMVSELSTPPPGTKDLYFTTQFSESTWGQFKSCLWKQWLTYWRSPDYNLVRFFFALAASLMVGSVFWKAGKKRDSSADLNTIIGALYSSVFFVGVNNCQTVQPVVAVERTVFYREKAAGMYSALPYAIAQVVCEIPYVFVETIYFTFIVYSMVGFEWKVEKVLWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVTKGITVAGESGETPINKFIEDHYGFKTDFMGPVAAVLVTFAVFFAFVFAFSIKTLNFQTR >CAK8561969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419339638:419339919:1 gene:gene-LATHSAT_LOCUS15510 transcript:rna-LATHSAT_LOCUS15510 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKRMVVKCLDCCPFHIRFSMRTTNQYWQLVSLTDRHGCHRTAKNRQVKTDWLGRQFVYTIRHTPEIKTKGLIAEAIKKWG >CAK8531095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80368877:80382227:1 gene:gene-LATHSAT_LOCUS907 transcript:rna-LATHSAT_LOCUS907-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLHFRCRMAFPNRGGCLNLNLAPFSALASSSWGKASRGVSCVNASSDFSRKRQQKKGSISKSKGSGKGFVPKSSIGSSSKKNARVKKGEQTLDVSVEDDKDGEVEFSVEEKLAIVGKTSEIDREFGDLSLIDETLGVVESNRGEGIESIHIGKDVDDVQLSEEEVSYIGDNGNGKGTGAGTDGGIINEEASRMLKLKLEENLRKQEIERIAEENFLRGTQMFVYPPVVKPDQDIEVFFNKNLSTLRDEQDILIMGAFNDWKWKSFTIRLNKADLKDNWWSCQLYVPLEAYKLDFVFFNGESVYDNNDQKDFCIPVQGGMDVLAFEEFLLEEKRKELEKLAKEQAERERIAEEQRQIEADIAEKEEDRLQARLEVDRRQETVLRLMKNAVKSNDNVWYIEPNEFKGKDLVRLYYNGSSGPLQHAKEIWIHGGHNNWKDGLSIVERLVKSVLKGGAWWYADVVVPDQALVLDWVFADGPPQNAVVYDNNHKLDFHAIVPMAAPDTRYWVEEEQLIYQKLQEERRLRKEAIRAKAEKTALMKVETKEKTLKRFLLSQKHIVFTEPLDVQAGSTVTVFYNPSNTILNGKPEVWFRGSFNRWSHRNGPLPPQRMLPAENGTHVKASVKVPLDAYMMDFVFSESENGGIFDNRFGMDYHIPVFGGIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAIQDLNHNVDIILPKYDCMNLSNVKDFQFHKSYLWGRTEIKVWHGKVEGLSVYFLEPQNGFFSVGCVYGRANDGERFGFFCHAALEFLLQNGFNPDIIHCHDWSSAPVAWLFKEQYTHYGLSKARAVFTIHNLEFGAPLIGKAMAFADKATTVSPTYSKEVAGNPAIAPHLYKFNGIINGIDPDIWDPYNDNFIPVVLLGSAPDPRIQNDFVHLANQLHSSHNDRARLCLAYDEPLSHLIYAAADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDHDKDRAQAQDLEPNGFSFDGADVGGVEYALNRAISTWYDGREWFNKLCKTVMEQDWSWNRPALDYLELYHAARKLE >CAK8531094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80368877:80382227:1 gene:gene-LATHSAT_LOCUS907 transcript:rna-LATHSAT_LOCUS907 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLHFRCRMAFPNRGGCLNLNLAPFSALASSSWGKASRGVSCVNASSDFSRKRQQKKGSISKSKGSGKGFVPKSSIGSSSKKNARVKKGEQTLDVSVEDDKDGEVEFSVEEKLAIVGKTSEIDREFGDLSLIDETLGVVESNRGEGIESIHIGKDVDDVQLSEEEVSYIGDNGNGKGTGAGTDGGIINEEASRMLKLKLEENLRKQEIERIAEENFLRGTQMFVYPPVVKPDQDIEVFFNKNLSTLRDEQDILIMGAFNDWKWKSFTIRLNKADLKDNWWSCQLYVPLEAYKLDFVFFNGESVYDNNDQKDFCIPVQGGMDVLAFEEFLLEEKRKELEKLAKEQAERERIAEEQRQIEADIAEKEEDRLQARLEVDRRQETVLRLMKNAVKSNDNVWYIEPNEFKGKDLVRLYYNGSSGPLQHAKEIWIHGGHNNWKDGLSIVERLVKSVLKGGAWWYADVVVPDQALVLDWVFADGPPQNAVVYDNNHKLDFHAIVPMAAPDTRYWVEEEQLIYQKLQEERRLRKEAIRAKAEKTALMKVETKEKTLKRFLLSQKHIVFTEPLDVQAGSTVTVFYNPSNTILNGKPEVWFRGSFNRWSHRNGPLPPQRMLPAENGTHVKASVKVPLDAYMMDFVFSESENGGIFDNRFGMDYHIPVFGGIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAIQDLNHNVDIILPKYDCMNLSNVKDFQFHKSYLWGRTEIKVWHGKVEGLSVYFLEPQNGFFSVGCVYGRANDGERFGFFCHAALEFLLQNGFNPDIIHCHDWSSAPVAWLFKEQYTHYGLSKARAVFTIHNLEFGAPLIGKAMAFADKATTVSPTYSKEVAGNPAIAPHLYKFNGIINGIDPDIWDPYNDNFIPVPYTSENVVEGKRAAKEALQKKLGLKTADLPLVGVITRLTHQKGIHLIKHAIWRTLERGGQVVLLGSAPDPRIQNDFVHLANQLHSSHNDRARLCLAYDEPLSHLIYAAADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDHDKDRAQAQDLEPNGFSFDGADVGGVEYALNRAISTWYDGREWFNKLCKTVMEQDWSWNRPALDYLELYHAARKLE >CAK8536368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935226830:935227971:-1 gene:gene-LATHSAT_LOCUS5744 transcript:rna-LATHSAT_LOCUS5744 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSYPEDNNHSVLMERRKDSIIRTCPTCGHHIKCQDQGAGIHELPGLPAGVKFDPTDQEILEHLEAKVRSDIQMLHPLIDEFIPTLEGENGICCTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHTDSDGSETRWHKTGKTRPVYVIGKLKGYKKILVLYTNYRKQRKPEKTNWVMHQYHLGNNEEEKEGELVVSKVFYQTQPRQCGSLMKDSSSFSDQKLIGDQVVNEVVNHKNSGFVEFYNTSFISFDQGEQHRSSNAQVISHFPVHDGTSFIP >CAK8565662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300973489:300976464:-1 gene:gene-LATHSAT_LOCUS18853 transcript:rna-LATHSAT_LOCUS18853 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFNLFFLLYLLLTPTSGYVQPFDDGFISGVISSKGLDFAKDLLIEKGIESIVLLKLPEIENSAQVSLVGKAKVVLSDITIKDVQVNSSSVTIGESGIVLVVSGATADLSMKWKYTVSSWLIPVGISDSGTASVKVKGMQVGLTLSLKNQEGRLKLSLLDHGCYVGDLSIKLDGGAAWLYQLLVDAFEENIASSVEEGISGKIKEGITKLDNFLQALPKEISLDETAALNVSFVGNPLLSNSSVAVAINGLFTRTSEILAAQSYNKGYAVSSACGGLPKMIKVSIHENVFKSASLVYFNAGKMQLIIDELPDQALLNTAEWRYIVPQLYKRYPNDNMQINVSASSPPFIQVGYQDIGATVSVDITINVLEGGETIPVACISVDISASCSVEILGNNIAGRIRLQNFSAYLKWSKIGKLRIHLIQSLISSALKTVVLPYLNRQLKSGYPLPTIDDYGFQNSIVLYNYPWISVCTDASFIEEDYYLVQQSTYVS >CAK8541667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:341151975:341152892:-1 gene:gene-LATHSAT_LOCUS10570 transcript:rna-LATHSAT_LOCUS10570 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTICKQHGNSDSSIAYFITIGFVGQLRGWWDHYLTESQKLEILNHKKIVKIEPGTNTSTTLSTTTTGEEDAVYTLCLSILQHFVGTNVPIGEKIQTLLQNLRCPSLTHFRWYKDNFISRVYQLNNPNSLHWKAKFIDGLPHFFSEKVRQSLRQKNDGININYSDLTYGHIISTCVDEELTLYNDIKLKNQLKKQKLFEKHQIGEFCEQFAFDLGKSPDNNKKKKGKNFRNKPYRDKSKNSYKNKKRSHYNKGRPKEKCFDPKGKRKVKRLDITCHKCGKPGHYANQCWTKKALNEIEDEQLRS >CAK8572623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551761186:551761897:1 gene:gene-LATHSAT_LOCUS25166 transcript:rna-LATHSAT_LOCUS25166 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKGSMDSGGSRKRMKPEEKIGDEAESQIVLVQDEGFENNLVGSEDMELNISLVLEKIENFTQRVSELLESGKTMFKDLCNEFEEKLIMIHKEQVEKWQEEIKELRALDASNEEADTLLQNARYVLQLSRND >CAK8575350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:91671545:91673255:-1 gene:gene-LATHSAT_LOCUS27620 transcript:rna-LATHSAT_LOCUS27620 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLVDNKIVIVGGGICGLATALALHRKRIKSLVLEKSEELRATGAAIIVQANGWHALDQLGVGSILRQTAIQIHGGKLISVSENESKEAPFGINGEFRCLKRTDLIKAMANCLPQETICTGCQVLSIELDPVTKYPQLLLSNGSILQAKVVIGCDGVNSIVANMVALHTTKLLRFSTCVARGFTKYQNDHHFPSEFVMISRGQVQLGRIPITDKLVYWFITRLGTSQDSIISKDPVLIRRSLMESVKGFPIPVVDMIQSCKLSSLHLTDLKYRPPWDLLLNRFNKGTIVVAGDAMHATGPFVAQGGSASIEDAIVLARCLAEKMNNTTEAVVERNVVEEALDKYAKERRMRIFWLSLNTFLVGKRLDTKSCIFRFVVFAMMFVLFRGPDGHSRYNCGAL >CAK8571736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466279099:466284073:1 gene:gene-LATHSAT_LOCUS24370 transcript:rna-LATHSAT_LOCUS24370 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLSKLLSRSLSVAGKWQNQQLRRLNIHEYQGAELMSKYGVNVPRGVAVSSVEETRKAIKDAFPNQSELVVKSQILAGGRGLGTFKSGLKGGVHIVKTEQVEDIAGKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACRKGGTSIEDLAEKFPDMIVKVPVDVFEGITDADAAKVVDGLAPKVADRNQSIEQVKNLYKLFVDSDCTLLEINPMAETADNQLVAADAKLNFDDNAAYRQKEIFTLRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVIVRLEGTNVDQGKRILKESGMALITAEDLDDAAQKAVKAYK >CAK8561240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:127354105:127359101:-1 gene:gene-LATHSAT_LOCUS14849 transcript:rna-LATHSAT_LOCUS14849 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIIDPLQGDFPEVIEEYLELEHGIMKCIAFNRRGTLLAAGCNDGSCVIWDFLTRGVAKELRDSECSSPITSICWSKCGNRILVSAADKSLSLWDVLSGKRIRRIVLQQTPLQARLHPGSSKLCLACPLSCTPMIIDLNTEDTTFLKVSVSEKPNGPNPTSRNKCSDGSTSFTPTAACFSKYGKLVYVGNSKGEILVIDSKDGEVHGMVPISGGSVVKSIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRALDELNKNLGDLNGVEKLKAIGSRCLTLFREFQDAITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVRPIVVSVSLNGIVFIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLNPETEKPKGSDVNEDEKVDIITVEKDPNFSDSDMSQEELCFLPVSPIPDVHEQQDKFLESSSKVVDSNNSGSPFSEEAVTNEHMMNHASSPVEDDAAGTRIKRKRKPSEKVLELQAEKVKKPLKSSKSSRTKTKFLVDENAGNDFYYDELSDE >CAK8577482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568123113:568128261:1 gene:gene-LATHSAT_LOCUS29586 transcript:rna-LATHSAT_LOCUS29586 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKFPSFLGLLHFLGMHLPLLMADCPLDFTASNFTLASSLCSDQGERGKCCRYINANIAISVARFANATSNLGVPLNTSDICLQTISHTLQHYGVPQLATVFCGFGTKIRVNYECKGRTSVMQMLQSPRYVDVTKHCKVPLGKESNCKKCLNASIGYLHHLGIEDNITLSTCRDASFTALASQVDEKHTTDIASCFFGVQGLLGPPVSESSPLPAPEVSPSPLVAADSPSQLLGLPSKGKHHSYHLTLVPCIAIAVTAVAFVMLIVLIVLIRQKSRELDEPDNVGKPHSKTLPSMPTWKFQEGSSSMFRKFNFKEIKKATEGFSTVIGQGGFGTVYKAHFSDGQVVAVKQMNRVSEQGEEDFCREIELLARLHHRHLVALRGFCINKQERFLLYEYMGNGSLKDHLHSPGKTPLSWRTRIQIAIDVANALEYLHFYCDPPLCHGDIKSSNTLLNQNFVAKIADFGLAQASKDGSVCFEPVNTEIWGTPGYMDPEYVVTQELTAKSDIYSFGVLLLEIVTGRRAIQDNKNLVEWAKPYMEFETRLLELVDPNVRESFDLDQLQTVISIVGWCTQREGRARPSIKQVLRLLYETSEPMHSEFLQAVEDEGNQGNEQRGRRSKGKMLRNEGNFNSGDGRYLASSSSTSRSYCSRSFLLESGSPLSSPKS >CAK8561834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:391559573:391562249:1 gene:gene-LATHSAT_LOCUS15388 transcript:rna-LATHSAT_LOCUS15388 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRRDQNSVPTRVNTGELLKTQKHATADSQAVSLCMANAVFFGLFFSVAYFLLHRWREKIRTSTPLHVVTASETAAIVSLVASAVYLLGFFGVGSRASFPDDLSDEEILAKEDSRKAGTCPAALSDTDGDAKPAPAIITIAQSPKVYDVAAPVNLSAEDEEIVKSVVSGSIPSYSLESRLADCRKAAAIRRSAVQTISGKSLEGLPLEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGVEYTVPMATTEGCLVASTNRGCKAIHACGGASSVLLRDGMTRAPVVRFSSAKRAAQLKFYLEDPLNFDDLSLTFNKSSRFARLQSIQPAIAGKNLYIRFRCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMEVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVEALVELNMLKNLTGSAVAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGANSRLLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSRDISKIIS >CAK8531867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168183202:168184032:-1 gene:gene-LATHSAT_LOCUS1629 transcript:rna-LATHSAT_LOCUS1629 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTEFQKRGLPHVHMILILDTDDKLREPEEYDSMVKAEIPRHESKPELYEVVLKHMIHGPCGVLNQKSPCMKNGHCKKKRYPKEFCEETRQGNDSYPEYRRRFSDPIFLNRNKSIDNRWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYKYVYKGPDRVAMEVHRGTGLDEIQQYVDARLICAPEALWKIFKFTLYKLYPSVERLQIHLANHHQVRFYKHQRITDVLNDNQNAVTMLTEFFALNQMDVHARNYLYREIPEHYCWLKGVKKWQRR >CAK8538979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500862283:500865190:-1 gene:gene-LATHSAT_LOCUS8122 transcript:rna-LATHSAT_LOCUS8122 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSNTFRFQSHNLSSFTPFSPINNNPFKNHLLNHKPKTTKLTITAARKAPIEGVSQELNDIASYNLDFAYSRRTVRAAFTQVQQQLDHCLFKGAPAGIRTEEWYERNSRGLEIFCKSWMPETGTLIKASVCFCHGYGDTCTFFFEGVARRIAASGYAVFAMDYPGFGLSEGLHGYIPNFDDLVDDVIEHYTQIKARPDMRELPRFLLGQSMGGAVALKVHLKEPNNWDGVVLVAPMCKIADDVLPPDAVMKVLTILSKVIPKAKLFPNQDLAELAIREPSKRKLAVYNVICYEDNPRLRTGMELLRITKEIETQVEKVSAPLLILHGAADKVTDPLVSQFLYENASSKDKTLKLYENGYHCILEGEPDDRIYALHGDIVSWLDFRVK >CAK8575836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:333858288:333863380:-1 gene:gene-LATHSAT_LOCUS28067 transcript:rna-LATHSAT_LOCUS28067 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGYLNLFNLGVLTLITMAAAVTPTTLVDRTSLNRSSFPAGFIFGTASSSYQYEGAANEGGRGQSTWDNFTHKYPEKILDRSNGDVAVDQYHRYKDDVAIMKYMNTDAYRFSISWPRILPKGKVSAGINREGIKYYNNLINELLDNGLVPFVTLFHWDLPQALQDEYEGFLSPNIINDFQDYAEVCFKEFGDRVKHWITLNEPHSYSMGTEPYMASHNQLLAHAAAVKIYRTNYQASQNGSIGITLNCHWFLPFSNDTLDHQAAQRALDFMFGWFMQPMTTGGYPLSMVSFVGNRLPKFTQEQSKILTGSFDFIGINYYTSNYAANIPRSNNDTSKSTYFKDTHVNLTTARNGIPIGPQAASPWLFVYPRGIQELLLYTKTKYNNPLIYITENGMDELNDPTLPLEEALMDTYRIDYFYRHLYYISSAIKHGVKVQGYFAWSLLDNFEWIAGYTMRFGINFVDYKDNLKRHHKLSAHWFKNFLKKH >CAK8544705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701232155:701252812:1 gene:gene-LATHSAT_LOCUS13360 transcript:rna-LATHSAT_LOCUS13360 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFIPGFALPIILLTASILNWSLMSLADLIAFLFIQYTAPRKGSRLHQQSLISWSVLILSSLTLLSHAIFHVVLAIEGDNLSTADAQWAQLIGFTRVQSWRTLPIDYFLVMQVLATFLSLIEIYGNGCGQDAWRKFYSEHLCSSAPRIGSHLKGLCFLLLPAIQLIAGISHASWVSLPFFICSSIGLVDWSWTSNYIGIFRWWRYLLYYAGFNIILLYIYQLPIEFSETIRSLFYHFGLFKLSTKSEWSEVCSAFSLLLFYIMLSWIRNELAEMKITTSTRENDLTEELLPTRRSLFVHEYRFGVRHRSFILQGAISQTFSINFLAYGFPISLLVLSFWSFHFASLCSFGLLAYVGYILYAFPSMFRLQQLNGLLLVFILLWAASTYIFNVALNVSNNKSPKLQDMKIWETIGLWHYPIPAYYLLAQFGLGFLVAVCNLVNNSVLLCITDQGQLTADESVVEEEEDTTVLVVATIAWGLRKCSHVIILTLIFLIAIRPGLFHAVYMIFFLIYLLSNAINSKLRQAVILLCEAQFALQFILRLDLIFKNLDQKDSFAFQVLSQLGVLNHIHSVDFFTISILACFCAIHNHGLQTLLIFSAIVRHTSRPPVGFNILRAGLIRPVCLSGYSPRSSESQGTHERKTISCLKVIRQKFLSAYRSCGKYIAFLTILLSVYLCTPNYASFGYLFFLLLWISGRQLVGKTRKHLWFPMKLYAIFVFFSIYSVGVFSSSKMWFPGIVGFQTAFGYNPEASMLQNICEPLAVLVVMQLYSYERRQSKSSGSSNYDAPEIKPFPFTRRLLVRHTDKILYFALFYASISPISAFGFLYLVGLINYLRLPKSSQIPAQLFLVYSGLLIMVEYLFQLWGDQAKMFPGQDHSQLSLLMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVFRWLEKMQFVNGNGDRWNEPCSLFSPVEDPNETPSSPTIKRGTRSRSWPTINSVLSLGLDSAQRDGVKKNQLLHFWGSSKDSLKWNKKRLLFLRKERLEMQKTVLKVSLTFWIENMFNLFGLEINMIVLLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIITIEYLAIWMHLAFVHQQIGDQVPCCDCWRVSDIYFSYCKRCWLGIIVDDPRMLISYYGVFMFSCFKFRADQASTLTGLEMYQKILSQWKSASVLNDLSFETKGYWTILDNLRLYGYCHLLDFVLSLILITGTLEYDMLHFGYLGFALVFFRMRLKILKKGNQIFRYLRMYNFAVIVLSLAYQSPFVGDSSGIKSGSIERINELVGFHKYDYGFRITSRSSFVEIIIFMLVSLQSYMFSFPEFVYVSKYLEKEQIGAILRQQEKKAAWKTAQLQHTRKTAELKYARSLQVEKMKSEMLNLQDQLHNTSTDANCSNASLEIDGLRERGNSSLDFIRENKFWKKDLDINTESTGQNNVNQSLLSEKSPRSLAPEYWKHPMDSPHGIVDVKDRTEHNGDLYSKIRNPYKLQVRKNALVSAVHFIGKGVSQVQSLGNMAVSNLMNYLKIEREELESTDDSSDDEEYYEIENLNTGAEPLESTFSIHSVNDHTGPNTACLQIGIIFRYMWSRMRSNNDVVCYCCFILIYLWSFSLLSVVYLAALFLYALCQNTGPSYIFWVTMLIYTEMCILLQYLYQIIIQHTEFEFHVSLLQELGFPAKKITSSFVTNNLPYFLVYIFTLLQTSITVKDGGWTIAADSSFGKRRNQNFTEDVKCSTFQDRLQRVFLPLKNVLKRLVRSLCRYWRSLTWGAEIPPYFVQLSMEVNMWPEEGIQPKRIESRINKSLKILHNRRCREGKLFKLHSASRVRVQSIEKSEENENLCLIVFEVLYASPSIEFTAEEWYSSLTPAEDVSNEIRKAQHIGIFKEIGFPYRIISIIGGGKREIDLYAYIFGADLAVFFLIAIFYESVMKANSEFLEVYQFEDQFPEDFVSVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLVLFTCSITKYAWDMDPLHRYSGRLAIRAIYFTKAISLVLQAMQIHFGIPHKSTLYRQFLTSSVSRVYFLGFRLYRAIPFLYELRCVLDWSCTRTSLTMYDWLKLEDIHASLFLVKCDVVLNRASHQQGQKQTKMTKFCGGICLFFVLMCVIWTPMLMYSSGNPTNIANPIKDASVRVDIKTLSGRLTLFETTLCEKISWERLEARTSLDPLGYLSAYNDNDIQLICCQSDASRLWQVPPVVQANFMKSLRLNMVITFSWEFTRDRPKGKEVVKYDLTIQEQDLPTSYEVARVFNGTSNSFPVFNIYPRYFRVTGSGDVRSLEESVELVSGDLVLNRRDPEWWSFYDLDISDLHGCGKFPGPMAIIVSEETPQGIIGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLMAICEDIYAARAEGELEVEEILYWTLVKIYRSPHMLLEYTQDE >CAK8564265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664405572:664408420:1 gene:gene-LATHSAT_LOCUS17588 transcript:rna-LATHSAT_LOCUS17588 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTANSETSQIHRFPQYKYIDAVRWLPVLSAFNRFAVLASSDFDSDVSSIEIHSFKPNPISFDFQSSWTSPSPISSLKASQFLQRSIIAASTSSGSLHFLFADSTDGIIESEVSVPENELHSVAKSCIDLMDGGVECVTVGDDGKVNLVTVGDSNLSYRRLFDSEGLVPYSAVKWASSVEFATGGYGFGLQWWDQRKPGGPVSQFKGNGDTKLTSGIVHSIDIHPSRKHTCLAGGSLGTVLAWDLRWQQQPIILSGAGDGAGNTAVQSISESEIWEIQYDRCIKSNTSSTRILPAMICSEDGILGVIEQGEEPIELLEEPCAINSFDIDRHNPLDVICSLEWESVAILTRQ >CAK8572578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:548358631:548359985:1 gene:gene-LATHSAT_LOCUS25131 transcript:rna-LATHSAT_LOCUS25131 gene_biotype:protein_coding transcript_biotype:protein_coding MFESWKDIPADTKTKFYDSKIKLHFLVDDGRDKEFILASAAKKWKDGRHQLFCQFYRWDPTLEKNLQNYPKYRGILKNDWAVFVQYRRKEKAQESRDGQTYSRREMYAVFHKKSDGSFVSEDAYNNNEKLQAAINDFMSENEAFQKVFGKEQPGYVRSIGLGVKPSHINRSTRSTSSSAEMKN >CAK8536701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8244922:8245886:-1 gene:gene-LATHSAT_LOCUS6035 transcript:rna-LATHSAT_LOCUS6035 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTDKQEALVNSSWESFKQNLSGNSIFFYTVILEKAPAAKGLFSFLKDTAGVEDSPKLQAHAEQVFGLVRDSAAQLRAKGEVVLGNATLGAIHVQKGVVDPHFVVVKEALLQTIKKASGNNWSEELSTAWEVAYDGLASAIKKAMT >CAK8533306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601429518:601441346:-1 gene:gene-LATHSAT_LOCUS2946 transcript:rna-LATHSAT_LOCUS2946 gene_biotype:protein_coding transcript_biotype:protein_coding MESILIDSVQKSLHHFMHSNAIFISQRLCAQFPSETNLQLLAACYLQSNQAYSAYHILKGTKMPQSRYLFAMSCFHLDLLSEAEAALCPANESGAEVPNGAPGHYLLGQVYRYTDRKKNAINHFKQALSMDPLMWAAYEELCILGAAEEATVVFGEAASFCLQKQYINCATSPNLSDENCNVNAIGQSVSEDVSPRKLRHAQGLKDIAVNPHGSSITGGTAGQLTSSSSSNMSFYNTPSPMATQLSAVAPPPLCRNVMPNGLNLSTLNSDNSKSTVNPIIQAPRRKFVGEGKLRKISGRLFSDSGPRRSSRLSSEASVSSNANATVVSGNVTSNSHKGGSKPAPVTFRTMTIRKGQSWANENIDGGIHNDVLDVARLNIASTTSCSSAATEAKSYEQDATTLQVSGQVTSDSKFITGTTEILTLLRVLGEGYRLACLYKCQEALDTYLKLPQSHYNTGWVLSQVGKAYYELVDNLEADRVFSLARQIAPYSLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVAQEDYENGIKSYQSALMVDARHYNAWYGLGMLYLRQEKFEFSEHHFRMAYRINPESSVILSYLGTALHSLKRSEEGLAVMEKAILADKKNLLPMYQKANILMGLERFDEALEVLEELKEYAPYESSVFALMGNIYRRRNMNERAMFHYGIALDLKPSATDAATIKAAIEKLHLPDELEDNL >CAK8576343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:464443612:464444633:1 gene:gene-LATHSAT_LOCUS28538 transcript:rna-LATHSAT_LOCUS28538 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKDQSKKTKSDKTNIYVLYRKEALEMARLVSEHFDEAKKAYQQKDHFSAKQHSMAGREKLRMVEEHNYNAAKEIFKINNRKNKIWRIDLHGLHGSEAIQILQSRLNEIIATQSKSLEVITGVGRHSHGKPVLPGIITKFLKENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8566439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423415176:423419745:1 gene:gene-LATHSAT_LOCUS19570 transcript:rna-LATHSAT_LOCUS19570 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRNLHQWKHPFDADYPFEAVLSGSWQPVKLIEVEFGKTTLHFMNSQQIQTPLSSSSDIRIGSRKATSSDCSYFLRSGIDISMLLGFRHHDDNSYQLSPFPMWIDARITSIHRQPHDESDKCSCQFYVNFYDDQGSLGTEMKTLSKEDNSIGIDQIFILQRLQHNTSEGLLKETKSSEVKPYRWDLSEDCSSLSQSRLIFGKFLSDLSWFVMTSFLKKVSFSIRSFQNKLAYQVMGNDTVTSPFLIDVVNFKLKNGSLVPIISQFDAFHDYDCEEDEALPMPSNEIGGLRRSKRRNVQPQRYVDCAVEKLEVGSFRTWPYKRGSYVKKNDKSSSDSDSEEDDSESDEKVNKAEKTSEGKLGDAKQNDHGDEISSKYNHLTIDIVKAKNNDADALNLVPYNDQSAISCNEEVDDNVTLGRYYSYCRENLKRKQLHHGWDDIDFGNKWEGIRFQKGAQTKRFHWTNISQIHEQQHHKGSRTSNADASKEIIDTYMKSFDSLPPIEEEKNVNEPWQETIKLEEKEEENVSSDDEEEESPENLAALWQEMDTALTSSYLLNGNEGSNAAEVSTDTEKESKETCEHDFRLDEEIGIYCIRCGFVKTAIRDVSEPIMESSKWHKDEKQCSGEDKEEKSEPKVDEVYNKDLFRTHANDLDEPISNENQNVWELIPELKEKMHAHQKKAFEFLWRNIAGSMEPALMKENSETSGGCVISHAPGAGKTFLIISFLASYLKLFPGKRPLVLAPKTTLYTWRKEFKKWKIPVPVYLIHGRRTSRDLTMLKSTILPGVRKPTSDVKHVLDCINKIQKWNSHPSVLVMGYSSFFSLMRSEESKFAHRKYMAKALRENPGILILDEGHNPRSTKSRLRKCLMKLPTELRILLSGTLFQNNLCEYFNTLSLARPKFVHEVLRELDSKYRRNGEIVKKAPHLLEARARKFFLDNIEKKINSDIDEEKMLGLHLLRKITTGFIDVYDSGNSSDTLPGLQIYTLLMNTSDEQYEIIHKLEKKMTKSTSYLLEVELLITLGSIHPWLIKTAAACAAKFFGDEELKKLETSKFDLRKGSKVRFVLSLISRVVENEKVLIFCHNLAPVRFLIELFEKYFQWQNGKEILLLTGELDLFERGKVIDKFENPRGRSKVLLASINACAEGISLTAASRVIFLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLTTGSMEEDKHRKTVWKEWISCMIFSQEFVEDPSKWQAEKIEDDILREMVEEDKSKAIHMIMKNEKASTT >CAK8561202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117318902:117319603:1 gene:gene-LATHSAT_LOCUS14813 transcript:rna-LATHSAT_LOCUS14813 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLIFDGSFLFSELILILGLILLLMIDSTSDQKEISWFYFFSSTSLVMSIMVLLFRWREEPMIRFSGNFQTNNFNEIFQFLILLSSTLCIPLSVKYIECTEMDITEFPLFILTAILGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNCPRISIALIFITVGIGFNLSPAPSHQ >CAK8561203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117319217:117319603:1 gene:gene-LATHSAT_LOCUS14813 transcript:rna-LATHSAT_LOCUS14813-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEFPLFILTAILGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNCPRISIALIFITVGIGFNLSPAPSHQ >CAK8531998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188098004:188103358:-1 gene:gene-LATHSAT_LOCUS1743 transcript:rna-LATHSAT_LOCUS1743 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSENEDHAEVSDIEPTAAARKRLRDRSKEVLSKQAVKIAKRAEEHESFINKVTHLLNVLGFGGFCFLLGARPQDVPLVYCLFYVVFVPLRWIYYRFMKWHYYLLDFCYYANTIFLVYLLFYPKNEKLFLVCFSFAEGPLAWALIVWRCSLVFSSVDKIVSVLIHLLPGLVFFTIRWWNPETLEAMRPEGAPARVTWPYIEDKSYLCTWLFLVPLVVYTLWQVLYFLIVNVLRRQRFIRDPEVMTSYRELSKKAQKANNMWWRLSGLLGDQNRLLMFILLQGMFTVATMALTVPIFLSYELSMVFQILKVSASVWNGAGFLLEVMPRQVILKEKKKSEMQTVPIQNDQSS >CAK8573276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599825630:599830818:-1 gene:gene-LATHSAT_LOCUS25744 transcript:rna-LATHSAT_LOCUS25744 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAPPSATSSRNNSTFSPDFPSRIPLTSDQIKHCTQALSMLKNKLLNPNTISQEFIHLQSNRITLSETTRRCNVALNSANLSKNRYRDVIPFDKNRVVLNSSSDYRPEALGYINASFISTSSPGTVSEFIATQGPLPHTFEDFWEMMIQYHCPAIVMLTGLVDNYKTVKCGDYFQSEDRPREFGNISLTCKWTKTTKTSLVLRHLEVNRKEVEDTPLSVFHIQYPEWPDHGVPNNTLAAREILKRLYHLPPNLGPIVVHCSAGIGRTGTYCTIHNTIQRILAGDMSAIDIANTVSVFRSQRIGMVQTQDQYIFCYEAIIDELEDLVSQQ >CAK8530631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36596078:36596743:-1 gene:gene-LATHSAT_LOCUS482 transcript:rna-LATHSAT_LOCUS482 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSRDDHWIILLRMNMTPQANLCLISDRHPSIKSVYDDPENGWQYLPSSHVYEAMFGQKCWRLVNLSWITVIREWLKKRVNLADIMSSNLIARYSILWWPKELIRKMADHWVLSTLISTCTSIRQDHTMYIPDVFKIFSVFKIYKESFFELPHHENWQTYEGYTLFHDETMRRKKKGCPNSTQIRTKMDDVEKEKRKCVICHKICHMRRKCPNIAGPSR >CAK8533332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603588676:603589187:-1 gene:gene-LATHSAT_LOCUS2970 transcript:rna-LATHSAT_LOCUS2970 gene_biotype:protein_coding transcript_biotype:protein_coding MDREKYERVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRLEIARALYQDADIYLLDDPFSAVDAHTGSHLFKCLLGVLKTKSVIYITHQVNFLPNADMILVSDLYKKGSSAALSEIKFTPLELESRVC >CAK8573084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582230896:582231432:-1 gene:gene-LATHSAT_LOCUS25574 transcript:rna-LATHSAT_LOCUS25574 gene_biotype:protein_coding transcript_biotype:protein_coding MSIINQKLKLHKAGLKYLNNLTFGNLHNSVSKDSSILDDIQININNSGPTDELLEQEKVAKTELDLVLSFEEAFWKEKANSNWHSNGNQNNDYFHKLTKIKHAYKSIYVLKDTDYIIIDPSLITKHVVDHIRNLFSSYNYVQDNNLIEETIPSLISDQMNLIKSQIPSHEEISYIVFP >CAK8535580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864514816:864517172:-1 gene:gene-LATHSAT_LOCUS5025 transcript:rna-LATHSAT_LOCUS5025 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEYLDKNKEKNGVEEFDDEDTEENEMEGYMENDSIHNGKEVEGTNVNKAEGNTLGTSSSGLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVSEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHQMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKKLDQETNHAIIKLQDLIENHGKPSSEAFESVLGKQKPGRLRCHGRTTTPTLLKRNEEISKIKREHAAEIRQFNDRLQEMEEKHRQDKEETDRKIQVLLKTILNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8568420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605130784:605132337:-1 gene:gene-LATHSAT_LOCUS21378 transcript:rna-LATHSAT_LOCUS21378 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGESSNKGLGSSNSSKYTLQPSRINNEDILFCVDIDPQSMAEMKGATGPNGRPLTRLDAIKQAIILFVNAKLTINPQHRFAFATLSNSVSWLKKEFSSEVESTIAAMRALTATKSSSQPDLTTLFRLAAHESRKSRTQGRILRVILFYCRSNVRPQHQWPVNQKLFTLDVMYLHDKPGPDNCPQEVYDTLVEALEHVTEYEGYIWESGQGLARVLFRHVLILLSHPQQRCVQDNVDIPKLLTKKAPQTEPMAIEDAAPNPGSSQ >CAK8574620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4030985:4031260:-1 gene:gene-LATHSAT_LOCUS26948 transcript:rna-LATHSAT_LOCUS26948 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHFPKSITKTCLGWNQKDIELVDANSDRLYHAKVHSARCNKKIVKTEKFISKGWYQFAKHRQPRRGDKLGFSITKSGHRLYVVVLNRCL >CAK8542490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520330764:520331559:-1 gene:gene-LATHSAT_LOCUS11329 transcript:rna-LATHSAT_LOCUS11329 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLFTSLPKFLPAGASFARAHPWNSRVFAAAAPRSIQVPKSHNDDGSITTDGIKQGGGVNETVNNSLNETQHEKAYSTSEHVVDKTKDAANKASAATQNIAEKAKQTMQDAWDSTKNTANRAADTVLGKAQQSCDSTKSNANRAADTVLGKAQQSCDSTKSNANRAADTVVEKTKESAEYVKDNAEAVKRNMNKKN >CAK8566039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371178785:371179225:1 gene:gene-LATHSAT_LOCUS19207 transcript:rna-LATHSAT_LOCUS19207 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVRGVKKFVKKALNQPICKSEGGIRCPCINCKCCKISTATNVILHLYRDGFQPDYWIWTQHGEVELNIDTRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHANDNREQEEFLEDEFPNEEAK >CAK8574707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7109847:7111397:-1 gene:gene-LATHSAT_LOCUS27024 transcript:rna-LATHSAT_LOCUS27024 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSEAVLLQQLFNYANQTSKSMKNSGVTEDTLGYLNAVKVAFENNMKKYDRFSEVLRDFSAKRINTSGVIEKLKSLFKEHNYLILEFNTFMPSEYEIKSTGNKLKDNGHPGQVLHWDVLDIICKRLDFEDLLSFSGVCKNWRTFHKSNFFLSEPLLVRMTGSYDIGADSYSFISMPNQKVYDLKMMSSFQSPTYLYVRVSSGYFIFSHKSNSFVLFNPFKRKKMVINAPFTVEYMTPNRYESLLAFEKCSEEYVLVVLCNESSHLYVYRSRDCSWFIYSTFEPEEEVVVNFVVLNNIIYLVTNNVNIGVLNLNSGNIQFLNLKNTPKKDPSNSFKLVNCDEQLLLLNLRSSRSRREVYKIDLSTMNCVKMKSLGDIALFYVSWKHCQALSNPKRFGYESNHVYEANSLYNECDKYDWNDGRPSTIYPRPGPLKDFFIFDWCFRHVKYEVDYSLVE >CAK8578299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618468903:618471736:-1 gene:gene-LATHSAT_LOCUS30324 transcript:rna-LATHSAT_LOCUS30324 gene_biotype:protein_coding transcript_biotype:protein_coding MMANKDLRNGVADSDGESSRSKLSRPNFEANKGYPDEKDVVFLKDSEMGGSSNNNGVGSNSGMQELTLSYLCGSKKLSLADKDVHGDGDGESFLNSFEKMSQKGKEVMVSENSNQDGKWVERDFMSLSETREDSSKRSVEEEDVERERESVRDKKPKLETLNLSLALPDVSLSLTASNALHVNGDQQQQLVRTKPCRPSTTVTSYSTDYTAPSLSYSYSHPFSHNPSCSLTRNSTENFEFSMSKDDHIWNCGEGTNGSVHSRFKPIGDGVVGLSNHGGGTGISSFMQQGNNSQYKTTSSENHSFFPSELPARPRFEAHSGDSRGRNSENLRVFEGLEGGKVRNVSRPERIVREIVSESIPIVSLTVQEFGDEVVASTQEYLKNLIENKKEELVSLQNRLERRSDLTKETLSKGNKVQLEILLAVKMGLSSFLYGNLQLSEMIEIFLYRRCRNVTCKSLLPVDDCDCKICSGNKGFCSSCMCPICLNFDCASNTCSWIGCDVCSHWCHAVCGLQRKLIKPGPSLKGPSGTSEMQFHCIGCGHASEMFGFVKDVFMCCAKDWGLETLLKELDCVRRIFMGSEDRKGKELHFKTDDLLLKLQTKIVSPSDACNYIMQFFNYAENMANYPASGFSSKELIVSQANLPKDTLSLPKANSSIPKYSYDSSYSRPHSGASSKDLHQKDLKASILSELKNDADLQLAALLSKGGIESLESIVRIKEAEAKMFQTKADEARREAEGFQKMVSLKTAQMEDEYTTKLSKLSLHETEETQRRKLDDLKVVENSYFDYYKMKKRMQDEIDGLLQRMEATKQQWI >CAK8535747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879300219:879301322:1 gene:gene-LATHSAT_LOCUS5175 transcript:rna-LATHSAT_LOCUS5175 gene_biotype:protein_coding transcript_biotype:protein_coding MELISGLPEDIARDCLIRISYHQFPAVASVSKGWKTEIQMPEFRRLRKSTGHAQKILVTVQSKFDSEKSKTGSLGKGVMAMTNPVYQLSVLETETGVWSELPLGPELCNGLPLFCQIAGVGYDLVVMGGWAPDSWKASNSVFIYNFISGNWRRGADMPGGARTFFSCASDHERMIYVAGGHDEEKNALKSVFAYDVASDTWMPLPDMAKERDECKVVFSLGATGFARVRVIGGYHTEMQGRFERSAEEFDVATWKWGPVVEDFLADATCPRTCVDGCDVEGRMYMCKYGDVMVLEGDTWKNVAKVPSEVKNVACLGACDGALLLIGSSGLGKPCMGFVLGLKSGVWTKFESPENYSGHVQSGCLLEI >CAK8542110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474473347:474474651:-1 gene:gene-LATHSAT_LOCUS10980 transcript:rna-LATHSAT_LOCUS10980 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQHPTIMEKVTGQIHRRSGVSSGYGGSFRQPAMFQKYSYGNYSNATLAPACRTTVDLSSVATNASPMFVAAPAEKSHFLFDFLMGGVSAAVSKTVAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTTADEGLVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLATGGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGKLQDRFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKNEGTKSLFKGAGANILHAVAGAGVLAGYDKLQVIVFGKKYDSNGVHNIMIDESVDDLEDFGNKGRSSTGPRPIIRHYEANNEQHSDKFNGARRIQEIGD >CAK8541741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:379184464:379185192:1 gene:gene-LATHSAT_LOCUS10637 transcript:rna-LATHSAT_LOCUS10637 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDTLRRFFFPCFTSSTPSTTTKHPTTTSTKKNRTSTSTNTSLQDNHHTISPTSSSTARTISTTAPPRPSKSMVIGTIFGNRRGHVWFCIQHDRLTLKPSLLLELPLSTNNLVREMRNGLVRIALECCTSTDDPAFSNCPLRSVPLWTAYCNGRKTGFSARRRAGDWVRNILSTMQCVTVGAGVIPSGFGSGSEELMYMRANFEHVVGNVDSESFHLVNPDECTGQELSVFLLRSRLGVNR >CAK8575131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36400554:36401124:1 gene:gene-LATHSAT_LOCUS27418 transcript:rna-LATHSAT_LOCUS27418 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKLSLLPLFLLATLVLMFPMKKVAADLCEKFACSRSDPVCNDGCHCILSKGAEGGVCTRNKHVAKKVEEHPDLCESHADCTRKGSGSFCARYPNSDLKYGWCFDSNSDAEASFKNAFSSEFSNLKMPLEVSS >CAK8571355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:389503239:389505325:1 gene:gene-LATHSAT_LOCUS24022 transcript:rna-LATHSAT_LOCUS24022 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPETSLWWTQQNHQQQQPMMLLEPIQTTPWPLHHHQPPWFTSQIHENDDEQVENDTPVVTKPEDEKEPMFEKPLTPSDVGKLNRLVIPKQYAERYFPLGGGADSAECKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFERHRVDSQRLFINWRRRNGNDESAYVSRGVGQQGKGTDKNEVVGVGVGWSRGFYSAHLPYPTHHHHHHQVLPYQHDHCLHAGRVSQGQNQRTKPVGNSSSSRVLRLFGVNMECQPEHEHEHDSSSTPQCSYDTNNNNNMSLTQYHQPNTSNSNPHVVRHQPYYY >CAK8542218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490256345:490258312:1 gene:gene-LATHSAT_LOCUS11074 transcript:rna-LATHSAT_LOCUS11074 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVVVYTDEKWVIDICKNLLHEVEQNTHDEIIVSVSQVPKSLRSSNPEAFIPQFIGLGPYHHYKSDLIMNDKLKLDSSKRALKHLFHHFDTKTLQHRLESILSHSHIQAFYHIDIASKYTYQTLLYLLTIDGLFLLGLLHRALTAKPQQDQQYSYFLTGKHGIFMVNSAGVEMTINFIVRDVFMLENQIPTYFLKKVHEAVVTITSDEIESSREKEAHQNLGPSMRLFCESLCPFLHEFQLTKNPEDHAHLLDLMYHLIVPNHSDHEQPLESILNPEPKSKPESQSVSESKSKPQSKLESESSSKPQSESESKLKPQSDNEVKSEPQNQPNDKKEVSYACSIFITFLAFFVAIFIIIGFIIKFIFTIFFWMLKTLFSFIKWALRPLVNLFNFINPFVEVIYDFLSKNETRFSYIKPWTKIVGEIKKTSENIRKEDYSTNWDSNMSNVTIPSVTELHNAGIKFQPSDKTGNGISSIDFDEIKCQFYLPVIKLDSNSEVIIRNLMAYESLIKSKGLIFTRYIELMRGIIDTPEDVKLLINEKIIETEMSYETVAQLFKGLMGKSIRPIKVQNLDKVIKRVNAKYKSSQAQKMAMIKYMSSYGKMLTALAAIVFLVLTAVQTYCSAFGCASNSNYKGSSSMHQDGEYVSNVFMSSM >CAK8539244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507497367:507499397:-1 gene:gene-LATHSAT_LOCUS8357 transcript:rna-LATHSAT_LOCUS8357 gene_biotype:protein_coding transcript_biotype:protein_coding MMIITTLMNLKNQFLTLVYFILNMLRSKFNWCLPSKPNADHLLRAFSLPTPLPQWPKEEGFASGVVSLGEIEVSKVTEFEFVWNSNVMVEPSKAVAFFKPVGIPDGFHILGHYCQPSYKPLWGFVLVAKQVENSSYNICNQKKLPALTNPLDYTLVWCTDSGRKKIAMPADSAYVWLPQPPEGYKALGYLVTNSHDKPNLDEISCVRVDLTDKCEPYSTLLDAGSISPEFPFSVWNLRPCDRGMLGTGVSVGTFFCSSCCLNKGEELPVVCLKNLNPALPAMPRIHQIHALIEHYGPTVFFHPEEAYLPSSVDWFFSNGALLYRKGMSKGEAIDEGGSNLPGGGTNDGEFWIDLPNDDIRKEFIKHGDLESAKLYVHVKPAFGGTFTDIVMWIFCPFNGPSTLKFGIKNMAFSKVGAHVGDWEHFTLRICNFSGELWSIYFSQHSGGKWVDAHELEYIDGNKAIVYSSKNGHASYPHPGTYIQGSSKLGIGIRNDASRSSLRVDSSVHYEVVAAEYLGDVVKEPQWLQYMRVWGPKIVYDSKTELDKKLNALPLRFGNSFGSLFKKLPLELYGEEGPTGPKEKNYWIGDERW >CAK8539245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507497367:507499394:-1 gene:gene-LATHSAT_LOCUS8357 transcript:rna-LATHSAT_LOCUS8357-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIITTLMNLKNQFLTLVYFILNMLRSKFNWCLPSKPNADHLLRAFSLPTPLPQWPKEEGFASGVVSLGEIEVSKVTEFEFVWNSNVMVEPSKAVAFFKPVGIPDGFHILGHYCQPSYKPLWGFVLVAKQVENSSYNICNQKKLPALTNPLDYTLVWCTDSGRKKIAMPADSAYVWLPQPPEGYKALGYLVTNSHDKPNLDEISCVRVDLTDKCEPYSTLLDAGSISPEFPFSVWNLRPCDRGMLGTGVSVGTFFCSSCCLNKGEELPVVCLKNLNPALPAMPRIHQIHALIEHYGPTVFFHPEEAYLPSSVDWFFSNGALLYRKGMSKGEAIDEGGSNLPGGGTNDGEFWIDLPNDDIRKEFIKHGDLESAKLYVHVKPAFGGTFTDIVMWIFCPFNGPSTLKFGIKNMAFSKVGAHVGDWEHFTLRICNFSGELWSIYFSQHSGGKWVDAHELEYIDGNKAIVYSSKNGHASYPHPGTYIQGSSKLGIGIRNDASRSSLRVDSSVHYEVVAAEYLGDVVKEPQWLQYMRVWGPKIVYDSKTELDKKLNALPLRFGNSFGSLFKKLPLELYGEEGPTGPKEKNYWIGDERW >CAK8579501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704339406:704340974:1 gene:gene-LATHSAT_LOCUS31445 transcript:rna-LATHSAT_LOCUS31445 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSLFSKHKILASYIFPLVQHKFLQSLSTPQVSTPTILPELVNEISRIVSDHRHPHHDLQLSLTPFSSHVSTDLVEQVLKRCNHLGFSAHRFFLWAKSIPGFEHSVESFHILVEILGRSKQFAILWDFLLEMRESESSSCKISNEIFWIIFTAYSRADLPDGAIRSFVRMDEFGIKPSIIDFDLLLFTLCKKRHVKQAQQFFDQNKSRFSLTAKTYSILISGWGKIGDSGKARELFEAMLEQGCDVDLLAYNNMLDALCKGGRVDEAMDFFNDVLSKNVEPDAFTYSIFIRSYCDADNVHSAFGVLDKMRRCNLFPNVFTYNCLIKRLCKNKKLEEAYQLLDEMIYSGIKPDTWSYNAIQAYHCDNCEVNRALKLISRMEKDNCLPDRHTYNMVLKLLIRIGRFDKVTEVWEMMGDKKFYPSVSTYSVMIHGLCKKKGKLEEACKYFEMMVDEGMPPYVTTIEMLRNRLLGLGLLDNIEILANKMRQSTSCSIQELANIMIGARTARKTSRRDESDIESD >CAK8566140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384731470:384732414:-1 gene:gene-LATHSAT_LOCUS19301 transcript:rna-LATHSAT_LOCUS19301 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAKIFLAIVSFTLPLFLSLSISFAQIPIDDDIPSRTLDSVLQDYAFKAFSKPKTGVPYDAQLPNNLIGVNVSALRLRSGSLRTRGVEAYKEFQIPPGVVEQPYVERLVLVYHNLGNWSEKFYPLPGYTYLAPVLGLLSYNGADLNAEKLPELDIRASDKPILIKFHDVKSAPYGSFPKCVSFDLHGSVQFDILPSDNICSTMQQGHFSIVVESNAPTPAPAAVAATIDNGRGKKKFKVWIIVGSLVGGCVLLILLSLLVVRLVKIKNGMKIQEMEWRADSNETLQMTSVGGTKAPLAIGTRTRPTIENDYIP >CAK8576742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510548598:510550113:1 gene:gene-LATHSAT_LOCUS28914 transcript:rna-LATHSAT_LOCUS28914 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKTSSPSTRNGALNSQVKSNSRNRLISGQHHCCKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIITIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTKVPIKMGNALPLKSTSTDMPLGTTIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWRGKRPVVRGVVMNPVDHPYGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8576743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510549685:510550113:1 gene:gene-LATHSAT_LOCUS28914 transcript:rna-LATHSAT_LOCUS28914-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTTIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWRGKRPVVRGVVMNPVDHPYGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8537435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:355159903:355160236:1 gene:gene-LATHSAT_LOCUS6727 transcript:rna-LATHSAT_LOCUS6727 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVGKYLLAVTNTYNITKIHINEDMRDIQDFLKRLPVDFKFGRSSTSGTQNRSWSQQSFASNLSLTEKFMAKAIHLPL >CAK8571343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:385199613:385199894:1 gene:gene-LATHSAT_LOCUS24011 transcript:rna-LATHSAT_LOCUS24011 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKRMVVKCVYGCPFHIRFSMRTTNQYWQLVILTDRHGCHRKSKNRQAKTDWLGCQFLYTIRYTPEIKTKGLIAEAIKNWG >CAK8533182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588698978:588702774:1 gene:gene-LATHSAT_LOCUS2829 transcript:rna-LATHSAT_LOCUS2829 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALEVQGGETVSLNNVNDATIRSKVTVIGSGNWGSVASKLIASNTIKMSNFHDEVRMWVYEETLPSGEKLTDVINQTNENVKYLPGIKLGKNVVADPDLENAVKDANMLVFVTPHQFMEGICKRIAGKIRADAEAISLVKGMEVKMEGPCMISTLISEELGINCSVLMGANIANEIAVEKFSEATVGYRQNKEAAERWVHLFYTPYFIVTAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRLGLREMKAFSKLLFPSVKDSTFFESCGVADLITTCLGGRNRKVAEAYAKNGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLSHRGWLELFPLFSTVHEISSGQLPPSAIVEYSEKLPRSF >CAK8541513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:212421458:212423887:1 gene:gene-LATHSAT_LOCUS10429 transcript:rna-LATHSAT_LOCUS10429-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIDLYCKSADMVAAHKLFDTIPLPNVVSWNVVISGYNRSSIFVKSLEMFCRMHLFDFEPDEFSFGSVLSACVALQAPLFGMQVFSLLVKNGFLSSGYVQTQMVDMFCKSSDFAEALRFFNDVSCDDVASWNAIISLAVKNGENQVALNLFREMCCASLMPNSYTFPSILTACCALKDMQIGKGVHGLAIKCSAMDVFVETAIVDLYAKFGCMNEAYRQFSQMQVQNVVSWTALISGFVQEDGTAFALKLFKDMRKIGQEVNTYTVTSVLSACAKPEMIEEARELHSLVIKLGLVLDDKVAATLVNMYAKIGEVGLSELTFSETKNTKDPSIWASMMSSFAHNQNYGRALELFTVMFGEGVKPDEYCICSLLSIMSCLSLGSQVHGYILKSGLVTDASVGCSLFTMYSKCGCLVESYKVFEQVLVKDNVSWASMISGFAEHGYPDQALRLFKEMLYQEIVPDQITLISTLTACADLRFLRTGREIHGYASCLGLGKSTVVGGALVNMYSKCGSLSLARKMFDMLPSKDAFACSSLVSGYAQKGLIEDLFLLFHDMLQNDVPVDAYTISSILGAASLLCQSEIGTQLHAYIEKMGLQANVSVGSSLVTMYSKCGSIEDCRKSFDDVEKPDLIGWTSIIVSYAQHGKGADALTAYELMKNEGVQPDAVTFVGILSACSHSGLLEEAFFYLNSMFDDYNIAPSHRHYACIVDLLGRSGRLREAESFINNMPVEADALIWGTLLAACKVHGDFKLGKLAAKKVIELEPSDVGAYVSFSNICADGGQWEEVTKIRNSFNRTGMKKEPGWSLV >CAK8541512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:212421233:212423887:1 gene:gene-LATHSAT_LOCUS10429 transcript:rna-LATHSAT_LOCUS10429 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLHPLRMFLQNSLINTKSTKLSCRFTSSLAFVPNPYVILRDYKFSGKYSARNTKILHAHFLKINFLQSGIFFMNSLIDLYCKSADMVAAHKLFDTIPLPNVVSWNVVISGYNRSSIFVKSLEMFCRMHLFDFEPDEFSFGSVLSACVALQAPLFGMQVFSLLVKNGFLSSGYVQTQMVDMFCKSSDFAEALRFFNDVSCDDVASWNAIISLAVKNGENQVALNLFREMCCASLMPNSYTFPSILTACCALKDMQIGKGVHGLAIKCSAMDVFVETAIVDLYAKFGCMNEAYRQFSQMQVQNVVSWTALISGFVQEDGTAFALKLFKDMRKIGQEVNTYTVTSVLSACAKPEMIEEARELHSLVIKLGLVLDDKVAATLVNMYAKIGEVGLSELTFSETKNTKDPSIWASMMSSFAHNQNYGRALELFTVMFGEGVKPDEYCICSLLSIMSCLSLGSQVHGYILKSGLVTDASVGCSLFTMYSKCGCLVESYKVFEQVLVKDNVSWASMISGFAEHGYPDQALRLFKEMLYQEIVPDQITLISTLTACADLRFLRTGREIHGYASCLGLGKSTVVGGALVNMYSKCGSLSLARKMFDMLPSKDAFACSSLVSGYAQKGLIEDLFLLFHDMLQNDVPVDAYTISSILGAASLLCQSEIGTQLHAYIEKMGLQANVSVGSSLVTMYSKCGSIEDCRKSFDDVEKPDLIGWTSIIVSYAQHGKGADALTAYELMKNEGVQPDAVTFVGILSACSHSGLLEEAFFYLNSMFDDYNIAPSHRHYACIVDLLGRSGRLREAESFINNMPVEADALIWGTLLAACKVHGDFKLGKLAAKKVIELEPSDVGAYVSFSNICADGGQWEEVTKIRNSFNRTGMKKEPGWSLV >CAK8577949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598544845:598545998:1 gene:gene-LATHSAT_LOCUS30007 transcript:rna-LATHSAT_LOCUS30007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTIELLKNEIPLEQESVVLAEDAVNGLVLVDIINGFCTVGAGNLAPRESNKQISEMISESARLARLFCEKKLPVMAFLDSHQPNKPEEPYPPHCIAGTDESNLVPALRWLENETNVTIRRKDCFDGYVGSMEEDGSNVFVDWVKKNKIKTVVVVGVCTDICVLDFVCSTMSAKNRGFLKPLQDVVVYSNACATFNVPLDVATNIKGALAHPQEFMHHVGLYMAKERGAKIAKQVLFGQ >CAK8544308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677059942:677061003:-1 gene:gene-LATHSAT_LOCUS13000 transcript:rna-LATHSAT_LOCUS13000 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLTSSYLEGCNFLTAAVYTPANSLAHSLLLLWGPEAQGDLTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARFVQLWPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVVGVLGAALLCVIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8571649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:455045860:455046945:1 gene:gene-LATHSAT_LOCUS24290 transcript:rna-LATHSAT_LOCUS24290 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPIFLFAILFFIVPQNNAYWPPSPGYWPSSKIRPMSFYKGYRNLWGPQHQYMDQHALTIWLDRTSGSGFKSVRPFRSGYFGASIKLHPGYTAGVITAFYLSNSEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKKFHHYAILWNPKEIIFLVDDVPIRRYPRKSDTTFPLRPMWVYGSIWDASSWATEDGKYKADYKYQPFVAKYTNFKASGCSAYASRWCRPASASPYRSGGLTRQQHWAMSWVQRHHMVYNYCQDSKRDHRLTPECWG >CAK8533344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605603447:605606458:-1 gene:gene-LATHSAT_LOCUS2981 transcript:rna-LATHSAT_LOCUS2981 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNLASENMKTRKKRAIVEENTPLIPKSRETNAEFHEFNGASFSGAVFNLATTTIGAGIMGLPACVKKLGMVPGLITIILTALLTEKSLEFMIRFSRAGKLSAYGSLMGDSFGRVGKALLEICVVIHNTGSLIVYMIIIGDVISGTTSNGIHHSGILEGWFGFHWWTGRTFVLVFTTLAIFAPLVSLKRIDSLRFTSALSIGLAVVFLVIAVGISIIKIISGGIGMPRLFPVITDAASVFDLFTVVPVLVTAYICHYNVHNIDNELEDSSWMHGVVRTSLTLCSSVYLLTSFFAFLLFGDETLDDVLANFDTDLGIPLGSVLNDAVRFSYAAHLVLVFPVVFYALRVNVDGLIFAKSKRLLVIDNFRFASITISLVGIIFMGANFIPSIWDIFQFTGATAAVCLGFIFPAAVTLRDRYNIATKTDKILSVFMIVLSVLSNAVAIYSDAYALINKNKNV >CAK8562716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531023442:531023861:-1 gene:gene-LATHSAT_LOCUS16190 transcript:rna-LATHSAT_LOCUS16190 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTFIEVEPPTPLRYLIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYTKQTNKVLI >CAK8560279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12938829:12939377:1 gene:gene-LATHSAT_LOCUS13967 transcript:rna-LATHSAT_LOCUS13967 gene_biotype:protein_coding transcript_biotype:protein_coding MNITSINIRGCGTAIKRKRVRYLVEKGKVYLIFIQETKTQKMSKAIVRNMWEPIDVSWSALDACGHSGGIITIWKHGIIDSILSFRENGFIGIEAIHKCNTIFFLNIYSSCQLVEKRRTWTKIVEWKNRLEVGEWIIGGDFNSVKHRNERIRCSVRSYRYEIEDFVFFIDLMEVVDLPIVGN >CAK8575898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351640936:351643594:-1 gene:gene-LATHSAT_LOCUS28123 transcript:rna-LATHSAT_LOCUS28123 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPCLCAPAPNPTATLPLHSNINVSFSPPNTSFNLPKTSQSKPTTRIRSQTLLNSHAQPHHRWSLNGMTALVTGGTRGIGHAIVEELTGFGAKVHTCARNENDLNKCLKEWNHLGFHVTGSICDVSVPQQREMLMEDVSSVFHGKLNILINNVGTNIRKPMTDFTAAEFSSLIDTNLGSTFHMCQLAYPLLKASGVGSVVFISSVSGFVSLKSMSVQGATKGAINQLTKSLACEWAKDNIRSNAVAPWYIRTSMVEQVLSNKDYLEEVYSRTPLQRLGDPAEVSSVVAFLCLPASSYITGQIICVDGGMSINGFSPMHI >CAK8543656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628937972:628943051:-1 gene:gene-LATHSAT_LOCUS12402 transcript:rna-LATHSAT_LOCUS12402 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYQGSVSAAQVGSYFVGQYYQVLRQQPDLVHQFYSDLSSMIRVDGDYTENASDVLQIHNIVTTLNFSAIEIKTINSLDSWNGGVVVMVSGFVKIKDANRRQRFVQTFFLAPQEKGYFVLNDIFQFVEDEVVHQNLIPVTSERIESQPHVSASYAEPPVSDYGFEEEAREYINTVHIDDDPVDKYSLPEQQQQQLQEDLEAEVVVEETPTLEAYQPVHNVAHTIRETPVALVEESFEEPAKKTYASILRVSKGQSVLPTAPEHAPQHSFQSAPPSEFNHVTQQQAVVQPAYQQSSPASAYVSESGADAAVEGYRFDQEEVTSVYVRNLPADITEAEIEQEFKNFGRIKPDGIFVRVKQEIGVCYAFVEFEDVIGVQNALQASPIHMDGRPIYIEERRPSTSSATRGGRGRGRGRGSYPTDSPRGRFGGRSSGRGYYQDTSDYTRPRGDGYVQYGSR >CAK8537442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:359520701:359540964:-1 gene:gene-LATHSAT_LOCUS6734 transcript:rna-LATHSAT_LOCUS6734 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALIIFSPSNRLSHFSGKRRIEDVLTRYINLPDQERDNAVSFPEVPYRRGIQNKEYLLRTLQQLRSENDIALHMSNPGDINSEIEKLQQEVSRLQQQLQIAEEQIRTYEPDPLKMTSMTQLETSEKSVVETLARVMQRKELLLNNHLSSYDPSGIQGLPSTFENVSWLQDDSQNHQNIFDASAPMDPLRDLSSTLYGSFSQGTSSNVDPRGISECNVANPNDANLQTWPQGYTLYPHHQQNQHIQHDMVGTDQRQHHHHHNIQDMMPHGQMNMPITASQVEPPKNESTDQYDQCKQPNHQLNSCSMAHTN >CAK8565222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:74484709:74485368:1 gene:gene-LATHSAT_LOCUS18454 transcript:rna-LATHSAT_LOCUS18454 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLLDDIDKIRTYSWGSATLAHLYRSSCNNAIANSGNFTGCGVLLQAWGWSRMTNLAPIQQNNFEFPYATRWSSLGMNYDNCPHFSITQYKNLIDHLGQDDFIWRPYLGLEAIHEVNQRNSAVWSAKVPIINFATVEMHNSDRVKLQFGML >CAK8568504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611998312:611998695:1 gene:gene-LATHSAT_LOCUS21454 transcript:rna-LATHSAT_LOCUS21454 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMAAEKGKFNGFNVGEGFQVELIQFVDDTLINGEGSWYNLWSTQVVLRGFELVSGMKVNFIKSRIIGVNVSPYFLLAVATFLSCRVDKTSFTLELPLGLTQEEFLDGNQFLTRSRRNWLCGRLEY >CAK8579587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:710211419:710212626:-1 gene:gene-LATHSAT_LOCUS31521 transcript:rna-LATHSAT_LOCUS31521 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLGILSSLITQAGFVAESLGLGVRVAPGTNQNVRIREGMTEPFVVKDHRGELYKKHHPPNLNDEVWRLEKIGKDGAFHKKLTSAKITTVQEFLKLSVVDPLRLRKILGVGMSDKMWDVTIKHAKTCVIGNKLYVYRGPQFTIHLNAICQMVRAYTTNGQTIPNRDINNINKNFIQNYVREAYNRWNELDEIDEGLNENVEASQFQNNRNHQASVIAYEQNEYYVANNNDNNNNAEIGSIEWSPFATSPFMNGMHYSFSESQSDGGDITPSSSGPVIGGASCSRWH >CAK8542644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534456291:534457999:-1 gene:gene-LATHSAT_LOCUS11466 transcript:rna-LATHSAT_LOCUS11466 gene_biotype:protein_coding transcript_biotype:protein_coding MFYISKIEHKLTLPPSLLVLPIGEAMHTELERLFLDKVIANLGLCTSVYDIISIEGGSIFPGDGAPTYLVVFNLIMFRPFPGEIITAKLVSSDADGLRLSIGFFDDIYIPPHHMPNPNHYLPENSHKGTWYWDFDEENDLSFGINYTDEIKFQVQSVSYPPIPVEQPKDSKPFAPMLISGSLDQDGLGPVTWW >CAK8563925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639434591:639435172:1 gene:gene-LATHSAT_LOCUS17283 transcript:rna-LATHSAT_LOCUS17283 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSKLIEHELENEIVGATSDACEKVNRNDETEVNENPKSSKKGDKGKRDEKLSVDVIRGNCNAPNEMSIQFIAPKIVDGQVEMEIAKEDVEADMRFWETSLIMYVLETNLSMHAVKNYMTRTWNFVTLSEIYYNDEEYFILKLKSCKDRDKVIMNGPYTFQNMPMVIMEWRQDFSMERDVLRTIPLWVKLP >CAK8578729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650355181:650357170:1 gene:gene-LATHSAT_LOCUS30715 transcript:rna-LATHSAT_LOCUS30715 gene_biotype:protein_coding transcript_biotype:protein_coding MCGWWQCIMVFINFLLIGATILMVEPVAALDGVPLGTNSRWIVNKNGQRVKLACVNWVSHLDAVVAEGLSKKPVDEISKGIKTMGFNCVRLTWPTLLATDDTISNLHVRKSLENHGLKDSINGFQSNNPSIVDLSLIQAFQAVVKSLENNDVMVILDNHLTMPGWCCGNTDGNGFFGDQYFDPNLWIQGLTKMATLFNGVPNVVGMSLRNELRGPKQNENDWYRYMVQGAEAVHAANPNVLVILSGLNFAKDLSFISRRPVKVSFKEKLVFEAHRYAFTDGQVWENENPNQVCGRVTQNMKDTSGYLVDQGYPLFMSEFGVDLRGTNVNDNRYLSCFIAYAAELDLDWALWTLQGSYYIRQGDVEHEEVYGILDLKWTQVRNIDFLHMINSVQLPYKGPGIIQGDPYKLIFHPLTGLCVIWKFSEKQLKLGPCFSSDGWDYTPQNILLRKETNECIKVVNEGKPATLSTSCSGDDSKWDMISDSKLHLSSKTSNVCLDVDGNNNIVTNACKCLSQDKSCDPASQWFKIIDSGKRSIQSSSTFSKTFMKPLIST >CAK8579080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673105855:673109269:-1 gene:gene-LATHSAT_LOCUS31052 transcript:rna-LATHSAT_LOCUS31052 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIDMDHVAFSKPKSSPATSQATDHGRGKKTASISMDHVLLALRETKEERDVRIRSLFNFFDATDEGYLDYPHIEAGLSALQIPPEYKYAKELFKVCDADRDGRIDYQDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDEVELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATLENIYQHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQIQTGNASIMPAVMKIWQRDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDSQGNKSDIGTAGRLFAGGMAGAIAQIAIYPLDLIKTRLQTCASEGGKGPRLGTLTKDIWVQEGPRAFYRGLVPSLLGMIPYAGIDLTAYDTLKDLSKKYIIYDREPGALVQLGCGTVSGALGATCVYPLQVIRTRLQAQPTNTSGAYNGMSDVFWKTLKDEGFRGFYKGLIPNLLKVVPAASITYMVYENMKKTLDLE >CAK8562943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:559346858:559347704:-1 gene:gene-LATHSAT_LOCUS16403 transcript:rna-LATHSAT_LOCUS16403 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTQNFEIFIENLPLGLSSMTLFTLFGEAGHVRGAVITLGDVPEQSYACITMSTAEEVEKALNTFSGYELDGKLLSVSKEKPVREEPKKQTIPRAYSSTSKNIICVSNLQKDIENGRLEEMFSKYGKVEDVEISYSRFGQTSAYVIMTNETDMDDAIAALNGHSLDGGVTTLDVYPFRRNTKDSYE >CAK8537767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:429486677:429487120:1 gene:gene-LATHSAT_LOCUS7022 transcript:rna-LATHSAT_LOCUS7022 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSMVANVHNIFFSPSLISPNHHDQGHVTLKPLLGKGKASCPKHVGCVVKQQKGKSGIVREMERELEDEIDEEKGKGKGNSGMMRFREKCGGERKGVVELLECLEKEAIMGDDVGKEANDYNRRAKIFDRSSRVFQALKEFDDHA >CAK8577755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586609923:586617389:1 gene:gene-LATHSAT_LOCUS29829 transcript:rna-LATHSAT_LOCUS29829 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENAVSNLGASSGATKKSRESERRRRRRKQKKINKSSKKSDANASEAVGDTNETTEAHQVVQQVEAEYILEKIDEGVDEEYKRIFKKFSSNEVTGTEDNDKKDESAENSITNKKANSDSEEEENDNENKEKGVSNKKKKLQRRMKIAELKQISSRPDVVEVWDATSADPKLLVFLKSHRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSLGDLYHEGKEFEVKLREMKPGMLSHELKESLGMPEGSPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEFGRPLYGDVFGVHQQDQPNYEEEPIDKTKHWGDLEEEEEEEDEEEMEEEEEEEMEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKLAPGTLLGTTHTYVVGTGTQDKSGAKRVDLLKGQKTDKVDVTLLPEELDAMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKDGKSKKKDFKF >CAK8568792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641557701:641558696:1 gene:gene-LATHSAT_LOCUS21717 transcript:rna-LATHSAT_LOCUS21717 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFCIDFVVVAFVLCNVLLVGGLFELADGRQVEDDKHLIRPPHLLGPRFKHGKFGGGGIGAGGGGGLGLGGGIGGGAGGGVGLGAGGGIGGGAGGGGGLGGGGGLGGGSGLGGGGGLGGGGGLGGGAGGGLGGGGGLGGGAGGGLGGGGGAGLGGGGGLGHGLGGGIGGGAGGGGGLGGGGGGGLGGGAGGGLGHGVGGGIGGGSGGGLGGGGGLGSGGGLGHGVGGGIGGGAGGGIGGGAGGGGGIGSGGGLGHGIGGGAGGGGGIGGGGGAGVGGGGGFGGGGGAGGGLGGGIGGGGGGGGGFGGGGGIGVGAGIGAGGGGGFGGGNK >CAK8570650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:81631170:81642226:1 gene:gene-LATHSAT_LOCUS23386 transcript:rna-LATHSAT_LOCUS23386 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNNSASSRKQEHLEAGKRRLEEFRKKKAAERVKKAASSGSVPNSDAGQNQKAQKQPSEVENVRINESDGVTTSDGIGGAVVETGTSNQKNVSLFNQNQGSLAGATSLVRNDLNTSSTSLVEERSDIDEVKRYNSSTFNTSDDVSQNSKANKTNDIYGIHTGGVGGFLHGTTNHQSIYLRSQESQELDSSTSQSILHGVNESQSNKSNSSVKDFAVTDVSSPYFPSKIIHENSVDSLQKIKQTNSSTFDSSYSHGSASGGFSDSISSSMFRETIRSDSDLPNLHGATIPKYDSTGYEARNSSNHTPIHSVSTESSSRKLRPSFLDSLNVNRPSTGSPFHQPEQDASKYSHLESSSNGTSESTYFRKPPEETKTGGLFSNLINAPVNNNQDTMMISAKENGLERKHDYYSSSQNEDFSTLEQHIEDLTQEKFSLQRALEASQVLAESLATENSSLTDNYNHQRSVVNQLKSEMENLQQEIKAQLVELEAIRSEYTNVQLECNAADERAKLLASEVIGLEEKALRLRSNELKLEKRLEIEQAEISSYRKKISSLEKDRHDLQSTIDALQEEKKMLLSKVRKASGFGKSESKSSKRDGSTSTDDLVSEDPASSSSNTEINDNAAIRDAGTSSLSAVPETTHSSFGVSSVNIPHDQMRMIENINALISELALEKEELMKSLAFESSESSRMKEINKELSRKLEVQTQRLELLTAQNMVNESIENVSTKQTDSRATYENTPYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLL >CAK8575487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:134973256:134973711:-1 gene:gene-LATHSAT_LOCUS27746 transcript:rna-LATHSAT_LOCUS27746 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLYSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFINIGIGFKLSPAPSHQWTPDVYEGVWFVREIPTDFSISF >CAK8542250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:493343366:493348022:-1 gene:gene-LATHSAT_LOCUS11101 transcript:rna-LATHSAT_LOCUS11101 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLIHPPRSCCDYRRQMLKLTSTNNGCSWLLVRHFSRIRPPKPVSADKVIRVSNNVASLDLPKQPLKPRQLLSLPPFPQHTHRFTAVNWIKHYFKGTSGSIVESHFRQGLVQMDDQMRKIRPNDVMKPGARVYVPVSIAETRISKRYDAIPSGTLYPNADEIKYLQRLVMYKDSAIILLNKPPKLPVKGNLPIHNSMDGLAAAALSYDYDEGPKLVHRLDRESSGILLLGRTKNSVSHLQWLFSNINNAKSSCKAWNDACEATYQRYWALVIGTPKEKEGIIHAPLSKVLINDGKTERVMLAHHSSIEPHQEAVTEYRVLGPKINGCSWVELRPLTYRKHQLRVHCAEALGTPIVGDYKYGWFVHSRWKQMARVDVDPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELALPNVAKFLHVLEKSSEEPDPSLSLQHDVLRFVAAMPNHMRISWNLMSSYLV >CAK8542251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:493343366:493347971:-1 gene:gene-LATHSAT_LOCUS11101 transcript:rna-LATHSAT_LOCUS11101-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTSTNNGCSWLLVRHFSRIRPPKPVSADKVIRVSNNVASLDLPKQPLKPRQLLSLPPFPQHTHRFTAVNWIKHYFKGTSGSIVESHFRQGLVQMDDQMRKIRPNDVMKPGARVYVPVSIAETRISKRYDAIPSGTLYPNADEIKYLQRLVMYKDSAIILLNKPPKLPVKGNLPIHNSMDGLAAAALSYDYDEGPKLVHRLDRESSGILLLGRTKNSVSHLQWLFSNINNAKSSCKAWNDACEATYQRYWALVIGTPKEKEGIIHAPLSKVLINDGKTERVMLAHHSSIEPHQEAVTEYRVLGPKINGCSWVELRPLTYRKHQLRVHCAEALGTPIVGDYKYGWFVHSRWKQMARVDVDPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELALPNVAKFLHVLEKSSEEPDPSLSLQHDVLRFVAAMPNHMRISWNLMSSYLV >CAK8544529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690534546:690535472:-1 gene:gene-LATHSAT_LOCUS13199 transcript:rna-LATHSAT_LOCUS13199 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPRGRGGSGGGFRGGRGGRDGGGSRGFGGGRGSDFKPRGGGRGRGSGRGRGRGGRDSSRGGMKGGSKVVVQPHRHDGIFIAKGKEDVLVTRNLVPGEVVYNEKRVSVQNEDGTKVEYRIWNPFRSKLAAAVLGGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFTSEVNKLKADQFKPSEQVTLEPFERDHACVVGGYRIPKKKKDAE >CAK8533818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662772894:662773532:1 gene:gene-LATHSAT_LOCUS3413 transcript:rna-LATHSAT_LOCUS3413 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAIGFEGFEKRLEISFSDPRLFSDPQGRGLRSLTKSQLDEILSPAECTIVSSLSNDNVDSYVLSESSLFVYAYKIIIKTCGTTKLLLAIPPILKLAESISLNVRSVKYTQGSFIFPGAQSFPHRHFSKEVAVLDGFCDKLGSGSMAYIMGGSDEARNWHVYCASADSASLADSVYTLEMCMTGLGREQATQHFPTVQPEKSLFPAVVQR >CAK8564230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659898408:659900381:1 gene:gene-LATHSAT_LOCUS17553 transcript:rna-LATHSAT_LOCUS17553 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVFDFVSLQRLCHGLQTGTKPYQSSTMSLFLSFLPFLFFIHIAYSFSRLNSTIFEGPSVQEFTFPAKSVPFNNCHASTIVEVGKGHFLVAYFGGTSEGAPDVKIWLQTYKNGKWQAPIVGDEEPNVPMWNPVLFKLPSNVLLLFYRIGLDVQKWSGFMKRSNDKGITWTEREQLPPGILGPIKNKPLLLENGDLLCGSSVESWNSWGSWAEVTTDYGRTWRKYGPIYIKNKSLTVIQPVPYQTSNGTLRVLLRSFTGLSRVYMSESFDGGKTWEYAEPTQLPNPNSGIDGIKLKDGRLLIAYNTISRGVLKLALSEDDGDSWQEALTLEDTVGMEFSYPAVIQARDGRVHVTYTYNRTQIKHVVVRPR >CAK8531573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126083970:126084233:1 gene:gene-LATHSAT_LOCUS1355 transcript:rna-LATHSAT_LOCUS1355-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAEEAEAAKSDNHGTANAFNNRGSGNQDFSSARINSGSNSGDRKKYRTTNNHGERTVKNSGTFYGNGNGGYTEGNSDASTRNIYK >CAK8531572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126083937:126084233:1 gene:gene-LATHSAT_LOCUS1355 transcript:rna-LATHSAT_LOCUS1355 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVLKNLIAMLEAEEAEAAKSDNHGTANAFNNRGSGNQDFSSARINSGSNSGDRKKYRTTNNHGERTVKNSGTFYGNGNGGYTEGNSDASTRNIYK >CAK8535527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858306956:858310498:1 gene:gene-LATHSAT_LOCUS4981 transcript:rna-LATHSAT_LOCUS4981 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFMVSHLVIWIQVILFFGIADSERPESVKIGAVFTFDSVIGRVAKAAMEMAVSDVNSDPTVLNGTKLNLIMKDGMCNAFLGSTGAFQVLEQGVIAIIGPQSSAMAHSISQIADAVHVPLISYAATDPTLSSLQFPLFFRTVQSDSEQMSAMADLIDFNGWKEVIVVYLDDDYGRNGISSLSDELENRRLKIAHKLALSIQFDLNEITKLLNQSKVFSPRVFVVHVNPDPRLRIFSIARKLQMMTSDYVWLVTDWLAATLHSFLPANQNALSIVEGVVGLRQHIPDSNKRRDYISRWKKMQKEGIANTSLNSYGFFAYDTIWTVAHSIDKFLKVYKNITFTLHDNNMVAHTEGIGIQLEKLKVFAGGSDFVDILLKSSFSGVSGEIRFSSDRNIISSGYDVININRMKINKVGYWSNHSGFSVVPPEVLAKKEHRGVSVDQKLANITWPGGKTERPRGWVIADNAKPLRIGVPKRASFVEFVTELNDSHQIQGYCIDIFVKALEFIPYEIPYVFKPVGNGKSNPNYDALVKKIDENVYDAVVGDIAIVTNRTKIVDFSQPFASSSLVVVAPINRSKSNAWVFLKPFSPDMWCITIASFFMIGVVIWILEHRVNDEFRGPPKRQIVTMFMFSLSTLFKTNNNTVSSLSKMVLIVWFFLLMVITASYTASLTSILTVEQLSSPITGIDSLIATNWPIGYQVGSFAYSYLTDNLYVSSSRLVSLGSPEEYAVALRNGPSGGGVAAIVDELPYVELFLSKETDFGIIGQPFTRSSWGFAFQRDSPLALDMSTAILKLAESGELRKIHEKWFCKMGCPGERKSDPKPDQLHLISFWGLYLSCSVISVIALVLFMLRMIRQYVRFKQRQKDSAASSSEPSDSHCSRVIVNFFNFIDKKEDAIKKMFTQCENPHNPNSEL >CAK8560660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34722588:34726352:-1 gene:gene-LATHSAT_LOCUS14318 transcript:rna-LATHSAT_LOCUS14318 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLECNLRAPGISQQEKGVKNDLYEELWKLCAGPLVDVPNTGDRVFYFPQGHMEQLQASTDQELNQEIPHFNLPSKILCRVVNIQLLAEQETDEVYACVALLPESDQTEPAEPDPNPPESPKQKFHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMTQATPTQELAAKDLHGFEWKFKHIYRGQPRRHLLTTGWSTFVASKRLVAGDAFVFLRGEHGQLRVGVRRMAKQHNPMPSSVISSQSMHLGVLATASHAVMTRTLFVVYYKPRTSQFIVGLNKYLEAVNNCKFSVGMRFKMRFEGEDTPERRFSGTIIGVGDLSTGWSNSHWRSLKVQWDEPATIPRPERVSSWEIEPFIASPPLSVTQTSIKSKRSRPADVSSCGFWYPKSSQAHELAQLSGSAEVQTNENQIITTSRVTAEAIWPNSPHLNLTSNPYANINIASKPSNGLNGPTCDHQRVEDVKKNTNPLDCWLFGVNLNNNNKPNNIVNSCLEKEQGFRKLTVVTTSGPKESIILTNACETEKAQSPNYSLSSKGQQKKIISDTSTNEWQNKLANVPSMRTRTKVQMQGVAVGRALDLSMLSGYDELIDEVEKLFDIKGELRSQNKWRVTFTDNENDMMLVGDDPWQEFCNMVKKIFICSNEELKKMKCKLPNSSLEIEETLLSLDSHNREENQQTHVI >CAK8578167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610802156:610802629:1 gene:gene-LATHSAT_LOCUS30205 transcript:rna-LATHSAT_LOCUS30205 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKIEMKLLKNKEARNVTFSKRRHGLFKKASDLSILCGARVGLLGFSPGGNPFTFGSPSFQAVIDEYLHEGGGEPLENGEIDNLNLELRGLKKEIQVEEKKLEDIEKDKVHIVPTNLSLKELQKVKTSLKELQDEIEAASSLLLLAKKPMFIVQS >CAK8574373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678447261:678448157:1 gene:gene-LATHSAT_LOCUS26727 transcript:rna-LATHSAT_LOCUS26727 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSKDVWVVFEPLGVIHNNKLVFFYIASFTTLPLSFLLFTLSITTHTLRSHIYHLEALATLTSTLMEARHVWHESRDNAVYLLRVRSLFFLLCFPLSLAAVVSSVHTTTSSLQGKTVTVNSTVNAVKDNWKRPFVTAIFVYVLLLTFSPVPRVVASVFVTRESRFVVMAIGAGVEVYLMAVMGLGLVVSVAEERSGWSAISVGSGLMRGRRLVFGWLISVLFVMVSGFINGKMEGLLEGSNSGVWDKTVLICSYGLTVVFSYVVTTVFYWESRMPHSVRERETTVQDVDSISLSSL >CAK8530240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9040477:9043611:1 gene:gene-LATHSAT_LOCUS122 transcript:rna-LATHSAT_LOCUS122 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTNEPPQQPPQQNGGERRQRPLPPPPQQQQHSNGYMHQQHHPQYYPQTPSRSSSSASLKGCCCCLFLLLSFLALLVLAIVLIILLAVKPKKPQFDLQQVGVQYMGITPNNPSGTTASLSLTIRLLFTAVNPNKVGIKYGESRFTVMYRGIPLGKASVPGFYQDAHSVRQVVATIGVDRVNLLQADAADLIRDASLNDRVELRVLGDVGAKIRVMNFDSPGVQVSVDCAIAISPRKQSLTYKQCGFDGLSV >CAK8534293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713563883:713564341:1 gene:gene-LATHSAT_LOCUS3848 transcript:rna-LATHSAT_LOCUS3848 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDRLYPGRRGLKLNFEERVKGFITWAFSQECCQSGGGVRCPCLKCGCRHIISDPEEVERHLKKMSFIENYWVWTYNGEELPSSVLETSNTHASSSQSLMEHRENFNLISEMVGDAFGVNVTYDEPEEVGDDAPIYAIARWR >CAK8569229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685219704:685221593:1 gene:gene-LATHSAT_LOCUS22107 transcript:rna-LATHSAT_LOCUS22107-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVDQPYLDGWLKNTPLKNQKSSPNSNQVYLDGWLKDTRAEKENSNQVYLDGWLKDTRGEKQNSNQVYLDGWLKDIRGEKEKLNHDSNQVYLDGWLKDARAEKEKSNPDSNQVYLDGWLKDNRAEKEKVNFDSNQVYLDGWLKDTRDEKAKVNPNSNQVYLDGWLKDTRAENAKAAPDSNQVYLDGWLKDTQAEKAKTNTDTNQVYLDGWLKDIRAEKAKANPDSNQVYLDGWLKDTRAEKAKVNPDSNQVYLDGWLKDTRSEKLNVNPNSNQVYLDGWLKDTRANNEKSNLNSNQVYLDGWLKDIRDLKEKSTIDSNQVYLDGWLKDTRAEKENSSPNSNQVYLDGWLKDSHVENAKSISNSKQAYLDGWLKDSHAENHMKIGHDLAESNRNLSSKIDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSTQGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYDIHSTSYPTTSGAQLQNYTVMDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPRPPHATK >CAK8569228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685219159:685221593:1 gene:gene-LATHSAT_LOCUS22107 transcript:rna-LATHSAT_LOCUS22107 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPFLLLFLINDHGSFARDMNQVDQPYLDGWLKNTPLKNQKSSPNSNQVYLDGWLKDTRAEKENSNQVYLDGWLKDTRGEKQNSNQVYLDGWLKDIRGEKEKLNHDSNQVYLDGWLKDARAEKEKSNPDSNQVYLDGWLKDNRAEKEKVNFDSNQVYLDGWLKDTRDEKAKVNPNSNQVYLDGWLKDTRAENAKAAPDSNQVYLDGWLKDTQAEKAKTNTDTNQVYLDGWLKDIRAEKAKANPDSNQVYLDGWLKDTRAEKAKVNPDSNQVYLDGWLKDTRSEKLNVNPNSNQVYLDGWLKDTRANNEKSNLNSNQVYLDGWLKDIRDLKEKSTIDSNQVYLDGWLKDTRAEKENSSPNSNQVYLDGWLKDSHVENAKSISNSKQAYLDGWLKDSHAENHMKIGHDLAESNRNLSSKIDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSTQGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYDIHSTSYPTTSGAQLQNYTVMDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPRPPHATK >CAK8542964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562665983:562671255:-1 gene:gene-LATHSAT_LOCUS11759 transcript:rna-LATHSAT_LOCUS11759 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGATVCSGHRFQCLPLLPHSTRPQLTNSTRSRLVSVNPQSVSCFHTKLFFNSNRFSVTLTRAADSSTTTTSQSSDVPNSSITLVPDDEITITKGIVQFFRISFGVIGLSLGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAFRLQEKCATPILKQVKSDVTRFRYGDEQHLEEALKRIFQYGQGGGIPRRSAPVLQIIREEVTQDGKYSLVLVFEAKSLKLSDFEQRQAKFTSFFGPGITGEVDKGENDFYEVRLIFNTDPNATSS >CAK8533048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570725521:570725825:1 gene:gene-LATHSAT_LOCUS2700 transcript:rna-LATHSAT_LOCUS2700 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCGGHIFNNLHTGINTRHIYMTSKMVEEYSGLQLQPHKALVGANAFAHESGIHQISYHDNYICLSIP >CAK8572527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543777623:543778543:1 gene:gene-LATHSAT_LOCUS25084 transcript:rna-LATHSAT_LOCUS25084 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKEDLLIEQVCELYEQISSLDTLKPCKNVDTLFTKLVLTCMPPSPIDVTKLSTKVQEIRSKLIRLCGEAEGHLESHYSTIIASYNNPLNHLNIFPYYSNYLKLSLLEFNILTNHSTNIPKKIAFIGSGPLPLTSLVLASNHLQNTIFHNYDIDPLANSKASCLVSSDPELSKRMVFFTNDILDVSNALKEYEVVYLAALVGMNVEEKNRIIDHLAKNMAPGAVLMLRSAHGARAFLYPVVDTSNLPGFEVLSVFHPMDEVINSVVIARKYPMVLLPNKHCCSDEVQAFKPLINHGIEELVVEDN >CAK8569038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665630418:665635160:-1 gene:gene-LATHSAT_LOCUS21935 transcript:rna-LATHSAT_LOCUS21935 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSVATAKKKWPLMLLAFLSISTVMVLFIRNNSDSCNTKIFEAQQTQIHSSSAAAGGGGNGGNVASGPSPLDFMKSKLVLMVSHELSLSGGPLLLMELAFLLRGVGSEVVWVTNQYPVEHDQVIYSLESKMLDRGVQVMPAKGEKVVDTALKADLVILNTAVAGKWLDAVLKEKVTLVLPKVLWWIHEMRGHYFNVEYVKHLPFVAGAMIDSHTTAEYWKNRTRERLGIKMPETYVVHLGNSKELMEVAEDSVAKRVLREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLRAFHESLQLIQEKKLQLPALHAIVVGSDMNAQTKFEMELRKFVTEKKIQDRVHFVNKTLAVAPYLASIDVLVQNSQARGECFGRITIEAMAFRLPVLGTAAGGTVEIVVNGTTGLLHPASKVGVTPLAHNIVKLATHVEKRLTMGKKGYERVKERFLEHHMSQRIAVVLKEVLQKAKHS >CAK8560926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58239146:58248683:1 gene:gene-LATHSAT_LOCUS14563 transcript:rna-LATHSAT_LOCUS14563 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNTITSPLLHSDHLILTVDSDSNSASSTNTNSPISNPFSALGFSGGELTVPAGITVDPFLNYKAGINSFYEWVKTILCIPLALIRLVIFGLCLAIGYVATKLALYGWKDKENPMPRWRSRIMWVTRITARFILFSFGYHWIKRKGKPAPREVAPIIVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSPSSRKQAVREIKRRASCDRFPRVLLFPEGTTTNGRNLISFQLGAFIPGYPIQPIIVRYPHVHFDQSWGNVSLGQLMFRMFTQFHNFFEVEYLPVISPLDDKETAVHFRKRASHAIATALNTVQTGHSYGDIMLHARAQEAKQENPSSYMVEMAKVESLFHISSLEAVNFLDKFLAMNPDPSGCVQYRGFLSVLRLRDCPLAEKIFAFIDVEKCGKITFKQFLYGSAHVMKQPGFCQACEIAFAECGGAVKGYIIEEELRDSIQSALPSWNEDEVHSLFVLFDEDNDEKIYKDDFLSCLRRNPLLIALFTPQPELKESCGNGVLEIV >CAK8568590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621315604:621316284:1 gene:gene-LATHSAT_LOCUS21532 transcript:rna-LATHSAT_LOCUS21532 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSREKPSGPVLRSLSPSGRFCSYTQSRTPFSTPSSAFASSINSSFSSPSSSFHIENNDNHYNHHHHNYQHNSHHRSASPTRVNLYTQNSRSAGLRFSIDPRSISPNRSISNHVITTKKNRPIPAQKKTCMCSPTNHPGSFRCSLHKNIGSQNTSADSYPSTRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSAFEPRPSRLSVMTKAEDL >CAK8538301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475929939:475930541:-1 gene:gene-LATHSAT_LOCUS7515 transcript:rna-LATHSAT_LOCUS7515 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERLCFMVQEKTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIEACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPNSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVVGPSNRPTR >CAK8573324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603252297:603254804:1 gene:gene-LATHSAT_LOCUS25785 transcript:rna-LATHSAT_LOCUS25785 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEHREEEEAPAVGDDEDTGAQVAPIVKLEEVAVTTGEENEDAILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKATGKVRLLMRQSKTLKICANHFIIPTMSVQEHAGNEKSCVWHAKDFADGELKDELFCIRFPSIENCKSFMETFLEVAESQKQVDDLEASAAAILVEKLSVDDKSADAEKKDEEKSAEKTEKKESASGETVKADADKKVEEPESA >CAK8567021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480313800:480315375:-1 gene:gene-LATHSAT_LOCUS20112 transcript:rna-LATHSAT_LOCUS20112 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNIMVGVVAKPNLVSCNFPTLKNKYSIQPHTSSTTTTTALVSRCCFIRLGSSFKPVMTKKPFAASFRKKGSIVICHDSNKESEVRGSVVEVVEEGKRDWTTSILLFLLWAALIYYVSFLSPNQTPSMDVYFLKKLLNLEGDDGFKMNEVIVSEWYIMGLWPLVYSMLLLPTGRSSKSKVPVWPFLSLSFFGGMYALLPYFVLWKPPPPPVEEAELKTWPLNFLESKLTASILLASGIGLVIYAGLAGEEVWKEFYQYCRGSKFIHIMSIDFTLLSTLAPFWVYNDMTARKWFDKGSWLLPVSLIPLLGPALYILLRPSLSTSVIAQTTAESE >CAK8567022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480313800:480315360:-1 gene:gene-LATHSAT_LOCUS20112 transcript:rna-LATHSAT_LOCUS20112-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVAKPNLVSCNFPTLKNKYSIQPHTSSTTTTTALVSRCCFIRLGSSFKPVMTKKPFAASFRKKGSIVICHDSNKESEVRGSVVEVVEEGKRDWTTSILLFLLWAALIYYVSFLSPNQTPSMDVYFLKKLLNLEGDDGFKMNEVIVSEWYIMGLWPLVYSMLLLPTGRSSKSKVPVWPFLSLSFFGGMYALLPYFVLWKPPPPPVEEAELKTWPLNFLESKLTASILLASGIGLVIYAGLAGEEVWKEFYQYCRGSKFIHIMSIDFTLLSTLAPFWVYNDMTARKWFDKGSWLLPVSLIPLLGPALYILLRPSLSTSVIAQTTAESE >CAK8560145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8772434:8774804:1 gene:gene-LATHSAT_LOCUS13850 transcript:rna-LATHSAT_LOCUS13850 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKNKRVILKDYVSGFPKESDMNIVDSTITLKLPEGSDNVVLVKNLYLSCDPYMRTLMSNPQGSINPRAYTPQSPITGYGVSKVLESGHKDYKEGDLVWGVTNWEEYSLIPAAQIHFKIENKDVPLSYYTGILGMPGMTAYAGFFEVGSPKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKFGYDEAINYKEEQDLNAVLKRHFPEGIDIYFENVGGKTLDAVLLNMKLNGRIPVCGMISQYNLTEPEGVTNLAHIIYKRILLQGFIVVDFYHLYPKFLEFILPHIREGKVVYVEDIAEGLEKGPEALVGIFKGRNVGKQVLVVACE >CAK8567135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490019842:490020246:1 gene:gene-LATHSAT_LOCUS20212 transcript:rna-LATHSAT_LOCUS20212 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNIAILIASLLCILSSVSCGRVIVGAKTEITDVRTNMEVQKLGKFAVEKYNYKQNNGGGEALKFVEVVEVVEAEKQVVSGMKYYLNISAVDHNGVHRMFTSVVVVKPWLQYKKVLHFGDSSSTFHQLQYTSM >CAK8567085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485685997:485688802:1 gene:gene-LATHSAT_LOCUS20170 transcript:rna-LATHSAT_LOCUS20170 gene_biotype:protein_coding transcript_biotype:protein_coding MSINSNDTLFNKIKRLNETGYLVCYDAPPHTVSDGVWGGKISGRTPHKSFLPMFELQVLIIFVLTQITTFVLKPLRLPPFILQMIAGLIIGILFEQKGLEKYMTMLFPYGTHDIISAISSIGFVLFIFINGVQMDFSMITRTGNKAWTVSIVGLVIPLIAGITPLVMFPEKVHAIEKRNGNGIYVALVSHIISSFAVISSLLSELQIQNSELGRLSLSSALVSDILSTIVTTNIIAVRTNPCMRVVSRNLILLFLLALFIPLICRPFMFWVIKHTPEGRNVKDGYIYLIIAMVFALGVVSVKISQEFVLGAFILGLSVPEGPPLGSALVKKLQFFGPTFLLPIFVTTSVLKADFSMDFSSFVMVSTGMAILLTHLIKVVICFITALCCNMPVNDALCLSLILNTKGVVEIGAYHALFDNNVIDGQTYGVMMLSVMITGSIVHWSVKLLYDPSRKYAGYQKRNIVGLKRNSDLRILLTVQKQNHISAAIDFLDICCPTHENPITVDVIHVIELVGRALPVFIPHCLQRQASRSASHKSYSDDVILAFDIYEHENENAVSVNTYTAISPANLMYEDVCNLALDKVASIIILPFHIRWSSDGVVESDDKKILRALNQKVLEIAPCSVGILVTHPNSIPKATAGNSLSLEYSITRLAIIYIGGTDDDEEILCLATRAMNSPRINLVVYHLIAKDCVAEMEDLMEIGDVILQELKQSESVKYQEIFTKDGSQTASFLRDIVNEHDFFIVGRRHGSKSPQTDGLSDWSEFPELGAIGDFLASPDLNSRASVLVVQQQLSRKNELKGWVL >CAK8538737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494658957:494662119:1 gene:gene-LATHSAT_LOCUS7906 transcript:rna-LATHSAT_LOCUS7906 gene_biotype:protein_coding transcript_biotype:protein_coding MTENWNCLTLDLIIFNVTLACVDGVLAIVAFAQVVRIHLRSQQNGWTRQKVLHIMIGTSNLGYCIYFTSTVFATCNGWYCWSGVCGFILMAAPKVMFLAVFLLLLSFWVDLCHQENEEELDDEDNVENRTLLEGVMREQHGSAPKKIHRRCCSIQGIHIGSRQKYVILIVLLIFAVMIAFAILICVGDATNPIDPSIVARVYETFLAIMILILAGALGCYGFLLFFKLRKVRSEDASSEMWKVISLAIISIACFSASALVALNTDIPLFYHWHLKFIYGVKAFVFLILYYFIGSSLPSAYLLWIIRELPPLVTDSIQEEPRGTYTFISHADETSSSSHPSSWTTATSSTNQISRASPI >CAK8572466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538862246:538862626:-1 gene:gene-LATHSAT_LOCUS25030 transcript:rna-LATHSAT_LOCUS25030 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGNIVFAIIGFSASFIFCVPSLKTWQRKQMALEKLKIIREALEEAEERVVRFQERHDRILNHISASYLTNAEAVEALAGARRNMNQALEFAVELRSIQFKTISSFPNAVHIVNGASDTGPIRGA >CAK8541228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92318403:92319263:1 gene:gene-LATHSAT_LOCUS10161 transcript:rna-LATHSAT_LOCUS10161 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQPRKRLIIKLKYPPGSRKHDSDSCGRDENKRRKIEDSVKPIITCYWVDSNYRTKSSALSQPKNNDNVVEDKKMIKNQVSKTTALAQPEDNENVVEDKKTIKNKVFKTRALSQPKDNDKKVIKNQVSNTIMPNNIVVENKNQVSKTEIAFNGRKESSRGEPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIEMIDKSESKSKAIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKYSENFEHKWKSLKDTWKLEDRKRSKTHKSTRY >CAK8570160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26552646:26552984:-1 gene:gene-LATHSAT_LOCUS22937 transcript:rna-LATHSAT_LOCUS22937 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRLLDCPVPDLKRKLIADRSKDGRIYNQPTVSEVAALIVGDVDTGSKRDIILERRSGRLKRISEFHPSYFVLQYPLLFPYGEDGFRLCVLHKEINAKKKFKKNKLTIRE >CAK8538530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486261041:486266368:-1 gene:gene-LATHSAT_LOCUS7718 transcript:rna-LATHSAT_LOCUS7718 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQTSLTFCVNGEKFELSNIDPSITLLEFLRTQTRFKSVKLGCGEGGCGACVVLISKYDALLDRVDDFTASSCLTLLCSIHGCSITTSEGIGNSKQGFHPIHQRFAGFHASQCGFCTPGMCVSLFGALVKADKNNSPEPPAGFSKINVSDAEKSIAGNLCRCTGYRPIADACKSFAADVDMEDLGLNAFWRKGDSKDLKLSKLPLYDRDHEIIKFPMFLKDIKRDLFIASEKQCWHKPTSLKELQRLIELNHANETKIKLVVSNTGMGYYKDKHGYDKYIDLSGISELSKIRKDQSGIEIGAAVTISNAIEALKVQSKSEFLPDFVMTLEKIADHMNKVATRFIRNTASVGGNLVMAQKNKFPSDIATILLAVDSMVHIMTNAKFEWLALEEFLERPPLGLGSVLLSIKVPCLETIKTEYSTPRSSFVFETYRASPRPLGNALSFLNAAFLVQVSPCKDTDGTMIDTCRLSFGGFRNKHAIRAKSVEEFLAGKLLSDRNLYDAINLLKDSATVIPQDETAKSAYISSLAVGFLFQFFYSLSDSSARIYNGYLNGYTHLPSVKASDIKDNQNQATLLSSGKQVIVAGSEYSPIGEPVMKSGAALQASGEAVFVDDMPSPTNCLHGAYIYSEKPLARISGIKLRQELEHDGVRDIFSSKDIPNGGENLGTKNVFGREPLFAEEIARCVGERLAFVVADTQKLADLAANSASVEYSIENLEQPILCVEDAVERSSFFVVPPFLYPKYQIGDISKGMAEADHKILSAEMKLGSQYYFYLEMQTALAVPDEDNCITVYSSSQNPEHVHSTIARCLGIPENNVRVITRRVGGGYGGKGGKSVAAATSCALAAHNLQRPVRMYLNRKTDMIMVGGRHPMKITYSVGFKNNGKITALHLEILVNAGIYPDVSAIMPRNIAGALKKYDWGALYFDIKLCKTNHPSRTIMRAPGDVQGSFIAEGIIENVAATLSIEVDSVRSINLHTYTSLKKFYENSCGEPLEYTMPLIWDKLAVSTNYELRVNKVKEFNSINIWKKRGISRVPVVYELNLRPTPGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFALGTIQCDRSGGLLDNVRVVQADSLSLIQGGLTAGSTTSESSCEAVKLSCNILVERLKPIKKKLQEEMKSLKWENIILRAYMEAVNLSASSYFVPSKNSIRYLNYGAAVSEVEIDLLTGETRFLQTDIIYDCGQSLNPAVDLGQIEGSFIQGLGFFMLEEYETNVEGLVLADGTWNYKIPTMDTIPQQFNVEILNSEHHQHRVLSSKASGEPPLLLAASVHCATRSAVKEARKQVLSWSNLDESDSTFQLGVPATMHVVKELSGLDIVERYLKWKMDSK >CAK8564575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:868483:871240:1 gene:gene-LATHSAT_LOCUS17870 transcript:rna-LATHSAT_LOCUS17870 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLGLNIATAFKSLSLSSPSSSSLSSSSFLSGSSSLRFTPSVSLPRIHRLVITIQNAHKKGAGSTKNGRDSAGRRLGVKVYGDQVAKPGSIIVRQRGTKFHAGKNVGIGKDHTIFSLIDGVVKFEKYGPDKKKISVYPQEVTPPNPDSYRARKIEYFRMRRERKKAAQERREFRPQLVLASADGAADDAAITNLDC >CAK8567566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525729026:525736762:-1 gene:gene-LATHSAT_LOCUS20607 transcript:rna-LATHSAT_LOCUS20607 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRNLKSQMPRLKRCRLGDSVGEDDDSSYASKKRKTSGYYYPLNLLGDVAAGLIPVSFHGLLSAGVSERGFSASWCTRVPCSPGEDESNSKEEMVPVKKNQVQRPPLVRTSRGRVQVLPSRFNDSVIDNWKKDGKTSLGDCDVHDEFECKKDRVVVPKTCNNNVRKGRSSGKVGYKQRNYSALCGDDDVTVSGRHKSFGRRKGSALYDDEVDLAMGSDDIVDLEQNNGEKKDGLYGPEDFYASDIVWAKAGRKEPFWPAVVIDPVKHAPELVLRSCIAAAACVMFLGNAGNENLRDYAWVKHGMIFPFMDFVDRFQEQPELSNYSPSDFQMAIEEAFLADQGFSEKLIDDINAAACATVDDDTILKSSFREVCGTNQYGRAGKHFINQDLFDKKDTRSCEACGFTLSYKISKKTNGLTPNGQFLCKTCARLTKSKHYCGICKKVWNHSDSGSWVRCDGCKVWVHAECDQISRNHFKDLESRDYYCPTCRGKFEFELSDSEKTKTKVRSNRNSGQLVLSNKVNVLCNGVQGIYFPSLHLVVCKCGFCGTEKQALSEWEKHTGSKLRNWKTSITVKDSRLPLEQWMLQVAEVHANALVSVKPKKPSLKERKQKLLTFLKERYEPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGVLNVKDFTSWVCKTCETPRIKRECCLCPVKGGALKPTDIDTLWVHVTCAWFRPEVSFASDQKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYFHAMCASRAGYQMELHCSKKNGKTITKMVSYCAYHRAPNPDNVLILQTPLGIISTKSLLQKKKIGSRLISSNRIKQEETPIDITEHDPFSAARSRILTRTNHTRKRASDEAVFHQARGHCHHPLDAIQSLNAYRPVKEPQAFSSFRERLYHLQRTENERVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREAHYRAEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSSGDELTYDYLFDPDEPDEFKVPCMCKASSCRKFMN >CAK8560471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21280150:21285977:1 gene:gene-LATHSAT_LOCUS14140 transcript:rna-LATHSAT_LOCUS14140 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFSSSVDFGLNLSKRIHHFKGSAPAPVPEMSRSPEDYLPSAPICYAVIPDPEIVDNPDIRSYQPYVYGRCDPPALIPLHLHGIAMEVECCLDTAFVTVTGSWRVHCVRGSSMCNCQVAIPMSEQGSLLGVEVDDSEKSYHTELVSLKDEKDKQKMVKAKDGYYLKSQIYIIKIPQIRGGSIFSVKIKWSQKLLFHDGEFCLTIPFCFPSYVNPVGSKISKKEKIFLKLNSGTADEVLCKATSHPLKGVTRQAGKLSLSYEAEVSTWSNTDFSFSYKVSSSDLFGGVLLQSPFLRDFDEREIFCLYLFPGNYQDRKVFKKDMVFVVDISASMKGSPLQNVKNALLASLFKLNQQDTFNIIAFNGEAYLFSPSMVTATKEAISKASKWVETTFIANGGTNIMLPLTQAMTLLRKSSHSVPLIFLVTDGAVNDEREICEFVKSYVTNGQSVRAPRICTFGIGLYCNHYFLQMLAEIGRGHYDAAYDLDTIDSRMQRLFSTASSVTVADITIKSLEGLESKELFPIHIPDLSFGSPLIISGRYNGTFPELVKVTGTLADRSSFEVDLKVKREKDMHLTNVFSKRHIDLVTARAWLLESKELEEKVTKMSFQNNVPSEYTFMEMILVKKDDVKKAPDNFLLQKAYSSLSIKGLELEIPKLFLGGLSHGFGDLKATAENLPPATKEAKPSEGLLGKAASTCCGKVANTCCCMCLLQTCSLVNDSCTIVCTQICAALACFELIKCCIELCDCDCLQ >CAK8534855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778368260:778370985:1 gene:gene-LATHSAT_LOCUS4362 transcript:rna-LATHSAT_LOCUS4362 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMNNIRSATKEALDRTAIIAKFLCCLHFTANYLCSPTHAYGPSMLPTLNIAGDVVLVEHLSPRIGKIGHGDLVLVRSPLNPNRNLTKRVVAMEGETVTFFDASGSDSSRTAVVPKGHVWIQGDNIYASRDSRHFGPVPYGLIKGKVFFRVWPPSSFGLLDN >CAK8560776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41514715:41524725:1 gene:gene-LATHSAT_LOCUS14426 transcript:rna-LATHSAT_LOCUS14426 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGEFAGTICSICYESLNPITEDLQSVTICGHVFHELCLQQWFEYCETSKKRTCPVCKQGCKVKDACRLYFQSIGDAHGAGLTQKQRGVEEDAGVLRKEVKRLEGKVSGLSTVLENQTKELDELKDELSTCKEQRRIEIASKNEALRQNASIQLQFREKSTELEKSNLERFRLQERNMALAKELAALKLVSDLDLGEDEVLKLATLGNGANSKETVDTLKRSLVLRNRSYKELMAKCNLLGRGEARYSKKLEKAKEKINKMKARLQELDTAMEVKENEYLKQLKVAKNSENNINSNSDVLMACKFSSKEQTKQSSTPKSGTDLNKNDNNQSLQSLKIDNSKATHNKNVNISYGSKTTLPLDKEVDCIIIDENEYKYHNKVDLGKQETAPVSKTKTSLQGICNLDDSSRYDIDTEMADITADIATMDNDVTLHADAKQAQPMVNIRKESPLTTSSSVDICFSGGLLGPDGSQRFLGKWCKRGQNGESTKGNLISVGADGRGGKVKVLRNPSQPFSEVKENSVASKRLKVGPNKASGMQSRGCLQIEHFFGRVSQ >CAK8574151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666013101:666016554:-1 gene:gene-LATHSAT_LOCUS26524 transcript:rna-LATHSAT_LOCUS26524 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNAFIASSFAVHATNLSDPLSIPLPPSSLSFTLRRSSFSLRNKNITHASSYDLNAVDSASPKSNKNLDSIPMPIVLIDQDSDSEATIVQLSFGDRLGALLDTMKALKDLGLDVSKGTVSTEGPVKQTKFFITQSDTGRKVENPDMLERIRLTIINNLLQYHPESSELLAMGEVFGLKNPEKKLDIEIATHIQVKEDGPKRSLLCIETADRPGLLVEIIKVIADVNIDVESAEIDTEGLVAKDKFHVSYGGAALNRSMSQVLVNCLRYYLRKPETDIDSY >CAK8539577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516790350:516790978:-1 gene:gene-LATHSAT_LOCUS8660 transcript:rna-LATHSAT_LOCUS8660 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTLTPNPSSSSLVHFSNKLCEFHQRKKRVSFFDASRVVSLRCVKASAERTGEAIDDRGETRTGFTAPAAMEVTTFNQGFNDAAAADFPVWEKIGAVVRLSYGIGIYGAMAVAGVLYVLLPE >CAK8568823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644188452:644195596:1 gene:gene-LATHSAT_LOCUS21744 transcript:rna-LATHSAT_LOCUS21744 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPLVDRAVSDLLIGPDWALNLEICDILNREPGQSKDVVKGLKKRIGSRNSKVQLLALTLLETIIKNCGDIVHMHVAEREVLHEMVKIVKKKPDAHVREKILTLIDTWQEAFGGPRARYPQYYAAYQELLHAGAVFPPRSEQSAPIFTPLQSQPLGSYPQNIRDSDTRQPTAESSAESEFPTLSLTEIQNARGIMDVLTEMLSAIEPGNKEGIRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDELQRILAKHESIASGPTVKNQTEKSNSAPTGARVDGPLIDTGDTSIQTDTRSASAEAGSQTLNQLLLPAPPTSNGSAPPVKVDPKWDLLSGEEFNSPKADNSLALVPVGEQQAASPKSQQNALVRFDMFSNGNNVPLSVNTQPTAPQFQQQTIISQGVFYPNGSMPNAGSPRYEQSLYSQSTGPAWNGQVVQPQQPPSPAYGAQSGGSFPPPPWETQSADNGSPVAGSQYPQPMQLTQMVMTHVQTGGLPQGPQATGYDQAPGMIIQPNANPISTFNNQVQNNQMRLQPPYIQGVAAPYMGMIPPQMQNGPVASMFPQQMFGNQFPGYGYGQQQGLQYAEQQMYGLSLKDDNALRNPYQVSAASYAPSGKPSKPEDKLFGDLVDMAKVKPKSTTDPS >CAK8562670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524388906:524389292:-1 gene:gene-LATHSAT_LOCUS16148 transcript:rna-LATHSAT_LOCUS16148 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8540930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33254323:33254862:-1 gene:gene-LATHSAT_LOCUS9887 transcript:rna-LATHSAT_LOCUS9887 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSSLAIVFLLCVASSYAVKTVDIDTICKGVKNPSFCLTLLNSKPGASRDLVSLAQYTMDVTIANTTNTIKLLNMLLSKSGGDAEAKYHYDACLVHFDAIADILKATLHYMKIGEYDNVLSEALGVYIHVDNCISGDSPGDSHYPYHDRSMLPEYANMVGQVSQVFVAVLHHLNTDI >CAK8568042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566524844:566525155:-1 gene:gene-LATHSAT_LOCUS21041 transcript:rna-LATHSAT_LOCUS21041 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPLVLKAVKKNRTRRQYECLSSGTYNISMAEIYPQKNQPLHHSQIPNSDKNIGHRRSKTVGTGFQFSDQIRSGSVSVSPPKKIDRSRSHRMFSCITGRV >CAK8543518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614499777:614501167:1 gene:gene-LATHSAT_LOCUS12272 transcript:rna-LATHSAT_LOCUS12272 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMKIQPIDSQVPAERIKPVVKSRLKRLFERQFSGVLKNPAVEKIGGDEPHARKDGNGNGNGIVDFEPSSVCLAKMVQSFIEENQEKHSVSCARNCFNGSFDDCSDTEQHALGGSSSGEVYETLKGLVACPNVFEKNLLAEITKIIEKNKTVCKRKDDDCRKTITDGLLTLGYDASICKSRWEKSTLCIAGEYEYVDVIMGKERVIIDVDFRSEFEIARSTKSYKAILQTLPYIFVGKSDRLQSIVAIASEAAKQSLKKKGLHVPPWRKLEYVRSKWLSPYTRTKPPLFCNWPREISEETERKQRISMGGGGGESEVSHDESESKVVVEWKPPELKPKNSLTGVKVVTGLAAVFRESP >CAK8566536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433589136:433590811:1 gene:gene-LATHSAT_LOCUS19660 transcript:rna-LATHSAT_LOCUS19660 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEIVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANIIEGWEERWLFSLMVINTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCQNGFHCKLEEGFQAPIRKIALRYKITWVDWDQDQIPVRFYVLDSTDQVRTNGSKTIHDCLAEYTILENNSSGHFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8538267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474076486:474081643:-1 gene:gene-LATHSAT_LOCUS7483 transcript:rna-LATHSAT_LOCUS7483 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRGKDLIHSDGGDPAESDVNFEDSSSVKSDGGIAENSSSPGDDGGSRSEVGLTERLTDIIVEEGDGDLLIQQTNCEDRLMEWLQALDLQVMGACRADERLKPLLKMNTVSGVSEDPLLAQLIQHFEPSEVGMLARCFCLPLVSIRVGKINKEGTRLCPTANRGNLTLVLLPSSDLRLSFIGDDGKTERLFTLSSRSQCSAVVVEGILTDSSGRSFHVTTPDDKSFFFWCSEKSKLLGVELLAKMKDLLKRKPSIAELSGISKSRLDCFATQLRAFLVGSTAGGSHDGSACASMSANSMTYCDVVFENSHSSSKFPRSRQIVQTTKGDSVVYQSILSPRSSSFKEAPSRNLASHRIAAREKIKRRGDNHQPAADNLELSSTSDHDKASEVTITHAFSPNFMGSAGMFSVPSSLGAGGEVLPPVVSPLFSPYYCWCPPGISSTFPSIAALPQSPMSFAGSQPHASGTPLLPNTLAASLFQPIQPLNLGTSMDFPPFFPEPLVRMSLPTSQQIPIFTPLMCDPIVHVPVIDICSSGQGYLVSAGPAMSTSIPPMHPKLVNPLISESDAVVKGARETLRLLISGSSQGNQQQVMMNPLPVILTNLDEKQNNVLVAGSRGLYTGTRDINVIANSIAAMGLVSLSGVSSNGDNEGDSEVCGNFGILEAMKKSNDAGGAFSDEGGPPSLDSK >CAK8563800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631718655:631719299:-1 gene:gene-LATHSAT_LOCUS17175 transcript:rna-LATHSAT_LOCUS17175 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSLTIPITVTNTDSSRDSNTKKTKNKKHHHQDSQIQINPKWKSHVQQQIYSTKLRQAITSLNSTSAPRRGKAVREAADRALAVTARGRTRWSRAILTSRLKLKFRKNKNKNKNKIHRVKALKSLPSTRYKKSRINVFRLRGKVVPGVQSKVRFLGRLVPGCKKEPLPVILEEAIDYIPALEMQVRAMTALFNLLSTSTSGAGDGEGSSSGC >CAK8532340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248109507:248110061:-1 gene:gene-LATHSAT_LOCUS2058 transcript:rna-LATHSAT_LOCUS2058 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAWLVARDFNDITSADEKEGGAAISICKCNIFKERVNSCNLLDLGTSGSKFTWRRPVYNGGQRIFEKLDRALGNEECRIEFPNGDVRMLTRLDFSDHHPLLITLMEAPHLVAQAQFRFKSVWFLNSTYNNMTEKIWKNEESMKRNLESVDKGIKNWKFLNFAQVIREKRVYEHALWSPKKYE >CAK8569221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:684319114:684319905:-1 gene:gene-LATHSAT_LOCUS22100 transcript:rna-LATHSAT_LOCUS22100 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGAERNQELQLFTTPQPKIETPNTNTTVNNKHHASSSIVSHRPRGRPLGSRNKRKIPVITHDNTNVVSSHTLEISDGDDISKSIFDYAHRQGRAICILNGDGLVTHVRLRLPTGRVVTLQGRFEILLISGTIFPTPTAMNVGGLAVYLSGTDEQVIGGSVMPPLVASGSVTLMVASFANTTLENISSMVIDRKEHHLPCLDGVGQVRVGDNDLFNAGDSTSGTRNIMSRNIIYPSSSTQNHIFERDATATVIRPPKHPRF >CAK8562075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:437802418:437807886:1 gene:gene-LATHSAT_LOCUS15603 transcript:rna-LATHSAT_LOCUS15603 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLIPEWKEAFVDYCQLKKDIKRIHLLNNINNEPNNQRMISSPFSLLRKFSLFGHQQRDHEPIQVHRKLVSSTSKSDMYETQLLEQFADTDATKEFFACLDQNLNKVNKFYRTKEKEFLDRGESLKKQMDILIELKSAFMEKKSKGGSSQYSKEEESVSCTFSSSEDDSVRSSREQTCDLEKIEEDFTQSNEDEKSMNLKWVDGKLRTLSGHLVSSKGKNVKINIPLTTPSQTLSEISYLVMEDLFNQSSKKSNLENGVLHLNKTRLHHAEKMIKGGFIELYKGLGYLNDYRNLNLLAFIKILKKFDKVTEKQILPIYLKVVESSYFNNSDKVVKFMDEVEELFVKNFAKDDHRKAMKYLRPIHHKKESHALTFFIGLFTGCFLALFVGYVLMAHLTGLYKRHQNSLYMETVYPVLSVFSLMFLHFFLYGCNIFAWRKTRINYKFIFEMAPTKELKYRDVFLICTMSMTAVVGVLFFHLTLLTKGYTYAQVQILLGLLFLAFLLLLVCPFNIIYRSSRYRFLCVIRNIIWSPLYKVVMLDFFMADQLCSQVPMLRNLEFVACYYITGSFKTQDYGYCMRVKNYRDFAYAVSFLPYYWRAMQCARRWFDEGETSHLINLGKYVSAMLAAGAKVAYEKDKSVGWLCVVVIMSSVATLYQLYWDFVKDWGLLQMNSKNPWLRNELMLRRKAIYYFSMVLNITMRLAWLQTVLHSCFENVDYRVTSLFLAALEVIRRGLWNFYRLENEHLNNAGKFRAVKTVPLPFHEVEDEED >CAK8560437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19268759:19269946:1 gene:gene-LATHSAT_LOCUS14106 transcript:rna-LATHSAT_LOCUS14106 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAATLAYGLQKRANCVEERTIFIFDLGEGTFDVSLLSIKNNSFDVKATAGDTRLGGEDFDNRMLNHFVKEFKRKNKVDISGNSKALRRLRTACERAKRTLSYDTDATIDIDVICEGIDFCSSITRAKFEQLNMDLFEKCMETVESCLLDSKMDKSRVDDIVLVAVQAALLSEGSKNVPNLVLQDVIPLSLGIRTEGDIMSVMIPRNTCVPFKKTQGYETASDNQSSVSVKVYEGERVIATENNLLGLFNLKVPLAPRGHPFKVCFTIDADGILNVSAEEETSGNKKDITITNENGRLSREEIERMIQEAENFKDQDMKFKTKAKAINALDDYLFYLINLYLVSISLILKQKQ >CAK8564720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7557122:7559772:-1 gene:gene-LATHSAT_LOCUS17992 transcript:rna-LATHSAT_LOCUS17992 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTIDDALELVTAVKVEFHDQKYHSFLEIFKDYRAQRIDTRVVRLKVYQLFEGHRDLILRFNTFLPTQYEIKLPLDYDDKQQQCRRRLEVGQEDALAQEDALAFLKRVRDVFHGKNKEKYDEFIEMMNDCKALRINTSVVVDRVKELFKGHTTLILGFYDFLPKEYRDDLIQLEVKDALAFLKRVGDVFQGKNTEKYGEFLEILKDFKAQRIDTSVVVHRVKELFKRHTNLILEFNAFLPKNYQIRLPLQLHTGNKVKKVAVNCEIPWDLLDIISRKLDFDDVFGFAGVCKSWREFHKTYWRNFMASQEPLLLQKSSHDKKSFSFISIQDQRVYHSKTINHFWNFAYSGSSSGYLIMTTENNSFILMNPFTRKKIEINTSAFKVEFSIFAYHVLLAFVKGSTEFVLVALCTSSNSLHVYQSRSSDWITYSTKGKPWKVVDFAVLHNTIYVVNDKAKIGVLSLNSANINFLELKSTPKVNSSSHLRLVSCDNGKLLMIHILSRRILNVYEIDLSIKNFVEMKTLGDIALFYASGKYFYALSNPGRWGYESNSLHAINLSSTQCIVSIGNDNKLPEYISHDRLSNPPKRRPYLLDWCFKHLHYEVDYSLVE >CAK8573930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648195413:648196769:1 gene:gene-LATHSAT_LOCUS26324 transcript:rna-LATHSAT_LOCUS26324 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHNFAGCGVLIQAWGWFRMPRLSPINPNPFHFPYATKWSAYGMNYEKTPHHCAPGYRTFFDHFEEDDFRWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDRYHKSAANDQWKFDDWRDHNRQERQQWMNHRRTVLRGNVMDAECKPCREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQPIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYPQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTLSQDQHPYTPYMTNATPPINPPTWSNEGTRLSYGSAAAIPCGDDFNDDLVAQFMNPNNDAGSSTQTQNAEVDRRRSTRNVQAPACEIHQRLRRPGQN >CAK8573332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603904569:603905033:-1 gene:gene-LATHSAT_LOCUS25793 transcript:rna-LATHSAT_LOCUS25793 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDLFEVFKVYGVISEVSISARQDKRGKRFGFAKFQKVHDSRILACNLDIIVLEGKKLFVNIPRFSMKNKRQFPVSENLRKINQTGRPSRYEKFDTLDRLFAKVVRGADPKPDRKVMGGGSCTKLEVDDEWFRRLKRMRVGEVMEDGNAFNI >CAK8534906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789217064:789219994:1 gene:gene-LATHSAT_LOCUS4407 transcript:rna-LATHSAT_LOCUS4407-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEKQSSSGYGKPPWIFKGSALYQLHLVKAEKARACIPKEFKLVEAFGYTVGGFFLASYEDSPVGVFDELVVIAGLVWNRPTSCAWATKVYVNNSEACSHGRKDVGLPSQVATFSKTITAVSRQSKSRSGFLNTMQFGSAFRNSNDQQNVQITKIKSLDAADTCDTSLTSPVSSSRWMGPTIRMSLPSFSGGTEFNPNLLKYSCQIECRVKPVKPLKVSREFSLTNVDDKEQQSLQDCVMLSKPILALRFSQMKMQVEAPIVLYQCSNSLETTTVSSLP >CAK8534907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789217064:789219994:1 gene:gene-LATHSAT_LOCUS4407 transcript:rna-LATHSAT_LOCUS4407 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEKQSSSGYGKPPWIFKGSALYQLHLVKAEKARACIPKEFKLVEAFGYTVGGFFLASYEDSPVGVFDELVVIAGLVWNRPTSCAWATKVYVNNSEACSHGRKDVGLPSQVATFSKTITAVSRQSKSRSGFLNTMQFGSAFRNSNDQQNVQITKIKSLDAADTCDTSLTSPAVSSSRWMGPTIRMSLPSFSGGTEFNPNLLKYSCQIECRVKPVKPLKVSREFSLTNVDDKEQQSLQDCVMLSKPILALRFSQMKMQVEAPIVLYQCSNSLETTTVSSLP >CAK8541756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:386802169:386803054:-1 gene:gene-LATHSAT_LOCUS10652 transcript:rna-LATHSAT_LOCUS10652 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLSDVFVTLTKHCRFFKHLLVVFLIQQMAAGLFRVISGVYRTMIIANTGGSLMLLLVFLLGGFILPKRDIPNWWVWGYWLSPLSYAFNALSVNEIFAPWWSKPSSNGSTSLGVATLNIFYFYSNENWYWIGVGVLIGFTILYNVLFTLSHMYLNPIVKKQEIISEEEESEMEIGGDLKEEQRLVRQKSNKGNDTSKHLQL >CAK8541757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:386802169:386802964:-1 gene:gene-LATHSAT_LOCUS10652 transcript:rna-LATHSAT_LOCUS10652-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLFRVISGVYRTMIIANTGGSLMLLLVFLLGGFILPKRDIPNWWVWGYWLSPLSYAFNALSVNEIFAPWWSKPSSNGSTSLGVATLNIFYFYSNENWYWIGVGVLIGFTILYNVLFTLSHMYLNPIVKKQEIISEEEESEMEIGGDLKEEQRLVRQKSNKGNDTSKHLQL >CAK8563351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594698972:594703654:1 gene:gene-LATHSAT_LOCUS16772 transcript:rna-LATHSAT_LOCUS16772 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDKVQETGLESQLASCFSSSCKTLVFPSRPGYGKLGTKCVVKANHFLADISVSDLSQYTVVITPEVNSRKTRKTIIGELVKLHRNTELEKRLPAYDGSKNLYTSGQLPFTQKVFSIVLSEEDEATCSTREREFEVQIKFAAHVSMHQLHELLSGKKVDTPHEALNIIDIVLKEFVSHSYVSFGRLSYSPDLRKSHPLSGGLESWRGFYQSIKATQMGLSLNVDMSYTAFIEPVLVIDFIAQILGKDVHLRPLSDADRIKIKKALRGVKVEITYRGSVRRKYKITGLTSQPTRELIFPLDKEMKMQSVIDYFQEKYEYTIMYPHLPCLQVGSQEKLNYLPMEACKIVGGQRYSKGLNEKQITSLLKVSCQRPRGREDDILQTIHQNDYNCNSYAKEFGISVDNKLASVEARVLPAPWLKYHDTGRENKVLPQIGQWNMMNKKVINGGKVTNWACINFSRNVQEKLASAFCQNLVQACQMSGMEFSPEPVIPVYSARPDMVKKALEHVHFVSLNKLGGKELELLIAILPDKNGSLYGDLKKICETDLGLISQCCLTKYVFKIGRQYLSNIALKINVKMGGRNVVLMDAMSSKIPLVSDIPTIIFGADVAHPDSGEDVCPSIAAVVASQDWPEISKYAGLVCAQTPREELIKDLFKCWNDPQHGIVYGGMIRELLLSFQKATGKKPHRIIFYRDGVADGQFYQVLLYELDAIRKACASLEPGYQPPVTFVVVQKRHHTRLFPNNHNDRNSADRSGNILPGTVVDSKICHPTDFDFYLCSHAGIQGTSRAAHYHVIWDDNNFSADEIQSLTNNLCYTYARCTRSVSVVPPVYYAHLAAYRARFYMEPDVHEIEKSHATRSNIESVRPLPALKEKVKNVMFYC >CAK8543441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608054691:608064009:1 gene:gene-LATHSAT_LOCUS12198 transcript:rna-LATHSAT_LOCUS12198 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRKKGAKKSVAAADACREWKNGDLVLAKVKGFPAWPATVSDPNKWGYSADRKKVFVLFFGTDQIAFCNHADIEAFTEEKKQSLAKRQGRGADFVRALSEIIECYDKLERGTQVDETSSGGEVANADVGSPLDPSSNSGFKDQLNTPWTGNSQMKLSNSMTGRHEQVYAVEDDSFGVPVATRTLKSFLPVKQEKEPVQRSRSSSQIQNIVLHRSDGKNNGGNSDGNISSETIQNKFIRRIIKKSPDLFGSNDNNSSAFASNVSLVENGSEQSEIIEGSKYETELNKVLDREMKAVIGKKKRKPNRTRKTNNACVQNANQSLQNISENPKVECYQYGDEHLPLSKRARVRMINSSSTGEEHNRIVPVQEKTIIVNASPLRIITSSNSENGCLADGDSSALNRDLASDSPKLLTHCSENGSQTSEVKKNQLFSFSMDDESALPPSKRINRALKAMSANAAAAAAEGACIESPPSEMPSSGRCCISAAIKRCSCMIIDNQGSDDLELKGLDSCGIDCSNSRVCSFSTCSNPMSLIEDKSSIEEDKQLTKSQKHESGKDIIPAPGTRLQIGEDLSGSVFCAPAKIDSQAVMHEEISPNVDVKYCQVGSNQDSPGPSLPPSANESIRHVIHSNASDTFDHGGINLDSVAGPNESGESLPENSFAMPQNMVMVCEDMKQTSGDSSKINDKHVVGKEVKFKKQEEGMTSLSISDCSRENSVLGIRASSSLTDGGVCLPQGSTPNTSVRNVSTSDSSNIHQNGSCSPDGLQKSILSGSIDGYKVGAVANQRSRSIDKSTETGHAALLYFEAVLVTLKRTKESIGRATHIAIDCAKFGIATKVVESLVHSLENEPSLRRRVDLFFLVDSIAQYSRGLKDEVGGVYPAAMQAVLSRLLSAVAPLGNTAPENRRQCLKVLRLWLERRILPESIIRHHIRELNSYSRSAYAGVYSRRSLRTERALDDPIRDMEGMHVDEYGSNSSFELSGFRMPCMLEEGGSDSDGGNLEATAPGHDSETYEVQEVSHAFEKHRHVLEDVDGELEMEDVAPSFGVELNSICNVDGRTASQLDQKPPLSFAPRLTQDVPLSSPAPPPLPPSPPPPPPPPPPTMHLMSATSDQYGTAVDSKPITDSQAVHGKTFHSVAHPLDAPRSSRPMDAAQFQIPECKDVQMQIPESPCSFNTHPVQPPENSRSADGFTMHNKGYILRPPHRVPSDQFSFIHAENRPKSQREVPPPPSYSNRQHSVQNTRRENFYNTHERDGMRGNTRALHEQRWNTRTAREERWNTRALREERWNARVPHEERWSFRTPYEDRWNTRSTYSGVPSPYDWHPSASTRSPDHGWRLPHLPMSYRGSLPFRSAFHDAIPGVNRGPSYWRLRRTEVNRQ >CAK8561747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:372688469:372688936:-1 gene:gene-LATHSAT_LOCUS15308 transcript:rna-LATHSAT_LOCUS15308 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDVVKGRGDAIRKKEEIQKMAEANRNRAPFR >CAK8536489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946497335:946497905:-1 gene:gene-LATHSAT_LOCUS5853 transcript:rna-LATHSAT_LOCUS5853 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFSAAHHDWNWETILIGVSFLAFLLTTKYIAKKKKNLFWVAAISPMICVIVSTFSVYITRADKKGVAIVKHIEDGVNPFLGI >CAK8543502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611832380:611833863:1 gene:gene-LATHSAT_LOCUS12256 transcript:rna-LATHSAT_LOCUS12256-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLYPVKEEYLEFEATSSTSMVGVPRPMEGLHEVGPPPFLTKTFDVVEDPTTSHIVSWNRDGVSFVVWDPNAFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFANEGFLRGHKHLLANIKRRRQTSQPSTSSSSSSSQQPFGQCVEVGRYGLDEEIDHLKRDKQVLMMELVKLRQQQQNTRSCLQAMEERLRGTEIKQQQMMAFLARAMRNPAFIQQLLQHKERRKVIEDVTKKRRRPIEYGESSNRVEFGFEVSELEVLAMEMQGLGRGGGEQEEEDEIEVFENNENLNKELDEDFWEELFSEKFESELDILYAQRDDEDCVNLLANRFGNLGSSPK >CAK8543501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611832380:611834167:1 gene:gene-LATHSAT_LOCUS12256 transcript:rna-LATHSAT_LOCUS12256 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLYPVKEEYLEFEATSSTSMVGVPRPMEGLHEVGPPPFLTKTFDVVEDPTTSHIVSWNRDGVSFVVWDPNAFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFANEGFLRGHKHLLANIKRRRQTSQPSTSSSSSSSQQPFGQCVEVGRYGLDEEIDHLKRDKQVLMMELVKLRQQQQNTRSCLQAMEERLRGTEIKQQQMMAFLARAMRNPAFIQQLLQHKERRKVIEDVTKKRRRPIEYGESSNRVEFGFEVSELEVLAMEMQGLGRGGGEQEEEDEIEVGFAAVDWTRTSDS >CAK8573547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619068879:619069781:1 gene:gene-LATHSAT_LOCUS25983 transcript:rna-LATHSAT_LOCUS25983 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAEHNGDSSTGESQRSTPTPFITKTYQIVDDRTIDDVVSWNDTGTSFVVWNPTVFATDFLPKYFKHNNFSSFVRQLNTYGFKKVVPDRWEFSNECFRRGEKRLLCDIQRRKIVSKTLSSVTNCGASETVAVSSALHSVSLPTVNPIVSASVSGVEQVISSDSLTFEQTLLEENERLRKENIHLRMELEDMKSLFNNIFNLMSNYAYFQAENGAQGKECCSTATKTLNLLSEMRYGGKDAAAMVVYDNYPKLFGVAIGMKRAREEEL >CAK8561578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:309901502:309903496:-1 gene:gene-LATHSAT_LOCUS15156 transcript:rna-LATHSAT_LOCUS15156 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSEKRKTIQLKALKPDVSVVMNCLKEMPTIFKKALIGRFGQVTDLLMIEVQVPAITALAQFYDPQMRSFLFQDFYLTPAIEEFEGFLGFPVKGRAPYTMIGQAPEVEELADALKISISIAKAGWKKREDFFGFRSIVLEKEAIKMLKNKQWDALVNVLALLIYGLVLFPTFEDFVDSAAVSIFWAIWKKEQSPVHPLLADIFYTLHLRHERKGSVLTFCLPILYKWLTSHILKRHAAVPTLNSGEWAQFLASISEKNITWYPNKLEVSEIIMSCGSFCNVPLIGSKGCISYNPILAIRQFEYPMLGKPEDKELEEMILHERGAKDPSLLCQIVRSWQKVHTKGSDLRRRDGVTRMPFRQWVLERVKIVKLPFSIEAPPKLTTPQPVPVSVEEVEEMRVKIAQLGKENKDLQVKLQNVTNEKNHMRYEIERKDKRIEEMGSKINEEKGKRKRTKVCINQADSCLESLKEQLDHAHRKWRENEQWYLLATKQNKEIRETLGAEIKTLDAALRQSRADEDRERQLKEEALNSSWVKPEDWCKMCIDLDELKKFIKYQENQIGAIKEDQAIWSAGRDQLARDVAAYEQSIHIMKDNRDAYRNKLNGLVEFCNWLAKDLIWKHRDAMEAIDDSTAPAIYEFICVCKEMLARFKEELKEREARRNEA >CAK8541922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:433215556:433216298:1 gene:gene-LATHSAT_LOCUS10810 transcript:rna-LATHSAT_LOCUS10810 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIYNRKNSSAADPAELNTADQYPLLHPLKDLLPVLIDGDRHEGQSFRLSEFPSTMPEMFSDALRSGVEKYGSDREVNLVCQGTKLSALSDTELDAAKPQLWLKKRRGEVEARLGRFGGKDDNRST >CAK8536358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934210273:934213269:-1 gene:gene-LATHSAT_LOCUS5735 transcript:rna-LATHSAT_LOCUS5735 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNMSLPSWSDFSTHQKNSMTTSISANNIVFNNNVSTNNYQQNQNPSWVDEFLDFSTARRGAHRRSVSDSVAFLESPLLDDCQGKHGENNINDDDNEFDKFDDEQFMSMFNDEIAGVHNMPPILSSSNASSPSDQNFVNNENENAMINKEEKKENDLREMKKEEDYEKQLKSEPEEVESQCKQENSQPQNNNNASSSSDRITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHHQNLTKNENATGSPLPSPSQKPLCDPQQENEQLLNGNTCAAVASKERVEETVVSALT >CAK8536857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29112119:29112469:-1 gene:gene-LATHSAT_LOCUS6184 transcript:rna-LATHSAT_LOCUS6184 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPKRGRGRPKSTVPPPPPLESLTSLKTHEIESRTTTPPDTSSKTPEIGARTNKEVTTTLENENKETLTGTTQAQPEERKLWVDIINDNRNPAKGLTVEYVAPKVVNGVIEIDI >CAK8538682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492891832:492894905:-1 gene:gene-LATHSAT_LOCUS7856 transcript:rna-LATHSAT_LOCUS7856 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPISSFTFLLYTFFLFSPLSLSSSLPLSLKTQASILVSLKQDFHSKNTSLKTWNISNYMSLCTTWYGIQCNTDNTSVVSLDISNLNVSGTFSSSITKLSTLRVLNISNNMLNGDLRWKFSNLKELEVFDAYNNEFNSSLPSGVTQLHSLKYLNLGGNFFRGEIPARYGKMMSLNYLSLAGNDLRGFMPFELGNLSNLTHLFLGYFNEFEGEIPKGFGNLVNLVHLDLANCGLRGEIPHELGKLYKLDTLFLQTNQLSGSIPLELGNLTSLKSLDLSNNELIGNIPNEFSNLRELKLLNLFINKLSGVIPSFVSELPNLEVLKLWHNGFEGSIPSKLGENGKLTELDLSTNKLTGILPRSLCIGKKLKILILLNNFLVGSLPNELGECYTLERVRLGRNYLTGSIPKGLLYLPEISLLELQNNFFSGFLAQQETTNTNSSKLEEMNLSNNRFSGSLPSSIGDFPNLQILLLHGNRFSGEIPQDIGKLKNILRLDMGFNNFSGKIPIEIGECFSLTYLDLSQNQLSGPIPFQVSQIHILNYLNVSWNNLNQTLPKELGSIKGLTSADFSHNNFSGSIPEIGQFLMFNSTSFIGNPNLCGYDSSPCNRSSSETSESPNQENSPAKHGILVRYKLLFALALLVCSLVFATFAIIKSRKGIRKDSNTWKLTAFQKIEYGSEDILGCVKESNIIGRGGAGVVYGGTMPNGEKVAVKKLLGVNKGSSYDNGLSAEIKTLGRIRHRYIVKLLAFCSNGDTNLLVYEYMTNGSLGEVLHGKRGGFLEWKVRVKIATEAAKGLCYLHHDCCPLIVHRDVKSNNILLDSELEAHVADFGLAKFLLQENNGGTSECMSSIVGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGDFGEDGMDIVQWTKLQTDWNKESVVKILDGRLHQIPIDEAMHIFFVAMCCVEEQSVERPTMREVVEMIAQVKQPNIFHV >CAK8542081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:470803010:470804036:-1 gene:gene-LATHSAT_LOCUS10952 transcript:rna-LATHSAT_LOCUS10952 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSEKPLQELPMYSSDTTFSIPSSKRLEGKVAIVTGGARGIGEATVRIFVKHGAKVVIADVEDELGTILANSLSPSTTYIHCDVSIEKEVENLVASTVSHYGKLDIMFNNAGVLGNQSKNKSIVNFDTDEFDRVMSVNVKGVALGMKHAARVMIPRGFGCIVSTASVAGVSGGLGPHAYTASKHAIVGLTKNASCELGKYGIRVNCVSPFGVATSMLVNAWRKGGDDEVDHHDHDGGINFGLPLVEEVEKMEEFVRGIGNLRGTTLRVQDIAEAVLYLASDESRYVSGHNLVVDGGVTSSRNCIGL >CAK8541748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:381224804:381225868:-1 gene:gene-LATHSAT_LOCUS10644 transcript:rna-LATHSAT_LOCUS10644 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKQLVSSSSSSSSSFTSELFGSIDFHPSSASSGIFDSIFSPSSKVFGRESLHSALNGKTSTEGSNSKIATQDYMSKGSEGETENKKSKDMSYLYQEQIQPCQLSSSIYYGGQDVYFHPQSTRGSESNTMYKKDGGEDDSGSASRGNWWQGSLYY >CAK8562906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555271003:555271389:1 gene:gene-LATHSAT_LOCUS16368 transcript:rna-LATHSAT_LOCUS16368 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRQRLGKRGRATCRETCTCGSGRGPRYTVLICVGPRNSSEIVMVQKQIWSGIPLFPVLVMFFISRLAETNRAPLDLLEAEAESVAGYNVEYAWDAILNSLLLAEANVPGSRGLILTETRGGSLPT >CAK8565291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:102145845:102146561:-1 gene:gene-LATHSAT_LOCUS18515 transcript:rna-LATHSAT_LOCUS18515 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNVILTCHYNSVFSTNSPDGFSFSNTDTYAVKIHVNSDFFHLKDRMEKKLTRSVEEIFYRHPTLNEDERTIFYLMTPIRNDEDVIAMFRCHTMFGNLHTIELYVRLLDNPETFPTQETQSHCYGYSQTSDDEPKQNNFPFIPNEEVGEASDDDIQEVRMQDIFGDSDDEDNEDMVVTPIRAQPISLYNPPAHMQNIYDEHDDTTSVFGNATQNHVGDEIEIGMEFENKEACVFAL >CAK8574017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654094641:654095458:1 gene:gene-LATHSAT_LOCUS26409 transcript:rna-LATHSAT_LOCUS26409 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTTKSGGGGSGGTTRFLVKTYQMVEDSYTDNIVSRSQSNNSFIIKDPDECASNLSNYFRHNNFSSFVRLSTHMYGFHKIKHDKWEFSNDHFLKDQYYLLGNIHRKKTVHNHSLGEVDRLAFEEEIEKLANEKASIELDISSFNQYMPTKKLHVVNLVQRLEASGYRHNNLKNSFELVLQYPKFVKKNK >CAK8539534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515212290:515215742:-1 gene:gene-LATHSAT_LOCUS8618 transcript:rna-LATHSAT_LOCUS8618 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKNIEPSTVLANRLILLFLFLASCGLAYLFFSVVTRSGSASEFRTGSTSSFARVGEKGGGGCCRGIEDLELWGSTVKWGSDFKFNTSEGCCNACKAMCTGKDGPCLCDSWVFCGNRENCGSKFGECWLKKQKDSLAPDRQDEDPFGEKLTWTSGLIFGKGEGIIGVETEHGTIQIKLFPDCAPHTVAYILELLPLHHCAGCEFYRAESRGQSWDSEGNHIKNAGFGPPYALIQGTLEAQGTPFRKLPLEDCPLLRRGSVALIGSGPEFFISLADHSEWKQAYTVFGSVLPEDMIVAEKIAALPTLSDVWNNVNVTVLKKPVPFLVKRINKSSQD >CAK8577113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537697360:537700810:1 gene:gene-LATHSAT_LOCUS29246 transcript:rna-LATHSAT_LOCUS29246 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMNYYNCVTCAATPVSQDSPLPGPKQTKVILPNKKPMKWSTGMAPGEYGGPPTTTKLRRYWGGEDPDPLASDDYMWNKDFVPRFKRMIQQTQTPLEPSPSEEAPYGFLNLSRAMSLDSMEVDLSKELLAPAKNHIVQQQIETPTIANESESKRAKWRLAPTRREQDKWNRAAKAATDGSDVMFRELRKSRENPEVLAAQYREQYDKLKKRLQVLTLGIGGVGLVSAYVSYSPEIAASFGAGFLGSLAYIRMLGSSVDSLSSNGSRALIKGAVGQPRLLVPVILVMIYNRWNAILVPEYGIMHLELIPMLVGFFTYKIATFAQAIEEVITVASKKNINISSKG >CAK8531043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:74577144:74577623:1 gene:gene-LATHSAT_LOCUS859 transcript:rna-LATHSAT_LOCUS859 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQIESMMEKMRGLSLLSFGGCFDSCYDQTQHGLGTRICNLSDRPVELQIRVGSILKKVHILKPGCSKRVKSKGIYKAYMPGRSGSNDMGMKSLLYYYDETCQPYIWIHDVGGDSLRMVKQQYVSLEDLRECCEIRILRDQHKGCISVCKRTRPDLC >CAK8565642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296908621:296914242:1 gene:gene-LATHSAT_LOCUS18835 transcript:rna-LATHSAT_LOCUS18835-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPYRHSHFRSKTYNTLVRILSHVPTQSVNIPDDGNVRMGQENQELEAVGSDVMDPKGSIPLEEILDVHEIVERVGDRSKDFSVNEIVLDDEDSLMGIDEPSSHTSDFHLEQIIDELELVVKGTEEPVCNDGLIPLNCEKQNSDSEVDLMDYQVEHVEFLHSGTNTSGIVSEVPVELNQLDSEVLQVFESTKGQASDSVISTTSINHDSQQKETELVKLVCPVAGSLPTIREGEFEKEEQDGHQVGEVAHSSLDHDKIIEALNMTEDGGLLDSTIMEDKYKTQNKEKSEKLICVMDATNSSNLLIEEGDLEEGEISGDFAMDGNTFDVSSADTTISEQMKVDEIQKPGNSFGNKAAPFNMGNNGLVEPRTINAIPTYLTPKQVLHKGFMEETAIKDHGNSSAVQEVIDPSRKRKRIPDLEKEEDKKKEKVDAGKSKRGPGSREKKIKKREKYRKKRAEKNRELGVKRLKLIPVQKPKTIAYCRHYMKGRCNEGDKCKFSHDTVPETKSKPCVHFARHSCMKGDDCPYDHQLSKYPCSNLVSNGSCTRGHACLFSHQVPINQCIPTPTNAGKPESHSPLPSGNTNFSTPLNNHGTSSVQQNHFTNSKGTETSQTKPTSAPKGIRFINVANLSSSTPKQDTITPNKGSLVHNGKCADKGQNTAEIPKKFSAVTPKGINFLSFGKGSVCSFKSSIQSTAQNLPQTALFSHNEISDKKQSMVERMKSKFLEKDSTDDSVRDHSHCKLVQEVKKASGNSQTSNVTSATLLSRPFVSHQSSGSLVSGYHKQASNLGQRALLSTLAFAAEHESDIKMKCPTVDSPV >CAK8565643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296908621:296914242:1 gene:gene-LATHSAT_LOCUS18835 transcript:rna-LATHSAT_LOCUS18835-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPYRHSHFRSKTYNTLVRILSHVPTQSVNIPDDGNVRMGQENQELEAVGSDVMDPKGSIPLEEILDVHEIVERVGDRSKDFSVNEIVLDDEDSLMGIDEPSSHTSDFHLEQIIDELELVVKGTEEPVCNDGLIPLNCEKQNSDSEVDLMDYQVEHVEFLHSGTNTSGIVSEVPVELNQLDSEVLQVFESTKGQASDSVISTTSINHDSQQKETELVKLVCPVAGSLPTIREGEFEKEEQDGHQVGEVAHSSLDHDKIIEALNMTEDGGLLDSTIMEDKYKTQNKEKSEKLICVMDATNSSNLLIEEGDLEEGEISGDFAMDGNTFDVSSADTTISEQMKVDEIQKPGNSFGNKAAPFNMGNNGLVEPRTINAIPTYLTPKQVLHKGFMEETAIKDHGNSSAVQQMADANRNEQSDPGPKKKNIKEVIDPSRKRKRIPDLEKEEDKKKEKVDAGKSKRGPGSREKKIKKREKYRKKRAEKNRELGVKRLKLIPVQKPKTIAYCRHYMKGRCNEGDKCKFSHDTVPETKSKPCVHFARHSCMKGDDCPYDHQLSKYPCSNLVSNGSCTRGHACLFSHQVPINQCIPTPTNAGKPESHSPLPSGNTNFSTPLNNHGTSSVQQNHFTNSKGTETSQTKPTSAPKGIRFINVANLSSSTPKQDTITPNKGSLVHNGKCADKGQNTAEIPKKFSAVTPKGINFLSFGKGSVCSFKSSIQSTAQNLPQTALFSHNEISDKKQSMVERMKSKFLEKDSTDDSVRDHSHCKLVQEVKKASGNSQTSNVTSATLLSRPFVSHQSSGSLVSGYHKQASNLGQRALLSTLAFAAEHESDIKMKCPTVDSPV >CAK8565641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296908603:296914242:1 gene:gene-LATHSAT_LOCUS18835 transcript:rna-LATHSAT_LOCUS18835 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEFSMAFPPYRHSHFRSKTYNTLVRILSHVPTQSVNIPDDGNVRMGQENQELEAVGSDVMDPKGSIPLEEILDVHEIVERVGDRSKDFSVNEIVLDDEDSLMGIDEPSSHTSDFHLEQIIDELELVVKGTEEPVCNDGLIPLNCEKQNSDSEVDLMDYQVEHVEFLHSGTNTSGIVSEVPVELNQLDSEVLQVFESTKGQASDSVISTTSINHDSQQKETELVKLVCPVAGSLPTIREGEFEKEEQDGHQVGEVAHSSLDHDKIIEALNMTEDGGLLDSTIMEDKYKTQNKEKSEKLICVMDATNSSNLLIEEGDLEEGEISGDFAMDGNTFDVSSADTTISEQMKVDEIQKPGNSFGNKAAPFNMGNNGLVEPRTINAIPTYLTPKQVLHKGFMEETAIKDHGNSSAVQEVIDPSRKRKRIPDLEKEEDKKKEKVDAGKSKRGPGSREKKIKKREKYRKKRAEKNRELGVKRLKLIPVQKPKTIAYCRHYMKGRCNEGDKCKFSHDTVPETKSKPCVHFARHSCMKGDDCPYDHQLSKYPCSNLVSNGSCTRGHACLFSHQVPINQCIPTPTNAGKPESHSPLPSGNTNFSTPLNNHGTSSVQQNHFTNSKGTETSQTKPTSAPKGIRFINVANLSSSTPKQDTITPNKGSLVHNGKCADKGQNTAEIPKKFSAVTPKGINFLSFGKGSVCSFKSSIQSTAQNLPQTALFSHNEISDKKQSMVERMKSKFLEKDSTDDSVRDHSHCKLVQEVKKASGNSQTSNVTSATLLSRPFVSHQSSGSLVSGYHKQASNLGQRALLSTLAFAAEHESDIKMKCPTVDSPV >CAK8542541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524552084:524555310:-1 gene:gene-LATHSAT_LOCUS11375 transcript:rna-LATHSAT_LOCUS11375 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNLLGFSLSPQEQHPSSTQDQTVVPSLFGFNPHDDGSGVQQGDHCFDLTSHSSTPHHLSHPFSIYEAYHTNNNIHTTQDWKENYNNQTLLLGSSCINQNMNNNHQQVQPKLENFLGGNSFTDHQNEYGGSNNSYSSLHLPSTQPEPSCGGGSGGDDSTNNNNNNSIGLSMIKTWLRNQPPPESNNNENGAARVQTLSLSMSTGSQSSSSVPLLNASATNGEISSSENKQPPTTAVVVLDSNQTSAVVESAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPISHYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLSAVTNFDMSRYDVKTILESSTLPIGGAAKRLKDMEQVELLSVNVVDNIGQDHHRQSIINNNATNSHLTDQTMNNYAAANWHALSFQQQHPYNTNNMQLQHYPYGAQTQTQKLWCKQEQDSDDHNTYTTTTTTDHHIHHQQLQLGNNNNNNNNTHNFFGLQNIMSMDSASMDNSSGSNSLVYGGGDHGGYGGNCGGYMIPMATTTVIGNDGGINQNQRSSNNSFGDSDQIKGFGYENVFGASDPYHAHARNLYYQQQQLSVDEGSNCNNNNNWVPTAIPTLAPRTTNVSHCPPFTLLHE >CAK8572097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:509531726:509534802:1 gene:gene-LATHSAT_LOCUS24698 transcript:rna-LATHSAT_LOCUS24698 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSKPIHSTQLRTPNSKHRLNFNGFRSPHPHPSPNPNFASNKESPPEHPIEVIARIRDYPDRKDKPLSVLQASSNSRSIRVRADFGYRDFTLDGVSVSEEEELDLFYKKFVESRINGVKLGDKCTIMMYGPTGSGKSHTMFGCSKQAGIVYKALRDILGDGETDSESGGDGSDRDSIGLRTFVQVTVLEIYNEEIYDLLSTNGGGGGGFGFGWSKSNASKVKLEVMGKKAKNATYISGNEAGKISKEIQKVEKRRIVKSTLCNDRSSRSHCMVILDVPTLGGRLMLVDMAGSENIEQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTISTLEYGAKAKCIVRGPHSPVKEEDSSSTVILGSRIAAMDEFIMKLQMENKLKEKERNEAHKKLMKKEEEIAELRAKVETASASEEEINLKVNERTRLLRQELEKKLEECQRMTNDFVELERKRMEERILQQQEEVEILRKRLEEIELQLSSSKQERKDENGSKEIEPSGFMRKLLSVYKSEDDLAMVKSMDLDMDDQEPFLAREVIVGMQGISPIQPCSNTVNVVQEFAPNFGQKTCLSTVYEEEGEGEGEGEPQDREDKVEEDEEVEKEVIEEKRVCSIRKSPKKEDYSVADKENNGSNRMLRIHNIFTLCGNQRELSLNGTQLPAKKRCDENCENMYSPVKSSEKKDSVLRISNKENLENVVAN >CAK8562201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456317205:456318449:-1 gene:gene-LATHSAT_LOCUS15719 transcript:rna-LATHSAT_LOCUS15719 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPMSFLILFSILAFAPFCLGNKKIGSYLYPQFYDYSCPQAQNIVKSILANAVAKEPRIAASLLRLHFHDCFVKGCDASILLDNSGSIISEKGSNPNRNSARGFEVIDEIKSALEKQCPHVVSCADILAIAARDSTVLAGGPNWEVPLGRRDSLGASLSGSNHNIPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGNSRCTSFRQRLYNQTGNSKQDFTLDQYYANELRANCPRSGGDQNLFFLDYVTPTKFDNNYFKNLLAYKGLLSSDEVLLTKNQESAELVKLYAERSDIFFEQFAKSMIKMGNVSPLTGSRGQIRTNCRVVNTW >CAK8544342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679229752:679230645:-1 gene:gene-LATHSAT_LOCUS13031 transcript:rna-LATHSAT_LOCUS13031 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELTDDIILKILWKVEEDPRDWARLSCVSSKLNSLIHAFCWKNKSSLTIPSELLSSSSSQDHSLHKLTFCCPGPLHAGILFNNTADFPDEHYHQQPTTTNNPPPPIPSSSSLPPQPIWSLYDDLYHDTLYADSESHQQEANQEEIRAGVVDVPPESKKRKVNGSLSSHLATGKWSLSREQGSKLLGRQYRDDCLYVCDWPGCVHLEEKRKYRLFRGVFMNFKRTRVWKTVNDCSNRKKIDLPCAFCSCNHTWDLYSAFCLKRGFGFHEDGEPVVRAYVCDNGHVSGAWTDVPMYS >CAK8567026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480363662:480364021:-1 gene:gene-LATHSAT_LOCUS20116 transcript:rna-LATHSAT_LOCUS20116 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHNTNMVLVQPQLDSLYYIHLSDGRNSVTVTPKLDGTNYDGWSRSMRRALGAKNKLIMVDHTIHVTNDDDDLNRLAWECCDHLVHSWIISCVSHYIAQMILYHDNVIEVWDDLNEKN >CAK8541108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67296372:67308494:-1 gene:gene-LATHSAT_LOCUS10059 transcript:rna-LATHSAT_LOCUS10059 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLISPPPSFHRFTCHATTVPPPPSPQISTATPISSTPQPPPLTCALHCPHFQSCSGCTHELNLHRPVILEDATNFFRKYGVTDFTFETCNLWGWRCRAKLAVRGSSTNPLIGLYEEGTHNVVDIPQCKAHHPNINAAVELLRQGITELGVDPYLEDEGTGGLRYVQMAVTTHNTSLPAAQRYMNGKVQVTLVWNSRNENSHGSDKLIALANFLWKNGGPQSRLHLIHSVWANFQTSDKNIIFGNRWRHLLGERDFWERVGGIDVSLAPSSFGQANTRAFDSLLQKLQKYVPYESSVTDLYAGAGVIGLSLAATRKCRSIKCVEINKESKVSFEKTVGRLPATVNSSITWHHADASKDPFLWLVGSDVIVIDPPRKGLDSSLIGALKNISVVVRKALASSERPNSVQEKRPWVLRANEASVQIRSKLPEANITPLVPQTLIYISCGWESFKEDCKSLLSNNAWYLEKAHGFNFFPGTQSIEILAVFKRGPQKKKPGKKKKKHLQGAARHK >CAK8572714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557736953:557738730:-1 gene:gene-LATHSAT_LOCUS25244 transcript:rna-LATHSAT_LOCUS25244 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCVCSPKCLAILLLLTAIPIGIITSLERSQPPTHVYHYHSNGWFRECAKWDSANNRFIVSFFEGGIGRIPVPENESPGTVLEEESIVKESELAGNASLGLTIDRSRNRVLVVNADVLGNRYGSLVAYDLSTWKRLFLTHLSGPSDGKSFADDVAVDAEGNAYVTDVKGNKIWKVGVDGNIISIITSPLFTPKEWHKTLVGLNGIVYHPDGFLIAIHTFSGNLFKIDLKNGDEVKIVKVNGGPLYFGDGLELLSPDKVVVAGSTPSGRLVESLDGWNTASVVATFSGPRHRLATAATVKDGKVYLNHILGIGYPKKKHALVEAVF >CAK8532219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221854508:221855864:1 gene:gene-LATHSAT_LOCUS1950 transcript:rna-LATHSAT_LOCUS1950 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLILKDELDIVIPTIRNLDFLEDWRPFFQPYHLIIIQDGDPSKVIKVPQGFDYELYNRNDINRILGPKASCISFRDSSCRCFAFALSKKKYIFTIDDDCFVAEDPSGKRIDAVQQHLKNLLTPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNVPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFNRELIGPALYFGLMGAGQPISRYDDMWAGWCVKVVSDHLGLGVKTGLPYLRHNKASNPFVNLKLEYKGIFWQEELIPFFQSVTFPKECTTPQQCYLELSKMVKEKLGLIDDYFIKLADAMVTWIEVWDELNPSEEKNVALPNGSK >CAK8531557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124732372:124736117:-1 gene:gene-LATHSAT_LOCUS1341 transcript:rna-LATHSAT_LOCUS1341 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPEGELLKKIERLEAGQVHLKQEMSKLKLSQRRRSHSVSPQRSRLGASAASFSAAANNKGSSSPLRRESRSSSSRHDPHRQNGGKYLNILQSIGHSVHILDLHCRVIYWNPSAENLYGYTAEEVLGQDGIEVLVDSSEFELANDVFNRVKMGESWTGQFPVKNKTGDRFVAVATNTPFYDDDGSLVGVICVSSDSRPFLENIVPLSVGKNEEKESGVSFSRIGITNKLGLDPQQPLQNALASKISNLASKVSNKVKSKIRTRENGASGEGGETEHGFSDQREDGDSSGASTPAGEMPASPFGVSSHVEERSPGKPMRNSGDESEEKPLHKIMTSKAEAWIQKKTMSWPWKTNDREGSESKNVRVSWPWMQGDQEKVPVNQKNVSSGLKLESRASESYQPVINEASGSWSSFNANSTSSTSSCGSGSGSSSAANNRVDVDSDCLDYEILWEDLTIGESIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVIQSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTSKPDWRRRVHMALDIARGVNYLHHCNPPIIHRDLKTSNLLVDKNWTVKVGDFGLSRIKHETYLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSFGVILWELATEKIPWDTLNAMQVIGAVGFMNHRLEIPENVDPQWASIIESCWHTDPASRPTFQELLERLKELQRRYAIQFQAARSAGGEVTQKES >CAK8538104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462946628:462947017:-1 gene:gene-LATHSAT_LOCUS7339 transcript:rna-LATHSAT_LOCUS7339 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYDNFDFNDVHFTDGDIFDVKLNDVEDDSYSVSNGYQSLDDGDDADNIHNDDLVEVDAVVGDRLVRIVSITSDEICVMKFGTVDEVYEFYYRYGNCKDFAIRKRNVRTRGSEGSQITVMRQFVIVNY >CAK8574336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675944778:675945476:-1 gene:gene-LATHSAT_LOCUS26691 transcript:rna-LATHSAT_LOCUS26691 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSLLATIMLLLLTTAINKSSSARTLVNPTPNHYHGHHRITFLMPHMLNDTKPNNNSEKPTTTKVTNPKPLEFSPPNEEIPIPQSTQTQTLDLSTIGFSFPTRAAFQELEFGSVTPIDEELLVEGGDRDELKKLGKAQGVYVASEEDGSSHMVAMTVSFVKGEFEDGLRLFGVHRSDVFESHVAVIGGTGKYYGANGYAVVKVVDKVGSSKVEGKVISSKFLLFDVYFS >CAK8574607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3684679:3691132:1 gene:gene-LATHSAT_LOCUS26936 transcript:rna-LATHSAT_LOCUS26936 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANSPLLGSLPKAGGFPPLGAHGPFQPTPASVPTQLAGWMSNPTTVAHAAVSGGGGIGGGGIGLGAPSMPGALKHPRTPPTNPSVDYPSGGDSDHVTKRTRPMGITDEGNLPVNVLSASFPGHGHSQAFNAPDDLPLPKTVMRNLIQGSSPMSMDFHPVQQSLLLVGTNVGDIALWEVGSRERLVSRNFKVWDLSACSMAFQAALVKDPSVSVNRVIWSPDGALFGVAYSRHIVQIYSYHSGDEIRQHLEIDAHAGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAASGTKQYTFEGHDAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGDSSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQLLTTVEADGGLPASPRIRFNKDGTLLAVSTNDNGIKIIANADGIRLLRTLENSMYDASRASESLVKPTINSMSSAAAATSAALAERASSVAAIAGMNGDTRNMGDVKPRISEESNDKSKIWKLTEINEPSHCRSLKLPENVRVNKISRLIYTNSGNAILALASNAIHLLWKWPRNERNSSGKANASVQPQLWQPSSGILMTNDTADSNPEDSVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMASPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQICVWNTDGWEKQKTRFLQLPPGRTPSAQSDTRVQFHQDQIQFLVVHETQLAIFEATKLECLKQWAPRESSAPISHATFSCDSQLIYASFLDATVCVFNASNLRLRCRINPSAYLPASVSNSNVQPLVIAAHPQEPNQFAVGLSDGGVHVFEPLESEAKWGVPPPPIENGSASNNVAVATSVGLSSDQAQR >CAK8544397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682257147:682258775:1 gene:gene-LATHSAT_LOCUS13083 transcript:rna-LATHSAT_LOCUS13083 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLLVDDLKLLSGISRCRICHEEEFESTKTLEAPCSCSGTVKFAHRDCIQRWCNEKGNTTCEICLQQYEPGYTAFPKKSEINDEAMSIRVEEEGSEVRENMVEGTVIESDYSECSSTADRSATHCRSLAIAFTVVLLVRHCLVVPTNGAEDYPFTPLTVIVLKALGIIIPMYIVTKTIGAIQNSIRRYRDSDYDTSLPEENDRNDATHQENLIHS >CAK8570480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49731293:49731913:-1 gene:gene-LATHSAT_LOCUS23225 transcript:rna-LATHSAT_LOCUS23225 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKETSNIDLIRHHLLGDTISDPFLHPVKLENPSSDFDFSSYISDTTTFFTFLEGYDLTSDMEFFTHTSSPSHQTSPSKETSSSPEVVLPIPHTIVSSKKMKKEEEIVDETRRYRGVRRRPWGKFAAEIRDPSRKGSRVWLGTFDSEIDAAKAYDSAAFRMRGRKAILNFPLEAGLCDPKPNSCGRKRRRESESEGARSSSSSS >CAK8577023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532178814:532180588:1 gene:gene-LATHSAT_LOCUS29167 transcript:rna-LATHSAT_LOCUS29167 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFIEEVEEPVSPHGQYFNSSVICSYVFAFLELAIPFDNSLAIPLIEDVFLPINPRFSSIMVRDKDGKKRWQKIEVKPEEHVKIPKFPETTNSSPIELYDNYFSDYVTSIFIERTPQDKPLWEIHIINYPTTNAASTIIFKLHHSIGDGYSLMGALLSCLQRVDNPSLPLTFPLRSQLDSKYTDKSLFKNLYLDISSIFSSILDCASSIIKTRMIPDGISPIRSGYEGIDFQPTVLSNISLSLDQLKEIKSKLGVTINDVVCGMIFYGLRLYMEEMNEKTKRANSTAVVMLNTRNIGGYQSLKEMQKPESKNLWGNKLSFLQIPIPKLNQSGISNPLEFVWEAREVIKRKKSSFSVYLIGLLMDLEMKLRGPEAVSRVIYDTIGNTSVLISNLVGPVEKMALANHPVNGLYFTATGGPQDTTITIISYVKTLKVTIKTLKGFIDERKLKFCMEKAVEVIFKASMEISEISTID >CAK8562729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531808151:531815285:1 gene:gene-LATHSAT_LOCUS16201 transcript:rna-LATHSAT_LOCUS16201 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHVEVEDQDDEDFFDKLVEDDGEHVKSGRDDECNDSDGIVDDADGNVNGSSFVNSSGGGSGIEVKEEDEEKEGGVKLDGGNLQEGSFLASSSTFGCDGTGDHGDRGMESGNSSGSSGDKSIEFSSSDVKVVDWNAFCADSNGGVGSGSFSDFFSEFGDVSGKAYHDSNAYVKPPEDQYRSAYHPDSNTLIKPSDGLNASVDYTQYQGGQGYGASVENSTSGEDVNSSQYWESLYPGWKYDHNTGQWYQVDDQNATAITQGSLGVNTAVGWNAASDTEAEVSYMHQAAQSDAGSLAESGTTETAPSWNQVSQGNSGYPEHMVFDPQYPGWYYDTIAQEWRSLETYNSSVQSAIQGHKNGHAPTVTFSHNDNNSYRNYGQAGYYESQGAGNQAANNNWSGSYGIHHQQGLDTHTTGTATESGNSATYGGNQQFDHSFGSSISGSKDLQNASSSFESISLYNKINHGHGLANGTVEPQRFAPSGNFGQHFNYSNTQFDEQKNFSNNYAAESQQPIGYSNQSLHGGQQHSYAPHVGRSSSGRPAHALVTFGFGGKLIIMKDSSVLSSTYGSQSAVQGSVSVLNLMEVVSGSISSSRIGNGAGDYFRALGQQSVPGPLVGGSVGSKELNKWIDERIAHCGSPDMDYKKSERMRLLLSLLKIASQYYGKLRSPFGTDNILKENDSPGSAVAKLFASAKISSKEYGVLSHCLHNMPSEAQMRATASEVQNLLVAGKKKEALQYAQEGQLWGPALVLASQLGEKFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSSDSSNSGDPSAFDMPQHPAQLQCRSNGMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITAAHICYLVAEANFESYSDSARLCLIGADHWKFPRTYASPDAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQMLSSLEERIRTHQQGGYAANLAPGKLVGKLLNFFDSTAHRVVGGLPPPAPSSSQGTVHRNEQNYQHVAPRVSNSQSTMAMSSLVPSDSMEPISEWTADNNRMTKPNRSVSEPDFGRSPRQETSQDEQGKASGGTSRVSRFSFGSQLLQKTMGLVLKPRPGKQAKLGEKNKFYYDEKLKRWVEEGAAPPAEETALPPPPKSAAFQNGLTDYNLKSALKTDGPPSKEGFDLKTSNPEITPGIPPIPHSTNHFSARGRVGVRSRYVDTFNRGGGNSANLFQSPSVPSTKPAVAANAKFFIPAPMPSSKEQTMEAIEENNQEDNLAYENTSTSYRNNWSLPASPVVWQRCPSIGNFANHEAVVNDSDSRTPHSRRTASWGGSTGETFSPTKMGETMPLGEALGMPPSTYMSDDISLRRTPHLRSCSFGEDLHEVDL >CAK8572605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550647858:550649384:1 gene:gene-LATHSAT_LOCUS25155 transcript:rna-LATHSAT_LOCUS25155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAAAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGSGLVENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKKQGV >CAK8541096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:64739987:64741159:-1 gene:gene-LATHSAT_LOCUS10047 transcript:rna-LATHSAT_LOCUS10047 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMNRLLVKMQKDPNFNYHTKCEKLQITNLTFADDVLLFCRGDEISLQTMLNTFKKFSNSTGLILNPNKCKIYFGGLDSERRKTLKDLSGFHEGSLPFKYLGVPLSSRKLNINHFMPLVDKIVARIHHWSSRLLSYAGRIQFVKSVTAAMVQYWMQCLPLPKVVIRKIDSICRSFIWTGKNTISRKCPVAWNRTCCPTAQGGLNLLNLQVWNNVLLLKCLWNLCNKTDNLWVKWVHTHYLKENSVMNYEIKAYNSWIVRGILKQHNNMEVIQNEWEQIINAQKFKASVLYKVLIDDGTRVLWGKLIKFNKARPRAVFCLWQACHGKLATKDRLKRFGMIKDNSCKLCHAEDETLNHLFFSYQETKHIWKEVLKWFNISHDPRPWDVELV >CAK8568210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582194192:582195733:-1 gene:gene-LATHSAT_LOCUS21191 transcript:rna-LATHSAT_LOCUS21191 gene_biotype:protein_coding transcript_biotype:protein_coding MKISAILCCRHIQHSCVTSSSSLPWISPLNFTKPAEPKLDPPPEISDSETRKKKKYITHDVAINLIKREKDPQHALKIFNMISEQKGFYHNNATYASILQKLAQFKKFQAVERVLHQMTYETCKFHEGIFINLMKHYSKCSFHEKVLDTFFSIQTIVREKPSPKAISSCLNLLVDSNRVDLARQLLLHAKRSLIYKPNVCIFNILVKYHCKKGDLESAFEIVKEMRKSKYSYPNIITYSTLMDGLCRNGRLKEAFDLFEEMLSKDQIVPDPLTYNVLINGFCRGGKPERARNVIEFMKNNGCCPNVFNYSALVDGLCKAGKLEDAKGVLAEMKNSGLKPDTVTYTSLINFFCRNGQIDEAIELLKEMKENECEADTVTFNVILGGFCREGRFDEALDMVEKLPHQGVYLNKGSYRIVLNSLTQKCELKKANKLLGLMLSRGFVPHYATSNELLVRLCKDGMADDAAMTLFALVDMGFQPQLDSWELLIELICRDRKLLYVFELIDELVTAANS >CAK8531497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116412083:116417237:1 gene:gene-LATHSAT_LOCUS1285 transcript:rna-LATHSAT_LOCUS1285 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLQKRLKCYKHDWIGGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGILTSVQTLASTAMCGVIHSIIGGQPLLILGVAEPTIIMYTFMFKFAKNRPDLGSKLFLAWTGWVCMWTAVLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQEAIKGLIHEFRIPERENPASMEFQSSWRFGNGMFALILSFGFLLTALRSRKARSWRYGSGCLRGLVADYGVALMVLLWTGVSYIPSGNIPTGIPRRLLSPNPWSHGAYENWTVIKEMLNVPVLYIIGAFIPATMIAVLYYFDHSIASQLSQQKEFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRSRLVATARRCMRKQENLAQVYGSMQSAYWQMQTPLAHQEPSSMGLKELKESTIQLASSMRSINSAVDESIFDVEKEIDDLLPIEVKEQRVSNLLQSLMVGGCVAAMPFLKMIPTSVLWGYFAFMAVENLPGNQFWERMLFIFTASSKRYKVLEESHATYAETVPFKIIAAFTLFQTVYLLVCYGITWIPIAGVLFPLMIMLLVPVRQYILPKFFKGAHLQDLDAAEYEEAPALPFNISADGELSRTDSFAHEGEILDGIITRSRGEVKRICSPNVMNSIVIPSKEFTSISKSILPR >CAK8539531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515168512:515171216:-1 gene:gene-LATHSAT_LOCUS8615 transcript:rna-LATHSAT_LOCUS8615 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATNRNFLRASRILGLDSKLEKSLLIPYREIKVECTIPKDDGSLVSYIGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPKDLSISELERLTRVFTQKIHDLIGTHRDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFGTEALFAEYGKSISDMKFAIQGFGNVGTWAAKSIFERGGKVVAVSDISGAICNPNGIDIAALLKHKADNASLVDFSGADAMDPKDLLTHDCDVLIPCALGGVLNKENADDVRAKFIIEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEDKVNLELKRYMTRAFKNIKGMCKTHDCDLRMGAFTLGLNRVARSTLLRGWEA >CAK8537465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:363820964:363824101:-1 gene:gene-LATHSAT_LOCUS6755 transcript:rna-LATHSAT_LOCUS6755 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRTYFEQFGDILEAVIITDKNTGKSKGYGFVTFRDPESARRACADPNPVIDGRRANCNIASLGRPRPSPPRGRGSTYQGGGVGVGTGGAAAAVGYGGVPAGGAAQMAGGGAATPVMYQPYGYPTYTPEYGYHQATMYNPQIQQAQYYQQMYGPSTSTMASPYYYGYSVQPAAPRGTFSTPQPHRIPAGPSYLYYPTTPTPIEGASFSAAYRPYQQQTVIRHPSPSPSPTDSQTQQRTSSETASGVVITSESSNTQGKNN >CAK8574425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681163269:681164729:-1 gene:gene-LATHSAT_LOCUS26773 transcript:rna-LATHSAT_LOCUS26773 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRGRMNAGILLFCLTLATIPLTHCDADRSIRIWKSPKHRRIVINKNSKKLKIIKHFDFNPTIYSSNAQPYSINSPLSLPPYESLGPENSTPYCIFPPPGTPITVPTPTGSQPTLPSPPSSYSSPYLPVQSPPPAPTTITPSPPENLPTPTPEIVPSPPENLPTPTPETVPSPPENFPTPTPEIVPSPPSNIPGSPEPILNPPIIFPGPPESSTSPPYFEPAPPYYEPTPTPFTPSPSGGSGTIPSPSSTFPSPSGGSGGTIPSPSSTFPSPSGGTIPSPTVFQPPVVYPPPSVPPRTNRAPQAALWCVAKASVPDPIIEEAMNYACWSGADCTSIQPNGPCFEPDSVFAHASYAFNSYWQRTKASGGTCEFGGTAVLVSVDPSFDGCHFIYN >CAK8562325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473450614:473450913:-1 gene:gene-LATHSAT_LOCUS15831 transcript:rna-LATHSAT_LOCUS15831 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTSNNSQYTRPFMFQPPPTESPNIESESPIRSTTDSQVPGNSTQDGLENITFTEEGERSTQKKQRLRFIEEEDKLLIQTWLNISKDSIVGVD >CAK8564412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672671094:672671849:-1 gene:gene-LATHSAT_LOCUS17719 transcript:rna-LATHSAT_LOCUS17719 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKMALKSRVFELAFPTMTSMCLPPEKIKTKGGVKKKGKKPVGYDVYRYPSYHEYVNQASQYSQRKSQPSQTLKNLKLSQYSQKKSQPSQASKKLKLSQSSQLSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVHRDLGLEIIHNERSSLYANLFTDQLPVVKESLMIEEFGPQPPHKWLTLPDMGYVIVNRYNDVLVCLGF >CAK8570563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60974493:60976394:1 gene:gene-LATHSAT_LOCUS23301 transcript:rna-LATHSAT_LOCUS23301 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQKTLLTTFNKGVSFPSKHHQHELARKITHILINSTNSNYFKPNNTRLHSMISCITPQVTYRVLSDPILQPHSCLAFFHFLKTHHSSVSLKPDLKAHLILFSRLLKARKFATMKSILNSVVTDSEFPCPVSAIVDLVDEFEPHFVEKLCDMLFRVCSDNRLFQEAIKVYDYVEEKGLVIEERSCFVLLLALKRCGEVDLCLRFFRQMVESNGIEIRVQSLTLVIDVLCRRGEVEKAKELMDEMVSKSIVKPTVFTYNTLLNAYVGRKDQKGVDEILRLMEKEQVVFSVATYSILIQWYSSSGDIGEAENIFEEMRERNIEIDVYVYSAMISWNCRLGNMNRAFALFDEMTQRDVAPNAHTYGALIGGVCKAGQMEAAEILLEEMQSKGIDLNIIIFNTMIDGYCKRGMMDEALRLQAIMERKGFKADVFTFNILANGLCKLHRYDEAKCTLNSMVEKGVEPNVVTFTMFIEIYCKEGNLAEAERFFRDMEKRGEVPNIVTYNTLIDAYCKKEKVKQAHKTKSEMINKGLLPDVYTYTSLIHGECIVGRVEEALKIFNEMRIKGITGNVATYTSIISGLSKEGRADEAFKLYDEMMKMGLIPDDRVFAALVGSLHKPLIHAGPKQNEYEDLK >CAK8571432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:409700267:409701493:-1 gene:gene-LATHSAT_LOCUS24098 transcript:rna-LATHSAT_LOCUS24098 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNNTPSAETIKDILGSVGAEAEDGNIELFLSEIKGKDIAEVIASGKEKLASVPSGGGGVAAAGAAPASGAAAPAAAEAKKEEKVEEKEESDDDMGFSLFD >CAK8560247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11821805:11823331:-1 gene:gene-LATHSAT_LOCUS13937 transcript:rna-LATHSAT_LOCUS13937 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTLTPRSMPEIPVDFRAPPPSPVASGRRSTVTNNEFLTEFLETSLRVPDLVLPDKIFPKQSHHETPPKVDFVSLCFHEEEDLIDVVSDSIANFGCIQLINHGISPQLMAAAVGAARGIFLLPPGERDVVSRSPEKLWGFEVYHEGENEGSELNEEFIWCKDEELKLKLEGIWPIGYPNFSKKMETLGSRVEKVAEKLFPIILKNVPKKLARDGVIIIGHGHEVGTLCCIYKHRRDINRNDQWVDSLKYDVIRMLIRGTDYSHSLCLHVCDGSSEFHVYSKKSWLSFCPEQGALVITAGDQLQILSGGHYKDVIGKAIFKGEREDNISMAFLYSTQNIKKKIQSHRERTISISQQAIFAVILTLMYHVLIFVLKFF >CAK8540341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553701026:553702545:1 gene:gene-LATHSAT_LOCUS9354 transcript:rna-LATHSAT_LOCUS9354 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDEIGVKKGPWTEEEDEKLVDYINKHGHGNWGTLSKRAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEERIIINLHSVLGNKWSKIAAHLPGRTDNEIKNFWNTNIRKKLLKMGIDPETHKPRTDYNHLMSISNLLGMSNIGNSFSNNPIGFQPDITHIAKMQLLQNMLQIMNTNNSFAMNPYSSLGNIIPNLNPFNVFLNGANTAQTKEPLGFNNGGEEYAMNPSLYSHGLISEFPKQHISNGGSNLQNLDYSKMRSNNQEENPLPALVASCPKTPFNQMESDCNKTHMSIQSPSNIVFDDWEKFIDESSDSYWKELLDLTCTSPSKIPW >CAK8571180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:326836906:326854407:-1 gene:gene-LATHSAT_LOCUS23869 transcript:rna-LATHSAT_LOCUS23869 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDGDRESESESTRMYNDEDEEDEEGSFKSWAKQTQESYQLQLALALRISSHSSSPSQSNCLLESETTSSPSDSPQSLSHRFWVNGCLQYSDKILDGFYLIHGMDAYTWTISTDMQNVGVIPSIESLMSVKPCDNSSIVVVSMDKSRDPALRELQTGVLSLSSNWITIKDATDQLANLVYNRMGGGSSDEENLGTRWKECSEILKSCLQSIILPIGSLPVGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDTGASCIVQFGSDRECMIDLVGRPGETYQPDSSVNSASSMLIPSPLCHPRFKPVETADYTKAMAQLYFLDNQALHLAFDTTSGGTVDCSGEMDLQQTEMFGTNYAGGNHHIVAWSPGTEEHLSFNEANQSGVNYSSHEVDLEEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDSERFEEFLKEVTLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLQMPSVGSSISEKRRISMAYDVASGMNYLHQMKPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTYLSSKTAAGTPEWMAPEVIRGEPSNEKCDVFSFGVILWELVTLQQPWRQLNPSQVVAAVGFMDKRLDIPRHVNPQVAALIELCWSVDPRRRPCFSYIMKCLLQIIADAKV >CAK8542114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474565417:474565671:1 gene:gene-LATHSAT_LOCUS10984 transcript:rna-LATHSAT_LOCUS10984 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLHRKMQGLSGIPSFNYHGKCEKLNIVDISFPDDLLLFTRGDVMYVQLVMDRLNAFSRSTGLCVNPSKCKMYRGDVDADSER >CAK8540987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39188545:39189306:1 gene:gene-LATHSAT_LOCUS9941 transcript:rna-LATHSAT_LOCUS9941 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKEFASSFPSNSNPSNSNNDSSGNNPNVFPTHSNNPFSMMGGNNEFTVSFTPTNTNNNDTLVMPQPSSDRNMDLPQDPPEDLTKSSSRKRMGRPLGSKNKPKTRIIIEEDTQTFTELVGLEIPIGEDVVETIIKFAQQRQANITVSRGFGLISNVTLLDPISRVPLLPIEGPVHMTSLFGTYINPKCQCTPPQYITHLPCSSFTVYLSSPNGYVFGAVVGGKITAASVILINATLTRKIAFHKTVTTNRNV >CAK8561359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168411917:168412658:1 gene:gene-LATHSAT_LOCUS14960 transcript:rna-LATHSAT_LOCUS14960 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSIERKRTMMMEKVRRNNTVEEEAENEVEEEDGDVSLTEEEKKKGVSGGRRGGGGGVSPPSCQAERCGADLTDAKRYHRRHKVCEFHSKAPVVIVAGLRQRFCQQCSRFHDLVEFDESKRSCRRRLAGHNERRRKTNPEAASEGSSHSKGQHQPKETQCRFQMNLPGSSGYKSFNIR >CAK8532563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:295544091:295544777:-1 gene:gene-LATHSAT_LOCUS2255 transcript:rna-LATHSAT_LOCUS2255 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAEGEQSTQAEDDYNAMLSTTDVELLKRAWRNEKAAPEILPFQSDLVARVREQIELMEETVEEKSTVGTDHLSVSLYQMDLDRTLFLLRSYLRTRILKIEKYMFHIRKTEELWNRLSKDEKIFTERCSDDLKKHLEESVLSKLPENYQSYERQSIISEEDDMIPEPRLDTFVLCRSKDYLTGIQLGDGPVDDRSKLFEMEAGVLYFICYKLIKPLVESGKIELL >CAK8532098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:202668653:202669255:-1 gene:gene-LATHSAT_LOCUS1834 transcript:rna-LATHSAT_LOCUS1834 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLDSNVEALAFNYLNFGLVTVLNNLWTWLALLTAALSFWKIRSSGCPKLQDPVSVNSDPEVEPLMSEAEKPMKTLNEVWNDDDGVDGVRKGKYTVYYEEDTQCGGGESSGDCSYGLLLPVAGGWGPEDETEWWKRWEKVLRLRNGENENGWYTCQDLIGFNGNVVKRWDGGLTFVGSCITDESSWYRSSCMLDFVSE >CAK8538413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481923446:481924152:1 gene:gene-LATHSAT_LOCUS7618 transcript:rna-LATHSAT_LOCUS7618 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSFLILGLMALVLVISSEVSARDLTETSTNTKEEIVTKSNEVNDAKYGGYRGYGHGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYHNGGGGYNGGGGYHNGGGGHGGGYNNGN >CAK8538414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481923446:481924152:1 gene:gene-LATHSAT_LOCUS7618 transcript:rna-LATHSAT_LOCUS7618-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSFLILGLMALVLVISSEVSARDLTETSTNTKEEIVTKSNEVNDAKYGGYRGYGHGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNNGGGYHNGGGGYNGGGGYHNGGGGHGGGYNNGN >CAK8575319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:77590270:77594670:1 gene:gene-LATHSAT_LOCUS27592 transcript:rna-LATHSAT_LOCUS27592 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMAGTATATTCTGTSVRWQPLLPPLRRRQRLPVIVSFKNNNSNNKPNDMDRVLKEAWRNASDKFELFLFEAKKTAERIDRRYSVSHRLSSVAAAAADRAREIDRDFEIGIKYRNFTSDFALNWPKYRAQISKFLDSPVGKSFTTLFFIWFAFSGWLFRFLIIATWVLPFAGPLLLGSLANSLVIKGSCPACKTQFAGYKNQIVRCSSCGNIVWQPKSKGDFFTRGGRNNSASKSDPNIIDVDFEEK >CAK8543070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573974957:573979422:1 gene:gene-LATHSAT_LOCUS11857 transcript:rna-LATHSAT_LOCUS11857 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPVEERQISTSANDEILQLPPPPPLATSIHHASTKSSSKFPFFSPSPLPSFFKHSPANSTSNSNSSVSSTPLRIFKRPFPPPSPAKHIRALLAKRHGSVKPNEATIPEGSECEVGLDKSFGFSKQFGSNFEIGQEVGRGHFGYTCYAKGKKGSFKGLDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYEAYEDDENVYVVMELCKGGELLDKILSRGGKYSEEDARVVLIQILSVVAYCHLQGVVHRDLKPENFLFSSEDENSTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRTVLKADPSFDEAPWPSLSADAKDFVKRLLNKDFRKRLTASQALSHPWLVNHLEDRKIPFDMVIHKNIKAYIGSSSLRKSALGAIAKTLTLVQLAYLKEQFTILGPNKSGLISMQNFKTAILRSATDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISVHQLEGMEAWERHARRAYDIFEKDGNRPIMIEELASELGLGPSVPIHTVLQDWIRHFDGKLSFLGFVRLLHGVSTRGLHKA >CAK8536567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955599583:955610682:1 gene:gene-LATHSAT_LOCUS5923 transcript:rna-LATHSAT_LOCUS5923 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGPVVPANSPHLRKSGSRAVVYDFDEYEGEDGADESLLHSGEVNDTRGGTTPMSATAMMPSPILLWRFKVLLFLIWGCICCKIGWDSVMRMSADKRDLFIYEAFLYFNPLLLATLMVWLWGINLWFFAQGGVSYSKIFDLDQNHLTHAEIWKCAMWMTVIVPTSMTAYIYLYSRGEVAYAASQPVLLYAAIVMVLIFPFDIFYFSSRYFFLRTLWRIIFPLQAISFADFFLADILTSMVKVFSDLERSVCRMVHRQVATVAWLEADSICGSHSVAIPLVLVLPYLFRLNQCLRQYKDTGEKSALLNALKYSTAVPVIFLSALKYHVLPEQWTNIYRPLWLLSSVVNSSYSFYWDVTRDWDLSGFTRIFKFSKPHVFSYMLHGRRWVYIWVIASNLVLRCTWTYKLSAHLRHNYLTVFTIAALEIFRRFQWIFFRVENEWNKMNNKSHMQLSMSEMSTEEEKLLHSMNHNV >CAK8568762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639327746:639329186:-1 gene:gene-LATHSAT_LOCUS21689 transcript:rna-LATHSAT_LOCUS21689 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDFEAEYVDSFDVLKVLAAHGVEFLLSSQGKVPLLDCNGKIICLFFSANWCMPCKLFIPHLVDLYETLKKRGVNIEIIFVSFDRDEEGFNEHVKSMPWLAVPFDVDLHRKLIDRYRVDRIPSFIPLCTDALTVDEKVIEWIEDYGADAFPFTKKRHEELKVIDRRKREEVNLQELLTREERDFLIAGDDRKVVVSELAGKTVGLFFGAYWSPPCRAFTVQLTDVYNTLNEIKGCCFEIVFISTDKDLKEFNVNRTSMPWLAIPYEDRTRHDLCRIFDIKKIPALVIIGPDGKVVSLNGKFMVSSYGAEAFPFTESRVKDLESALRKEGEALPQQVQDVKHEHVLKLEMAKAYVCDSCKKQGKFWSFFCDVCDYDLHPSCLEKVNKD >CAK8569547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4822355:4825446:-1 gene:gene-LATHSAT_LOCUS22389 transcript:rna-LATHSAT_LOCUS22389-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDFFAGEIATELLKMLIAISRKSLLCKTSAEQLISSIDEILPTIQEIKYTGVELPEHRQFQLDRFSEILRSGVELSHKVLSSSRWNVYKNLQLAKKMEKLEKNVSRFVQGPMQAHILADVHHTRFKMAERFDRVDASNRKLEKYFGAMKIGVGGGGWVEEAVRSCMEEDENWVEGNCGNLNLSIGLDLGKKKVMEKVIGREDLWVVGICGIGGSGKTTLAREVCTDEQVRCYFKERILFLTVSQSPNVEQLRTKIWGHIMGNRNLNPDYAVPQWIPQFECRSEARSLVVLDDVWSLSVLEQLVCRIPGCKFVVVSRFKFPTILNETYDVELLSEEDALSLFCHHAFGQKSIPFTANENLVKQVVSECEKLPLALKVIGASLRDQTEMFWESVKNRLSQGQSIGESYEINLIERMAISINYLREEIKECFLDLCSFPEDKKIPLDVLINMWVEIHDIDEKDAFAIVVELSNKNLLTLVKEVRAGGLYSSCFEISVTQHDVLRDLALNLSNRETINERRRLVMPKRQNGLPKEWIRRKHKPFEAQIVSIHTGEMKEMDWPKLEFPKAEVLIINFTSKNYFLPPFIDKMPNLRALIVINYSASYACLHNVSVFNNLNNLRSLWLEKVSIPQFGGIVMENLGKLFIVLCKINNNLEGKDVNLSRIFPNLSEITLDHCDDVTSLPSSICRIQSLKNMSLTNCHNLAQLPAELGALKSLEILRLYACPELKMLPPSICDMIRLKYIDVSQCVYLSCFPEEIGKLVSLEKIDMRECSMIKNVPQSAILLKSLRLVICDEEVFGMWKDVKKAKPNVHVQVSKQYFDLEWLRE >CAK8569548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4822355:4825446:-1 gene:gene-LATHSAT_LOCUS22389 transcript:rna-LATHSAT_LOCUS22389 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDFFAGEIATELLKMLIAISRKSLLCKTSAEQLISSIDEILPTIQEIKYTGVELPEHRQFQLDRFSEILRSGVELSHKVLSSSRWNVYKNLQLAKKMEKLEKNVSRFVQGPMQAHILADVHHTRFKMAERFDRVDASNRKLEKYFGAMKIGVGGGGWVEEAVRSCMEEDENWVEGNCGNLNLSIGLDLGKKKVMEKVIGREDLWVVGICGIGGSGKTTLAREVCTDEQVRCYFKERILFLTVSQSPNVEQLRTKIWGHIMGNRNLNPDYAVPQWIPQFECRSEARSLVVLDDVWSLSVLEQLVCRIPEDALSLFCHHAFGQKSIPFTANENLVKQVVSECEKLPLALKVIGASLRDQTEMFWESVKNRLSQGQSIGESYEINLIERMAISINYLREEIKECFLDLCSFPEDKKIPLDVLINMWVEIHDIDEKDAFAIVVELSNKNLLTLVKEVRAGGLYSSCFEISVTQHDVLRDLALNLSNRETINERRRLVMPKRQNGLPKEWIRRKHKPFEAQIVSIHTGEMKEMDWPKLEFPKAEVLIINFTSKNYFLPPFIDKMPNLRALIVINYSASYACLHNVSVFNNLNNLRSLWLEKVSIPQFGGIVMENLGKLFIVLCKINNNLEGKDVNLSRIFPNLSEITLDHCDDVTSLPSSICRIQSLKNMSLTNCHNLAQLPAELGALKSLEILRLYACPELKMLPPSICDMIRLKYIDVSQCVYLSCFPEEIGKLVSLEKIDMRECSMIKNVPQSAILLKSLRLVICDEEVFGMWKDVKKAKPNVHVQVSKQYFDLEWLRE >CAK8530587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32590738:32619294:-1 gene:gene-LATHSAT_LOCUS440 transcript:rna-LATHSAT_LOCUS440 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISFPFLTNSLSFPNPLISLPAFPSPAYFSPISIHFPTAPRNNRIRIRASTNESTPSTSTSAITVDNSGSNDSTAFVIQARNRIGLLQVITRVFKILGLSIDKATVEFEGDYFIKKFFVTDSHGNKIEDKDNLERIKRALLEAIGGDVDVKVSLTAANRGIVVRRAGFVEGFGERKAKAERMFSLMDGFLKNDPFSLQKDIIHHVEYTVARSRFSFDDYEAYQAVSHSVRDRLIERWHDTHIYFKKKKAKRLYFLSLEFLMGRSLSNSVINLGIQDQYTEALSQLGFEFEVLAEQEGDASLGNGGLARFSACQMDSLATLDYPAWGYGLRYEYGLFRQIIVDGFQHEQPDYWLNYGNPWEIERIHVTYEVKFYGTVEDVDRNGEKLKVWIPGETVEAVAYDNPIPGYGTRNTINLRLWAAKPNNQFDLEAYNTGDYINSIVNKQRTETISNVLYPDDRSHQGKEMRLKQQYFFVSASLQDIIRRFKEAHNNFDELPEQVALHLNDTHPSLSIAEVMRILVDEEHLGWNKAWNIVCKIFSFTTHTVVAEALEKIPVDLLGSLLPRHLQILYEINSNFMEELKKRIGLDYSRLSRMSIVEEGAVKSIRMANLSIVCSHTVNGVSKLHSNTLKTKTFKDFYELWPEKFQYTTNGVTQRRWIVVSNPSLCALLSKWLGTEAWIRNADLLTGLRDHVDNTGFQQEWKMVKRLNKMRLAEYIETMSGLKVSLDAMFDVQVKRIHEYKRQLLNIFGIIHRYDCLKNMDKNDRRKVVPRVCIIGGKAAPGYEIAKKIIKLCHAVAEKINNDADIGDLLKLVFIPDYNVSVAELVIPGADLSQHLSTAGQEASGTGSMKFLMNGCLLLATEDGSTVEIIEEIGSENLFLFGAKAQEVAELREKGGTLKVPLQFARVLRMVRDGNFGDKDYFESLCDTVEVGNDLYLLGSDFGSYLEAQAAADKAFVEPEKWIKMSILSAAGSGRFSSDRTIREYAERTWKIDPCQCPF >CAK8570527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56047213:56047860:1 gene:gene-LATHSAT_LOCUS23268 transcript:rna-LATHSAT_LOCUS23268 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLQIHHLITLFPFLMLVILLLILLDTNGNVQQQETNNSPPLLDLSSYPNETNVCLHLSLYFCNCIQMAKKQKFVHQETNVDAAVTDTVGTSSDSSMSDDLRKIKKVLTTSDLENNSRLLLKKELARKWVVPFLNKGKVEKDGVKVPVFDVDTQSLRSLVFKTQPSNNSHIFNNTWMKEFVDKRNLKAGDEIRFKWDQDNKRFDFSVLHRRDDN >CAK8570528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56047261:56047860:1 gene:gene-LATHSAT_LOCUS23268 transcript:rna-LATHSAT_LOCUS23268-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILLLILLDTNGNVQQQETNNSPPLLDLSSYPNETNVCLHLSLYFCNCIQMAKKQKFVHQETNVDAAVTDTVGTSSDSSMSDDLRKIKKVLTTSDLENNSRLLLKKELARKWVVPFLNKGKVEKDGVKVPVFDVDTQSLRSLVFKTQPSNNSHIFNNTWMKEFVDKRNLKAGDEIRFKWDQDNKRFDFSVLHRRDDN >CAK8575697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:258948169:258948474:1 gene:gene-LATHSAT_LOCUS27937 transcript:rna-LATHSAT_LOCUS27937 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPCHTIVLGRNTMLGHVWMLSNSAAFAICYNFHLMFCMELPCCVLVCSRQPRSIEETVGKSKRHYRNPKYEGLFGNPFKWRKQNICMEAPSHYVRKLKR >CAK8571986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500393601:500395226:1 gene:gene-LATHSAT_LOCUS24595 transcript:rna-LATHSAT_LOCUS24595 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSSTKAFLLFPRMSHSATKTRLRGVVFDMDGTLTVPVIDFVSMYKAVLGDDEYRRIKASNPVGIDILKLIDHWPSHQQRQAYDTIARFEQQALDKLQIMPGAAELCNVLDSKKMRRGLITRNMKSAVDLFHQRFGITFSPALSREFRPYKPDPAPLLHICSLWEVQPNEVIMVGDSLKDDVACGGRAGAYTCLLDQTGRYDSPEYANVDFKPDFKVTSLAEVYSILERNFELSP >CAK8568045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566699648:566700247:-1 gene:gene-LATHSAT_LOCUS21043 transcript:rna-LATHSAT_LOCUS21043-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMKDQHLKIYDFVPDCYKKEQYVACYAHVIYPLNGEALWTKTGVVDLQPPPIKRQAERPKKKRNREAREMVRDEAHIKKAKHGIKCSRCHKDGHNKVTCKLPQPQASSSQVQDATSQQPSQANTSQSPPVAISQPPSQAVTSQPSPPVATSQPPPQSVTSQPPPPVATSQPPPKTKKKLHKGGKPVSSQPSSILYVY >CAK8568044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566699648:566700277:-1 gene:gene-LATHSAT_LOCUS21043 transcript:rna-LATHSAT_LOCUS21043 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLPCCHAMSCMKDQHLKIYDFVPDCYKKEQYVACYAHVIYPLNGEALWTKTGVVDLQPPPIKRQAERPKKKRNREAREMVRDEAHIKKAKHGIKCSRCHKDGHNKVTCKLPQPQASSSQVQDATSQQPSQANTSQSPPVAISQPPSQAVTSQPSPPVATSQPPPQSVTSQPPPPVATSQPPPKTKKKLHKGGKPVSSQPSSILYVY >CAK8536057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903528050:903530870:-1 gene:gene-LATHSAT_LOCUS5459 transcript:rna-LATHSAT_LOCUS5459 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLSDGFKPEKSSLSYADLNNEITKNDADSYLKHLPNQQKQTTRRRENDEDELVMHMSSLPGYLERGEKVPEKVLNVGVLDWASLEHWQYSHKHVPHRSSQSSTSSSNTSSSIRPEELSGNSRRGLNWSPHIRIIPPSVQSHSIASTKQDSSIAVKSSAENFGNRVNLRGRHSSFDIQRRNVRTVDHLSRNHPTSILKGSDRLEMNTRDDQMEKKKENLPREQNGDINARDMLGKSKPIVLILPRDIPQKNNHSGASDKGTTLDQKLGSHSQKRNLVNPKEPSCTYMSCNVSKSCSLADELSQSHSQPKESWSSSLDSESFKIPVSTFSVPVPGRMGMSPDRSRKVEERKPSIAGSSSANGPPREVDTKVTTDQKVTTEKTRSSSPFRRLSFSMGFTNKVSGCKEVAHVPHQSSIATHKPSSENVRGYGSSNIQDSSEKSRSSSKSRASPLRRFLDPLVKPKAANCHHSLDLPQKDSENARGGAGLNISGNKSGTAGKGRSSPLRRLLDPLLKPKAANCHHSMNLFQKETVSTNKNCRSGNGTCSTILPAKELDKDGRFGCSTANTVESSNEEAHMPSTSQSVLRISMKNGQPLFTFAAGNNSNILAATVKRSTVLKKDECNCIYTFYTFKEIKKKNGSRTNHNEKSKGPDYVRHVIAQMKLSDMHYHDSNSQNRVESITKEFVLFSVKLKQGDPQVTDYQPNDELAAIAVNYANQNSCQNESQDLLQVTAVLPSGVHSLPSDGGPSSLIERWKTGGSCDCGGWDLACKLKILANNNQTCRKSRTPEAYFAGQYELFFQGNDQCQDSRPVFSFSNFEAGAYSVAFGSSLSPLQAFSICIAMLDGKLPCELSGSRNSIEGTNRRQSQLVQTDDSKMAHGKSEDIPASYVAYPPISPAGRV >CAK8575280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:69727609:69727989:-1 gene:gene-LATHSAT_LOCUS27554 transcript:rna-LATHSAT_LOCUS27554 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSEIDELWKRFKSLDFVGKRALKSRVFELAFPTMTSMCPPPEKIKPKGGVKKKGKKPVEYDVDRDPSYHEYVNQASQPSQTSKKSQPSQASQKKSQPVGELDNHRAAYN >CAK8566995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478501923:478502396:-1 gene:gene-LATHSAT_LOCUS20088 transcript:rna-LATHSAT_LOCUS20088 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTEPSSSRSVFLGVDVGTGSARAGLFDEEGKLLGSSSSPIQIWKDGAFVEQSSTDIWLAVCAAVKAACSKAEVAPTEVKALGFAATCSLVAVDSDSSPVSVSPSGDSRRNVIVWMDHIASLSLSSRSTVLFSFARLHLRLPSRILQPQPENADF >CAK8542533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523965657:523966283:1 gene:gene-LATHSAT_LOCUS11367 transcript:rna-LATHSAT_LOCUS11367 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALLRPCTFHHTHKPSTLTLKPKPNSLSFSPLTPPPSKLKLRNPVVKANLSSPAPPERLISIAAYALPFFNSLQYGHNILTQYPKFALLFEPIIPFLSFYRSLPYASFVAFFALYLGIVKNPSFPQFARFNAMQALTLDVLLVLPLLFQRIFSPGRYGLAFKVMVWSHNAVFIFSILCFVYSALSCLLGRTPYLPFVGDAAARQI >CAK8543528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:616425446:616438264:-1 gene:gene-LATHSAT_LOCUS12280 transcript:rna-LATHSAT_LOCUS12280 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGMKKENMRFEETELRLGIGSAIVSEEVVRKRGFSETETDRDDEKTTMDLMLNLSSKEATAEVDPNGKSTKTLQKEKTLLLADPAKPPTKTQVVGWPPVRSFRKNMLATQKSEESGNLNPIAITFVKVSMDGAPYLRKVDLKMYKNYSQLSDSLGKMFSSFTIGNCESQGMKDFMNESKLMNLLNNSDYVATYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGKEAIGIAPRAMEKCKNRS >CAK8563795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631364975:631371764:1 gene:gene-LATHSAT_LOCUS17170 transcript:rna-LATHSAT_LOCUS17170 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSIILIVLSFLLLTQSESAPQAFKREPGHPQWHHGAFHDVRDTVRSDIRRMLHSRAEVPFQVPLEVNVVLIGFNGDGGYRYTFDAHKLEELLQTSFPTHRPSCLETEELLDIEHHLVYNAFSAGQPELIALEKALKEAMVPAGKARESDFGREVPLFEVEATAVEPMFQKLYSYIFDMDSVGSSVTETDKPVPSAIFIVNFDKVRLDPRNKEIDLDGLMYGKISELTEEDMKKQEGDYVYRYRYDGGGATQAWLSSGRFVVIDLSAGPCTYGKIEAEEGSVSSRTLPRLRNAVRLSNTASYQSSNDIFLGQLASLVSTTVEHVIAPDVRFETVDLTSRLLIPIIVLQNHNRYNIVQKGHNYSINIDEIKAEVKKLLHDEQEVVIIGGTHSLHHHEKLSIAVSKAMRGHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSSKYFFRQNWMDESEASTDSILKHKPIWSSYNLKHSKKKRKNVKKQGDLQTTFGTRVVPVFVLSLADVDPNLMMEDESMVWTSNDVVIVLEHQNEKIPLSYVSETHKRHAVPSQAQRHILAGLASVVGGLSAPYEKASHVHERHVVNWLWAAGCHPFGPFSNTSRVSQMLRDVALRNSIYARVDSVLRKIRDTSETVQAFAAEYLKTPLGEPVKGKKEKSPTELWLEKFYKKTTNLPEPFPHELVDRLEKYLDGLEEHLVDMSSLLYDHRLKDTFLNSSDILQSSMFTQQYVDHVLTNERENMKCCKIEYKYPVHSSQTYIYGGILIAGFVVYFVVIFFSSPVR >CAK8567211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495352215:495352928:1 gene:gene-LATHSAT_LOCUS20282 transcript:rna-LATHSAT_LOCUS20282 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRWIRPEVYPLFVPVGMAVGLCAMQLVRNLATNPEVRVTKQNRAAGILDNESEGEKYSQHFVRKFVRGKSPEIMPSVNGFFSNPN >CAK8560671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35383753:35384334:1 gene:gene-LATHSAT_LOCUS14329 transcript:rna-LATHSAT_LOCUS14329 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLDNLQKKLDESFLNMRLYEKALELFEDDQSTSVVLHRHLLRIVAAPMVDMLLHDLDEHNKLKNGVEVQESPNSESISFSPGDRAAIVRLESKI >CAK8541870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419184704:419185765:1 gene:gene-LATHSAT_LOCUS10761 transcript:rna-LATHSAT_LOCUS10761 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITNTENRLYIGWFGVLMIPTLLTATSVFIIAFIASPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIVVAYSAPVAATTAIFLIYPIVQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHDSLVTSSLIRETTENEFANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAVWPVVGIWFTALGISTMSFNLNGFNFNQFVVDSQGRVINTWADIINCANFGMEVMHERNAHNFPLDLAAVEAPSING >CAK8564607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2089661:2098052:1 gene:gene-LATHSAT_LOCUS17895 transcript:rna-LATHSAT_LOCUS17895 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWDEGGESDDHIVPFPEKSEDYRNKKELNQEPAVCKLTKRKQPETKTDFHRKPGSSLNLDNSGRLLATGYGESSWSDLSLSSAAKIDQCPLGNDLSKNQEETTHHENDAEFFQNADEGKEQGDFVDYDWANIGSFVDLDRIFSNEDPIFGHESLDNSDELWSAKDASNDQAPASLDAPNPTDALRSRSGHFEIKEEHIHCSDQSFSLSYEKISGPAYQSIQNSHTTTDNVECAGDRCKLTGKEQQSFRQTNQLEIKKKSLIKQEGKDLQDYNGNWSSSATSARQYENQLTPSVLQSFPSSILGQQKQLQGPETLYQNIINPYAAPSVYGNLTNAYPAMPMLSQIQSGNLRHQPVLSGYETSPASVNPINYAGSVNPQTMTPQEKIEKLRRRQQMQAMLAIQKQQQVLGHQVPSTSKSVAQKGRPEIQSHLSDGTDPKIEDLRTLPPIEQDDSNTISLAIDDDFVEETILYRIQDVISKLDVKTRLCIRDSLFRLAQSAKQRHYASDTSSTNNNNKEEYEFFAKESSSQDRYARMPDVETETNSIDRTVARLLFHRPLELTGNYSDKLESPISTKVQCENKAADQVDFSMRCLQEEDLRCNQHFSPLGLENHRPSFAVQPVNQVKSSLGITSENASNPQEFEASQ >CAK8567320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503659482:503660846:-1 gene:gene-LATHSAT_LOCUS20384 transcript:rna-LATHSAT_LOCUS20384 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSMQSSSCGDEIEEYDSRAESSNFSAFLNNPPPTTAAPGERNNHVISQFGNNNNNLINPQTQNHHHHNQMFDPLPNYYMESLQKQSSNPNSFLNLDMIWSKATARSEPNNTDLSTLLVPSSSSSSSSLQNHQAFLLSQFLGQTRENVASVPTTHQQHQYHQQQQHHSLPLESTSRGGSLANDHQDLINSSNNNNVGRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFPSPFPRTRLDLFGSSVASRSMSNHMDPPPPPPPPYLLRPFAQKIQPFSPLIQSSSSIMENLASNNPTNSASINYHLSQQNNHPLMQHNQILGFQSISQTPTKYPHGTQQPSLETTPNVDSRMKIGTVFDELGLSHAQAHVNNSNNNNVNIGILHNNMVTTTTTTSSDAVNNSNENQWSQRTIAGVEKGQECVVVSTRGEATVESWINCSSSD >CAK8578458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630335170:630338114:-1 gene:gene-LATHSAT_LOCUS30469 transcript:rna-LATHSAT_LOCUS30469 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKVPEWADEENEDGSGDIRSTREAALDKAFPRHEEDTAIVRKDDRRLRRLAESRIDNREEVRADHRRIRQAEIVSTIEEEARRQEGLDLEEQDEDAMAERRRMLKEKLLQREQEEALPQEEEEEEEEEEEEEESEYETDSDEEYTGVAMVKPVFVPKSERDTIAERERLEAEEEALEEGRKRRLEQRRIETKQIVVEEIRKDEEIQKNVELEANIADVDTDDEINEAEEYEAWKVREIGRIKRDREDREALLKEKEEVERVRNMTEEERREWERKNPKPSQSSKQKWRFMQKYYHKGAFFQSNSDDRAATAGTDNIFTRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWSHLVNEDTTDWNNPWTYNDPLRAKYNERMAAMNAPIAKPKGSKKLKDWESR >CAK8569567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5198484:5198962:-1 gene:gene-LATHSAT_LOCUS22406 transcript:rna-LATHSAT_LOCUS22406 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPISMDLVGLTCFNANFSKSYNENDDDGRMNTAPTFVVPVVLDVSALRYSKLIRIYSTVVLLNATSAPLKLRFDIPSNTSQYLILYTLGNSFHFHYIWLKLVV >CAK8530875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58651937:58652278:-1 gene:gene-LATHSAT_LOCUS707 transcript:rna-LATHSAT_LOCUS707 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAEHPVRVQGGSSDGSESDLVSRVRKLLFRRMLIGIKDGRFFLGNFYCIDKQGNIILQDTVEYRSTRRSSPSPMEQRCIGLILIPSSCRTSCHVDLSVDEQLSLLSFNEK >CAK8544100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662921852:662926967:-1 gene:gene-LATHSAT_LOCUS12806 transcript:rna-LATHSAT_LOCUS12806 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLRNRKISHLFSPTRFLNSPSFTRPRWIETIAYEESRAQPDKPYSSTAVIIHGFLGSNRNWRSFSRNLLATLSNSSPSSNWRTVSIDMRNHGKSAERKLDPPHNLENAAKDLADLVKVEGWSWPEVVIGHSMGGKVALQFAHSCRSGEYGDSAQLPKQLWVLDSVPGEVYSENKSDEVRSVLMTLESLPSQIPSRKWLVSHLMGLGYSKTLADWIGTNLKKEGDHETWIFDLQSAKEMFDSYWKRSYWSLLENPPKGMEIVIVRAEKSDRWDQEAIERIQKLASQGGTDSAGKVSFCVLPNAGHWVHVDNPKGLLEIVASKMASL >CAK8573159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589961706:589962195:-1 gene:gene-LATHSAT_LOCUS25638 transcript:rna-LATHSAT_LOCUS25638 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDMGSIIKLVILHTLQIQETFFVPCVRSPKSLYFSDYHRSLFISSRVNCNHRENERPGVKKPNRISSCVCSVIVFWYIPLIL >CAK8534523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738822411:738822836:1 gene:gene-LATHSAT_LOCUS4065 transcript:rna-LATHSAT_LOCUS4065 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKAANHQLRGSFSLPRWLEVSPATGIIRPDQIVEVSVHHEEFLTQEEFVDGVVQNSWCEDSRDKEAILIVKVHGNYTIQTRNHRVRVHHCYSSKKNQLAYPQPNGSRHVQGSVLHRSDIQRLSSSFDVVDQLHKLHSP >CAK8576296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:446900582:446903281:-1 gene:gene-LATHSAT_LOCUS28496 transcript:rna-LATHSAT_LOCUS28496 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMQSKVKEWKNCIDYCCWLIGCVCTIWWLLSFLYQFLPATLVGFGVVESPGLRLNREGVKGLHPVVLVPGIVTAGLELWEGRPCAVGLFRKPLWGATLPQILKRPLCWLEHLSLHNETGLDPPGIRVRAVPGLVAADDFASGYHVWAVLIENLARIGYEGKNLHMATYDWRLSFQNTEIRDQALSRLKSKIELMFVTNAYKKVVVVPQSMGAIYFLHFLKWVEAPPPMGGGGGPGWCEKHIKAIMNINPAFLGVPKAVSNIFSAEGSDAAFVRAVTSGILNFDYLGLQTVEHVLRACRTWDSIISLMPKGGETIWGDLDWCPKECDKSEKREHMKEHFVYNSTYNRGDMPKGLNVKEHMTYGGINSFGKAVSQLLASLLTTLDSESEEISSEHSTFDLSCEDDQTECNEIHRESIPKVAKRKASKGRTDIDLYNFVAPKMMKRAEVHFSHGIADNLDDPKYTHYKYWSNPLETKLPDAPNMEIYCLYGVEIPTEKSHMHKPSPPDKYKHIHFPNNSSADEGNGRKLQNDVYLVGDDERVNILSSGFMCAKGWRRRTRFNPSGTATYIREYQLRQRSSVLEGRGLESRSRVNNIIGNIALIEDVLRVAAGATSANIGGDRIFSDIMRISERINLRL >CAK8534664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753901128:753904454:-1 gene:gene-LATHSAT_LOCUS4190 transcript:rna-LATHSAT_LOCUS4190 gene_biotype:protein_coding transcript_biotype:protein_coding MINILLLIMCLVTIITDQRGHGMQIVSKEEDLELERQLSILNKPPIKSIHTKSGYIIDCVDINKQPAFDHPLLKNHKLQRKPIFISNINETRVQNSPTKPTFILEKVRCPKETVPIRRTTKNDLIQGKALFNAHNLTQNSSLNHFARVFLSPVGSPYYGVSGTSSVWNPNVYKGQSSSGYLYVQRGEGDEINKISVGWHVSPLLYNDGQTHLFLFWASGKSGCFDMLCKGFIQVDRSYNFGAHVSKTSTYGGEIIELPLKISRDNVGNWWLKVVDKDIGYFPASLFPSLTHQADTVGWGGYTVTPMGTTSPTMGSGYKPDNDFTHASYFRFVKYLEIVGEEVDPLRFMVKSYNDAPNCYGVTNYEDKRKGEGYSLQFGGPGGKCNT >CAK8537330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:268088793:268090154:1 gene:gene-LATHSAT_LOCUS6627 transcript:rna-LATHSAT_LOCUS6627 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISYEFNMRSGGADLATAIVPLLKLLCLTVIGLLLANPTMQFIPRATFKLLSKLVFALFLPCLILTELGETITLQNFIDWWFIPVNVLVSTALGCLLGFFVVLICRPPPQLRRFTVIMTGFGNTGNLPLAVVGSVCHTKDNPFGNQCNTRGVAYVSFAQWVAVILVYTLVYHMMEPPMEYYEIVEEGAEIEIEEQQCALNDISRPLLVEAEWPGMEDKETVHSKTPFIARVFKSISGISSSAVPDLEISVESSGADNSPRSIRCLAEPRVVRRIRIVAEQTPLQHILQPPTIASLLAIIIGTVPQLKALFFGYDAPLSFITDSLEIVGGAMVPSVMLILGGMLAEGPNESRLGLRTTIGIVVARLLVLPVIGIGIVALSNKLNFLVESDAMFRFVLLLQYTSPSAILLGAIASLRGYAVSEASALLFWQHVFALFSLSFYIVIYFRIIEYI >CAK8568507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612309135:612309467:-1 gene:gene-LATHSAT_LOCUS21457 transcript:rna-LATHSAT_LOCUS21457 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDEEYHGLIEVMDPLVRHTANQTGFGRYLELAIQCAEESASDRPTMSEVVKALENILQNDGLNTNSTSASSSATDFRVTKGAAKRHPHIDNNFTEKDSVDESNAVML >CAK8561577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:309874908:309875822:1 gene:gene-LATHSAT_LOCUS15155 transcript:rna-LATHSAT_LOCUS15155 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLGGSNSSPPRPKWRKVAYGGMQPGYDDNHTDETFLEGMVMNASVVKRDMLKVMLDSVSISEYLCIVALVVLVWTYTLSSSLDENSLLLIDISLLVSGFLILLFTQEMLSLTLLLHYALNISFFITGLYVLAPIYQTLTRSISSDSIWAVTVSLLILHLFLHDYSESTVKAPGVLKNPALTSCISVNASVVASVFIASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIKKYSFCLHLCFSISLMVLTLSFVYTLHRMLFVLLLSLLVFVNLVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >CAK8567258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498306043:498306303:1 gene:gene-LATHSAT_LOCUS20324 transcript:rna-LATHSAT_LOCUS20324 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSVKESSKVYKNLVRSQSFHLPDLDVTKSDNYNKSDNENSMKWLIVDDDIISSVVEQQSEISIKEDRGIMLCNMMTELEVEEK >CAK8565129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:43918201:43921325:-1 gene:gene-LATHSAT_LOCUS18366 transcript:rna-LATHSAT_LOCUS18366 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEFSHDWKAPPNNICTFYQKGVCAYGSRCRYDHVKASRAQSSTPSSSIIENQLAVPESVLLGNTRITSNDVATAAEFSLFNSPYVLPSEPVWNQESADFLREDDVGQSVITSPSELPICSFAAAGNCPRGEQCPHVHGDLCPSCGRHCLHPFRSEEREEHMKSCENKQKHLEALKKSQEVECCVCLERVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVVPSVIWYATSEEKQEIVDTYKAKLKSIDCKHFEFGDGNCPFGTSCFYKHAYRDGRLEEVALRHLGAADGDTIIAKDIRLSDFLASMRLS >CAK8540733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18089557:18090697:1 gene:gene-LATHSAT_LOCUS9707 transcript:rna-LATHSAT_LOCUS9707 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMNSRKPSEIFKAQAQLYKHMYTFIDSMCLKWCVEMNIPNIIHNHGKPISLSNLVSTLQVPSTKFGNVQRLMRYLARNGFFEIITNQELEKEEAYALTVVSELLVKGSELCLAPMVGITLDPTLSGAFNELNKWIYEQDLTLIDVTLGSDMWNFFNKNPEYNRLFNEAMASDSKMINLAMKDCNFVFDGLDSIVDVGGGNGTMGKVICETFPKLKCVVLDRPEVVENLSGNNNLTYVGGDMFKYIPRADATLLKFILHDWSDKDCIKILKKCKEAITSDEKRGKVIIIEVVINEKKDNIQITDLKLQKDIFISSLNGKERNEEEWKKLFEEAGFKDYKISPLTELLSVIEIYP >CAK8544811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706900682:706903552:1 gene:gene-LATHSAT_LOCUS13460 transcript:rna-LATHSAT_LOCUS13460 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASSSSSSNQRSLERVVSQKAMQMSNSFPCQICVVGFLSGICIASLIMATLTSIGSFQFSHFSMLHLSFNSDLNSTTITQCSFNPKEIQRLTDLKSRKEIEQDERVSLLYSAWNCVLNKPTTGTNKCLWKLGINESSLLNAPHLENCKAKSQLHDRLDKRIGNDSFPPWTSWNRLAATTDQNQKFRNQGVSEGAYPPWIAGSDEENYPLTRKVQRDIWIHQHPSNCSDANVKFLLADWERLPGFGIGAQIAGMCGLLAIAINEGRVLVTNFYNRADHDGCKGSSRSSWNCYFFAETSVECRRRAFELMKSDDSLSIGILTTKENYTSKHIWTGPTPRIWGDPWNYLQPTTDINGSLVVSHRKMDRRWWRAQAVRYLMRFPTEYTCNLLNEARHAAFGKIAAKMVLESLVGEWPKEENGERAKSDIEKYVWSNHKPWIPRPLLSMHVRMGDKACEMRVVEFEEYMMLANRIRNHFPNLNNIWLSTEMQEVIEKTKEYSSRWKFHYTKVRRQDRSNVSMAEYEGSLGRERSTNYPLVNFLMAADSDYFVGALGSTWCFLIDGMRNTAGKVMSGYLSVNKDRFW >CAK8560480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21825083:21829175:1 gene:gene-LATHSAT_LOCUS14148 transcript:rna-LATHSAT_LOCUS14148 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRLKQQHQQQQQAMMQQALMQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNVHTQVTEPLLQEVFAGTGPVEGCKLFRKEKSSYGFIHYFDRRSAALAILTLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYQSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLTGKWLGSRQIRCNWATKGAGGIEEKQTPENKSVVELTNGSSEDGKDTPNNDAPENNPQYTTVYVGNLGSEATQLDLHRHFYTLGAGVIEEVRVQRDKGFGFVRFSTHAEAALAIQMGNAQSYLCGKLIKCSWGSKPTPPGTASNPLPPPAAAPLPGLSATDILGYERQIAMSKMGGVHAALMHPQAQHPLKQTAIGASQAIYDGGFQNVAAAQQMMYYQ >CAK8538622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489361546:489363611:1 gene:gene-LATHSAT_LOCUS7802 transcript:rna-LATHSAT_LOCUS7802 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHLRSYGEELLKSNPNSTIEIKCVDSDGGPVFERIYVCLEACKAAFATTYRPLIGLDACFLKGDFRGQLIGVVGKDENNKIYPIAYAAVEAETKDSWQWLLNLLLEDLQSIQDNKYGFISDQQKGLVLTILETSQHVEHRLCVKHLYGNLRKKYPGIFMNEALWRAARATTIPAWERAMNHMKELNVNAWKDMMDVSAACWTRSHFKTDIQCGLQFNNMCEAFNRAILEYIDNPITSQLEGIKHYITVRISAQKEKLSRYTGVTSPNIQKVLEKTKRAAEGWIATWHADDDFAIFGVSNGVEIYVVNLLRHKCGCRKWDLSGIPCCHAIVCIWYSKEEPEEYVSSFYKKSTVRPTYSHIIMPTNGPQLWHVNVANPISPLVMRRSIGRLKKNRNKANDEPRLRNTLPRTLQTVKCKKCGSFGHNKQTCKGKRASERAIPKGGNKKP >CAK8539278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508242137:508242812:-1 gene:gene-LATHSAT_LOCUS8388 transcript:rna-LATHSAT_LOCUS8388 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRDDRLRIGRILQHASMRRERRQATVTQVGTAEAQPTGQPSSPHVQDNPSTSYPTPSSTSSSRRRRDSAEGSSQAPSFCRPRWDTLVTHPVDDVALVPPPEVDDPPAEKASHDDDDELEGFPGGSSDMSLLVGYADHTARHVWDGETREPQKFYNHERKILSLKQTYEAWFQDVHAAFGLKDLLVSVTT >CAK8536798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:21449968:21450679:1 gene:gene-LATHSAT_LOCUS6130 transcript:rna-LATHSAT_LOCUS6130 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDAEDRDNKFLTKAVEEVYKGVKCGDGRPFGVVIVQNDELVVSCHNMVLRNKDPTAHVEVIAIREAYQKLDQISLADCEIYASCEPCPMCFAAIHFSKIKAGLFNPFHFLFTLHVSLTSFKLISNLFILIQQRLVYGAKAEAAVAIRFDSFIADAQKDTSSPQKPQLEIKKIDGTADVIVEQVFEKTNGKYALY >CAK8544739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703538035:703538759:1 gene:gene-LATHSAT_LOCUS13394 transcript:rna-LATHSAT_LOCUS13394 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLASASSSSSSQPRHTWFSFPFMLPTIKNLLALIIRKRPVPNEKRQEFLPLQNEENAEFRISPFLATLAFNPYFAAMLGWFVAQVTKVFLNFFNERRWDLRHMFASDGIIPATQSALCVALPTSVAFSRGVSHSLFPVSLGFSLIVMYDTLRLRTRYAAAVYQAEVVNTLPAIEERQEQDRVGYTLLQKFVVAMVGCTIAIFFLIKLYVGY >CAK8538456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483127176:483127610:-1 gene:gene-LATHSAT_LOCUS7648 transcript:rna-LATHSAT_LOCUS7648 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFEMSTGFALFKFLNEGELYEIQDLSLVFSSTDAAKKVVTSKAFPKFENTIEYLEAASYLIDGKASTGLRKFLHSHCDNEILVVVDSKIGYIIKVKLKIDCVHNNAAIELMRGVRYRYQLTNLISGLVVQDMAPVSLGLSH >CAK8534677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754642697:754644980:-1 gene:gene-LATHSAT_LOCUS4200 transcript:rna-LATHSAT_LOCUS4200 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHARTEPLIDQDAAGGSWKLNIKEFHLPTQNVADHQNKSSFGFNSLLRKPRKQRKVAEYYKNQERLLEGFNEMEAMHETGFYPGGLTEDEMKQLAKSERMAVHVSNVCNLVLFAAKVFASIESRSLAVIASTLDSLLDLLSGFILWFTSNAMRKPNRFHYPIGKKRMQPVGIIVFASVMATLGLQILIESGRQIFIKAKPEVDPTKVNWMIGIMVAVTVVKFVLMIYCRRFKNEIVRAYAQDHMFDVITNSVGLAAAVLAVKYAWWIDPTGAIIIALYTINTWTKTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEQIKHIDTVRAYTFGAHYFVEVDIVLPEDMLLNQAHNIGETLQEKLEQLPDVERAFVHIDFEFTHRPEHKISV >CAK8570803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:117617785:117619674:1 gene:gene-LATHSAT_LOCUS23524 transcript:rna-LATHSAT_LOCUS23524 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYHHLSFIFMNVPNLNKHPNYIIHGFPFLNNIRIRLTSTVTLSLEMKRCNFFISKLCKEGKIIEARKVFDEMSERDVCLWTTMISGYIKGGMIKEARKLFDRSDAEKSVIVWTAMVSGYVKMNQIEEAERLFYEMPIKNVVSWNTMIDGYARNGRTEQALDLFRRMPERNVVSWNTIMTALAHSGRIDDAQRLFDKMRERDVVSWTTMVAGLSKNGRIDDARELFDRMPVRNVVSWNAMIAGYAQNGRLDEALKLFERMPQRDMPSWNTMVTGFIQNGDLTRAEKLFDAMPQKNIITWTAMMTGYVQHGLSEEALKTFNKMQANDGLKPATGTFVTVLGACSDLAGLIEGQQIHQMISKTVFQESTQVVSALINMYSKCGKLHVARKMFDDGLSGHMDLISWNGMIAAYAHHGYGNEAINLFNKMQELGFQANDVTFVGLLTACSHAGLVDEGLKYFDELFKNRYIQVREDHYTCLIDLCGRAGRLKEAFNIIEGLGKEASLSVWGALLAGCNMHGNTDIGKLVADKVLKIEPENAGTYSLLSNMYASVGKWKEAANVRMKMKDKGLKKQPGCSWIEVGNTVQVFVVGDKSHSQSEDLGYLLLDLHTKMKKAEDMPDDDLLVDVEI >CAK8566567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436391941:436392897:1 gene:gene-LATHSAT_LOCUS19688 transcript:rna-LATHSAT_LOCUS19688 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFAVKTLKQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVRIALKPLTLLSLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPIYFDVSPNFNLSLSDKNLLDVMQLTVHTNGYNFKPESEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPKPILNRDIDQIIQTTEGDLEINFTSKRITRTPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVSHGIYQKPHTPRVESPTQSDMDFQL >CAK8530801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50871754:50872395:-1 gene:gene-LATHSAT_LOCUS641 transcript:rna-LATHSAT_LOCUS641 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKAMVKWNRDGDLNLKYYHKLIKGRFRRKYIGMVEAENGMVDLVENVKEVVRSFNDKFTKPESNKLLLEGCSFKTLYVAEAEHFEAPFIEDEIKPSVWSCDGAKLWGPDDFNFVFIKKCWFILKEDITLFVHDFHRHAYLPKVVTSSLLTLILKVAHPINLGEYRLICLVGSLYKILAKLLAARLKRVIDGLISHCQTIFIHGMKLLDRV >CAK8573993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652713547:652716241:-1 gene:gene-LATHSAT_LOCUS26386 transcript:rna-LATHSAT_LOCUS26386 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSFLSFARFWTFFPGGRQIDPTAHTIKSHGATLARNHIHDWLILVLLILIEVILNVIHPFRRFVGRDMMEDLMYPMKENTVPIWAVPLYAVLLPISVFLFVYMRRRDVYDLHHSVLGLLFAVLITGVLTDSIKDAVGRPRPDFFWRCFPDGIQVYDALGGVICHGKASDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKVKVFDRKGHVAKLCIVFFPLLAACLVGISRVDDYWHHWQDVFAGGLLGLFVATFCYSQFFPPPYNDDGWGPYAYFVAMEESRGNANVNRESPAVQAMDDRTVVTRVPRRNGDAVTPFSYLSPTLEAMEMGGTQVKS >CAK8563368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597655087:597656103:-1 gene:gene-LATHSAT_LOCUS16788 transcript:rna-LATHSAT_LOCUS16788 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKERSLSQDCSRTSPYPSSSSRVRRSTSKTPLESEENIKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCNTSYRHSNCLDQFCKSFAEPSPPTIPLVESEISNSDSPQDQPQDSTEAHIVDVQEETSEGFVTMQSLSCEDETKSKLVCPLCRGQIKEWKVMDGARHFMNEKSRSCSCESCNFTGTYTDLRKHARVDHPLERPSAVDPERQRNWRRLERQRDLGDLLSTLQNSFGENRVDDGLGLAPIDDGGLLAVFFLILQPSSVSRGTTGTRLQMRIRRPSRLWGENYEAESGSATRDRDDTTNESSDGGSDNRRRRVRRRVQTPDRQP >CAK8540909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31170650:31172084:1 gene:gene-LATHSAT_LOCUS9867 transcript:rna-LATHSAT_LOCUS9867 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLSQVIGTSTNHNPHMAQPTSTTTINKESQPLVDQEAKKKPHYRGVRQRPWGKWAAEIRDPIKAARVWLGTFDTAEDAALAYDKAALKFKGAKAKLNFPERVFQCNNNNNSSGSVDNQQVFPNLFQYAQILSSDAEFPYYASHLFNQDQQGDDVRRPPGQ >CAK8576859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:520671819:520675276:-1 gene:gene-LATHSAT_LOCUS29022 transcript:rna-LATHSAT_LOCUS29022 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRNSSISPLRNHDYDVFVSFRGEDTRNNFTDFLFQALQTQGIFAFRDSTNLPKGESIAPGLLHAIQHSQIFVVVFSMNYASSTWCLQELDKICECVQVSGKHVLPVFYDVDPSEVRHQKGSYGEAFSKHEHRLQHDSQMVSRWREALTKVANLSGWDLRHKPQSAAIKEIVQKIIHILDCKSSCVSNDLVGIYSPIQELEKLLLFDSVDDVRAIGICGMGGIGKTTLATVLYDRISQQFAACCFIDDVSKIYRLHDGPLGVQKQILDQTLGQEHHQICNHYNATNLIRRRLCRQRTLVILDNVDHIAQLEKIAVHREWLGAGSRIIIISRDTHILKQYGVDAVYKVPLLNQTDSSQLFSRKAFKLDHIMSSYDKLAFNILRYANGLPLAIKVFGSFLFGRDISEWKSALDSLRENPDKDVMDVLRLSFDGLRETEKEIFLHIACFFNRNFEKYVKNVLNCCGFHVDIGLGVLIDKSFINIKDEVIVMHVLLEELGKKIVQENSSKEPRKWTRLWFGEQLYDVISNNMEKKVKAIVFDDYGYEDIDAAIFKDFSNLRLLIFKHVNVSGSLNYLSNELRYIEWRRYPFMYLPSSFQPNQLVALILKYSSIKQLWEEKKYLPKLRILNLSNSTNLIKMPDFGEFPNLERLNLKGCIKLVQLDTSIGLLRKIVYLNLKNCKSLVNIPNNIFGLSSLLVLKMPGCSRSCLKEFNNTRHLDISETASHSHSLLPSPTTKTMVFPYFLSLYCLLEVDISYCGLSEVPEAIGCLRCLEILELGGNNFVTLPSLRELSKLVILNLENCKCLESLPELPFPTTMEQDFRKNRYWRGTGLFIFNCPRISNKERCSRMTILWMTQFIQVNKEYHALSDVGIVIPGSEIPSWFNNQSVGSSIPVSPFMQDKGNNVVGILCCTVFSLAPYPPTIMTRSSEWEPRVHITLYAPLRTTKYLPVIADGDLFTVKLNHIWLIYFPWEPSYNDLYDGFLVDGGLHVVVKKCGYRWVYEQDLQEFNSTTILALKRKFWEIQDEAQPHPRLHIHKMK >CAK8577240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546811249:546811527:-1 gene:gene-LATHSAT_LOCUS29365 transcript:rna-LATHSAT_LOCUS29365 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKHTIYACIILHNMIVEDERHTYGGNFDYSYDNVDINNSTTETSSGPHLNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8577241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546811249:546811479:-1 gene:gene-LATHSAT_LOCUS29365 transcript:rna-LATHSAT_LOCUS29365-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETSSGPHLNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8535203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824378221:824378592:-1 gene:gene-LATHSAT_LOCUS4676 transcript:rna-LATHSAT_LOCUS4676 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGAYSSLLSGGISGRTGPHSLPLARIKKIMKNSSEDVKMISGVAPIVFSKACELFIEEITRRSWIMAIDGKRRTLNKEDVASAVIATDVFDFLIALVSDSETALVGCDETDDTVMQMENV >CAK8530404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19261230:19262316:-1 gene:gene-LATHSAT_LOCUS271 transcript:rna-LATHSAT_LOCUS271 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAVDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMSPPPEKIKTKGGVKKKEKKPVGYDIYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSLSSQKKSQPSQASKKLKLSQFSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPVVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVISNRYNVVLVCLGIECWTFFLMATSFSPNVAIYCIGFVNKNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8535637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871190829:871192298:-1 gene:gene-LATHSAT_LOCUS5079 transcript:rna-LATHSAT_LOCUS5079 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNDSSYSSIPVNSSSYLELQPPNDSSISFQRLSFDDGGGFLRSKVVDEDDEDDTFEIDVDNYPLVVGSTPNHGSGVPGAVFNLTTTIIGAGLMALPATMKVLGVFLGLLLIVLMGILSEISVELLIRFSVLCKASSYGEVVQHALGRPARILSEICIILNNAGVLVVYLIIMGDVMSGSVHHLGVFDQLMGNGVWDQRKLVILVVMVIFLAPLCSLDKIDSLSLTSAASVALAVMFVVVAFVVASIKLVEGKIDAPRMVPDLSSKKAILDLLVVIPIMTNAYVCHFNVQPIYNELEGRSPQKMNRVGRFTTILCILVYSATALSGYLLFGEDTESDVLTNFDKDLGIRFSSALNYIVRVGYILHLILVFPVIHFSLRQTVDTLIFEGSPPLTESKKRSLGLTLVLLILIYIGCTMIPNIWTAFKFTGATTAVSLGFIFPPLVAIRLSHKGDLSHVEMSLSWLMLVLAVIVSIVGVVSNIYSLESKS >CAK8534129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697623278:697625513:1 gene:gene-LATHSAT_LOCUS3697 transcript:rna-LATHSAT_LOCUS3697 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSFDDSNDHDQDQDHPNDKRMKPNLASVIEKAVKMQNMPNLLAALEPFLTRVVSEEVDKAIRKSLPCSINRSPSLRIQAPNDQQSTLQLSFNKKVPLKTFTGSRILDIEGNPMNIILVEKTNNNQLVPTSLPYPIKLEIVVVDGDFSLEEKENWTSEEFNGKIVKERSGKRPLLAGEMNLTMRDGIAAIGDIEFTDNSSWRRSRKFRIAVKVIHHGNNQSVRIQEAMTEAFVVKDHRGELYKKHYPPMLGDDLWRLEKIGKDGVFHKRMNSEGIKTVQDFLKLVVIDTHKLRKILGVGMSDKMWEVTLKHAMTCEMGSKIYIYREPQLVIYLNPICKLIKANINGHEFSSRGLSQINKSYIDKLVKEAYTKWDKLEEIDGVLNDNITLLTQGDQIVNHYPNNNQAPVVTTTHHQNIASYEPSSKAQMGAVSEWSLNQGFATTSFANDFSYGFSGLQSDGEMTPSGSGLSDIDGVTRHL >CAK8541833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:411679224:411703176:-1 gene:gene-LATHSAT_LOCUS10727 transcript:rna-LATHSAT_LOCUS10727 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVCAFLLVLVLQVLMCCEGCWKQEREALISLNSHVENAIYPWVHNKTDCCEWKGVECNTTTGRVTKLTLHEYPFVRCQLNYSDFIIFKDLKTLDLSDINISNCTRTDQEGLKYLEVLDLGHNAFHNAKSFLSCLDGLSSLKSLSLAASENPSLQVFQTVLETISSKLLHLEVIDISYNYLTNEILPSLKGFTSLKELYLSDIRLDSDLHIQGLCAILKNLEIIDISSNNFNDTDIGSALADLSTLKSLNLQSNQITWKSIQNISKLTSLEILDLSWNSLNLWPPENNGFAWPTNLQVLGLSFNNLSNNIISSLNGLSSLNSLDLSYNNLKGSLDVSGLLTLSSLKILNLRLNKLVDFVFPKGLKNPSRLDTLALDGNMINGSNLHKSLLAFPLIRNLTLTATEFKGTTRAGGFHVLSNLEVLALDESSNLKNEFFKSIGDLPSLKVFSVSGCSINGTLPSGDWSKLKKLEELDLSSNKFVGKLPSSFANMTSLRTLKLRNNYFIGNIGHNLASLASLEYLNFEENQFEFPISFAPFSNHSTLKFIYGNENKVILDSHSSLKTWVPKFQLQVLQLSSTAETNSIPLPNFLLYQYSLTDLDFTSCKLNGEFPNWLVENNTKLGNLTLRNCSFIGDFQLPCRPRLNMARIDVSDNNLTGQMLSNNISSIFPNLIYLNMSGNAIHGSIPYELSHLSSLDVFDLSDNQLSGEIPYNLSIVETELTFLGFSNNNLHGFIPPMLLLGSYFLEYLLLDGNSLSGKIPFNCSDSNEFLCLDISYNEFVGNIPSQIINSTVLIELSMSNNNFEGSIPSVYPEYGRLTFLDLSQNSLVGCVPSSVMFSVSFVHLSNNKLSCLPMVGSKSNSPLVTLDISNNEIKDGIHGLIHDLLSFTELEILLIKGNHFTGNIPKEICRLTSLHILDISYNNFVGEIPSCFGKMPFENKNPEESRDQFNSIYSSHGYVYNRLRKEKEKFTSKNRLETYTTSILIYMSGIDLSHNKLNGSIPSELGNLTRIRALNLSNNFFSGKIPASFSNLVQVESLDLSFNMLSGQIPPQLSRLTSLEVFSVAHNNLSGTTPERKGQFITFDESSYEGNEFLCGPPLPKSCNPATLPNGLNKDGDNDSWVDMYVFRVSFVVAYTSVLLLIAIVLFINPYWRQAWFYYIGLVSMNCFYFFKDNLCFF >CAK8532789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516599847:516601305:1 gene:gene-LATHSAT_LOCUS2460 transcript:rna-LATHSAT_LOCUS2460 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRENQAPHILVLPYPQQGHINPMLQFAKRLIQNGAKVTLVNTISIWNQINDNIDLNSIEIESISDGYDNGGPSSAESMDAYKDTFWKVGPKTLSQLLDKLQSSNKPVDCVVYDAFLHWTFDVSKSFGIPVAVFLTQACSVNSINFHAFMKWIELPISKSEIVLPGLPKLDASDLPPFLNQYGTYPRSFDILTSQFLNIDQADWVFVNTFYDLEPKVVDWLVKKWRLKTIGPCIPSMFLDKRLQNDKDYGISIFGPNSEACIKWLDNKPKDSVVYVSFGSLSDLSEDQTKEIAYGLRDSGVYFLWVIRDSEKHKISKEFMESSFEKGLIVNWCPQLQVLTHEGVGCFVTHCGWNSTLEALSVGVPVIAMPLWTDQITNAKFIVDVWKIGVRAVKDEKEVVRRETIKDCIKIIMETEKGNEIKKNAIKWKSLAKNSVDKGGSSDKNIVEFVKGLTLHQTT >CAK8575650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:233373704:233376501:1 gene:gene-LATHSAT_LOCUS27893 transcript:rna-LATHSAT_LOCUS27893 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRTVFSRIQKMDPENASKIMGVLLIQDHGEKEMIRLAFGPESLIHSLIFKARKELGLPCSNNSPPTPSTPLSPSPFLSRQNSSSSRLTSAFNLPPSLTIPNPSSSSSSSSSASWATISDFHNHDDSMISPNNMALPSSATSSPFYPIDDFQLQNQLSFLNDGSDSITLFPSYGWGGNSLHRRSCSVNDACLGSEDPNSGLGWKPCLYYARGYCKNGTSCRFLHGELGDADADVIVGSPNKVEMMDHCHELLRSKSSHPQRLATAAASHLMATNSFPYSSKCMNFLLQQQQNDTQRAAAAALMMSEELHKFGRSRLERNDFSLNSPTGMINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPGTVKLILSKGNPHFVCDARVLVKPYKEKGKIPDKKQMQQQQVDRDFSPCRTPTGLDARDQYDLQLGGRMFYNTQDMLWRRKLEEQADLQQAALELQSRRLMGLQLLDIKKQHQRALSTGSPIPSPTQSPNMFNQTLVLPSFHRSSDSSEENGSSFAPAITTTTSEITGQHLVNGYVGKETVVNSEGKQSSSQDEELDLQECLEHNLPDSPFASPTKAARVDLVPPFSNGPYEAIDSDPSANSKFGTSSKLLSTSASPLDNVTSFKSYNNCQLPRFSSGHGTIGMFAGTGGPIGI >CAK8544852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709096193:709096426:-1 gene:gene-LATHSAT_LOCUS13499 transcript:rna-LATHSAT_LOCUS13499 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIAPKVVNGMIEIDIEQEDIKTEIRFWDNALILYVVGGDLSMNMVKNFMQRMWNFVKIPDLYYHDDEYFLLRFNS >CAK8542484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519733063:519733437:1 gene:gene-LATHSAT_LOCUS11323 transcript:rna-LATHSAT_LOCUS11323 gene_biotype:protein_coding transcript_biotype:protein_coding MSRINRFLISESLYHTWQECRQWSLEKELFDHRLIQLCDKKENWGDKPFCMLNYWREINGYHKFVKEQWSNLNVEGWGMFVLKEKFKMIIGSLKEWHKKNTQNLDSRIKDIKLEINNLEAKGEE >CAK8570635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:77883591:77884139:-1 gene:gene-LATHSAT_LOCUS23371 transcript:rna-LATHSAT_LOCUS23371 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKLSKKLKPAKKAWKNLSNNFQSKLHKLNIQKAFKTTLQHLLSLFHSITHLITSKTTHRRSLVSSKSLYSPSTSYYHFQHKNFAPIPIYNKPSSSSSSIRHAQENTSREIEKVHGDDINNSMNNEMDTIEDAWKAVVAKSPMMQVDQKAEEFIYKFREDMRLQKEKSLLEFHERLARST >CAK8572210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521159764:521160402:-1 gene:gene-LATHSAT_LOCUS24800 transcript:rna-LATHSAT_LOCUS24800 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIQPCDVGIIRAFKMHYRRRFYRKILEGYEVGQSAQGKINVLDAINLAIPAWTIDVRKETIANCFRHCKIRSASDVARNLDESTFDEETQDFETMINQCGYRNKMDIDNLMNYPGENEACSEVQSLQDIVDTIIENNAEDDGEDDTMSLEPVTRKEALMAANTLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKEKQTTIESYFNRV >CAK8568757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639311776:639321338:1 gene:gene-LATHSAT_LOCUS21687 transcript:rna-LATHSAT_LOCUS21687 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLKLQQQQQALMQQALLQQQQMYHHPGMLAAAAMTQMEPVPSGNLPPGFDASACRSVYVGNIHVNVTDKLLAEVFQSAGPLAGCKLIRKEKSSYGFVDYHDRASAALAIMTLHGRQLYGQALKVNWAYANSSREDTSGHFNVFVGDLSPEVTDATLFACFSVYTTCSDARVMWDHKTGRSKGYGFVSFRDHQDAQTAINDMTGKWLGNRQIRCNWATKGAGGSSNEEKINDSQNAVVLTNGSSDGGQDNSNEDAPENNPSYTTVYVGNLPHDVTQAELHCQFHALGAGVLEEVRVQRDKGFGFVRYNTHEEAALAIQMANGRPVRGKTMKCSWGSKPTPPGTASNPLPPPAAQPYQILPTAGMNQGYSPAELLAYQRQLALSQAAVSGLSGQALLQMSGQHGLAPASMGINSAASQAMYDGYTGNSSRQQLMYYR >CAK8539977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533563959:533568625:1 gene:gene-LATHSAT_LOCUS9022 transcript:rna-LATHSAT_LOCUS9022-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRPRRRCEGTAMGAIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPNLYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYSTSLIGGPATLATFVAVYALFGPTYPGIFDKDRCIYTLFYPGLSFAFPIPGQFTDCCHDGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPTGSIYMEEVHVKLGEELYFTVGSQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTICGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYMKCNFVIYCSDAGGGSFQDVNNSKQQRVITPSTKWEQVKEILGDCGRAAIQTQGSASNPFGSTLVYGYQNIAFEVMKNGYIATITLFQS >CAK8539976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533563959:533568625:1 gene:gene-LATHSAT_LOCUS9022 transcript:rna-LATHSAT_LOCUS9022 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRPRRRCEGTAMGAIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPNLYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYSTSLIGGPATLATFVAVYALFGPTYPGIFDKDRCIYTLFYPGLSFAFPIPGQFTDCCHDGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPTGSIYMEEVHVKLGEELYFTVGSQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTICGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYMKCNFVIYCSDAGGGSFQDVNNSKQQRVITPSTKWEQVKEILGDCGRAAIQTQGSASNPFGSTLVYGYQNIAFEQVMKNGYIATITLFQS >CAK8573157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589955545:589958551:1 gene:gene-LATHSAT_LOCUS25636 transcript:rna-LATHSAT_LOCUS25636 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWKKAKVALGLNLCMFVPRTLHDDFPPSTVVSDRLSDAALLSPANWEKGSSRPTTPVPSFHGLRLSKSSSKSSKQTCAICLTKMKQGSGQAIFTAECSHSFHFHCIASNVKHGNQICPVCRAKWKEIPLSGSSLEPIQGRVTPSPINWPQNDALMAVVHRLPLPLPHPRRDLNRRHIVPLYQASEPGVFDDDESLNHQHAISERSICSKSTEDTDAVRAMVIKTYPEVSSVPRFDTCANFTVSVHLKATAAVAASTRRQNLSRNQASLTQISQTPRTPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGTNDRLSVIAFSSTARRLFPLCKMTDSGRQQALQAVNSLVANGGTNIAEGLRKGAKIMEDRKEKNPVANIILLSDGQDNYTVGSSGNDQPQPNYHLLLPTSISGRDNSGFQIPVHAFGFGADHDASSMHTISEISGGTFSFIETEAVLQDAFAQCIGGLLSVVIQELQVAIECVHPDLGLVSLKAGSYPSRLMADGRKGFIDVGDLYADEERDFLVSVNIPATSSSETSLIKVKCVYKDPLSQETATLESDEVKIERPEVAFGQVVMSLEVDRQRNRLQAADAMAQARTAAERGDLTEAVLILENCRKILSETVSAKAHDRLCVALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDSSSLVQAYQTPSMVEMLTRSQAMLLGSPSGQRLLQPLLSYRSQPSPR >CAK8569280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690952218:690955329:-1 gene:gene-LATHSAT_LOCUS22154 transcript:rna-LATHSAT_LOCUS22154 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDADDAVRRRNNAVKDYRRKLLNHKELDSRIRSVRDNLRNAKKDFNKTEDDLKSFQSVGQIVGEVLRPLDDQRMIVKASSGPRYVVGCRSKVDKEKLASGTRVVLDMTTLTIMRILPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIEANFLKVVSSAIIDKYIGESSRLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKIIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLTEAKKLEASAHYNADFGKD >CAK8538977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500790540:500794580:1 gene:gene-LATHSAT_LOCUS8120 transcript:rna-LATHSAT_LOCUS8120 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWTFLLFLIILLKSDVACSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARTFIVGEPKGNRLVFVNLDACMGSQLVTIKVLERLKARYGDIYTENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGEILDAGVNRSPSGYLNNPAEERSKYKYNVDKEMSLLKFVDDEWGPVGSFNWFATHGTSMSRTNSLVSGDNKGAAARFMEDWFERKGSVRKDSVGFEDDSLPRRISNIIPSLNNNHHELLELAASFQSPPGRPATKTSSVARRVRGVLRQDGKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGKGPGYPDEFESTRIIGERQFKKAVELFNGASEQIKGKVDFRHVYLDFSKLEVNVSIAGASKIVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDQGNPFWKLVRNVLKTPDKEQIDCQYPKPILLDTGEMKLPYDWAPSILPLQILRIGQFVILSVPGEFTTMAGRRLRDAVKTVLSGDKSFGSNVHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLFGPHTLTAYIQEFKKLAHALISGQPVESGPQPPDLLNKQIGLLTPVVMDGTPLGVSFGDCGADVPKNSTFKRGDTVSVTFWSACPRNDLMTEGTFSLVEYLQGKDSWVPAYDDDDFCLRFKWSRPFKLSTHSKATIEWRIPGDVAPGVYRIKHFGAAKGLLGSTRHFTGSSSAFVVTH >CAK8532655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:356722171:356722635:1 gene:gene-LATHSAT_LOCUS2338 transcript:rna-LATHSAT_LOCUS2338 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPLPFEDHAIPAVDDEEDYTGGDDTRDELEEETHVDPMNASASANHDGVVLPITRTGVLTLSFEGEVHVFPAVTPQKVQVVLLLLGGRDTQAGMPTDELPFDQSYRGMRDITRRLNLSRRIASLVRFHSKHQTTRSDNNVDITRSLFASYN >CAK8530619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35985930:35987306:1 gene:gene-LATHSAT_LOCUS471 transcript:rna-LATHSAT_LOCUS471 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFHVAMYPWFALGHLTSYLHISNKLAERGHRISFLMPRNTISKLEHFNLHTDRISFIPITIPDVDGLPRGSETTADLPFSLHSLLMTAMDLTEPIIEESLRELRPHMVFFDFTYWLPALASRFGIKALHYCTISPATVGYLISPERKLHEKPLTEEDLLDPPPGFPPSSIKLQLHEARGLAASTLKDYGKDISFMERQLISLTSCDAIIFKTSREMEGPYCDYLEKHMRKQVFLAGPVLPDPPISTLEEKWVTWLGGFKPKTVIFCAFGSECILKSNQFKELLLGFELTRMPFLAALKPPIGAETMKLALPEGFSERIKGRGVVEGDWVQQQLILSHPSVGCFVTHCGSGSLTEAMVNDCQLVLLPHAGDQFINARIMSGDLKVGIEVEKSEEDGLFTREAVCKAVRTVIDGESELGHIVRKNHAQWREFLLSQGLENSYVDDLVQKLHSLLKS >CAK8533657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646496447:646498130:-1 gene:gene-LATHSAT_LOCUS3266 transcript:rna-LATHSAT_LOCUS3266 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSDFPTSSAISLAFFSIFFFFYLYYRSSKVSNTKEAPIVQGAWPIIGHLPLLRASKGIPHKILGQLATTYGPLFSIKLGSKRALVLSNWEMAKECFTKNDLAISSRPILESTQHMAYNGAMFTLAPYGPFWRQVRKITTLEILSHRRLGQLQHFRVMEVRRSIKELYNGWCSKENKPLDYVLVEMKQWFGQLSSNIVLPMLIGKRYFGATSVIDKEKAQKCIQTLNEMLHLVGVFTVGDAIPFLKRFDFGGHVKAMKKASKEMDKILRELLEEHRNDNKTLSEKDDVDPDHQDFMDVMLSLLDGTTVEGFDSDTTIKGTILALIAGGVDTTSATLTWAICLLLKNPHVMKKAKQELDIQVGKERCVQVSDIDNLVYIQSIVKETLRLYPPVPLSVPREFSEDCNLGGNVIKKGTRLILNLWKIHTDPKVWLDPLEFKPERFLTTHKDIDVKGHNFELLPFGSGRRICPGISLGLQMVNLTLASFLHSFEISNPSSEPIDMTEAFGVTNTKANPLEIHIKPCLSSNYYENM >CAK8566865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467939959:467941953:-1 gene:gene-LATHSAT_LOCUS19966 transcript:rna-LATHSAT_LOCUS19966 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVVSYPQKPHVVCVPFPAQGHVNPFMQLAKILRSIGFHITFVNTEFNHRRLISSLGENFVKGEPDFQFETIPDGLPESDKDSTQNIAALCDGTRKHCYAPLKELVKKLNSSANVPQVTSIMYDGLMGFARKVAKDLGLADQQFWTASACGLLGYLQFDELVKRNILPFKDESYITDGSLDKTLEWIEGMKDIRMRDLPSFVRTTTLDDISFTCFGFESQTCMKLSSSVIINTAHELEKEVLDYLMAINPNIYNIGPLEFLGNHFPGRENGFKIHGSNLWKNDVTCLKWLDQWEPNSVIYVNYGSITVMSEKHLHEFAWGLANSKLPFLWIKRPDLVKGKGKSTPLPQEFLDEVKDRGYITSWCPQSEVLGHSSVGAFLTHCGWNSSLESICGGKPMIGWPFFAEQQTNCRFICNTWGIGMDIKDDVKREEVTELVVEMIKGEKGKEMREKCQEWKKKVVDAADPGGSSYDDFYRLLKEVFHYDVV >CAK8531409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107480514:107480990:-1 gene:gene-LATHSAT_LOCUS1203 transcript:rna-LATHSAT_LOCUS1203 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLSGRRGLTTNFEEGVKGFITWAFAQECCRREEGVRCPCLKCECRPIISDPEEVERHLKRNGGFIKNYWVWTYNGEQLPSNVYAETTNTHTSSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEMVGSTKILPIVERNEYTVV >CAK8530979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67460807:67463616:-1 gene:gene-LATHSAT_LOCUS801 transcript:rna-LATHSAT_LOCUS801 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPREPPQPPSSSNGDHKSDRKQIDKKESHSFKNRSRSRPGFSDSFSSIDLAGLEIEEGSQSEYSPRSQESNTPCSRASTSDSEGGLRGNLNNNQWRGFFKLLKKGSQMPFQTFHPLKSVPKLTRRKSKRIREDLIPSLNSPALQSSFDAEFCCFKSSWKNFSLAEILAATDDFSHDNLIGEGGYAEVYLGKLEDGNFVAIKRLTRGCQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMFLVLQLSPHGSLSSILYGPREKLNWNLRFKIILGTAEGLRYLHEGCQRRIIHKDIKASNILLSEDFEPQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQKSLVMWAKPLLSSNNIKELVDPILGDAYDEEQMKLVILNASMCIDQSSIQRPQMSQVLQILRGEEESLKLLKERQKSKLHRTYSEELCDAEDYNSTKFLSDRDRHMETILGTSNPN >CAK8575203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52047868:52048862:1 gene:gene-LATHSAT_LOCUS27487 transcript:rna-LATHSAT_LOCUS27487 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRVQSSAANHHNSIIGPQYRVPHYLDLSIMRKVTTLTDNFTVTDTNGKVFFNLDSSLISLHDHRLLLDATGKSVIMTLRRKVMKGHDRWEAFRGESTEMKDLIFSVKRSLMFQTKIKLDVFLAGNMKEDISDFKVKGSWFERSCVVYAGDSHNIIAQMHKKDIVQSAEYGKDNLMVRVYPNVDYAFIVGVILILDEINQDKIRQQQ >CAK8530343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15765637:15768084:-1 gene:gene-LATHSAT_LOCUS213 transcript:rna-LATHSAT_LOCUS213 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVRTELDEVKKELEKFRAECRVKTQYIENLKNDRDERFQEIMNLAEKRAGELDLKSEEIYELKRINEDLKSSLNEKEKYIVHFGLENRKIQERLTERVLKLEGSNSELVSSLDEVNARNSYLEQNVSASSEEILCLKSLLSATEKKYIEAEERAMRAKTLGLREDVIMQLQEQNVSVNDKMKWRNEQFKHLEEAHKQLQDKYRLSEEEWEKERSLLLDELSSLQISLDSQTRALEGVQSRLEMCNHALAREESKRKLLEAEISDFKTSLEDVYGQCEEKKSEIQQLTIMRDHEVAELRNSLGEKEALVKELKRKIVYLEQDNQELGDSLKELREAQIRYAGGNSMASKLRNKLRKLEDVHKNCSSTLKSRESQWNDKITKMEVDIVGYKSTLNNKEQEIRELMMELEKCCYANEENHIELLIFKSVLAEGYSNSFGTETDKVVCVEVNEDMILNFTEQLRVKDNSLKSLAQHQFLLEEELQQQKKLLEESSAGQFILKEQLLQMENTLKHERKVAFEALERVKHELASKNDKLSRLNCEAQNWKSTAETLKVLYEEIQGSCKEMETSIQSQIENEQALKQENKNLLCIVKDQERETEDLHLQIGLLESCNAEKTKEVERCKKEKDELVKTVTEKDCCIKDLQKDITVACQKQESMKKQLEDAVLAHLDAQKALAQKEDHLSKFQTSLKDMELETKKLTKEKEEIEQIIADIKFEKGNLLQDITKLSTEREDILAQTEYIYDKLGDLLSIEGMQLTEELRNMLHTSIADGNETAMDSVVCDKLHGCAQDSTNKQIEENFDARLPLREVNSLHI >CAK8578433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629129244:629129555:1 gene:gene-LATHSAT_LOCUS30445 transcript:rna-LATHSAT_LOCUS30445 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPLIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTVMDVVYTLKRHGRTLYGFGG >CAK8532491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270357041:270357694:1 gene:gene-LATHSAT_LOCUS2190 transcript:rna-LATHSAT_LOCUS2190 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSPLTLSFLLFVFITNLSLAFSNEVLRQVLDVNGNPIFPGGQYYIRPAIRGPTGGGVRLGRTGNLTCPVTVLQDRREAKNGLPVKFVIPGISPGIIFTGTPIEILYTRKPSCAKSSKWLVFVDNVIQKACVGIGGPENYPGVQTLSGLFKIEKHESGFGYKLGFCIKGSPTCLDIGRFDNDEAGRRLNLTEHEAFQVQFVEAEANDAEFIKSVV >CAK8536466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944160273:944161297:-1 gene:gene-LATHSAT_LOCUS5833 transcript:rna-LATHSAT_LOCUS5833 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLEKETGFTMFNDDELKDVNGVERVGEYVEVMCGCTSHRYGDAVGRLRVFVNGYLEITCECTPGCQEDKLTPFAFEKHSGRETARNWKNNVWVIVNGEKVPLYKTVFLKYYNQALRSSNGSHKSQNGRPCHRDEFIRCTRCNKERRFRLRTKEDCRIHHDALADPNWNCSNLPYDKISCDNEEERGSRRVYRGCTRSAACKGCTSCVCFGCEICRFSDCTCQTCTDFTRNANVKA >CAK8560104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7634608:7635819:-1 gene:gene-LATHSAT_LOCUS13816 transcript:rna-LATHSAT_LOCUS13816 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSDSDSKSHDHAKTNINQNSNMISLPPLSEDLMFHILTFVPIICLINSARYVCKTWAAIISSFGFYEACERRARSKQGLYVEGIMSSSSSYFLEFKDDDMNGEFEMFDLVTPRKMGDIITSCDGILLLSNDSGQIFAVNPILKRWFRIPPFPNSMQFPNIVRQCTIARVPGTFEFKVFLMNVLEISGSTWYVFYVLRIGVDNSWKEIARREIFRNQLFYREQICSGEKGLYWITIDEVVAIDVGEEIIVRGYPLPSESNNELMLDGLDPTYLWMGNHISCIVNEEGDFYKPYKVFILDFDSGKWSLYHEMRPFDDVDAYSHQLQILYVTYRFWIKDHIILLVSLSENPIGNRDPAIKMRHFTYNVKTRDLTEVQGLDLSSTAVWLHRNTLASLPSTPIDV >CAK8564256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663109617:663115680:-1 gene:gene-LATHSAT_LOCUS17579 transcript:rna-LATHSAT_LOCUS17579 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLNSSRTLRSVRSSIHATLLSSSAFQQGFSAIFRSYGGFESSENCILQPQDEEISTLRQQFEVAKQSFLKIPNALKEMPKMNPEGIYVNKNLRLDRLQVYGFDYDYTLAHYSDHLQTLIYDLAKEYMVNELRYPDVCMSFTYDPTFPIRGLYYDKSKGCLMKLDFFGSIEPDGCYFGRRRLSQSEIRDVYGTRHIGRDHARSLVGLMDFFCFSEACLLADIVQYFVDAKLEFDASYIYEDVIRAIDHVHRSGLVHRGILSDPHKYLVKNGKILSFLKKLKEKGKKLFLLTNSPYYFVDGGMRFMLEDSMDCRDSWTELFDVVIAQANKPQFYTSEHPFRCYDTKTDNLTFTKVDKFLPDKIYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELENEIQKQNEDAYRTEQAKFHIIQELLGKLHATEANSVRTAACKSLLKELNEERQKARMKMKMMFNKSFGATFLTSTGQESAFAYNIHQYADVYTSKPENFLLHSPEAWLHVPFDVKIMPHHVKIPSSLFKTG >CAK8541229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92339968:92340828:1 gene:gene-LATHSAT_LOCUS10162 transcript:rna-LATHSAT_LOCUS10162 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQPRKRLIIKLKYLPGSRKHDSDSCGRDENKRRKIEDSVKPIVTCYWIDSNYRTKSSALSQPKNNDNVVEDKKMIKNQVPKTTALSQPEDNDNVVEDKKTIKNKVFKTRALSQPKDNDKKVIKNQVSNTIMPNNIVVENKNQVSKTEIAFNGRKESSRGEPMECVKRRQCWLILNRMMVDRDGWDLKDPPKIAMIDKSESKSKAIGLKDIERKLRLYATPDEFSSDIRLVFSNAMLMYPPRNHIYRIAKKFSENFEHKWKSLKDTWKLEDRKRSKTHKSTRY >CAK8541754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:385116595:385117894:1 gene:gene-LATHSAT_LOCUS10650 transcript:rna-LATHSAT_LOCUS10650 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLEDVNKIKTYSWGSATLAHLYRFLCNNSIANSGNWTGCGVLLQAWGWSRMTNLAPIQQNNVEFPFAKRWSSLGMNYDNCPHYSITQYINLIDHLGQDDFIWRSYLGLEAFHEVERQDSAVWSAKVPIINFTTVEMHNTDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDTVFPYEMKQSIQYMTWYRSVSIGFISHPRYLIDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSSQYHFQPPTQPHFQPSTQPHFQPPTQPYFQPPTQPYFQPPLTQSQQYEHTPNELTPITQTHSQSTQYHTYYMDTTNQINTPFQTPNQPIPTQSFTPIPPYDQAGYRHCLV >CAK8536001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897081352:897083926:1 gene:gene-LATHSAT_LOCUS5409 transcript:rna-LATHSAT_LOCUS5409 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNIEVEEDDRVPLRLRPKWSDVTPIPQDDGPSPVVPINYSEEFSEVMDYFRAVYFAKELSPRALSLTAEAIGLNAGNYTVWHFRRLLLESLKVDLHVEREFVERVASGNSKNYQIWHHRRWVAEKLGPEARNSELEFTKKILSVDAKHYHAWSHRQWVLQNLGGWEDELNYCSELLAEDIFNNSAWNQRYFVITRSPFLGGLKAMRESEVLYTVEAIISYPENESSWRYLRGLFKDEFTLYVNDAQVSSVCLKILKTKSNYLFALSTLLDLICLGYQPNKDFRDAIEALKTSDFDKQDSDIARTVCSILEQVDPIRVNYWVWRKSRLPQAA >CAK8538881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498720724:498725692:1 gene:gene-LATHSAT_LOCUS8030 transcript:rna-LATHSAT_LOCUS8030-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPRALSVTLALLLLHSLSLSLVSAQNKRSEWKTLTGSPPLVIAHGGFSGIYPDSSYAAYLLAVQTSVPDVAIWCDVQLTKDEVGLCLPDINLQNSTYISSFFQNKTVSYLVNGESVAGYFSVDYTFKELADVILTQGVFSRSSLPENNGYLINRVEDILPIVAPQTPGLWLNIQHDAFYTQHKLSMRSYVLSISRKVHVSYISSPETFASGILVPKGYIWPIDAVSLYLQPHTTLVSDAHKAGLEVYASDFANDIVTSFNYSYDPLAEYLQFIDNGDFSVDGVLTDFPITPSEAIDCFAHLGTNATRRDKTLIISKFGASGDYPACTNLAYNNAISDGADVIDCPVQISKDGIPFCLSSIDLLESTTVAQTGFSDLVTSIPKIKSGRGIFTFKLTWADIKGLTPSILNPFSKYTLFRNPEYKNDGTFLTLSDFLSLTKNHTSLSGVLIIVENAAYLAEKLGLSVVDAVIDALSKAGYDKPGSQKVYIQSTNSSVLLKFKEKTKYELVYKIDETVSDAANAAVEDIKTFASSVVINKDSVFPRSASFLTTFTNIVPKLQASNLSIFVETFSNEFVSQAWDYFSDSTVEINSFIQEAGINGVITDFPKTASRYSKNKCLNLGDKTPPYMQPVKAGDLYKTLDNRTLPPAQAPLPPLTKSEVVEAPLPAVAKIAPAASPAAGTKSPPKNAQPKVSVCFFLSSLAVFVASFLLL >CAK8538880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498720724:498725692:1 gene:gene-LATHSAT_LOCUS8030 transcript:rna-LATHSAT_LOCUS8030 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPRALSVTLALLLLHSLSLSLVSAQNKRSEWKTLTGSPPLVIAHGGFSGIYPDSSYAAYLLAVQTSVPDVAIWCDVQLTKDEVGLCLPDINLQNSTYISSFFQNKTVSYLVNGESVAGYFSVDYTFKELADVILTQGVFSRSSLPENNGYLINRVEDILPIVAPQTPGLWLNIQHDAFYTQHKLSMRSYVLSISRKVHVSYISSPEVGFLRSITTRFNPKITKLVFRFLDKSDTDPSTNLTYGSLLKNLTFIKTFASGILVPKGYIWPIDAVSLYLQPHTTLVSDAHKAGLEVYASDFANDIVTSFNYSYDPLAEYLQFIDNGDFSVDGVLTDFPITPSEAIDCFAHLGTNATRRDKTLIISKFGASGDYPACTNLAYNNAISDGADVIDCPVQISKDGIPFCLSSIDLLESTTVAQTGFSDLVTSIPKIKSGRGIFTFKLTWADIKGLTPSILNPFSKYTLFRNPEYKNDGTFLTLSDFLSLTKNHTSLSGVLIIVENAAYLAEKLGLSVVDAVIDALSKAGYDKPGSQKVYIQSTNSSVLLKFKEKTKYELVYKIDETVSDAANAAVEDIKTFASSVVINKDSVFPRSASFLTTFTNIVPKLQASNLSIFVETFSNEFVSQAWDYFSDSTVEINSFIQEAGINGVITDFPKTASRYSKNKCLNLGDKTPPYMQPVKAGDLYKTLDNRTLPPAQAPLPPLTKSEVVEAPLPAVAKIAPAASPAAGTKSPPKNAQPKVSVCFFLSSLAVFVASFLLL >CAK8541448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:174482891:174483067:-1 gene:gene-LATHSAT_LOCUS10368 transcript:rna-LATHSAT_LOCUS10368 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELLRNRNTLSPFRVYVAFDNVCRKLDGD >CAK8539395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511302527:511311036:1 gene:gene-LATHSAT_LOCUS8495 transcript:rna-LATHSAT_LOCUS8495 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSCSTVTIIFLVYFFLTIHVAGVQIISKSKLEKCEKNSNSDDLNCTTKMVVSMAVPSGSSGGEASIVAELVEVEENSSTKMQTLRIPPVITINKTAAYAVYELTYIRDVPYKPEEYYVQTRKCEPDAGANIVKICERLRDEDGHIIENTQPTCCPCGPQRRMPSSCGNFFDKMTKGKANTAHCVRFPGEWFHVFGIGRRTLGFSVRIQVKSGTKISEVVVGPESRTVTSDDKFLRVNLIGDFVGYTNIPNFEDFYLVVPRQGDPGQPHDLGRNISMWMLLERVRFTLDGIECNKIGVSYEAFNGQPNFCASPFWSCLHNQLWNFQEADLNRLSRNQVPLYGLEGRFQRINQHPNAGSFSFSIGITEVLNTNIVLELSANDVDYVYQRSPGKIISVSVPTFEALTQFGLATITTKNTGEVEASYSLTFNCSKEITLMEEQFLIMKPNEITSRSFKIYPNTDQASKYSCAAILKDSDYAEVDRAECQFTTTGTVLDNGTQGIPFQPSETGINGFFDSIERMWNKLWAGIIEFITGKNCRQKCSGFFDFKCHIQYVCLSWIMMFGLFLAIFPTVLVLLWLLHQKGLFDPLYDWWEDSFGADEQFIMNKHRVKINQAHHHIHDKKHHKPDLRHMNRRTPNRRKATYEHHHKHKHSERNSDHLHHVQKEMHKHRHNKHMNSLQHNDDNNPEQHHKHRKEQAPSTGLIKELRHDNIRHANHDKHKHVLVYDPGSE >CAK8541076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58717721:58727877:-1 gene:gene-LATHSAT_LOCUS10027 transcript:rna-LATHSAT_LOCUS10027 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVVVCDNGTGYLKCGFAGENFPTSVFPCVVGRPMLRYEESLTEQELKDIVVGEGCADLRHQLDISYPVTNGIVQNWDDMCHVWDHAFYNELKINPQDCKILLTDPPLNPSKNREIMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITAYLVDLLSRRGYAVNRTADFETVREIKEKLCYIGYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYLEEGIACLSRCGQA >CAK8543800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640337338:640338710:1 gene:gene-LATHSAT_LOCUS12534 transcript:rna-LATHSAT_LOCUS12534-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSELDAARAYDKAAIKCNGREAVTNFEASSYEGELGSHENEDSKQNLDLNLGIAPPANSDVQMMIMQQNGSGLQVHQSWDDMPVDKSTMDSGSRSVNTQPPYGFSIPSEHQPSFWNGTNYFPMSKERALENRMEAGPIPNWAWQLQSPYNNGSSLIPPNFSAAASSGFPSTAIIPSAADSSQLHFPNMAYLHPHFAPPMTNSSTIPPFYCRS >CAK8543799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640336270:640338710:1 gene:gene-LATHSAT_LOCUS12534 transcript:rna-LATHSAT_LOCUS12534 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNADSAFDNYTFPLSAAQMESSGSFDSSVVNAADTEDSCSYGETYAYNFAILNNNNGSVDNNTISGEFQHSGAGEAGVRTIQLFPANESGISPSDGRNWMSFSSDHHGAVPEQILVAPRPQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSELDAARAYDKAAIKCNGREAVTNFEASSYEGELGSHENEDSKQNLDLNLGIAPPANSDVQMMIMQQNGSGLQVHQSWDDMPVDKSTMDSGSRSVNTQPPYGFSIPSEHQPSFWNGTNYFPMSKERALENRMEAGPIPNWAWQLQSPYNNGSSLIPPNFSAAASSGFPSTAIIPSAADSSQLHFPNMAYLHPHFAPPMTNSSTIPPFYCRS >CAK8573135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:588712909:588714435:1 gene:gene-LATHSAT_LOCUS25617 transcript:rna-LATHSAT_LOCUS25617 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVATAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGSGLVENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKKQGV >CAK8579724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719147229:719147465:1 gene:gene-LATHSAT_LOCUS31648 transcript:rna-LATHSAT_LOCUS31648 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSHDILPYIGPKPPVEIHQFILVLFEQKGPIGLVEEPPSRVSFNTRYFASQLNLGLPVATVYFNSQREPQSKRR >CAK8540984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38937052:38939013:1 gene:gene-LATHSAT_LOCUS9938 transcript:rna-LATHSAT_LOCUS9938 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSSSSSSASYIHMVQHLIEKCLIFHMTKEECMEALSKHAKIKPVITSTVWNELEKENKEFFEGYAKSKSKDERMSEEETNQMLQKIISDSSKGSTND >CAK8577057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535041210:535042532:1 gene:gene-LATHSAT_LOCUS29199 transcript:rna-LATHSAT_LOCUS29199 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKVLASNSIKAPISSYHKIDLTPWDLQFLPSCINKKGLLYNHSLGDNQIQELKHSLSSALAIFPPFAGRLEITQHKDNTVSCCIICNNEGVLFIHAAAENTCVTDILESTYVPPIVHSFFPLNGVRNCEGTSNPLLAVQVTELVDGVFIGFTFNHVVADGKSMWHFINSWAEISRSHCHPHQISKVPTFERWFPKDIQLPIRFPFTMEPQDNPSDRLSFVLSHNEKRLMERIFHFTKDKIAQLKFEANTKIGSTNKISSLQALLTHLWCCVTRAKKFEPHEEVHSIFIIGVRPRFVPPLEEYYFGNAIISSVVTMKSGELLEGGLAKGALEINKKIASHSDISLKNQYESWLINPRLINIESMLYNNFLLIGSSPRFDVYDNDFGWGKPVATRTEKKINGYVSVYMGIEEGSVDLQVCLPYEILEAMGNDHEFMKYV >CAK8561801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386305953:386307576:-1 gene:gene-LATHSAT_LOCUS15357 transcript:rna-LATHSAT_LOCUS15357 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLNPLLSWNMWGSVGGRRKKENPSTNSEWNHGLVKENNEVPQPQPHRKVRRKRQDKREETRIDREFDGVYVASDDSCDWCFLSGSESDDSDWSIGWLEPLGSDFESSDNDHDHDDDESGGDSFAVLVPCYTPGCKEVEGTNNVLLSALKNLPNEFGSDGKNYMEQWLASLQGFGA >CAK8567913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556504970:556506043:1 gene:gene-LATHSAT_LOCUS20922 transcript:rna-LATHSAT_LOCUS20922 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRNVLHGFGVKNYIFMFGVVPMFIFGMYIHGQKITYFLRPLWEKPPKPFNLIRHYYNDNVTMENLCRLHGWGVRDSPRRVYDAVLFSNELEILTLRWKELYPYVAEFVILESNSTFTGIPKPLIFKKYREKFSFIEQRLTYGTIGGRFKKRENPFVEEAFQRVAMDHLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDEIPAILHLQLKNYLYSFEFHLDDHSWRASVHRYESGKTRYAHYRQSDNMLADAGWHCSFCFRRISDFIFKIKAYSHYDRVRFSHYLNPERIQKVICEGADLFDMLPEEYTFREIIGKMGPIPHSYSAVHLPAFLLENAEKYKFLLPGNCMRER >CAK8568686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:632224634:632229410:1 gene:gene-LATHSAT_LOCUS21621 transcript:rna-LATHSAT_LOCUS21621 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHYRPAGKKKEGNAAKFLTRTQALKQLQISLPLFRKLCILKGVTPREPKKKFKGNDKTYYHVKDISFLHHEPLLEIHRAIRVHERKIKKAEGKKNLERANRLREKTPKPKIDRIIRQRYPRFVDALGELDDCLTMVHLFAALPATESQKIDVERVHKCRRLAHEWQAFVSRTHKLRKTFVSVKGIYYQAEVEGQRITWLTPHSLQQVVSNDVDIPTMLNFLQIYEPLLCFINFHLYHSIKLKYPPILDPRLEALAADLYALSRYANANTRPAILNSEASQSVESKKLESKPIGAETENVNSELRLAQLQHQLPSNEPGALMHLVEKAAGEDEEEYDEETRQCKNLFQNLKIFLSREVPRESLLFVIPAFGGIVSWEGEGAPFVESDQGITHQIVDREAQGHRFLSREYVQPQWVFDCVNARIILPTENYLVGRIPPPHLSPFVDYDEEGAYVPEYAKTIKHLQAAARKEVLPLPGLEKDLEDPQNLLADGVIDRAAANHASKEKQKMMIHELRYQEDLKKELQGATYTSAGSTAREETSAGLIQTGESTNNGQANVDDGTEMSKLLMSRKKRKLLEAMLISNERNQTKNDVIAQRKKKIDEAQNQRN >CAK8577325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555449303:555452652:-1 gene:gene-LATHSAT_LOCUS29441 transcript:rna-LATHSAT_LOCUS29441 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVAETKPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKLGHEITISTDYSLKGDENTICMSYKKLAHDVKPGSVILCADGTISFTVLSCDKEQGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDIMVWGVPNKIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSARAALILVLTRGGTTAKLVAKYRPGTPILSVVVPELTTDTFDWSCSDESPARHSLIFRGLIPILSAASARASHAETTEDAIEFALQCAKTKGLCVNGDSVVVLHRVGTASIIKILTVK >CAK8575143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:38500193:38501712:1 gene:gene-LATHSAT_LOCUS27430 transcript:rna-LATHSAT_LOCUS27430 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGSCSGTLCINFNPCPATFNSFFDPLS >CAK8573142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589193722:589194960:1 gene:gene-LATHSAT_LOCUS25622 transcript:rna-LATHSAT_LOCUS25622 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSKKSTTVVQPTATNRNPRRTSTSSSNFPSSNSEVPITESRSYVYGGKDKEWSKTSSSSVSSHASLASLKHTLPENPLIYPFSEIATATANFSANRLSSNSFRCSLRDRDVVVFQRKFRRQIDLPELRDRLALICRSHHSSLIKLLGASVSGNCIYLVYEFVPGANLSDCLRNRRNPSFTSLSTWTSRMRIASDLAHGLDYVHNSSGSGSGFVHNHIKSSSIIVSEENFSAKICHFGTSELCGESVGDSSVTVSGQKLKKSGSRGVRFEGTRGYMAPEFLLTGVATQTTDVYAFGVVVLELLSGEEAVRFELEGNDGGYRRVSVVDTAMDAWKEHGGVRKWVDRRLRDSFPMDVAEKMIRVGLDCVTDDPKERPDMGRVSMEVSKLYLESKEWEEKLGTNFDFSVSLAPR >CAK8532803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:519747537:519748247:1 gene:gene-LATHSAT_LOCUS2472 transcript:rna-LATHSAT_LOCUS2472 gene_biotype:protein_coding transcript_biotype:protein_coding MIISWNVRGLNKSGKTREISSRLLDLNPAIIVLIETRVKRNKTQTIRDKLKLRGSYLDNYGKHENSRIWVYWDENKVDIEHVCITDQLIHYKICDNTGNVLNWLTAIYAQNQLDMRRKLWQDIETIHYQQHGQWMLIGDFNNVLKVEDITEGTMVNEKEYIDLTSMMSATELYEMESTGDYFTWSNKQGENAIHYRIDKVLGNVEWMQKNVDIVLTNMTPSVTDHAMLVLKEQENT >CAK8559955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2352466:2354317:-1 gene:gene-LATHSAT_LOCUS13684 transcript:rna-LATHSAT_LOCUS13684 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHMEALNGFRLCVISFLIFLTTLTQTLSSTAFHDTEYEDWESYVNIPNTILTYGNEMEEAEWQMVQKKGNQFVVNGRPFYVNGFNTYWMMVFAADESTRGKVSDVFKHASSVGMSVCRTWAFNDGQWRALQKSPSLYDEEVFKALDFVVSEAKKYRIRLILSLVNNWDSYGGKAQYVKWGNAAGLNLTSEDDFFSHPTLIGYYKDHVKTVLNRVNTFTNITYKEDPTIFAWELMNEPRCTSDSSGDKLQEWIQEMAFYVKSVDPKHLVEIGLEGFYGPSTPQRFQFNPNSFAQQVGTDFIRNHQVLGVDFASVHIYPDSWISQSVGDSHIPFVKSWMESHIDDAEKYLGMPVVFGEFGVSAKDPGYNSTYRDTLINTVYKTILNSTKKGGSGAGSLLWQFFPDGTDYMDDGYAIVLSKAPSTSSMISLQSTRLALFNSLCSERCHWGCNKKKVLQKVLYQEDEL >CAK8559956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2352466:2354305:-1 gene:gene-LATHSAT_LOCUS13684 transcript:rna-LATHSAT_LOCUS13684-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNGFRLCVISFLIFLTTLTQTLSSTAFHDTEYEDWESYVNIPNTILTYGNEMEEAEWQMVQKKGNQFVVNGRPFYVNGFNTYWMMVFAADESTRGKVSDVFKHASSVGMSVCRTWAFNDGQWRALQKSPSLYDEEVFKALDFVVSEAKKYRIRLILSLVNNWDSYGGKAQYVKWGNAAGLNLTSEDDFFSHPTLIGYYKDHVKTVLNRVNTFTNITYKEDPTIFAWELMNEPRCTSDSSGDKLQEWIQEMAFYVKSVDPKHLVEIGLEGFYGPSTPQRFQFNPNSFAQQVGTDFIRNHQVLGVDFASVHIYPDSWISQSVGDSHIPFVKSWMESHIDDAEKYLGMPVVFGEFGVSAKDPGYNSTYRDTLINTVYKTILNSTKKGGSGAGSLLWQFFPDGTDYMDDGYAIVLSKAPSTSSMISLQSTRLALFNSLCSERCHWGCNKKKVLQKVLYQEDEL >CAK8537892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444794571:444795896:-1 gene:gene-LATHSAT_LOCUS7142 transcript:rna-LATHSAT_LOCUS7142 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKIAFQKSPFLLFYLPDECWEHVFKFLIICSEGETENKHKLYFKSLSLVSKRFLSITNRIVFSITISDHSSRLLPSFFHRFPNLNSLHLHFGSSRLDSTIALTLRDRPTLKCLSIFNIELNDANGITSQYIDSLVTLKGLNILKFCYSHISDDLLYSITREVLPLKNFVLKNCIGYSYDGIYCLLSKCPEIQHLDLHQADFLRDHHIHQLSLFLGSLLSIKLSKCLKLTKLALSFLIRNCHLLSEITMEDIKMETAQNSDSLKDFDVNPQLKSLCLGHGAFILNKNIILFASIFPNLQHLDLSYCNSICEKGICQVLRTCSKVRHLNLGYFYKVRRLKMNCVVPHMEVLDLSGTKVDDRTLYQISKSCCGLLQLLMPNCRYVTIKGVMRVIKNCTHLKEIDLRGCHGVTTNGVISTLLSRPTLKERKLFLRNGCLFFQ >CAK8574343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676335544:676337001:1 gene:gene-LATHSAT_LOCUS26698 transcript:rna-LATHSAT_LOCUS26698 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTLKVLCFFSILYSVLQLSKLILKRRNQSCFMLAYECFKPKEETKLNTNTCAKIVLRNKNLGLEEYRFLLKTMVSSGIGENTYCPRNVLEGREASPTLKDTYEEIDEIMFDTLDSLFERTCFSPSEIDILVVNVSLFSPIPSLTARIINRYKMRQDVKVFNLAGMGCSASVVAIDLVQQLFKTYENSLGIVVSTEDLGSHWYCGKEKKMMLSNCLFRSGGCSMLFTNKTELKHKAILKLKHMERTQYGADDEAYSCCIQVEDEEGFAGFLLTKSLVKCAAQALTVNLQSMVPKILPLWELVRFFTVSLHNSIKKIQLENIFSAIFSHGAKTVKKPIFNVLGGGINLKTGIEHFCVHPGGRAVVDGVGKGLKLNEYDLEPARMALHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMKDLSDTNVWKDSIETYPPPSLNNPFKEKYDWIHDDYLGFVRLDTSRMKID >CAK8569093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670309947:670314201:-1 gene:gene-LATHSAT_LOCUS21983 transcript:rna-LATHSAT_LOCUS21983 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKRPIYALTKWLRRQPPKIKLFLAVLSGLIVLLFLRMVVDDHDNLFIAAELVHALGICLLIYKLAQEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDSATLGTTLWVIYMIRFKLKSSYMDDKDNLAIYYVVIPCAVLSLLIHPKTQHHTINQIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDSRGQLLTALGYGLWPCMVLVSEIVQTFILADFCYYYVKSLVGGQLVVRLPSGVV >CAK8567066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484342677:484351740:1 gene:gene-LATHSAT_LOCUS20153 transcript:rna-LATHSAT_LOCUS20153 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVRHKLRLFNSNFNSLFRLSSSSYLHSSSAPLNSPASSSTATNSFIAPWSIIQRRGNRVFASDIRVGNTIGKQGRIYEVLKVDHSQEGRGKATIKVELRDVLQGTKVTQRLGTNEDFEKAYVQEKTFMYMCTDQDGTVVLMDNDTLDQIEVSKDLFGKNSLYIKDEMKVKVQFYDDKPISASVPKRVICTVKEVIAATPRNKKVILDNGPTIEVPPHIVAGDAILVNTEDDCYIERAKA >CAK8579576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708685430:708688098:-1 gene:gene-LATHSAT_LOCUS31510 transcript:rna-LATHSAT_LOCUS31510 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPKEHVLLKLFDNVSTSFQLLFLLLFSISIFLLTFFTFTGRFPLIQRDQEYEYVFSDDEEVEEEEEDGDEERENYSCVDLCDKVERRGAHSSYSEEFITPRESFVEDLEEEVCYETLSIHNSPQVSDFENEETKAFREQFQSRNVVDSVSNYVQFETSPTSSMINLNLYKSNKNYKDDQVGVGIIKNKKVQETNHTRDERFFVFASKQLNSKKMIVDEEKDNDDDDSSCSSYECRSSIHGRDSGTDDEFSTSSRRSCPKWESYTLFENFDEENAFLDRISTQNKLHETESLRSIQVSPRSISERIANKFSSINKKPTDVGHNPYHELEAAYVAQICLTWEALSWNYINFRSKHASQSRHDLDVGCSAMIAQQFQQFQVLLQRYIENEPYEHGRRPEIFARMRLLAPKLLLVPEYHDAEENQMESDYHSKISSASFLKIMEGGINTFMNFLKADKEKSCEILTHYFRRNKRGMVDPTLLKLMKKVNQKKRVKVKDVSHRGKGLKKRKLKVEEEIEMLMAQIDLKVVSRVLRMEELSEEKLHWCEKKMSKVRVVEGKLCRDYSTPLFFPSN >CAK8577828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591864712:591864966:-1 gene:gene-LATHSAT_LOCUS29897 transcript:rna-LATHSAT_LOCUS29897-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGVIWEIAKSLFSCTKAQAAYVYKLQENLESLMKKWKDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQNFEEVFFI >CAK8577827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591861803:591864966:-1 gene:gene-LATHSAT_LOCUS29897 transcript:rna-LATHSAT_LOCUS29897 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGVIWEIAKSLFSCTKAQAAYVYKLQENLESLMKKWKDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQNFEEKMKDIPNSQEVQSNQCLNGYLPKNGVSSYKLGKTIVKRLDDINGLLVRAGNMQIALKQPPKPIDEMPSSETIGLDVMVQKVWNSLQDDTVAVIGLYGMGGAGKTTLMKRIHSELQTRDHSFDLVLWVVVSRDCDINKLMNDIGNKLGIEEGFWNRSATDQRVAKIYDRLKGKKFLLMLDDLWGKLELEAIGVPDPKKNNKSKVMFTTRSEDVCAKMQSQKKFKVELLSEKDAFDLFCNKVGDETLKCHTEIPKIAHEMAKECRGLPLALITVGSAMAGVKSFEAWMVAKNNLRSSHWTASDLEDKVFHILKFSYDKLPDAHKNCFLYCALYPEDFEIDINDLIDRWIAEEFLNKDDMSIYDMYNQGKSVIEKLILSCLLEERIRTNRSLYHRRNIRTVKMHDVIRDMALWLARDEDENKDKVVVQGKVFSMSKMDSKRLNAVERISVITDEDCNKNWNFPACPNLITVCLRIKHLFFSYNPTSSTNLQLLKRLRVLDISYTVFLQQISPEIGELINLEFLNISGTSVSSFPIEFKKLQNLRVFLMDDMRSYDAEVSPLAVIESLEQLKVFRYSTIHLYSRVVEREISLLEKLESLPNLEELSIELTSFTSVQRLFHSTKLRGCSRHLRLFWKEVDSVEMSSLLEPLSKMTHLECISLTAPYSLVDGSSVPGKCHLGKLQQVRIGACYSITHLTWLRYAPLLEYLVVYDCFSVEQIVKETKDDEDVGSEFKNDNIFSNLIQLELSKMPKLVSIHKRALAFPSLKRIRVTDCPNLRKLPFNSTFASKDNLVAIQGRIKWWDNLEWDDTIIQHLLRPKFQTWLRW >CAK8537700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421636938:421638169:-1 gene:gene-LATHSAT_LOCUS6964 transcript:rna-LATHSAT_LOCUS6964 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKVYIVYYSLYGHVDTMAREVYKGAASIEDVEATLWRVPEILSDQILEKMKAPPKPDDIPDIRPEQLVEADALIFGFPSRFGVMPSQLKAFFDATGELWASQALAGKPAGIFWSTGFYGGGQELSAWTAITQLAHHGMLFVPLGYTFGSGMFEMDEVKGGSAYGAGTFAGDGTRQPTELELQQACYQGKYIAEIAKKLKS >CAK8541035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46632810:46634812:-1 gene:gene-LATHSAT_LOCUS9987 transcript:rna-LATHSAT_LOCUS9987 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYEGVAIGIDLGTTYSCVGVWQELKDRVEIIHNDQGNRTTPSCVAFTNSQRLIGGAAKDQASSNPTNTVFDAKRLIGRKYSDSVVQNDILLWPFKVVSGDNDKPTILVSYQGKEKHLVPEEISAMILTQMREIAGAFLESPVKNAVITVPAYFNDSQRRATKDAGEIAGLNVMHIINEPTAAALAYGLQKRADCVGESTIFVFDLGGGTFDVSLLTIKNNVFEVKATGGDTHLGGEDFDNRMLNHFVKEFNRKNKVDMRGDSKALRRLRTVCERAKRTLSYDTVATIEIDALYDRIDFRSSITRARFEELNSDLFKKCEQIVESCLVDAKTDKSSVNDIVLVGGSSRIPKVKQLLQDIFKGKELCKSINPDEAVAYGAAVQAALLCEGFKNVPNLVLRDVTPLSLGTTIKGDIMDVVIGRNTSIPVKNTKPYVTTEDNQLSLLIDVYEGERIKATENNLLGFFTLSVPPAPRGHISINVCFAIDADGMLNVSAEEETSGNKQDVTITNENGRLSREEIERMIQEAEFFKAQDMKFKKKAKAINALDDYLYSVGKAMKDDCVSSKLNPVDKVKINSAMIKGKSMLEDSKQEDTFVFVDCLKELESVFESAMNKVNKSYSDEENDSDS >CAK8579701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717494519:717495265:1 gene:gene-LATHSAT_LOCUS31627 transcript:rna-LATHSAT_LOCUS31627 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAVAAVVILIGWAYMAIKPPPPKICGSINGPPVTSPRVKLDDGRHLAYREFGFPKEEVRYKIIVVHGFANSKDTHLPVSQELIDDRGIYFLYFDRAGYCESDPYPSRSVKSEPSLGLLKIHSTQAC >CAK8579307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690631963:690633024:-1 gene:gene-LATHSAT_LOCUS31262 transcript:rna-LATHSAT_LOCUS31262 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDLTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSIFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8537305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:218199678:218205693:-1 gene:gene-LATHSAT_LOCUS6604 transcript:rna-LATHSAT_LOCUS6604 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTYFPSTIYGINCKESFPLSFNGYSNLWYERFDKTSSCRACCDCCCALSTCKVHLKPSLLNGLSQPTLLQFSASRRFIFRGDDLYFSQVPYGLIRSSCELKCPTNHRNVYNRTRRSRIKEQCSCSASQKGRENFPSFSSHDYSEIILSFLSEEADKDASGFKLKNVSSSKRNEINKRNNLSRAKHLNLSEKIKTKEKENLKQHEASSIDLTIECQKPDTEWEPLTKGENCSKQRVMSSCSSYYTLSSGDFESDLEVQHNMGLEEFSLGYEKNEVNHMEGKVKAEFNRQNDDSKKVHDVSNKERLVFGADIDWNIRKKSEKKLTDGIVPEIKFTREHQDLHPRESTIHESGYRKASISHKKVHCDEDNASFVKHLDKKANKAYIQVGNRRNHQSAYTHESGCDENESTLLSGKRLSGSEGNLEMSNTLCKQTSERHEKFVGSTSTTRKESLKSKTFGGKEGKLEISETHLQETSDKNKNFIGSSSTKTMDVIEKSSQKYIENLNIENTERTSDARTKSMGEKKNSVLSSSQRVGLQHEGEKTITHDDARRRKSQQFSEVSQAHGSHVEDISVMKSKASVENKEESSYISSHARDSWLQTDRRKTQRVQHRKGYEHVSILSEGCANDEKHVSSSQITSEKMRFIPKSKLASAVKTRESSSQTNETASREKSSFQGSLNSVSEAGKQVLLAEGNERSSEINLIPSSQMGRVSAHVEHTAGFASPDIYLETSESSPSALSDNLGISPAMLSGPPYSGPSIIMTPDDALGSANRFEESSKQIVAEFVDRVRHEVTTSEIQEMEVARTESPFDFVDNERQQGTQNDSQLKIHNSSHSTVLFGAKGISDEMWDVKEPSVGHGLLAEKTEINNETAKPIVNRIGRSPWRMISDIIRLRWNSHAGSSSSTGRSGERKSPNKSDSETWFSGQEHEETDKSNVMKETSVLPQAMTSDKSKASARYTQSEGEVSETKMLKDKGKLIEVGSSFPNKLESGSTSIGTSYSDGEEFSISTENEKDLKVTASSFKKMDSPIPLSVRGKPIAGEIINSGGSNIIRAESGVPIKEPVAPAKTEMSGSEKKDGEFKQRKFQRKGQVLRDRFDDWEEAYKVELEQRRIDELFMKEALLEARKAADTWEVPVGAVLVQHGKIIARGCNLVEEMRDSTAHAEMICIREASKFLHSWRLSETTLYVTLEPCPMCAGAILQARVDTVVWGAPNKLLGADGSWIRLFPDGGENVSEARDISPAPVHPFHPKIKIRRGVLATDCADVMQQFFQLRRKNKKEEVPKQPSCLPVTHHHPAKLLNKIHDIFHVMFCL >CAK8575189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:49842415:49842963:1 gene:gene-LATHSAT_LOCUS27473 transcript:rna-LATHSAT_LOCUS27473 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTCEKSVLQIKQDDKFFSRLLSKENSISNPSFRVSVAVPFVWESQPGTPKHTFSKDTIPPLTPPPSYHFNNANKKNEKKISKSSKLFSGFLQKLNFKKIHWSSSPSSSPSSLSTDSAKITSATTRVSRRRFLSGGSSFDFRGVSEDDGNGSPTSTLCFGIHRSASSNSGFQSIYKRRVR >CAK8576363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466918636:466920597:1 gene:gene-LATHSAT_LOCUS28556 transcript:rna-LATHSAT_LOCUS28556 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKQKQKHDRTQRGRDYYLQDNDTPQPYSSSSTLSPADNEEEEGIEDADSDNNNNNEQLPSHDMPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTAFLSTEWLRSDPRKTAIGLDLDLEALTWCLENNIPKIGAGGFSRISLFHGNVLQPIQSKLVEMDPEELVRNISLSQNTENLQVDVLEPDVPTSSSAQDDKLTTKNFPMNGRDIVCAFNYSCCCLHKRADLVLYFKHVRDALSTKGGIFVMDLYGGTSSENKLKLQRRFPNFTYVWEQAEFDIIQRKTRISLHFHLKKEQRKLRHAFSYSWRLWTLPEIRDCLEEAGFRSVHFWVREMPDTTEITRTEGFGAGKDIKYEETTSFQQQDSWNAYIVGVA >CAK8561057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83599817:83600460:1 gene:gene-LATHSAT_LOCUS14681 transcript:rna-LATHSAT_LOCUS14681 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFCIPLLLLVTFLYATSVAARNDPSGNEVKREGKLANEGVTKPSLQGPNEDEKFIGFFYLKHKLKGYFHKKPIYYKPIPTYKPFHKPTIVDKPTPSVVEPESFLKHKHYFFKKPIIPIAKPVYVPIYKPVPKVIPIYKPIPKVIPIVKPIH >CAK8576642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499835101:499835547:-1 gene:gene-LATHSAT_LOCUS28816 transcript:rna-LATHSAT_LOCUS28816 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKLWSLIPEDVKEKAAKSKGTAPVIDVTQHGFFKVLGKGVLPSNQPIVVKAKLISKIAEKKIKEAGGAVVLTA >CAK8569644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7152509:7153220:1 gene:gene-LATHSAT_LOCUS22472 transcript:rna-LATHSAT_LOCUS22472 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWGSSSIDPKSATLQRNLSLTKNEIDAYWKSKKKIEEEHFSAISNLSETIDQVSRDNDPEKKLKKSMTMPVTKTRDSFNMSILDTNLEQLINKNGWWTKSNWAFLNEPPLMEAASNKYAAQFHVANLGPSKLNPEDEISA >CAK8576819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516403973:516417922:1 gene:gene-LATHSAT_LOCUS28984 transcript:rna-LATHSAT_LOCUS28984 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGFSFFISLCSRNHLLPPPPPSLPSPGVSFFSRSHLSTASVAMPGPDSLDIEWPAKRVRDTFVKFFEDKNHVYWKSSPVVPFNDPTLLFANAGMNQYKPIFLGTVDPNTALSKLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAISWGWELLTKVYKLPSDRIYATYFGGDEKAGLAPDTEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAADLVNNDDPTCIEIWNLVFIQFNREADGSLKSLPAKHVDTGMGFERLTSILQNKMSNYDTDVFVPIFDAIQLATGAHPYSGKVGPEDADKVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGREVLKAEEGFFNGLVNVVANVLGDVFPELKQQEVHIRNVIQEEEESFGRTLVKGIAKFKTAVQHVQGNILSGEATFELWDTFGFPLDLTQLMAEEKKLQVDVEGFNRAMEAARERSRSAQNKQAGGAIVMDADATSALQKRSIAPTDDSFKFVWFKDHESVVKAIYTGSEFVDSVNTDGDVGVILESTSFYAEQGGQIFDTGSLDFQHASYQVHNVQVYGGYVLHIGNGTGISVGDKVVCKVDYGRRSLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRYDFSHGKPVDADSLRRIESIVNEQIKAELDVNAKEVTLAEAKRINGLRAVFGEVYPDPVRVVSVGQKVEDLLADPENEKWLSISSELCGGTHISNTREAKAFALLAEEGIAKGIRRITAVTTDRASDAMKLADEFEQQVDEAAKLEGSFLEEKVSALKSSVETLSIPATKKADIKTKIALLQDQVRKAQKRVAEENKRKAVLLTAEKADLAVSDGKSFCISHVNVGLDVAAVREAVTKVIDQKGLSVMVFSTDESTNKAVVCAGVPEKGDKGKLDVSEWLTNALGPLKGRCGKGKGGLATGQGTDAAHVNEAMDLAVKFASVKLT >CAK8578260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615603787:615604958:1 gene:gene-LATHSAT_LOCUS30287 transcript:rna-LATHSAT_LOCUS30287 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIENDSSLMEQSLLLDEESSQYTGDGSVDFKGRPVLKLTTGNWKACPFILDNECCECLAYYGIGSNLVTYHTRKLHQGNVSAARNVTTWQGTCYLTPLIGAVLADSYWGRYWTIAIFSAIYFIEMCTLTLSASFPALKPA >CAK8563615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620584801:620586672:-1 gene:gene-LATHSAT_LOCUS17009 transcript:rna-LATHSAT_LOCUS17009 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYLFRATSEIVSLSRSGRIIHARKLFDEMPERDSVAWNAMLTAYSRLGLYHQTLTLFDSMRISDSKPDNFSYSAAINSCAGASDLRFGTKLHALVVVSGYQSSLPVANSLVDMYGKCLNPNDARKVFDEMSYRNEVTWCSLLFAYANSCRFDMAFEVFRGMPEKVEIAWNIVIAAHARCGEVEACLHLFKDMRESLHQPDQWTLSALVNACAESMGLLCGCMVHCFVVKSGWSTAMEVNNSIVSFYAKLECHGDAVKVFDSGGAFNQVSWNAIIDAHMKVGDTQKALLAFQQAPEKNIVSWTSMIVGYTRNGNGDLALSLFLDMKRNSFQLDDLVAGAVLHACASLAILVHGKMVHTCIIHLGLDKCLFVGNSLINMYAKCGDIEGSRLAFHAISEKDTVSWNSMLFAFGLNGRGNEAICMFREMVASGVRPDEVTFTGLLMTCSHLGLIDEGFALFHSMSLEFGLTQRMDHVACMVDMLGRGGHVAEARSLAKKYSTSKDRTNSCEVLLGACYTHGDLRTGSSMGENLKNLEPKKEVGYVLLSNMYCASGKWEEAEIVRKEMLDQGVKKVPGCSWIEIRNVVTAFVSGNNSYSCMDDISKILYILELEMRHAWPINFDTQ >CAK8562748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533106832:533108824:1 gene:gene-LATHSAT_LOCUS16218 transcript:rna-LATHSAT_LOCUS16218 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYFKQEHDLEKRSAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDLIYQ >CAK8567464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516295261:516298256:-1 gene:gene-LATHSAT_LOCUS20515 transcript:rna-LATHSAT_LOCUS20515 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPSSCIIVACDATRDRNEHEIKIVIDHVRARDIMLSSGDRLLVLCILHKVSHPMGYQTLASPEAFAGTNFRAMEEEVRKKVHVYANELLSTLDDFEIQGVTVDIQVTAGFPIRQVILQEIKNYNASWIVLDRHLRRDMRFHLNKIPCKVALVKDDLSVDIWRSHNSHDTNVTATKPVFSLSKFVTLSDCHSMQEIEQSIISCKSYPHSSASSDSSGTIKSYSTHSYANSSLHSGSSSKHERSGTSIKGEYRHLKTSQIGQKQNQCGFLPKSSDAPILCAGCGIRTELSIKESNRFSYSEIQLATNDFSKDNLIGEGGYGHVYKGALKDGQQIAAKVRKDESTQGFSEFHSEVYVLSFARHKNIVMLLGYCCKENKNILIYEYICNKSLHWHLFENNDAVLDWPQRYGIALGTAKGLRFLHEECRAGPIIHRDMRPSNILLTHDFVPMLGDFGLAKWITGGDSLETRIMGTLGYLAPEYAEDGIVSEGTDVYSYGVTLLQLISGRQVGNFNNPDQQQQSLRQWAEPMIEKLALQELIDPRLGESYDTYELYLMAKAANFCMQRKPEMRPSMREVVRFLEGESYHFNT >CAK8568397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602205894:602207186:1 gene:gene-LATHSAT_LOCUS21356 transcript:rna-LATHSAT_LOCUS21356-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKMDSSDKAKLFVGGISRDTTEDVLKHYFAKYGDVLYSTISFDRATRIPRGFGFVTFADIVSAEKALQDTHVILGRKVEVRKAIPRSEQLQQNQLQNRGGSGYGNYECNSDQIRTKKIFVGGLPANISVEEFKRYFEGFGTITDVVVMQDSVTHRPRGFGFITFESEESVQSVTVKSFHDLNGRLVEVKRAVPREGNVDNDRFGGKIRYKIDRGAAEMFPHSSPGNMLPGFSPLPWYPSDVGYGYGSNAAYGCWYPMGGYGGNGYVAPSDVYRNFWYGQMVSGHQAPPYVNAMPSVAYVGGGCVGIVGGGVENWGYNAVIESATNYKFGQPLITNGFVPCNVPSLHGVKQGVGSSSFKPSNGEISS >CAK8568396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602205882:602207186:1 gene:gene-LATHSAT_LOCUS21356 transcript:rna-LATHSAT_LOCUS21356 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIMNFKMDSSDKAKLFVGGISRDTTEDVLKHYFAKYGDVLYSTISFDRATRIPRGFGFVTFADIVSAEKALQDTHVILGRKVEVRKAIPRSEQLQQNQLQNRGGSGYGNYECNSDQIRTKKIFVGGLPANISVEEFKRYFEGFGTITDVVVMQDSVTHRPRGFGFITFESEESVQSVTVKSFHDLNGRLVEVKRAVPREGNVDNDRFGGKIRYKIDRGAAEMFPHSSPGNMLPGFSPLPWYPSDVGYGYGSNAAYGCWYPMGGYGGNGYVAPSDVYRNFWYGQMVSGHQAPPYVNAMPSVAYVGGGCVGIVGGGVENWGYNAVIESATNYKFGQPLITNGFVPCNVPSLHGVKQGVGSSSFKPSNGEISS >CAK8572984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574413258:574414135:-1 gene:gene-LATHSAT_LOCUS25481 transcript:rna-LATHSAT_LOCUS25481 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGRQEALVTSSWEIFNLNLPLYSVLFYTFILEKEPAAKNMFSFLKDASEIPQNNSSLNAHAEKVFGMVRDAAVQLHTTGEIVLEDVTLGVVHTQKRVVNSHFVVVKEALLKTMNEAVGDNWSEELSNAWEFAYDELAEAIKKAMH >CAK8532671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:472220467:472223199:1 gene:gene-LATHSAT_LOCUS2353 transcript:rna-LATHSAT_LOCUS2353 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDHHEEEDVPAGEDEDTGAQVAPIVRLEEVTVSTGEEEEEAILDLKAKLYRFDKEGNQWKERGAGTVKFLKHKVTGKVRLLMRQSKILKICANHRILPNMTVQEHAGNEKSCVWHARDYADGELKDELFCIRFASIENCKKFIDTFQEIAESLKKEENEEVTAAAELLVKLSVDLKSDAEKKDEEKSEDKIKEQESAPEKENKGDSEKEAKESGSSA >CAK8541481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:197758025:197759378:-1 gene:gene-LATHSAT_LOCUS10400 transcript:rna-LATHSAT_LOCUS10400 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGSSDKRKNEEQKPKEQRFKANENKPVMTE >CAK8561154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:107173389:107175372:1 gene:gene-LATHSAT_LOCUS14767 transcript:rna-LATHSAT_LOCUS14767 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLSSSFPIPSLLSFFFLFFSIFTVLQSSGDCSDFDHAQMANIPGGIHDSPASQNSLEIESLARFAVDQHNAKQNSLLEFARVVKAQEQVVAGTMHHLTIEAIDAGEKKIYNAKVWVKPWLNFKELHEFKHAGDGDGPSFTSADLGVKKEGHKPGWQSVPTEDPAVQDAANHAIKTIQQRSNSLVPYELHEVSDAKAEVIDDVAKFDLLLKLKRGEKQEKFKVQVHKNNEGSFHLNHMEADHS >CAK8535344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:841215275:841216213:1 gene:gene-LATHSAT_LOCUS4810 transcript:rna-LATHSAT_LOCUS4810 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSNIISCFNENAVNVSYSSSSCSSYSNKSCLSQNLTPSTQNSVSSTYKAILSNKKQMLITVTWSRSYSNQGLTIIFNEEEDPLAARVFRLNTNSRLFRKKKGSKLVEVEHTHHGSKVEVFWDLSTAKYETSPEPVDGYYVTVLVDSEFGLILGDKVEENLTRKLKKRTFLGKVSLFSRSEYFSGNTVHYTTKTKFCESGTFHDILIRYSGENEGFKSPVLNVYIDKKIVICVKRLKWNFRGNETIFVDGLCVDFLWDVHNWFFRGVSGYAVFMFRTRSGLDNRLWLEEKLELKDKNSDEFSLLIYACKTI >CAK8579712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717978282:717979564:-1 gene:gene-LATHSAT_LOCUS31638 transcript:rna-LATHSAT_LOCUS31638 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNSHIAPIHASLHISSFTSYFPNSPSFSSFKPSNPLNFTPIIRTTVQYHRQRTITRATLDDIQRDKLSSTPLVVEDVNPKRELEENVKVLKNAAKTRKVPAEEILSALSFIEKAKVDPSGFLETLGGKESPGRTWMLIFTAKKKLDGGRYFPLTAVQRFDASAKRIENGVFLGPIGQLTFEGKLSWKNRILSFIFENLRIKVGPLKPLQISLGQKDDREPSTKDPFFIWFYVDEEIAVARGRSGGTAFWCRCRQVEN >CAK8568363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598954212:598960936:1 gene:gene-LATHSAT_LOCUS21325 transcript:rna-LATHSAT_LOCUS21325 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYGQGRQDNYNNNNKGFNKTQKKFVPKNSTPTLSTSLREKQQTGSGSSGNSSGRVQTGGVNGNFVNYLPQDEAVAAGFGAQEGGLDALESQRVVDLLNSQLSRLLKLKPKDFWSQVAGDTSLHEFLNSFLQFRSRWYDFPHRGTRGIVAGVIFGEHDLSRRVFMVLYRMSSNRDPGARPADMLSLRDHEVLLQEKKLLDLPKLFDICAIYNHENEELTKLLVRKALHAQPWMHDNLTAVTFHFMSIASTMQERCSSSLEVLFASGSLDNHNAAFLQTDLLEVMDFINDAIVSMDAFVSAYEPAALFFSSPVEMSYGNEDLLGFLARLHDLLIPSMQKGFHIIFADKQDDTVSNIVVSLKMLRMRLAKFGGQLLHFCYLSDDVFLDSIPLPAATKMFPANVEDPVIRADILVQTFRDINSVSLSFQEIHRKETFLQDIERNFNLLSRIERLKHNGWIIFDEEQLKYISGILSSPKENNKEPNSAKIAVPNQTVQMDEEAVVLESKISQIRDLFPDYGKGFLSACLEVYDQNPEEVIQRILEGTLHNDLMCLDTSLETVPQSQAKSTTVSRKDKGKGILIDSTPVSSNTKVYSGKNQTQGPLMPSSAPLGKFVRKSRADMPDPSILDNNDEKDASRILQYEYDDEYDDSFDDLGLSVADSGVEENEILGDDEMNEKSGRSRAIGSGSAVQNASNTKWGSRKTPQYYVKDGKNYSYKVAGSVAVANSGEASLVNEAQKEMIHGLGRGGNLPLGAVQKLADSYKGGGNQFHVSETEGRGNGSGRGKREGGKQIEHNQQQGKQSDVSEVEGRDQGPNNRGRGRGRGRGGGRNNHYRKDQAMKKHFSGLSGF >CAK8541249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:97380885:97383813:1 gene:gene-LATHSAT_LOCUS10181 transcript:rna-LATHSAT_LOCUS10181 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKYCFKDLVPFGAMVTMECINVALNTLFKAATLKGMSYHVFVVYAYAVAAFVLLPAPFISKRSRALPPLSKPILYKIGLLGLIGSSSQIMGYTGISLSSPTLSSAISNLVPAFTFLLAIIFRMEKVAIKNSSSQAKVLGTIVSISGAFIVTLYIGPPIIIAHRHSLSLHQTVKSSDQSWAIGGLLLTAEYILVPMWYIVQVQIMKVYPDELTVIFYYNLSVSIIAAIVGVISEPNSSAWKIGLDTSLASVLCSGFFGSFLNNTVHAYVLRIKGAVYVAMFKPLSIVIAVAMGVVFLGDTLHLGSLVGATVISIGFYTVMWGKATEEEVVEDVPSHETPTTQNVPLLQSYEADRVEKKMDASV >CAK8574116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663310221:663314114:1 gene:gene-LATHSAT_LOCUS26491 transcript:rna-LATHSAT_LOCUS26491 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEDNRIFVGGLGWDVTERQLEHAFDRYGKILECQIMMERDTGRPRGFGFITFSDRRGMEDAIKEMNGREIGDRIISVNKAQPRAGGDDADQGYRGGYTSGGRGSYGAGDRVGQDDCFKCGRPGHWARDCPLAGGDGGRGRGSGSFSSRPRFGGAGGHGDRLGERERYIDDRYDGGGRYGDRDRLDNRDYKYGGRDRYASDRYATSGGDRFADRYGSGSDYPQNGYGKERGYDRYGGARGGADRNGNGIPARDEGRSYRGRPAPYDRPSRTARPSSFDRY >CAK8569009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662664182:662664511:1 gene:gene-LATHSAT_LOCUS21907 transcript:rna-LATHSAT_LOCUS21907 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHRLLHQLSKVPDYNFHAKCEKLQIIDISFADDVLLFSRGDEKSVQLLFDQLQTFSQSTGLVVNPTKCRVYFGGVENETKHNILVATSFMEGNLPFRYLGVPLTSK >CAK8566513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:430488331:430488780:-1 gene:gene-LATHSAT_LOCUS19637 transcript:rna-LATHSAT_LOCUS19637 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRSIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHADATNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDVTYYYLYL >CAK8569802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11706763:11708238:-1 gene:gene-LATHSAT_LOCUS22611 transcript:rna-LATHSAT_LOCUS22611 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDSKLKSYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNLKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKHRKEQHHQQQQARNRGNNGVNIVKQENNNQFSLSDLVQEHAYLPHHVMQNLLSTPPPPPPPPSMLSSYTNQGFNDQDSIRKLLIKLGGRFSGDYHHHHPNSSTNDFDGLNVQFSNNATSSTHEQVYDQEHVGSSSCVNSSNGNNQVQFVQNGQYFDSVQEEQGKFIPEIDHLVSTSFSPRFDGLEFLYGDENMSIENNKIEGSNTSWGEATTSSYHHTLDSKYQG >CAK8569284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691405841:691406195:1 gene:gene-LATHSAT_LOCUS22158 transcript:rna-LATHSAT_LOCUS22158 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIENMILSMGSLGTNFSQKSRKGLSRHYSGKARSFACLEDVHFVEDLKKPKHPDAKKRKKHSYQKEFINVNPHPCRRAPSCTQLTTPYVNA >CAK8563596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619517507:619518535:1 gene:gene-LATHSAT_LOCUS16990 transcript:rna-LATHSAT_LOCUS16990 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELNFFGDDPIIFQLGLRKIDFESIQQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSILPLIHYANHLGLTLCSCRYTRGSFIFPNSQPFPHTSFNDEVTFLQDTIPSSLCHRKASIMPSKSSSHSWHVFTAHSSITHHNHSDTDVFTMEICMTELDLILARKFFRRPGDGKTGDSAGKEMTELTGINEINPNALICDFAFDPCGYSMNGMDNDWYSTIHVTPEDGFSYASFECVGSVNDNIVHVLRKVVQIFRPGTMSISTTCSGYNNEMLKKMVNAVEPLGLKCRSRAVDQFPAGETVVFQTFTARRRSV >CAK8574943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16430448:16438232:1 gene:gene-LATHSAT_LOCUS27240 transcript:rna-LATHSAT_LOCUS27240 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTGRSSRVIGDYVVGKQIGAGSFSVVWHARHKVHGTEVAIKEIATLRLNKKLQESLMSEIYILKRINHPNIISLHDIIQAPGKIHLVLEYCKGGDLSMYIQRHGKVPEATAKHFMLQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDEKSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILYQFVTGKTPFTGNNQIQLLQNIMKSTELQFPSDSQGLCSECRDLCQKLLRRNPVERLTFEEFFNHPFLNPKQREQDESLRNKSSSRLVSGFSSTVTDPSRRTEENYQEDCLPFVLDDESSRPEGSTLLSKKKSMKSTFGFDLNAKLESTSISNNVTYASRYSSITQRPEITSKRLDNHKISGRNLTNPLGSPERIFANPYPKVTDSLENIDQEYVLVSGPPMDVSSSSVNASKPSPSLFRTGSFPQESSNTTTRLSGPMPITGASTNSMCQIGSSGSQDSAPGTSHGSMDTGDEQPSTNIMTRVKSLQDYASALRELVDEKTVARKQLEAFSIELVILAIWKQALHMCHTQAASAMEGSPSQEASRYKRSTSKKLGSPDSEECFDENTQGPKDLSSEIESGFLREFEHAQELAKAIKPGNTEMPDAMETIFQAALGFGKLGGVDELMGNTEGASTLYSKAVRLLVFLLVEGPSLILNPPFSLTRSDRYRLRNYIDMLNIRRSYTGSQRTTLPKGDDSQGVFK >CAK8569926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15971102:15971836:1 gene:gene-LATHSAT_LOCUS22725 transcript:rna-LATHSAT_LOCUS22725 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSFLWAGANKLFKKYPMTWKKVCSPKIKGRLNIVNLEIWNKACLIKLLWNLHGKSDTMWIKWIHYYYVKDQDIWSMAVKNNSLWVMKSILKLRNIVISRNEWNNMVQTTKFQTTKVYDRISENVQEVSWRRILYQNMARPRALFTLWMAYHRKLATKDRLARFWMLNHYTYCFCNDKETIDHLFFQCRTMKTIWKNILEWMLIDYTSGDWIQKVKWVSDMSKSKASKMKILKCGLAETVYEL >CAK8574025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654554289:654557031:1 gene:gene-LATHSAT_LOCUS26416 transcript:rna-LATHSAT_LOCUS26416 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFIFKSLIFFQFLLVSAQDHERCPTSFSCGYLGQITFPLTVPQHPQCGALAILGCDNKNTTAPKTIQLGSPPSKQLFTVPYVEGDVITVRDNEAQRKNLRSKDCQVFHNFSVPPASPLASFYIKYNITMFKCNHSLSVIPPKSFYSYTNCTAYSIFYGLQNSGGNVEVPSSLAQCTVYQVAVRDSPTDDPFDFLSPEIQIKVQLSDDCNKCLRHQRGRCQLDIHEKFRCTKEDRRWAWKLGLGIGLPVIIITGLLITWHCQRRVPNFYNKYTESDSIYHGVPIFSFKDLEVATKKFDSSRELGEGGFGTVYYGKLKDGREVAVKRLYQHNFKRVEQFMNEIKILTRLRHRNLVTLYGCTSHQSHELLLVYEYVSNGTVASHLRRESGFLPWHIRMKVALETATALAYLHASEIIHRDVKTNNILLDETFCIKVADFGLSKLFPNDVTHVSTAPQGTPGYVDPEYHQCYRLTSKSDVYSFGVVLVELISSMPAVDMSRDKEEINLASLAIRKIQKSKLSELVDPSLGFESDSDVKRKIISIAELAFQCLQRDKELRPSMDDVLEVLRRIDNGSGRNEFGHVEEVIACGARISRINVRSLSSSSSDHNEMKLSKNKKLTPSPKGVTDKWNSDSTTPNLSGQSASQI >CAK8575409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:112811400:112811705:1 gene:gene-LATHSAT_LOCUS27678 transcript:rna-LATHSAT_LOCUS27678 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDINGIREPVSGSLLYRNNIISGAIIPTSGYQFALLPGMGSCIR >CAK8537198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:129647399:129648565:-1 gene:gene-LATHSAT_LOCUS6504 transcript:rna-LATHSAT_LOCUS6504 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALNRLNGTTTPTAITEPDSKKPTANKRTLRETTATAGNGGALRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGIKARTNFVYPTSPPPSSTFPSFNFPKHSYSHSQTLDKTTPNNRHVSSSGWPTASETNGGDFGNRRNPSTTSLDMLLFREFINSSNSNSSFVSSSQNHFHDNFSYSYNNIGNSSTTSSTSCSGSGSTFLPGCCLVNSCCGGGVNSNNMNSTFVGLDSVNGSNVSADEDNEFFPKESSDSGLLEEIVNRFLPKPKEENKNECLGKTFCDPFVPAAPVYDHTFEIKKGLQKNDYLGGGFDQNDFNMQQFENFNNGFNSSFQSVPFGNEQTMVNHADNSVFQYQEVLNAFALRMQNA >CAK8574226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671047535:671049312:1 gene:gene-LATHSAT_LOCUS26587 transcript:rna-LATHSAT_LOCUS26587 gene_biotype:protein_coding transcript_biotype:protein_coding MMQCHVLCKPVTTLIIVNLALAFVNLLLKMVLNEGMDYMSIVTYRQAISFIFMTPIAWFYEGKHKLEAHIICLLFLSALVGVTLPQYLFLLGLEYTSATVSCAFLNMVPVFTFIMSLPFGIEKVNLKSKSGKAKTLGAIVCIGGVLVLALYKGIPLNNPQSQHIENRYRSVPPAAKLEKWIIGSTLLTAGCLLWSSWFVIQAKISKKYPYQYSSTAILSLFATIQSTILTLVIKGNNASWILKGKLEIVCVIYSGLVGSGLCYVAMSWCVKQRGPVFTAAFTPLLQIFVAVLDFSILKEEIYLGSIAGSALVIVGMYILLWGKSIEEEQCVMKDTQGNQDVECQS >CAK8573702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631190216:631211943:1 gene:gene-LATHSAT_LOCUS26111 transcript:rna-LATHSAT_LOCUS26111-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVYFFSLIPLLPSKFPNSYTSNLRPTPIVSRSSQYRRRTHRKMPGTELSGTVPRSASAFRLNIDENDSLLGSRTGAGKGDTLTTETDVEASSTSKTVLRKNRYSTLFGIDLSPDTAAVAMVYFVQGVLGLARLAVSFYLKDGLHLDPAEAAVISGISAFPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATSVDNKYSAAICILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLLEAYGARFVFGVTALLPLLTSAVAVLVKEQPTLGTARGQAFSFAQPEFLESSKQSIIQLWVSVRQPSIFLPTLFIFLWQATPQSDSAMFYFNTNSLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKNVPLRKIFLWTTIFGSALGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLSQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLIGAGLTQVFGITKDKFDNLAALIILCNLSSLLPLPLLGLLPGDNPDDNLKDDDSEIEMKCN >CAK8573701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631190216:631212019:1 gene:gene-LATHSAT_LOCUS26111 transcript:rna-LATHSAT_LOCUS26111-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVYFFSLIPLLPSKFPNSYTSNLRPTPIVSRSSQYRRRTHRKMPGTELSGTVPRSASAFRLNIDENDSLLGSRTGAGKGDTLTTETDVEASSTSKTVLRKNRYSTLFGIDLSPDTAAVAMVYFVQGVLGLARLAVSFYLKDGLHLDPAEVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLLEAYGARFVFGVTALLPLLTSAVAVLVKEQPTLGTARGQAFSFAQPEFLESSKQSIIQLWVSVRQPSIFLPTLFIFLWQATPQSDSAMFYFNTNSLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKNVPLRKIFLWTTIFGSALGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLSQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLIGAGLTQVFGITKDKFDNLAALIILCNLSSLLPLPLLGLLPGDNPDDNLKDDDSEIEMKY >CAK8573700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631190216:631212019:1 gene:gene-LATHSAT_LOCUS26111 transcript:rna-LATHSAT_LOCUS26111 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVYFFSLIPLLPSKFPNSYTSNLRPTPIVSRSSQYRRRTHRKMPGTELSGTVPRSASAFRLNIDENDSLLGSRTGAGKGDTLTTETDVEASSTSKTVLRKNRYSTLFGIDLSPDTAAVAMVYFVQGVLGLARLAVSFYLKDGLHLDPAEAAVISGISAFPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATSVDNKYSAAICILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLLEAYGARFVFGVTALLPLLTSAVAVLVKEQPTLGTARGQAFSFAQPEFLESSKQSIIQLWVSVRQPSIFLPTLFIFLWQATPQSDSAMFYFNTNSLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKNVPLRKIFLWTTIFGSALGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLSQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLIGAGLTQVFGITKDKFDNLAALIILCNLSSLLPLPLLGLLPGDNPDDNLKDDDSEIEMKY >CAK8543504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:612790956:612794111:-1 gene:gene-LATHSAT_LOCUS12258 transcript:rna-LATHSAT_LOCUS12258 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHNLQYDGTSFIIDITNTFSKVSSKYTNSAKRRWRFAYTAIYSRRVMLSLAREVISRKNPKLFHTLSSSTTLDVIEPLITRHNGADHYSLVSHDVDKAKLADMVKDKSLKSLGEFGGVEGVARVLGTVPKMGIVGSDDDVSRRIELFGSNTYKKPPPKGLLHFVLEAFNDTTIIILLVCAGLSLGFGIKEHGPGEGWYEGGSIFLAVFLVVVVSALSNFRQERQFHKLSKISNNIKVKAVRNGRPQNISIFDVLVGDIVSLEIGDQIPADGVFLSGHSLQVDESSMTGESDHVEIEPLRAPFLLSGAKVVDGYAQMLVTSVGKNTSWGQMMSSISRDTNERTPLQARLDKLTSSIGKVGLAVAFLVLLVLLVRYFTGNSQEKKGNKKFQGSESSVNDVMNSVVSIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADHAMVRKLSACETMGSATVICTDKTGTLTLNQMRVTKFSLGLENYIENFSNAMAPNVLELFHQGVGLNTTGSVYKPPSGSEPEISGSPTEKAILMWAVLDLGMDMDEMKQKHKVLHVETFNSEKKRSGVAIRKDSDNRVQVHWKGAAEMILAMCTKYIDSNGARKSLDKEEQFKIEKMIQGMAASSLRCIAFAHTEISDSEDNDYMIEREKKTLREDRLTLLGIVGLKDPCRPNTKKAVETCKAAGVEIKMITGDNIFTAKAIATECGILDPKDHANAGEVVEGVEFRNYTEEERMERVDNIRVMARSSPMDKLLMVQCLRKKGHVVAVTGDGTNDAPALKEADIGLSMGVQGTEVAKESSDIVILDDNFNSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSSGDVPLTTVQLLWVNLIMDTLGALALATERPTKELMKKKPIGRTEPLITNIMWRNLLAQATYQIAVLLIMQFYGKSIFNVSKEVKDTLIFNTFVLCQVFNEFNSRSMEKLYVFEGILKNHLFLGIVGITIVLQILMVELLRKFADTERLTWEQWGICTGIAVVSWPLACIVKLIPVSNQHSFNYTKWVKLLVFKIKKAF >CAK8530737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46164336:46165757:-1 gene:gene-LATHSAT_LOCUS580 transcript:rna-LATHSAT_LOCUS580 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDESRGSVVVLDSVHEVIAIDDSNNLVVGDKEYVSGEIGTSREVGMNSGSSKEGSAEEVKVKEQCDEENIHGELRVVDQETSYNSSNSVNEEVVETGAVVIESVHVQTEYLNGEERKLEANPDESGLSLVSMKSPKGESEIDKDSCVIDMKCSSHKGFSENSQGERICRICHLAFGPASDAAIVEYASATSGDFIQLGCVCKDELGIAHVYCAEVWFKLKGNRLCEICGETAKNVSGVTNNGITEEWNDRRFMDNGGNSSRRFGGCWRAQPFCNFLMACLVIAFIMPWFFHVNMF >CAK8575666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:244102554:244103702:1 gene:gene-LATHSAT_LOCUS27909 transcript:rna-LATHSAT_LOCUS27909 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLNSEDSHKKKEGSRCCATDSSAESRKTSFSGSSSTSTSTSTSSLSTFTSTSDEAKAKGFSSPPTPLGWPILKATLSNSKRLSSDEKHKPNLELDHNKFTSIDLKIPDVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATEFGQLWRLEPLPSEKKEMWQRETEWLVSISDHIVELIPSWQTVPDGKKLEVMTCRPRIDICINLPALRKLDNMLLEILESFTATEFWYVDQGIVAADADGSASFRKSIQRQEEKWWLPLPRVPPAGLSEKSRKKLNHSRESASQILKEATSINSIALAEMEVPESYLKTLPKNGRACLGDFIYRYITSDQFSSECLLDCLDISSEHITLKISSNVKLVLVRRVGVPQPSF >CAK8571708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463988343:463988744:-1 gene:gene-LATHSAT_LOCUS24345 transcript:rna-LATHSAT_LOCUS24345 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKSNLKITIQSNPSESTLAELNIKCWPKWGCSPGKYQLKFDAEETCYLVKGKVKAYTKGSSEYVEFGAGDLVTIPKGLSCTWDVSVAVDKYYKFEASSSS >CAK8564000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645355388:645355738:-1 gene:gene-LATHSAT_LOCUS17350 transcript:rna-LATHSAT_LOCUS17350 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIISMAVPVTCATTKKIESPTSHAFFKTPLTLRPSKSNGRFQVKASLKEKVVTGLTAAALTAQMVIPDVAEAATVSPSLKNFLLSIVSGGVVVTAILGAVIGVSNFDPVKRG >CAK8570008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20526257:20526493:1 gene:gene-LATHSAT_LOCUS22799 transcript:rna-LATHSAT_LOCUS22799 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFHNQQQTPVSYPPPGEAYSTSQYVTAPPPMGYPSKDGSEGYPQQRIPDQTTSRGDGFWKGCCAAICCCCAIDICF >CAK8539852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529104494:529104805:1 gene:gene-LATHSAT_LOCUS8912 transcript:rna-LATHSAT_LOCUS8912 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGVMVLSARMACQKDIHIPVGVQKRKWTKRKNTKNAKIEVRKVVKPKFTKRKLVDVMITEGPIEVYEAGDKKRKQLVTEIEGHTKQPEVVLEDQHCLSQ >CAK8539344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509785491:509789419:1 gene:gene-LATHSAT_LOCUS8448 transcript:rna-LATHSAT_LOCUS8448 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRNSTLKFFTDQELCYADIVPPAQVRARIEVSVLSFLKILNASTPAISDLPLIQRKLSNSRVNHGLLTKLSYVFLSNSVSIRSLMRPNAGKAFVRVWKVMEMCYQILLQEKRVTQRELFYKLLCDSPHLFPSQKDVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLIMQEPGKEAVDCSVCGSSGHTISGDLSLLDKLILNADARYIIIVEKHAIFQRLAEDRFFNQIPSILITAKGYPDMATRFLLHRISRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLESYRYACNVKWLGLRGHDLPMLPDQSFVPLKTKDLQIAQSLMSSKIIQENYKEEVALMVQSGRRAEIEALYFHGYDYLGKYIAKKIVQSDYI >CAK8574935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16271600:16273354:1 gene:gene-LATHSAT_LOCUS27233 transcript:rna-LATHSAT_LOCUS27233 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYGGDTDLQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMLGFAPLTSRGSQQYRALSVPEITQQMWDSKNMMCAADPRHGRYLTASAIFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEDEYEEEEEDYHPEHDEM >CAK8564847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12348590:12351360:-1 gene:gene-LATHSAT_LOCUS18105 transcript:rna-LATHSAT_LOCUS18105 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKVGNGAVTLPSKGEASNNPRQAKGKKVDDEEKELTIEDLETRIWRDKMLLRKMKEDRRQGDKCKSYEQLKRKTMTRAQDGILRHMLKMMEACDVRGFIYGIVPENGKPMSGSSDNLRGWWKERVKFDRNGPAAIAKYEEEIGISRMKEMLNGDSAIPCSLQELPDTTLGSLLSSLMQHCEPPQRRFPLDRGIYPPWWPTGRESWWNEMGFSEDPGPPPYRKPHDLKKAWKICVLHAVIKHISPNIQKIKNVVRHSRSLQDKLTAKETAIWVAVINYEERLARNKYPELFATLNSCGTGSNCLLVETNDYDVDIVERRRAKPLPFPKPPSSSDGANSVRIDELVEKTSPQREGFKSIDGSAFVGNGGEENQAQRHFNNVNVSPMNNLDESGANKRKAKELDENHEGYSAINLQFQYHNQGGGAVNNSAPSSNVSVMPPFEVSNKRKCELGNKSASTSTTHNYEIFSLQEDKNASNNHHFASNNNNHFQMVGVGGSRNCQIVKNDKPAAANQINHHRGINYTEGEEDVSDLMDIINSGLEMKNTTMNVMPAVNMNPPTHAVNQNSKRNNSSMPVMNMIPTPGYNQSMQPQMDNNFYGQQRGANSNFNYKVPVNDEVANNVPMHANVSTTTATTAFDSQSDDDAYNSYDFIDSPMVGTPNYDFSMLFHK >CAK8579710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717971615:717973799:1 gene:gene-LATHSAT_LOCUS31636 transcript:rna-LATHSAT_LOCUS31636 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSQRKQLVIAELKRQLCLAVPLTSVGILQYILQTISIMFVGHLGTLPLSGASMATSFAAVTGFTLLMGIASALDTFCGQSNGAGQYHMLGIHMQRSMLIVSIVSVFLSIIWANAESILVAIHQDKAISKEAGSYALFMIPSLFAYGLLQCILKFLQTQNIVLPMVLTSGIAALLHTFLCWLFVFELNLGSKGAAISLSICYWVNVLLISLYVKFSSSCKQTWTGFSKKALHDLSEFLKLAVPSAFMICLKVWTFELMVLMSGLLPNPVLETSVLSICLNTFGLAWMIPFGCSAAVSIRVSNELGGGNPRSASLAVRVGLSTAFIEGLFMVLSMILARNVWGHVYSNDKQVIRYVSAMMPILAISSFLDAIQSTLSGVLAGCGWQKIGAYVNLGSFYVIGVPCAVTLAFFLHMHATGLWLGIISAFTVQTLLYSIFTIRSNWEEQARKAQSRVDRSIMLPNTTLGDSISPSQKLEQLP >CAK8533465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620529123:620531929:1 gene:gene-LATHSAT_LOCUS3088 transcript:rna-LATHSAT_LOCUS3088 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKHVVAGVRSPAPTIGPDTPEVVPSSKVHSSLLPLDSKSSLEENKNSKFNKNASKKSSGAFSFRIGFVQRQVEAEQNAAGWPPWLTASAAEAVQGWIPLKAESFQKLDKIGQGTYSSVFRAREVETGRMFALKKVRFDNYQAESIRFMAREITLLRRLDHPNIMKLEGIITSRMSNSIYLVFEYMEHDLAGLVSRPDIVFTDSQIKCYMRQLLSGLEHCHVRGIMHRDIKVSNILLNNEGILKIGDFGLANTISPNNKHQLTSRVVTLWYRPPELLMGATNYGVSVDLWSVGCVFAELFLGKPILKGRTEVEQLHKVFKLCGSPPEEFWKKTKLPHATMFKPQANYESSLREKCADFPESAVGLLETLLSIDPNNRGTASSALISEYFNTKPYACNPSNLPKYTPSKEMDAKGYEETSRKKTGEKMREAATSKRQQRRHHKEQNNFGKSTSKENISQNTRKDDGKASFSKGKAGSMIKDHTKTSMDAMLETSQKHNVADGNGYSVPVHIKGGSNEYSWTKRRKQEASSILSDGSRSKISALDPNFAKETYDLTKQRVSMDFDPKELLNAQGKKDDQGAYWNEGVRRAARKSRFGRDI >CAK8566470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426571605:426573370:-1 gene:gene-LATHSAT_LOCUS19599 transcript:rna-LATHSAT_LOCUS19599 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFYSETVAMKGTKNNSIFIFFLVFSLFLLFGVFMHNQDAKSIAQFSFPRLKFKAQEIQEEKTEQDNSLQRNIKKLDNDVRIKDSKETQEPVDLIALVLKKKNDKQKIALPLEDCDLFTGKWVFDNVKHPLYKEEQCGFLTSQVTCLKNGRRDSLYQNWRWKPRDCSLPMFKPRVLLEKLRGKRLMFVGDSLNRNQWESMICLVQSVIPQGQKRLNLYGALSVFTIEDYNATVEFYWSPFLVESNSDDPTAHAIFDRIIMPESIEKHGVHWKNVDYLIFNTYIWWMNTGTMKVLRGSFDEGATEYDEVPRTKAYKRVLKTWSSYVDNNIDPNRTKVFFNSMSPLHIKSEDWNNPNGIKCAKETTPILNMSTTLQVGTDRRLFVIAKNIIKSTKVKVDFLNITTLSEFRKDAHTSVYTIRQGKLLTREEQADPATYADCIHWCLPGLPDTWNELLYARIISVS >CAK8566471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426571605:426573337:-1 gene:gene-LATHSAT_LOCUS19599 transcript:rna-LATHSAT_LOCUS19599-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKNNSIFIFFLVFSLFLLFGVFMHNQDAKSIAQFSFPRLKFKAQEIQEEKTEQDNSLQRNIKKLDNDVRIKDSKETQEPVDLIALVLKKKNDKQKIALPLEDCDLFTGKWVFDNVKHPLYKEEQCGFLTSQVTCLKNGRRDSLYQNWRWKPRDCSLPMFKPRVLLEKLRGKRLMFVGDSLNRNQWESMICLVQSVIPQGQKRLNLYGALSVFTIEDYNATVEFYWSPFLVESNSDDPTAHAIFDRIIMPESIEKHGVHWKNVDYLIFNTYIWWMNTGTMKVLRGSFDEGATEYDEVPRTKAYKRVLKTWSSYVDNNIDPNRTKVFFNSMSPLHIKSEDWNNPNGIKCAKETTPILNMSTTLQVGTDRRLFVIAKNIIKSTKVKVDFLNITTLSEFRKDAHTSVYTIRQGKLLTREEQADPATYADCIHWCLPGLPDTWNELLYARIISVS >CAK8567801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546334828:546339357:-1 gene:gene-LATHSAT_LOCUS20823 transcript:rna-LATHSAT_LOCUS20823 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPHFIFSALHLLFILLTPCSASQPELRSLLQFKKGITSDPKNLVLNSWNPYSITDFDACPLKWEGISCDELTGNVTGIVLDNHNLTGEIKFHTLLDLKMLKNLSLAGNQFTGRLPPSLGIITSLQHLDLSSNRFYGPIPARINDLWGLNYLNLSNNQFKGGFPTGLNNLQQLRVLDLHSNELWADIGDLLPTLRNLEFLDLSQNQFYGGLSITLENVSSLANTVRFLNISHNKLNGNFFLNDSIALFRNLQALDLSDNMIRGELPPFVSLSGLRVLSLARNLFFGAVPEDLLLTSMSLEELNLSSNGFTGSIVVINSTTLNVLDLSSNSLSGPLPTSLKSCTVIDLSRNMFSGDISVIENWEATMEVIDLSSNKLSGSLPSIIEYSKLSTLDLSLNELNGSIPVSLVISPSLTRLNLSGNQFTGPLLLQGSGASELLLTSPFLPMEYFDVSNNSLEGVLPSDLGRMVKLKLLNLAKNGFSGQLPNELSKLVDLLSLDLSNNKFTGNIPDKLSSSLNVFNVSNNDLSGPIPENLRRFPTSSFYPGNEKLKLPNNSPDYSSLPGNMPSKHHSSKGNIRIAIILASVGAAVMIAFVLLAYHRTQAKEFHGRSEFGGQTTGGLSRASLFKFHTNALPPSSSASFSNDHLLISNSRSLSGQQSEFITEISEHGLSQGVATCSAPVIPNLMDNPPMSSGRKSSPSSPLSSSPRFIEAEKPVMLDVYSPDRLAGELFFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLDSGHMLTVKWLRVGLVKHKKEFAREVKRIGSMRHPNIVPLRAYYWGPREQERLLLADYIHGDNLALHLYETTPRRYSPLSFSQRIKVAVDVARCLLYLHDRGLPHGNLKPTNILLAGPDYGARLTDYGLHRLMTPAGVAEQILNLGALGYRAPELASASKPLPSFKADVYALGVILMELLTRKSAGDIISGQSGAVDLTDWVRLCEREGRVMDCIDRDIAGGEESSKEMDQLLATSLRCILPVHERPNIRQVFEDLCSIPSSA >CAK8568365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598989110:598990748:-1 gene:gene-LATHSAT_LOCUS21327 transcript:rna-LATHSAT_LOCUS21327 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8560586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26872349:26872672:1 gene:gene-LATHSAT_LOCUS14247 transcript:rna-LATHSAT_LOCUS14247 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKAKVTWLQMGDNNNAYFLASVKGKNKQKGLYNMVSLNGELLSNQEDIEKKIVDFYSKLVGTNSSNLKGIDVPTVIKGKTLPKEDAQQLISPVDDNEIWKALTSI >CAK8538357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478854873:478859735:1 gene:gene-LATHSAT_LOCUS7569 transcript:rna-LATHSAT_LOCUS7569 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQDHILRASQDDDMESLVLHDDGDHDSSGNLQQQPKSTESPFNSFLDPPSYAEAIFTSFDSNGHDQTLEQSTRSGSESECMQISVSDPQEEQELTNSLVPGGSTYHTYLITTRTDKSEFGVRRRFREVVTLSERLSEAYRGYVIPVRPEKSSVERKVMQKEEFVEQRRVALEKYLRKLGSHPVIGKSEELRLFLEAQGKLQLVRSTDVASRMLDGAVRLPRQLFGSESVAVDLNDVAQPAKSGRDLLRIFKELKQSVTNDWSAAKPLVVEEDKEFMEKKDKLMEFEQQLSNVSQQAESLVKYQQDMGETMGELGLAFVKLTKFETEEAEFESQRVRAADMKNVATAAVKASRLYRELNTQTIKHLDKLHEYLGTMLAINNAFSDRSSALLTVQTLSSELASLHSRIEKLEVASSRIFGGDKSRMRKIEELKEAVKVTENAKICADREYERIKENNRSELERIDQERQSDFQSMLRGFVVNQAGYAEKMAAVWEKLAEETAMYSRDNS >CAK8561972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419576260:419579543:1 gene:gene-LATHSAT_LOCUS15513 transcript:rna-LATHSAT_LOCUS15513 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAVRSTNSSTLNNEWEICFARFIPFPHSTTSSSYSSDLHPLPHRLRNRPPRGTWITSSTSAFLRFSLDLSLSDVILTVFFNGKLFEEHYVSKLNFSWPQVSCDPGFPARGIRTVLVNYKDYRGEIQKFAMQFPSIYETQSFICALKEILKDGKGPEPLNIDFGSEISSQSEFMSTNKQSYRASEELSFVTPADTYIPQLPICMNNEREQPSGVQKKEIASVHNIESILPALPPSFATFLMDCSGINHAQPTTTQENDLKSQIARYMEDSSFQDMLIKVEKVIGEIGGDMSL >CAK8577239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546576166:546576585:-1 gene:gene-LATHSAT_LOCUS29364 transcript:rna-LATHSAT_LOCUS29364 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRIDNGEKVRVWKDNWLSENAGLMVISLMHGLDSDAKVQELIDSDLCIWKNNLVQACFNQEEAKQILSIPISLRLPNDELVWNGKKYGEYSVRSVYHICIKEKVSKNLGPLTPLIRFFRRKFGTPRYKQELEASYGD >CAK8540862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26736339:26736569:-1 gene:gene-LATHSAT_LOCUS9824 transcript:rna-LATHSAT_LOCUS9824 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSGPHPNLATRLQRRASIQEKQVHLKLQGDLVEHIWERFGHEDDEI >CAK8544451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685810738:685821199:1 gene:gene-LATHSAT_LOCUS13127 transcript:rna-LATHSAT_LOCUS13127 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPEEQRLTEWRLHRGPYLGDISALCFLHLPNHPLPLLLAGLGSEILLYELEFGKIMKSYSVFDGVRVHGISSSSECVIALFGEKRVKLFSFSFEKNGDTGSPRLRLIHLLPKFSHWILDVCFLRGCLPCSNVENHFLAIGCSDNSVQIWDISNSNTVLKVQSPVRCLLYSMRLWGHNLEVLRIASGTIFNEIVVWKVASQHDKSSATPEDHEHQGSNCSSRKDNLYEAVHVCKLVGHEGSIFRIAWSSCGSKLLSVSDDRSARVWTIPTEREDSLYHDPIALVLFGHNARVWDCCISDDFIVTVSEDCTCRIWGIDGEQLQVIREHIGRGIWRCLYEPNLSLLITAGFDSAIKVHRLPAFLSRGMAEAQLSHGRTEMFSICIPNVLQHIGLTDSKSEYVRCLRFSSQDSLYVATNHGYLYHAKLCETGGAHWNQLVQVSNGPPIICMDLLSKDSFEHGCGDEDWIAIGDGKGNMTVIGVTNNDSTPTVRLSFTWQAEMERQLLGTYWCKSLGCRYVFTADPRGKLKLWRLPDPSQSNSQSSMRDSIVSHTAEFISSYGMRIMCLDACLEDEVLACGDLRGNMVLFPLLKNLVLGKSVAQEMKIPPVNHFKGVHGISSVSSVVVTKLGYNQIEIRSTGADGCVCYLEYDKEMQNLQFTGMKQVKELTLIEHVSVDNMSEATSSRSYAAGFASVDFIIWNLVNENKVVKIPCGGWRRPHSFFLGDVPEMKNCFAFVKDEMIYIHRHWINDNNGKIYPLSLHMQFHGREIHSLCFIHGDMLLGDYYKRALFSKSSWIATGCEDGTVRLTWYSPGIENWSTSKLLGEHVGGSAVRSICCVSKVHIIPSAATTVSDDRSELNVADVDKDNPTLLISVGAKRVLTSWLLKSTKLENKTDFPADNQQNSKEVDDQFLSSLSSSMTFQWLSTDMPTKYSTTHRYAENNAGKADVAENGSNIKIDAEPRMVNLVRDKHEDDWRYLAVTAFLVKCAGSRISVCFVVVACSDATIMLRALVLPFRLWFDIALLVPLLAPVLSLQHIIFPTRTRHKDSIQVGNAYIVISGSTDGCVTFWDLTDSVEAFMQRVSVCDVEKLFDCQKRPRTGRGSQGGRQWRFYSRGLSKKRQDNNMATSKAKNKDESINSTTHETSSVPNKSEDSNTVCSQAMHAASPELGNKTDNSSAEICEIQPLRLLKNVHQSGVNCLHVSEIKDGQNNDSSRMYSIVSGGDDQSLHHLVVELSPKVTNLNGILTPDRTYLATEPDYVKDTNFQNQSRSYEIRFLNSEKFPSAHSSSVKGVWTDGSWVFSTGLDQRVRCWHLHQSKLIERAHLIVSVPEPEALSARACSRNHYQIVVAGRGMQIVDFSGC >CAK8564934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17147420:17148224:-1 gene:gene-LATHSAT_LOCUS18184 transcript:rna-LATHSAT_LOCUS18184 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGRPYDTSLLVKYEQHVAYRIWFDERGSKKELKVAGHGLKLTQRVPLQLPREMDSWISRSSLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLLIRGVFWSPQDISEALVVEWAVDYLGVSQRITQQQVRECRGSYYKLEWLYDRFVEHIAASRWDYATRAYLLMLVVATAKNNRRKKQKSRHFRYLSQREGKETIAKT >CAK8570587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63754336:63754648:-1 gene:gene-LATHSAT_LOCUS23324 transcript:rna-LATHSAT_LOCUS23324 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRDLHLRTNWVATSVGVEIFWPDYHLLMTLDAAVVELMRFFLIHGSVYRKCYCIFVSLVRAIKDSLYSKL >CAK8535515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856953196:856954702:-1 gene:gene-LATHSAT_LOCUS4970 transcript:rna-LATHSAT_LOCUS4970 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVESKEWYVAAYCPEGVPTSDHLKLRTVSLSLDSDSIPDNHLAVETLLLSVDPYLRGTFTGVVEGLYMPQFQLNQVLSTFAVVKVIRSKDSAYNEGDIVLNGFGVASEYSIVPSSHIIRKIDPSNGISLPDYLGSLGIPGFAAWIGIEVLGDPKAGSNVFISAASGAVGMNAGQLAKNRGCRVIGSTSSDDKVKLIKEEFGYDDAFNYNIETDYDAALSKYFPDGIDVYLDNVGGKMLEAVLNHVNKRAKIPLCGMISQYNKVWTEREGVRSLLNLVGKEVRMEGFMLESYWHRFGDFAKDMERYIQEGKVKSKTKINIGIESFLESFNSLFSSSKIGKVVVQVKE >CAK8537653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415920351:415922507:1 gene:gene-LATHSAT_LOCUS6919 transcript:rna-LATHSAT_LOCUS6919 gene_biotype:protein_coding transcript_biotype:protein_coding MNFETLHSILAKSLTIIAWPPFSLLCPLYASFRALESDCRSNNQRCLAFWVLFSFSMIIESHFPLLFNWLQWWPHVKGVITILLLIPYFGAAPYIYRLLVKYYCTRNIFAWTMNIFNLKITQFELGEDRKEHLELDADRKIFFESVDDSERVEISGQTIITNHLQEKKVLIYQGRDGLAGCENTKSGCTSEKKVQKEWSCALCQISTTSENCLRSHLQGKQHKTKEKELRVGLHATDIPYVISFNQERIKGMVLLRNFNKIANILNPVSRSIVWCEWKKPEFGWTKLNTDGSVNRETAGFGGLLRDYRGEPICAFVSKAPQGDTFLVELWAIWRGLVLSFGLGIKSIWVESDSASVVNTINRIQHCPKAGTCLKQIWKLLSKFDEYRISHSWRETNRAADHLAKMDLCGNDVVLWPVDFSDSLCNIIQEDARGTKYLRR >CAK8539306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508821274:508821708:-1 gene:gene-LATHSAT_LOCUS8415 transcript:rna-LATHSAT_LOCUS8415 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTILFACHEFLNMKISDEKLTEKLKDTKVVEVYRDELANTKMRRKAVKKSVRFVDTERDALLEKDEEKDLMKGRRSCNKSDCMGEFGEREKVNIRVKVKMTREEAAKFLSKFNNKEGGVLPLEDVAPQLLALPLDRVTFLST >CAK8573348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604940802:604948440:1 gene:gene-LATHSAT_LOCUS25807 transcript:rna-LATHSAT_LOCUS25807 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMAATSERWIDRLQFSSLFWPPPQDGQQKKDQIAAYVEYLIQFTSEQFADDIAELIRNRYPSKEILLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDKTSPPFASLISLVCPKNENEYSEQWALACGEILRILTHYNRPIYKMERQSSETERSSSGSLATTSEPLNGKAVNNALAQEKKPIRPLSPWITDILLAAPVGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLVPSTPRWAVANGAGVILSVCDDEVARNETAILTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEEYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYSKQIEVPAAEASIDATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPANSSAVDLPEIIVAAPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFAATVEAILQRTFPPESSTEQNRKASYLFGIGSASKNLAVAELRTMVHSLFLESCASVELSSRLLFVVLTVCVSHEAQFSGSKKPNSVEEIIEDVQAISEIRKERKNRKVKKQGPVAAFDSYVMAAVCALACELQLFPLISRGNNHSLSNNGQDIAKPVTLHGSSQDLQNGLESAVRHTHRILAILEALFSLKPSSVGTPWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLIRCKWNKEIHSRASSLYNLIDIHSKVVASIVNKAEPLEATLIHAPIYKDSLVCHDGKRKNRSENGGSSDSRQTSTAPSEDSTPSKHSHKSGRTPCSNEEASGYNLGKGVTGFSLEASDLANFLTMDRHIGLNCNTQIFLISMLSEKQELCFSVVSLLWHKLIASPETQPCSESTSAQQGWRQVVDALCNVVSAAPAKAATAVVLQAEKELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHDSSESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEFGEPGMAVADGLSNLLKCRLAATIRCLCHPSAHVRTLSVSVLRDILHTGSIRCSPKPLRINGNHNPSYPYFKLDVVDWQADIEKCLTCEAHSRISAGLPIKFLDTAAKELGCAISI >CAK8531741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144760846:144761156:-1 gene:gene-LATHSAT_LOCUS1508 transcript:rna-LATHSAT_LOCUS1508 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLTRCFIQLERMSLWNLATTYKNYDAIDIMDALIELLVSLAASKGMYIDWCLERLGGILLLLNMSLIF >CAK8535040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806513005:806517875:-1 gene:gene-LATHSAT_LOCUS4523 transcript:rna-LATHSAT_LOCUS4523 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKWFKAILRRKKHKEDKSKQEKVQSTGEISNESCNGKQSPHEESSSTPNEGLMMDRTVPSRLIDNIAATRIQNAFRSFMARRTFQNLRGAENFEALIQDHMAREQTSTTLNYIHSWSRIQDQIRARRICMITAARIKQRRLESQLKIDAKINELEMDWCSGSETMEEIVSKIHQREEAAIKRERALAYAFSHQWRPNCNQYFGQASYSLSKESWGWSWMERWVAARPWEVRVQVQSPQTKKLNGQQQKTKVDKMNHNETKSPLAKASLSNGKEAEKGKKNKA >CAK8574941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16369927:16370338:1 gene:gene-LATHSAT_LOCUS27238 transcript:rna-LATHSAT_LOCUS27238 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIEETEVFGDENDISIVRTNSQVGTLALDFPSTSYIGKDHRDPETTQQGFSMNFVESVDDLSYSKTASIPCGGWN >CAK8570812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118832168:118835285:-1 gene:gene-LATHSAT_LOCUS23531 transcript:rna-LATHSAT_LOCUS23531-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERENWNENNNGYYGNQLLCDNDRESVTMHSSISSSPHKLGYIEHHVSKLDTLVGIAIKYGVEVTDIKRMNSLVTDHQIFALKTVQIPLPGRHPPSSRLSNGSSTPGYGNSSNSPPNNPLESFQSVRFKCSDQKVSPAMSSLQGYYGLQVLPNSSENGSSSKNTPTVDRPFSRHRKSKSLVNVILQEIMEKDNTAPAAETWELSSDKWNDKFAQRRQKSVADFTRIPELILREDIGSSGGLPSRTGKGLALRQKATSRTAVANDSESNGLTPVPMVTGDGCQTDSSSGVRKSSSTSCLQEHEDNGCASSIWPSSMWNLKPDLQALSTAAIGKPIFDGLPKPLTGRKNKAALD >CAK8570811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118832168:118835288:-1 gene:gene-LATHSAT_LOCUS23531 transcript:rna-LATHSAT_LOCUS23531 gene_biotype:protein_coding transcript_biotype:protein_coding MMERENWNENNNGYYGNQLLCDNDRESVTMHSSISSSPHKLGYIEHHVSKLDTLVGIAIKYGVEVTDIKRMNSLVTDHQIFALKTVQIPLPGRHPPSSRLSNGSSTPGYGNSSNSPPNNPLESFQSVRFKCSDQKVSPAMSSLQGYYGLQVLPNSSENGSSSKNTPTVDRPFSRHRKSKSLVNVILQEIMEKDNTAPAAETWELSSDKWNDKFAQRRQKSVADFTRIPELILREDIGSSGGLPSRTGKGLALRQKATSRTAVANDSESNGLTPVPMVTGDGCQTDSSSGVRKSSSTSCLQEHEDNGCASSIWPSSMWNLKPDLQALSTAAIGKPIFDGLPKPLTGRKNKAALD >CAK8542938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561199977:561203655:1 gene:gene-LATHSAT_LOCUS11735 transcript:rna-LATHSAT_LOCUS11735 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIENNHLQHQNTPEEEKESLKKRVWQESKKMWIVAGPTIFHRFSAFGITVVSQSFIGHIGPTELAAYAIVMTVLVRFANGILLGMASALETICGQAYGAKQYDILGVYLQRSWIVIFLATILLLPIYIFTIPILEALGQDKNVAIVAGSISLWSIGIIFAFSVSFTCQMFLQAQSKNKIIVYLAAVSISIHVFLSWLLTVKFKFGLNGAMTSIILAYWIPNLGQLFYIMKKCPDTWKGFSFLAFNDLWSFTKLSLSSGVMVCLEIWYTTILILITGNMKNAEIAIDAMSICMNINGWETTIAFGFLAAASVRVSNELGRGSSKGAKFSIVITVLTSFAIGILLFLIFLFLKKRIAYIFTPNPDVANAVEDLSLLLSFSILLNSIQPVLTGVSVGAGWQKVVACVNIGSYYLIGIPTGLVLGYVLHLQVKGVWIGMLFGIFVQTVILIIITFKTDWEKQVENARNRVNKLSLVENVESNDTPNSSS >CAK8570869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:139471855:139472973:-1 gene:gene-LATHSAT_LOCUS23581 transcript:rna-LATHSAT_LOCUS23581 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMSKKTPGTPSNINLNADMVTELRSYEAACKLDSDLQSFDTNLQARTNQVINTLAVGVEVRSLSFDSLRQVTECLLEMNQEVVKVILDCKKDIWQSQELFELVEEYFDNSLKTLDFCNALDKCLKRARDSQLLIHLALQKFEEESVSGDNCYARTLEELKNFKAIGDPFTEEFFQIYQSVYNQQVLMLEKLQLRKGKLDKKLKQIRTWKKVSLIIFVATVAAVLICSVVAAAVASPHIAAALAALTAIPVGSMGKWIDSLMKNYENALKGHKEVTIALEVGSYVAIKDLDNIRILVNRLEVEIESLKTNVDFAIEEEEAVKVAIEEIKKKLGVFMKNVEDLGAQADTCSRDIIRARTVVLQKIVKLPHK >CAK8564939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17888121:17890280:1 gene:gene-LATHSAT_LOCUS18189 transcript:rna-LATHSAT_LOCUS18189 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMKASFPLLMLLGIAFLASVCATSRSDRENPFIFESNRFQTLFENENGHIRLLQKFDQRSKIFENLQNYRLLEYKSKPHTIFLPQYTDADFILVVLSGKAILTVLKPDDRNSYNLERGDTIKLSAGTIAYLVNRDDDEDLRVLDLAIPVNRPGQVQSFLLSGNQYQQSILSGFSKNVLEASFNTGYEEIEKILLEEQEKETQHRRSLRDKRQQSQEEAVIVKLSREQIEELSKNAKSSSKKSVSSESEPFNLRSRNPIYSNKFGKFFEITPEKNPQLQDLDILVNSVEIKEGSLLLPHYNSRAIVIITVNEGKGDLELVAQRNENRQGQRKEDDEEEKKGEEEINTEVQNYKAKLSPGDVVVIPAGHPVAIKASSNLNLLGFGINAKNNQRNFLAGKEDNVISQIQRPVKELTFPGSASEVDRLLENQKQSYFANSQSQQREIGSHETVNRLSSILDTF >CAK8544441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684587008:684588203:-1 gene:gene-LATHSAT_LOCUS13119 transcript:rna-LATHSAT_LOCUS13119 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRLEGKVAIVTGAASGIGAEAVKTFADSGAFVVMADINDELGHQLAASIGLDKVSYHHCDVRDEKQVEQTVSFTLEKYGTLDIMFSNAGIPGPLSSILEFDLNEFDNTMAVNIRGAAAAIKHTARVMVDRKIRGSIICTASAAASVAGSAGHDYVTSKHGLVGLVCSTCGELGAYGIRVNSISPYLVATPLVCKALKMEVSEVEADANDCGNLKGVTLKAKHIAEAALFLASDESAYISGHNLVVDGGFSVINTSFPSIIKN >CAK8533841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664460516:664461023:-1 gene:gene-LATHSAT_LOCUS3432 transcript:rna-LATHSAT_LOCUS3432 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLLDAYLHQQTLRNKTGNSMTTSAMDSILKELKTHFPDKPISKEKIKDHMKHIKTKFNSCYDLFHNGLSGFGWDSTTNMWIAEDEVSNKLIEKKPEAAEWKNKPILFYDKLAKLFGKDRQQESMKVQLLK >CAK8544786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705640848:705641048:-1 gene:gene-LATHSAT_LOCUS13439 transcript:rna-LATHSAT_LOCUS13439 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPDFNIDDLLDEQPGPSSRPTNPPTIHHHEDMSSDSSESTRNERLGRGHRQRRIPRCGTGGHIR >CAK8574804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9701430:9702458:-1 gene:gene-LATHSAT_LOCUS27110 transcript:rna-LATHSAT_LOCUS27110 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDRIVNRIRHWSSKLLSYADRLQLINSTVTVIAAYWMNCLPFPKHVIKTINSICRTFLWTGSEEKSRKSPIAWKMVCKPRRKGGLNVLDLSEWNTACLTKLLWNLCNKKDFLWVKWIHTFYFKTTDILQVKEKHSMSWIFKAILRYRTIIIGMEDWNEMKEKYFVGKVYQLLKKDELDVEWKHMFSNSIARPRALFAMWIACHSRLATRRRLKKLGLTTDDSCKFCNKEETIDHLLFDCPPLKNCWQQILACLWFQHFPCEWREELEWLITQCKGKGWRKCILRSVVAETTYELWKYRNNIVFGNTVNLEIRDLVISTLANRGWANTRMSHHIATLLIE >CAK8533629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642813248:642815963:-1 gene:gene-LATHSAT_LOCUS3241 transcript:rna-LATHSAT_LOCUS3241 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIPRHYCSNFASTPILFHSNTHSKPLNYSFSHTNLGLRSFTTRCSYQPPPTHHSQFDDKHKGKSFCFKQSVISIALAVGLITGVPTLGWPNDAQAANSALSDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKISGVKALDSVERNVRQASRALKQGKTLIVSGLAESKKEHGIELLDKLEAGIDEFELILQDRNRSSVGPKQKELLQYVGGIEEDMVDGFPYEVPEEYRNMPLLKGRAAVDMKIKIKDNPNVDACVFHIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKIRTVPLEIMVEGEKAPVYGETLEELGLYKAQTKLPFNAFGTMAMAREEFEDNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDLLADLKVGDVIESIQVVSGLDNLVNPSYKIAG >CAK8564980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:20074214:20077404:-1 gene:gene-LATHSAT_LOCUS18227 transcript:rna-LATHSAT_LOCUS18227 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNHRPRFFSRRRSPDRPSVFYDIKAPLPDFRHDGIPLWEKKYCTLSGCVAWKKIIDSKELIYCHQNVLDWKDSGAEEAFQNAKKRYWAKFKNLPCDISLPDPDAYIEHVDWNPCIDPELIMEIDNAHFSIPDEEEQDGEEQENAIKNKRTKISVDGEDPWESAAKSLGRTVENIEVQGQNQGDYHDNSENVITTNDPWESAAKSLGRTVENNKVQGQNQGDYHDNSENVITTNDPWQSNFFHGNQRLTDNAWEGGHDKSWGWNDGGWSNSRDSSWCQQQPNNLANIGNSWEYNSSKQNVIPMNTGWGTSGTNVSRWKQQEKAYVSSDSQFRRNNGGGWTGGNQGYQMREGSNRHNSGYNGSQFQRGDSQTGHYWKREQSRKRDFRAR >CAK8575718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:275649239:275649949:1 gene:gene-LATHSAT_LOCUS27957 transcript:rna-LATHSAT_LOCUS27957 gene_biotype:protein_coding transcript_biotype:protein_coding MKETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHVSLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFIQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGVCL >CAK8544774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705192682:705192882:1 gene:gene-LATHSAT_LOCUS13427 transcript:rna-LATHSAT_LOCUS13427 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDMHGWCAAITTKPRGHVMIDNIEDEMLYQSDGMLHVLPTIEIESISCLHDDTQVDVFEEIFDT >CAK8564528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679910104:679913009:1 gene:gene-LATHSAT_LOCUS17827 transcript:rna-LATHSAT_LOCUS17827 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHDTDLEYMENEEERVAILISSRSSSTTTTGSGSGIREPLLIKNNRLNTTSQHAIVGANVCPIESLDYEIIENDIFKQDWRSRAKAEIIQYVYLKWTFALFIGLGTGFAAFFSNIGVENIAGVKLNLTNNLMLNQKFRQAFAVFAGCNMILAIAAATLCAYIAPAAAGSGIPEVKAYLNGIDAPSILATRTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIAYLLGQGGSTKYGLTWKGFRLFKNDRDRRDLITCGAAAGVAGAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKCFTEYCKNEKCGLFGEGGLIMFEVNYAKPSYSIPDILVVIFLGAVGGLLGSLYNCLVDKVLRTYSIINERGPIYKVILVMIISFLTSCCSFGVPWLSKCIPCPPQAGNQCPTTVGRSGHYKNFQCPPNHYNDLASLFFTTNDDAIRNLFIAGTDKRFLLSSLIIFFVAIYFLGIITYGIAIPSGLFIPVILAGASYGRVAGSLLSPFTVLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKSVADCFNKGVYDQIVEMKGLPYLEAHAEPYMRQLAAGDVVSGPLFTFSGIEKVGNILHTLKVTRHNGFPVIDEPPFSDGPELCGLVLRSHLLVVLKHKVFTKSQRESSSILKMLRAHDFGKPGAGKGIKLEELEISDEEMEMYVDLHPITNRSTYTVLETTSLGKAAMLFRELGLRHLLVVPKAAGRPPIVGILTRHDLMAEHVSGLYPHCVPTRKPSYYQETLAHS >CAK8535796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882615085:882615985:1 gene:gene-LATHSAT_LOCUS5219 transcript:rna-LATHSAT_LOCUS5219 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKVLRKKTVNMGYALTEATFNYYHGEIRRTNIEASNWIDNIHREKWARAFDEGQRWGYMTSNLAEAINFVLKATKNLPITALVHSTYYRMGSLFGKRGHKWTKMLATWKVFTDGCNKGMTDEVAKANTHNVMQFDRERFCFMVQEKINQNDDPPTDTFNVDLRNRCCDCGKFQAFHLPCSHVIATCSSIRKTTQFTFQRSSQYLTYSKYTKKASCDYRTRRTGQNMNVLLYATTIPLEEIKRDVQPVVELELRWTTLKKKREGVRFAEK >CAK8579231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685745637:685747983:1 gene:gene-LATHSAT_LOCUS31194 transcript:rna-LATHSAT_LOCUS31194 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTKTTSNFLKPLNHKNQPSTKPKRRKCRETTISTSASTSPNNPNQLPKPEPEPEPEPVSQLPDSPSSSSSSYTVRFSPGRFSPIMDFTHSSSPISNGHGHSGQDPFPSSFSKFNSALTAGLLNPMSPPPDKTRSSPTLFEMMVNEPDIHQRTTNQIPASSVQKPQIVIQDRETLMMQRISELLASRSPGNHFNDSSSSDIKLTLSSKDGFSFSMNVHRQILVAHSRFFSVKLSDRWTQQQQRSALPYLVEIADCDDVEVYVETLRLMYCKDLRKKLMKEDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEDKVASLLSELRLEAVGAGEVLKRVSTEVANGNEEGNDNEEVLLKLIRVVLEGKDEKARREMKGLVSKMLHENSSQNDLRKESLYSACDDCLQLLRHHFLRAAASDLQDVSQIARQADNLHWILDILIDRQIAEDFLKTWASESALSEAHSKVPAVHRFEVSRVTARLFVGIGKGQLLASKESRCLLLKTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNTILTLPLSWQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEQDRTRQLRITSASIEHS >CAK8530301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12870070:12873124:1 gene:gene-LATHSAT_LOCUS176 transcript:rna-LATHSAT_LOCUS176 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEGEKIFEVDLGNLMAFDSHHPFPSQQQSRVELVNECLQKGTELVQAIADSLFTLPSTEDIDGPLVKLPPPTTRLPREKHFPRPKPPTKWETFAQKKGIKNRKKDKVVFDEQSGTWKRRHGYDRANDEEAIPIIEAKPTDDPDEDPFAKRRENKKGRVDKQEKNRLQNLKNAAKFGALPSHVQLAATSLPITGTQAAPKKATKDELGNVAGIAATATASGGKFDKKLPGEKPAQHKGKYRKFLPVAEGTGIGSLEREQTEKILNKIMSKNNHDILNVNKAVTVHNVKKEKNRKSDKSKASSSNKLKPQKKSFKKGNAKKGNPKAQ >CAK8579792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722711342:722711623:-1 gene:gene-LATHSAT_LOCUS31708 transcript:rna-LATHSAT_LOCUS31708 gene_biotype:protein_coding transcript_biotype:protein_coding METGEQGLPSAIATAPTAGDPTASPPLIGDAVISPDPAVDFDYVAKTEVHALISDEEHDHVHEHELDHDFDHDHEHVHEHDHHDEGHERRSRG >CAK8570005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20481932:20482416:1 gene:gene-LATHSAT_LOCUS22796 transcript:rna-LATHSAT_LOCUS22796 gene_biotype:protein_coding transcript_biotype:protein_coding MICVIVSTFSVYITRADKKGVAIVKHIEDGVNPISAGEIFFSGKYLSAGIRIGLISGMVALTEAVAIGRTFAAMKDYSLDGNREMVALGTMNIIGSLTSCYVATY >CAK8544230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673291144:673292391:-1 gene:gene-LATHSAT_LOCUS12929 transcript:rna-LATHSAT_LOCUS12929 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKFKNAVLPLMKLQFQHRGIAKVRLKWVKNRSIDHIIDKETDLKAASLLKDAIKRSSTGFLTAKTFADWQKLLGLTVPVLRFMRRYPTLFHEFPHPRWNSLPCFRLTETAQLLDSQEQNIYTVHENDTVERLSRLLMMTKTRTVPLQSLYPLKFDLGFPDSFEKTLIPKYPERFQFVKASNGVSAVRLAQWCDEFAVSALQKSNECESDQYREFKRGKTALVFPMRFPRGYGGQKKVRFWMDEFQKLPYISPYADSSKIDPKSDLMEKRVVGVLHEILSLCLHKKTKRNYLRSLREELNLPHKFTRIFTRYPGIFYLSLKCKTTTVTLREGYARGKLVDPHPLARHRDKFYHVMRTGLLYRGDGSLKAGEDALMVDSMENDEIGDGDSEEEEVETSDEFCEDEVSDSDDSSSE >CAK8565609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292394774:292395271:-1 gene:gene-LATHSAT_LOCUS18806 transcript:rna-LATHSAT_LOCUS18806 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSRVFLFVLLGALACSSIDARKLGSDNGLKDEKNFYHPGFGGGAGAGGGGGFGGGVGSGGGLGGGSGSGFGAGGGSGGGLGGGGGLGGGGGGGFGGGGGVGGGSGFGGGSGFGGGAGGGSGLGGGGGGGFGGGGGSGGGLGGVGSGGGFGGGAGGGVGGGFP >CAK8533237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594797171:594798280:1 gene:gene-LATHSAT_LOCUS2882 transcript:rna-LATHSAT_LOCUS2882 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMQVAASNPGICARKKYQPHHFLSTPHGLSMNANPLSRTFLIPKAKYSSGLQCVPVLKSRKPLHVCLAGGKGMMDNSEDSQQKALEEAMKNLQEKIRKGEYSGGSGSKPPGGRSGGGGGGSGEPEDGMSDEALQIVFATIGFIFVYIYVINGVELCKLARDFIKYLLGGTQSVRLKRASYKWARLYKKITGQKEVDENGLENARTRWNIADFYRDVVRNYLKRDSNE >CAK8565049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31732933:31733592:-1 gene:gene-LATHSAT_LOCUS18290 transcript:rna-LATHSAT_LOCUS18290 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCDVYEDYSSEFDRNKISSSAITERNFKVEFNCKNMHVLSARRFRTVEVTKCHTFSHVTKDKMIQNTTILSWLSQMDIPQDAYWVVEEKILECLGHMAKTTHKNSRVFSIRVDICITRASEDEGSESDGEGYEINESDEDSSDEDIDEDIEFVPAEKSCIEDLERVEKEGKCSICFEDFNVCLVMPCSHMFHQKCISDWLKIGHSCPLCRFDLPT >CAK8564954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18470673:18472525:1 gene:gene-LATHSAT_LOCUS18203 transcript:rna-LATHSAT_LOCUS18203 gene_biotype:protein_coding transcript_biotype:protein_coding MFISKVFGVISLISLQFLLANCYSTKSLVPSLYVFGDSTVDAGNNNNLNTVAKANTFPYGIDFNNCSTGRFSNGKTYADLIAIKLGLPMPPPYISVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDLPRNFQSKIKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFVIIGIGPIGCIPGFVIRKPHTQDCNELINQVVKLFTTKLPRKLQQLKLKAKLSGSIFTVLDSFNLFMKIQNSPENFGLKNIWDSCVGEGGKPCGNRKEYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8567321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:504027904:504030285:1 gene:gene-LATHSAT_LOCUS20385 transcript:rna-LATHSAT_LOCUS20385 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSPEKKVGCGLITNVFGFGRHGLWPRRISSSAKSLPLPNHAANDQKRRRSGSKDVVYVDPPSRNSSTARSVSKSTCSSNNTNTNNNNNPPRKNQNETTMPQRVVPAQKASISPSTQATQGYVNQGRRVQKEAIGISGELESMINDHQKSKGSGNLVRASSSNVMLFGNLGNIRHGNQDDSYSVAMDNYNQYFENSNSNGGGGGHKKKVASIDNETKPSMNSGGGSLCRAISTRMDPEQLKIMGNEDYKNGRFAEALALYDAAISIDPKKAPYRSNRSAALTGLGRLLEAVFECREAIQIDPHYHRAHHRLGNLHLRLGETDKALYHYKQAGPEADLDEVAKVKIIQAHLNKCTEARRLGDWNTLITEASNTISSGADSAPQIFALQAEALIKLCRHHDADNVMSKSPNFDVDDCTKFFGPISNANLLVTRAQVDLAAGRFDDALEAAQKATRLDSNNKEAMKVLRKARAVTAARGRGNELFKASKFSEACIAYGEGLENDPCNSVLLCNRAACRLKLGQLEKAVEDCSAALSLRPSYTKARMRRADCNAKLERWEASIADYEILLRETPEDEELNRAMLEARVQLKKQRGG >CAK8535995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896855214:896856039:1 gene:gene-LATHSAT_LOCUS5405 transcript:rna-LATHSAT_LOCUS5405 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTHNHHHVLQLVLSCRKITAQVTNTTNSSIIAMASSSEQEFLAHYRSVLNRFPRSHRFWNAKVASRVGEKLGLRLREIGVTGVQIDVTEELSRPLHYRTMVSPLFRSVQHAGVHVSALFLTPLRH >CAK8535996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896855214:896855594:1 gene:gene-LATHSAT_LOCUS5405 transcript:rna-LATHSAT_LOCUS5405-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTHNHHHVLQLVLSCRKITAQVTNTTNSSIIAMASSSEQEFLAHYRSVLNRFPRSHRFWNAKVASRVGEKLGLRLREIGVTGVQIDVTEELSRPLHYRTMVSPLFRSVQHAGVHVSGADNFPSF >CAK8538086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462052040:462054440:1 gene:gene-LATHSAT_LOCUS7322 transcript:rna-LATHSAT_LOCUS7322 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGQKDGMDSVIHVHKLLDQFSCGNDHALKVRKPYTITKQREKWTDEEHKKFLEALKLYGRAWRKIEEHVGTKTAVQIRSHAQKFFSKINRDTNGNDTTLVESIEIPPPRPKRKPIHPYPRKLVEIPKNEVSNLEQPLRSNSLASLDFGQENNSPKSVLSAVASETLGFSDSDSPTRSLSPVSSLSAVHTSSFPLLETKSSSSEEDMSLQIDELNADSAHDEQPIMKPELFPKECVATNDETTEESPCRTLKLFGATLLVKDTCHPSSTSTNASKPIPTVHCTQQFKCGSSDIGVATVVPWWTLSHSSAFNNEPEEKLQHSNHGECEDKEIQKEGSSCAGSNNTSSINDGESNERSKDQAKSDHMQYLVGQSESVRLRTFGKGFVPYKRCMAERESHCSTVSDERREHQRMRLSL >CAK8530125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1899510:1903086:-1 gene:gene-LATHSAT_LOCUS18 transcript:rna-LATHSAT_LOCUS18 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIPSGNGNGNGNGSYFQFPPPGPSPIRSSDHRERFLAELLAERQKLGPFLQVLPQCTRLLTQEIRRISGFNHGFPEHERFEPDSPFRPLGQHPNSRPMDMEGWPAVPREDNGNLQRMGQFQAPPVGWHGAQGIPTTPVVKRVIRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKEEKLKDKPGYEHLNEPLHLLVEAEFPEDIINSRLDHAVAVLENLLKPVDESLDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNSNGMKRAKTGR >CAK8530126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1899510:1903086:-1 gene:gene-LATHSAT_LOCUS18 transcript:rna-LATHSAT_LOCUS18-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIPSGNGNGNGNGSYFQFPPPGPSPIRSSDHRERFLAELLAERQKLGPFLQVLPQCTRLLTQEIRRISGFNHGFPEHERFEPDSPFRPLGQHPNSRPMDMEGWPAVPREDNGNLQRMGQFQAPPVGWHGAQGIPTTPVVKRVIRLDVPVDKYPNQYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKEEKLKDKPGYEHLNEPLHLLVEAEFPEDIINSRLDHAVAVLENLLKPVDESLDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNSNGMKRAKTGR >CAK8572944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572400413:572401846:1 gene:gene-LATHSAT_LOCUS25446 transcript:rna-LATHSAT_LOCUS25446 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLPRLKCISLLNSFPQQTSTNNNIKQIHAQLITNNLNSPKLFAKLIDHYSSTSPNPNFLYSIFYYFHTPLLFLFNTLLRCTPLNHSIHIFKTYFSKQLIHFDHHTFNFILGASARSPSYSTLKLGTQLHSLIIKQGFGSNVLIPTTLIHFYASNRDVKSARKVFDEMSDRTVVTWNAMITGYCSVKDGNGKNAVDGLCLFKKMLMVGGNEVRPNGRTVVCLLSAASQSGMMEIGVCLHGFAVKLLCKVEEDVFIGTGLVDMYSKCGCLESALYVFWRMKLRNVLTWTAMTTGLAIHGRGEEALKILYKMGDDGVRPNETTFTSLLSACCHAGLVEEGLRLFRDMEPKFGVVPRIQHYGCVVDLLGRNGNLNEAYDFIMAMPISPDAVIWRSLLSACKIHGDVVMGDKVGRFLLKFKEKSHEDLAHKSEDYVALSNVYASAERWNDVEVVRNKMKTKGIFNKSGLSSVQTLNNPL >CAK8564432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673995842:673997449:1 gene:gene-LATHSAT_LOCUS17738 transcript:rna-LATHSAT_LOCUS17738 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLTQVAPHEAEKQIEVSLKQSLESLEPSLRPPFTLTIPKPDEYAQLNCAILHGILTEPQFAKTHIKHLHALVTDGYAIFLNLLLKSVYHLYPKLLSSVKNQLLWVTNEMVHVSAIGYDALLVSLLRQIVGGDFSDDNLWLCTKLVTLLLNKWDCFLEEAPHILCSALYVFLRVLADHYRLNGEKLESLKRLEVHLCVKMVKDEFHLILKIGRDFVRLLQDLVHVPEFKSIWKDLILNPSKFSTLGFGGVSQIYCTRTSSRYALLRITPEMETQLRFLLTHVKLGHEKRHLTWFARKFLNEPDKETVIVDIVRFICCAHHPPNEIIQSDVIPRWAVIGWLLTTCRRKNYIEANVKLALFYDWLFFDERVDNIMNIEPAILLMVHSIPQYVDMTNTLLEFLLLLVDNYDMEHKDIIVKSVSSAFRFLESKGVIKSLDVLTSCPTISPSLKEGLSRLLSCGKRESSKGFLSVPSQSGQQMV >CAK8565316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:116973886:116974740:-1 gene:gene-LATHSAT_LOCUS18539 transcript:rna-LATHSAT_LOCUS18539 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFLGDIPWVKPQSNPDSAAQLVQTKVFVSQAKQESSGVDLKLLGWPLSFLSFFPWANNAGEKFQRPTTINKELKRHAQIPENVAGKGNVATPLRFRPYVCKVPWHTGVRAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDKRPIDWLDYCCYCHDIGYDTHDQAKLLKADLAFLECLENRHIMRTKGDPNIAHLYKTMCINGLKNFLIPYRTNLVSLQQSGQSLIQFGWVSNLRRRSWNYQKT >CAK8538408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481751254:481751882:1 gene:gene-LATHSAT_LOCUS7616 transcript:rna-LATHSAT_LOCUS7616-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNAILILGLVAMVLLISSQVSARDLTDTSTNTKDVVVEKSHEVNDAKYGGRDYGPGGGYNGGGGYTGGGYNGGGGYHGGPGGGYNGGGGYHGGPGGGYNGGGGYHGGGRCRYGCCGGRYGGCRCCSYAGEAVAVHTEDSTRN >CAK8538407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481751254:481751882:1 gene:gene-LATHSAT_LOCUS7616 transcript:rna-LATHSAT_LOCUS7616 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNAILILGLVAMVLLISSQVSARDLTDTSTNTKDVVVEKSHEVNDAKYGGRDYGPGGGYNGGGGYNGGGGYHGGPGGGYNGGGGYHGGPGGGYNGGGGYHGGPGGGYNGGGGYHGGGRCRYGCCGGRYGGCRCCSYAGEAVAVHTEDSTRN >CAK8539925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531317500:531319732:1 gene:gene-LATHSAT_LOCUS8977 transcript:rna-LATHSAT_LOCUS8977 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFPFFFLLAILVCCFNINVEAAGGGNGFVRTRGFHFMLNGNPYYANGFNAYWLMYTAADPSQRYKVSNAFREARNHGLTVARTWAFSDGGYSPLQYSPGFYNEQMFKGLDFVISEARKHGIKLILSLVNNYESFGGKKQYVNWARSNNGQYLTSDDDFFRNPVVKGFYINHVKTVLNRYNSFTGIHYKDDSTIMAWELMNEPRCTSDPSGRTIQGWITEMASLVKSIDRNHLLEAGLEGFYGQSTPQRTKLNPAINIGTDFIANNRIPNIDFATVHCYPDQWVQSSNEQDQIAFLNNWLSAHFLDAQYALKKPILVAEFGKSFKDSGYSTNQRDQLFNTVLYKIYSSAKRGGPASGALFWQLLTGGMESFDDGYGIMLGQSSSTANVIAQQSHKLYLIRKIYSKIANERRWKRARGNRGGQIGF >CAK8532422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259780894:259781850:1 gene:gene-LATHSAT_LOCUS2129 transcript:rna-LATHSAT_LOCUS2129-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTYNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8532421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259780822:259781850:1 gene:gene-LATHSAT_LOCUS2129 transcript:rna-LATHSAT_LOCUS2129 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDNHQNNLIKNEELIEYPKNMDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTYNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8563789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631164479:631165156:1 gene:gene-LATHSAT_LOCUS17164 transcript:rna-LATHSAT_LOCUS17164 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLYKYVGVFVVVFVLVIGTCECRKIKKHDFGDNFGAGGLGGGSGQGFGGGFGGGNGGGGGGFGGGGGGGSGGGLGGGSGSGFGGGFGRGGGNGNGGGGGGGGFGGGGGGGNGGGVAGGRSRGVGRGRGFGGGAGHGAGFGGGGGRGVGGGSGRGAGGGFGRGGGGFGGGAGGGSGSGGGLDGGFGSGGGFGFGIGGGISGGFGGGAGGGGGGSGAGAGAGGKV >CAK8535828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883967623:883969050:-1 gene:gene-LATHSAT_LOCUS5248 transcript:rna-LATHSAT_LOCUS5248 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAKVGFKAGVKDYKLTYYTSDYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSAGTWTTVWTDGLTSLDRYKGRCYEIEPVPGEDNQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKSQAETGEIKGHYLNASAGTCEEMLKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFIDLLRDDYIEKDRSRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8541320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:119938636:119938948:-1 gene:gene-LATHSAT_LOCUS10249 transcript:rna-LATHSAT_LOCUS10249 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHHLSLLCILSIAMILLPAASANFQDPPGRTCIHKCKDVTDGVCYKSCYAMGFKSGGDCFSDDPDNSVCCCIKN >CAK8534317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:715962933:715963295:-1 gene:gene-LATHSAT_LOCUS3869 transcript:rna-LATHSAT_LOCUS3869 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPKNTTKVLLSYGGKLLPRCPDIKLRYTGGHTRVLTLFLPISFSKLMVKFVELCGSSVTLRCPLPNGNLETLISITSDEDLANVIKEYDRASSSLHHPLKIRAVLSPPKSLKKVSTP >CAK8534833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:774198176:774202520:1 gene:gene-LATHSAT_LOCUS4343 transcript:rna-LATHSAT_LOCUS4343 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVAADISTDANKMDSQAKAESEFSVQKLVDMFTKLNPLAKEFFPSSYGPSNDHRRQGCNIVRPNNFLVNTKASPNDDHPNNRRRRNNFTQGRRRLNGRSLKAQREDSVRRTVYVSDIDQHVTEERLAALFTNCGQVIDCRICGDPHSVLRFAFVEFADEHGARAALSLGGTVLGYYPVRVLPSKTAILPVNPTFLPRSDDEREMCTRTVYCTNIDKKISQAEVKSFFESSCRGEVTRLRLLGDQVHSTRIAFVEFAIAESAILALNCSGMLLGTQPIRVSPSKTPVRPRVTRPVSR >CAK8566677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448126359:448127129:1 gene:gene-LATHSAT_LOCUS19791 transcript:rna-LATHSAT_LOCUS19791 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFDLEVEAVAEYESEVSSHVASNISIHGFETSPGSYSNGFTNSSNITNPREFLPYSNPISLDLTLSFNNNNDDLVPRDSTGLSFSSTSESSNEPTIVPRVFSCNYCHRKFYSSQALGGHQNAHKRERIITKRAMRMGLFSERHASLASLPLNGSFRSLGIKSHSSLHHGFSPPTIRPSPEIKSNARFKQGCIGHPIFLEDDETELLWQGSFHQVTEGGSNNTHPSSNLCFTEVNAKPLVDNIENSAPELSLKL >CAK8544962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714803064:714810254:-1 gene:gene-LATHSAT_LOCUS13599 transcript:rna-LATHSAT_LOCUS13599 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAEIDERVDLDEEHYMEEMDDDVEEQIDDDGVDVGEDENAEGSGEDNEYEESAAEVGGKEQFPEGEKSDRAAEEDERKSPLIDDDEREKYDKLLALPPHGSEVFIGGLPRDTCDDDLRELCEPMGDIVEIKLIKDRDTGDSKGYAFVAFKTKEEAQKAIEDIHNKEFKSKTLRCSLSETKHRLFIGNVPKTWTEDEFRKAVESVGPGVESIDLIKDPQNQSKNRGFAFVLYYNNACADYSRQKMSNSSFKLDGNTPTVTWADPKTSPDNSAASSQVKALYVKNIPENVTTDQLKELFRRHGEVTKVVMPPGKAGGKRDFGFIHYAERSSALKAVKETEKYEIDGQALEVVIAKPQAEKKPDGGYGYNPGLHPNHLPHPGYGNFSGSLYGSVGAGYGVAAGYQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGVQVPAPRPRRNDRGNGPGGQPGRGGGSGNDEGNRNRRYRPY >CAK8568451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606747267:606747662:1 gene:gene-LATHSAT_LOCUS21407 transcript:rna-LATHSAT_LOCUS21407 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKGSKPSTSPCAFLRDAYHNCFHRWYTEKFVKGQYDKEECVSEWQNYRACLSEHLENKHMIRILEGENVVQDTAVASQ >CAK8562033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:429298316:429305671:-1 gene:gene-LATHSAT_LOCUS15569 transcript:rna-LATHSAT_LOCUS15569 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYALHQGWDNNSALEGYGAVHEPNFRVGDSYDERRFIDERYPRDAVYQRNNFHRDVLDRDAYLPPGPAVGQWSQSKRRGYDEDYPLERESRRFQRPYHEPYNQMDGFRDREIDIYPEYAKFRDGYTNIENYGDRGYDKPARFAGHDRDDYAYDDYGHKSRVSHHRREDSHERDYDHGRHSYDSDYERDGRKDSNWRRRESRDRERDKRCLSREKDPSPQRKHEHSRSRSRSHSRSRSHSRSHSHSRSRSQSRGYDDNPKSRSPRGRSHSRSYREDSYVDNRYDRSERRRDRDDKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVEAAQGMMDKLGDDGLVVDGRKLFFEYSSKPTGSAGPDGAMKSGHIHKSITVSSDWMCTICSYINFARRTSCYQCNEPRTEDAPAADIALSNSSSLGKKALEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALDLTNGTALEKNGQILRVAYAKSILGPGSGASGTSQSSSLAAAAIEAATFSQQYDSVGWAPKEYNPDDKQSNGAEQTGTDAGAPQSGFIWDEASGYYYDATSGFYYDGNTGLYYDGNNGIWYSYDHHTQQYIPCTDQNQNKSSNNESEPSKAPDASSTKKVVISAPATTVTSNEKPASLADAVQAAAAAALAAEKKEKEKSKEIKLASKNSILANKKKISNVLTMWKQRSHEGQATRVALEENQLSGSVEDKSYSSAQSAKNKLKTETAPREINASNLGGHATAQVAAIDSQPQPRPFSNSLGGTLMGVIRGSGRGIVKSDTSYSGSTSATPFMSGSSANVDAQTFATPFKTDVSSLGSYTQSASVGSGRRRFSEMPLSASTHKEQSQTTTYRDRAAERRSLYGSSSSVGNDLSDLEIGDSTRDFASRRGDTMPFPPGVGGGRTVGDVNLDTFEVITADKAIDENNVGNRMLRNMGWQEGLGLGKDGSGMIEPVQTQAMENRAGLGSQQKKLDPSLEVQAGDSYKMLIHKKALARFREM >CAK8579306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690626348:690626710:-1 gene:gene-LATHSAT_LOCUS31261 transcript:rna-LATHSAT_LOCUS31261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFEIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >CAK8534981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799777539:799781265:1 gene:gene-LATHSAT_LOCUS4471 transcript:rna-LATHSAT_LOCUS4471 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDSNIVVMLVGNKADLRHLVAVSTEDGKSYAEKESLYFMETSALEATNVESAFAEVLTQIYHIVSKKTVEGAENGNASVPAKGEKIDLKNDVSALKRVGCCSS >CAK8543857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644909813:644912568:1 gene:gene-LATHSAT_LOCUS12582 transcript:rna-LATHSAT_LOCUS12582 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAAITKNNGYESFCVTNAKNNNMKVNSADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVKVELSESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESSHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLINNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGPSGEILNAREAFQSAGINDGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAIEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNIVSQVAKRTLTTGVNGELHPSRFCEKDLLRVVDREHVFAYIDDPCSATYPLMQKLRQVLVDHALVNGESEKNLNTSIFQKIATFEEELKTLLPKEVESTRVAYESGNPTVPNKINGCRSYPLYRFVRQELGTGLLTGENVISPGEECDKLFTAMCQGKIIDPLLECLGEWNGAPLPIC >CAK8540060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537681549:537690233:1 gene:gene-LATHSAT_LOCUS9098 transcript:rna-LATHSAT_LOCUS9098 gene_biotype:protein_coding transcript_biotype:protein_coding MVIENDIESCGSRAVQSHANPRHHRQKLEVYNEVLRRIQDSDCEEAHVPGFDDQLWLHFNRLPARYALDVNVERAEDVLAHKRLLEIAEDPANRPAFQVRLVQVHPFGSANHNDSSMHSDQSEKEDAQSSLNYSLKQGIHAPPTFGSSSNLETLALQTNKNNIEDGENAIGVTPNFNRPMHEITFSTIDKPKLLSQLTLILGEVGLNIQEAHAFSTSDGFSLDVFVVEGWPNEETEELKVLLEKEIWKVKDQYLSNPGILYSLNDQHQTRMESPPHCIQIPSDGADVWEIDTSQLKYENKVGSGSFGDLFRGTYCSQDVAIKVLKPERINTDMLKEFAQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMSRGSLYDFLHRQRGVFKLPSLIKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENELVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDQKADVFSFGISLWELLTGELPYSYLTPLQAAVGVVQKGLRPIIPKHTHPRLSELLERCWQQDPKERPAFSEIIEILLQIAKEVNDEKLDRHKDKSSHGFLSSLRRGHH >CAK8544620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696213233:696214550:-1 gene:gene-LATHSAT_LOCUS13279 transcript:rna-LATHSAT_LOCUS13279 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAAGAKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNIYELRYFNIAENEGEEED >CAK8564436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674155592:674155963:1 gene:gene-LATHSAT_LOCUS17742 transcript:rna-LATHSAT_LOCUS17742 gene_biotype:protein_coding transcript_biotype:protein_coding MNMANQPNNENHRPPSSAALNQKTDEDQAISGPSAMGFIDSLEQRYQKIKEHAEAYPYVWASYIVVYGGFGLWTTYRWRKLRRTEDSVRKLQQRLRELVEAEQPATSAKVVEKGSASYEKPSK >CAK8564437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674155598:674155963:1 gene:gene-LATHSAT_LOCUS17742 transcript:rna-LATHSAT_LOCUS17742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPNNENHRPPSSAALNQKTDEDQAISGPSAMGFIDSLEQRYQKIKEHAEAYPYVWASYIVVYGGFGLWTTYRWRKLRRTEDSVRKLQQRLRELVEAEQPATSAKVVEKGSASYEKPSK >CAK8536812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22990275:22990587:1 gene:gene-LATHSAT_LOCUS6144 transcript:rna-LATHSAT_LOCUS6144 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLLFEQTWQLKTFDEITTFPRELSVNQRQRFQRRKFSAYGYWLKRSGLVEAGFGDDESVGDIITEAGK >CAK8544019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656859275:656861485:1 gene:gene-LATHSAT_LOCUS12730 transcript:rna-LATHSAT_LOCUS12730 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDHNHHHQHQQHQHHHHHEQQQQQHYGGSSSSNTITDLTQLINIGQRSTTIPTHFPTAELFPSGNHPNLAATATQQQYEMMMFGRQVADIMPRSLHDFVSTDSAAVVAAAATTTTTSASTPSLSGNLEAETTGCIGGGGGGNIVDASTGRWPRQETLTLLEIRSRLDPQFKEATQKGPLWDQLSRIMCEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGENNNPCSSSLPQNNFGTNNNQEMFHSHNKYCDSLSLTNSTEFDTSSSDNDNDHSIEGSMEKRKKRMSGRSFWKVKIKDFIDSQVKKLVEKQEEWLEKLTKTLEQKEKERVLREEEWRKQEKERLEKEHSFWAKERAWIEARDAALMEALQKLKGKDDETITKTQSSSHDGNKKRKENTRGLFYFDNNNNVERSCLYNNNGVGVGSSYCDDQIQREVQRNDGSNSNNIVVAAESCFPFLMGEGGNLWDNYRVNKPNQNQ >CAK8543445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608234082:608243037:-1 gene:gene-LATHSAT_LOCUS12202 transcript:rna-LATHSAT_LOCUS12202 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILVKNLPKYVAEDRLKELFSQKGEITDVKLMRTKNGKSRQFAFIGFRTDKEAQEAIRYFNRSYLGTLRITCEEAKKHGDASLPHPWSRHSTKVDNKVIPTDANNHAKAKGQGDYSKNIDDPKLQDFLQVMQPRAKSKMWANDTSVVSNDGNNQAPLNKKTKGTSVASIPIVSVSQVDGLPNNPESDKSHELKHDKVISDMDYFKSKVTTEWSDSGSSDDENDDSNSGLASSDDDDKDNHIHASKREENCGNKLSERTPKSDDQQLDLEGQEDTSREDVANDKSHVNPTEEEGQLSNPEDKKGVSESCRLFVRNLPYTTSEEELEEHFSQFGSVSQVHLVVDKETKRSKGIAYIHFSVPEFAARALEESDNSIFQGRLLHVMPAIPRHSNNEENKDQDSKTLKQRREEERKAAEASGDTRAWNSLFMRPDTVVENIARKYGVSKSDLMDREADDLAVRIALGETQIISETKNAFKKAGVNVESLEELTKGKVEGLKRSNHVLLAKNLPYGSTEDELAKMFGKFGSLDKIILPSTKTLALVVFLEPAEAKAAFKGLAYKRYKDAPLYLEWAPSDILIPKSTSETNEVNGGIGEKDVKRLILEQDVERISDMDIDPDRVEARSLFVKNLNFKTTDESLKKHFSENMKEGRILSVKVKKHLKNGKNVSMGFGFVEFDSAETATSVRSNLQGTVLDSHALNLQPCHVKDGGKVPKKVEKDKSSTKLLVKNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGNHRGFAFVEYVTQQEAQNALTALSSTHLYGRHLVIERAKEGESLEELRARTAAQFNEHSGFQDANSSKKRKAFSMLDEENMKFQRFD >CAK8564277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665224887:665228570:-1 gene:gene-LATHSAT_LOCUS17599 transcript:rna-LATHSAT_LOCUS17599 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITTTTVPVKLKLSDTRISPLFSSSIPHSLSFNYSPSYSTVRYGVSLRTSAYGNNFRRPPPPSDYGEDEQALDLSKIRSNTVRLIDQSQNMVGIVSLDQAIRTAEDAALDLVIMSADADPPVVRIMNYSKYKYEMQKKKRDQLKRSAANRMDLKELKMGCNIDQHDYSVRLKAARKFLADGDKVKIIVNLKGRQKDFRNNAIELIKRFQNDIGKLGTEEAKNFHDKNVHITLLPNKAEVQKTQETPKKAEVQKPQETPKEAAEDEVSVSVEA >CAK8541753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:385115333:385115668:-1 gene:gene-LATHSAT_LOCUS10649 transcript:rna-LATHSAT_LOCUS10649 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKIVVNAGYALNQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSDVLQ >CAK8534758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:764620582:764623820:1 gene:gene-LATHSAT_LOCUS4273 transcript:rna-LATHSAT_LOCUS4273 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNSGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLTKLGVTMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEDGLAEAIDDGKIGPRDDPKIRSKILSEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALSEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAATLVTDIRKRKGLKEQMTPLSDFEDKI >CAK8562577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511227922:511228152:1 gene:gene-LATHSAT_LOCUS16064 transcript:rna-LATHSAT_LOCUS16064 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVFEMNSMELVKQSKNVNGGDIWAELVEIGVEPSSLPLVYMYLVKNVDALKVFNGIPIDKRKEMLHIIVPDYPF >CAK8534423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725048893:725057245:-1 gene:gene-LATHSAT_LOCUS3970 transcript:rna-LATHSAT_LOCUS3970 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSSSSTTGDSSRIATRSSIVESIRGCGMSSTRVDKEELRRCLTMPQYLRSAMRDSIRLQDPNAGEGGCIREEGEENDVAPLTPMVVFINPRSGGRHGSDLMERLQDLMSDEQVFDLLDVKPNEFIQYGLSCLETLASSGDTCAKETRERIRIMVAGGDGSVGWVLGCLTELNTQGREPVPPVGIIPLGTGNDLSRCFGWGGSFPFAWKAAIKRTLYKASIGPIYRLDSWRVSLSMPEGTTVDPPYSLKQTEEFSLDEGLEVEGELPEKVICYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPIANKLIYSGYTCTQGWFFTPCTSDPGFRGLKNIMRMHVKKINSSEWELVPVPTSVRAVVALNLHSYGSGRNPWGTLKPEYLEKRGFVEARVDDGLLEIFGLKQGWHASFVMVELISAKHIAQATAIRLEVRGGEWKDAYMQMDGEPWKQPLSKDFSTFVEIRREPFQSLVISGE >CAK8538721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493814828:493817455:1 gene:gene-LATHSAT_LOCUS7892 transcript:rna-LATHSAT_LOCUS7892 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETENQNGFKLVGFKNFVRTNPKSDRFKVKRFHHVEFWCTDATNTARRFSWGLGMPIVAKSDLSTGNSTHASYLLRSGNLNFLFSAAYSPSISLSSPSSTASIPTFDAATSFAFSASHGLGVRAVAIEVEDAQVAFTTSVAHGAIPASPPVVLDNRVKLAEVRLYGDVVLRYVSYNNPNQTSEPNPDQWFLPGFEPVSDESSHSSLDFGIRRLDHAVGNVPELSSAIKYLKEFTGFHEFAEFTAEDVGTAESGLNSAVLANNEETVLLPLNEPVYGTKRKSQIETYLEHNEGAGLQHLALTSEDIFKTLREMRKRSGVGGFEFMPSPPPTYYRNLKNRVGDVLNDEQIKECEELGILVDKDDQGTLLQIFTKPVGDRPTIFIEIIQRVGCMLKDEEGKEYQKGGCGGFGKGNFSELFKSIEEYEKTLETRRTA >CAK8542008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:454301228:454301626:-1 gene:gene-LATHSAT_LOCUS10885 transcript:rna-LATHSAT_LOCUS10885 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQEFPNQIPNKYPFLWPFTQKVKIGPLTVSPMGFGTWEWGNQILWGYKESMDGDLQQVFNMAVENGVNLFDTADSYGTGRFNGQSEKLLGKFIRDF >CAK8572886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569974439:569975176:-1 gene:gene-LATHSAT_LOCUS25394 transcript:rna-LATHSAT_LOCUS25394 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDPKRRLTAQEVLGIHPWLINAKKAPNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEEAARLKEGFKLMDTSNTCKINIDELRIGLHKLGHQIPDADVQILMEAGDVDRDG >CAK8567935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557374687:557376068:-1 gene:gene-LATHSAT_LOCUS20943 transcript:rna-LATHSAT_LOCUS20943 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTMGTTTAPSKSNFKKVRTRMLEENQYNRIKNTLFCQPEEADDVNFYLNFHPSGHIGYEGYDFGFDIPQWMPMTFRPTLQVNLNSICVYLAAYIFIRDDEKIYGNQVLVKTTSNVFGDSTTLKSLMPYCPIDQEQYLMDGQYSADYVREIYQVNFMTTKSFVSKIFIPMNDQGNHWYLLVVDFIERKLIWLDPLPDVDRHHMRRHQILKMTLFLEEIMLHDFFGELCPYYLDNLVTNFWIVQPRFLSKQRTES >CAK8574046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655277402:655281109:-1 gene:gene-LATHSAT_LOCUS26429 transcript:rna-LATHSAT_LOCUS26429 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLLILLLQLSHFLLIVQSSQNPDFEALVAFKTASDPSKKLTTWKTGTNPCTWDGVSCVNDRVSRLVLENLELQGSIQPLTSLTQLRVLSLKGNRFSGQVPSLSNFTSLKLLFLSHNHFSGEFPVTATSLFRLFRLDLSYNNFSGEIPVTVNRLPHLLTLRLDENQFSGIIPELNLPSLQDFNVSGNRLSGEIPKTLSTFSESSFRQNSFLCGAPLEKCKAEPNKPGSEGAIATSPLVPSSETPTTTVSSSPSTMPTTTPTTTTTNPKSHEKRASKMSPIVLIAIIVGDILVLGIVCLLLYCYFWRNYSSKSKERKGLKLFESEKIVYSSSPYPTQGGFERGRMVFFEGEKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDAQIAGKREFEQHMEVLGRIRHPNVVSLRAYYFAREEKLLVYDFMPNATLFWLLHGNRGPGRTPLDWTTRLKIAAGAAQGVTFIHNSCKSLKLTHGNIKSTNILLDKQGNARVSDFGLSIFNSPSPVGGGGRSNGYRAPEVTDGRKHSQKSDVYSFGVLLLELLTGKCPSAVESGGSGGGYGGGMVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMSCTAASPDQRPRMSHVVKMIEDLRGVEVSPCHDTAGSVSDSPSLSEDACAGTSSQ >CAK8574471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:316227:317152:1 gene:gene-LATHSAT_LOCUS26815 transcript:rna-LATHSAT_LOCUS26815 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKYSEEWNAAQAQLNGTVHCAYPQWIEILCHDINVHIPHHISPKIPSYNLRAAHKSLQENWGKYLNEASWNWRLMKTILTVCHVYDKEQNYVSFDEVAPEESGSIKFLKKVMPDHA >CAK8564715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7509519:7510054:1 gene:gene-LATHSAT_LOCUS17988 transcript:rna-LATHSAT_LOCUS17988 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQAGIVNNSFISSMKKGALLVNVARGRLLDYEAVVEHLESGHLGGLGTDVAWTEPFDPDDRILKFKNVIMTPHIAGVTEHSYRSMAKVVGDVVLQLHDGLPLTGIELVN >CAK8533977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:678232563:678233495:1 gene:gene-LATHSAT_LOCUS3558 transcript:rna-LATHSAT_LOCUS3558-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLNGASLISNDTDYPCRVQLGRYAFIDVTQTEYTGGYNDEKLPNVSKMIYALDKGAGSSCEIKFTIRKTDTGYLEPPDTSLHVIKDIREEMSILDWGPDSNRTIDVWGGVTELSTFLYGKGRRASLVVLESKKKSISDPNPYMVTVVHYLAVDDGDFFNPKMDIGLSVAVKIQASNDFELKYTIEGPERHPSSSLFYMFDQVRKHSGAWKSSWCPHCANLQKQRKSLQTSESEDNDSFPPRHGNGYKQKSESMVANESRVKGNYNGSLFTKYLHFHKR >CAK8533978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:678232563:678233495:1 gene:gene-LATHSAT_LOCUS3558 transcript:rna-LATHSAT_LOCUS3558 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLNGASLISNDTDYPCRVQLGRYAFIDVTQTEYTGGYNDEKLPNVSKMIYALGTSSICKLKFERNRPMKNNKKAILSIHDADKGAGSSCEIKFTIRKTDTGYLEPPDTSLHVIKDIREEMSILDWGPDSNRTIDVWGGVTELSTFLYGKGRRASLVVLESKKKSISDPNPYMVTVVHYLAVDDGDFFNPKMDIGLSVAVKIQASNDFELKYTIEGPERHPSSSLFYMFDQVRKHSGAWKSSWCPHCANLQKQRKSLQTSESEDNDSFPPRHGNGYKQKSESMVANESRVKGNYNGSLFTKYLHFHKR >CAK8543744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635982181:635982534:-1 gene:gene-LATHSAT_LOCUS12484 transcript:rna-LATHSAT_LOCUS12484 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLSNMFRLHMTTPWPILTYATTWVTLLTLTVAVASISPQVTFVSAISPYSLFSHKCEKDGFIRMPLDVPGEIICIPSDLFVKSKIDLIVPPIFAALIVAASTLVVRAVALFVGE >CAK8543608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:625870016:625878658:-1 gene:gene-LATHSAT_LOCUS12356 transcript:rna-LATHSAT_LOCUS12356 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGASLPPGFRFYPSDQELVCHYLYKKITNQQILNHSLLEIDLHICEPWELPEVAKLNANEWYFFSFRDRKYATGFRTNRATISGYWKATGKDRVVLDPMSEEVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKEDNNSKVNPQEFIYETTPPSLTLASSSPTNYQTIPTGYNRIDSFSSSMTTLHHFNPNQNNNSSIINNLLQYSPQTNHHHNNNNSTVTQISSKCDDEYGFLWNMDLEENSLEDGVASNLDAIRFQVDNNNNNNNNNNNTSNINNNNMVLL >CAK8561900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:407344994:407347069:-1 gene:gene-LATHSAT_LOCUS15448 transcript:rna-LATHSAT_LOCUS15448 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGAATARTKRIFKLTLSLLGLGFNSSKCKTAAKMAVARIKLLKNKREVVVRQMRRDIALLLQSGQDATARIRVEHVMREQNVLAANEFIELFCELIVSRLSIIAKQRDCPADLKEGIASLIFASPRCSEIPELVSLRKIFEKKYGRDFVSAATDLRPSCGVNRQLIDKLSVRTPPGEVKLKVLKEIAKEHQIDWDTAESERELLQPPEELIEGQRTFVSASTLPVKTSIDVSIESNKQQSIRLSSGGNSDAMYFEDSKSAAESAAESAKKAIAAAEVAAYMAMKDNNEASQPYYNDKFYNDPAKHSQNMTHKSTTEDRVHRSHSLPRSDHMRNEDTLPTQLYDGKEYRRHSYHPASAHSDIKFDESDCDEEIEAEEPPPVTLPPQRLPPPVPSSLVKQDSSTRVHPKLPDYDELTARFDSLKFKKSQF >CAK8544402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682463483:682463905:1 gene:gene-LATHSAT_LOCUS13087 transcript:rna-LATHSAT_LOCUS13087 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHHAASSGSDGTIDERKRKRMISNRESARRSRMRKQKQLEDLSDEANKLQTENKKLAEDIKTKEEACIETEAANGVLRARTTELTERLRFLNSILEIAEEVSGLSVEIPEIPDPLLKPWQIPHPIQRIMASADVFLH >CAK8573658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627258962:627261312:-1 gene:gene-LATHSAT_LOCUS26074 transcript:rna-LATHSAT_LOCUS26074 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVNLTTSPSPFILQRRRFSNFSSSTHHQLQDSHLQNKKFGAFLLLGFTPFSFNLRRRRTLMYAANQDSMEVVRETDKTNGLIGVLGEVDSHQVRDKSTKAWSQFAKRISGEWDGFGADFSNQGSTIELPEYVVPEAYREWEVKVFDWQTQCPTLANPEDHVLEYRSIQLLPTVGCEADAATVYSSDERKVSGENSGVTTFAYQSSGSYVAVWQKKDDVIELEYCLINPQDFESRVRIIQHIRVLNNTEMKLQGVRVFREQWYGPFRNGNQLGGCAIRDSAFASTAPTIASDIAGIWQGSKAVTKFGATNTEIFREFLDDGLQKSVRDGENNVLLPKQLWCSLKQNKDGVTITEAGWLLDQGQAITSSCLFSSSAKLKEISIALETRASE >CAK8561459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:228660691:228661656:-1 gene:gene-LATHSAT_LOCUS15048 transcript:rna-LATHSAT_LOCUS15048 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDFVEGGVASIIAGCSTHPLDLIKVRMQLQGENTPKPNPVQNLRPALAFGQTGTTSIHVGPTPVIQPRVIPISVGVRLVQQEGVRALFSGVSVTVLRQTLYSTTRMGLYGILKNKWSDREADTMPLLRKIEAGLITGGVGAAIRNPTDFAMVRMQADGRLPASQQRNYKSVVDAITRMAKQEGVTSLWRGSNLTVNRAMLVTASQLASYDQFKEIILEKRVMKDGLGTHVTVSFAAGFVAVVVTNPVDVIKTRVMNIRVEPGKEPPYAGALDCALKTVRAEGLMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >CAK8579208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683456746:683458486:1 gene:gene-LATHSAT_LOCUS31173 transcript:rna-LATHSAT_LOCUS31173-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLGWKGPSGFSASSTADEVTRGIDGTSLTAIVTGASSGLGLETTRVLALRGVHVVMAVRNVNNDLSSLASVRKFAADFNSSGFPLNILINNAGVMATPFMLSEDNIELQFATNHLGHFLLTNLLLETMKRTVRECNREGRIVIVSSEAHRFAYSEGIRFDKINSESEYSGYFAYGQSKLANILHANELARRLKEEGVQITVNSLHPGTIITNILRYHGYFNAVANMVGKYLLKNVQQGAATQCYLALHPQVKGISGEYFMDSNKANPTTLAKDTELAQKLWELSVSLSNTK >CAK8579209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683456746:683458486:1 gene:gene-LATHSAT_LOCUS31173 transcript:rna-LATHSAT_LOCUS31173 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLGWKGPSGFSASSTADEVTRGIDGTSLTAIVTGASSGLGLETTRVLALRGVHVVMAVRNVNNGMEIKETLLKEIPAAKIDVFQLDLSSLASVRKFAADFNSSGFPLNILINNAGVMATPFMLSEDNIELQFATNHLGHFLLTNLLLETMKRTVRECNREGRIVIVSSEAHRFAYSEGIRFDKINSESEYSGYFAYGQSKLANILHANELARRLKEEGVQITVNSLHPGTIITNILRYHGYFNAVANMVGKYLLKNVQQGAATQCYLALHPQVKGISGEYFMDSNKANPTTLAKDTELAQKLWELSVSLSNTK >CAK8567370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509071119:509072960:1 gene:gene-LATHSAT_LOCUS20432 transcript:rna-LATHSAT_LOCUS20432 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISLITFSLFSLLSSASFSSADQLIHKNETDPPIFHSLVSSFAYPLKGNVYPRGIFVAFIKIGNPPKPYELDIDTGSDFTWIQCDAPCKHCTVPKEKLYKPHDNYVKGSDPLCSLVQPIPGTSPKPNNQCIYKLEYADQGTSEGALVRDIIPVGTIKPPATQPLLTFGCGYDQRFPPNTPPSVGVLGLGGGKISIVSQLNSLGLTRRVLGHCLSSKEGGYLFFGDKFIPRSGVVWTPIVKGPHYIVGPADLLLDGKPTSVKGLQLTFDSGSTYTYFTTQIYNAILNQVSANLKGKPLSRSVKDKTLPVCWESSLKPPKRFTSINEVKGFFKPMTLIFTTSKNVQFQIPPEYYLIISKNGCVCFGILDGQHMSDNIIGDIFMQDKVVIYDNEKQQVGWASANCNPH >CAK8535765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880410379:880411728:-1 gene:gene-LATHSAT_LOCUS5190 transcript:rna-LATHSAT_LOCUS5190 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSTNMLPQPESNIPLTGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSSKEVAVNNPPQEQKDYFENPVTEKIMYIDDEDIKINPNNGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQGFTQAFYYQNPKNKHSWFFFINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKILSDNLITGQCPLLFFIKFQIPWIWRWTITISKNKFNIPILERNFFYKWRNKMSSEAVQNKIKLIEEAIAEDQNNKVKEQSFQQMSMENLKNLFKIKYPNELEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSYSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8534827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:772720104:772724015:1 gene:gene-LATHSAT_LOCUS4337 transcript:rna-LATHSAT_LOCUS4337 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSATTSSCNLSSSAAISSFPLAAGKRNANKVVLPRKNRNVKVSAMAKELHFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTSKALVAELKKMSKEVEDSELADVAAVSAGNNHEVGNMIAEALSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMSVEFENCKLLLVDKKITNARDLINILEDAIRSGFPIVIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDKADKEVLGNAAKVVLTKDTTTIVGDGSTQEAVNKRVSQIKNQIEAAEQDYEKEKLSERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDTLANDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPESAPVGNPMDNSGYGM >CAK8567560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525300009:525305089:-1 gene:gene-LATHSAT_LOCUS20602 transcript:rna-LATHSAT_LOCUS20602 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASAPGKVLITGGYLVLERPNAGLVLSTNARFYAIVKPIYHETRPDAWAWAWTDVRLTSPQLSREALYKLALKNLTIQTVSSSETRNPFVEYAVQYSVAAAYATFDQNKKDLLHKLLLQGLDITILGSNDFYSYRNEIERRGLPLTPESLATLPPFASISFNTDDANEGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVKISSSKDHQDRKDIADLDMVHKIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVISSTQVAATAVALPEVIIDILKGNWDHDRTQFSLPPLMTLVLGEPGTGGSSTPSMVGAVKKWQKSDPQKALETWRRLSEANSALETQLNLLRKLAKEQWDAYKSVINSCSILRSDKWIEQASEPNKEAVIKALLGSKEAMVGIRYHMRLMGEAAGVPIEPESQTQLLDATMNLEGVLLAGVPGAGGFDAVFAVTLGDSNSNVTKTWSSVNVLAMLVKEDPCGVSLETTDPRTNEITSAVSSIRIE >CAK8577718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584880873:584893563:1 gene:gene-LATHSAT_LOCUS29799 transcript:rna-LATHSAT_LOCUS29799 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFIGSSAASISMIVTNTPSQELALTNLAYISASDLPKFAVPGHSNLYLASIGDVFVFSLSAHESIRGGHIALNSIQRRCAKVSAAESIDVTRFVPPENFNLAVLTVELDFIKRVGNRNEQIDAIVLAKQLRRRLNNQVMTAGQKVLFEFQGNNYSFTVREATVEGQGKSNSIERGIILDDTFFVFDAPRDSGIKIINQREGTTSNIFKQKEFNLESLGIGGLGAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKILNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRNLGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDMLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQLSLEDLTKPVEEENIKVTMEDFLNALHEVIPAFGASTDDLERCRLHGMVECGDRHKHIYQRAMLVAEQVKVSKGSPLVTCLLEGYRGSGKTALAATIGIDSDFAYVKIISAETMIGLHESSKCAQIIKVFEDAYKSPLSVIVLDDIERLLEYVPIGPRFSNLISQTLLVLLKKLPPKGKKLMVIGTTSEVDFLDSIGFCNNFSITYNVPTLSRNDAKKVLEQLNVFADEDIDSAADALDHMPIKKLYMLIEMAAQGAQGGSAEAIYAGQEKINISHFFDCLGDVVRVV >CAK8533800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661133444:661134105:1 gene:gene-LATHSAT_LOCUS3396 transcript:rna-LATHSAT_LOCUS3396-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCSEVRIEGLETLDYFDNLVNRSRFTEQADAITFDGETDRVYLSSPNKIAIIDHEKKRTFVLRKNALPDAVVWNPWNKKAKALPDLGDGDYEMMLCVNSAAIDVPILLKPCEEWKGYQELSTVSSSYCSGQLDPRRVLSGFH >CAK8533799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661133438:661134105:1 gene:gene-LATHSAT_LOCUS3396 transcript:rna-LATHSAT_LOCUS3396 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKLCSEVRIEGLETLDYFDNLVNRSRFTEQADAITFDGETDRVYLSSPNKIAIIDHEKKRTFVLRKNALPDAVVWNPWNKKAKALPDLGDGDYEMMLCVNSAAIDVPILLKPCEEWKGYQELSTVSSSYCSGQLDPRRVLSGFH >CAK8536589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:354634:355798:1 gene:gene-LATHSAT_LOCUS5944 transcript:rna-LATHSAT_LOCUS5944 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTVSGIQGQPLEVTVVSCSKLKDTEWISRQDPYVCLEYASTKFRTRTCTDGGKHPVFQEKFVVPLIEGLRELTVVVWNSNTLTFDDFIGTGKVQLNKVLSQGFDDSCWPLQTKSGRHAGEIKLILHYANARLNQQKLGSSSTHTPTSYGAPPTPPSYYPQQQQPSYSNYHHPTPPSALAYPQHPYPTPPPAASHNSYHYPSMPSPYPPPSSSPYPPPPPSSSPYPPPPHSAYPPPSYPPPATYPPNPGMYPPPPY >CAK8543671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630518118:630521183:1 gene:gene-LATHSAT_LOCUS12415 transcript:rna-LATHSAT_LOCUS12415 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNHALVVVVITILVPLLYYFLKTVHSVFYLPYKIQRHFRNQGISGPIYRPIFGNSFEIKRLYAQTKSDASQFDHNFLKRVVPFYHRWSCMYGKTFLYWFGSTPRLAISDPDMIKEVLVTKCGEYGKVPYNPQSKLLFGQGLVGLEGDQWSFHRRIINLAFNMEILKGWVPDIVESVTKMLENWEKQRGGRDEFEIDVHRELHDLSADVISRTAFGSSFEEGRHIFKLQEQQMYLFSQAVRSVYIPGFRYLPTKKNRDRWRLDKETRESICKLIESKRNARENTKSVLNSLMSSYKNEVGGEDKLGLEEIIDECKTIYFAGKDTTANLLTWALLLLAKHPQWQSKAREEVLRVIGDNQPPIADNLNDLKIVGMIISETLRLYPPALMLMRQTNENVMLGSIEVPAKTQLYLALAAIHHDREIWGEDCHEFNPIRFSEPRKHLAAFFPFGLGSRTCVGQNLGLVEAKIALALIIQSYTFVVSPSYIHAPVLFITLQPQHGAQIIFRRISG >CAK8574534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1749373:1751017:-1 gene:gene-LATHSAT_LOCUS26873 transcript:rna-LATHSAT_LOCUS26873 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8565276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95895887:95897752:-1 gene:gene-LATHSAT_LOCUS18501 transcript:rna-LATHSAT_LOCUS18501 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAKAGGKGKGKQAASGSDENASKGKGKGGKAADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKVAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGATSAPFKSTHGYHIILSEGRKN >CAK8562935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:558865799:558867022:-1 gene:gene-LATHSAT_LOCUS16395 transcript:rna-LATHSAT_LOCUS16395 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENNNKSSSRITWEGCSVLLDINDGDRLVFARLSPAAKLKIGKKNCSLQSLIGCPFGTVFQLDTSSDGPFLSPFQPKGDVNNADEIKDGHFQDESKDDQLNSELKDNRSLIDNNTAQSLTGEDIEDMRRQGAKGNEIVDALIANSASFDKKTSFSQEKYRRKKQKKYAPRVLIKRPVARSICEAYFKKNPLKIGFLRVDTLSLLLSMANVSSKSDILVVDMVGGILTGAVAEHLGGTGFVCNSYLGQTPHSMDIVRIFNLSDEICNRKKVINQNYLRLLFSSFMTKERSFMAYDITHHHRIKEWDNTNDHHRNFTSHSLLYNHFKTPRQITMDIFFSSTARGQLVSNEPSCSQLLWTKTSISFFYQAASKTTCTTEKAAITNIPTTSQNGTCKITREEIHYVGS >CAK8577823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591209503:591210044:1 gene:gene-LATHSAT_LOCUS29893 transcript:rna-LATHSAT_LOCUS29893 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQLSIRRAKLKFLMPQISALGNSHFSTAAQSSSSNRNALKVPNLIGGRLLDSKSSNFRS >CAK8533601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640844004:640844864:-1 gene:gene-LATHSAT_LOCUS3218 transcript:rna-LATHSAT_LOCUS3218-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSVSSDEDNYETKMNKISVEELKHKCNICGKAYSNGKALGGHRRSHFIKKKANHHSQKVKTPLSIQVRNNFCDEKKKFSCYICDRKFWTNNALYGHMRSHPDRVFKGVSPPSSNNSNSSTRQNKEDEDDEGDDDCSLPRWQKRDKRGRKCIGSAEAAANLLLLRSDKCYSILSSDELKTPEFFISRRKEDFHMSSDELKTPKFSVDQHGMKMKKIKIFIGSSSMIGNKSIENDNEIDEAGDLKLKKGKSLSQSNVEEKEINPHEEQHILDFDLNEPYVMEEE >CAK8533600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640844004:640844870:-1 gene:gene-LATHSAT_LOCUS3218 transcript:rna-LATHSAT_LOCUS3218 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKRSSSVSSDEDNYETKMNKISVEELKHKCNICGKAYSNGKALGGHRRSHFIKKKANHHSQKVKTPLSIQVRNNFCDEKKKFSCYICDRKFWTNNALYGHMRSHPDRVFKGVSPPSSNNSNSSTRQNKEDEDDEGDDDCSLPRWQKRDKRGRKCIGSAEAAANLLLLRSDKCYSILSSDELKTPEFFISRRKEDFHMSSDELKTPKFSVDQHGMKMKKIKIFIGSSSMIGNKSIENDNEIDEAGDLKLKKGKSLSQSNVEEKEINPHEEQHILDFDLNEPYVMEEE >CAK8571479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:428773370:428779712:-1 gene:gene-LATHSAT_LOCUS24141 transcript:rna-LATHSAT_LOCUS24141 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHKHRNGKLGDRIEFRISNLKALQVPKGWDKLFVSVVSVENGKTLAKTSKVAVRNGSCQWSDTLSESIWVSREKPSKETDDCLFKLIVAMGSLRSGILGEATISMTSHVNSDAAVPLSIPLNKCNHGTVLNVTMQCLTPRTKPRDQESSKENSHLKVMNENSHELSVKSNGSDYSYVQSVTSSSVDDVDSSLSPGEVETMATSLSGSVSNYSYNSAEDSTGRGNFSPCISDGQSPTGRKDSTSSQKGVSHYDYPVNNTSQSNRSSFSSQNMQDIGASSFKTTHGSNNSPEVAEDTNEELRAEAKMWEMNARKLLGDLEMLKTEFSCQSEKMTGLETDLSTAYVERDSLKKEVEQLRLSSGDPIVRQKTLEDSISQIECIPEIENALKNELMFQKESNANLSFQLKKSQDANVELVSVLQELEETIERQKRDIENLSSLPSKLSTLEKSFQLSEEGNRIFMQQIKQLEESKKNLMAEVQELEEALEDKTQDIEQAKIHNKKALSDIEMEYESKLSAKEEEISSLKARLLESVPETCNVKTVSRDVPDADILEQIKELKEKIQELEMDCNELTNENLDLLFKLKEAKAYSKDGGASKDLLSNMLHDQSFSSSDSEVSNNLFRIFHSEDMFQEENAKKISNESHISIRELETSKSTLGVRITDQNNKLTNKTSEMEILEASLSSKENQMWVLQKHLSEMEAKVDHLEQEKLQLEEHIEDRIKERTHEMNLNRSDIEQENGQLSMRISVLEAQVSDLINEQDSQLSELESARNQAAELQEKITEVQSEMDSSIEENQQLQLTIENHEEESNSFEKLNGYLRQQKLELEEYCSLLGDRLRESGERFSDCCGRVGLLENKFYLMLEDIASKEKDLTSELHGVLDENRKQMEQGQSLLNQMQIEKVVEIQNLKLEIENLRLKLSAAYDEKERVTSNASLEVSTLRDEVNNVQFRYEQKLQNLTTELANFKTKMETLMDEHEKLSKLVEDYKSKELKFRNTINALESKLIVTENERQQHMDESRNLKVWLQETRQFENEIMALKSELNASNTEKERLQASLCLKSELCEDLKAESISFERKISSLEKTASELEHCKRTRASLEERLVQFENDLKAKETRYVQEKTELQRKVQTLEDELKLTKEQKRNQVSRLNRKPVNDDQKASKNSMVKNTNQLRSNRKKPSLKNDREVLKDQQDLYNSSKHQTEVESELRLLDGSVDVVVVEPLSKSQLLETELEKEPSDIYEVQLIRSSPKGRYNQANGPVKSMGEEELVTREKFERTKSMLEEELRDIQDRYFHMSLKYAEVESQREELVMKLKVAKSKKGWLS >CAK8574681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6434201:6436241:-1 gene:gene-LATHSAT_LOCUS27005 transcript:rna-LATHSAT_LOCUS27005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNSLVILALFTILFVKVIGRIPIDSRWQDAHATFYGDINGGETMQGACGYGNLFDQGYGLETTALSTTLFNEGYTCGACFEIKCVNDPQWCKTDVASITVTATNFCPPNYSKPDGNWCNPPQKHFDLTMKMYTTIAIYESGIVPVQYRRVPCVKSGGVRFELRGNPYFLMVLIYNVANAGDIVRVAIKGSNSDWVQMIHNWGQVWHTGLNLVGQDLTFWVTTSDKKSLQFISLAPSNWQFGQTYEGSVNF >CAK8561052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82967576:82968242:1 gene:gene-LATHSAT_LOCUS14677 transcript:rna-LATHSAT_LOCUS14677 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAFCVSLLLLVTLFYATSVLARNDPTGNEVNTEVEHANEGVTKPSLQGPNEDEKFIGYFYLKHKLKGYFHKKPIYYKPIPTYKPFHKPTIVDKPTPSVVEPESFLKHKHYFFKKPIIPIVKPVYVPIYKPVPKVIPIYKPIPKVIPIVKPIH >CAK8563310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591845972:591846760:1 gene:gene-LATHSAT_LOCUS16734 transcript:rna-LATHSAT_LOCUS16734 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPNMEDTNTNTTLTPQDLSSKRHFHWTNKVGNEEPEEPSTMSKIIQEQTKNDDEKVVSGHSATARKKLQAVAISRLRSVLTVFNKNRSNLPSGLGSRVVGTLFGYRRGHVHFAFQKDPTSQPAFLIELATPITGLVREMASGLVRIALECDKVKEKETEKKSLRLLQEPLWRSSCNGKKCGFANRRECGEKDWEILKAVEPISMGAGVLPSGEGGCSDGEVMYMRARFERIVGSRDSEAFYMMNPDSNGAPELSVYLLRV >CAK8577539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572253956:572254579:-1 gene:gene-LATHSAT_LOCUS29637 transcript:rna-LATHSAT_LOCUS29637 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTNCVHVLTLFLILTISMFTITTANKDWPSFGNFNYTDWWSRFGNHNHQINKTQQESNNIIVGGSQNWHFGYNYTDWAIKHGPFYLNDTLVFKYDAPNATSFPHSVYMYSSWRSFMKCDVKKAKMIANHTQGVGEGFKFVLNKWKPYYFSCGEKNGLHCNIGQMKFSIMPMFRPFWPSSP >CAK8568988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661754420:661754977:1 gene:gene-LATHSAT_LOCUS21887 transcript:rna-LATHSAT_LOCUS21887-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAGNRHYKLCALTAIVLIALWSMFTGTVTLKWSTNNVNQFSDGLDSMILEDLDVLEVEEREKVVRHMWDVYTRSHSNSMGLPQFWLEAFEAAYENLVSDVPAVRDTAVSEIAKMSLALRSQILHQLPLQIELPFVSLSHIK >CAK8568987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661754420:661755207:1 gene:gene-LATHSAT_LOCUS21887 transcript:rna-LATHSAT_LOCUS21887 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAGNRHYKLCALTAIVLIALWSMFTGTVTLKWSTNNVNQFSDGLDSMILEDLDVLEVEEREKVVRHMWDVYTRSHSNSMGLPQFWLEAFEAAYENLVSDVPAVRDTAVSEIAKMSLALRSQILHQLPLQIELPFHVQSNRRSRKLKQDKIQKELDHYKTMHLKMKQSYLLNKKEKKIMTV >CAK8560069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6354365:6355092:1 gene:gene-LATHSAT_LOCUS13782 transcript:rna-LATHSAT_LOCUS13782 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKALASAAAAAALEEANATECIIRNLRLLQMDSNIECLRFLWLISKGMKITLSGRLGCSLADLQGESH >CAK8537144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:108583991:108589353:1 gene:gene-LATHSAT_LOCUS6456 transcript:rna-LATHSAT_LOCUS6456 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPSSTAMPSYDTVFYAIYPDSTTTTTATLQSLHLQILQTISPFTTDYIWQHQPFNLSLSVPPNPTCQCPSSSKLPHLHGHLRYGDNLDDEWFTVFLLFHISTHFPSLSIRLWDSDGEFLLIEAAFHLPRWVNPETSDNRIFLRNGHIHIIPRSSLSSPSLTDSLNFLSTSESKSRVSEPVQTAIMNRIKDYPNQARKNMHSVRARVPVSVARVLKHEPCLISLAVEGFYDRDIDAMKFAAKLEKFLEKGKGEELVCVSVKMSRAMYAQLVQQTFKAPKVYPELPSRDRREEFAEAELGLKITCGMEMMYQQRKRDGVEGKGSTWEVFMQSLEKSGYFQGLLPGSSEYQRLMQNAQEYYRNTSLHSKASDLMSAPVRRIDEILALPYSVDEFKDQEVPPSDSDYWRYNGEEELNSALNERQKEMELFDLKHKNKGKGKKGQDNGSNADEFNPGDVAKLMRAFVDKVSSFEGAEAPEDRNKEVDFDADQFFKEMESVMNCPGEAANSNIEEGSSSDLDFDDSDENDEVESAEENDDEEDNFVQAYSNAMDEQLKPTTLPKTFVGVDKIIPKKDEGTSHAAEDMDEDFSPIDVDVNLVQSLLDSFSSQQGLPGPASNLLGLLGVKLPQDAKKGK >CAK8564753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8156221:8156700:-1 gene:gene-LATHSAT_LOCUS18024 transcript:rna-LATHSAT_LOCUS18024-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYTKISDHLSVLNGILSELESPLFIQALEAPQKLVAGKPPSKYEKNLKIKDDSDNSNQMSISSSEQNIHNSDVPNSKSVDEQAKKIAVHEDISSILKIGSVTITAKQVEAKQSGIGNREQIDVLTVGSMPIKVNGFGGSSGFLKVGSIQLNPKALQP >CAK8564752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8156221:8156967:-1 gene:gene-LATHSAT_LOCUS18024 transcript:rna-LATHSAT_LOCUS18024 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSQRCSDTIRITQGVERKHFQHGQREWEEVDLRASNTIHVSLDKNILVNVLGTSSVKEFSERLEGLYQGNGISNHLLLKEQFHSLCMDEYTKISDHLSVLNGILSELESPLFIQALEAPQKLVAGKPPSKYEKNLKIKDDSDNSNQMSISSSEQNIHNSDVPNSKSVDEQAKKIAVHEDISSILKIGSVTITAKQVEAKQSGIGNREQIDVLTVGSMPIKVNGFGGSSGFLKVGSIQLNPKALQP >CAK8562733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532152314:532155578:-1 gene:gene-LATHSAT_LOCUS16205 transcript:rna-LATHSAT_LOCUS16205 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAKQQLEHNNAAGFIMTQWLLVVLLLCFSNPVVKGEQHKNAYATMMYVGTPRDYEFYIAIRVLFKSLALLNVEADLVVIASLDVPLRWVRALEKEDGVKVVRVENMDNPYKHQDNFDKRFKLSLNKLYAWSLVDYDRVVMLDADNLFIQKTDELFQCGQFCAVFINPCVFHTGLFVLQPSTTVFNDMVRELQNGRENPDGADQGFIASYFPELLDKPLFHPPPNGTKLDGTYRLPLGYQMDASYYYLKLHWSVPCGPNSVITFPGAPWLKPWYWWAWPVLPLGLQWHEKRRQTLGYGTEMAVIFIQSAIYLGIIAMTRLARPSLSKLCYRRSDKSITLVQNILKLVAVWSILAAYVTPFFTIPPTIHPMLGWPLYFLGTLALCLVAINAFLLPMLPVLMPWFGIIGVLIVMAFPWYSDGVVRALCVFGYAFCVAPVLWTSITRIMAGLQVSLEREGFMPRLGESPPPSWFNKLY >CAK8543154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583865324:583871249:1 gene:gene-LATHSAT_LOCUS11933 transcript:rna-LATHSAT_LOCUS11933 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHSSHHHRPPTTATSFSRLLLLLTVLPLTLAAFAFILQWRGGVTDPVTRWSPDQHLFPGMSISSHQQQQSQTRSRSDCSDLFPDIHSPSFPYFRDWKLDYSVDLSPKICITTSTSADLEQTLPWIFYHKVMGVSTFFLFVEGKAASPNVSRVLESIPGVKVIYRTRELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWIIHLDTDELIHPAGTKEYSMRQLLSDVPGDVDMVVFPNYESSVEQDDIKEPFSEVSMFKKNYDHLPKDVYFGNYKEATRGNPNYFLTYGNGKAAARVQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTATEEEMLQWYREHIVWTDKALKMKLMRKGILSRIYAPMVIIQSLRESGVFSSVIAKAAQTTITKDKFLKSVESSNSTRNDRSEMIYSRKIDAGGVSQVIARRILEVIDDSIPSAIPPLSPPGYDDADFYTS >CAK8537253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:180332697:180335558:1 gene:gene-LATHSAT_LOCUS6556 transcript:rna-LATHSAT_LOCUS6556 gene_biotype:protein_coding transcript_biotype:protein_coding MALKERFSSSPETSSCGERYVSWEEVVVSMDKGGREVRYFLKKKGGGSDLALIGKEKSPRHMSYHYAIRDSSLGPFVKLKSRRHVVDWLDSIVSYSSSGEATMVVGNYSFEHEIGTLKDNYTPKLRNCTQEFSWIGLPWTCRKRRNHYQSFMRNGFQISANDFVFVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFYRIDEVGIVLPHSFSDREVLFSLFLQDLSIECIDGLTSVLSPQHFATYRNEVRHACPEPFVCSKKFDNDDVKHFDITQIKGYWRQEILSHMCANLGSKSNWSSGQSEDGPEVEENLQSSSGIRPMKRQRCTKVDGTDAVELVAIESENLSNCKIVAKTCCGNNSLKLGTIKKANEPASHYLAVGSKVEVLSQDSGIRGCWFRASIIKNSKDKVKVQYHDLQDAEDEAKKLEEWILASRIVALDDLGLRLPERTKIRPQSNKSGNSFVADVGYIVDAWWHDGWWEGIVIQKELDARYHAKYNVYFPGEKTVSVFGPSNLRHSQDWTGNGWVKLRERADIVTSKLSLSSLSTKQNLCTSYNEKANAVPMGDGKQSKQTDIHSGFYEKDKSFIKPEVVPDLLKDVLSSQLRWKSSRKRNQGRTSPLKQKCSDRHRKLSPSFLESDESDSFVIPASLKDDHDDYKYQGDPSIFSSSVVPSLTNMVMCR >CAK8564113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652471046:652471586:1 gene:gene-LATHSAT_LOCUS17449 transcript:rna-LATHSAT_LOCUS17449 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAGKVRHDYTRRDLIPLVSEIQTCCRLIVYEAVYLRCELLLAVVRECRDNGAALTFMEKSPHAEFIGSGF >CAK8577412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562445638:562449983:1 gene:gene-LATHSAT_LOCUS29525 transcript:rna-LATHSAT_LOCUS29525 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNTLHSHSHCVQLEIHQVVPPPHKTTLHKLKLRLKETFFPDDPMRQFKGKSPKIKLILGAKYMFPILQWGSTYNLKLFKSDLVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAVLGSSRDLAVGPVSIASLVLGSMLRQEVSPSAEPVLFLQLALTSTFFAGLFQASLGVLRLGFIIDFLSKAILIGFMAGAAIIVSLQQLKSLLGITHFTKEMGLVPVMTSVFQNIHEWSWQTIVMGICFLVILLIARHVSIRKPKLFWVSAGAPLMCVIISTLLAFAIKAQNHGISLIGKLQAGINPSSWNMLKFHGSHLGLVMKTGLITGILSLTEGIAVGRTFAALGNYKVDGNKEMMAIGFMNVVGSFTSCYVTTGAFSRSAVNNNAGAKTAASNIVMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLVDIPAACHIWKMDKFDFLVMLTAFLGVVFVSVQEGLAIAVGLSTFRLLLQITRPKTVMMGNIPGTDIYRNLHQYMEAKRIPGFLILSIEAPINFANITYLNDRTMRWIEEEEEDNEKEQSSLRFLILDMSAVSAIDTSGISLFKELKATMEKKGIELVLVNPLAEVIEKLKKADHGNNFIRADSLFLTVGEAVASLSSTMKSQTIMTEETQNRAT >CAK8539482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513841643:513843435:1 gene:gene-LATHSAT_LOCUS8572 transcript:rna-LATHSAT_LOCUS8572 gene_biotype:protein_coding transcript_biotype:protein_coding MANATLLHSLQFLLIFTCMLLNAGLLTNGARYHDTTFNYLSSSNSSNDNHNWTGPVGHLVITVDVNGGGQFSSVQDAVNAVPENNTMKVLIKISAGKYKEKVVVPVTKPYVTFEGEGREVTVIEWHDRASDPGPNGQQLRTYRTASVTVFANYFSAKNITFKNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSIYKDCELHSIASRFGSIAAQDRNDPTEKTGFAFVRCKVTGSGPLYVGRAMGQYSRIVYAYTYFDNIVAHGGWDDWDHANNKNKTVFFGVYKCWGPGADAVRGVSWAQELDFESAHPFIRKSFVNGRHWIAPNDA >CAK8540230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546015825:546017357:-1 gene:gene-LATHSAT_LOCUS9256 transcript:rna-LATHSAT_LOCUS9256 gene_biotype:protein_coding transcript_biotype:protein_coding MELWFYIVASISLLIFFQALLNTIQNKKLPPSPPTIPFIGNILWLLKSSKNFAELEPVLRSLRSKYGDIVTIYIGSRPSIFITSHEAAHKALVKNGTIFANRPLALETTKLFFPKQRSISMASYGPIWKLLRQNFMQATQPSRLNSYSRCRKYALSVLKRNILADIEIGNKKILIDDYFNFALYTLFTYMCLGAKLDRESVENIQRAQHCLIHNFIRFNVLNFVPMLSRIVFRGLWKEILQIRENQINVLLPIIKARQEKIKNKINFNDEDEEEEGFDAYVDTLFDLKLPDDEKNLNDEELVSLCSEFLLGGTDTTATTLLWTMANLVKNQNIQEKIYDEIKKVVKHGEEIEEKHLKRMPYLKAVVLETFRRHPPGHFILPRAVIQETNMNGHKIPKNAMINFPVAELGWDPNVWENPMEFKPERFLNEGDVDFDIKGIKEIKMMPFGAGRRVCPAISIATLHLEYFLANLVRDFKWIIEEGSEVDMSEKQAFTIVMKNPLRPCLSPRIT >CAK8541338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:124983816:124985341:1 gene:gene-LATHSAT_LOCUS10267 transcript:rna-LATHSAT_LOCUS10267 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQGSRYVKLTKSKEEAPFRDITPGELNQPIDVPQLNARRCLECGQVLPETYQPPADEDWTTGICGCFSDIDSCWTGLFCPCVLFGKNTETLKDDIPWTSACICHAICVEGGMALAVATAFLNGIDPETSCLIAEGLFFTWWMCGIYTGIFRKALQKQYHLKDSPCDPCMVHCCLHWCAICQEHREMRNHLSDNTNSDGTIINPPPIQEITSDFNKESTSLPKSSTNDDEENNLQIQPI >CAK8562769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534307697:534309983:1 gene:gene-LATHSAT_LOCUS16238 transcript:rna-LATHSAT_LOCUS16238 gene_biotype:protein_coding transcript_biotype:protein_coding MNALPQTQAQTVPDAWDSKGHPADRSKTGGWASSAMILGSEVCERLTTMGIAVNLVTYLTGTMHLGSAASSNIVTNFLGTSYMLSLFGGFVADTFLGRYLTIAIFGTIEAIGVILFAITIKIPNLHPPTCSQNSPNSCEPANNLQLTVLYTALYVIALGIGGIKSSVSGFGSDQFDESNEGEKKQMVKFFSWFFFFISMGSILAVTVLVYIQDHLGRVWGYGLCACAIIVALLVFLSGTKRYRYKKLMGSPLTQIAAVFMGAWRKRKLELPSDSYLLYNVKDIKDPQDARNKKLMLPHTKQFRFLDKAAIKDPNTDGNMVRKWELSSLTDIEEVKLVIRMLPIWATTIMFWTIHAQMVTFSVSQATTLKRHIGKSFQIPPASLTTFLIGSILVTIPIYDRIILPIRRKLFNKSQGLTPLQSIGLGLVLSTCGMVAAALIDLKRTRMAHLHDLTHNTSTLVIPMSVFWLVPQFFIVGSGEAFIYVGQLDFFLRECPEGMKTMSTGLFLSTLSLGFFISSLLVFLVQKVTGHHHPWLTDDINQGKLYNFYWLLAFLSAINLVIYLFCAKQYVYKDKRLDEQVIELEKDLDNVDHA >CAK8544934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713232841:713236359:1 gene:gene-LATHSAT_LOCUS13574 transcript:rna-LATHSAT_LOCUS13574 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQASKYRKGACENCGAMTHAAKACMERPRKVGAKHTNKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQQLEKLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRNSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKETIIEKYGNAADDDKLPRELLLGQSERQVEYDRAGRIIKGQEAAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGTAGIEAAEAANDLMRANIARKEAAAEDPTPPEEKRPATWGSDVPEDLVLDEKLFADALKKEDQRKREEKDERKRKYNVKWSLEVTQEEMEAYRMKKKHRDDPMNLFMN >CAK8564579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:964121:967496:-1 gene:gene-LATHSAT_LOCUS17874 transcript:rna-LATHSAT_LOCUS17874 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSLTCDWTHDVFLSFRGIDTRNNFTSNLYNSLHQRGINTFFDDDKIHKGDEITPALLQAIKQSRIFISIFSNNYASSTFCLTELVNILECSNTQGRLFLPVFYDVDPSQVRHITGTYAEAFAKHEARFRDEKEKVQKWRDALHQASNMSGWHFKPGSELEYKFIGNIVEEVSRKINRIPLHIASKPVGLESQMLEVTSFLELESNERVSMVGICGIGGIGKSTIARAVHNLIADQFEGVCYLADIRDRATNHDLAQLQETLLSEILGEKDIKVGDVYKGISIIKRRLQRKKVLLILDNIDREKQLHVLVGGHDWFGFGSKIIITTRDKHLLATHGIVKVYEVKQLNKENAFELFSWHAFKNKKMDPSYVDIAKHAVSYCHCLPLALEVIGSQLFGKSLDVWKSSLDKYDKVICKDIHEILKVSYDDLEEYEKGVFLDIACFFNSYEIVYVKEILYLHGFHADGSIQVLIDKSLIKIDVNGYVRMHDLIQDMGRKIVRQEPTLEPGKRSRLWFSDDIVHVLEENKETDSIEVIIGDLHKYKKVKWCGKAFGQMKNLKILILRNGRFSQCPQILPNSLTVLDWSGYQSSSLPSDFNPKNLVILNLPESCFKHFDSFKVFRMLNFLDFEDCKFLTEIPNLSRVPNLGALCLDFCTNLNRIHESVGFLDRLVLLSAQGCNQLEDLVPNINLPSLETLDLRGCSRLESFPEVVGVMENIKDVYLDQTALKQLPLTFGNLVGLQRLFLRGCQRMIQLPSYIFPKCEIITAYGSRGFQSSRDEENVLPKVFINVMRVINEYGQSYLNMYSPHTACNNVIEVCSPHCESEFTEFKNLPGSIKLGELKNNKSSLCFLFRNKFPRIALRCFVESEKHLDNMVLNFELNILINGTKQLTSLCEYIFYTKHVTEQALCCNVQGKLGGVFSENEWNQVEILCEMKHLMPFGFEGQDWTNKRILKWAVLLFALEGKDDDLSLVDNPTSQGMQQIIGIVIGDPSGKFRDM >CAK8574225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670937239:670937583:-1 gene:gene-LATHSAT_LOCUS26586 transcript:rna-LATHSAT_LOCUS26586 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPPRITNFLKPYVLKMHFTNKYVTAQVIHTPTATVASSASSQEKVLRSTLETTRDVAAAAKIGKLLAERLSLKNIPAISVHFKREQRYHGKVKAVIDSLRDAGVKLL >CAK8534695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756213806:756214531:-1 gene:gene-LATHSAT_LOCUS4215 transcript:rna-LATHSAT_LOCUS4215 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSQRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWEAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKK >CAK8565920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353784414:353784665:1 gene:gene-LATHSAT_LOCUS19095 transcript:rna-LATHSAT_LOCUS19095 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMVLSRRLQGYSKMDKEDSEERKHRQAQFLIYKALEKVDSQRKPSFLRIKIFKLKIKLGNSCRKIKKRFLLKCNRSWSLFY >CAK8565921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353784423:353784665:1 gene:gene-LATHSAT_LOCUS19095 transcript:rna-LATHSAT_LOCUS19095-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRRLQGYSKMDKEDSEERKHRQAQFLIYKALEKVDSQRKPSFLRIKIFKLKIKLGNSCRKIKKRFLLKCNRSWSLFY >CAK8563605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619941573:619942238:-1 gene:gene-LATHSAT_LOCUS16999 transcript:rna-LATHSAT_LOCUS16999 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKNKNETVPSQTLNTETSTAAISSIKNSSTGGIGSLIKLLPTGTIFLFQFLNPVVTNSGHCKTSNKYLTSILLLICGFNCFFSTFTDSYTGSDKKRHYGIVTTKGLWPSPESLSSVDLSKYRLRGSDFVHAALSLLIFALLGLLDTNTVHCFYPSFESTQQRLLQVLPPVIGVFVGWIFVIFPQHRHGIGYPVTTDDSHESARISNDTEKSPQNTTHNV >CAK8540876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27761095:27761668:1 gene:gene-LATHSAT_LOCUS9835 transcript:rna-LATHSAT_LOCUS9835 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSENISHQAGQAAGQAQEKGSNMMDKASNAAQSAKESCQEAGQQMKAKAQETADAVQRKDGSHN >CAK8569601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6477754:6479456:1 gene:gene-LATHSAT_LOCUS22435 transcript:rna-LATHSAT_LOCUS22435 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDNETSMMKIRRKPTWRERENNKMRERKRRAITSNIFNGLRTQGNYNLSKNCDTNEVLKALCNEAGWEVEPDGTTYRKGNCKGPLYNNAGRFSYSPKPSLVSPSFPTPIPSYQLNPMYFPSGVMSNTAPVTPPVYSQTWDSISNYPFAASSTLGSSKHQNMHTPMNFQPFAQSPSQVPSTSSFKIFGVHIQPRIEKENHEERFSDLKLTLGIGKGRN >CAK8563156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578526307:578527796:1 gene:gene-LATHSAT_LOCUS16591 transcript:rna-LATHSAT_LOCUS16591 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSLSCCFLLGLLVLVHAKENKKIEFYELKTGDLRVNLTNYGASIVSLFTPDKHGKLADIVLGYDSIESYETDTCFFGALIGRVANRIGGAKFTLDGKTYTLPANDHGNTLHGGTKGFGDNVWTVDYHKKDSHITFVYNSFDGEQGFPGKVEVRVTYMLLEKRILGVKMTAKPIDKPTPINLAQHAYWNLRGHDSGDILSHTVQIFGSNITPVDDKLIPTGKLQPVANTPYDFLTPKEVGSRINDLPGLYDINYALDKSGQNHLSKNVVVRDPVSGRKMELWSNQVGLQYYTSGMLGETKGKNGATYHKYGGIVLETQGYPDSVNLPNFPSQIVKPGETYKHLMVYRFTAS >CAK8561567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:300420593:300421993:1 gene:gene-LATHSAT_LOCUS15146 transcript:rna-LATHSAT_LOCUS15146 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPEPPPPNVVNEFNPNEIVRDPGHRKQINEYAPDIQDQVRRAYILKGPMQPELPSFPRTPSGSVKKAFSKSWYKNYTWLEYSEIKDAAYCFYCFLFKKPGRAEHFGFQVFTKSGYKDWKHASQGLKDHVGSHNSFHNSCVKHYDDYNNQRQSVASKFVKATNESEELYKIRLTCSVDCSRYLIAQGMSFRGHDESSISLNKGNFREMVDWVKVKNEQVQDAFDHGGKNCTMISGEIQKELAMCCAHEVTKVILGELGDRQFSVLIDESRDISVKEKIAVMLRFVNDKGNVVERFIALHHVKDTTSESLKDALYGILDKYTLSISRIRGQGYDGASNMRGEFNGLQRKILDENPYAFYVHCYAHRLQLVVVSVASSCSSIHDFFKYISLIMTTTSASCKRRDALTEAQHQDILNKLESGEIFRGKGLAPII >CAK8543719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633827771:633829381:1 gene:gene-LATHSAT_LOCUS12460 transcript:rna-LATHSAT_LOCUS12460 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRLADHQDFISRRCIWVNGPVIIGAGPSGLATAACLREQGVPFIVLERADCIASLWQRRTYDRLKLHLPKQFCQLPNLPFPDDFPEYPSKKQFIDYLETYANKFEIKPQFNECVQSAKYDETSGLWRVKTNEVEYICRWLVVATGENAECVTPDIEGLSEFKGEVVHACDYKSGEIFKGKKVLVVGCGNSGMELSLDLSNHHALPSMVVRSSVHVLPREIFGISTFELAVMMLKWLPLWIVDKLLLILTWFILGDMEKFGIKRPSMGPLELKNTEGKTPVLNIGALEKIRSGDIKVVPGIKKFNKNGVVELVNGEKIDVDAVVLATGYRSNVPSWLQEGEFFSKNGYPKMPFPHGWKGNSGLYAVGFTKRGLSGASSDAVKIAQDIGNVWKHETKQKKQRNTACHRRCISQF >CAK8563170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580021167:580023936:-1 gene:gene-LATHSAT_LOCUS16604 transcript:rna-LATHSAT_LOCUS16604 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGQWLEKALVELCSKIETGLGLGLDEEIIKGLVSYCDLAQPRDAKEYLDNIIGQEVGKPVIEEYLRRRGHSEFSTKSDVPTTTLHAYVKPPAVETSASGSKKSMRTPKTVTVRSDHAEPNKNVVGSSKDNGIPATGSESKTSQKGNQSSRKKKAGKTISLAEAAKGSIVFQQGRPCSCQARRHMLISNCLSCGKIVCEQEGEGPCNFCGALVLKEGSSYAGLEESLPPLSDAEAAAEAYAKRLVDYDRNAAARTTVIDDQSDYYELDGNTWLSKEEKELLKKKQEEIEEAEQAKRNKVVVTFDLVGRKVLLNQDEVSESKSDNRILRAPDEREVNRIKPNPTLKIQPVFVDLGFSKKSANDRQANKGKQSNKGLNKGLCLEITGRVQHDSNDLKYLQQSQFATDSNEKNWRVPSGNGGLHVEDDGECLLEL >CAK8569852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13680951:13682948:1 gene:gene-LATHSAT_LOCUS22660 transcript:rna-LATHSAT_LOCUS22660 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSWICLSLANQQQFRIRRFKITTYRVFGVAFLFSISFFLFSPQIPRSLKYHQFADLRNLLGVPNTLNVITNFPFLVVGVLGFVLTLEGGFFTISSQAETWAWILFYAGITGVAFGSVYYHLKPDNNRVLWDTLPMMVAYSSLFSSLVVERIGQRIGLCCMCALLVAAFTCVVYERVYGDIRLCLMFQLILPLAIAVAAFLYPSNYTHSGYWFSSIGIYLLAKFEAVSDRKLYRANNYVISGHSLEHLCLALIPFLLSVMLIYRERKFKRLGDVKDQL >CAK8538676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492623024:492629088:-1 gene:gene-LATHSAT_LOCUS7850 transcript:rna-LATHSAT_LOCUS7850 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLSATLESSRKILTSPPSKWRIQPPPSLYSTASSDPRDTPPKLLVVQPRLRSEKLLQAKLNEALCLANSLEDQRDGYFHTDFFDKPLPPHVLVQNPSLKGHKPRADTYFGPGTVDTIKCHLNAVETKGEVDAVFVNATLSGIQLRNMERAWSKPVVDRVGLIIEIFNAHAYTKEARLQAELAALSYKKSRLVRVLGPGGRYTFGASGEAEVVSARGRGSGGQGFMSGAGETELQLQRRRVLERRNYLLTQIDEVRRTRAVQRAGRKRQGGSSGHRLATVAVVGYTNAGKSTLVSNLTDSDLYSDCRLFATVDPRLRSAALPSGRKVLFSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVVDSTAPNLDEHRTTVLQVLQQIGVSQEKLQNMIEVWNKIDAEEECMDDDEYLDEDEEADETSNLDGEEDMNTETLMKTEVVNEKSLCESDVIEEKEDYSNDWLYEDDTMVKEGDFCSPSSVADEQNEASNKDNGVENVGAMLPSGPHVKTSAITGVGLQELMELIDKKLSEQDKKLKGAQVVERNVFDRKWRPSYTQDSSIVVEN >CAK8538868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498483694:498484035:-1 gene:gene-LATHSAT_LOCUS8020 transcript:rna-LATHSAT_LOCUS8020 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDSFSIMFVLVFLSVSIFSEGLPGGMNPIKNIKDPQVLEIAQFAVTEQQKQSGVKLSLVEVISGEVQVVAGKNYRLDLTANDGSVTKKYQALVVDLPDHTKILTSFRSLP >CAK8538869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498483694:498484032:-1 gene:gene-LATHSAT_LOCUS8020 transcript:rna-LATHSAT_LOCUS8020-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDSFSIMFVLVFLSVSIFSEGLPGGMNPIKNIKDPQVLEIAQFAVTEQQKQSGVKLSLVEVISGEVQVVAGKNYRLDLTANDGSVTKKYQALVVDLPDHTKILTSFRSLP >CAK8539182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506268671:506270436:-1 gene:gene-LATHSAT_LOCUS8302 transcript:rna-LATHSAT_LOCUS8302 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFPSKENQVSVDAMDSCSAISVPSFLIPVPNLCTDINSLELGLTRRAAMYQDYMKQIPIPTKRGSVIPFTSWMGLGKSIKQLYGQPLHYLTNVALMQWDQLRIGSEDEWKPLDDIVHPCKAEASIWLMEEIHRQTSSHFYIANLWKKDPMYSGFVDSIFPKLEQS >CAK8562204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456490153:456495275:-1 gene:gene-LATHSAT_LOCUS15722 transcript:rna-LATHSAT_LOCUS15722 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSATLTTVLLLTGVLLQISWVASISMPSSNCYAFNNSSRIVDFSSWTGYPFEYDEKQGSDLVVRFCKDVESRSQTGYVDFGRFDRFNYFVAGSGQYDFVQEFYNGDLMGCEQSYDKMGRTAQVNVICGSCPNGQCKGRPGCICHVAHESNCRVLIELAISCDKPGPQIFQGFTVGFHPRSWELVYNGMTQFGFEKPHHDYSFRTSQTQVVLFTSAIASLSSLVHKPILKVLPNDGLRVKLSGSAASGKPPTTLSPTMLILDWRCEVARNTPYEVNITIPIEGYEPIQFVLTKMCHYKQGQGGGATRGWAIFGVISCIFFVSSTIFCCGGFIYKTKVERQRGVDALPGMTYLSACLEAVSGAGQGYSRPEDAYVSGETSWERPPGPSQPQASWRPTERKYGAI >CAK8575200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:51619759:51621723:1 gene:gene-LATHSAT_LOCUS27484 transcript:rna-LATHSAT_LOCUS27484 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLSSGHFILTTLTSSFHSPLSLKRRFLLRFSSNKISLSMAETNNDNAKSSQPRDEDIDANDSVIFMADTNPVPRHKKKKKNALLEFISSCGQPIEQSKFKRRICVDLEMGQHNRNNSSESSFCSSFDDDNSSYISPTKTLSADRLSDKRQRKFNCDICFRGKRRSTLTGATSLEKNEENGIGFEMQEEGTNDGILRPGMVLLKHHLSHHEQVEIVKNCRDLGLGPGGFYQPGYADGAKLRLMMMCLGKDWDPQTRKYGYKRGIDSSQPPSIPPYFNKLVTRAIREAHQLYNQKFGISYVEDLLPSMTPDICIVNFYSTSGRLGLHQDRDESEESLQKGLPVVSFSIGDSAEFLYGDQRDVKKAENILLESGDVLIFGGDSRHVYHGVSSIIPNSAPDELVQDTGLCPGRLNLTFRQY >CAK8532108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:204972017:204974717:-1 gene:gene-LATHSAT_LOCUS1844 transcript:rna-LATHSAT_LOCUS1844 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDSPPVMVSKIGIIGAGVSGLAVAKQLSNYNPVVFEATDSIGGVWRHCSYRCTKLQSQTWNYEFSDFPWPERESSDYPTYAEILEYLHTYAVRFDLFKYVKFNSKVVEIKFIGDKDGFDFGRLHRDIGNPLSGRPMWEFSVQSNESDTIQRYEFEFVVICSGKYGDIPLMPTFPRNKGPEVFNGKVLHSIDYCKLDKEATSDLVKGKKVVVVGYKKSAIDLALECAQANQGPDGQPCTMIIRSLHWTLPHYQMWGVPFFLFYATRSAQFLHQTPNQGLLKSLLCLLLSPMRRGISKFIESYLLWKQPMEKYGLKPDHPFEEDFASCQIAITPESFFNEADKGKIIFKRASKWWFSNDGVEFNDNTKADADVVILATGYDGKKKLQAILPEPFSSLLEYPSGMMPLYRGTVHPLIPNMAFVGYVESVSNLYTSEIRSMWLSGLLANKFKLPSADKMLSQTIKDMETMKKSTRFYKRNCITTFGINHNDEICEDLGWNTWRKKSLIKEAFTPYTAFDYKKED >CAK8571553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439253606:439254314:1 gene:gene-LATHSAT_LOCUS24203 transcript:rna-LATHSAT_LOCUS24203 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDGLEGSLFVLFSKSQPDAFFDAKRRLSILSESRVKNVASVQCEPTEVLPSKYQYMASCSASNYCQDTL >CAK8535130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816173075:816173436:-1 gene:gene-LATHSAT_LOCUS4607 transcript:rna-LATHSAT_LOCUS4607 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSLAALSFLFLFLFVAQEIVVTEANTCEHLADTYRGPCFTDASCDDHCKNKAHLISGTCHNIKCFCTQNC >CAK8567013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479579199:479580804:-1 gene:gene-LATHSAT_LOCUS20104 transcript:rna-LATHSAT_LOCUS20104 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANTLARRHSRTLLCERCSSQPALVRCSEEKISLCQNCDWLGHGNSASSNHKRQTINCYSGCPSSAELSSIWSFVLDIPSLSETTCEQELGFMSINENRSAWVDPKNQNASDSDKATDQPDMDNSFVGTSSMPESSKEPNMIDRPDGPTNERAPKLYCPATKCPEISEDDDDLYGDFDMDEMDINMENYDELFGMALTHSEELFENGGFNSLFRAKAMSAGDSSCQDAIAAEGSSIGHVNAAQPACSNAASADSILSTKTEPNFCITTKQSQSSLSFSGINEDGSAGDYQDCGASSMFLMGEPPWLNTCPENELQLQSANRCSAVMRYKEKKKTRKFDKRVRYASRKERADVRRRVKGRFVKAGEAYDYDPLSQTRSY >CAK8543886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646922052:646931598:1 gene:gene-LATHSAT_LOCUS12610 transcript:rna-LATHSAT_LOCUS12610 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPFSCSSLILQPRFNYHITPIFRSLSLQLSSSPFPFNANSSSVRLHCALSQAAETESPSGGRSGALSPGPPVAGKVQKIDVNPPKGTRDFPPEDMRLRNWLFNNFKEVSRLYGFEEIDFPVLESEALFTRKAGEEIKDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVSLPLKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELISSIVSFFKRIGITESDVGFKVSSRKVLQEVLKCYSIPENMFGKVCIIIDKIEKIPVDEIKKELNAVGLSQEAVQDLLQVLSVKSLTELEERLGGSGEAIADLKQLFSLAEKFGYSKWLQFDASVVRGLAYYTGVVFEGFDREGKLRAICGGGRYDHLFSTFGAEDIPACGFGFGDAVIVELLRDKGLLPELGLQIDNLVCALDEELQGYAATAANMLREKGQSVDLVLESKPLKWVFKRAARTNAERLILVGNSEWQRGMVVVKILSTGEQYEVKLDDLN >CAK8535692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874898221:874900697:1 gene:gene-LATHSAT_LOCUS5124 transcript:rna-LATHSAT_LOCUS5124 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRVVSRLCVVPLLVLSNGVVTSSSSSCNTEIPTLSTKCLRFCSSSSSEKTSLWWNKSSNKLPHIVKAGEPVLHEPARQVDPNEINSDKIQNIIDDMIHVMRKAPGVGLAAPEIGIPLRIIVLEVRKEYISYKTEEENKSQDRRPFDLLVILNPKLKKKSNKTAFFFEGCLSVGLHQALVERYLDVEVEGFDRYGEPIKIKASGWKARILQHECDHLDGTLYVDKMVPKTFRNWKNMDQPLAPGCPKLGPRA >CAK8539192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506488295:506492675:1 gene:gene-LATHSAT_LOCUS8312 transcript:rna-LATHSAT_LOCUS8312 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGYRSDEECSVIGDKAEIAFLDFEEERSVCSYVPDDGAPVVVSVPFAFVNGKPQSVFLGDTAVDLITVNNTTDEPIDLWSVNILASNPPDSFTLSLMEPSRENSDSESFLESFRVEDRMLQPRETLNIWLSCKTKDMGMYSSVVYFDIGDEKMERVVFLLVEDKISKSLASNRPYSRGRKKEKFVVDSFVPGSRPAGKANRKYVNRLPRYDIPKDIRLMLEDNQVPQVVEDGLTRRNYAAYFKTLIIMEEIQLEDDMRTYDMECITMRTRGNNYLSLEVPGLAERRPSLVHGDYIFAKLASEQDQNTAPVYQGFIHRVEADEVYLKFDPEFHYYHRDEDLYNVHFTYNRINMRRLYQAVEAAENLGTESLFPSTSRRRCIKTTNLVPISGSLNEEQMRSIKMILGCRGAPPYVIHGPPGTGKTRTIVEAILQLYQHYKNTRILVCAPSNSAADHILEKLLAEKDIEFRDSEVFRLNATTRPYDDVKSEFLRFSFFDEMIFKCPPVSALKHYRIVVSTYMSASLLFAEDVSRGHFSHIFLDEAGQASEPETMIPIAHLCRRDTVVVLAGDPLQLGPVIFSKKADEYQLGVSYMERLFKCELYGNGDANYITKLVKNYRCHPEILYLPSKLFYFGELMACRDSTTFTMTADFLPNKDFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVETVKRLIAGGKITEEDIGIITPYKQQVLKIKQTLESLEMPEVKVGSVEQFQGQEKDVIIVSTVRSTIKHNEFDRVHCLGFLSNHRRFNVAITRAISLLVIIGNPHIICKDNHWSQMLWHCVDNSSYLGCALPERIEHFDEDVGENSGFGFNEEFGQDSFQSELPKPDEWSDGWNSNNVESGHGECYNGWNTPSNNVESGQYSFPELPKPVTDEAEWSDGWK >CAK8540542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10432307:10435756:-1 gene:gene-LATHSAT_LOCUS9539 transcript:rna-LATHSAT_LOCUS9539 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLSKLVTPNAISASSPESLRSRSIKTNFLAFDLSSTNNFRTNLSASLRTHHENAFKASLRVRCSQTDGNGVPAKRTVLHDLYEKQGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFRELVQAGKDIESAYWELVVKDIQDASKLFEQIYNQTDGGDGYVSVEVSPSLADDTEGTIEAAKWLHKFVSRPNVYIKIPATAACVPSIKEVIANGISVNVTLIFSLERYEAVIDAYLDGLEASGLNDLSRVTSVASFFVSRVDTLIDKSLEKIGTPEALNLRGKAAVAQAALAYQLYQRKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVAPLIGPDTVSTMPDQALLAFIDHGAVARTIDANASEAEGIYNAIQKLGIDWSNVGNQLELEGVDSFKKAFDSLLDSLQEKAKALKLVSK >CAK8571693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462021389:462022345:-1 gene:gene-LATHSAT_LOCUS24331 transcript:rna-LATHSAT_LOCUS24331 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKTYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTIHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLINWDEINFPETWSLPQEIDPESILNRDIDQIIQTTQGDLEINFTSKRITRIPRSLSARHSVSEFYTAPRQLPRPSTSQIREEIEAVENIRLSENRIPHGIYQKPHTPRVESPTQSDMDFQL >CAK8532768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511631743:511632375:-1 gene:gene-LATHSAT_LOCUS2441 transcript:rna-LATHSAT_LOCUS2441 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVASHDLWIWHAFFGVAGSNNDINMLNQSNIFNDVLQGRAPKVHYTIHHTEYNNGYYLSDGIYPEWATFVKRIPMPQEDKKKLFAQHQEGEIKNIERAFRVLQSRFAITRNPLRSWHLNTLQRIMNTCIILHNMIVEDECATYGGNFDFSYDHFSNDATILPNNSNVDFQEFLHRRFEIRDKQIHRHLQQDLIENIWQCYGNENNNN >CAK8564806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10845780:10847021:1 gene:gene-LATHSAT_LOCUS18071 transcript:rna-LATHSAT_LOCUS18071 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLFDTARNYGFLHSRKQGFIYQQNFGLVNIKLKWVKDRTMDSVVTGQRDLKAAGILVSIIYSSSECCLPIYRLSRHRGQLGLPDDLKLAAFIRRYPNIFVESSFLDSGGSPVPCFGLSPEALKLHREEVDVLRENWFDLRDRLCRLLMLTRDWMLPLQTIDQLKWDLGLPYDYQDSFVLNHHERFSFVRLLDDRVGLKLLFWDDKLAVSELEKNASIQQEGEDIKNGTFAFPVSFTRGFGLKRKSMEWLKEWQKLPCTSPYANASHLDIRTDVSEKRVVGVFHELLHLTLHKQTERKNVSNLRRPLALPQKFTKALERHPGIFYISMKNDTQTVVLREAYNGGELVQKHPLVKVREEFANLMKKGLLDRSRGVYKKGIDANFVEEDRKEVYITDKTYQVKSKDESDSMLF >CAK8538796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496584612:496586146:1 gene:gene-LATHSAT_LOCUS7954 transcript:rna-LATHSAT_LOCUS7954 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLIKDAGASNKYDVFLSFSGHDTYTFTSNLYYALRSKRIKTFFFPKEIQNHDDQDQLQLSPYVSKTIQESKISFVVLSLNYASSTRCLDELVKILECTDPQFVRPIYYNVKVSHVTHQMGPIREAFLMLEKNYPDRIQQWRRALRGITYVCGWHFGNDQFDYELIQKIVDLTVRYMPRYDVFLSFCGEDTRYSFTGFLYQALRREGFKIFMDDEGLEGGNQISQTLLKAIEKSRLSIVVLSENYGYSTWCLDELVKIMECKKAKSQLVWPIFYKTEQSDVSNQTKSYGEAMRAHEDKFGKDSENVQKWRSALSEVALLKGDHIKENEYEYEFIKKIVERAVEAENHM >CAK8541273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:101668867:101669661:-1 gene:gene-LATHSAT_LOCUS10205 transcript:rna-LATHSAT_LOCUS10205 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKISAASARSHTRRANKSSSFHLPSGILRTTVAVLVIGFLAWAYQVTQPPPPNICGSPDGPPITVPRIKLRDGRHLAYKEHGVPKDEAKYKIISIHGFTSCRHDTVVADTLSPDVVKDLGVYFVSFDRPGYGESDPDPNRTLKSIALDVEELADQLGLGSKFYVVGVSMGGQVVWNCLKHIPHRLAGAALLAPVVNFWWPDLPANLAAEAYSQYKLRDRWAIRVAHYTPWLTYWWNTQKWFPICSAISRSPDILSKQT >CAK8560146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8842771:8843109:-1 gene:gene-LATHSAT_LOCUS13851 transcript:rna-LATHSAT_LOCUS13851 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSKFFTILMFICLALLLFSTSEVEAKVCQKRSKTWSGFCANSGNCKRQCIDVESATFGACHRQGIGLACFCYFKC >CAK8574571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2525626:2527562:1 gene:gene-LATHSAT_LOCUS26902 transcript:rna-LATHSAT_LOCUS26902 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAVVVAEPVAAAAPGIPGEPMDIMTALQLVLRKSLAYGGLARGLHEGAKVIEKHAGQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKAQ >CAK8534096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694294842:694295360:-1 gene:gene-LATHSAT_LOCUS3666 transcript:rna-LATHSAT_LOCUS3666 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKCILLMYYKNSRGRNCRKQGKMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVDKVQSFFAVVKALSRKKVQYGAHATSEQVVIVGDLCAICQEKMHAPILLRCKHIFFKDCVSEWFERERTFPLCRALVKPADLRSFGDGSTSLFFQLF >CAK8577149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540454866:540457065:-1 gene:gene-LATHSAT_LOCUS29280 transcript:rna-LATHSAT_LOCUS29280 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSNTTSSLVKSPKRNYYDMFVSFRGKDTLFSFTDHLFAALQRKGIYAFRDDTKLNKCDSITPELFQAIENSQIFIVVFSKNYAYSTSCLRELECILECVQLYGKRVLPVFYDVDPFEVRRQKGTYGEALAKHEETFQQDSEKVIRWRAALARATNFSGWDVRHKPQCAEIEKIVEQIINLSTRRNYFDVFVSFRGEDTRFNFTDHLFAALQRKDISVFRDDTKLKKGESTAPELLRAIKDSQIFIVVFSKNYASSTWCLRELEHILLHCGQPPEKRVLPVFYDVDPSEVRHQKRTYGKAFAKHEQKFQQDLEKVIRWREALAQVADLSGWDVRHKPQYAEIEKIVEEIINLLGCKWTET >CAK8536615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2653223:2656313:1 gene:gene-LATHSAT_LOCUS5968 transcript:rna-LATHSAT_LOCUS5968 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRIPFTSLFLSLIFVLSILNLAHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTVGDYRVVTELEVYAVSIVGPFPTAVTSLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDAIPPEIGELKSLTHLYLSFNNFKGEVPKELADLPDLRYLYLHENRLMGRIPPELGTLQNLRHLDAGNNHLVGTIRELIRFEGCFPSLRNLYLNNNYFTGGIPAQLANLSSLEILYLSHNKMSGVIPSSVALIPKLTYLYLDHNQFSGRIPEPFYKHPFLKEMYIEGNAFRPGVNPIGLHKVLEVSDSDFLV >CAK8563180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581207146:581208773:-1 gene:gene-LATHSAT_LOCUS16614 transcript:rna-LATHSAT_LOCUS16614 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIALKSFLGLRQSSPETTHFSYRPKPITHRRKFTVVAGITSPKLDGRNLRVAVIGGGPAGGAAAETLAKGGVETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDDYLRNRAKDNGANIINGLFLKMDIPKEKNSPYVLHYSSYDGKTGGVGEKCTLEVDAVIGADGANSRVAKSIDAGDYEYAIAFQERIKIPDDKMAYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRKRAEEKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEEIVKGSANGKRMVEEGDLRKYLEKWDKTYWPTYKVLDILQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVVPGNPLEDIKLAINTIGSLVRANALRREMDKLNV >CAK8532836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:527960588:527960722:1 gene:gene-LATHSAT_LOCUS2500 transcript:rna-LATHSAT_LOCUS2500 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKARKLELKWIQMRKMEKLCGICRLPGHTRKHCPNVGTSSR >CAK8572316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529148262:529154616:-1 gene:gene-LATHSAT_LOCUS24893 transcript:rna-LATHSAT_LOCUS24893 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVRTVSLGHTSISFFPFKPSSSSSIAFYMEQSPLLSFNLKISNQSRLTFQSSSHKHEANAVSPRNDESVVHGLSETIVGVLGGGQLGRMLCQAASQMAIKVVVLDPQENCPASSFSYQHMVGSFDDSATVEEFAKRCGVLTFEIEHVNVTTLEKLEKQGVVCQPKASTIRIIQDKYLQKVHFSKHGIPLPEFMQLDDLEGAKKIGELFGYPLMIKSRRLAYDGRGNAVAKSEEELAYAVDALGGFDRGLYAEKWAPFVKELAVIVTRGRDNTISCYPVVETIHRDNICHIVKAPADVKWKIRERATKVAFNAVNSLEGAGVFAVELFLTDDGQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVAGLPLGNPSMKTPAAIMYNILGEEEGELGFQLAHQLMTRALTIPGASIHWYDKPEMRKQRKMGHITVVGPSLSNLEGNLATIIEGEKLDDKTAAPRVGIIMGSDSDLPVMKDAAKILEMFGVPHEVRIISAHRTPDLMFHYASSAHKQGIQVIIAGAGGAAHLPGMVAAMTPLPVVGVPVRGSTLDGVDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILSVADENLMSRMIQYQEDQKESVLAKGEKLEKLGWESYLNDSN >CAK8572315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529148262:529154616:-1 gene:gene-LATHSAT_LOCUS24893 transcript:rna-LATHSAT_LOCUS24893-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVRTVSLGHTSISFFPFKPSSSSSIAFYMEQSPLLSFNLKISNQSRLTFQSSSHKHEANAVSPRNDESVVHGLSETIVGVLGGGQLGRMLCQAASQMAIKVVVLDPQENCPASSFSYQHMVGSFDDSATVEEFAKRCGVLTFEIEHVNVTTLEKLEKQGVVCQPKASTIRIIQDKYLQKVHFSKHGIPLPEFMQLDDLEGAKKIGELFGYPLMIKSRRLAYDGRGNAVAKSEEELAYAVDALGGFDRGLYAEKWAPFVKELAVIVTRGRDNTISCYPVVETIHRDNICHIVKAPADVKWKIRERATKVAFNAVNSLEGAGVFAVELFLTDDGQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVAGLPLGNPSMKTPAAIMYNILGEEEGELGFQLAHQLMTRALTIPGASIHWYDKPEMRKQRKMGHITVVGPSLSNLEGNLATIIEGEKLDDKTAVAPRVGIIMGSDSDLPVMKDAAKILEMFGVPHEVRIISAHRTPDLMFHYASSAHKQGIQVIIAGAGGAAHLPGMVAAMTPLPVVGVPVRGSTLDGVDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILSVADENLMSRMIQYQEDQKESVLAKGEKLEKLGWESYLNDSN >CAK8575518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:148348648:148349099:-1 gene:gene-LATHSAT_LOCUS27775 transcript:rna-LATHSAT_LOCUS27775 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSECYFGLNLSPLCEPSEVCYTIIPTMAYFEFLPLNKFDGDDDSISSTEQEHLVDLVDVELGQEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFICRKNVVLSIDSEKTDEC >CAK8569854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13697384:13702353:-1 gene:gene-LATHSAT_LOCUS22662 transcript:rna-LATHSAT_LOCUS22662 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIGAQRRIAVRNHHGENLVGVLHDAASAALVIVCHGFQSSKERIPMVNLAGALEKNGISAFRFDFAGNGESEGSFQYGNYYREVEDLRAIVQHFREEKYVITAIVGHSKGGNVVLLYASKYKDIHTVVNISGRFNLARGMESRLGENFIQRIKQDGYIDVKNKRGKIVYRVTEESLMDRLNTITHLACLSIPENCRVLTIHGSMDETVPLEDALEFAKFISNHELCIIEGADHEYTAHQDKLTSLVLDFIKVHNDKENTTSKQTRFRRVDKPIHSRF >CAK8541760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:388766582:388768437:-1 gene:gene-LATHSAT_LOCUS10655 transcript:rna-LATHSAT_LOCUS10655 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGMSSMQVDDPQRGFSVLGDGPLDMRMDPQKALRPPQDRTMVVVCLTEVAQNMGFSIADYVDRVMPLVLKELSSSDATNRRNAAFCVGELCKNGGNSALKYFDNILRGLRPLFSESEPDHAVRDNVVGAMAKMIMVHPESIPLNQVLPVFLRVLPLKEDHEESMAVYRTVIVWS >CAK8572176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517302695:517304256:-1 gene:gene-LATHSAT_LOCUS24769 transcript:rna-LATHSAT_LOCUS24769 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYPSQSTITTHVIAVPYPGRGHVNPMMNLSKLLVSNNPNILVTFVVTEEWLGFIASESKPNNIRFATIPNVIPSEEGRANDFLNFLQAVNTKMEEPFERLLDQLEPPPTVIIYDSFLFWVVRVSNRRNIPVASFWPMSASFFLVLKHYHLLEQNGDYPVNSENCDKRVDYIPGNSFIRLLDLPLNDASFRSRRLLQLALNNIPWIKKAQYLLFPSIYELEPRAIDVLRQEFSIPVYTIGPTIPYFRHNQITSVSTDRDYINWLDNQPFGSVLYVSQGSFLSVSSEQIDEIANGLHQSGVRFLWVMREESSKWKNICGDKGFVLPWCDQLRVLMHSAIGGFWSHCGWNSTREGLFCGVPFLTFPIMMDQPMNSKCIVEDWKVGWRVEKKVKEDVLIRRDEIAKLVKRFMDLDDDEGKGTRKRARELQKICQCAVASGGSLENNMKEFLGHILHGA >CAK8572717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557907584:557908207:-1 gene:gene-LATHSAT_LOCUS25247 transcript:rna-LATHSAT_LOCUS25247 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTYGKFSKATRLAVNQQKCRIYCAGMDELTKQNIIKASGFQEGQLPFKYLGVPVIGKKLSVRHYAPLIDKIVGKIKHWTAWLLTYAGRLQLINCVMFAITNYWFTCFPFPKTVIQKIESICRIFLWTGGFEGSRKAPVVWKQICSPRSHGGLNFVDIEVWNKTTLMKLLWNLSGKEDSLWVKWIQTYYIKTNDLMEIQCKKSDS >CAK8565004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24100702:24104517:-1 gene:gene-LATHSAT_LOCUS18246 transcript:rna-LATHSAT_LOCUS18246 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGTAFLSATVQTLVEKLASKEFLDYITNTKLDLSLLNQIRLTLLTLQPLLDAAEEKQISTPSVKDWLDGFKDAVYDAEDLLNHISYDSLRCKMENTQAASKTIQVWNILSSPFKNIYGEINSQMKDMCETLKLFAENKDILSLQTKSVRVSHRAPSTPMFNESVMVGRKDDQEKLMNMLLSESNTCMDVLAIVGMGGVGKTTLAQLAYNDEKVQKHFDLTAWACVSEDFDVVRVTKNLLESVTKTPWETNNLDLLRVELKKNLKGRRFFIVLDDLWNHNHCDWEELVSPLIYGKTGSKVIITTRNKKVADAARTFPIFELDPLSEEDSWFLLSKIAFGSGDFSETQQQNLEAIGRKIARKCGGLPIAAKTLGGLLRWKIDTKEWIDVLNDDIWNLENDTILPALRLSYQYLSSQLKRCFSYCSIFPKDYPLDRKQLVLLWMAEGFLDHSQDKKTMEEVGDECFAELLSRSLIQQLHDDFRGQIFVLHDLVNDLATAVCGKSCYRLEFGAKSYENVRHLSYNKEKYDIFKKFQTFEKFKRLRSFLAIHFGWPEYNLSRNTINYLLPTFQRLRVLSLSNYRNITSLPVTIGNLVQLRYLNLSNTNIASLPDTICNLYYLQTLILSCCFRLTELPEHVGKLINLRHLYIDRTSIIEMPKQIAELENLQTLNVFVVGKKNIGLSVRELGKFPKLRGKVVIKNLQNVIDVMEASVTNLKSKEHIEELTLQWGEETDDTLNERNVLDMLQPSANLEELSIISYGGTSFPSWLGDPSFSNMVFLSISNCVNCMTLPPLGELPSLKILRIHNMPILETIGQQFYGMAAGGTNSSFQPFPSLEKLIIEKMSNWKEWHPFQGEVFPFLRLKTLELSKCPELRGHLPSQLPSIEEIKIYHCDHLLATPPSQHWLSFIKKLDITGDLNSESKTERTQCSLLESDSPCLVQGIVIRNCHMLKSVPKMIINSTCLRHLTLYGINSLNVFPTNGLLTSLQSLLIDKCENLTFLPLEKWSSYTSLMSLNLWRSCNALTSFPLNCFPMLQNLSIRECRSLESIFISETSSCSSSTLQSFYVSECEALRSLPQRMETLTSLETMYLRNLPNLNLSLCEGAFLPPNLQLIHVDYVRITKPVTEWGLQGLTVCSSMLIGGEDNVNMLLKEPLLPISLVFLHIKSLSEMKSLEGNGLGHLSSLERLDISDSPGLVSLPEKAFPSMLKTLYFRDCPRLESLPEDRLPSSLEDLIIIRCPLLEERYKRNEHWSKIAHVPVIKINNQLTI >CAK8576413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:472843717:472847995:1 gene:gene-LATHSAT_LOCUS28601 transcript:rna-LATHSAT_LOCUS28601 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLARIRFLSNCYTFQFPKTPALSPFPTAFSTTTHFVSPLRATRTTTTTTSTTATVSDVDEEVLQIFLKERELNGDFVSRTSDLIWRMNSGNSGDYDVSELTDNNSQQTEQIIETNSDGGFLKLSSTQEWVLGNTSAPINRKMTAKTIQDNRARLKKLEALKYQSLKRELLLLSVGIGLACSGYCLVVFSVQAALSYAVGVLFSCLYLQLLYQHADNISSENVPQIFRKKTSKKIGIRSEDLNDFFEKTIRGSGISLSSPRLVIPAAIYGLWALSHQYFTNDLFDFQLVPAMVGMFVYKAAALVQVYRDNKELGFEFPEDY >CAK8533252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597473761:597475388:1 gene:gene-LATHSAT_LOCUS2896 transcript:rna-LATHSAT_LOCUS2896 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASGSASEPVGYPGGPYDTSLLVKYEQHVAYRIWFSEVSKRKLFNIFTFNFFQERGSKKELKVAGHGLKLTQRVPLQLPIEMDSWISRSGLASLQRTSLTKIDTNLVFAFAERWGVFWSPQDISEALAVEWAVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWAAAALVTLYRYLGDASMVSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGALKVDDLRPILDELTPVDVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPLDSRMASDIDIDWIGYHQSVQNVIRPTASATTPYETDDGYLEWYYRVSHPRLVPPSVDATTEMSVPIYEAGPSDPIVARMSSLIHRYLQQTGAEEDDPQFADLFEALHLGRSQ >CAK8562918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557108718:557111062:1 gene:gene-LATHSAT_LOCUS16379 transcript:rna-LATHSAT_LOCUS16379 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAVFSGSNIFATSSLLRTSNSKTRYSQLRTTQNLSAFPSKSHLFSPSTTSSSYPKTFRARSNTESGIFLPSLIASQEQVDQTYIMVKPDGVQRGLVGEIISRFEKKGFKLIGLKLFQCSKELAEEHYKELSKKSFFPQMIEYITSGPVVSMAWEGIGIVPSARKLIGATDPLQAEPGTIRGDLAVQRGRNVIHGSDSPENGEREIALWFKEGELCEWTPVQQPWLREE >CAK8531279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95879155:95883578:-1 gene:gene-LATHSAT_LOCUS1082 transcript:rna-LATHSAT_LOCUS1082 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHHADEDPSQRSRRKKNASSGDNSESGAAGQGASEGKRALYHCNYCNKDITGKIRIKCAVCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICSDWNADDEILLLEGIEMYGMGNWAEVAEHVGTKNKEACIEHYKNVYLNSPFFPLPDMSHVVGKNKKELLAMAKGQGDDKKGLPMGDISIKEESTFSTSRVKMEDSHKPGSTGRLSTNLNPELDSGLSVSTQASASVNQRASNKGRGKGGPGIVKIEDSSIDRDFGGNKPNSSGNGGPSLVEVSGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDEREIKLRVLRIYYKRLDERKRRKEFILERNLLYPNPIEKDFTPEEKAICRKYDKFMRFHSKEEHDELLTTTIAEHRTLKRIQELKEARAAGCRTSAEADRYLAHKRRKEADENARRARESGHLGPNNHGVPNALMSPDSTGTRPVNEMDLAGYYGADLLSEPEKRLCCELKLTPAVYLKMQQHLSVQIFAGNVTSKSDAHQMFNMDAMKVDRVYDMLVKKGIGSP >CAK8567831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:548484189:548485560:-1 gene:gene-LATHSAT_LOCUS20852 transcript:rna-LATHSAT_LOCUS20852 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRLLSSTFPATHISSISESGRYRTTFKNPLNSPATESQTKQRERNSSESSSLSNRNRKRRRFSNSRQPALHHINALITDKAVRF >CAK8567045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482144839:482145225:-1 gene:gene-LATHSAT_LOCUS20133 transcript:rna-LATHSAT_LOCUS20133 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYKEYDPFIMLHIVKQVYYVPYPSIQSRKRGWYVVIKTKPLGHIETDDLVKDVAYQEHEISQINDVVEVEEIINLCDTLAEGHQIDASVLLVDNNVDEEHEEFGSEDIIGSNDENNMDKEHEEFE >CAK8562893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554071377:554071808:-1 gene:gene-LATHSAT_LOCUS16355 transcript:rna-LATHSAT_LOCUS16355 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDVMEFERVLSYFDEDGDGKISLTELRSQMAKISGEFQLKEAEIAIESLDSDGDGLLSLEDLIKLMESGCEEEKLKDLKEAFEMYDNEGCGFITPKSLKKMLKKLGDSSKSIEECKLMIGRFDLNGDGVLSFEEFRIMMKE >CAK8567574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526595463:526596824:1 gene:gene-LATHSAT_LOCUS20615 transcript:rna-LATHSAT_LOCUS20615 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFEWLFIAIIALTTLSKCIALATDPLVQQEKDRIVKSLPGQNFNISFQHYSGYITVNEDVGRTLFYWFIEAEHPNPTSKPLLLWFNGGPGCSSIAYGEAEEIGPFHIGLDGKTLERNPYSWNQLANILYIDSPSGVGFSYSKNSSDILNNGDKRTTEDSLIFLLKWFERFPQYKENDFFISGESYAGHYVPQLSQLIVDYNSAAKQDSINFKGYMEML >CAK8569418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:324440:324800:1 gene:gene-LATHSAT_LOCUS22273 transcript:rna-LATHSAT_LOCUS22273 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSTLFTLTLLLSLCLTYASRPNLGFKALSSLHEDNIVGSKVSSVELDDDESCEGIEEEQECLTRRTLMAHLDYIYTQHKPKN >CAK8567708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538174723:538175349:1 gene:gene-LATHSAT_LOCUS20733 transcript:rna-LATHSAT_LOCUS20733 gene_biotype:protein_coding transcript_biotype:protein_coding MSVITIQSPKHCATKQVLKIDRNYKKILFVFSSFFTTILLLILLIYLILKPSKPQFSLQELEIYQLNLTGPILNSSIQLTLLSKNPNQKVSIYYDEFQVYATYKNQQITSDSFVPPFYQGQQEINLLSSSLIGNGLPVAPSIGYQMGHDQASGRLVLNLKVNGKLRWKIGTWVSGRYRFNVNCVSVVAFGIGTSMNVPPMTSQCSTVI >CAK8561254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:129435696:129439021:1 gene:gene-LATHSAT_LOCUS14863 transcript:rna-LATHSAT_LOCUS14863 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPPCSSSSSSSSCIGFENPIQSEKKRGAKNGKKNLNVKPQNLKQKRNQTANGGSRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGKQGAYDTEEAAARTYDLAALKYWGKDATLNFPIESYGKELEEMEKASKEEYLASLRRQSSGFSRGISKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAVAYDMAAIEYRGTNAVTNFDISNYIDKLNKKNKNAEKEQTKPQTTITRTTEIVPSNSSDSEETSQEQTPIATPPTPPPPENLQKTETFQQQQQQQDVPPIVQEHTLVNVMDIYLDQDLPWSYMYNGLLEFQDTNMSFSNGEDLIDIFDGTGFVEDIGLMFNTEEPCVVGESDINISQVLEGIDLLNGNAENMVVDNNDNNINKDKEILSLESSSSTTTTVSL >CAK8530260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10732405:10733724:1 gene:gene-LATHSAT_LOCUS140 transcript:rna-LATHSAT_LOCUS140 gene_biotype:protein_coding transcript_biotype:protein_coding MVILEPEKRTDSEPQSPESSENPSQSDPQKKTRDLPNLTECHACGFKVDMCTGKNKLRPLYSEWRIVLLCNKCFSCIQSSQICSYCFSASSSDSFRCTLCQHSVHKNCFFKYRNVAPWSYSCLGSEFSVCVDCWVPKHVEIARRRSIRSLRKIKNGVILKKGRVDLEKESSRVLKGAKLIRSMEDVVKDGNLKVKRKIEAATRTRDVAGKGAVVTKRAVELANREESTLNLPPKMDSVKFVGGSYLTFDLHLNSSPRVSKSRCLLNTSYMDAPKKWISSVDLSYKTSNSRNASGSDNILDSDSSTDLSCPCMGGTDMITSAKGGDCTAEFGVEEIGEVPLKEGEGSCSDRLINLSREDCGLELDRKQASASHGEEQKDRYLLKYFRRRPDRYQLKYSRRSNRYLLKYCRRKPDRYILKYSRRNCLKPTLNNLESQDLTV >CAK8566949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474912982:474913530:-1 gene:gene-LATHSAT_LOCUS20044 transcript:rna-LATHSAT_LOCUS20044 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAQLTHNVLVERQRYTFFFNIEYENIPKYCPHCNMIGHSLELEACKRLNAKLVEKGPKENTKKVFVKVRGANKNIEKEKEKIRENDDIEDVGNKGKVTSSQPETSGVQKFHTLQNKIEALLEVDVEPDDYNIEVADVLEESDDSSIGNEFVDVTRLIDEEVDTIENSPIPSTMGNKKFL >CAK8573490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615895078:615896978:-1 gene:gene-LATHSAT_LOCUS25935 transcript:rna-LATHSAT_LOCUS25935 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSVEKDVENQGGEFSTNHDIEKWLPISASRKAKWWYSAFHNVTAMVGAGVLGLPYALSQLGWFPGVSLILVSWVMTFYSLWQLVQMHELVPGRRFDRYFDLGEHVFGSNGRFGFWIIMIQQLTVQVSSTIVYSVTGGKSLKKFCDILIPSVFGDIRQTYFIVFFVCIQLFLSQIPNFNKLKGVSILAALMSICYSMVAFGSSLAKGFKNHPTHYGVRSHTPAGKTFDVFNALGTIAFAFAGHGVVLEIQATLPSTEEKPSKKPMWRGIVVAYCIVIMCYLTVAISGFWAFGTMVEDDVLVSLDHPPWVIAIANLMVFLHVIGSYQVFVMPVFDTMESCLVQKFNMKPSRTLRIIARSLYVATVGLIAIIFPFFGGLLGFFGGIAFTATSYIMPCVLWIMAKKPKRWSFHWIASVACIALGIVISIVAPIGGIRTIIVSIKTYKLFS >CAK8535119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815222511:815224807:1 gene:gene-LATHSAT_LOCUS4596 transcript:rna-LATHSAT_LOCUS4596 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLDSFSLTPTSQHPRTAASSLSCRPITVRFPPYTGGLKLRPLAATSLRSQFAASRVVPRGGRVLCEAGDTAVEVASITDGNWQSLVIESDIPVLVEFWAPWCGPCRMMHPIIDELAKEYVGKFKCYKLNTDESPSTATRYGIRSIPTVIFFKDGEKKDAIIGAVPKASLITNIEKFL >CAK8570934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:177575656:177578110:1 gene:gene-LATHSAT_LOCUS23642 transcript:rna-LATHSAT_LOCUS23642 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALYHIHNDPLDLTSQSMSVLSSMEAPTADVDLSPEKIENEKEGGPMFHCDLHDTEIVHKLAQMFLPGLASACVDNTTGDPFNTAGSVAGDLRKEMIDYLTQRSELFVAETVIFDDGADGEVLDHPLDIISCFVDDFVNSKRNLLSQVSGWLLSDNREDNIDDFVQEVETKGFWLLDRREKVAENLLRNVDFRNSFHCSMSFINAEDLANHVVDCNFKPVICRNEGCNARFSAVYLKEHDSECPFKIIPCEQKCTDNIMRREMDRHCITVCPMKLVNCPFYAVGCRSAIAQCMVGKHCSDDLHSHLLHLLKGIHKDASGGDLNRRVERIVQASSNNRLAEARDIRSLKVVVRHLEAKLGPLEVSVIEKTSAETLAKNENSEDIGTKTKGGAQASTSSDKTEVNATLNKTGAENAVNNEDSEHKENGKKG >CAK8577512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570144231:570147426:-1 gene:gene-LATHSAT_LOCUS29614 transcript:rna-LATHSAT_LOCUS29614 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEGEGGGCSIRGGDIYWGRKHDTDFKGIVVIFAWVSVPKTLLREFVDLYSSLGWNSLVCYAHYLSAFNKESAVPLAFYVFDELIEVLRTRPCPVVFASFSAGSKACMYKLFQLSEGRCPAPLNLRDCQLFRNCISGHIYDSGPLDVTSDFGFRFSLHPSIAKVPGPSKLISLVAKSVASGLDALYLTRFESQSAEHWQALYSSVNLGAPFLILCSENDDLVRYQSICDFAQRLRCLNGDVNLVNLRNSSHVGHYKHHPIQYRAAVSHLLEKAMSTYSRKVILERERTGIDGTHDEISELICDLQKVATNSNESFRRVAVRPSDHFFLPSSAEHTNNDRESGIPRDEQKEEPVCVPSFPSISAHSVLGQFLFDVCVPKNVEGWDVMFCGNLNGRTRASVSRNSPSRGIKRIGRSRL >CAK8574410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680646245:680646961:-1 gene:gene-LATHSAT_LOCUS26761 transcript:rna-LATHSAT_LOCUS26761 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNTQPSFPHPLPLESNMNTDHIEWVYGSHAPGIRKAMKILEAQFSHSNASSSSSVHNNEDVINIYNNNMMMNIYNDVYRPNLLVARSSQSHEPSLDQAVVAFADGDDYVGVHFKKKNLNDGRIHSLPHKKYGPYTCPKCYQVLATSQKFASHVTSNHYKFDSAEERRKRYMFRARKKPSLQICKIDNGQTTFVHVVSSIDQPPLASTVNNDDQNQSSPSVLPLNGVKLELDLVDG >CAK8531322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100756575:100757618:1 gene:gene-LATHSAT_LOCUS1123 transcript:rna-LATHSAT_LOCUS1123 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPVRFQRMMDAFDADMALSRVKPCSESSGSEHSPESSPELSDLVLSFMEDNERSEEEEEEKEKEKIDRGEDLGEKVNEEDLEKVEMLKKLFDGNEDVEEDERDDKERIRREVEIAIGGLIGSDNSFPGFKRWLMTQLREKGYDAGLCKTKWEKRGKLTAGDYEYIDVTLSGKRYIVEVSLSSEFEIARPTNQYSSLLEFFPMIFVGKMEEFKRIVRLMCSAIKGSMKRMDLHVAPWRRNLYMQNKWFSSYKRTTNAVGARKELSHFSAETFFPKKFMGFEATHVNVYNCRDEYLRVNTGFRVGHLTTALKMDDFGL >CAK8532660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:459434828:459435304:-1 gene:gene-LATHSAT_LOCUS2343 transcript:rna-LATHSAT_LOCUS2343 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPCASPLRNIDAYVVPIHDIILQHHHNSNKSPQRPLFQEVSNTNYVLHPCMFSYRLGLLLKLPS >CAK8535412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846315653:846316567:1 gene:gene-LATHSAT_LOCUS4877 transcript:rna-LATHSAT_LOCUS4877 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPYPKHVIKTIKSICRTFLWTGSEVKSRKSPIAWKSVCKPRQKGGLDVLDLSYWNTACLTKLLWNLCNKKDTLWVKWIHAFYFKTTDIMQVQEKQGMSWILKAILRHRFIIIGMEDWYEMKEKYFVGKVYQFLKKDDPDVGWKHMLSNIIARPRVLFTMWMACHRRLTIRGRLKRLGLMTDDKCNFCDKEETIDHLLFDCPPFKTCWQQILVWLGFQHFPCEWREELEWLITHCKGKGWRKCILRSAVAETIHEVWRYHNNAVFGNTVNVLDIRDLVISTLVNIGWVNTRMRHHIAQLLIE >CAK8542183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486630864:486634651:-1 gene:gene-LATHSAT_LOCUS11044 transcript:rna-LATHSAT_LOCUS11044 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNQNFSHARAASPQIRTNSEVDSQYLSELLAEHQKLGPFLQVLPICSRLLNQEILRVSGMLSNQGFGDFDRHQHRSPSPMASSNLMSNVSATGIGAWNNLQQERLIGPPGTNMDWQSAPASPSSFTVKRILRLEIPFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVDLRLRQAQEIIEELLKPVDESEDFIKRQQLRELALLNSNFREESPGPSGSVSPFNSSGMKRAKPGR >CAK8542182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486630864:486634651:-1 gene:gene-LATHSAT_LOCUS11044 transcript:rna-LATHSAT_LOCUS11044-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNQNFSHARAASPQIRTNSEVDSQYLSELLAEHQKLGPFLQVLPICSRLLNQEILRVSGMLSNQGFGDFDRHQHRSPSPMASSNLMSNVSATGIGAWNNLQQERLIGPPGTNMDWQSAPASPSSFTVKRILRLEIPVDTFPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVDLRLRQAQEIIEELLKPVDESEDFIKRQQLRELALLNSNFREESPGPSGSVSPFNSSGMKRAKPGR >CAK8570127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24576214:24576864:-1 gene:gene-LATHSAT_LOCUS22906 transcript:rna-LATHSAT_LOCUS22906 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSQDSDVCSRSRWKPMCHCGDMVVLQRPTTVKNMGKRFWGCPNYKGGMQVGCGFFDWFYEEVGDENEQFWMHRLGVVTKGLAEAKKDIEKATMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVRGS >CAK8570128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24576214:24576789:-1 gene:gene-LATHSAT_LOCUS22906 transcript:rna-LATHSAT_LOCUS22906-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQRPTTVKNMGKRFWGCPNYKGGMQVGCGFFDWFYEEVGDENEQFWMHRLGVVTKGLAEAKKDIEKATMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVRGS >CAK8574996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20367735:20368089:-1 gene:gene-LATHSAT_LOCUS27289 transcript:rna-LATHSAT_LOCUS27289 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCNCGTSCNCGDSCNCNKSGLSYSEMETKETVVLGVAPAKIHSDGAEMSVTAEDGGCKCGDSCTCDPCNCK >CAK8531326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100826570:100830557:1 gene:gene-LATHSAT_LOCUS1126 transcript:rna-LATHSAT_LOCUS1126 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVSPSSASSNSNNNTNHNHGSSNGNNNGSHLLLYKWTPITQSQNTNNNGSVKDAPLEPVEEPPRRKFKYIPVAVLEEQKNEATEIGEVAEKVEDESNPVEADSSAAEPTSKNEILDEKPDINDVPMEESEPQDKNQVVRQDLNESLDLSLGLTSHDEDHDSDSKTNQTTDDGQ >CAK8533923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671943843:671947009:1 gene:gene-LATHSAT_LOCUS3510 transcript:rna-LATHSAT_LOCUS3510 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIIIGAAAALTAALAAAAVAGHHLKVTGKWDHAESILKVFGEECGTPIEKLRKVAEDMVVEMHAGLASEGGSKLKMLISYVDNLPSGDEKGLFYALDLGGTNFRALRVELGGKEKGVVNVDSEEVSIPPHLMTGSSHDLFDFIATSLAKFVSSEPEEFRPLPGRKRELGFTFSFPVRQTSISSGTLIKWSKGFSIEDVVGEDIVGELTKSLERVGLDMRVVALINDTVGTVAKARFSNKDVIAGVILGTGTNAAYLENVTAIPKWQGLLPKSGEMVINMEWGNFCSSDLPLTEYDRALDEVSLNPGEQIFEKIISGMYLGDIVRRVLLKMAEKADFFGDIVPHKLRIPFILRTPDMSAMHHDTSSDLSVVGKKLRDILEIENTSLKMRKIVVELCDIVSVRGARLAAAGIFGILRKLGRDTVKTGEEQKSVIALDGGLFEHYMKFRTCMENTLKELLGDEAAETIGVEHSNDGSGIGAALLAASQSQYLGVEDS >CAK8561413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203924340:203925008:1 gene:gene-LATHSAT_LOCUS15011 transcript:rna-LATHSAT_LOCUS15011 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQWNPYYNYLQTPSDSSQNFQMCPRPSLLIGDGSQNLQPFMFQSPPFSTGNNSQYTRLFMFQPPPTIDVVESPNVESESPIGSTTDSQVPGNSTQDGLENITFTGEGERSTQKKQCIRFLEEEDKLLIQTCLNLSKVSIVGVDQKANSFWGRIKGGYNNYRRPLIAREWSTLKSRWHLLNKHCQWFCGNYKLAVANKKSGQSETNVMEEARQIFVQVHQ >CAK8561414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203924469:203925008:1 gene:gene-LATHSAT_LOCUS15011 transcript:rna-LATHSAT_LOCUS15011-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTGNNSQYTRLFMFQPPPTIDVVESPNVESESPIGSTTDSQVPGNSTQDGLENITFTGEGERSTQKKQCIRFLEEEDKLLIQTCLNLSKVSIVGVDQKANSFWGRIKGGYNNYRRPLIAREWSTLKSRWHLLNKHCQWFCGNYKLAVANKKSGQSETNVMEEARQIFVQVHQ >CAK8532148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213359392:213359677:-1 gene:gene-LATHSAT_LOCUS1881 transcript:rna-LATHSAT_LOCUS1881 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPLPSIDKLRDDFICTICLNIFFEPVTISCGHSFCKKCLVVAMDKYKKNCLVCRQWVNIRFMFIKF >CAK8566654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445946215:445948671:-1 gene:gene-LATHSAT_LOCUS19770 transcript:rna-LATHSAT_LOCUS19770 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHFLLMLLFAVSNVAADEEPFIGVNIGTDLSDMPHPTQVVALLKAQQIRHIRLYNADQAMLTALSKSGIQVVISVPNEELLAIGQSNSTALNWVSRNVLAYYPSTNITSICVGSEVLTTLPNAAKLLVNALNYIHSALVASKLDSKIKVSTPLPSTIILDSFPPSQAFFNTSMNQVLIPMLDFLQSTQSYLMLNVYPYYDYMESNGAIPLDNALFKPIPPNKESIDSNTLLHYSNVFDAVVDATYFAMSYLNFTNIPVVVTETGWPSKGGSNEPDATLDNANAYNSNLIKHVLNKTGTPKHPGISVSAYIYELYNEDTKPGALSEKNWGLFDSNAVPVYVLQLTGSGAVLANDTSKETYCIAKDGADPKMLQAGIDWACGPGKVDCSPLLQGKPCYDPDNVVAHANYAFDSYYHQMGKSSESCDFKGMATISTSDPSHGSCIFSRRFGRNGIFSNAPAPTPNFSDSSACELGARSFLIMIGFVIWGVIWL >CAK8539120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504205768:504207126:1 gene:gene-LATHSAT_LOCUS8248 transcript:rna-LATHSAT_LOCUS8248-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPKLDLPSSSYYGCGDAFEAEQKSLNHMNSFQYTNEKIESYVIDMDPFSPGINKDSVNTNSRFTRSLSRKGSQRVGDRKMNSLTALQIHENKDLISAMCSPLACGTPEKLGAMVVSLTDHSINSHIHQQSGATTGETKSLTRRNSFVRSTSWSLDPKRVLIFFATLSSMGTMLLIYFTLISNKQNSDEFVGDIGTSQ >CAK8539121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504205768:504207126:1 gene:gene-LATHSAT_LOCUS8248 transcript:rna-LATHSAT_LOCUS8248 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPKLDLPSSSYYGCGDAFEAEQKSLNHMNSFQYTNEKIESYVIDMDPFSPGINKDSVNTNSRFTMQRSLSRKGSQRVGDRKMNSLTALQIHENKDLISAMCSPLACGTPEKLGAMVVSLTDHSINSHIHQQSGATTGETKSLTRRNSFVRSTSWSLDPKRVLIFFATLSSMGTMLLIYFTLISNKQNSDEFVGDIGTSQ >CAK8536646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4182017:4184951:1 gene:gene-LATHSAT_LOCUS5988 transcript:rna-LATHSAT_LOCUS5988 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGDLRVTPSLPLYRSHSLLPTTSFLPQKVDFHCFLNGGSSRSEFTPKHSTMATVRSNMRGYCKPVFAVLGGPKFSSKSVSQEAENVLLGAVNMSFFERLNLAWNIVFPSAVAKRSSNAGIAKKRLKMVLFSDRCELSDEAKRKIVNNIVDALSGFVEIESLDNVQLSVSADTDLGTIYSVTVPVRRVKPEYQEVDEAGTITNIEYKDTGDISGSVDVRFDFSVPDETS >CAK8544071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661113747:661114136:-1 gene:gene-LATHSAT_LOCUS12779 transcript:rna-LATHSAT_LOCUS12779 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQQVNMMKIMKTDDKVLEYKTPIKVEEVLPLPLPVSPKGVKKVRFANPEVQHVHKSSVVRIKLVISKQKLQDMLDNGGISVDKMLSLVHGEKGMDGEDLCKESDDDDSLGEWKPVLKSIPEVI >CAK8565362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:151798174:151799045:-1 gene:gene-LATHSAT_LOCUS18583 transcript:rna-LATHSAT_LOCUS18583 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAFFITSRLLRAGKYSTSVFVVMSKSKEMLRSYVAKVLSCYGGQVVLWPLQWKELRCGVVLVYSYGAAGYRFCCDGLQLMIRDNDEEGSWYCVWKFYGVMVIEVAQVCGCNRLVCEWKFLSWFVRIAAARMVLCTGFWVVWLEGFGF >CAK8572818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565442481:565442765:-1 gene:gene-LATHSAT_LOCUS25340 transcript:rna-LATHSAT_LOCUS25340 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFGNPTDQKVVEENEVKLDRILDVYEKRLSESKYLGGECFTLVDLHHLPSLHYLMKSQSQKLFESRFHVSAWVVDITARPAWSKVLAMLPK >CAK8541358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:135878636:135883082:1 gene:gene-LATHSAT_LOCUS10283 transcript:rna-LATHSAT_LOCUS10283 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTCSSNSLFQSSFTNKLKLTQFNNHQNFPPNIPYPRSLTPPSASLRVDVGAPNARVEAGKKTKEVIEDEAKFLVGTYARVPVVLEKGKGCKLYDVEGNEYLDLSGGIAVNALGHGDDDWLKAVVDQAAVLTHTSNVYLTIPQVELAKRLVAFSFADRVFFSNSGTEANEAAIKFSRKYQKHTCTEGKAPATEFIAFSNCFHGRTMGSVALTSKVQYRTPFEPVMPGVNFIEYGNAPAAVELIRQGKIAAVFVEPIQGEGGIYSSTKEFLQSLRNACDEAGTLLVFDEVQCGLGRTGHLWAHEAYGVFPDIMTLAKPLAGGLPIGAVLLTERVASSIKYGEHGSTFAGGPLICNAALAVLNKISKPNFLSDVSKKGQYFKELLKQKLGGNPHVKEIRGVGLIIGIDLDVPASPLVDACRNSGLLILTAGKGNVVRLVPPLIITEQEIEQATDILSQTLHVLDANNSK >CAK8535136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816533244:816536704:1 gene:gene-LATHSAT_LOCUS4613 transcript:rna-LATHSAT_LOCUS4613 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLGAVIGRYTSSDGTIHMGGNGIIKHNRKCRDIAVLVIFIAFWVAMIVNSSFAFNQGNPLRLTFGLDYKGNVCGDKHAGLHELELKYWLNPNQVYQSGLKDSQFKLADARSICLLDCPSPSEESLTWVCDYPEGDIRVSMNDWIDMNYDYYELLTPEMKNSSVQLQGPCYPVIFPSVNVHWSCQFIARASNVSLKHWQQLGGVNINEDTVIDKSIHRYINSHSAILERYMADIGKTWPVLIVCGGILPLFLSVIWLLMIRHFVSAMPWITVVFFDLLIVSVTMFYYLKVGWIGNDTITPIIGEHDPYIHIYGRELTHLRVVTILMTFIMVVSILTSIAIVRRILMATSVLKVAAKVIGEVQALIVFPIIPYGILAVFYMLWISVFLYLFSSGQVVQNDCHSNCCSYNLMEKRVICDRCCGYSIHYTPHIGVAILFHLFGCYWVTQFLIACSSTVIAGSVASYYWGQGDASPEIPFISVFSSMKRLIRYSLGSLALGSLTVSFVESIRLLLESIRRKLKVSSHVPDNWFGKAAYQSSQLFLMCIEWTIKSINRNAYIMIAITGKSFFGASSIATSLIKNNILRIGRVNVIGDVILFLGKLCVSLSSAVFAFLMLDTHKYKSAHNKISSPFFPVMVCWALGYIVATLFFGVIEMSIDTIIVSFCQDSEEHGTAQYAPPLLIETLSDQNEMQRLAQGSD >CAK8539743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523790511:523791533:1 gene:gene-LATHSAT_LOCUS8813 transcript:rna-LATHSAT_LOCUS8813 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFTDYMNDDMDEELVRLFKEEEASSSRRPRRQRRNIERNREEGHDRLFKDYFSETPVYTNEQFRRRCRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTANICMLTYGTSANSVDDYLRIGETMTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFPGILGSIDCMHWEWKNCPVALKGQYVRGDHGKPTIMLEAMASQDLWIWHAFFGVAGSNNDINVLNESNVFNDVLQGRAPDVHYTINRTEYNKGYYLSDGIYPEWATFVKSIPMPQGGIRENCLPNIKKGRERTLNEHLKFSNPDLRSYVTHHVLGT >CAK8577869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594916627:594916887:-1 gene:gene-LATHSAT_LOCUS29937 transcript:rna-LATHSAT_LOCUS29937 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTVADSGMINIAASAQKLDVDNRIALRFYYRVADNILWQADIFRAEKNIIDLYVLLLRFSSLASETIPRHREYRSSPQTKK >CAK8575338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:87394412:87395496:1 gene:gene-LATHSAT_LOCUS27608 transcript:rna-LATHSAT_LOCUS27608 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGYVKVNIEVAIVPNAQLSISVEGGDVSMVGQAIGIIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEGSIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDSLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHKTLKTKFEK >CAK8574871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12841779:12844314:-1 gene:gene-LATHSAT_LOCUS27170 transcript:rna-LATHSAT_LOCUS27170 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSPRSVEEIFKDFSARRDGIVRALTQDVDEFYTLCDPDKDNLCLYGHSNESWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINELPTVFEVVTDRKPIKDNKPTVDSGSKARGSTKRSSDGQLKSNPKLADDQGYEEEEDEHSETLCGSCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSMKRGRP >CAK8572489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:540384637:540385401:1 gene:gene-LATHSAT_LOCUS25050 transcript:rna-LATHSAT_LOCUS25050 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNKPQLSLSRLIKYTKGKEGKPVVVVGTVTDDIRVYDVPAIKVTALRFTETARARIEKAGGECLTFDQLPLRAPLGQNTVLLRGPKNAREAVKHFGCAPGVPHSHTKPYVRAKGRKFEKARGKRNSRGFRV >CAK8564455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675497975:675498353:-1 gene:gene-LATHSAT_LOCUS17760 transcript:rna-LATHSAT_LOCUS17760 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHRFRTLVWKCGKRTFTEFAIQQQHLSPKVLMERHPVDVYMRPLARGSSYMNFRLHVVDISRCC >CAK8544312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677582279:677585122:1 gene:gene-LATHSAT_LOCUS13003 transcript:rna-LATHSAT_LOCUS13003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEDEVVVNMDNIEKSDHSTSRRFRRNDSLEIEARTPSHARDPKGESTVFMLQLAFQSLGIVYGDIGTSPLYVLSSVFPDGIKNNDDILGVFSLIFYTLTLIPFLKYVFFVLRATDNGDGGTFALYSLICRYAKVGLLPNKQEEDAEVSNYRLRLPNNREKRASKLKSMLENSQGTKIFFLIITLLGTSMVIGDGVLTPCISVLSAVGGIKEATTRITESQIVLISVVILIGLFMVQRLGTDKVGYSFAPIICMWFLLIAGIGLYNFIVYDASVIKALNPKYIVDYFVRNKKEAWISLGGIVLCTTGAEALFADVGHFSVRSIQISMCCVTYPALILAYAGQASFLRKNNDLVSATFYKSIPGPMYWPMFVIAVMAAIIASQAMISGTFSIIQQSLSLGCFPRVQIVHTSEKYEGQVYIPEINYFLMIACIAITVGFKTTTEIGNAYGIAVVFVMTLTSAFLILIMVMIWKTNIVLVIAYALVIGSVELVYLSSVLYKFNQGGYLPVAFAVFLMFIMFVWNYVYRKKYGYELDHKVSQEKVREIAYDTSLCRLPGLAMFYSELVQGVPPIFKHFIANVPALHSVLVFITIKSLPISKVPREERFLFRRVQPKELNIFRCVVRYGYTDVRNEQEPFEKIMLEMLKEFVVNEHYCSQDVSDGEAKVQEVAEKEIQVIENASRAGIVHLVGENEVMARKGAGIMKRIMIDYSYNFLKKNLRETEKLFDIPQQRMVKVGMTYEL >CAK8542906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557729506:557730378:-1 gene:gene-LATHSAT_LOCUS11704 transcript:rna-LATHSAT_LOCUS11704 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKDRNLRKKVVSAGYTLNQPSFMYYLEEIRLSSAEALSWVDSIPVEKWTRAFDGGCRWGHMTTNLVESLNGVFKGTRNLPTTALVRATYYRLGSLFATKGKKWSAVLESGQIFSESCMKYMKDETSKAASHRVRPFNRHDYSFIFYETMDHNEGRPMGHYKVEIHKNWCDCGKFQTFCMPFSHVIVACSSAHHDPFLQLSEVYKVVKLFYIYNNSFSVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCEICCLPGHTRKSCPNVGTSSR >CAK8542779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545993649:545994266:1 gene:gene-LATHSAT_LOCUS11587 transcript:rna-LATHSAT_LOCUS11587 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIKNKTVRLFKQIIPSLTSKTIALKSKTNAIKARLILFSFMKNKKLLMSSISEKFHSLWGNHPHHHSNEDCLIEGGNCDYHNRAIVVYNKNAHTYEALLNPSELAQQVLDEQDQEDGYDGYYDDDDDKYPDLTHNLFDSEGLDFGGSVIDRVKNCKEEAGKEFKLEDDIDEVADLFIRRFRRNIILQKQDSLKRKREIAQNGA >CAK8539119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504196048:504197314:1 gene:gene-LATHSAT_LOCUS8247 transcript:rna-LATHSAT_LOCUS8247 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTSLDLSGCGRFSTLPLPSTILNSPSPLESLIFLDLSFCNISVVLDSIGDLKGLERLNLQGNKFSTLPSTFKRLSNLAYLNLSHCHKLKTLPKLPSKSGQSDSLGRYFKTTSGSRDHRSGLYLYDCHKITKWFFSCEDPGIPFKWLKRLFKEPQHFRCGLDIVFPWHRKYVDSHGNPSIPQWFNHKFDEGSVIRINNSDMQVGWAGFSFCVAFQVDIRHGVADLPRRFNSLPLSIPFCLSFESEHTEECFDIPLSLERNRVASSTYIWVIYISREHCHFVKTGAKITFKAGRGVTMKKWGFRVLIKKGVERTPGTQPPLFSIENVNERINSFEPKIKLPYNWLVSSEDEVENDEAKGKETNLFNLGLLTGQLH >CAK8571377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395030082:395033633:1 gene:gene-LATHSAT_LOCUS24044 transcript:rna-LATHSAT_LOCUS24044 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLYRKRPFMMNIGCFGRNLATASCSLSPVQLPAQKEAHFWYVLPDEVKCTSLLNRYFEILSPCEKENVLRMHGEELKKSALLARALVRTTLARYQTNCQIDPKSLKFRKNIYGKPEVDWQYADDWNLPPLHFNISHTSSLIACGVTVGSPIGIDVEEKQRKLKNDILAFAHRYFSPHEVEMLAHIVDPELRRHEFIKLWTLKEAYVKALGRGFSASPFNTFTIRLKDHMKEGIHVLPHVISKEDEISAELSSDLENLTSNWHFVLLELLGSHYAAVCIEKDNTNEDKGSIPINMTIRKTIPFVEDECISGSDTVAVIGGLSKLLVE >CAK8566337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:409458211:409459090:1 gene:gene-LATHSAT_LOCUS19472 transcript:rna-LATHSAT_LOCUS19472 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRPMLITSSVMFIISGLVMLWAPNVNVILLSRIIKGVAIALAVTFNPLYISEIAPPDIRGQLNTLAQFSCSVGMFLAYILVFLMSLMPSPSWRVMLSAISVPSVVYFLLTLFYLPESPRWLVSKGRILEAENVLKRLLRVDDVSGEMALLAEGLNPGGEDISIEEYVVAPASEILINQEAGKDCIKLYGPNEGVTMIAQPVNGQGSMLLHSMLSRHESFTSQETANLKDPIVNTSLNREGNEDANVASMLFVLCIVSNAV >CAK8563093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572990727:572991404:1 gene:gene-LATHSAT_LOCUS16537 transcript:rna-LATHSAT_LOCUS16537 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNWYHNYINLTLRILALGISDHALLYLENQDNQCRKTYQFKFLNNVVNMDGFQEEISNNWHAPIRGNPVYVMWRKLIRVQSVVNKLSKPLMDVSHYLKQARMNLLEVQNKLKDDLDNTELIKLVKERTEKVFKWSEIEEQVIRQRSKINWLKLGDGNNRYFHAQLKVRQNQSGMFSIYQDDGTILDRPEDIENEVLRFYGNLIGSVNSKLEGIDLVAMRDGP >CAK8576857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:520566576:520566884:-1 gene:gene-LATHSAT_LOCUS29020 transcript:rna-LATHSAT_LOCUS29020 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLVRITGGMKVKADRDDSFPYAFMLAAQDVATRCKESGITALHIKLCATGGNKTKTQCPGAQAALRALARPEMKIGRIEDVTLIPSDSTRRKSGRKENE >CAK8576556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492459786:492460268:1 gene:gene-LATHSAT_LOCUS28733 transcript:rna-LATHSAT_LOCUS28733 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKAANVEKTHGTTIKEIDHLVETNEVILEGFDDDEHHSNNSPTRPSITNSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKKMVDVFEMNSMELVKQSKNANGGGIWAELVEIGVEPSSLPLVYMYLVKNVDALKTFNGIPIDKRKEMLHLIVPDYPF >CAK8576636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499426073:499429422:1 gene:gene-LATHSAT_LOCUS28810 transcript:rna-LATHSAT_LOCUS28810 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASNLAFTFILLSFILVTFSSTNVHIVYMGDRSQSQNKSELIEDSHHDMLSCILGSKRAAMKSILYSYKYGFSGFAAVLTHSQAKLIADFPGVVRVIPNKILSLHTTRSWDFLHVKPDIVPGVLSRAQSGRGTIIGIIDTGIWPESHSFRDDHMDNPHSRFRGICQEGENFDSSHCNRKIIGARWYIKGYEAEFGKLNTSDGVEYLSPRDASGHGTHTSSTAAGVLVENASFKGLAKGSARGGAPSAWLSIYKICWSTGGCSSADLLAAFDDAIFDGVDIISASLGSSPPLPTYVEDVLAIGSFHAVAKGISVVCSGGNSGPYAQTVINTAPWVITVAASTIDREFPSKIILGNNQTIQGQSLYTGKELNKFYPIVYGEDIATSNASEESARSCESGSLNSTLAKGKTILCFQSRSQRSATAAIRTVTESEAVGLIYAQFPTKDVDMSWDIPSVQVDFIAGTKILSYMEATRNPVIKFSKTRTVVGRQMSPDVALFSSRGPSSLSPSVLKPDIAAPGVNILAAWSPASYSSLESDTSQDELLSALNFKIESGTSMSCPHVSGIVALVKSIHPSWSPAAIKSALVTTASLKNEYSQYIGAEGAPYKQADPFDYGGGHVNPDKMADPGLVFDLGKTDYLNFLCSMGYNNTAITLLTDSPTKCIKSRKFLLNMNLPSITIPELKQPLTVTRTVTNVGPIKSTYIVRVAAPVGISVTVEPTVLTFNEKRKKMKFKVKFSSELRVRSRFSFGYLFWEDGLHEVRIPLAVYSVV >CAK8574288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674105322:674106134:-1 gene:gene-LATHSAT_LOCUS26647 transcript:rna-LATHSAT_LOCUS26647 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDFNRAILEYRDKSIISLLEGIKHYITVRISAQKEKLGRYTGVTSLSVQKVLEKTKRAAEGWIATWHANDDFSIFGVSNGVETYAVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEEYVSSFYRKSTVLATYSHIIMPTNGPQLWSVNVANPISPPFMRRFIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKGKRAAERAIPKGGNKKSKKRVTSRARKWDKLL >CAK8532662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:460896049:460896630:1 gene:gene-LATHSAT_LOCUS2345 transcript:rna-LATHSAT_LOCUS2345 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNAGSTAVATTTIATARPSSSSGTLDSHHHHHNTNLTFFSSYSHSNSNSLSNGFRRTSAAPPPSRIITVPDTVFPNWQPSERVSHMNPDQIEEVRLRLNLDVTVSSDSPAAPGPIESFNDMCLHPSIMKDIAYHEYTRPTSIQAQAMTIALSGRDLLGYAETGSGKTAAFTIPMIQHCLVLTTWF >CAK8576125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:410298918:410299802:1 gene:gene-LATHSAT_LOCUS28339 transcript:rna-LATHSAT_LOCUS28339 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNKQSHLFSFSLAVLVSLLYSTTTSLSQLSPANAPIQPTLPAPTQPAAAPKPLVPSLPDSPSDSTPDTAGAVDIVGILRKAKSFNVLIRLMKTTQLINQLNSQLLATKTGGLTILAPDDSAFSELKAGFLNSLSDGQKLELLQFHVISDYVSSSNFDILTNPVRTLAGAKPGKVELNVVSYGGSVNISTGEVNTTINGIIYTDKHLAIYKVGKVLLPMDFFVVAKAPRKGPSLAPEPSAVTPKADKEKPLSPDSSDSSAKPTNDNSGNVKMSVSGKLVVSHIFGAVLLSIMG >CAK8578896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661090316:661091224:-1 gene:gene-LATHSAT_LOCUS30875 transcript:rna-LATHSAT_LOCUS30875 gene_biotype:protein_coding transcript_biotype:protein_coding MIISWNIRGLNKVGKVRDISSRLQKLTPAISVLIETRVKVKNASCIRQKLRLKDNYSNHENGRIWIHWMTTIYAQNQLQRRKELWRDIEKINAQQNGPWILVGDYNNVMKTEDKIGGNDVTEHEYIDLIEMMSKTGLYEKDSGGDYFTWSNKQGDNSIYSRIDHVLCNVEWLQQNGNTKLMNMNPNISDHAMLVLHDNIEVQQPSKGFRFINCCADLDNFQEVIKNSWDILLEGRPMFDVWKKLQRLQPHIRKLSKPLAEIHREIARARDDLHKAQDNLMTNRLNGEKINMVKRCSENLITL >CAK8567815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546893871:546895205:1 gene:gene-LATHSAT_LOCUS20837 transcript:rna-LATHSAT_LOCUS20837 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQKTARKPRVSRNPELIRGIGKYSRSTMYHKRGLWAIKAKNGGTFPRHDPTPKPEAPVEKAPKFYPADDVKKPLRNKHKPKPTKLRASITPGTILILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDVSSVNVDKFDDKYFSKESAKKTKKGEGEFFEADKEDKKVLPQSKKDDQKTLDAGLLKAIETVPDLKTYLGARFSLKAGVKPHELVF >CAK8533477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:621956462:621958288:1 gene:gene-LATHSAT_LOCUS3100 transcript:rna-LATHSAT_LOCUS3100 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSRGGGGNRDESVDDFDEYDPTPYGGGYDIHLTYGRPIPPSEETCYPSGSANDDFDYDRPQFSSQSEPSAYGDEALATEYSSYSRPKPRPAPAGFNPGSGGGYSGSESGGGYGGSESGGGYGGGRKQGSGYGGGRQESEFGSGYGGGAQESEYGSGYGRKNEGSEYGESGYGGRKQEYGRSEEESQGYGRKTEYESGGSEYGSGYGGRKKTGYGEEEEGYGGRKKTGYGEEEEGYGGRKKTGYGEDEGYGGGRSEYEAKPSYGRSEYEEKPSYGRSEYEEKPSYGRSEYEEKPSYGRSEYEEKPSYGRSEYGVKPSYGRSDDEEKPSYGYERPERRRDDDDDDEKPSYGRKKYGEDNSDDDDERKKHYHKSHHRKSYDDDE >CAK8540113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540259912:540260466:-1 gene:gene-LATHSAT_LOCUS9146 transcript:rna-LATHSAT_LOCUS9146 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEVFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLVLGNKIDKAGALSKQALTDQIDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >CAK8574682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6467340:6474578:1 gene:gene-LATHSAT_LOCUS27006 transcript:rna-LATHSAT_LOCUS27006 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSGGAEAHARSKQYDYISNSNLVLNSDSRPIGESTGEPESLSGKIDFKNFGDRAFRGRPTEKRGLVDGSDRYHRRRSKRCCFQEENMSVLTDTDDGIYQPKTKETRAAYEAMLSVVQQQLGGQPFSIVRGAADEILTVLKNESVNKKKDIEKLFNPITDHVFHQLVSIGKLITDFQEAGDVDGDVDGCFDNDVGVAVEFEESEDDNEGWNEESGEVDVVQEETEEVDEDDIGETGGSRAMQIGGGMDDEEMEEVDDEELNVHDIDAYWLQRKIFLAFGQEIDPHLCRKLAEEVLNILAEPCDREVEKKLLLCLKFDKFSLIKFLLRNKLKIVWCTRLARALDQEEREKIEEEMMGLGLQHILEQVHTMRVSPLERQKNLERSIREEARWLKDGNVVLDRSARGVGDRDDESRGQRRLLDLENLAFEQGGLFMANKKCDLPHGFYRNLKKGYQEIHVPALKAKPIDPNEKLVNIGSMPHWAQPAFKGMTRLNRVQSKVYESALFKSDNLLLCAPTGSGKTNVAVLAILQEMARQRNPVDGSIDHSAYKIVYVAPMKALVNEIVGNLSNRLQEYDVKVRELSGDQSLTQQQMEETQIIVTTPEKWDIVTRKSGDRTYTQLVKLLIVDEIHLLHDNRGPVLESIIARTVRQIETTKDYIRLVGLSATLPNYEDVALFLRVDLDKGLFYFDNSYRPVPLSQQFVGITLKNSFQRFQLMNDICYEKVMTASGKHQVLIFVHSRKETSKTARAIRDAALTNETLDRFLKEDSASREILHTHTDLVKSSDLKDLLPYGFAIHHAGMTRTDRQLVEKLFADGYVQVLVSTATLAWGVNLPAHTVIIKGTKIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSFGEGIVITGHNELQYYLSLMNQQLPIESQFISKLADQLNAEVVLGTVQNAGEACHWIGYTYLYVRMLRNPSLYGLAPDVLTRDITLEERRADLIHTAATILDRNNLVKYDRKSGYFQATDLGRIASYYYITHGTVSTYNEHLKASMGDIQLFQLFSLSEEFKYVTVRQDEKMELARLLDRVPIPIKEGSEDASAKINVLLQSYISQLKLEGLSLKSDMVFITQSAERLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSAQTPLRQFDGIPNGILTKLEKKDLSWERYYDLSSQEIGELIRSPKMGKTLHKFIHQFPKLNLAAHVHPISRSVLGVELTITPDFSWDDRIHGYVEQFWVIVEDSDGECILHHEYFMLKKQYIDEDHTLNFVVPIKEPLPPQYFIHVVSDRWLGSQSVLPVSFRHIILPEKYPPPTKLLDLQSLPVTALQSPSYEAWYQNFKHFNPIQRQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKGPDSVMRVVYVAPIEALAKERYCDWEKKFGVGLKLKVVELTGETTTDLKLLENGQIIICTPEKWDALSLRWRHRKHVQQVSLFIVDELHFIGSQGGHILEVIVSRMKYIASQVENKFRFVALSTSLANAKDLGDWIGATSHGLFNFPLGVRPGLEIYIQGVDIANFEARMQAMTKPTYTAIAQHAKNDKPALVFVPTRKHVRLTAMDLITYSSANTGEKSFLLRSTEELEPFLNKISDKMLEVTLREGVGYLHEGLNKLDHDIVAQLFEAGWIQVCVLSSSMCYKVTLSAHLVVVMGTQYHHGWENAQTDYPVTDILQMMGHASRHSVDNSGKSVIFCRAPRKEYYKKFLYEAFPVESHLHHSLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSEYLSEMVENTLSDLETSKCVAIEDDIRLSPLNLGRISSYYHISYKTIERFSLSLTLNTKMKGLLEVLSSALEYAYLPIRPGEEEVVRKLINHQRFSFVNPNIKDPHVKANALLQAHFSRQFVGGDIALDQREVLLSANKLLQAMVDVISSNGWLSLALLSMEVSQMLTQGMWERDSMLLQLPHFTKELARKCKENPGKSIETIFDLLEMEDIKRRELLSMSDSQLFDIARFCNCFPNIDLSYDVFHEDTVRIGEDITVYVTLERDLEGRTEVGPVHAPRYPKAKEEGWWLVVGDTKTNLLLAIKRVSLQRKLKAKLEFAAPADAGKKSYILYFMCDSYMGCDQEYDFTVDVKEAHDGKEDSCGK >CAK8570462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48445497:48450978:-1 gene:gene-LATHSAT_LOCUS23209 transcript:rna-LATHSAT_LOCUS23209 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRILTKTTISTSSPKPNPTSIFRVFFSAEPLPPESDSHLSEQSDSTFDSSHYEILIPDDHKPKPKPKPTWDEKFRNRADKLVFGDETKGKVKFKEEEDERRRMVLAKALLEAALNNEKEEEDEEEEDGDLGVVKDEDQKSLSVGIIGAPNAGKSALTNYMVGTKVAAVSRKTNTTTHEVVGVLTKGDTQICFFDTPGLMLNCGGFPYRDVKARVESAWSSVNLYEVLIVMFDVHRHLTRPDSRVVKLIKRMGERSIPNQKRVLCMNKIDLVEKKKDLLKVAEEFKDLPGFERHFMISGMKGAGVKDLTQFLMEQAVERPWEEDPFTMTEEVMKMISLEVVRERLLDHVHQEIPYDIEHRLVDWKELRDGSIRIEQHFVTNKLSQRKILIGKNGSKIGRIGIEANEELRTIFKKQVHLILQVRLK >CAK8570463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48445497:48450978:-1 gene:gene-LATHSAT_LOCUS23209 transcript:rna-LATHSAT_LOCUS23209-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRILTKTTISTSSPKPNPTSIFRVFFSAEPLPPESDSHLSEQSDSTFDSSHYEILIPDDHKPKPKPKPTWDEKFRNRADKLVFGDETKGKVKFKEEEDERRRMVLAKALLEAALNNEKEEEDEEEEDGDLGVVKDEDQKSLSVGIIGAPNAGKSALTNYMVGTKVAAVSRKTNTTTHEVVGVLTKGDTQICFFDTPGLMLNCGGFPYRDVKARVESAWSSVNLYEVLIVMFDVHRHLTRPDSRVVKLIKRMGERSIPNQKRVLCMNKIDLVEKKKDLLKVAEEFKDLPGFERHFMISGMKGAGVKDLTQFLMEQALERPWEEDPFTMTEEVMKMISLEVVRERLLDHVHQEIPYDIEHRLVDWKELRDGSIRIEQHFVTNKLSQRKILIGKNGSKIGRIGIEANEELRTIFKKQVHLILQVRLK >CAK8567445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514308077:514309633:1 gene:gene-LATHSAT_LOCUS20499 transcript:rna-LATHSAT_LOCUS20499 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTDTYSIESYEKYFRDSQTEELVELSSSDISTSSIHPDGASVVANNPFDSCSMMENRSVDSTEVDGVMRSKLEALERALLEDSDIEAEEEYDNSRCMEMDWADHDPMQNMLLLDEHDSPKEYSSSDSNVSSIRSVAKEIRKISRTPKQLLYECATAISEGNDEEASSMINNLRQMVTIQGEPSQRIAAYMVEGLAARLAESGKSIYRALKCKEPPSSDRLAAMQILFEICPCFKFGFIAANNAITEAVKDEMKIHIVDFDINQGSQYIKLIQTLALRSRKPSYVRLTGIDDPESVQRSVGGLNIIGQRLEKLAEAVGLSFEFRAVSSRASVVTSSMLNCRRGEALVVNFAFQLHHMPDESVSTVNERDQLLRLVKSLNPKIVTVVEQDVNTNTAPFLQRFVEAYNYYSAVFESLDVTLPRESQDRMNVERQCLARDIVNTVACEGEDRIERYEVAGKWRARMTMAGFTSSPMSTNASDEIRKLVEVYCDRYKVKEEKGALHFGWEDKNLIVASAWR >CAK8542057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:463038493:463039452:-1 gene:gene-LATHSAT_LOCUS10931 transcript:rna-LATHSAT_LOCUS10931 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8542058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:463038493:463039407:-1 gene:gene-LATHSAT_LOCUS10931 transcript:rna-LATHSAT_LOCUS10931-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8535310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836901261:836902400:1 gene:gene-LATHSAT_LOCUS4778 transcript:rna-LATHSAT_LOCUS4778 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEDMMPLPNSMEGISGSSLPSNSLLLNLSILKEKFNQVQTLVGVILSPNHQDSSTNSMAISSMNSTIQEMIVTATSIMFTCQHMALSFPQGTTTSNACTNLELQKAQSNIHSNFDNNINTNMDLRGQSQSLFFSNELEQLDWFSESYNSNINYRLKDDIDDQRVDHEICETNNSIKESNEPILMKNNRDHDNMSLKFGPSYPLCDDEIVELDASDLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDVYKSSAALSNPINKNKDYLVSMKNKKYSCPQGGCRWNQKHLKFQPLKSLICAKNHYKRSHCPKMYVCKRCNQKNFSVLSDLRTHEKHCGDLRWQCSCGTTFSRKDKLMGHVGLFVGHHPVINGLSYSTHTN >CAK8576766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512208688:512209266:-1 gene:gene-LATHSAT_LOCUS28934 transcript:rna-LATHSAT_LOCUS28934 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQNVMVLMMLILSVILPPPIQSLKLRAGAWGKSFLRKNQETETNLQFYFHDTLSGKNPSAIKVAEPVDNTKSFISQFGNIWMADDPLTETSDPKSKLVGRAQGIYASSCQQELGLLMSLSYSFVDGPYNGSTFALVGKNSAMNPVREMPVVGGTGLFRMAKGYAIAKTHWFDLTTGDAIVGYNVTLVHQS >CAK8537067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:76536392:76537315:-1 gene:gene-LATHSAT_LOCUS6383 transcript:rna-LATHSAT_LOCUS6383 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRAEKNEALCMCKERKRFIKVAIDSRYDLAALHVSYIQSLRNVGIALRRYAEAEVLVESSLSISDKTPSQTSYPSPSSPFNVAEVEASDSPLHNESPFSTPPPSLSYMRSSGSGSVTVKIDAFGNKYLDDESNVVSSMPPPSPPPELGASWDFFDPGEDSESFRFVVNNSEFRDCKDQWFQPGSDDHLVVSRGVKGCEQLVDGNVRQLEVVTAPGSADEDINLDVAEKGGVGKSCLKKEKKQMDENNVCTEREDPSEFITHRAKDFLSSIKDIEHKFIRASESGRDVSRLLEANKINSIKDS >CAK8576697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507238961:507239224:-1 gene:gene-LATHSAT_LOCUS28870 transcript:rna-LATHSAT_LOCUS28870 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPSMIRRALSSKAMGMPKGYVAVYVGEKQKRFVIPISYLTQPSFQDLLSQAEEEFGYDHPTGGLTFPCTEDVFQNITSGLNGL >CAK8564465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676069161:676069730:1 gene:gene-LATHSAT_LOCUS17769 transcript:rna-LATHSAT_LOCUS17769 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSLKLKLHLPNSSNPSCSLLSPKNHPLFIKPNKVLNIKNMKQTPSKSSAIKITKQTPVVEDAPRTQQQPMKLQVLETGNSRAMVIGAVSVGVLLLLSGMDEHKALALGPEGPLVEEFWDNVRRYALYALTVSTGAIYTIMVPIFELLKNPITAILIVALFGGGYYIVSQVLSAMVGISDFSYDYGN >CAK8570472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48995765:48996905:-1 gene:gene-LATHSAT_LOCUS23218 transcript:rna-LATHSAT_LOCUS23218 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLLLSLPLFHLQLLPTTTTTTTTTTVKINPSFNFQHRNGLSPLRSLANDFLGDFGARDPFPAEVESKFGDKVLGSYNTEHKILIPNISALSLSQQDCLPISPLQSPLSVDDANQLIRKVLGWRLVNEEGVLKLRCLWKLRDFKCGVELISRISKVVENTGHFPNIHIEQPSQVRAELWTTSIGGLSMNDFIVAAKIDAIKTSDLVPRKRVWA >CAK8578593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640575166:640576101:1 gene:gene-LATHSAT_LOCUS30590 transcript:rna-LATHSAT_LOCUS30590 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIMQQSLISTSVLLLAITFYTTTTLAQLSPIQPPTTTASSPPLPTTASPPLPATTATAPTPGLNTVPLVPTTPTGAPSPLIPKGPTIDIINILQKAKRFSVLIRLLKTTQLINQLNSQLVSSSGSGGLTIFAPEDSDFSKLKAGFLNSLSDRQKVELLQFHTLASFISISNFDTLTNPVQTQAGDDARLQLNVTTYGGNQVSMATGAVNASVTGTVYTDSKLAIYQVDKVLLPLDLVLPAKAPALAPAPGKGLPKAGKTNSSAVDDGSSAGSDDGDGKVLPAEASKAGAAVMWVNLVVFGMALVGWIVL >CAK8564737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7936510:7941837:1 gene:gene-LATHSAT_LOCUS18009 transcript:rna-LATHSAT_LOCUS18009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFEGQCSNDEIRERRSDVENSEDERRQYSKIGTLKKKAMNASSKFTHSLKKRGKKKIDYRVPSVAIEDVRDAREETAVLELRQRLVERGSLPPRHDDYHSLLRFLKAREFNIENTIQMWEEMLAWRKEYGTDTILEDFAFEELEGVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLTRITTIDRYLRYHVQEFEKALHEKFPACSIAAKRQIFSTTTILDVQGLGMKNFSPTAANLLSSIAKIDSCYYPETLHQMYIVNAGTAFKKMLWPAALKFLDPKTTTKIQILESKSLYKLQEVIDSSQLPDFLGGSCTCSRDGGCLRTNKGPWNDHNIMKLVRNTEGTFVRQITRASNEQHNFDSFQLQSLKERCSGSSTAESGSDFNDYSSPTRQRSGNYPRLAPVCEEVRAPDANGYYSCDDSALSAQNVMENDQLHLTRMQSLQINDTENVAYRTNSEGALVSNLLSVIKEKLAKINFLYVPQALASFIERLVGFIFSLRFEFWRTPNIVHPSNFTERDINNHSEAIEAATERECILPCEQRLQRLEKVFDELNKKPDGMPLEKEKMLMDSLDRIKSVEFDLEKTKRVLHAAVMKQLEITDLLENMKQPKCRQRRPFC >CAK8535364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843863086:843865683:1 gene:gene-LATHSAT_LOCUS4829 transcript:rna-LATHSAT_LOCUS4829 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNSSLRTLTNISTNPILRLRYYYYSVALNSHTLLCDPHNAQHLFDKTPQRLTTLKQHNQLLFSHSRNNQPKEAFHLFVSLLRSSLPPDESTFSCVFKLCGGSFDGTMGRQVHCQCVKVGLVCHVSVGTSLVDMYMKTESVDDGRRVFDEMGERNVVSWTSLLAGYSLNGFYDCVWELFCQMQFEGFLPNRYTVSTVVAALANHGVVDVGLQIHAMVVKHGFEGAIPVCNSLIIMYSRSGLFRDARNVFDKMENRDWVSWNSMIAGHVTNGQHLEVFETFKNMQLAGVKPTYMTFASVIKSCASLRELLLVRLMQCKALKSGFTEHQSVITALMVALSKCKEMDDAFSLFSLMEEGKNVVSWTAMISGYLQNGGTDQAVSLFSQMRREGVKPNHFTYSAILTVQQAVFVSEMHAVVIKTNYKKSSSVGTALLDAYVKIGNINDAVKVFEKIEVKDLMAWSAMLAGYAQTGETEEAAKIFCQLIKEGIKPNEFTFSSIINACAAPTAAAEQGKQFHAYAIKMRLNNAICVCSSLVTMYAKRGNIDSAHEVFKRQKERDLVSWNSMISGYAQHGQAKKALEVFDAMQNRNMEVDAVTFIGVITACTHAGLVEKGQNYFNSMINDHHINPTMKHYSCMIDLYSRAGMLEKAMDIINEMPFPPGATVWRTLLGAARVHRNIELGELAAEKLISLQPEDSAAYVLLSNMYAAAGNWQERTNVRKLMDKRKVKKEPGYSWIEVKNKTYSFLAGDLTHPLSNQIYSKLSELSIRLKDAGYQPDTNLVFHDIEDEQKETILSQHSEKLAIAFGLIATHPEIPIQIVKNLRVCGDCHNFIKLVSLIEQRYIVVRDSNRFHHFKDGSCSCGDYW >CAK8532797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:517597232:517597762:1 gene:gene-LATHSAT_LOCUS2467 transcript:rna-LATHSAT_LOCUS2467 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLSSSSFFFICLSLILFAVSPALSVPLYETLCKLTRQDANDCLILLKSDARITSATNYHDLSKFILDLAVTTSISVQAYLGKVETDFPNDQAIKQCAQQFYPKTIAAFKSALDELDKDPQGAKNDIQAAGNGPGFCEKALQREKFDNPPIHVRNNQIFVISEVAFLSVNHLT >CAK8563041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568961710:568964016:1 gene:gene-LATHSAT_LOCUS16489 transcript:rna-LATHSAT_LOCUS16489 gene_biotype:protein_coding transcript_biotype:protein_coding MERPIPSERSTGDDESAVSDCESVASGGHVSERQVRKTDGADMNLIRTRFVYGLSTQGLKADVMSIQRNPCSSVMAQARIQSFQIFARAVAKLRGGNANVKHVWYGASSKEEIDDIIQHGFGHAHSNGLRFSPNDSPLESVKSSVVDKDGLRHLLLCRVILGKMEVVAAGSEQCRPSSEEYDSGVDSFSSPKEFIVWSNRINTHVLPEYVLSFKLASNKGHEKVGVGGQSMRPSSPWMPFTVLISVLSKMLPPSDIVFIAKFHKEYREKKISRHELTQKVRLIAGDKLLVSVIKSFRAKKMLASFRQTGQPAAWMDNCPYIQ >CAK8543063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572976305:572981836:-1 gene:gene-LATHSAT_LOCUS11850 transcript:rna-LATHSAT_LOCUS11850 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIAQRARFSNRAPILTHSNLDQSQLVSKFSNHATIDTNPTFLSYAAIDSARTVESLDYREITSTRELRNTFDKYNRNVLSRCLNWTSGAIDNHHAASYVVNRHFTADHNDKCTKGFSSKHLKSIPDFVRIVEVGARDGLQNEKVIVPTNVKIELIKLLVSSGLSVVEATSFVSPKWVPQLADAKDVLKAIQDVEGASFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIVSASRSLKIPVRGYISCVVGCPLEGKIAPAKVAYVAKSLYEMGISEISLGDTIGVGTPGTVIPMLEAVLDVVPIDKLAVHFHDTYGQALSNILISLQMGISTVDSSVSGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKVTTALNKVTNCASKL >CAK8575715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:272827721:272828804:1 gene:gene-LATHSAT_LOCUS27954 transcript:rna-LATHSAT_LOCUS27954 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRDVSDSSGSPTIVEEILTIEIKPGLRKGTKITFPEKGNEHKGLIPADLVFIIDEKPHSVFKRDGNDLVVTQKITLVEALTGYTVQITTLDDRNLTVPINTITSPSCKEVVKEEVMMIPKEPSKKGNLRIKFNLKFPSRLTSEQKTGIKRLLT >CAK8572331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529915099:529915616:-1 gene:gene-LATHSAT_LOCUS24907 transcript:rna-LATHSAT_LOCUS24907 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFITLCMLFFFCVIILASAQSATVTSTYNLYQPEQHNWDLLAVSAFCATWDADQPLSWRSKYGWTAFCGPVGPQGPDSCCRCLKVRNTKTGDEEIVRIIDQCHNEGLDLDISVFHRLDSDGSGDAQGHLIINYDFVDCGD >CAK8541694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356828527:356829084:1 gene:gene-LATHSAT_LOCUS10592 transcript:rna-LATHSAT_LOCUS10592 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFNFHYEDVSRQDPLLKLNHANVMEVPGSCEIRLVPKAPYDLIIINGKLAMEIPRGQKFIQTQRGSIGKSFRSNPFLGSKKDKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFKHIRGFNVTIVTSANTQDETLPPSSGFLQKDEGETQ >CAK8539314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509051647:509054310:-1 gene:gene-LATHSAT_LOCUS8423 transcript:rna-LATHSAT_LOCUS8423 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRHLRELSMSFGNQICPEKSHELRRTKTDIEKNFSTALQLIKSEGERQKEDNSRKETEFEGLVEDIYNQYQTLDASYGRLTRANMKGAHHRIKRASSLSCSSLDSEYFSPLLNTVDALETQKSTEANELEANEVTQIRAKNAKLEKALSELHAKLKNNEEHTMSKTSDLMAPIEEKVNEASKQKKEFTDKINVMQQNLESVCNKNTDLESQLENQREKVSQYLNQIKNLEENLAETVSTKQNLLEEKQRFIEKITELESQCSKEKDLEEQLRDLESVCNKNTDLESQLENQREKVSQYLIQIKNLEENLAETVSTKQNLLEEKQRFIERITELKSQCSKEKDLEERSRDLESVGNKNTDLESQLENQRENISQHLNQIKNLEENLAETVSTKQNLLEEKQCFIERITELESQCSKEKDLEEQLRDLESVYNKNTDLESQLENQREKVSQYLIRIKNLEENLAESVSTKQNLLEEKQCFIERITKLESQCSKEKDLEEQLRDIESVCDKNTDLESQLENQREKVSQYLIQIKNLEENLAETVSTKQNLLEEKQCFIERITELESQCSKEKHLEEQLRDAMWEINALHKKKSELKLQNEQSEKEYSERIKKLTETIDQKREENKQSKLNKQLMERKMEELAEGVRQKIEDNIRILHRRVHVTELLNNENKDTYKSTQQKYEEESKVFGEKIADYEDEIRMLREKVLKLEADMSLEGEKMNLMKAVTQLERKTMKLEKKLKEKDCELVSLGENKKEAIRQLCFLTDFHRDRCLYLKDSMLNLRIKNMK >CAK8535532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858731456:858734844:-1 gene:gene-LATHSAT_LOCUS4984 transcript:rna-LATHSAT_LOCUS4984-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNLMEAGQLHHHQLLPLDMTQNNNTSESDIPRIREDEFFDSATKSGNSENQEAANSGGEDQEPRAKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENTALRTENEKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVSYPLLSPSSGPPRPLELGIGGFGGQPGLSGGDMYGAGDLLRSISGPTEADKPMIIELAVAAMEELIGMAQMGDPLWLTTLEGASILNEEEYVRSFPRGIGPKPAGFKCEASRETSVVIMNHVNLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPSSRSRRRPSGCLIQEMPNGYSKVIWVEHVEVDERGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASAMATNIPTVDVGVITNQDGRKSMLKLAERMCISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPAGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDTTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTNGGGNGGGESGHGGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSGEVA >CAK8535531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858731456:858735430:-1 gene:gene-LATHSAT_LOCUS4984 transcript:rna-LATHSAT_LOCUS4984-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLMIPTINMPSMIGRNNNVGVFELSSSLSLAQKMFQPNLMEAGQLHHHQLLPLDMTQNNNTSESDIPRIREDEFFDSATKSGNSENQEAANSGGEDQEPRAKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENTALRTENEKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVSYPLLSPSSGPPRPLELGIGGFGGQPGLSGGDMYGAGDLLRSISGPTEADKPMIIELAVAAMEELIGMAQMGDPLWLTTLEGASILNEEEYVRSFPRGIGPKPAGFKCEASRETSVVIMNHVNLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPSSRSRRRPSGCLIQEMPNGYSKVIWVEHVEVDERGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASAMATNIPTVDVGVITNQDGRKSMLKLAERMCISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPAGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDTTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTNGGGNGGGESGHGGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSGEVA >CAK8535530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858731456:858735430:-1 gene:gene-LATHSAT_LOCUS4984 transcript:rna-LATHSAT_LOCUS4984 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLMIPTINMPSMIGRNNNVGVFELSSSLSLAQPNLMEAGQLHHHQLLPLDMTQNNNTSESDIPRIREDEFFDSATKSGNSENQEAANSGGEDQEPRAKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENTALRTENEKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVSYPLLSPSSGPPRPLELGIGGFGGQPGLSGGDMYGAGDLLRSISGPTEADKPMIIELAVAAMEELIGMAQMGDPLWLTTLEGASILNEEEYVRSFPRGIGPKPAGFKCEASRETSVVIMNHVNLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPSSRSRRRPSGCLIQEMPNGYSKVIWVEHVEVDERGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASAMATNIPTVDVGVITNQDGRKSMLKLAERMCISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPAGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDTTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTNGGGNGGGESGHGGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSGEVA >CAK8562683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524949949:524953313:-1 gene:gene-LATHSAT_LOCUS16158 transcript:rna-LATHSAT_LOCUS16158-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSIENSFISINPSELRFQFELEKQTFCDLKVLNKTENFVAFKVKTTSPKKYFVRPNTGVIQSWDSCIIRVTLQAQQEYPPDMQSKDKFLIQTTALDPNIDVDDLPPDTFNKNSGKLTEELKLKVVYMFPTSPGGSTRDNAVKKSAQKFDTYSNQSLQQLKEERDAAVRQTRQLQQELDLLKRRRSRSDPGFSFTFAMFVGFIGVLFGLLLKLSLSSPPTQ >CAK8562682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524949949:524953376:-1 gene:gene-LATHSAT_LOCUS16158 transcript:rna-LATHSAT_LOCUS16158 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFGVYQLMRDSRSFDPFAMATDSIENSFISINPSELRFQFELEKQTFCDLKVLNKTENFVAFKVKTTSPKKYFVRPNTGVIQSWDSCIIRVTLQAQQEYPPDMQSKDKFLIQTTALDPNIDVDDLPPDTFNKNSGKLTEELKLKVVYMFPTSPGGSTRDNAVKKSAQKFDTYSNQSLQQLKEERDAAVRQTRQLQQELDLLKRRRSRSDPGFSFTFAMFVGFIGVLFGLLLKLSLSSPPTQ >CAK8538108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:463169341:463176505:1 gene:gene-LATHSAT_LOCUS7343 transcript:rna-LATHSAT_LOCUS7343 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGMSQQGNEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNREIDGQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHASATNSCFTVFFNPRASPSEFVIQLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDMDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSFLDGRDEATNGLMWLRGGPGDHGLNSVNFQGAGLMPWMQQRLDPALLGNDHNQQYQAMLAGGGGLQNQGNATDLLRQQMMSFQQPFNYLQQSGSLSPLQLQQQQTIQQFASTNNIMQPQSQVLAENLSQHILQKPHNNRENQTQQPQQQQTYQDTLLIQGDPLHQKQHASMPSPSYSKPDFLDSGMKFAASVSPGQNMLGSLCSEGSGNLLNLSSGHSVLTEQLPQQSWASKYSPSQVDGIGNSMSHVQYSGRDTTHVPPHCNSDAQNSVLFGVNIDSSGLLLPTTVPRYTTASADAEASTMPLGESGFQGSPYHCMQDSSELLQSVRQIDAQNQIPIFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKFEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEQAIESLGPSSGQGQRLNNTGAESHDIVSGLPSLGSHEY >CAK8564825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11269586:11270029:-1 gene:gene-LATHSAT_LOCUS18087 transcript:rna-LATHSAT_LOCUS18087 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAEDRKEPVNEQAVANMYAAMRSDLNQIYTKITELEMEVSEHSLVLNAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVTRLNETLEKKKKEIAEFETKYKIRMRKADAEVNDESGKKEGSAQGVLVGPAGGGE >CAK8534681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754762369:754764367:1 gene:gene-LATHSAT_LOCUS4204 transcript:rna-LATHSAT_LOCUS4204 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVDQLEFIDVLQRLGVDYHFNNEIRNLLDNIYNTQTSKLKKNNLYATALKFRILRQHGYHISTDVFVCFDLKTDQAIDVEGMLSMYEASFHSFEDETILDETRDFTTKFLKDYLNQNGDNDNMSLQISYALELPLHWRIPRWEAQWFIGVYEKKKSMSPVLLQFAKLDYNILQSIYQEELKSSSRWWDRTVRGGKLNFARDRIVENYIWTAGIIFNPNSGYFRKVVTKTFALITLIDDVYDVYGTLEDLELFTEAIDRWDLNGLDSLPEYMKMCFEPLNNFVNEVSSEIQNKSGRDITPHLKKAWTDQCKTYMIEARWYHSGYTPSLEEYLENAWMSIGTTNILIHSYFLTQHGFKMEDMARLEQNSNIIRFSDMIVRLVNDLGTYKRENDTGDIPKTVQCYMNKTGASERDACEHVNSMILTVWKKMNKEAHTSSFSRSFIDSAINIARMGMCMYMHGDGHSIQDPEIKNRIMSLIFEPVPIISTQHVRELASWRSKRGKSSNGIMDRMT >CAK8560645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33798228:33802143:1 gene:gene-LATHSAT_LOCUS14304 transcript:rna-LATHSAT_LOCUS14304 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSVLALHPTDNVFKTRELLDFEEDFVEKDPSGRYIRYNDILGRGAFKTVYRAFDEVDGIEVAWNQVRIDGLLHSVDDLTKLYSEVHLLKALKHENIIKFYDSWIDDKQKTVNMITELFTSGNLRQYRKKHKYVEMKAIKGWARQILQGLAYLHGHKPPIIHRDLKCDNIFVNGHQGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMSMLEMVTLEYPYNECNNPAQIFKKVTSGIKPASLNKVSDPEIKEFIEKCLVPVSERLSAEELLKDPFLQIESPKDPFPSPIKTPTATDIPKSRPPSMDIDADYKQFPESIYVESNQEILHFPVFEVQKTNKNNEFRLRGTKNDDNSVSLTLRIADTCGRIRNIHFLFYLDTDTAVSVASEMVEHLELADHDVAFIAGLIDYLIMKLLSWMKPSHDHSSYGDISLRSGSTNVDSQISMACPWSSTQTNVPSETLSCQDGFSGFNVIPREGFEIDGKSCSYKNADPTVFEGAFDSSPCLINSEDGSGTSEITVDDTSMKNDNCHDSKFLGVECFKCLGRPIPELEVGDMYFEDCKSQAVDYNVGKSTANSGSSNIMSLLGRCCSSTSYTEKEIDLELKLELDAIESKYQHWIAELTRMKLEAMEATRKRWMAKKK >CAK8561527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:272767553:272769073:-1 gene:gene-LATHSAT_LOCUS15109 transcript:rna-LATHSAT_LOCUS15109 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSCVVPGLFSSSCLAENNWSFMKYMPDLEIKNGKRLLGSANDDDESHSKKVNRRLDSCYPGEMDSVVPQIDLKDQRKGFEMLNDCEIVEKVVCFSVQFSAEQSQSQQLILLSKFGDEHLKKQLQVYDGDSMNSNDEPSDEQKEHHSGDSSDSGLLLPRMNRDSSITCLSRCSRSDYGSLASLNRSFRNIIRSGELYAWRRLNDIIEHWIYFSCALLEWEAYDPIRERWMHLPRMASNECFMCSDKESLAVGTELLVFGRELQSHVIYRYSLLTNSWESGMRMNFPRCLFGSASLGEIAILAGGCDSDGHILDSAELYNSEKQTWETLPSMNKPRKMCSGVFMDGKFYVIGGIGGRDSKLLTCGEEYNLRTRTWKEIPNMSPGRSARGSEMPATAEAPPLVAVVNNELYAADYADMEVKKYDKGRKLWLTIGRLPERAASMNGWGLAFRACGNRLIVIGGPRSHGEGFIELNSWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >CAK8561980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420700462:420725252:-1 gene:gene-LATHSAT_LOCUS15520 transcript:rna-LATHSAT_LOCUS15520 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIFHQTLLCQSVAEYQSKLGSRGIVSGTLFQSQSVDKEKKLLLSTNFRGSRLCVRKRRVAMAKNHSISRAVLTSNAASELSEKFNLEGNIEMQVNLSSSGSGAATQVDVQVSNSRGSMVLHWGIICESKGKWVLPSRRPNGTQVYKNRALRTAFVKSGSGSSVKIEIDDPAAQAIEFLVIDESQNKWYKNHGDNFHIKLPVKDKPVQEVSVPEDLVQIQAYIRWERKGKQSYNPEQEKEEYEAARRELLEEVARGTSVQDIRARLTNKTSDVEVKEPNKPNAAEVKDPSVSKTKTIPDELVQIQAFIRWEKAGKPNYSPEQQLIEFEEARKELLSELEKGASVDEIRRKITKGEIQTKVSKQLKTKQYFQAERIQRKKRDLTQLINSNAAKNTDQQLADIDQQFVDAPKTLSVIERYAKEREEYDTGSVLNKKTYKLADKDLLVLITKDGGKVKIHLATDYKIPVTLHWALSKTTPGEWLVPPESSLPPGSVIMDKAVETPFKAGSSSDPSPEVQSLDIEVNDDTFKGLTFVVLSDGKWFKNSGSDFCIEFGGKKQIQKKDFGDGKGTAKFLLDKIADMESEAQKSFMHRFNIAADLIDQAKNAGELGLAAILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTELLQNVYASYPQYREVVRMILSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGIMEEWHQKLHNNTSPDDVVICQALIDYINSDFDIGVYWKTLNDNGITKERLLSYDRAIHSEPNFRRDQKEGLLKDLGHYMRTLKAVHSGADLESAISNCLGYKTEGQGFMVGVQINPVPGLPSGFSELVRFVMEHVEERNVEPLLEGLLEARQELRPLLNKSQSRLKDLLFLDIALDSAVRTAVERGYEELNNAGPEKIMYFICLVLENLALSSDDNEDLIYCLKGWNLALSMCKGKDTHWALYAKSVLDRTRLALTNKAESYQRILQPSAEYLGSSLGVENWAVEIFTEEIIRAGSAASLSTLVNRLDPVLRKTANLGSWQVISPVEAIGYVEVVDELLAVQNKSYERPTILIAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNIFADLQANKGKLLCLKPTSAEVVYSEVKEGENIKDKSIDLKEVGSVPSLSLVRKQFSGRYAISSEEFTGEMVGAKSRNISYLKGKVPSWVGIPTSVAIPFGVFEHVLSDKSNQAVAEKINILKKKLTEGDFSALKEIRETVLQLNAPPKLVEELKTTMKGSGMPWPGDEGEERWGQAWKAIKKVWGSKWNERAYFSTRKVKLDHDYLSMSVLVQEVINADYAFVIHTTNPTSGDSSEIYTEVVKGLGETLVGAYPGRALSFICKKHDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVVLDYSSDPLMIDPSFRKKILSSIASAGNAIEELYGTPQDIEGVIKDGKVYVVQTRPQV >CAK8534250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709866534:709867082:-1 gene:gene-LATHSAT_LOCUS3810 transcript:rna-LATHSAT_LOCUS3810 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEHVPVESDPNPNLIPNQNQTPKQSPLPEDTDELKKVFNDFDANGDGKISANELETVLRTLRSDVPQEDELRRVMEDLTTDREGCINLSEFSAVCRSDTSDGGGSALRDAFDLYDRDKNGLISTEELHLALDRLGMKCSVEECRDMINSVDSDGDGSVDFDEFKQMMTAKSRAVNDFVN >CAK8571909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492306826:492307822:-1 gene:gene-LATHSAT_LOCUS24532 transcript:rna-LATHSAT_LOCUS24532 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIVHDDSEVGFEEGMLWLPSYVLDELCIRNHHQNQKVLNQQKLNHHKSSRESESITASRSSYQRPKLANHRIGNGMRAIFLESRNGSCGTGVFLPQIADTKFQPRKRPACAPVLLPARVVEALNINVHALGVQISPPQVQKYKPRCREASDKSSTEKKNDQKDASKQCSVISQKKCSAQEIFLPKEWTY >CAK8538040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459821478:459824076:-1 gene:gene-LATHSAT_LOCUS7281 transcript:rna-LATHSAT_LOCUS7281 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKLKKTLLVYFTACLLIAIVSGADLASDRESLLTLRKAVGGRTRSWNASETNPCLWFGVSCANERVTSLRLPGMALSGELPVGLGNLTELQTLSLRFNLLTGSIPSDFSKLVSLRSLYLQNNFLSGEVPEFVFGLRNLIRLSLAYNNFSGEISSGFNNLTRLDTLSLEQNRFTGSVPDLNVPPLHVFNVSYNNLTGPIPSRFSRLDISAFSGNSLCGNPLQVLCPGNNDSNSKNGLSGGAIAGIVIGCVFGFLLILVLLVFLCRKRTKSDSDNVAHAKSVDVENGAGAGDGGVSNSGSGSASVSASGVSLQKSLVFVGNVTRKFSLEDLLRASAEVLGKGTFGTAYKAALDMGVTVAVKRLKDVTVSEREFKEKMEEVGKLVHEKLVPLRGYYYSRDEKLVVYDYMPMGSLSALLHANNGTGRTPLNWETRSTIALGAAQGIAYLHSQSPTSSHGNIKSSNILLTKSYESRVSDFGLAYLALPTATPNRVSGYRAPEVTDTRKVSQKADVYSFGIMLLELLTGKAPTHSTLNDEGVDLPRWVQSIIQDEWNTDVFDMELLRYQNVEDEMVKLLQLALECTAQYPDKRPSMDVVVRLIEEICQPSIEKEQEEIIEDS >CAK8531675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:138374675:138375307:1 gene:gene-LATHSAT_LOCUS1449 transcript:rna-LATHSAT_LOCUS1449 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVVLESQKGGIISKNNKKTPQVINKTMMLNSFNKQSHFQEQQTTFLELCFLCRKRLLPGKDIYMYKGDRAFCSVECRCKQIFMDEEESNNLQNENCYFAAISSSSSSSSSEASYHKKGRRNQNGGYAY >CAK8565726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313475449:313477073:-1 gene:gene-LATHSAT_LOCUS18914 transcript:rna-LATHSAT_LOCUS18914 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLYSAYFFSFVLLVLIVQKIRQKKRYSTYNLPRGPRKLPIIGNIHNLLSSQPHRRLRDLADKYGPVMHLQLGEVSTIVISSPECAKEVMKTHDLNFASRPHLLASEIMSYNSTNIAFAPYGSYWRQLRKICTSELLSLKQVNSLQPIREEVLSDLVKWIASRNGSPINLTEAVISTIYSLVSKSAFGNKCKDQEKFISVVKESIKVSAGFDLGDLFPSAKWIQLVTGLRPKLESYQRQTDQIFENIINEHKGETYSKAKDDQGDPEEEDLVDVLLKYEDGSNKDFSLTKNNIKAIIMDIFGAGSETSTSTIDWAMVEMIRDPRIMKKAQAEVREAFETKGRVDENSINELDYLKSVVKETLRLHPPAPLLLPRECGQACEINGFHIPMKTKVIINAWAIARDPNYWTEPERFYPERFIGSAIDYKGSNFEYIPFGSGRRICPGITFGLRNIELALAMLLYHFDWKLPSGTKSEELDMTEQFGVTVRRKDDLLLLPFVYHPLNVT >CAK8536603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1783982:1787103:-1 gene:gene-LATHSAT_LOCUS5957 transcript:rna-LATHSAT_LOCUS5957 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKERETQVYMAKLSEQAERYEEMVECMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEHNVKLIKNYCQKVEEELSKICGDILTIIDQHLVPSSTSAEANVFYHKMKGDYFRYLAEFKTDQERKEAAEQSLKGYEAASATANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDSGKADEVKPTEPEH >CAK8533446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:618902539:618903606:1 gene:gene-LATHSAT_LOCUS3069 transcript:rna-LATHSAT_LOCUS3069 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGQLEIKFRLSDGSDIGPKSFAAATSIATLKESILSQWPKDKEYRPRTIKDVKLICAGKILENNKTVEECQSPLCNLPGGVTTMLVVVQPPNSEKDKKGASEATHNKCVCVIL >CAK8560245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11668000:11673217:1 gene:gene-LATHSAT_LOCUS13935 transcript:rna-LATHSAT_LOCUS13935 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGSGARRGRPPKRQRQPQGEQQQSPVEEEAIANRTRRRSAAAAAVNDKVEVQAAAVNENVDVAAVVKEGKAIEEKAMDECDNGGHSNGKAEAGEEDSNALVIPEQVQVGNSPLYKTEKKLGKGGFGQVYFGRRISGGNLSERTGPGAVEVAIKFEHTSSKGCNNGPPNEWQVYNTLGGSHGVPQVHYKGKQGDYFIMIMDVLGPSLWDVWNNYSHTLSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGASGTPDEKKLFLVDLGLATKWRDSTSGLHVNYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGYQVCRKKMGTSPETLCCFCPQPFKEFIEHVVCLKFDEEPNYAKYISFFDGIVGPNPDIRPLNTEGAQKLVGHKRGRLASDNDDEQPKKKVRMGLPANQWISVYNARRPMKQRYHYNVSSTRLAQHIEKGNEDGLYISSVSSSQGLWALIMDAGTGFTSQVYKLAPQFLHKEWIMEQWEKNYYISAVAGVVNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWKEGFYVTSMATAGARWGVVMSRGAGFTEQVVELDFLYPSEGIHKRWEAGYRITSLAATWDQAAFVLSVPSKKPQDETQETLRTTAFPSTHVKDKWDKNLYIASICYGRTVS >CAK8535720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876956391:876956663:-1 gene:gene-LATHSAT_LOCUS5149 transcript:rna-LATHSAT_LOCUS5149 gene_biotype:protein_coding transcript_biotype:protein_coding MMISWNVRGINNSAKCHEVVSRLNHLDPEIVVLVETRVKAQDVVKVRNKFGKKWKIIDNYSKHNNGRIWILWDDARIKVTTHHFYSIHPL >CAK8538482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484488139:484490017:-1 gene:gene-LATHSAT_LOCUS7673 transcript:rna-LATHSAT_LOCUS7673 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFTLVTIFLVLSLTLLTPSNGGSIGINYGRIANNLPSAMKVVHLLKSQGLDRVKVYDTDPSVLRALSGSKIKVTVDLPNQQLFAAAKAPSFALSWVERNVVAYYPHTQIEAIAVGNEVFVDPANTTKFLVPAMKNIYRALQKHNLHSDIKVSSPIALSALGNSYPSSSGSFRPELIQPVFKPMLDFIRETGSYLMVNVYPFFAYESNADVIPLDYALFRQNPGQVDPGNGLRYTNLFDAQIDAVFAALTGLKYDDVNIVVSETGWPSKGDSNEVGASVENAAAYNGNLVRKILTGSGTPLRPKADLTVYLFALFNENQKPGPTSERNFGLFYPNEKKVYDVPLTVEALKNYHDNPSPVSPVAGGANQPAPAEGGGNGGVSKSTTGNTWCVANPYADKSKLQAALDFACGEGGADCRSIQPNSTCYNPNTLVSHASFAFNSYYQKQARAGGSCYFGGTSYVVTQEPKYGDCEYPTGY >CAK8576731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509889202:509891291:-1 gene:gene-LATHSAT_LOCUS28903 transcript:rna-LATHSAT_LOCUS28903-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIAFLAQPRFLLLFLALSVFLIFAFSASKWVGEETEEEPEITHRVYLDIDIDKQRLGRIVIGLYGQVVPKTVENFRALCTGEKGKSASGVKLHYKGTPFHRIVSGFVIQGGDIVNHDGKSSESIYGGTFPDENFRIKHSHAGVVSMANTGPDSNGSQFFFTSVKASWLDGDHVVFGKVVRGMDTVFAIEGGAGTYNGKPRKKVVIADSGEIPKSKWDEET >CAK8576730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509889202:509900786:-1 gene:gene-LATHSAT_LOCUS28903 transcript:rna-LATHSAT_LOCUS28903 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIAFLAQPRFLLLFLVLSVFLIFAFSASKWVGEETEEEPEITHRVYLDIDIDKQRLGRIVIGLYGQVVPKTVENFRALCTGEKGKSASGVKLHYKGTPFHRIVSGFVIQGGDIVNHDGKSSESIYGGTFPDENFRIKHSHAGVVSMANTGPDSNGSQFFFTSVKASWLDGDHVVFGKVVRGMDTVFAIEGGAGTYNGKPRKKVVIADSGEIPKSKWDEET >CAK8578426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628585533:628590401:-1 gene:gene-LATHSAT_LOCUS30439 transcript:rna-LATHSAT_LOCUS30439-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSAREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHMLSEANRSLRQRQLEGYQLNQLQMNACVEEMGYGRHPSQNHGDGLFQQLECEPTLQIGYQHDPGSVVTAGPSMSNYMAGWLP >CAK8578427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628585533:628590401:-1 gene:gene-LATHSAT_LOCUS30439 transcript:rna-LATHSAT_LOCUS30439 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSAREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHMLSEANRSLRQRLEGYQLNQLQMNACVEEMGYGRHPSQNHGDGLFQQLECEPTLQIGYQHDPGSVVTAGPSMSNYMAGWLP >CAK8561086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:90153741:90158894:-1 gene:gene-LATHSAT_LOCUS14708 transcript:rna-LATHSAT_LOCUS14708 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKSKTNSLIHHTTTKKGGWNAAIFIIFVEFAERFAYQGLASNLINYLTKFLNEPTTTAVKNVNTWVGVSSLFPLLGGFVADSYLGRFNTILLSSLIYLVGMVFLTLSVSILRHKTLFFFALYVLSIGEGGHKPCVQTFAADQFDDDTPEEKDAKSSFFNWWYLGIVAGSTAAVFLPVYLQDNVGWGVGLGVLAGVLAMALAIFLLGIKRYRKEGPTGSPFTRLAQVFVAASRKWRVQDTVGTNNFCYSQEDKHEPHHHHPLQPKYHTLLHTRQYRFLDKAAIIDEVDASSKTRNPWRLCSVTQVEEVKLVLRLIPIWLSCLMFTVVQAQGHTYFIKQGGTLVHTIGSKFQFPPASLQGLVGVTILFAVPIYDRVFVPLARKFTGHANGITVLQRIGVGLFLSILTMSVSALVETKRVNTAKNHGLIDNPSAILPMHIWWLLPQYMITGVSDAFTIVGLQELFYDQMPEGLRSLGAAAYISIVGVGSFVSNIVIVIVEAISAKAGEKWLGDNINRAHLDDYYWVMAALSALNLGVYLWIAKCYEYKKVDEDERNNLQEGPNFNRYRSGV >CAK8566182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388683765:388687357:1 gene:gene-LATHSAT_LOCUS19338 transcript:rna-LATHSAT_LOCUS19338-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNSRIQSSKRKFDDTVSSSSNGVDLSLLEAIEKSQTTIEAVDNRTLKKLVLSFERRLKENIEARLKYPNQPDRFADSELELHEELHKLKVLAGAPELYPDLLLQDLTDEDALDENDEPARVLVDAIVDNSALELLVQNLHRLSESDPDENAAIYNTLATIENLIEVKPAVAELVCEKTKLLKWLLGKIKVREFDGNKQYASEILAILLQSSSVNQKKLGQMNGVDVVLQAVAMYKSKDPKSSDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKLAYASAIRAIDFAMTNYPPACERFVDVLGLKTAFAAFMGKIPINKKNKKERYQEELEERIVSLVASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETERLDQIEFDDLEMDEDEKYNRKLESGLYTLQLVAVILGHIWCSEHPQMRGRIELLLKQNKLSKNHVKDILQEYHDNIGDLDGPEEKERAQAKIQKFLTAL >CAK8566181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388683765:388687357:1 gene:gene-LATHSAT_LOCUS19338 transcript:rna-LATHSAT_LOCUS19338 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNSRIQSSKRKFDDTVSSSSNGVDLSLLEAIEKSQTTIEAVDNRTLKKLVLSFERRLKENIEARLKYPNQPDRFADSELELHEELHKLKVLAGAPELYPDLVSLNVVPSIVDLLNHDNTDIAIDVVQLLQDLTDEDALDENDEPARVLVDAIVDNSALELLVQNLHRLSESDPDENAAIYNTLATIENLIEVKPAVAELVCEKTKLLKWLLGKIKVREFDGNKQYASEILAILLQSSSVNQKKLGQMNGVDVVLQAVAMYKSKDPKSSDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKLAYASAIRAIDFAMTNYPPACERFVDVLGLKTAFAAFMGKIPINKKNKKERYQEELEERIVSLVASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETERLDQIEFDDLEMDEDEKYNRKLESGLYTLQLVAVILGHIWCSEHPQMRGRIELLLKQNKLSKNHVKDILQEYHDNIGDLDGPEEKERAQAKIQKFLTAL >CAK8568063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:568195182:568205493:-1 gene:gene-LATHSAT_LOCUS21060 transcript:rna-LATHSAT_LOCUS21060 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEVGVDAEDKLSGFIFMCNKMTKPECYRYRVFALPAGRKHVVERINPGMHLFLFDTDVKLLYGTYLATSTGMLNIEPFAFQGRFPAQVSFKIYQDCWPLPENQFKHAIKENYQNHSHKFNPELNTRQVSSLLKMFRPLDVPTTVPRHPGLNEIHSASMLQLPPRNGVFHQTPLSGDSYLSKMSPTHAPKLMSYRHLNGLEEPTGWANYVAGQSVPSQASRNQILAVAYTNTPEGAYATRAVSRYTPSLPYPQYAHEDFLNVLPEFHSFLRNDSGHAQSMQNFQRAELNVLHSQPEFYSSMMTMDRSHAQYLQHSQHQHLNVADTRAQFHSSTVTVGSRNSYDQSLQYSQQAHLNHNVLLPPPDFHSSMTTDSSCTQSLQDPPRPGVDLSVVNVGSSHAQMPWDPHSTHQNIQNLQPGYYSSATNVSSSYGQSFLGTQHTYQEAQNLQPDHGSSVNMNHTNVVMQPHGSTSPYVPQHVISTTYSVQGTGPHSSGDNQWSTY >CAK8570912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:166501539:166514145:-1 gene:gene-LATHSAT_LOCUS23621 transcript:rna-LATHSAT_LOCUS23621 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMALTSHNSLLFSSTTLPKHYSSSPLFFSKRNNLFGLPLSSSKPTLRIASRNNRSIKCSISEVTEPKTEKKKLMTRADIRNIAIVAHVDHGKTTLVDAMLKQTKVFRDNQTVQERIMDSNDLERERGITILSKNTSVTYKDAKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRTSARPDFVVNSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPENLADDLGPLFESIMRCIPGPRIDKDGSLQMLVTSTEYEGHKGRIAIGRLEAGVLEKGMEVKVCTSEDSCRYARVSELYVYEKFFRVPAERVEAGDICAVCGISDIQIGETIADKLTGKALPSIKVEEPTVKMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGFEFMVGPPKVINKKVNDKVLEPYEIATVEVPEVHMGAVVELLGGRRGQMFDMQGVGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTVFDSYGPWAGDMNTRDLGSLVAFEGGTSTSYAIASSQERGQMFIGPGAEVYKGQIIGIHQRSGDLSLNVCKRKAATNIRSNKEQSVILDTPLDYSLDDCIEYIQEDELVEVTPQCIRMCKNPKFSKKGK >CAK8571072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275636589:275637419:-1 gene:gene-LATHSAT_LOCUS23766 transcript:rna-LATHSAT_LOCUS23766 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLFVHIMHPALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAAAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPAWGVDGFDPFVSGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWD >CAK8544454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685959152:685959595:-1 gene:gene-LATHSAT_LOCUS13130 transcript:rna-LATHSAT_LOCUS13130 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSIQEVKNLSGFEEGLLPLKYLGVPLTCKKLSLNHYLPLVDKILGRMKHWSAKLLSIAGVIHKINAMCRTFIWSGKFDKSRKSLVAWSKVYRSTIQGGLNMLNLEKWNKVILLKCLWNI >CAK8569041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665940249:665943836:1 gene:gene-LATHSAT_LOCUS21938 transcript:rna-LATHSAT_LOCUS21938 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLPWTAVSAAAPIPLHSSIKVFTSIPKQLAFSPLSFCSTSDLHLTHHPDKHEHEPDNRRPQHLKPGLYLVGTPIGNLEDITFRALRVLNSADVILSEDTRHSGKLLHHYNIKTPLMSYHKFNESQREQLVLRRLKQGEIVALISDAGTPGISDPGMELAKLCVSENVPVVPIPGPCALVSALSASGLSTDEFTFVGFLPKHSGSRRKRLMASAEQTATQIFYVPPHKLSQFLEEGSSIFGDTRKCVIAREMTKFHEEFWRGSLGEANHVFSTRLPKGELTILIEGQAHSKVEPPSDTELENELRELIENGESLSTAVKLVTGRTSVSRKTIYSLALRKFGKQLEVEDDSS >CAK8571547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:438070309:438074526:1 gene:gene-LATHSAT_LOCUS24197 transcript:rna-LATHSAT_LOCUS24197 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFFLSSLSFIFLLVFTFSSAQMPGFVSLDCGGDKNFTDEIGLQWSPDDGIAYGEISAISVANETRKQYTTLRNFPADSRKYCYTLDVVSRTRYLLRATFLYGNFDNNNVYPKFDISIGATQWSTIVISDANTIEVREIIFLASSPTVSVCLSNATTGQPFISTLELRQFNGSIYFSFYEEHFYLSVSARINFGAESDEEIRYPDDPFDRIWESDSVKKANYLVDVADGTEKISTNVSIDVNRDEMPPLKVMQTAVVGTNGSLTYRLNLDGFPAIGRAYSYLAEIEDFPKNESRKFRLVLPGQADISKAVVNIEENALGKYRVYEPGFTNISLPFVMSFKLGKTADSSRGPLLNAMEINKYLEKNDGSPDGEAISSVLSQYSSKDWANEGGDPCLPVPWSWILCSSDKQPRIVSILLSGKNLTGDIPLDITKLTGLVELWLDGNMLTGPVPDFTGCTDLKIIHLENNHLAGVLSASLGNLPNLRELYVQNNMLSGTVPSELLSKNLVLNYSGNNDLHKGSRKKDQLYVIIGSASGAAILLLATIISCLFMHKGKKKYYGQDHLISLSTQNIESKSDGHAEVAHCFSFSEIKNYTNNFEKKIGSGGFGVVYYGKQANGKEIAVKVLTSNTYQGKKEFSNEVSLLSRIHHRNLVQLLGYCREEGNSMLIYEFMHNGTLKEHLYGPLTRGRSINWIQRLEIAEDSAKGIEYLHTGCVPAVIHRDLKSSNILLDRHMRAKVSDFGLSKLNVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDIYSFGVILLELISGHEAISNDSFGANCRNIVQWAKLHIESGDIQGIIDPALRNGYDLQSMWKIAEKALMCVQPHGHMRPSISEVVKEIQDAIAIEREVESVSDEMTRNSVHSSINMGSMDLAVTENYLAIDDSIARPTAR >CAK8531875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:169429137:169431244:-1 gene:gene-LATHSAT_LOCUS1637 transcript:rna-LATHSAT_LOCUS1637 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEVKTVVPESVLKKQKRNEEWALVKKQEQESAKKKRSETRKLIWSRAKQYAKEYDDQQKELISLKREAKLKGGFYVDPEAKLLFIVRIRGINAMDPKSRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKVDRQRIALTDNSIIEQVLGKHGIICIEDLIHEILTVGPHFREANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNREEYINELIRRMN >CAK8560539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24288627:24289265:-1 gene:gene-LATHSAT_LOCUS14203 transcript:rna-LATHSAT_LOCUS14203 gene_biotype:protein_coding transcript_biotype:protein_coding MKMISIILSYVVILSSYIPYATSVNNDFCVADLSLPKTPFGYQCKSEKDVTVDDFVFSGFVAGNATKPFNTGITFVNVDKLPGLNGLGIATLRGDIDINGSVPLHSHPDASELVIIVKGQVKVGFITPTKVFLKDSKPGDVIAIPKGQLHFLVNTGAEKGVFFGAFSSSDPSTHIVDLILFGNDLSTSVLSQTTLLDVAQIKKLKAAFGGKN >CAK8534508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737139538:737142661:-1 gene:gene-LATHSAT_LOCUS4051 transcript:rna-LATHSAT_LOCUS4051 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTSSQRCFLFIFSLSLFFLFLSTNAKTSLFHFKRIPDGVEVDDEVLPWKTRRSMAEAAVLPNTSLILAQERTARKDPLDHFNLYRGGWNISDKHYIASVVFTAVPFFIIAAVWFVIFGLSLSLICLCYCCCPRQPYGYSRVAYALSLILLILFTLAAIVGCIVLYTAQGKFHGSTSNTLEYIVGQADFTAENLRNVSDYLGAAKNIGVDAVFLPDDVQKNIENIKTKINAASVELSTKTHENSKKLQDGINGMRLALVILAAVMLFIAFLGFLFSILGMQGLVYFLIFVGWFLVAGTFILCGVFLFLHNVVGDSCVSMDEWVQNPTSHTALDELLPCVDNATAQQTLLQSRDVTSQLVLLVDKVINKVTNRNFPPQAGPVYYNQSGPLMPVLCNPYNTDLTVRQCTPNEVALDNATQVWKKYICEVSSAGICVTPGRMTPAISAQIEAAVNVSYALYHYVPFLIELQDCTFVRKTFTDISKDYCPGLRRNSRWIYIGLVTVSGGVMLSMILWVVYARERRHRVYTKQFIAG >CAK8541559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:247511663:247519074:-1 gene:gene-LATHSAT_LOCUS10472 transcript:rna-LATHSAT_LOCUS10472 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNVESKAWKWGLGLIYIIAVALIWIAASFVVQSVVDDGVSPFLVTYICNSLFVVLLPIVEIGRYFEDSYSGLSFWKSDKSKTHLEGRLEESEQAILLRDNEASGEVVESLDVIEDRSDGSELIPSGNVVGGLVGRVSLIENVDQRGLDEKGRWTRCRVAKVSLFICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGERFTWLKLFSVLLCMGGTIIVSLGDSQSGLRTVASNPLLGDIFALSSAGLYAVYITLIRKKLNDDDGKNGEASMAQFLGFLGLFNVLLFLPVALILNFTKTEPFYMLTWKQLGLIIGKGLLDNVLSDYLWAKAVLLTSTTVATAGLTIQVPLAAIIDTFTGNSPPFMDYLGAVAVMIGFAGINIPADTFSKSTESSAVELKNEDVSIRDEEHALPGTQDSAAVL >CAK8534703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756806423:756806596:-1 gene:gene-LATHSAT_LOCUS4223 transcript:rna-LATHSAT_LOCUS4223 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKSKLRNKINDVWFNDLMVCYTEQEIFKSLDDVDIIRTFTAKKSRKGHLPHNFI >CAK8572966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573554901:573555341:-1 gene:gene-LATHSAT_LOCUS25464 transcript:rna-LATHSAT_LOCUS25464 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRCYRSWMYDKTLPGRRGLTPNFEKGVKGFITLAFAQECCRREGRVRCPCLKCECRPIISDLEEVERQECCRREGGVRCPCLKCECRPIISDSEEVERHLKRKGFIKNDWVWTYNGEQLSSNVHAEISNTHTSSSRSHMEFEE >CAK8563052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569753709:569758735:1 gene:gene-LATHSAT_LOCUS16499 transcript:rna-LATHSAT_LOCUS16499 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSDGFVRADQIDLKSIDEQLERHLNKVLIIDKKKRVEEEDSTASNVFDHARVHTSSAGNSPVKFKTNFKKQKQDWEIDPSKLIIKSVIARGTFGTVHRGVYDTQDVAVKLLDWGEEGQRTEAEVSSLRAAFIQEVAVWHKLDHPNVTKFIGATMGSAELQIQTDTGLIGMPSNVCCVVVEYLAGGTLKSYLIKNRRRKLAFKVVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWETYCCDMPYPDLSFSEITSAVVRQNLRPEMPRCCPSSLANVMRKCWDASPDKRPEMEEVVSMLEAIDTSRGGGMIPGDQQQGCLCFRKHRGP >CAK8574882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13503267:13506605:-1 gene:gene-LATHSAT_LOCUS27181 transcript:rna-LATHSAT_LOCUS27181 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSSFAVMHLLVAAVLISIVSFANALTRNDFPPNFLFGAATSSSFAVMHLLVAAVLISIVSFANALTRNDFPPNFLFGAATSAYQVEGAANEDGRKASIWDTFAHAGNAGLFEGNGDIACDQYHKYKDDVKLIAKMGLDAYRFSISWSRLIPDGKGPINPKGLQYYNNLINELTSQGIQPHVTLHHLDLPQALEDEYEGWVSRRIVKDFTAYADVCFKEFGDRVKYWTTVNEGNVGAQGGYGFGFLPPQRCTLPSIYNCSKGNSSTEPYLAAHHMLLAHASAARLYRKKYQVKQQGFIGINLLVLGVIPLTNTSEDIIATQRAQDFSIGWFLSPLIFGEYPNTMKKNVGSRLPFFTSRESNLVKGSIDFLGVNFYSSFYIKNNPGSLKKKNRDYIADLAVEVKPYSGNGTFADELPVTPWILQGMLHSLKNDFGNFPIYIHENGQLTLRNSSLDDWPRVKYIHEYIGGMLDMLRNGLNIRGYFVWAFLDVFKLLNGFGRSFGLYYVDFEEPTLRRQPKLSAVW >CAK8569776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10580159:10580496:1 gene:gene-LATHSAT_LOCUS22588 transcript:rna-LATHSAT_LOCUS22588 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRVDISSFASFFSLYFTYFGMMTVSITPNHQVASIFGAAFYGLLYLFYGFFIPGPKIPKWWIWYYWICPVAWTVWIDCVTI >CAK8541419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157265634:157270825:-1 gene:gene-LATHSAT_LOCUS10340 transcript:rna-LATHSAT_LOCUS10340 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLSFHRSFILLLNLLPLFLSSGNCHIPTTLEGPFLPVTVPFDVSTRGNTFDLPDTDPRVRRQVVGFKPEQISLSLSSTHDSVWVSWITGEFQIGYNIKPLDPKTVASVVNYGTSRFELVNEAEGQSLIYNQLYPFEGLQNYTSGIIHHVRLTGLEPNTLYYYQCGDPSLHAMSDIYYFRTMPISGPQSYPGRIAIVGDLGLTYNTTTTISHMTSNEPDLILLIGDVSYANLYLTNGTGSNCYSCSFPDTPIHETYQPRWDYWGRFMQSLVSRVPIMVVEGNHEIELQAGNKTFEAYSSRFAFPSEESGSPSTFYYSFNAGGVHFIMLGAYINYDKTGEQYRWLERDLANVDRLMTPWLVATWHSPWYSTYVAHYRETECMRVEMEELLYSYSVDIVFNGHVHAYERSNRVYNYTLDPCGPVHIAVGDGGNREKLSVPFVDEPGNCPEPTTTPGSNMGGFCATNFTSGPAAGKFCWDRQADYSAFRESSFGYGIFEVKNETWALWTWYRNQDSYKEVGDQIYIVRQPDKCHVGQRVYSNCIASF >CAK8541420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157265634:157270825:-1 gene:gene-LATHSAT_LOCUS10340 transcript:rna-LATHSAT_LOCUS10340-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLSFHRSFILLLNLLPLFLSSGNCHIPTTLEGPFLPVTVPFDVSTRGNTFDLPDTDPRVRRQVVGFKPEQISLSLSSTHDSVWVSWITGTYGEFQIGYNIKPLDPKTVASVVNYGTSRFELVNEAEGQSLIYNQLYPFEGLQNYTSGIIHHVRLTGLEPNTLYYYQCGDPSLHAMSDIYYFRTMPISGPQSYPGRIAIVGDLGLTYNTTTTISHMTSNEPDLILLIGDVSYANLYLTNGTGSNCYSCSFPDTPIHETYQPRWDYWGRFMQSLVSRVPIMVVEGNHEIELQAGNKTFEAYSSRFAFPSEESGSPSTFYYSFNAGGVHFIMLGAYINYDKTGEQYRWLERDLANVDRLMTPWLVATWHSPWYSTYVAHYRETECMRVEMEELLYSYSVDIVFNGHVHAYERSNRVYNYTLDPCGPVHIAVGDGGNREKLSVPFVDEPGNCPEPTTTPGSNMGGFCATNFTSGPAAGKFCWDRQADYSAFRESSFGYGIFEVKNETWALWTWYRNQDSYKEVGDQIYIVRQPDKCHVGQRVYSNCIASF >CAK8537734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425634166:425635311:-1 gene:gene-LATHSAT_LOCUS6995 transcript:rna-LATHSAT_LOCUS6995 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQIEKTQQEPTLMQNSPISHKQQQQQSIFIPLSSSIMVDLDHQTHNKRRSPPSSSSTEPSSKKHSFNQEEFSLTRNGFSANALPITVCGNFLRHCVSGPCTLHEQSPTGLPPLPSENLRRCKSDVIPSKVKTVAPCLNSEENVPDDSMRLKRMKERLTEMKQWWDEVIKEDEKEQEEQEKVEEDSLVAEDDKVLSQDELGKYDEEAISVEWIEKSLNLTFKCPCGKGYEVQICGNNCYYKLV >CAK8574347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676562162:676563313:-1 gene:gene-LATHSAT_LOCUS26702 transcript:rna-LATHSAT_LOCUS26702 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTKRGRGRPKSTVPPSPENLANVKTHEDDPSITPAVNKDGINIGKLAKATTETLTESPQVKSDERKLWVDVISDNWNPAKGLSMEYVAPKVINGVIQIDIEQEDIETELRFWDSTLILYVVGDDLSMNTVKNFMQRMWNFIKIPNLYYHDAGYFLLRFNSQEDKEAVMMRGPYTIRNMPMLLKEWQSGFNLKKDLLRRLPIWIKIPQLPLHLWGAKSLSKIGSDIGKPLVTDECTTNNLHVSYERILIEVDITQPLIDEITIRNVAGDIIMQPVQYEWRPKFCETCQNLGNKCEDRGKTQKWIPKPKPMEPTTNITPTKQPGGGETNGEDGASWTRVRKSTRDKGKNILTDTTSNINCANGFETLEVLYDHQVITNLEPC >CAK8541696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356838229:356838843:-1 gene:gene-LATHSAT_LOCUS10594 transcript:rna-LATHSAT_LOCUS10594 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFFLRVFGWLSGDRLRETISIHTGEVLSRARLYWAEVILSLLEELERKRHQFRCLLPLHLEDLTLMLLPFFASNDRKEGREGCAPDRGKARSFTGLFFTQHTQLSLLNRLLPFPLPDFRFCSVRLTTGYGACYIPAPDPSAESAAGVPSEGLSAT >CAK8542624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:532935774:532938836:-1 gene:gene-LATHSAT_LOCUS11448 transcript:rna-LATHSAT_LOCUS11448 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCDHDQKLFIAFVGVYTVVILLLWRTFLLTPFKLITVFLHEASHAIACLLTCGKVEGIQVHGNEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNILTARIAAGCFLAALVIVLFVAKNWTLRGLCIGFIIFIAVIWLLQEKTKVHILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCNGFGWGVIWGMISFVFLCASLYLGLVILS >CAK8541643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:325420212:325421402:-1 gene:gene-LATHSAT_LOCUS10548 transcript:rna-LATHSAT_LOCUS10548 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETLTYLQDICCLYIRQGRLKEPRILIFLKELHSKLLFYLLNSPLLPRLVRVIIKDAERSAEFYDENRTALMAGGAMIRQCVRSKMDHPTKIYFYSTLKNCMAAVRNGLDLRLGECFVMPFDCFCIL >CAK8531201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88793048:88794671:-1 gene:gene-LATHSAT_LOCUS1008 transcript:rna-LATHSAT_LOCUS1008 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLRFMELKAKRTHRFIVYKIEENQKQVIVEKLGEPIQGYEDFTASLPADECRYAVYDFEYLTEGNVPKSRIFFIGWSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFKSRAN >CAK8561771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:379831418:379832068:-1 gene:gene-LATHSAT_LOCUS15330 transcript:rna-LATHSAT_LOCUS15330 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRGRPRLVPPSTTNPTAAISDQQATEKETIVDDAVRNDSEENGSLAEENEEIVPDTENLGHHRTEVRMEGETSQTKKLWVDVINENRNPAKGLTMEFVAPKIIDGEMEIQIEEEDVEKEVKFWESALIMYALGVDLSMNAVKQFMSKNWNFVKLPDMFYNEEGFFILRFHSFQDKDLVLMKGPYSIRNRPMMLREWCCTPKFDQQLFTH >CAK8565936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356204139:356205995:1 gene:gene-LATHSAT_LOCUS19109 transcript:rna-LATHSAT_LOCUS19109 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGSNRKPSQTGLIMDHEYGRPEELHHKISDLLLFSATDDVIAFKEAVEKDHHDVNEVGLWYGRRVGSKEMGYEERTPLMIASLFGSKAVLSYILETGCVDVNQACGSDRATALHCAISGCSAASAEVVKLLLDASADVGSVDANGNRCSDLIVMMSNSISGSRKRKPQAILEGINDVDDGDDFLNEVGFVKEKQQEDIGTPRIEKKDYPIDLSLPNINNEIYSTDEFRMFIFKVKPCSRAYSHDWTECPFVHPGENARRRDPMIYQYTCVPCPEFRKGSCSKGDACEYAHGIFECWLHPAQYKTRLCKDETECTRRVCFFAHKPEELRPLYASTGSALPSPISYSNSPSASSMDSFTLNSPSSFIQSMSTPLLTPSASSSPAGGAMWPTKSHVAVPTLQMPRSRLKTSLNARDNTEFLELENRLMQKLMIEEMTGLSFPSNRLAGVNPANHDDILVSQIQSPTSTHVHPNVTQQLWGFSSDLTDSNVIGSPLITLDLSMNSKNDALSKRSQSFIERSSMATFSSELPSATSVAIESYTDFSGWGSPDGKLDWSIRGDELNKMRNSYSFGFKNQSCNSTMAAENADDQGVLLSMESWVNSLVKDAPTVQLDQYCVEV >CAK8571078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:277349597:277351411:-1 gene:gene-LATHSAT_LOCUS23771 transcript:rna-LATHSAT_LOCUS23771 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDECKLKFLELKAKRTYRYIIYKIEEKQKQVVVDKLGEPANGYDDFTANLPADECRYAVYDFDFVTDENCQKSRIFFIAWCPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFRSRAN >CAK8572854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567558565:567565001:1 gene:gene-LATHSAT_LOCUS25369 transcript:rna-LATHSAT_LOCUS25369 gene_biotype:protein_coding transcript_biotype:protein_coding MVANPTSDNTALSGAANNEKQNWATSPSTVFNHFATSGFSVAIATSITHPLDVLKVRLQMQLVGQKGPLSGMGQLFLSVVKNEGPKSLYLGLTPALTRSVVYGGLRLGLYEPSKNACDHAFGSSNVLVKIASGMFAGAFATGLTNPMEVLKVRLQMSSDMGKSGPISELQRTVSEEGIKALWKGVGPAMARAAALTASQLATYDETKQILVKWTSLREGFHLHLISSTAAGVLSTLITAPVDMVKTRLMLQRQSKGGRIYKNGFNCAYQVLLTEGPRALYKGGFAIFARLGPQTTITFILCEELRKHAGLEAI >CAK8539293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508556890:508559734:1 gene:gene-LATHSAT_LOCUS8402 transcript:rna-LATHSAT_LOCUS8402 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTTMLALSNVSDTRLICYTPSMTTTDGIWKGDNPLDYSIPLFIIQLTLVVLTTCFFVFILKPFKPPRVIAEILGGLFLGPTVLGRDETFANNVFPLKSAVVLETLANIGLIYFLFLIGLEMDISIVKQTGRKAVSIAIAGMILPSIVGVGISFVMNDRDESVNEIGYVLYLAIVLSVTSFPVLARILTELKLINTELGKLALSTSLVNDVFAWVLLALAIALSEKNASTVASVWVVLSNVFFVGFCFLVVRPSVALLIKKTPEGKPFSKFQICVVLVGVMVSAFITDVIGTHSIFGAFVYGLVIPTGPLAAAIIEKLEDFVSGLLLPLFYAISGLKTNITLVHGGRFWAFVFAIVPLACFGKIIGTLFFSILFDIPARDGVVLGFLMNTKGLIEMIVLNIGREQKVLGDEIFSIMIIVTLIMTAIVSPIVTLIYKPRKILIPYRRRTVQSSRVDAELRVLVCIHSPRNVPTIINLLEATNPNKMSPMCAYVLHLVELTGRASAMLFVHATIQTGGPVVNKTQAQTEHIITAFRKFEEHVSYVAVQPLTAVSPYSTMHEDINILAEEKRVATIIIPFHKQQTVDGDMLETNPALRMVNHNLLQTAPCSVAILVDRGLNGSNQLTSNKSSHQVAALFFGGSDDREALSYGWRMSRHPRVVLTVMHFIHRKDATQTLNADDATQTLNTDDDQMDNQRSLISKKLDEECINDIKMIAANDESVKYIEKIVSNGEETITAIREMNNFNDLFIVGRSHGNSSELTEGLTDWSECPELGGIGDLLASSDFETTASVLVMHQYVGQGPDGEDVFVGERPWQSSENFNNMRQQCKGRYTNKIMGANTQTSQFL >CAK8543784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639638322:639640877:-1 gene:gene-LATHSAT_LOCUS12520 transcript:rna-LATHSAT_LOCUS12520 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLTGLRGDSHLHSAVRVGNLELVLEIISESQGDELKELFSMRNNSSETALYIAAENGHLDIVMELVKYHDIGLASLKARNGFDAFHVAAKNGNLEILKVLTEAFPEISMTVDLTNTTALHTAVSQGHIEVVNFLLEKSSSVVTIAKSNGKTAFHSAARNGHVDVIKALLSSEPEIAMRIDKKGQTALHMAVKGQNLEVVDELLKLNPSFANMVDAKGNTALHITTRKGRLQIVQKLLDCKEIDTDVINKSGETALDIAERNGRLDIAKFLQDRGAQNARSVKSPSANRALELKRTVSDIKSGVHNQLEHTFKTQRRMKGIAKRINKMQAEGLNNAINSNTIVAVLIATVAFAAIFTVPGQYPQNRTQLAPGMSPGEANIAPNIEFLIFVIFDSTALFISLAVVIVQTSVVVIEREAKKQMTAIINKLMWIACVLISVAFLAMSYIVVGDQKELAIAATAVGTVIMAATLGTLCYWVIAHRLEASRLRSLRTMTSSRQSLTMSGISASENEYKAVYAI >CAK8575816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330401531:330401983:-1 gene:gene-LATHSAT_LOCUS28050 transcript:rna-LATHSAT_LOCUS28050 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTELKRVFQMFDKNGDGRITKKELTDSLENLGIFIPDKELTQMIEKIDVNGDGCVDLDEFGELYQSIMDERDEEEDMREAFNVFDQNGDGFITVEELRVVLSSLGLKQGRTVEECKKMIMKVDVDGDGMVNYKEFKQMMKGGGFSALG >CAK8537814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438033609:438037871:-1 gene:gene-LATHSAT_LOCUS7068 transcript:rna-LATHSAT_LOCUS7068 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKQSRHRKTLTFFTACFGFHKPYKVLCDGTFVHHLIGNRITPADTALANILSSTVKLYTTRCVLAELKRLGKSYSEALEAAHNLIIARCEHEKCVRADLCIMEVVGENNSEHFFVASQDTDLRKKLQEIPGVPLIFGLRNALFLESPSAFQKEYVKTLEERRMHMTNIEYQIFRDRAKKKLAGGEDNNSNTEITENKDAGDPIASAQAIKRSFTSRNQIGIKDKPQFKRKRAKAPNPLSCKKKKSRENQNNSSKEKKGDSSVKRSRKRNRSRKGQTPAETGS >CAK8569623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6900346:6901842:1 gene:gene-LATHSAT_LOCUS22454 transcript:rna-LATHSAT_LOCUS22454 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIWGLIGSKLASILFIWAMIQRYCPHQIHALIEKLSQKLANFFYPYVEVKFFEYIGDSYRTNEAFTFIEHYLESKPTNQAKKLRGESLRKSFVLKMDERQDFHDQFQGINVVWSLRKIVQKTNSVSFYPADDKRYYLLTFHRRNRDIVAGTYLNHVLEQGKEVGLSKRQRKLYTNCTSDSDKRGKWSHVIFDHPSSFETIAMDGKKKKEIVDDLVTFSKGKDYYARIGKPWKRGYLLYGPPGTGKSSLVAAIANFLKYDIYDIELTNVKTNAELRKLLIGITSKSVVVIEDIDCSLDLTGQRKTSSENGNEKDEKNEKNEMNQVVAASMIQLKDEANKNKTSQVTLSGLLNFIDGIWSASTGERLIIFTTNYVDKLDKALIRRGRMDMHIELSYCCFDGFKMLAMNYMSVETHPLFETVRGLLEESNITPADVAENLMPKVANEDVETSLERLIQALRSSKEEAKKKAEKEESTDEEDSAEDKKSFPEKEIDNGKS >CAK8533180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588603193:588603630:-1 gene:gene-LATHSAT_LOCUS2827 transcript:rna-LATHSAT_LOCUS2827 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLFVVALIFVVMISMVMAEVAPSTSPKSSPLLESAPKAAPSISSAPKASPKASPSPSSSPIASPLAPSLAPSTSDNEEGISSPPAQASGPIELYAPGAAPVNDDGLAPEVSPAADAATGGASAIQFSVVAASTVAFVSFFAI >CAK8566472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426575222:426577314:-1 gene:gene-LATHSAT_LOCUS19600 transcript:rna-LATHSAT_LOCUS19600 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSTTRSFLELKAANFPKRPVLGFRGNRLQISGARFCLKNKVSCKLTELRNDLSSSKELEKIKPLVKMCGITSAKDAAMAAEAGANFIGMIVWPNSKRSVSLSVAKEISKVARDYGAEPVGVFVDDDAETILRASAASNLEFVQLHGSGSRAAFSSLIQENRVIYVLHANGDGSLLNTIPDEEGSLVDWVLVDSTKGGSGEAFDWAQFKLPKIRSKYGWLLAGGINPQNVGEALSSLKPQGVDVSSGICASDGIQKDQSRITSFMDAVHSVQY >CAK8570592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64425662:64428299:1 gene:gene-LATHSAT_LOCUS23329 transcript:rna-LATHSAT_LOCUS23329 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPLVEGSTAEEVAAVPGPAPEVGLKHKLERRWTFWFDNQSKPKQGAAWGTTLRKVYTFDTVEEFWCLHDQIFKPSKLPGNADFHLFKDGVEPKWEDPECASGGKWTLTSKGKGNLDTMWLETLMALIGEQFGDSEDICGVVASVRQWQDKLSLWTKTAANESVQMSIGRKWKEIIDVSDKMTYNSHEDAKTRGAKARYTV >CAK8542410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510491650:510497261:1 gene:gene-LATHSAT_LOCUS11251 transcript:rna-LATHSAT_LOCUS11251 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNFVLLFSCSFVLLSANGEPSNKGETFIVSSFSYPRTRLRPFDLRYIRVDLPSWFSAMYIAMNSDVDLDVSRIESVPMSTLPIICFRDGGPPLPDSLNLTLKDSAISGINGLDVEQCFPMQKNITMKLTNNQISPGVWFIGLFNGIGPTRTQSKMIIRGPAYSFAANITVEACTNSMMMGDFCNSPVYPFSCTTSNVNSSLKATTVNEPLLENLMTCKSSLKTFCADESVSNVFSFDIVNVADEFTIMASDVKFNVTPSNKTSGAANDVSLMCFVRHGSMPSVASYDYSSDLSKEPLVIHSPLIGRWYITIVPINPIKIQDSNVGVCYSVESHVLQCPLGKAGPNCTMDIYTLQTFVRRGPTPFESYYLPVGTGASYTSANFPLEPLLSNSSYNDDPGNIWTYLLLDIPRGAAGGNIHVQLSSDVKINYEVYVRFGGLPSLISRDYYYVNETIRSDTSMFFMLYDSSDDKINFYIMYAREGTWGFGLRYLNTSRSPSKGTTVMSLSLERCPKRCSSHGDCKFSFDASGLTSYSFCSCDRNHGGIDCSIEIVSHQGHVRQSFFLIVSNAAAILPAYWALRQKALAEWVLFTSSGIASGLYHACDVGAWCALNYNVLQFMDFWLSFMAVISTFLYLATIDEVLKRAIHTAVAILTALMAVTNATRSSNVVLVIVIGALGLLIGWVIELSTKYRSLSFSVRFSLDFSHSLRVIKRWLCNLVGTLLRRYHWPFALAGFSALSMAIVSWTLETSENYWFWHSFWHISIYTSSFFFLCSKANIVGSETQLPENGNYELTHRDSLPRAS >CAK8535372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844824282:844828158:-1 gene:gene-LATHSAT_LOCUS4837 transcript:rna-LATHSAT_LOCUS4837 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQHHQHVPYGINHRMNNSSSSLMNEGSGFDFGELEEAIVLQGVKSRNDETKASFFTARPSATLEMFPSWPMRFHQTSIGGTKSGGESSDSALSSKNENPFEEESPLSCKKGTSFSSDNNNHNQNFDEQNLQKMIANDGIRTASSSQNQSAEAGKEKKKGGGSTSDKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQDLQRARSQGMFMDWNGGVGGNISSGGAMFDMEYGRWLEDDNRLLTELRNGLQTALTENEMRVMVDGYLCHYDQIFRVKGITAKTDVFHLINGMWTSQAERCFLWIGGFRPSEIITMLIQQLEPLAEQQIMGMYGLRHSTQQAEEALSQGLDQLQQSLVDTIAGGPLVDGVQQMVVAMGKLSNLEGFLRQADYLRQQTLHQLCRLLTLRQAARSFLVIGEYYGRLRALSSLWASRPRESMMSDDNSCQTTTDMQMVQPSQNHFSSF >CAK8564047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647798142:647800589:1 gene:gene-LATHSAT_LOCUS17391 transcript:rna-LATHSAT_LOCUS17391 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAIASPIPDSLYPTLSVFTLAIGLILTASFFIYEATSSRKTRSLAQELITGAVASVFLGFGSLFLLLASGVNNSKMQRMLHVSTVSFKFV >CAK8564048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647798142:647800209:1 gene:gene-LATHSAT_LOCUS17391 transcript:rna-LATHSAT_LOCUS17391-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAIASPIPDSLYPTLSVFTLAIGLILTASFFIYEATSSRKTRSLAQELITGAVASVFLGFGSLFLLLASGVYV >CAK8568955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659365501:659367558:-1 gene:gene-LATHSAT_LOCUS21860 transcript:rna-LATHSAT_LOCUS21860 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIDFVTPTEQFYSLHHSHKQASRLTFFRTKLNCTVGTVFGTSGLNNGSNGVIESLSHNHGSNGVIESLSHNNGSRNLEELENINRLRKLVRIGELEQGLSFLESVSYKGDIPDVSACTSLIRQFCKAGKVRKATRVMKILEDSGAVPDTLTYNVFISGLCKLGEVEKALEFLERMSVSLDVFAYNTILRSLCDRGKLKQAMEVYDRQARRGRYPDVFTYTMLIEVTCKESGVREAMKLFDEMRVKGCKPDVITCNVLINGICKKGRLDEAIGLLNNMSSYGCEPDAVTYNIVLRRLCGTKRWRDAESLLDEMLRKGCSPSVVTFNILINFLCRQGLLDRAMDILEKMPNHGCTPDSLSYNPLLHAFCLEKKTDRAIEYLELMVSRGCYPDIVNYNTLLLALCKDGKVDVAVELLNQMSSKGCSPVVMTYNAVIKGLSKTGATERAVKLLDEMCRKGLKPNAFTYSLLIKGFICEGKVDGAIKIFHDSERLGIWANAVTYNLIMLGLCKARQTSRAIDLLTHMIAKGCRPTEDTYTILIEGIANEGLEEEALGLFNELCARGFLKKSSAKKGTGLELKEKTEHNELYLEQEGLSPAGVNQAEDLLP >CAK8543019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567367424:567367828:-1 gene:gene-LATHSAT_LOCUS11806 transcript:rna-LATHSAT_LOCUS11806 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNQDSHKGKEKVTEESGTELQTMENQNKRKAIALEADTIAETKNSKSPRIDESTSSSKEFSPFLLFGFIIDPTKGCQKAFSCIICHRKFISPPALSGSKNCQECEESLKKVVETLKKSRNNASHGVKGIFI >CAK8535048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:807278185:807287559:1 gene:gene-LATHSAT_LOCUS4531 transcript:rna-LATHSAT_LOCUS4531 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTLDIIPHDSNNGCGGGTTMKSTCLGKRKEYMHHDTSQLSERKKKEMRIRLLLTKPSYALGLCPKPFRSEHRRRLQYLLRRLVNQQDWVGACGVLSVYLKGTIKDTSILKNRFKFWALLELLKHVGNHSMNLKRITNLYDVWSKKIGSLKIRSMKTWAVESRRAVLLEFLLFHFMQGNAVEAYQLALCIEQENVDIDPVSKMMLGLTFYELWYSSIPEEFQWRASDQIDGQENSHTEETSFSNEVRQSEWHNTVESHMADSQYQCHSNSSVMNNRQMSKDVGFNNDMIVPMDVDANHKREKLSQIFQPQDFYLTSDENQVTGDPFSNSGSLTQDILNALGKLDLWLLPLRFENVNGLLEFMIMHRNQFNEYYNNALEYLKLALNSTSFASAALLPLIQLLLIGGQVNEALTLLEQQCDNSYSVLPIRLRAVLMEHFDRNNRVLIQSYEDILKKDPTCYDSLAKLIKMHQEGDYSLESLLEMVASHLDATDAEYNTWMVLAICFSKLSLYEDDLLSTCSIQNDKVQGLQHSSSRETPKFFTGDISAETWNVRCRWWLHKYFSSSQFESNIKSGDLKLLTYKAACALYMYGQEFRYFDMYGQEFSYFVKAYSHLEKENDKDLLLFLAEHKRNSYVIYKKKLN >CAK8537513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:382150446:382158096:1 gene:gene-LATHSAT_LOCUS6802 transcript:rna-LATHSAT_LOCUS6802 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSITLTFISFLFLFLTRSHQLVHADSPTHPPYSCDTTNPLTKSYTFCNLNLPITQRAKDIVSRLTLDEKLSQLVNTAPSIPRLGIPSYQWWSEALHGVANAGKGIRLNGNVSIKAATSFPQVILTAASFDSKLWYQISKVIGTEARGVYNAGQAEGMTFWAPNINIFRDPRWGRGQETAGEDPLVNSKYAVSYVRGLQGDSYEGGGLVGDRLQASACCKHFTAYDLDHWNGVDRFVFDANVTLQDLTDTYQPPFHSCIQQGRSSGIMCAYNRVNGVPNCADFNLLTKTARQKWNFNGYITSDCAAVAIIHDKQGYAKTPEDAVADTLQAGMDVECGDYLTKHAKSAVLQKKVPISQIDRALHNLFSIRIRLGLFDGNPTKLKYGTIGPNQVCSKQNLNIALEAARSGIVLLKNTASILPLPKSTNSIAIIGPNANSTSKVVLGNYFGVPCNLVTILQGFENYAKNSVYHPGCNDGVKCVSAEIDEAVEVAKKVDYVVLVMGLDQTQERESYDRDHLELPGKQQELIKSVAKASKKPVILVVLCGGPVDITSAKFDDKVGGILWAGYPGELGGLALAQIIFGDYNPGGRLPVTWYPKDYIKIPMTDMRMRADPSSGYPGRTYRFYTGPKVYEFGYGLSYSKYSYKFVSVAYNNLHINQSSTHSTLANYETIRYKLVSDLGEETCQTMSVSVRLGVTSNGKMAGKHPVLLFVKQKKGRNGSPMKQLVGFESVKVEGGGRGEVGFEVSPCEHLSRANEDGVKVIEEGEYLLVVGEEEYSINVTV >CAK8530213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7775827:7782228:-1 gene:gene-LATHSAT_LOCUS98 transcript:rna-LATHSAT_LOCUS98 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPPYRRRRSPSPVGHRYSRRSRRDRSRSPYSSYSRSRRKSRSISPRGRRSPSTTTWRRRSRSPTAKRYRRQRSRSTSLSPAHRSSSSSLGSVEQKTAIEKQRKEEEKKRRQQEGELKIIEEETSKWIEETIRKRVDESLNSEEVRVEIQRRLEEGRKKLIDEVAAQFEKEKEAARIEARQKEEQARKEKEEHERMLEENRRKIEESQRKEALEQQRREEERYRELEELQRQKEEAMRRKKQEEEQERINQIKLLGKNKSRPKLSFALGSK >CAK8578037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603372167:603376992:1 gene:gene-LATHSAT_LOCUS30082 transcript:rna-LATHSAT_LOCUS30082-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGSWSCKREDLFSPCNCGSSKEQKRPASPSMSAHCFKSSNVDSSSCELCANDSILGDKSLMEDDNVSQYSINHISQPDNELSFLDTDRWLDIDSFEDVDRMMLNYDSTFGMGGLNNEDEFCWLSHGTEGPDGDALKSDFKFSHAGASPLKSISESDYIMDLKDNIEGLPILDCDKKPSPSDKNLRCEMDVDLDAVPTSLSTFGESDTKSGITNDLMPEQKIQGQLLKQSAGKRKNSCLKDGDSDHPYAHEEQHANLKQPYEASSSGVTTQNSIHKQNMDSDSMDCVQMQTPSMHPNCSYTSNYTPLLPALSGSRSEHDEYPSSFKESPYASNMENSHGHPLEATALKTNYKEENQYLHNDAKLTSRAFKSENTQNPMQLKSPGSAQKVGRQFENLKEGHSEVGEVSTGFSPETESSNVQESSSMSFALDGISHEAASFCQLQQVLDQLDVKVKLCIRDSLYRLAKSADQRHIDANASALMGDDVEASKGVMTQDANRCTGFVNMEATTNPIDRSIAHLLFHRPSDSSMLPLSDTLPSKSSKSSSMIHGSATNPPIFTEKQVCQEESASGVENKP >CAK8578036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603372137:603376992:1 gene:gene-LATHSAT_LOCUS30082 transcript:rna-LATHSAT_LOCUS30082 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNQNQKERMVEKGSWSCKREDLFSPCNCGSSKEQKRPASPSMSAHCFKSSNVDSSSCELCANDSILGDKSLMEDDNVSQYSINHISQPDNELSFLDTDRWLDIDSFEDVDRMMLNYDSTFGMGGLNNEDEFCWLSHGTEGPDGDALKSDFKFSHAGASPLKSISESDYIMDLKDNIEGLPILDCDKKPSPSDKNLRCEMDVDLDAVPTSLSTFGESDTKSGITNDLMPEQKIQGQLLKQSAGKRKNSCLKDGDSDHPYAHEEQHANLKQPYEASSSGVTTQNSIHKQNMDSDSMDCVQMQTPSMHPNCSYTSNYTPLLPALSGSRSEHDEYPSSFKESPYASNMENSHGHPLEATALKTNYKEENQYLHNDAKLTSRAFKSENTQNPMQLKSPGSAQKVGRQFENLKEGHSEVGEVSTGFSPETESSNVQESSSMSFALDGISHEAASFCQLQQVLDQLDVKVKLCIRDSLYRLAKSADQRHIDANASALMGDDVEASKGVMTQDANRCTGFVNMEATTNPIDRSIAHLLFHRPSDSSMLPLSDTLPSKSSKSSSMIHGSATNPPIFTEKQVCQEESASGVENKP >CAK8535260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831211928:831213304:1 gene:gene-LATHSAT_LOCUS4733 transcript:rna-LATHSAT_LOCUS4733 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSPPLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPKNTLNKLQHLNLHPNLITFFPITVPHVDGLPHNAETTSDVPFSLAPLIATAMDQTDKQIELLLREVKPQIVFFDWQYWLPNITRNLGIKSLQYMILGPLIKNVENLERLSQGRDLTEIDLTEQPSEFPDTCIKLHSHELRFLNSVRKLEFGSGVLLYDRMGIGAKLSDALAFKGCQEIDGIYADYLQTVYEKPVLLSGPILPEPPNTTLEEKWVAWLKEFKHGSVVFCAYGSESPLQHNQFQELLLGLELAGFPFLAALKPPNRFESIEEALPEGFSERVKGKGIVYGSWIQQQLILEHPSVGCFITHCGASSITEGLVNTCQLVFLPRVDGDHIVNARVMSTKLKVGVEVEKGDEDGLFTKESVCETVKIVMDDENEVGREVKANHDKMRNFLLSDNLESSCIDNFCQKLYDLL >CAK8560511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22965962:22973361:-1 gene:gene-LATHSAT_LOCUS14175 transcript:rna-LATHSAT_LOCUS14175-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFFHVFLIMSLVSQTQTLGTKGVHRSKKFKPLFIFGDSFLDAGNNNYINTTTYDQANFLPYGETYFKFPTGRFSDGRLISDFIAEYANIPLVPPFLQPGFNQYYNGVNFASGGAGALVETFQGSVIPLKTQARNFKKVTTWLRHKLGHSDSKTLLSNGVYMFSIGSNDYLSPFLTNSDVLKYYSHSEYVGMVVGNFTSTIKEIYKRGARKFAILNLPPLGCLPGTRIIQSQEKGSCLEELSSLASIHNQALYVVLLKLENQLKGFKFSLYDFNFDVTQMINHPIKYGLKEGKSACCGSGPLRGEYTCGGKRGEKHFELCEQPNENLFWDSYHLTESAYKQLATRMWNRTENSHTIGPYTIRDFFQDM >CAK8560510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22965962:22973424:-1 gene:gene-LATHSAT_LOCUS14175 transcript:rna-LATHSAT_LOCUS14175 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYICVNYNLFEIKLSSFKMNKFFHVFLIMSLVSQTQTLGTKGVHRSKKFKPLFIFGDSFLDAGNNNYINTTTYDQANFLPYGETYFKFPTGRFSDGRLISDFIAEYANIPLVPPFLQPGFNQYYNGVNFASGGAGALVETFQGSVIPLKTQARNFKKVTTWLRHKLGHSDSKTLLSNGVYMFSIGSNDYLSPFLTNSDVLKYYSHSEYVGMVVGNFTSTIKEIYKRGARKFAILNLPPLGCLPGTRIIQSQEKGSCLEELSSLASIHNQALYVVLLKLENQLKGFKFSLYDFNFDVTQMINHPIKYGLKEGKSACCGSGPLRGEYTCGGKRGEKHFELCEQPNENLFWDSYHLTESAYKQLATRMWNRTENSHTIGPYTIRDFFQDM >CAK8535974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895089380:895092894:1 gene:gene-LATHSAT_LOCUS5387 transcript:rna-LATHSAT_LOCUS5387 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDVKVGGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRFEASSPVPVIRGVKPVPGRHPCVGTPEDIVATHSLSFLDNNDNDTRKNKNDVVVNSESPRNRNVFGVKEKEKKERGRLSFGGVIVNKEEGLEKKERVRLSFGGGGSVKDEGLEKKRGIFGRGSSKSESLKPKPMLKVDVKRESLPQSSLTRVRSANSKSIPSSPSSVYSLPNSFEKFSNGVKQQRAKVGGKGVEKVAGAVDAGKVGKKIGLGNPIRNLVQGFDFGAKALRKSWEGTMEIKTKESSKIKGAAAKPVVQSSIPRRSMATDKLPSREVKAPIKPSKEEHKAQLSTKKVTTNGNIEEQEKSSKQRTSFVKKSAEVSNNGLPGNMVKVSLSSRKVTDSSVQWASLPSSISKLGKDVMKHRDSAQLAAIEAMQEAAAAESLLQCLSIYSELTNSAKEHNPQPAVEQFLSLHASLNSTRMIAESLSKPIQDGSSPDYENSKLEEALKQKSDRQKQAASWVHAALATNLSSFAVFSKEASSNNSQTQKTAVGTQPALVLHNSSENSSSKARVKPRPTVHSKLVSQGIIPRKSTDSANGHKQPVQPPPEWIKGNGLDEVADLAEMLQVQSRDWFLGFVERFLDSDGDTTLSDNGQIAGMLTQLKSVNDWLDEIGLNKVEGESCQISAETIDRLRKKIYEYLLTHVESAAAALSGGSQSQSKSQALPQIQTTQIKAKR >CAK8538334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477752330:477752530:-1 gene:gene-LATHSAT_LOCUS7546 transcript:rna-LATHSAT_LOCUS7546 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGFSYGYFTCTTGVRQGDPLSPLLFCLAEEVLSRSISKLGLDGILELMSRNREVLVPSHTLCR >CAK8541432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:164853382:164856331:1 gene:gene-LATHSAT_LOCUS10352 transcript:rna-LATHSAT_LOCUS10352 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFFSNTNLHFEQSHPTWQPLSLSSEQQHNQECFYTPADQCVRQFDSALSSMVSSPAASNSNMSNENFVIRELIGKLGNIGNCSDEISHTLVAANSYINSNNNTSCYNTPLNSPPKLSIQNMNLNSTVAEFSTDPGFAERAAKFSCFGSRSFNGRTTTQLSQSQRSTPLMENGKLSRVSSSPSLKELGSQSQSQSQLHDPIEVNNSQDESTISENGNKPSPYVNSRKRKASSKGKSKENSTSSNPQMACEVGEDSNSKRMKTSKGEKVENGKVKAEEESKGGTNSNNGGDEKQNKSNSKPPEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRVDFSIENLISKDIFQSNNSLAHPIFSQDSSAPSFYGQQHQQNPAIHNSISNGTMPHNSLDPLENALCQNLGMHLSSLNGFHEAASQYPLTFSEDDLHTIVQMGFGQTDNRKSPIQFQNLNGTNQLPL >CAK8539663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520357481:520358504:1 gene:gene-LATHSAT_LOCUS8741 transcript:rna-LATHSAT_LOCUS8741 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVKVLGTGNRFIVLAHGFGTDQSVWKHFVPHLVDDFRVVLYDNMGAGTTNPEYFDSERHSSLEGYAYDLLSILEELRVESCIFVGHSVSAMIGAIASISRPDLFLKLIMVSGSPRYLNDVNYFGGFEQEDLNQLFTAMSENYKAWCYGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALIVSRTIFQSDMRQILKLVTVPCHIIQAEKDMAVPVMVSEYLHQQLGGESIVEVMGTDGHLPQLSSPDVVIPVLLKHIQLNIEPVSCQRNYHTFQNQETSSTNTEASSLMKLEADL >CAK8539193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506493199:506494717:-1 gene:gene-LATHSAT_LOCUS8313 transcript:rna-LATHSAT_LOCUS8313 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFFFLLLVLNLSIFAITEAATPPPSSRGGCTDQLILFSPCLSYVSSPPNNLSETASTKCCGAFSSSFAPNSLCFCYLLRDNHILGFPMNSTRLLSLSSLCLSPPPTISSLNFLCGESPTLPPLSSADILRNPNNSSVTGSVSGASGGKTAPHNDKGPGTTLYFPSLNGSTSTLIDGGYYCKFLLLVMLPLFNLNMLYF >CAK8570143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25694798:25695283:1 gene:gene-LATHSAT_LOCUS22921 transcript:rna-LATHSAT_LOCUS22921 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQPNSSPIVIVVVVVCAGGLVLLSLFAFALYCFFQKRNERTQETDIIHIDEHKKGKETITPGPFGKQAVAISVEDDVHVDETRKNETLGHGSQAKSSSDIIRIDEHKKGNKTVVPGPFGQQAVVISVEDDVHVDEARKREKHAKSSLAEANHHNSNSQ >CAK8538164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466360347:466361071:1 gene:gene-LATHSAT_LOCUS7393 transcript:rna-LATHSAT_LOCUS7393 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFMEVHLLKAKGLNTTCFLGGMEDPYVLIEYEGQEHKSTVAKGRGRNHIWDEKFMFKVDNFGSKDKHKIILKIMDKDTFSADDFIGQTIIYVKDLLTQDVENGVSKLPPLKYRVVRADQSYRGEVDVAITFTPKVEDELNIEEDIGGWKESNYYLS >CAK8544960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714779809:714781392:1 gene:gene-LATHSAT_LOCUS13597 transcript:rna-LATHSAT_LOCUS13597 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENLTAEMNFKDSTSAVIKIRQRLPDLLQTVKLKYVKLGYGYSCNATTILIFALVVPLLLFLAVQFQLTDLKLDRLSELWSNHTIHLEVETAVGSIVLLFLFAVYYAKRASPIYLVDFACYKPENERKITVESFVEMLEDSGKFGEETLQFQRRILSRAGLGDETCLPKGITSRPPNLCMEEARQEAEAVMFGALDALFAKTGVNPRDIDILVVNCSLFNPTPSLSAMIVNHYKLRTDIKSYNLGGMGCSAGLISIDLAKDLLKANPNSYAVVLSTENLTLNWYFGNDRSMLLSNCIFRMGGAAILLSNKPSDFNRSKYKLVHTVRTHKGADDKNYNCVYQKEDENGKVGVSLARELMAVAGDALKTNITTLGPVVLPFSEQLMFFVSLVRRKVLKGSRVKPYIPDFKLAFEHFCIHAGGRAVLDEIQKNLQLSEWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRVAKGDRVWQIAFGSGFKCNSAVWKAVRDLPVVGDWRGNPWDDSVDKYPVSVPVSVST >CAK8540363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554687379:554687609:1 gene:gene-LATHSAT_LOCUS9373 transcript:rna-LATHSAT_LOCUS9373 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEERQQELARRRSNYTQNKDKGKQVQTYNTSNMRTIMPFQDLTNVNLASRLYPRAHDSEASPSNAHASHIPSPG >CAK8564255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663018442:663019737:1 gene:gene-LATHSAT_LOCUS17578 transcript:rna-LATHSAT_LOCUS17578 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLEDELFPSTPGKFKIERNHGMMNRQVYRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYISASWGGIQWEKQVRTSAQVHRQGGMSVLVTGAAGFVGSHVSLALKRRGDGVIGLDNFNDYYDPSLKKARKALLTSHGVFIVEGDINDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKTANPQPSVVWASSSSVYGLNEKSPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHVDLARDFTYIDDIVKGCIGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERHLKVKAKRNILDMPGNGDVPFTHANISSARRELGYKPTTDLQTGLKKFVKWYLSYYGYGKPVN >CAK8535927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891896683:891897867:1 gene:gene-LATHSAT_LOCUS5342 transcript:rna-LATHSAT_LOCUS5342 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSKLFKGQSKKKSIPANRHGKVPQTRKGKRFIKPSKVTKDMDADREVSKFINHCNEIKAATGATKDGGYLSIVKAAPASTSGADK >CAK8531578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126488043:126488309:1 gene:gene-LATHSAT_LOCUS1360 transcript:rna-LATHSAT_LOCUS1360 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLIQNLTKLLEAEEVAERSNFFNHGTSNSFYNHGNRGQYFSAANNRTSNNYGGRTINNSGTINGNGNGGFIDGNFDASTINYNYK >CAK8544605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695225620:695226937:1 gene:gene-LATHSAT_LOCUS13265 transcript:rna-LATHSAT_LOCUS13265 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHVAEKGKEGPVVLFLHGFPELWYSWRHQIAAIGSLGYRAVAPDLRGYGDTEAPSSISSYTIFHLVGDIVALIDSLGVEQVFLVAHDWGALIGWNLCLLRPEKVKAYVCLSVPYFPRNPKIKPVDGMRALFGDDYYVCKFQEPGIIEAEFAKGTTELVLKHMLTTRDPGPPIIPKEGTVPNPQDLISKPLPSWLSQEDLNYYASKFENTGFTGGLNYYRNLNLNWELTAAWTGAQIKVPVKFITGDLDLVYVSMGTKQYIESGGFKKHVPNLEEVVIQEGVAHFNNQEAARDVSNHIYEFIKKF >CAK8575381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103954512:103958442:-1 gene:gene-LATHSAT_LOCUS27650 transcript:rna-LATHSAT_LOCUS27650 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNPKKTLRKIKYAPKIPRTKSRPQSTKIEEERVEEDEYKSDEIQATIRRLKENTARRQSKVEKQSRVQDAFPGSSSLSPRTLRSGSKRSGLELNFSANEKNGSTYSSTSIEDFYTSVMHATDDSTDEEDYKELWNCPDSIYPVTLPLRKPNSGNPAILDEEEFGVAATNVEYDDKPLNSAEELGLLDHSEQPKMIIFQLPTVLPGIKQPVSRKGKEKMGASNSAKPKKGSNLLQIRSGCIGKMQVYKSGAVKLKIGETQYDVSAGTKTIFYQDVVAINPGKKDYSVLGAVTANTKAVVTPDLDSVDLLKD >CAK8539932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531679850:531683194:1 gene:gene-LATHSAT_LOCUS8983 transcript:rna-LATHSAT_LOCUS8983 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPVSAGNGSFKKKAMNASNILRNSLSRKGRRSSKVMSVEIEDVHDAEELKAVEEFREALIADDLLPAKHDDYHMMLRFLKARKFEIDKSKLMWSDMLKWRTEFGADTIGEEFEFKEIDEVLKYYPQGHHGVDKEGRPVYIERLGQVDAAKMMQVTTMDRYIKYHVKEFERTFDVKFAACSIAAKKHIDQSTTILDVEGVGLKSFNKHARELVTRIQKIDGDNYPETLNRMFIINAGSGFRILWNTVKSFLDPKTTAKIHVLGNKYDTKLLEVIDASELPDFLGGTCTCADQGGCMRSDKGPWTDADIMKLVQNGAHKCSRKSESIVEEENTIAEEDHKDSKLEENLTSEVSPNCEEVPATEVSKQEDSTPVDDKKTDQKKADLISTDDKKASQKKIDESDAMATSTEFMTVMKRMTELEQKMTNINHTPALMPPEKEEMLNNTISRADLLEKQLMDTKKALEDSLAKQEEISAYVESKKQNKRTCFCLW >CAK8540967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36642451:36642930:-1 gene:gene-LATHSAT_LOCUS9921 transcript:rna-LATHSAT_LOCUS9921 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTEWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKTKNKRIKADLVDEEKQEKEIQKQIERAEQLMQLSNPESDQPSQVETTRKLNAEDGIKIGFSLGPSVKPIAKDKGEALRMAFDEADKEKFEEKNHVNNLKRKESGGGNQLWMK >CAK8541700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:358698966:358700476:-1 gene:gene-LATHSAT_LOCUS10598 transcript:rna-LATHSAT_LOCUS10598 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNIEEAPIFAPSLPVPNVQEMVKMNPFQVPIRYMRNQEEMEKVNYMSHLSSEIPVIDLTLLSNGNMEEMQKLDIACKEWGFFQIVNHGVQKELMQNMKNVAYEFFKLSIKEKNKYAMLSNDVHGYGHAYVVSEDQTLDWTDTFFLLIYPDRFRKLQFWPKTPQEFKEITEAYSSEVKRVGHELLSSLSVIMGLEKDVFVGLHKEVLQGLRVNYYPPCNTPEEVLGLSPHSDSSSITLLMQDDDVPGLEIRHKGNWVPVTPISDALVVNVGDVIEIWSNGKYKSVEHRAVTNKNKKRTSYATFLFPRDDVEVEPFDHMIDAQNPKVYQKVMYGDYLRQSLKRKMEGKAHTDVAKIKEQVIKE >CAK8573212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593782233:593782976:1 gene:gene-LATHSAT_LOCUS25685 transcript:rna-LATHSAT_LOCUS25685 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELYSDQQVGNEESNNLLQDQYDYHDDNDDDEETLSLRDLPNSRISPQRGDFSKEDGKNSISNYNKNHHDDDDDNLFEFFSEEFATSTTHDNIIFCGKLIPFKDHPKDVVPRAKPISSKPKKCNDEDDIGGLKVKSFAYDYTSMGGKVSLVRCATKSRWFLFLFGMSSSSRLSSKEMQLSDIRNRLSRREPTAMFPVVPGNGKEVVKSKRNDNSKGMWKMLKSISMVLGCSGSKIGNDVVKTAFV >CAK8573174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591388487:591388819:-1 gene:gene-LATHSAT_LOCUS25651 transcript:rna-LATHSAT_LOCUS25651 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSQKLKLLKSRLKVQNKETFGNVPDLLRKATSSLEDIQAQIQSEGQSDDLLIIKKKAQLEMETSLKLEDVFWKEKSKVKWHHEGDRNTAYFQRITKVKNFTKLIYSI >CAK8535641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871464933:871467385:-1 gene:gene-LATHSAT_LOCUS5082 transcript:rna-LATHSAT_LOCUS5082 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSMLTQYDIEEVQQHCDHLFSQQEIVCLYERFCQLDRNAKGFISSDEFLSIPEFVMNPISQRLLKMVDGLNFKDFVAFLSAFSTKASAYQKIELIFRVYDSDHNGKVSFKDILEVLKDLSGSFMSDEQREEVLSQVLKEAGYSKDCYLTLDDFIKVLGQSGIKMDVEVPVD >CAK8540488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9003114:9003706:-1 gene:gene-LATHSAT_LOCUS9490 transcript:rna-LATHSAT_LOCUS9490 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQVAVGVPVYERNGIPPNALIGDPKGIPIQQTIYRDTPAPFNCPHCGHTSLTTVRSKISLAALVGCLMPMMVGVCFLCPSMDCLWHKYHYCPDCQQKVADFEKSDNCAVMDPPNWTQKSFALARY >CAK8564354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669467565:669471170:1 gene:gene-LATHSAT_LOCUS17668 transcript:rna-LATHSAT_LOCUS17668 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNSRLTRVAISIAKSLSTRDYTSICRAGCTRSTRNYSLQPSFQQNLLANLYKGAMFEKHNFLSTTASNNTAEGGSQEKETISVTFVDKDGEEHLIKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEYYNKLEDPTDEENDMLDLAFGLCETSRLGCQVIAKPELDGVRLALPAATRNFAVDGYVPKPH >CAK8540682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15870387:15870758:1 gene:gene-LATHSAT_LOCUS9664 transcript:rna-LATHSAT_LOCUS9664 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNVTKFDLIILGASGFTGKYVLKEALKFLNNSSQSQSSLNSVAIAGRNPTKLAQTLKWASNPHPPPIIPILPADTSDPSSLRSLCSQTRLILNCVSPFRLHGETVVSACVDSGCDYLDICG >CAK8537648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415229483:415233503:1 gene:gene-LATHSAT_LOCUS6914 transcript:rna-LATHSAT_LOCUS6914 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQNKMCLFTATNNGFFLDPAKCGVMNFQEKQRLVHEVACQSKDAPDILQSFTRRELLELICAELGKERKYTGYTKGQMIEYLLKLISKKSNLYVDQNALVHSPAKSCVGSKRKKGPPSLDLRNVQLENTNEETTKALVCRNVACKATLKPEDSFCKRCSCCICRCYDDNKDPSLWLTCSSDKPNEESCGLSCHLQCALSNQMSCILKGSRGVTLDGSFCCVSCGKINELMRTWRKQLLVAREARRVDILSLRISLAHRILVGTKVYTEVHKIVETALELLENEAGPLDHVYARMTRGIVSRLSCGAEVQKLCSTAVECFDLKFSEHFSSCEEKKEAPTCSLHFEECLPTSVVIVLEYKDKLLKNFLGCRLWHRISSMDYPEQPTFIVLRPEKRFKLENLTPATEYSCKASIFSSTGILGAAEAKWVTPCEPPSKVIRQIGNHSSTMNDRYSQDQIKMASEKPTVLNIRDRFEEFLTKPPTIDPFSYKSFAAVPPATPSKPNEMRQITGLSSRKRVKENDYEYSVRVVKWLEHQGHIDEIFRVKFLTWFSLKANQQERRVVSAFVDALIDDPASLADQLIHTFTDEICCEQKS >CAK8538921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499585929:499586693:1 gene:gene-LATHSAT_LOCUS8068 transcript:rna-LATHSAT_LOCUS8068 gene_biotype:protein_coding transcript_biotype:protein_coding METNFQLKDQNISILIHGMKANSNLIIFTQLFISISVFSFIFSPSSLYVLLHYFKFYFSTLPFQLYTHNIDKNSMFLLCNGLLVFVGLTKSFSSSSSDTDDHKPSNNTEVSSSSSQYIEEENEEGSQSHILDVEVNEPMWEREAEKRTSEPDEQSAEEEEEKVEEENIEKIILIDEEVELFDGDDEEEYKGSEIDYVLIEENNIEEEEGEEDYVEEGEDSCMLSTEELNKKFEDFIRKMKEDLRIDARRHLVMV >CAK8564088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650748984:650750080:-1 gene:gene-LATHSAT_LOCUS17427 transcript:rna-LATHSAT_LOCUS17427 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNIPVDPVIASDILRDATKAVAKIIGKPESYVMILLNGGVPIAFGGTEEPAAYGELVSIGGLGPAVNGKLSSTIAEIIQTKLYIDSSRFYIKFYDVERSFFGFNGSTF >CAK8541878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420082936:420084234:-1 gene:gene-LATHSAT_LOCUS10768 transcript:rna-LATHSAT_LOCUS10768 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPNKFLHIAVFPWLAFGHIFPFFELSKLIAQKGHKISFISTPRNIKRLPPLPPNLKPLFKFVELPLPHIDQLPENAEATMDIPPHIVPYLKKAFDGLQQPLIQFLETSTPDCIIYDYGPYWLPPITSKLGILSIYFSIFTVAAISHGVLKSYEEDNNIVSHLHYEQNESGVSDDCRVKEPFFGAEFIAVRSCMEIEGKALKSLENQSKKKVIPVGLLPPSLEFSEDKTDENWDTILKWLDKQEKQSVVYVAFGSEVILSDDEFTEIAKGLELSSFPFLWILKNQDKHDWFAENDSNKNGLIWNNWAPQLRILSHESIGGFLTHCGWSSVIESFQVGCPLIMLPFINEQGLNARLMEEKMAGAKVERNDGKFNRDSVAKALRLVMVEEEGKSYRSKAEEMRKIVGDRRLHQKYIDEFVDYVELHIPASKH >CAK8534286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713001238:713003148:-1 gene:gene-LATHSAT_LOCUS3842 transcript:rna-LATHSAT_LOCUS3842 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSAASSLSSSQNHQFLLILSFIFHINKKNNKNLVMGLLLSRTLACKFFYPLLFSKDVHNDFFPQQLENMISLVSPRTGRHLQRYEKGCRQVVGCIPYRYKSNGTQENEIEVLLISAQKGSGMQFPKGGWESDESMEQAALRETIEEAGVIGSVESNLGKWYYKSKRQPIMHEGYMFPLLVSKELDNWPEMNLRKRKWLTVDEAKEICPYAWMKEALDELVSRQQTTN >CAK8534287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713001238:713003037:-1 gene:gene-LATHSAT_LOCUS3842 transcript:rna-LATHSAT_LOCUS3842-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLSRTLACKFFYPLLFSKDVHNDFFPQQLENMISLVSPRTGRHLQRYEKGCRQVVGCIPYRYKSNGTQENEIEVLLISAQKGSGMQFPKGGWESDESMEQAALRETIEEAGVIGSVESNLGKWYYKSKRQPIMHEGYMFPLLVSKELDNWPEMNLRKRKWLTVDEAKEICPYAWMKEALDELVSRQQTTN >CAK8569612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6838509:6840178:-1 gene:gene-LATHSAT_LOCUS22445 transcript:rna-LATHSAT_LOCUS22445 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRDLELLIPVSNISNNGVSKLSSNSSSSANATLSPQHNSGQEAFSKVIRSWASKKFMTGCVILLPIAITFYVTWGFIRFVDGFFSPIYNHLGINIFGLGFVTSISFIFLVGIFMSSWLGASVLTLGEWFIKKMPLDIYAASKQISGAISPDQSSNAFKEVALVKHPRIGEYTLGFITSSIILRKPRDEEELYCVYVPTNHLYLGDIFLISPDDILRPNLSVREGIEIVISGGMSIPQVLTTGDAHAKLASRISSFASSQVK >CAK8539564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516442864:516444404:1 gene:gene-LATHSAT_LOCUS8647 transcript:rna-LATHSAT_LOCUS8647 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLPPGFRFHPTDEELIGYYLKRKVEGLEIELEVIPVVDFYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCEASPFISTETVTGYRKTLVFYCGRAPLGDRTNWVMHEYRLNDDPSQGSEGAFALCRVIKKNEKANDSQGHGGKRVKANDGSNSISTDGNEVSCQASQMCSGNESHYSSPINFPNNVPNMAGFEQASSDTNPSTFWLSPDMILDSSKDYSQVPVKDLDGCFPQQHDLLSSMTPWQSFEHTEISPCSSYSNFNTEIEFSDTLSRIGCISPYTGQGSFMDLPYECYDQIPSFRDPNQF >CAK8534972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798798204:798798596:1 gene:gene-LATHSAT_LOCUS4462 transcript:rna-LATHSAT_LOCUS4462 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGWFPTNGKKAMEEELIRGRDMANQLLEVLTFDDKSNIIRQVKGSKSKSSKVLPKDVAQDLVREVLKSLTNTLLYLNNKEESNDDSIIVRDFSFSTNGHKMEEDLDGAYKQLQALNTKSPKGMKKRK >CAK8567005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479262963:479263958:-1 gene:gene-LATHSAT_LOCUS20096 transcript:rna-LATHSAT_LOCUS20096 gene_biotype:protein_coding transcript_biotype:protein_coding MNALRCMISVVLFYSLLVNLCYCFKRNPFNVSRFEEEDDKWQMGTATHYGPIDGAGSTGGACGYGETVEKFPINKMIAAGGGSIFRKGKGCGSCYKVKCTENSECSGKYVRVVISDECGGCNGDHFDLSCTAFASLAKKGEDAVKNLLDAGHIKIQYKRIECNFGRKIAFAIVTGANPYHFIVQIQYQNGYGDIEKVELKQGHKSKKWLPLQHSWGARWFLSNSGVPLKPPFCIKLTQSGNGKSKTFRARKVIPKSWKPGQVYWSHSNLQC >CAK8564910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15314169:15316592:1 gene:gene-LATHSAT_LOCUS18161 transcript:rna-LATHSAT_LOCUS18161 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNILSVHTPPLPNLSKSTPLYQRIYIPHHVYTHPSAILLELCTSVKELHQILPLIIKNGFYNEHLFQTKLVSLFCKFGSMDEASRVFEPVEQKLDVLYHTMLKGYAKNSTLYDSLRFYNRMRNDEVKPVVYDFTYLLQLCGENLDLEKGREIHEMVIVNGFESNLFAMTSVVNLYVKCRKIDDACKMFDRMPQKDLVSWNTVVAGYAQNGFARKALWLVSDMREAGQKPDSITLVSVLPAVADIKGLGIGRSIHGYAIRSGFESMVNVSAALLDMYFKCGSVETGRLVFRRMSSKNVVSWNTVINGLAVNGESEEAFATFLEMLDEKVEPTNVSMMGALYACSNLGDLERGRFVHRLLDQTKLSSDVSVMNSLISMYSKCKRVDIAASVFDNLKGKTNVTWNAMILGYAHNGCVNEALNLFCTMQSEGIKPDSFTFVSVITAIADLSVIRQAKWIHGLAMRTNMDKNVFVATALVDMYAKCGAIETARELFDTMQERHVITWNAMIDGYGTHGLGKAALDLFDDMQKEASIKPNDITFLSVISACSHSGFVEEGLYYFKTMKEHYGFEPSMDHYGAMVDLLGRAGKLDDAWNFIHEMPIKPGITVLGAMLGACKIHKNVELGEKAADMLFELDPDEGGYHVLVANMYASASMWDKVAKVRTAMEKKGLHKTPGCSLVEWRNEVHAFYSGSTNHPQSKRIYAFLETLGDEIRAAGYVPDTNSIQDVEEDVKEQLFSSHSERLAIAFGLLNTRPGTTIHIRKNLRVCGDCHEATKYISLVTGREIIVRDLQRFHHFKNGRCSCGDYW >CAK8571655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:456594555:456598174:-1 gene:gene-LATHSAT_LOCUS24296 transcript:rna-LATHSAT_LOCUS24296 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARSDYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIKNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVDEVFFSIARDIKQRLAETDSKTEPQTLKINQPDQGAGSAQATQKSACCGS >CAK8535336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:839682794:839685283:-1 gene:gene-LATHSAT_LOCUS4802 transcript:rna-LATHSAT_LOCUS4802 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKVGESVKVDPHQFEACIHLSQAALGETKKDKANEPVVLYLKVGDQKLVLGTLNRDKIPQTTLELVLDKEFELSHSSKTSSVHFCGYKAYYPDNEYSDEDEFSESDEEEIPLAQPIENGKPETKAADQKVSEVKKATAKSGAPAKADPPAKSGTSAKQVKVVDPKEEQDDDSDDESDDDLDSSDEQMDEDSEDEDESDEDDDEETPVKKVDQGKKRANESASKTPVPSKKSKNATPEKTDGKKAGHTATPHPKKAGKTPNTDAKSPKSGGQLSCSSCSKTFNSETGLTQHTKAKHGAQ >CAK8565998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365845843:365848092:1 gene:gene-LATHSAT_LOCUS19167 transcript:rna-LATHSAT_LOCUS19167 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAVEEQLSLFRYLIQTRSFGESTLRFLDSLLVSKDVKSLIEIRSSLKQLLKSESMSIMHSIAAESVHDKLLVLDFFVHAFALVGDLESCLALRYEALVMRELKSASLQFLQVSPVEWLSFVEDAVHNGFHSVAVKACENALWCIGNNDVQKLGRDMLPENLKATTISEITRLRNFALTSVSSRSVQVQATEYLERKTREQQKLDLPFKEKQCLASTSFRNGIKRKNMRKLHERQQSLPQVNGVPPEQNLR >CAK8563652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622649000:622674625:1 gene:gene-LATHSAT_LOCUS17038 transcript:rna-LATHSAT_LOCUS17038-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRKSRSVNKRFSNIREAASSKDKDAPNTGKNRQKASHGIQKKRKLADMLGPQWSKEELECFYEAYREHGKDWKKVALAVRNRTMEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSILGGSDSGKESNGDAEISKKSKKRPRGKQNDNKAVDEHFSDHSQPHSVASDDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDNGGKFFSSARQDSKQMADTNDVTHKIALALAEASQRGGSSKNIGSSNKKNMPSPKLKIGKKHVKLEIVGAKFGNSNMDESSSELSLGSTEGDNGEYSRKIIYRRSSENPGRERNQEKGIKHYRKNLKPEENMNKHLTDLKEASSGTDGGKNQSSFKSNFDIDFPNAKSARSSYKGPRKKSKKLLFEKDESSAFDALKTLADLSLMMPTSNPDTESSVQFNEGKHNMVNESKMETDNGNSSSKSGKIFSDKGAAAPKAEGAYQLTAGSRKRKQKSTTLKIDETHTGSHISGSQKFKVTDEVKKSLVKGKRSSVSTAHSRQLKMVTSLGNMSSNIIDKAERDGSSFSPIKVLSTNQVGQVSRDRPRRKMEKPRPMVQKDQRVSEKSIASYWWNSIERHKGKLINCLSSYQMRRWCTSEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLIEEKHKLNQYRESVRSHYAEVLAGTKEGLPADLAQPLIVGQRVIAIHPKTREVHDGSILTVDHCRYRVQFDQHELGVEFVMDIDCMPLYPSENMPMSLIRHHISPARINENLSELTHNGKIGRKKISEHTILSSSENSDAINVRCVPPALHVSSTLLKHGFSSSCKSQAKVAGSEICNGQSVSSSQSSFLERVHSKEADILAITELTRALEKKELVLSELKHMNEGVSESQKCGDNSVKDSEPFKRSYASVLKQLTEANEQVSSGLFCLRQRNAYQASSSVLSLKPMANLDDPGGQASSSNCSACHNQESISQNHIAEIVESSRRKARTMVVQATQAMSVFTKTESKVERIEDAINFINNRLSVDDPTASVTSSLPIDSITLASRDQLNASSTLNILARCHVQDDELNSTSDQNELKIPSELISHCLATLLMIQKCTERQFPPADVAQVLDSAVSSLQPFSSKNLPIYGEIQKCMGIIRNQILALIPT >CAK8563650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622649000:622674713:1 gene:gene-LATHSAT_LOCUS17038 transcript:rna-LATHSAT_LOCUS17038 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRKSRSVNKRFSNIREAASSKDKDAPNTGKNRQKASHGIQKKRKLADMLGPQWSKEELECFYEAYREHGKDWKKVALAVRNRTMEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSILGGSDSGKESNGDAEISKKSKKRPRGKQNDNKAVDEHFSDHSQPHSVASDDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDNGGKFFSSARQDSKQMADTNDVTHKIALALAEASQRGGSSKNIGSSNKKNMPSPKLKIGKKHVKLEIVGAKFGNSNMDESSSELSLGSTEGDNGEYSRKIIYRRSSENPGRERNQEKGIKHYRKNLKPEENMNKHLTDLKEASSGTDGGKNQSSFKSNFDIDFPNAKSARSSYKGPRKKSKKLLFEKDESSAFDALKTLADLSLMMPTSNPDTESSVQFNEGKHNMVNESKMETDNGNSSSKSGKIFSDKGAAAPKAEGAYQLTAGSRKRKQKSTTLKIDETHTGSHISGSQKFKVTDEVKKSLVKGKRSSVSTAHSRQLKMVTSLGNMSSNIIDKAERDGSSFSPIKVLSTNQVGQVSRDRPRRKMEKPRPMVQKDQRVSEKSIASYWWNSIERHKGKLINCLSSYQMRRWCTSEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLIEEKHKLNQYRESVRSHYAEVLAGTKEGLPADLAQPLIVGQRVIAIHPKTREVHDGSILTVDHCRYRVQFDQHELGVEFVMDIDCMPLYPSENMPMSLIRHHISPARINENLSELTHNGKIGRKKISEHTILSSSENSDAINVRCVPPALHVSSTLLKHGFSSSCKSQAKVAGSEICNGQSVSSSQSSFLERVHSKEADILAITELTRALEKKELVLSELKHMNEGVSESQKCGDNSVKDSEPFKRSYASVLKQLTEANEQVSSGLFCLRQRNAYQASSSVLSLKPMANLDDPGGQASSSNCSACHNQESISQNHIAEIVESSRRKARTMVVQATQAMSVFTKTESKVERIEDAINFINNRLSVDDPTASVTSSLPIDSITLASRDQLNASSTLNILARCHVQDDELNSTSDQNELKIPSELISHCLATLLMIQKCTERQFPPADVAQISQNDSEFLGIHKTLVRG >CAK8563651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622649000:622674625:1 gene:gene-LATHSAT_LOCUS17038 transcript:rna-LATHSAT_LOCUS17038-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRKSRSVNKRFSNIREAASSKDKDAPNTGKNRQKASHGIQKKRKLADMLGPQWSKEELECFYEAYREHGKDWKKVALAVRNRTMEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSILGGSDSGKESNGDAEISKKSKKRPRGKQNDNKAVDEHFSDHSQPHSVASDDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDNGGKFFSSARQDSKQMADTNDVTHKIALALAEASQRGGSSKNIGSSNKKNMPSPKLKIGKKHVKLEIVGAKFGNSNMDESSSELSLGSTEGDNGEYSRKIIYRRSSENPGRERNQEKGIKHYRKNLKPEENMNKHLTDLKEASSGTDGGKNQSSFKSNFDIDFPNAKSARSSYKGPRKKSKKLLFEKDESSAFDALKTLADLSLMMPTSNPDTESSVQFNEGKHNMVNESKMETDNGNSSSKSGKIFSDKGAAAPKAEGAYQLTAGSRKRKQKSTTLKIDETHTGSHISGSQKFKVTDEVKKSLVKGKRSSVSTAHSRQLKMVTSLGNMSSNIIDKAERDGSSFSPIKVLSTNQVGQVSRDRPRRKMEKPRPMVQKDQRVSEKSIASYWWNSIERHKGKLINCLSSYQMRRWCTSEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLIEEKHKLNQYRESVRSHYAEVLAGTKEGLPADLAQPLIVGQRVIAIHPKTREVHDGSILTVDHCRYRVQFDQHELGVEFVMDIDCMPLYPSENMPMSLIRHHISPARINENLSELTHNGKIGRKKISEHTILSSSENSDAINVRCVPPALHGFSSSCKSQAKVAGSEICNGQSVSSSQSSFLERVHSKEADILAITELTRALEKKELVLSELKHMNEGVSESQKCGDNSVKDSEPFKRSYASVLKQLTEANEQVSSGLFCLRQRNAYQASSSVLSLKPMANLDDPGGQASSSNCSACHNQESISQNHIAEIVESSRRKARTMVVQATQAMSVFTKTESKVERIEDAINFINNRLSVDDPTASVTSSLPIDSITLASRDQLNASSTLNILARCHVQDDELNSTSDQNELKIPSELISHCLATLLMIQKCTERQFPPADVAQVLDSAVSSLQPFSSKNLPIYGEIQKCMGIIRNQILALIPT >CAK8563653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622649000:622674625:1 gene:gene-LATHSAT_LOCUS17038 transcript:rna-LATHSAT_LOCUS17038-4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRKSRSVNKRFSNIREAASSKDKDAPNTGKNRQKASHGIQKKRKLADMLGPQWSKEELECFYEAYREHGKDWKKVALAVRNRTMEMVEALYTMNRGGSDSGKESNGDAEISKKSKKRPRGKQNDNKAVDEHFSDHSQPHSVASDDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDNGGKFFSSARQDSKQMADTNDVTHKIALALAEASQRGGSSKNIGSSNKKNMPSPKLKIGKKHVKLEIVGAKFGNSNMDESSSELSLGSTEGDNGEYSRKIIYRRSSENPGRERNQEKGIKHYRKNLKPEENMNKHLTDLKEASSGTDGGKNQSSFKSNFDIDFPNAKSARSSYKGPRKKSKKLLFEKDESSAFDALKTLADLSLMMPTSNPDTESSVQFNEGKHNMVNESKMETDNGNSSSKSGKIFSDKGAAAPKAEGAYQLTAGSRKRKQKSTTLKIDETHTGSHISGSQKFKVTDEVKKSLVKGKRSSVSTAHSRQLKMVTSLGNMSSNIIDKAERDGSSFSPIKVLSTNQVGQVSRDRPRRKMEKPRPMVQKDQRVSEKSIASYWWNSIERHKGKLINCLSSYQMRRWCTSEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLIEEKHKLNQYRESVRSHYAEVLAGTKEGLPADLAQPLIVGQRVIAIHPKTREVHDGSILTVDHCRYRVQFDQHELGVEFVMDIDCMPLYPSENMPMSLIRHHISPARINENLSELTHNGKIGRKKISEHTILSSSENSDAINVRCVPPALHGFSSSCKSQAKVAGSEICNGQSVSSSQSSFLERVHSKEADILAITELTRALEKKELVLSELKHMNEGVSESQKCGDNSVKDSEPFKRSYASVLKQLTEANEQVSSGLFCLRQRNAYQASSSVLSLKPMANLDDPGGQASSSNCSACHNQESISQNHIAEIVESSRRKARTMVVQATQAMSVFTKTESKVERIEDAINFINNRLSVDDPTASVTSSLPIDSITLASRDQLNASSTLNILARCHVQDDELNSTSDQNELKIPSELISHCLATLLMIQKCTERQFPPADVAQVLDSAVSSLQPFSSKNLPIYGEIQKCMGIIRNQILALIPT >CAK8579550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707202188:707207827:1 gene:gene-LATHSAT_LOCUS31488 transcript:rna-LATHSAT_LOCUS31488 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVAPTSSQTERVHQFFNKLESHKNTLTKCTDLFTTLSHHFSTVQDSISQKLQTLDSKFQSLESRSKETLESLDNQENSIPERESSAAARIDEQKEAALADLSNSIPISTLNISDALKSLSRKMDANALLRFVVSKRKESVMLRPEIVVAIKEAVDPPRLVLDAVEEYLKSKTEAKSGVTDKRWACGLLIQGLIAESSVYSRRIVERAAGLVDLWKEQLDGEQEKGAAEMVMFLQIVACFGLRSKFDDEYLRKSVMEFASRRDMAKVAASLEFGDKMIDIIDELVKNGKEIEAVYFASESGLTERFQPIKLLESYVRNYKSNAASILANGNNSQAATDESTTLELNSIRDVIKCVEDHKLESEFRLHEVIKRASQLEKSRTERKKTSLFGSARSFGSGSGLGSGSRPRKRGSRGNGSSSSRPAKSARTSVYPSSYSRRNLAPLHPSPSPRYSAPLHYPSQAMLENSTAANHYAATYGNSITQSPAGIGITQQHYPYPVDNNLGPSNYLSGSSYAGQTSYGVYDYGSAAAPPTYPYTVDQTSYRG >CAK8540512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9635885:9637137:1 gene:gene-LATHSAT_LOCUS9512 transcript:rna-LATHSAT_LOCUS9512 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHSTLAFAFGMLGNVISFMVFLAPMTTFYRIYKKKSTEGFQSLPYLVALFSSMLWLYYALLKKHEFLLITINSFGCVVELIYIILYIIYATKDARKLTIKLVLAMNIGSFGLILLVAQYALHGPIRVEVLGWICVSISVSVFAAPLTIVAQVVRTKSVEFMPFNLSFTLTLSAIMWFGYGLFLKDICIALPNVLGFALGLVQMILYGIYRNGNKKASQQDSLKSVVVANSLGGAGEVFPVEEEEEKKKKNMEEV >CAK8574400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680094893:680107660:1 gene:gene-LATHSAT_LOCUS26752 transcript:rna-LATHSAT_LOCUS26752 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHKTSNPVITFDHKRDAYGFTVRPQHLQRYREYANIYKEEEEERSERWKSFLDRQAETESSEVASDRSVVGEDEKVSGDEVVGEGVDASLEKGVDGYQTSGLIPGSDDSTIENGSQKEELPASEASRIHRVQLWSTIRSSLHIIEDMMSARVKKKTVSVKDERNKTGVSKDENTAETEKSLSHFDDAKSPKGAFEEDSEDEFYDVERSDPSPDSPRVDGLSTSANGIAADAAPLEIPCPWIEELEVLVRGGVPMALRGELWQAFVGVKARRVDKYYQNLLASNGDSEIKSNHQNLQFDDNDGKINAELIHVPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGILDDYFDGYFSEDMIESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTAVALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNINEVRLQQLRNKHRPAVIAAIEERSKGLKALRDAKGLASKLFEQSKTVQVLGNLSRSESGSTNADEILISLTGEGEIDSAPDLPEQIAWLKVELCRLLEEKRSAILRADELETALMEMVKQDNRRELSAKVERLEEEVAELGQALSDKQEQEAAMLQVLMRVEQEQKVTEDARRFAEQDAAAQRYASQVLQEKYEEATVTLAEMEKRAVMAESMLEATLQYQTGQNKLLPSPRSSQPESPGSRNNQEPTTDLPTRRISLLSRPFGLGWGNKGKPTNVEESPVGDSPISQHEGNGLKVQQDGNDLKIQDEVETR >CAK8536881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33044539:33044748:-1 gene:gene-LATHSAT_LOCUS6207 transcript:rna-LATHSAT_LOCUS6207 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGQSLDYVGLYLPKNVFSHGQLYVAISRIKSKAELKILIHDKNKIFLDHTKNVVFKEVFHNII >CAK8566004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366642610:366645048:-1 gene:gene-LATHSAT_LOCUS19173 transcript:rna-LATHSAT_LOCUS19173 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSQIFITLLIILFHFHHSSSFSLSVENPEQNFILSPNHTFTAGFYPVGENAYSFAIWFTQKHKHLDSNNNNATIVWMANRDQPVNGKRSTLSILTTGNLVLTDAAQSVVWSTDTASSKPLQLLLHETGNLVLQELNTNGSINSTLWQSFNFPTDTLLPDQTLTRFTKLVSSRSETNFSSGFYKLFFDNDNILRLLYEGPRVSSVFWPDPWLTSNGAAGSGSRSTYNSSRIASLDSFGRFSSSDNFVFNTFDYGTLLQRRLTLDHDGNVRIYSRKDEKQSWFVSGQFKQQPCFVHGICGPNSTCSNDPMNGRKCSCLPGYVWINDQDYYQGCRPNFQLACSNKTHESRFLALPHVDFYGYDYAFYVNKTYKECEDLCSNLCGCAGFQYTFTAEYGGYYLCYPKIQLLNGHHSQSFLGSFYLKLPKSSDFVDEMKIQQNGMGMVCSKNGVVVKLEREYIKEKENGSLKFMLWFAGGLGGVELLGFFLVWFFLFRSSKNSDEDNNGYILAAAGFRKFSYSELKQATKGFSQEIGRGAGGSVYRAVLSDNRVAAIKRLHEANQGESESEFLAEVSIIGRLNHMNLIGMWGYCAEGKHRLLVYEYMEKGTLADNLSSNELDWGKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRDDLDNSNFSRIRGTRGYMAPEWVFNLQITSKVDVYSYGVVVLEMITGKSPTTGIQIKEELCHERLVTWVREKRREGLEVGCWVEQIVDPKLGSNYDVKKMETLANVALDCVADDKDVRPTMSQVVERLLSHDHNY >CAK8564206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657564447:657564764:-1 gene:gene-LATHSAT_LOCUS17529 transcript:rna-LATHSAT_LOCUS17529 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSFLKVSLVAEVDTNFKCIKLFLKGISFGRDDLRAQHILDALCRQDSTVSRDLLYAITPAINLWLGGRCLVSLTEFAASAPLTSLLKCGAQIRPIVMSSIDK >CAK8538952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500213369:500213809:-1 gene:gene-LATHSAT_LOCUS8097 transcript:rna-LATHSAT_LOCUS8097 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRSKYNVRSLPVRKDDEVQVVRGTFKGREGKIVQVYRKKWVIHIERITREKVNGSTVNVGLDPSKVVITKLRLDKDRKSLIDRKAKGRAAADKEKGTKFAPEDIMQSID >CAK8544353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679455024:679455417:1 gene:gene-LATHSAT_LOCUS13040 transcript:rna-LATHSAT_LOCUS13040 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFANVKVLSTIILDGFSNTLTRRGYAAATESATRGGVGSIGNKMGPTKLGEEKTVSIYKVSWVPDPVTGYYKPENIKDTDVADLSAKLLRKNLKN >CAK8542463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517739197:517748982:1 gene:gene-LATHSAT_LOCUS11302 transcript:rna-LATHSAT_LOCUS11302 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTIANLKENLNKIALDVHHADDDEDDVVLASYRIQADGESPTVSDRRHSRGSAHSNSIPRSPAPNGNTDNSYGSEIEQYRAEIKRLQASEAEIKALSVNYAALLKEKEDHIIRLNKENGSLKQNLEASSPASSNGNYKVKGSSDQSSSRQHRLATQMKNRYATNNGTMSTLESNAIPSKMTSNHSNLQGKDKELADLVEGKNSPTVAIQVPHTHEVQKLKLELEQERDKLANIQLQFHEEQKLNKSFQEELKLLKLERDKTTDEVRQLHNELNEKVSEIKGLQLELTRQEGEEAGNAMDSSKRLIETLEKENTSLKMEKSELEAALKASRVSFTGKKSPDASQIQNRGSSSVSDLSDPSKSFPGKEDMEILLQKMSNDLKKTQQERDKAVQGLTRLKQHLLEKENEESEKMDEDTKIIEELRDSNNYLKAQISHLERILKQATSEQEKLKMANSSELLKSREVIDDLNKKLTNCISTIDAKNIELINLQTALGQYYAEIEAKEHLEGELARAREETASLSQLLKDADCRADILMGEKEEILAKLSHSEKVQSEWRSRISKLEEENAKLRRALEQSMTRLNRMSVDSDFLVDRRIVIKLLITYFQKNHSKEVLDLMVRMLGFSNEDKQRIGLAQQGPGKGVVRGVLGLPGRLVGGILGGSSSESAINVGSDNQSFADMWVDFLLKETEEREKREMSGSTGEFMGDSRDKTTNTNSASPPPSNQRFSTGNAPISSPTNQNTSPLSHGYFQHSEQIGSEFSTVPLTYSDSKTTSAKVLPRY >CAK8539392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511212395:511218311:-1 gene:gene-LATHSAT_LOCUS8492 transcript:rna-LATHSAT_LOCUS8492 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRSPNRSSEDIVDTTPFLPNSAAASSDENNSGNRVLRRQRLLQAARFLRQASGRRMMREPSVVVREAAAEQLEERQSDWAYSKPVVILDIVWNFAFVVVAATALFLSTNESPEMPLRLWIVGYVLQCVLHMVCVCFEYKRRRRFQRSSSSIAVAGSDRLGTSSGNLSSGSRSVSLAQFDEEGTSVAKHLESANTMFSFIWWIIGFYWVSAGGQTLSQDSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIALLYAVADQEGASKEDIEQLSKFKFRKVESNEKQTGNIEGPGGGIMTECGADSPIEHVLAEEDAECCICLSSYEDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSSSLDQEEV >CAK8540639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:14296795:14299932:-1 gene:gene-LATHSAT_LOCUS9625 transcript:rna-LATHSAT_LOCUS9625 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVNNLNFPLLSEKNLIDEEKLIASDSPNKNEVSFIRTCFNGLNAISGVGILSVPYALASGGWLSLVLLFSIAAVTFYTGLLMKRCMEKHSKIRTFSDMGELAFGKTGKLIAAISMYSESYSLLIGFMILEGDNLSNLFPIEEFQVSGILIGAKQFFVILVALIILPTVWLDNLSFLSYVSASGVLASAIIILSIICTAAFDGVGVHQKGDLVNWNGIPTAVSLYTFCYSAHPIFPVLYTSMKNKRQFSNVLIVCFMLASIGFATMAIIGYLMFGSKVESQITLSLPLNKISSKIAIYTTLVNPMCKFALIAIPITNALKNLLPRTYKNNRMANIFMSTILVISIVIVTFTLPFFGTLMSLVGAFLTVTASILLPCTCYLKISGSYKNFGFETMAIVIIILVAIVMGISGTYTSIVELVEMSSNK >CAK8565474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:232991278:232995265:1 gene:gene-LATHSAT_LOCUS18688 transcript:rna-LATHSAT_LOCUS18688 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSISAQQDVVIEEIDHVRVVTLNRPKQLNAISPSLVFLLAKCLEKWEKDEKANLIIIKGAGRAFCAGGDLRVFYGGRITRGDSCLEVVYRFYWLCHHISTYKKTQVALVNGISMGGGAALMIPLKFSVVTEKTVFATPEASFGFHTDCGFSYYHSRLPGYLGEYLALTGGRLNGQELVAAGLATHLVSSEKIGELEKRLISLNSGDENAVRSVIEEFSLEVKLNEESILNKKSIIDECFSKDSVEEIIKSVEAESSKEENGTIGPVLKEMKRTSPTALKIALRSVREGRNQSLSECLKKEFRLTMNILRATISEDMYEGIRALTIDKDNAPKWDPPSLDKVDDEKLDLIFKPFDKDLELQIPESEECRWDGKYESSAYAIPNRETPIST >CAK8541176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:82500554:82504945:1 gene:gene-LATHSAT_LOCUS10115 transcript:rna-LATHSAT_LOCUS10115 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETTSMDHITEPLIQEPQIHNEKSEFLSEPVPEWKEQITIRGLVVSAVLGCLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKTWTGLLTKIGVFTKPFTRQENTVIQTCVVACYGLAFSGGFGSSLIAMDQKTYELIGPDYPGNRAEDVKNPGLGWMIGFMFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTKTGAELAGNQVQQLGKYLSISFFWSCFKWFFSGIGDSCGFDNFPSFGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSVFLGAIISWGFLWPFVSKHAGDWYPANLGNNDFKGLYGYKVFISIAIILGDGIYNLVKIIVITIREMWRTRSKQNSLPVVTEVPDGDSSELQLEEKKRNEIFMKDGIPTWFAASGYVGLAAISIVTIPFIFPPLKWYLVLCSYILAPALAFCNSYGTGLTDWSLASTYGKIGLFIVAAAVGTNGGVIAGVASCAVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCIIAPLTFWMFWTAFDIGSPDGPYKAPYAVIFREMAILGVEGFSELPKYCMEMCGGFFAAALAINFLRDVTPKKYSQYIPIPMAMAVPFYIGAYFAVDMFIGTVILFVWEQVNRKDSEDYSGAVASGLICGDGIWTIPSAILSILRINPPICMYFGPSSSS >CAK8538745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494943657:494946146:1 gene:gene-LATHSAT_LOCUS7912 transcript:rna-LATHSAT_LOCUS7912 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLACLPVTRTTTTTIPQLLTHSKLPHSPPKQQHFTNNTSLHNHISFLCKHVRIQEAVDSLSQLPQHVRIGPDIYGELLQGCVYARALSLGLQIHAHMIKKGPSFSTNEFIESKLVILYAKCGRADIAVHLFRNVVTNQNLFSWAAIIGLQARMGLSQEALLSYVEMMEKGFLADNFVVPNALKACGALRWGGFGKGIHGYVVKMNKEFDGCVYVATSLVDMYGKCGFLEDAGKVFDDMPQKNVIAWNSMIAVFAQSGMNMEAVGLFKKMRFQGVEVTEVTLSGFFSACANLEAVKEGKQGHALALVMGFELGNIIGSSIMNFYSKVGLIEEVELVFRNITVVKDEVTWNLMISSYVQFGMFEKVLEMCHWMREEENLRFDCVTLSSLLTVAADTRDVEMGKKLHGFCLRNEFNSDVVVLSGIVDMYAKCGRMDCARRIFCFAAKKDLVLWNTMLAAFAENGLSGEALKLFFQMQLESVPPNVVSWNSLIFGFFRNGLAVEAQDMFSEMQSSGVTPNLITWTTMISGLAQNGFCYEANMVFRQMQDAGMKPNSISITSVLSACTDMALLNYGKAIHGYVMRNFMSFTLQITTSIIDMYAKCGNLDHAKCVFVMCSTKELPVYYNAMISAYASHGKSADALALFKEMVKEGIVPDHITFTSALSACSHGGLLKEGLELFKYMVCELQMKPSKEHYGCLVKLLAIDGQLDEALRIILTMPSPPDAHILGSLLDACVQNHETELADYIAKWLLKLEPNNPGNYVALSNVYATLGKWDKVSNIRGFMNEKGLKKIPGCSWIEVGQELHVFIATDKSHSEKEEIYMMLDLLGF >CAK8543137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582170185:582171718:1 gene:gene-LATHSAT_LOCUS11919 transcript:rna-LATHSAT_LOCUS11919 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSCSLTFSSTNKPTLKPFNQCSASFSNRVGCNYSSRTRFRRLFLREQKPSKRFAVVNAATVSTGQETPVQTNSGEPFKPKRVMVIGGDGYCGWATALHLSNKGYDVAIVDNLVRRLFDHQLGLDSLTPISSIQDRIQRWKSLTGKSIELFIGDICEFEFLSETFQSYEPDAVVHFGEQRSAPYSMIDRSRAVYTQQNNVVGTLNVLFAIKEYKEDCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVKTDETAMHEELCNRFDYDAIFGTALNRFCVQAAVGHPLTVYGKGGQTRAFLDIRDTVQCVELAIANPANPGEFRVFNQFTEQFKVSELANIVTKAGEKLGLDVKTISVPNPRVELEEHYYNCKNTKLVDLGLRPHFLSDSLIDSLLNFAVQYKDRVDKKQIMPGVSWRKVGVKTKTI >CAK8537378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:315671522:315695077:-1 gene:gene-LATHSAT_LOCUS6672 transcript:rna-LATHSAT_LOCUS6672 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWLVDKSRIATKIKSAMGSCAAENVTWKSNPTKTCPNCQHAIDNNDVAKEWPGLPKGVKFDPSDHEIIWHLLAKVGVENLKPHPFVDEFITTLEVDDGICYTHPQNLPGVKQDGNASHFFHRAIKAYNTGTRKRRKIHDQDFGDVRWHKTGRTKPVILNGVQKGCKKIMVLYMNSAGGGKAEKTNWVMHQYHLGTEEDEKDREFVISKVFYQQQQVKFCDKDEHDIAEVTEAMTVKVDPVTPKSVTPEPPCDENKFSEVDLGQQTILIPQMDCLDEIQADCEENANDDLSMVEIEHNEAIENIENNGDEGQRWWDSESQNMLDSQQLVEALSLCDDLFHSQSPTRDGKDEEQKNQTGLSVYAELGPEYLKKDIEDCQNLVIDPANDVEHDTNSELRLSQLEFGSQDSFISWGYSNKALG >CAK8537377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:315671522:315695077:-1 gene:gene-LATHSAT_LOCUS6672 transcript:rna-LATHSAT_LOCUS6672-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWLVDKSRIATKIKSAMGSCAAENVTWKSNPTKTCPNCQHAIDNNDVAKEWPGLPKGVKFDPSDHEIIWHLLAKVGVENLKPHPFVDEFITTLEVDDGICYTHPQNLPGVKQDGNASHFFHRAIKAYNTGTRKRRKIHDQDFGDVRWHKTGRTKPVILNGVQKGCKKIMVLYMNSAGGGKAEKTNWVMHQYHLGTEEDEKDREFVISKVFYQQQQVKFCDKDEHDIAEVTEAMTVKVDPVTPKSVTPEPPCDENKFSEVDLGQQTILIPQPQMDCLDEIQADCEENANDDLSMVEIEHNEAIENIENNGDEGQRWWDSESQNMLDSQQLVEALSLCDDLFHSQSPTRDGKDEEQKNQTGLSVYAELGPEYLKKDIEDCQNLVIDPANDVEHDTNSELRLSQLEFGSQDSFISWGYSNKALG >CAK8543532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:616972502:616973283:-1 gene:gene-LATHSAT_LOCUS12283 transcript:rna-LATHSAT_LOCUS12283-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNKLKLMQYELETIRMGISNICVNLSVICHADFESVVLVKMLLKLVMMQKVNGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELMKKKAENDRISTEIQRKRDKESKEIMEERLKKLDGGLDAKENDTASDPTLKLRDLENYVQDGKETDKRNDVRVRNR >CAK8543531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:616971314:616973283:-1 gene:gene-LATHSAT_LOCUS12283 transcript:rna-LATHSAT_LOCUS12283 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNKLKLMQYELETIRMGISNICVNLSVICHADFESVVLVKMLLKLVMMQKVNGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELMKKKAENDRISTEIQRKRDKESKEIMEERLKKLDGGLDAKENDTASDPTLKLRDLENYVQDGKETDKRNDVRVRNRSSHSPVISNSPYRLPSRENSKSFSNSRSYSRSISRSPKARGGSVSSERIQRFSRRQFNLL >CAK8569050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666674098:666676294:-1 gene:gene-LATHSAT_LOCUS21946 transcript:rna-LATHSAT_LOCUS21946 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGSSRRMWCSIPERFQLHGAMLVLQVGYAGFHVVSRAALNMGISKLVFPVYRNLLAFLLLVPFAYFLEKKERPAINFNFLLHFFLLALVGFTANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAVVLRIEQVRLNRKDGIGKVVGTLFCVAGASVITLYKGPTIYSPVPSLNNNNTIQESLFESLGDAKGKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIALIFERNSQAWIFTSGAEVFTILYGGVVASGIAFAVQIWCIDKGGPVFVAVYQPVQTLIVAIMASLALGEEFYLGGIIGAALIIVGLYLVLWGKNEEKKFARELAATNSTPEHSGIIRVSSHHAKASLNQPLLPSSTENV >CAK8537299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:211896048:211896275:-1 gene:gene-LATHSAT_LOCUS6598 transcript:rna-LATHSAT_LOCUS6598 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLISTHQSAFIPERAMMDGVLAINEIIDFGGNKSRGCLLVKVNSTTTYDCVAWDYLKYVLGRMNFGSRWLKWM >CAK8531975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185645443:185651469:-1 gene:gene-LATHSAT_LOCUS1721 transcript:rna-LATHSAT_LOCUS1721 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVGNKYRLVRKIGSGSFGEIYLGTNIQTNEDVGVKLENVRTKNPQLLYESKLYRILQGEPGIPNIKWFGVDGEYNVLVMDLLGPSLEEMFNFCNRKLSLKTVLMLAEQMIKRVEFVHSKSFLHRDIKPDNFLMGLGKRENQVYCIDFGLAKKYRDSTTHRHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESIGYVLMYFLRGSLPWQGLQARTKREKYEKISEKKVSTSIEALCQGYPTEFASYFHYCRSLRFDDRPDYAYLKRIFRDLFIREGFQSDYVFDWTILKYQQSQLVTPPARGIGPGAGTSPGMPLAMTSANRHTGREDGRPPGLISGDSSRRRVSGPILNSVNISKQKNSAPSDAAVSKEVMLSNANALGESSGSSRRVADSSSRNPFSGADSDLRSRATESIRGKAHISSSGQRGSHIGSSDPNRVATSNNGSHVKNYETAVKGMEGLKLKNVDSH >CAK8539884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530238509:530248999:1 gene:gene-LATHSAT_LOCUS8942 transcript:rna-LATHSAT_LOCUS8942 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPHLESHSHQKNLKNFHTAVERERESEREMALSLSLSLRYRRVKEISRWFLQPLLYYSGGCYQQYGKGLNSVPFSPEPISNGTLLRGFHQHRFSTLADVSHKHAPEVDLLSFIKSSLDELEGTHHYWLNRSVKNKHFFGIDGIHGTFLVLAASNFECGIMFQKLKAIQERFPHITIMGFKLSNSSDRENLIQLLLTENATFPILLSHRTFPQIKNGACYILFENFKSPMTCYEKDVSLEILYQAIQGLQKQPSDDSKLLNVLRSTSWKQDIITKDQYICSPLQNLLLFYPGCVSADESANRLFISDCNHHRIIVCDGNGKIIDCIGSTPGFEDDDFESVKLRRPAGSYYNASEDCLYFLDSENHAIRRADMKARLVQTLYPTSTVKKGGIWNRIMSKLGLESSVGTNEEEKPEVFDSKSLYFPWYLLKSDDDTLYIIDRRFQTLWTMDLGSGKVDGVFEGSPNIVEKCGQLIRQNLSILDKIHCDQFQQKTNNACVLDGLPHSVLLSSSTALQNHMFICDKVRQRILKIDIESGVCLDFQLSNLGLLGFPYWLNSPPEMFYAGGNGLSDTAIDHLEHFDLLPGKIDIKLSVGVPPEFELVEPLQESCIWRQARGSATEISGMDDPQSIEKVGVAQQWYDELDYVATLKPEPEMTEDDNNPAKNIVVEEDGTVCITSTVLTSPGTSEVIIFAVLYCKLRKVPNSNGGNQEKYAARILDFLSSKRSGKRERDSWNTFLLQSKGDLRDLIFTKLVHVRVRLNCFDHLKTDNEKDFILTDSSIKVTVLLN >CAK8539885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530238605:530248999:1 gene:gene-LATHSAT_LOCUS8942 transcript:rna-LATHSAT_LOCUS8942-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSLSLRYRRVKEISRWFLQPLLYYSGGCYQQYGKGLNSVPFSPEPISNGTLLRGFHQHRFSTLADVSHKHAPEVDLLSFIKSSLDELEGTHHYWLNRSVKNKHFFGIDGIHGTFLVLAASNFECGIMFQKLKAIQERFPHITIMGFKLSNSSDRENLIQLLLTENATFPILLSHRTFPQIKNGACYILFENFKSPMTCYEKDVSLEILYQAIQGLQKQPSDDSKLLNVLRSTSWKQDIITKDQYICSPLQNLLLFYPGCVSADESANRLFISDCNHHRIIVCDGNGKIIDCIGSTPGFEDDDFESVKLRRPAGSYYNASEDCLYFLDSENHAIRRADMKARLVQTLYPTSTVKKGGIWNRIMSKLGLESSVGTNEEEKPEVFDSKSLYFPWYLLKSDDDTLYIIDRRFQTLWTMDLGSGKVDGVFEGSPNIVEKCGQLIRQNLSILDKIHCDQFQQKTNNACVLDGLPHSVLLSSSTALQNHMFICDKVRQRILKIDIESGVCLDFQLSNLGLLGFPYWLNSPPEMFYAGGNGLSDTAIDHLEHFDLLPGKIDIKLSVGVPPEFELVEPLQESCIWRQARGSATEISGMDDPQSIEKVGVAQQWYDELDYVATLKPEPEMTEDDNNPAKNIVVEEDGTVCITSTVLTSPGTSEVIIFAVLYCKLRKVPNSNGGNQEKYAARILDFLSSKRSGKRERDSWNTFLLQSKGDLRDLIFTKLVHVRVRLNCFDHLKTDNEKDFILTDSSIKVTVLLN >CAK8561997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:423506593:423506945:1 gene:gene-LATHSAT_LOCUS15535 transcript:rna-LATHSAT_LOCUS15535 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIGLTMKMKRE >CAK8543720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633969067:633977769:-1 gene:gene-LATHSAT_LOCUS12461 transcript:rna-LATHSAT_LOCUS12461 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGLLRPKKPFIADDEIVELLWQNGPIVTQSQNHRYNNKPPPRRNSDDSTRGGTSSPRENEYLFMQEGEMASWLHYPNTDGDSPLDQSFCADFLNQPSAVNNNSTMQTPPSRVRAEPEPSSILRTSARESTLVDSCDTPAMMPAAISETVRSSMEPTEGATCASAPWTTFDEQGGSSSSGEPVRKVEELDRKRKGKHTDEWKYHSEDVDFESAEEKNKTNGSSTKRSRAAEVHSLSERRRRDRINEKMKALQELIPQSNKSDKASMLDEAIEYLRSLQLQVQRVQLMQMMSMGCGMVPMMFPGIQQYIPPIGMGINRPVMPFPNMLPASTLPANFGPRFAMPPFHMPHVPTPDSFTMQAANLADSNMPTSVGTHDANQQPIPNFTDPYQQYLGPQQMQFQLMQNKAMNQPDVSKSEIRQSGDK >CAK8564871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14847670:14848620:-1 gene:gene-LATHSAT_LOCUS18127 transcript:rna-LATHSAT_LOCUS18127 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKFESRCSANQQIQKSDEENNEEQEFSFAPTDVQEMHIFAEDIFESGKVRALLPTFDQSLQFFPTTNSNASHPRPPLMNIFIKNPINQQSTLSGISKETQNEILQNITTKASSECYEKSNSSGSSNLWRFRKNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKCEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFANMHGLSRNLHPF >CAK8531117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82120975:82122270:-1 gene:gene-LATHSAT_LOCUS929 transcript:rna-LATHSAT_LOCUS929 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQSSSSLLNRASSSPCLSFNRIKCGINLPKLSRISLFSVQKAFNRCIVIKEKTSTILQKNIGSINEDDNVDENLYNFTNSNIATIKLYAILEAVRDRIEMHNNIGQQRDNWNILLLNSINMITLTASTMSAIASCSESDDKPLLALKLSSSLLFSFATGMLLVMNKIQPSQLAEEQRNATRLFKQLHSQIQTKISLGNSNHTEGDVSDAIEKVLAIDKAYPLPLLGGKMIEKFPSKFKASCWWPCHRKITRVNCNKIESNINGWDLELENLMKEIIEVVKRKDIEDYERLGNMALKINKSLSILGPLLTGSAAIGCFFVGGDGMLVNFIPVFGGGLATVVNSFEHGGQVGMVFEMYRNCGGYFELLEEMVEETIEERDLNKRENGEVFEMKMALSLGRSVIEMRELASKSASCRVEECEIDEFANKLF >CAK8563298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590876989:590878193:1 gene:gene-LATHSAT_LOCUS16723 transcript:rna-LATHSAT_LOCUS16723 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVITATTTLVIFLLFSPSSVAILLNKLQLPTPVTGPESLAFDRDGGGPYVSSSDGRIFKYIGPNDGFKEYAYTSPNRNKAVCDGLADFSAIQATCGRPLGLGFNHQTGDLYVADAYFGLVKVGPDGGNVTQLVGPEQANAIRFTDGLDVDPDTGIVYFTVASTNFQLKDFQTLLNSGDNSGSLLKYDPSTNQTTVLLSNLEVPSGVAVSKDGSFVLVSEYLANRIQRVWLKGPRANSSELFTIVAGRPNNIKRNSRGQFWISVNSIVGPPRFPRRSTLPSGVRVTENGLVLQIVSLASEYGTEPASEVQDYNGTLYSGSLFANYATTFSP >CAK8568626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625112533:625115668:-1 gene:gene-LATHSAT_LOCUS21567 transcript:rna-LATHSAT_LOCUS21567 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRIISHSQPNLHRQSSPFDSVKPIPSLNLNLHPKRHHNLRIRASLNETPHSVELRTIPVSAVSNDVVSKDPPSLPRPLSSNQLSSAVSDGSGLRVAYQGVQGAYSESAAQKAYPNCEAVPCEQFDTAFESVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKYAVHHCLMANHGVKLENLKRVLSHPQALAQCENTLTRFGLVREAVDDTAGAAKHVAFHKLQDAGAVASLAAAEIYGLNILAQNIQDDSDNITRFLVLAREPILPGTDRPFKTSIVFSLEEGPGILFKALAVFALRQINLSKIESRPLRKQPLRTSDDNNNRYFDYLFYVDFEASMADQNAQNALRHLMEFATFLRVLGSYPMDTSMT >CAK8572911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571233055:571237188:-1 gene:gene-LATHSAT_LOCUS25417 transcript:rna-LATHSAT_LOCUS25417 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFASSLASKARIARSSTHQIGSRVAWSRNYAAKEIKFGVDARALMLKGVEELAEAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGINMAVDAVVTSLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLLNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLIIIHEKKISSINSIVKVLELALKKQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGQLITEELGLNLEKVDLEVFGSCKKITISKDDTVILDGAGDKKSIEERCEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGILPGGGVALLYASNELSKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAIVSELPKEDKDSPAMPGGMGGMDY >CAK8567615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528963500:528966195:-1 gene:gene-LATHSAT_LOCUS20652 transcript:rna-LATHSAT_LOCUS20652 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVTQALLNAQSIDGTVRKHAEESLRQFQEQNLPGFLVSLSGELANEEKPVDSRKLAGLILKNALDAKDENRKRELVQRWLSLETAAKAQVKACLLQTLSSLVLDARSTATQVVAKIAGIELPHKQWPELIGSLLSNIHQVPAHVKQATLETLGYLCEEVSHEVVEQDQVNKILTAVVQGMNSSEKNNDVRLAATRALYNALGFAQANFSNDMEREYIMRVVCETTMSPEVKIRQAAFECLVSIAAMYYVKLAPYIQDIYNITAKAVRGDQEPVALQAIEFWSTVCDEETDILEEYVGDTTGESDLPCFYFIKQALPALIPLLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARTTGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLIPLVNHALPFMLSALVKDPSNHVKDTTAWTLGRMFEFLHSSIVGTPIINEGNAQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDVGQTSPITPFFQEIVQALLTVTHREDATESRLRASAYETLNEVVRCSTDETAPLVLQLVSVIMMELHKCLEAQNLSSDEREKQSELIGLLCGCLQVIIQKLGSSEPTKYVFLQYADQIMGLFIRVFACRNATAHEEAMLAIGALAYAIGPDFAKYLPEFYQFLEMDLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLRNLSSDNLHRSVKPPLFSCIGDIALAIGDNFNKYLMYAMNTLQIAAETYAHTSAFDLEMTEYINSLRNGILEAYSGIFQGFKNSSKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSRDFLNECLTSDDHMIKESAEWAKLAINRAISV >CAK8536171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913963679:913964482:1 gene:gene-LATHSAT_LOCUS5564 transcript:rna-LATHSAT_LOCUS5564 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFRQYTFDDSFEQVFISNKFLKEYQIALTYATDYDENNIPTNGIFTPNWDTRKVTPETITDLKNKNPDVNIKVYISIGNSGAKFPFCPQNNKTWILNATKSLTNIIKSYEDCSLQVDGIDVLYECIEASPVDFVECVGQLIKNLKENGVVKEASVSPSFALNREYYFSLYKNYSSLIDWVDYQFQNEVVPVFAPNKLMEVYDDLVMEFYPRKKLFAGYSAENEDWATLSPIVFFLGGMNILKKRKGPGISIHYHNYYQQNENNE >CAK8571191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:332090786:332101013:-1 gene:gene-LATHSAT_LOCUS23880 transcript:rna-LATHSAT_LOCUS23880 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTISTRDSRAIHSFPRQNCMASCKILPISCFQPLRASVTPLPPSHKARFVARRRESVSVQQLQRPLIEYMKLPASQYSVLDAERIVRVSEDTFRCYVYTIKFFTFEVCPVLLVKVEQQPRGCCIKLLSCKLEGSPMVAAQNDKFDAIMVNRISCESDSNESLVQQLTSDTVIEVSIEIPFAFKAIPKQAIESAGTQVLEQILKIMLPRFMSQLVKDYQAWASGNASRQPLGTGEI >CAK8571193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:332090786:332100947:-1 gene:gene-LATHSAT_LOCUS23880 transcript:rna-LATHSAT_LOCUS23880-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKILPISCFQPLRASVTPLPPSHKARFVARRRESVSVQQLQRPLIEYMKLPASQYSVLDAERIVRVEQQPRGCCIKLLSCKLEGSPMVAAQNDKFDAIMVNRISCESDSNESLVQQLTSDTVIEVSIEIPFAFKAIPKQAIESAGTQVLEQILKIMLPRFMSQLVKDYQAWASGNASRQPLGTGEI >CAK8571192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:332090786:332100947:-1 gene:gene-LATHSAT_LOCUS23880 transcript:rna-LATHSAT_LOCUS23880-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKILPISCFQPLRASVTPLPPSHKARFVARRRESVSVQQLQRPLIEYMKLPASQYSVLDAERIVRVSEDTFRCYVYTIKFFTFEVCPVLLVKVEQQPRGCCIKLLSCKLEGSPMVAAQNDKFDAIMVNRISCESDSNESLVQQLTSDTVIEVSIEIPFAFKAIPKQAIESAGTQVLEQILKIMLPRFMSQLVKDYQAWASGNASRQPLGTGEI >CAK8544581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693586347:693587354:1 gene:gene-LATHSAT_LOCUS13242 transcript:rna-LATHSAT_LOCUS13242-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLKVSTKTLQWKCVESRVDIKRLYYGRFILSPLLKGQADTIGIAMQRILLGEIDGTCITRAKSEKIPHEYSAVVGIQESIHEILMNLKEIVLRSNLYGTREAFISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKWGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLKIWTNGSFTPKEALYEASRNLIDLFIPFLHAEEENFNLENNQHKMTWPLFIFHDHDRLVKDKLTNTQKEITLKSIFINQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8544580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693585851:693587354:1 gene:gene-LATHSAT_LOCUS13242 transcript:rna-LATHSAT_LOCUS13242 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSAGSCGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIFRSGILLNIIRDVTPIPYNGCRAPKKRRWKCVESRVDIKRLYYGRFILSPLLKGQADTIGIAMQRILLGEIDGTCITRAKSEKIPHEYSAVVGIQESIHEILMNLKEIVLRSNLYGTREAFISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKWGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLKIWTNGKEENFNLENNQHKMTWPLFIFHDHDRLVKDKLTNTQKEITLKSIFINQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8531995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:187969686:187970867:-1 gene:gene-LATHSAT_LOCUS1741 transcript:rna-LATHSAT_LOCUS1741 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDHGENGESHDSEKVEVNIYPLNSYYFGSKHAIPSKDHSLHDHLQRFKSNYAARGMRTCVEAVLMVELFKHPHLLLLQINNSIFKLPGGRLRPGESDTGGLKRKLARKLSLDEDEAQWEVGECLGMWWRHDFETLMHPFLPPNVKHPKECTKLFLVKLPESRRFTVPKNMKLLSVPLCQIRENHKVYGPIISEVPQLLSKFSFNMIGI >CAK8540628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13246813:13247975:1 gene:gene-LATHSAT_LOCUS9614 transcript:rna-LATHSAT_LOCUS9614 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQQSGGSTRISDDQIIELVCKLRQLVPEIRSRRSDKVPASTVLQETCNYIRNLQREVDDLSLRLSQLLSTIDADSPEASIIRSLLNQ >CAK8544652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697669601:697669901:-1 gene:gene-LATHSAT_LOCUS13310 transcript:rna-LATHSAT_LOCUS13310 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYLGGPSDTSLLVKYGQHITNRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEG >CAK8534237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709038911:709042808:-1 gene:gene-LATHSAT_LOCUS3797 transcript:rna-LATHSAT_LOCUS3797 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDSQHHDWFCFMFFFSFLVVASSVDGITVPDNGGLSRDVFPKGFVFGVATSAYQVEGMANKDGRGPSIWDVFIKKPGIVANNGTGEVSVDQYHRYKEDIDLMAKLNFDAYRFSISWSRIFPNGTGEVNWKGVAYYNRLIDYLLEKGITPYANLYHYDLPLALELRYNGLLSHNVVKDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKEYGNCTAGNSGTEPYIAAHNLILSHAAAVQRYRESYQEKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHIGWFIHPIVYGEYPKTMQNIVGERLPKFTQEEVKIVKGSIDFVGINQYTTYYMYDPHQSKPKVPGYQNDWNVGFAYAKNGVPVGPRAYSYWLYNVPWGLYKSLNYIKERYGNPTVILSENGMDDPGNVTFAKGLHDTTRINYYKGYLTQLKKAIDDGANVVGYHAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRTPKLSAYWFKKLLTKKK >CAK8531863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:167559291:167559956:1 gene:gene-LATHSAT_LOCUS1626 transcript:rna-LATHSAT_LOCUS1626 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYQQPTIIEEVRTLWIGDLQYWVNENYLTNCFSHTGEVISIKIIRNKITGQPEGYGFVEFVSHAAAERVLQSYNGTQMPGTEQTFRLNWASFGIDERRPDANPDHSIFVGDLAPDVTDYLLQETFRTHYSSVRGAKVVTDPNTGRSKGYGFVKFADESERNRAMSEMNGVYCSTRPMRISAATPKKTTAYPQNPYAVVAAAVVAPAPASKGRTKLTLL >CAK8542438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:514015080:514016641:1 gene:gene-LATHSAT_LOCUS11278 transcript:rna-LATHSAT_LOCUS11278 gene_biotype:protein_coding transcript_biotype:protein_coding METESQLHVSSTSSQHKEGTSFFKTCFNGLNALAGIGMLSMPYAISQGGWISFMLLIIFAIICWYTALLLGRCMNQQPLIKSYADIAHVAFGYKGRAFIATFLYLELFLILVELLILEGDNLAKLFPNMSFTMIGFKIGSKSAFVLITALIVLPTTWLRSLGALAYISFGGIVTSLILIGCIVWVGEVDGVGFHERGKLVNLGGLSTSMSLFAFCYCAHALMPTICNSMSDRNQYSKVMLVCFAASTVIYGTIGVLGYIMFGDNLKSQITLNLPTNTISTKIAIYTNVINPFTKCAIVITPIINAIEGKWHLCKRRPISILIRTTIVVSSVIVALFVPFFGYIMAFIGAFLSVAISLLFPCLCYLKMHKAARRFGLELIIIVAILVIGTFIGIQGTYTSLVQIVNNVKL >CAK8535027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804843047:804850491:-1 gene:gene-LATHSAT_LOCUS4512 transcript:rna-LATHSAT_LOCUS4512 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLCDDNFEGSKEERQIFTEVFFGDDSFQSSQRRVVSGAINLEHESTVNTFKSFGSSNESSIILRPSSSRFTHHEGDVNIIQHSNETALGCLPERLTCEDLNDEIVNVKRMKFSLHELPCSRSNSEDVLGSSGIPKVVMSNPPCAATDCDSDPIAFWTVESSKHGVVSSCCLLKHNLVQNKYASNNDVDVTKCKSETANGNITKEMSANKVVASPVSQESSANMLAVTSSSITVAKKSFYPLKTEEMAEGFQSSNMIISNSLSKLDKEDPRFILQFHIVQLLTMSGWSIGKLQRPCRRWMESVYKTPKGKTIREFTKVWRLCGHLLSVEKCEVPYEGRKEWAGISDFWSDLLSTLTNVDKSKTQSETAAMLAYQWWLLDPFVVVIYFDRKIGALKRGEVIKASSSLVSSKGKIAYDPVDSAWEDSSRAHSDQKHGQAVFRDSSTATGASKISAFVINNHACNQKSGGNQEDMYVGEHKPNVIETRCREMSVSKSSMDLASLPACALGSTVTQSNASSFDVLPSSVNLDLDSKVTDIHQGKLRDSKSFHKYTSDNRSEYSEEEGRKISVASVFGKDSTWSSSNGILKKKIRRKCKRISQIKLSMLYHSDMLGSTITDQVQSLNGDACGTQAGLEEVQDYVVDSVGKKRNCRKLLGTANQRHIRKTNKSNRCHLEDDDLLVSAIFKNKDFNPKPNRGNSRAKTGKSRGLRKLKSHKGRRCRLLPMNPCYGGKHNKDGKKYYLGERTLLSWLIENRVISLNDIIQYRNPKDSCVTKDGKITKDGIVCKCCSKVLTLSEFKNHAGFTVSRPCLNLFMESGEPFILCLLQAWSAEYKARKSQNQTANVVDNDRNDDSCGLCGEGGELICCDNCPSTFHLACLSAQEIPEGNWYCINCTCRICGNLVIDKEASDTHDSLQCSQCEHKYHEKCLGEGANQEGVVSDTWFCSQSCQEVYSSLQSQVGLVNQVADGFSWTLLRCIHDDQKVHSAQWLSLKAVCNTKLAVALTIMEECFVSMLDLRTGIHMIPQVLYNWGSDFARLNFQGFYTVVLEKQDVLISVASIRVHGTTAAEMPLIATCSRYRRQGMCRLLVNSIEEMLISVKVEKLVVSAIPDLVETWTKGFGFVPVGDIEKRRLKKLNLMVFPGTVLLEKPLYGKKKNEGLCDQSTLASDELFEVEICSEGIGVAESLPQDFGYVTTNEVGGKSEYEPVDCKKEPGNRTDIETDRVDNNQAVEIIPFEGNDVQELITCNIMQIENYCANKDGTESGVGLIEDKNIKIDKVEESALHEHVSMSCKTFSGNNFDTVSNFECSAMYDDESANFGTLANSVKSKES >CAK8565539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263832917:263834002:-1 gene:gene-LATHSAT_LOCUS18742 transcript:rna-LATHSAT_LOCUS18742 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVSTDVAATEIHVVGLPPLGKKRKPNANGPRKSSPAWDHFIKLPNETELVAACKHCHKKYLCDPKSHGTSNMLAHTKICTGRPQNDPTQTAISFASGEGGGLVAASQRFNMAACRKAIALFVILDEHYFRVVEGEGFKLLCKQLQPQLTIPSRRTVARDYFQLFVDEKVRLKGYFKSDCNRVALITDCWTFIQNLSYMTLTAHFIKNDWKYENRILSFCLVPNHKGETIGRQVEEILREWGIRNVSTITEDNATSNYVVVAYLKKKINNMGVLMSDGSFFHLRCCAHILNLVVRDGIKQNELSICAIRNAVRFVRSSPQRSSKFKECIEFARINYKKILCLDVPTR >CAK8578562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638318513:638320962:1 gene:gene-LATHSAT_LOCUS30562 transcript:rna-LATHSAT_LOCUS30562 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLRFLILLALFYLVESSCEDQTLISKAFKSVSGFNSSTLFQTRGSSNCSNSRITQIVLPSKNLTGTISWAYLKNMSKLQILDLSENSLQGHIPSWFWSSFSSLLEINLSRNRFGGSITVELKNTSTIENLNLSHNRFSNLVQLSTFQNLKILDLSHNNLRTLPFGIQNLTKLQHLDLSSCNIKDNIKPISSLLSLHYLDLSNNNLTGTFPTDFPAINNLKFLNISNNNFTFNKPNQNPEELIIIHRKQTKPKTKRFILAVCSTLSTLAIALVFIWVIRIILKKRKQRSKKKKWAISIPGTMNTKVDKTGPFEFETESGSTWVADVKEPTSAPVVMFEKPLMNLTFKDLIVATSHFGKESQMAEGRCGPVYWAVLPGEIHVAIKVLEHVRDVDYDDSVAMFVELSKLKHPNLLTLSGYCIAGKEKLVLYEFMANGNLGRWLHELPTGDTNIEDWTDDTWEFQNIIVEASPEKMGWRTRHRIAVGIARGLAFLHHAGSKPIVHGHLVTSNILLTDNFEPRISDFGLRIDSGYNCDTTGDVYCFGVVLMELLTGKITTSEIIAAARKAAKEGQHVKVLDERLNIEEDSMVSEMVESLMIAFLCTAESPSKRPTMQQVLGLLKDVRPHESFVLS >CAK8563118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574989913:574991151:1 gene:gene-LATHSAT_LOCUS16561 transcript:rna-LATHSAT_LOCUS16561 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNNGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPSYPYQYQQFTSQSTNPIIPHGAQIGSSDAQPNDQEDETPQFCIQGSLETVNLGEEVASVRVVSTHKQRFQQKEDEILIQSWLNVSRDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPSVQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSTSGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKIDLMSMFARDYACIEGEKVEIERKKVDAKIKKAGSAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8540435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560152150:560153803:-1 gene:gene-LATHSAT_LOCUS9440 transcript:rna-LATHSAT_LOCUS9440 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEFHLLLFPDLVYIHPLALNFQSALCFGLFVLFFLFWLTPGGVAWVLYNKVSGLGSGSVRSVIPGPSFSGLFGLLSGQTPHRVLAKLARSHRAESLMAFSVGLTRFVISSEPETAKEILCSSAFGDRPVKESAYELLFHRAMGFAPYGEYWRNLRRISSTHLFCPRRINGFEGFRTDVGLKMVKRIEFLMGDMGSVEVKKVLHFGSLNNVMMTVFGKCYDFFDGDGCELEEMVSEGYELLGVFNWGDHYPLLGWLDLQCVRKRCRVLVTKVNAYVGKIIEEHRMRRMSEGKALVGDFVDVLLDLEEKDKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPEIQAKVQEEIDRVVGNSSVITDVDVQNMRYLQCIVKETLRVHPPGPLLSWARLAVDDVMVGDKFIPKGTTAMVNMWAITHDEKVWNDPEEFYPERFMTEDVSILGSDLRLAPFGAGRRVCPGKAMGLASVHLWLAQLLQRFKWVQSDDSPVDLDECLKLSMEMKSPLVCKVVPRT >CAK8542456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516903984:516904532:1 gene:gene-LATHSAT_LOCUS11295 transcript:rna-LATHSAT_LOCUS11295 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSMAWQKLVMSDLFKGISCKFGHNYPNFWGFLLIKGLQLCKQENLYGLGKYVPKWYLKSDLLS >CAK8571147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:313573514:313575670:-1 gene:gene-LATHSAT_LOCUS23837 transcript:rna-LATHSAT_LOCUS23837 gene_biotype:protein_coding transcript_biotype:protein_coding MNFILPTSLPNPDPGFNVIVTKEEFNLFHSVDRQLFSRLVMELGRETSESINVMAFIMWVERKTKDYNLVLKIFRRWPDVMVRNLADEIVVLLKCIENSEYPNACASESKLLLIQHILCHNVTLEYFHDKRLDVITEITKFINDVCVRAFSDIIEQAYYERAVKEQELYLANVYADTADPQIQVQPQIMYYAPPNAVHMVPQRVVPHYNEFRTTAANTKNNGQDINQILSNLNLDDIYSADTGIVPPDENENKPIDDRTLFITFSKGYPISEIELRDFFTRNCGDIIDRLIMQESNPAEQPLYARLVVRPEAISVIDHFLEYQPRMKFAINGKHVWARKYIHKPLYANPFEAGPSRYFP >CAK8573306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601932119:601932771:-1 gene:gene-LATHSAT_LOCUS25772 transcript:rna-LATHSAT_LOCUS25772-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEALVGSEKDQSDSDEVKKVEIHLFRQGEGPISVFKSELGGLEQNRLDVRQILHKHSLKSIFAFNPRSGRAAPIRFNPKTGKSVLPYRNGAVLYIDSEPKESLLKPLSRILIGVALITIMIMLVSGDIAISSTPEWVQKLNVSGVNFPPLIVACVVVVFSRMRHRTRRFLKRIGL >CAK8573305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601932119:601932804:-1 gene:gene-LATHSAT_LOCUS25772 transcript:rna-LATHSAT_LOCUS25772 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLMKKELSMEEEKEALVGSEKDQSDSDEVKKVEIHLFRQGEGPISVFKSELGGLEQNRLDVRQILHKHSLKSIFAFNPRSGRAAPIRFNPKTGKSVLPYRNGAVLYIDSEPKESLLKPLSRILIGVALITIMIMLVSGDIAISSTPEWVQKLNVSGVNFPPLIVACVVVVFSRMRHRTRRFLKRIGL >CAK8542403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509870945:509874736:1 gene:gene-LATHSAT_LOCUS11244 transcript:rna-LATHSAT_LOCUS11244 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTLCAASSSSPSSSSLTTRLCTYHVFLSFRGDDTRKGFTDHLCASLERKGITTFRDDKDLERGQAISQKLIDAIQDSMFAITILSPDYASSTWCLDELHMIMECSSNSNLQVFPVFYGIDPSDVRHQRGCYEDAFRKHQEKFGQHSDRVERWRDALTQVASYSGWDSKGWHEASLVENMAQYIHRKLVPILPSCIENLVGIASKVDEVNKLVGMRLNDVRFIGIWGMGGIGKSTIARSVYKAIQGEFELTCFLENVRELSETKGLVHIQRQLLSHLSISRNDFHDLYDGKNTIRNSLCRKKVLLVLDDVNEVNQLENLAGKQDWFGLGSRVIITTRDKHLLVTHGVHKIYEAGMLFRNEALNLFCLKAFKGDKPREGYSDLSDEVVDYTGGLPLALEVLGSYLYGRNVDVWHSAIKKIRSVPHPRIQDKLKISYESLDRMEKDIFLDIACFFKGMKKHKVIDLLENCGYCPQIGIEILIERSLISIDSTNDKLDMHDLLQEMGRDIVFQESPNDPSRRSRLWSQEDIDHVLTKNKGTEAINSIAMKLHQPYEACWSTEAFFKTSQLKYLSLCEMQLPLGLSCLPSSLKVLCWRGCPLKALPLKNRLDEVVTIKLSHSKIQQLWQGVKFMEKLKYLNMAFSKNLKRLPDFSGVPNLEKLILKGCTSLNEVHPSLVHHKKTVLMNFEDCKSLKSLPGKVEMSSLKKLILSGCSEFKFLPEFGENMENLSMLALQGTAIRKLPSSLGCLVGLKNLNLKDCKSLVCIPDTIHGLNSLITLNISGCSKLCRLPDGLKEIKSLEELLADDTAIDELPSSIFYLDKLKVLSFSGCKGPLTKSTNWFLPFNWMFASQPDSTGFRFPTSVLSLPSLRNINLSYCNLSDESIPDYFSHLSSLSSLNLTGNNFVTIPNTISKLPKLDLLTLNFCQKLQLLPELASSMTQLDASNCDSLETMKFNPAKPCSLFASLRRLTGIQKLINSFMEGCCLPSARFDMLIPGEGIPPWFVPQRTVSWAKIQVPNNCPVDDWVGFSLCFLLESYNADPELCKHEIECYLFAPNGKKLISTRRLPPMNPCYPHLYILYLSIDQFRDKIVKDDYWSDIEFALKCYCCQSLQIVRCGSRLVCKQDVEDWNKVKSQFNES >CAK8531138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83739155:83739592:1 gene:gene-LATHSAT_LOCUS949 transcript:rna-LATHSAT_LOCUS949 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHINVFDHLSVLNGIVYELETIGVKIDDKNKALRLIWSFPSSYERIKPVLIYGKETLSFEEVSSKIISEKIRLNGEENTSSNSMLVARERLYVKKNNETSVRCWKCGKLGHIKYKCPDGATSKKSSESNASNVSLVVREDDLL >CAK8538099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462830935:462831212:-1 gene:gene-LATHSAT_LOCUS7334 transcript:rna-LATHSAT_LOCUS7334 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFGKSVHPSQIILFASGLLFLASTTYDVHRSIKNNQTPPSEEQLKALEDYIKSVRRSP >CAK8577994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601148866:601152663:1 gene:gene-LATHSAT_LOCUS30045 transcript:rna-LATHSAT_LOCUS30045 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEGKLRFCIDRGGTFTDVYAEIPGHRNGQVLKLLSVDPLNYDDAPVEGIRRILEEFSGEKIPRSSKIPTEKIEWIRMGTTVATNALLERKGERIAVCVTRGFRDLLQIGNQARPSIFDLTVSKPSNLYEEVVEVDERVELVQESEEENKSAYLPVVKGVSGELIKIVKPLDEEVLKPVLKSLLEKGISCLAVVLMHSYTFPQHEQQVERLALGLGFRHVSISSALSPMVRAVPRGLTASVDAYLTPVIKEYLSGFISKFDEGLGKLNVLFMQSDGGLAPESSFSGHKAILSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFQAGPESVGAHPGPVCYRKGGELAITDANLVLGYVIPDYFPSIFGPNEDQPLDVKSTREEFEKLARNINAYRKNQDPSTKDMTVEEIALGFVDVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMKEVLIHKFCGILSAYGMGLANVVEEAQEPYAAVYGTESTAEASQREAVLLRQIKQKLQSQGFKEESISTETYLNLRYEGTDTAIMVKRQIAEDGIPIDYATEFVRLFQQEYGFKLENRNIVICDVRVRGIGVTNILRPQAIEPASGSPIVEGYYKVYFGNGWQETPLYKLEKLGYGHKMSGPAIVMNGNSTVIVEPNCRAIITKYGNIKIEIDSPLSSIKISDKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPNGGLVANAPHVPVHLGAMSSTVRWQLNYWSDNLNEGDVLVTNHPSAGGSHLPDITVVTPVFFNGRLVFFVANRGHHAEIGGITPGSMPPFSKSILEEGAAIKAFKLVEKGVFQEQGIIKLLQFPGSDNTGTKIRGTRRIQDNLSDLHAQVAANQRGIYLVLELIEQYGLETVQAYMNYVQMNAEGAVREMLKSVGRRISSESNENSVTIEEEDYMDDGSVIHLKLSIDSNKGEAVFDFGGTSAEVYGNWNAPEAVTAAAVIYCIRCLVDVDIPLNQGCLAPVKILIPEGSFLSPSDSAAVVGGNVLTSQRITDVVFTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPSWEGTSGVQCHMTNTRMTDPEIFEQRYPVILHKFGLRANSGGDGLNRGGDGLLREIEFRRPVTVSILSERRVHAPRGLRGGKDGARGANYILKKDNRKVYLGGKNSVEVLPGEILQILTPGGGGWGSLV >CAK8563654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622723871:622730159:-1 gene:gene-LATHSAT_LOCUS17039 transcript:rna-LATHSAT_LOCUS17039 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSMDSGRGVGGVVAGTVLVPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFFVDGEWRHDEHQPYISGEYGIVNTVLLPTDPNFIPVLPPDIVSGSNMDVDSETFRRVVRLTDGTLSELMPRVSAVDIQTSRQRIAAYLSMQTAYELLPESGKVVTLDVDLPVKQAFHILHEQGIPMAPLWDFCKGQFVGVLSVLDFILILRELGNHGSNLTEEELETHTISAWKEGKWTVFSRRFIHAGPYDNLKDIALKILQNGISTIPVIDSSSEDGSFPQLLHLASLSGILRCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGESNRRHLAMLRPNASLTSALNLLVQDQVSSIPIVDDSDSLLDIYCRSDITALAKDRAYTRINLDEMTVHQALQLGQDAFNPNESRSQRCQMCLRTDSLHKVMDRLSKPGVRRLVIVEAGSKRVEGIISLTDIFKYLLC >CAK8573888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644447629:644452364:-1 gene:gene-LATHSAT_LOCUS26285 transcript:rna-LATHSAT_LOCUS26285-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGDWVLVRAPSLKHMWKPSLPNADDLDEEPSRPLKVTFSSPAKYWTDAIPIGNGRLGAMVWGGVHTDVLQLNEDTLWTGVPGDYTDKNASEVLAEVRKLVDGKKYPEATTAAEKLSGIPSEVYQLLGDIKLEFDDAHLKYSEESYHRELDLDTAKAKIKYNVGDVEFTREHFSSNPDQVLVTKISTSKSGSLSFTVSLDSKLHHNSRLSGQNQIILEGSCPVKRIAPHLNYTGEPKGIQFCAVLDLQISNGKGVIHALDDKKLRVEGADWAILLLTASSSFDGPFTYPEDSKKDPTSESLSKMKSVKSFSYDNIYARHLDDYQHLFHRVSLQLSKSSKTNISQLGGNDTVPTSSRVKSFQNDEDPSFVELLFQYGRYLLIACSRPGTQVANLQGIWNEKVSPAWDGAQHLNINLQMNYWPSLSCNLHECQEPLFDYISSMSVNGSKTAKVNYEANGWVAHQVSDLWVKTSPDRGQAVWALWPMGGAWLSTHLWEHYTYTMDKEFLKNKAYPLLEGCTSFLLDWLIEGPGGRLETNPSTSPEHMFTAPDQKQASVSYSSTMDISIIKEVFSIIISAAEVLGRYDDAIIKRVIASQSKLPPTKIARDGSIMEWAEDFQDPEPNHRHVSHLFGLFPGHTIDLEKTPDLCKAVDNSLIKRGDDGPGWSTTWKAALWANLHNSEHAYRMIKHLIILVDPEHEADYEGGLYSNLFTAHPPFQIDANFGFSSAIAEMLVQSTTKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWKEGNLHEVGLWSQNQNSKMRLHYRGSMVLANLSPGRVYSYNNGLKCVKTYSLNEISP >CAK8573886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644439149:644452364:-1 gene:gene-LATHSAT_LOCUS26285 transcript:rna-LATHSAT_LOCUS26285 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGDWVLVRAPSLKHMWKPSLPNADDLDEEPSRPLKVTFSSPAKYWTDAIPIGNGRLGAMVWGGVHTDVLQLNEDTLWTGVPGDYTDKNASEVLAEVRKLVDGKKYPEATTAAEKLSGIPSEVYQLLGDIKLEFDDAHLKYSEESYHRELDLDTAKAKIKYNVGDVEFTREHFSSNPDQVLVTKISTSKSGSLSFTVSLDSKLHHNSRLSGQNQIILEGSCPVKRIAPHLNYTGEPKGIQFCAVLDLQISNGKGVIHALDDKKLRVEGADWAILLLTASSSFDGPFTYPEDSKKDPTSESLSKMKSVKSFSYDNIYARHLDDYQHLFHRVSLQLSKSSKTNISQLGGNDTVPTSSRVKSFQNDEDPSFVELLFQYGRYLLIACSRPGTQVANLQGIWNEKVSPAWDGAQHLNINLQMNYWPSLSCNLHECQEPLFDYISSMSVNGSKTAKVNYEANGWVAHQVSDLWVKTSPDRGQAVWALWPMGGAWLSTHLWEHYTYTMDKEFLKNKAYPLLEGCTSFLLDWLIEGPGGRLETNPSTSPEHMFTAPDQKQASVSYSSTMDISIIKEVFSIIISAAEVLGRYDDAIIKRVIASQSKLPPTKIARDGSIMEWAEDFQDPEPNHRHVSHLFGLFPGHTIDLEKTPDLCKAVDNSLIKRGDDGPGWSTTWKAALWANLHNSEHAYRMIKHLIILVDPEHEADYEGGLYSNLFTAHPPFQIDANFGFSSAIAEMLVQSTTKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWKEGNLREVGLWSQNQNSKVRLHYRGSIVMANLSPGRVYSYNNGLKCVKTYSLNEVSP >CAK8573887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644439149:644444074:-1 gene:gene-LATHSAT_LOCUS26285 transcript:rna-LATHSAT_LOCUS26285-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDWVVVRAPSQKHMWKPSLTNADDLDEEPSRPLKVTFSGPAKYWTDAIPIGNGRLGAMVWGGVHTDVLQLNEDTLWTGVPGNYTDKNAPEVLAEVRKLVDDKKYPEATTAAEKLSGLPSEVYQLLGDINLEFDDAHLKYSEESYHRELDLDTATAKIKYNVGDVEFTREHFSSNPDQVLVTKFSTNKSGSLSFTVSLDSKLYHNSRLSGQNQIILEGSCPFNGAPKGIQFCAVLDLQISNGKGVIHALDDKKLRVEGADWAILLLTASSSFDGPFTYPEDSKKDPTSESLSKMKSVKSFSYDNIYARHLDDYQHLFHRVSLQLSKSSKTNISQLGGNDTVPTSSRVKSFQNDEDPSFVELLFQYGRYLLIACSRPGTQVANLQGIWNEKISPAWDGAQHLNINLQMNYWPSLSCNLHECQEPLFDYISSMSVNGSKTAKVNYEANGWVAHQVSDLWVKTSPDRGQAVWALWPMGGAWLSTHLWEHYTYTMDKEFLKNKAYPLLEGCTSFLLDWLIEGPGGRLETNPSTSPEHMFTAPDQKQASVSYSSTMDISIIKEVFSIIISAAEVLGRHDDAIIKRVIASQSKLPPTKIARDGSIMEWAEDFQDPDPNHRHVSHLFGLFPGHTIDLEKTPDLSKAVDNSLIKRGEDGPGWSTTWKAALWAHLHNSEHAYRMIKHLIILVDPEHEADYEGGLYSNLFTAHPPFQIDANFGFSSAIAEMLVQSTTKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWKEGNLREVGLWSQNQNSKVRLHYRGSIVMANLSPGRVYSYNNGLKCVKTYSLNEVSP >CAK8537090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:79127947:79128413:-1 gene:gene-LATHSAT_LOCUS6406 transcript:rna-LATHSAT_LOCUS6406 gene_biotype:protein_coding transcript_biotype:protein_coding MAYALSTESEESYITYNMLKVTRHLFRWTKEVSNVDYYERALTNGVFGVQKGTNFGFYFFMFCCCVSDYSILRRFVDYCDSNVML >CAK8576856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:520261871:520294716:-1 gene:gene-LATHSAT_LOCUS29019 transcript:rna-LATHSAT_LOCUS29019 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSVDAALWWDSFTLLFTELENSSLSSDLPLNLAKKLKDNHAWFLNALYCFKPPNQKSKEALNSKKLKIGSHQLTIQSHLKDKALQISSCLLLDEVQSYIVVERFIEHNSAAADSTSPEFLHTILIQYYKERQCLLKCIRWILMSAIYVGPVSENNSVKEEARELFHDGLESKLVSFLEGLLSCSYPEQMDVDLFTLWAEETLIEDNLVLDILFLAYYDPFCMCSSGIWKKFGSIYKGILAGEYNLGKLSITSEAQQLSYHVKVQLLLILIETLNLENLLQMVHDETPYRKGASTFSFTDVQEMDTLVSTFNAFEMNEAGPLVLAWAVFLYLLSTLPGKDGNNELTEIDHIGYVRQAFEAGSLHYCLEILQCDILKDYDGPVSGYRSVLRTFISAFIASYEINLQPEDGNSALILDIICKIYRGEESLCIQFWDKGSFIDGPIRSLLCNIESEFPFRTVELVKLLSSLCEGTWPAECVYTFLDRSVGISSLFEISSDLLADDVYHILQTQQAVQVPGIEGLFVPSGTRGRVLKVVGEKTALVRWEYSPSGVYVLLLHLAQDMYLNNKEEVYFTLDLLNRLVSFNTAVCFAMMDISNSMQFHDIDLTNEQVEKNVWVVEMICNLVKNLPLNSYGAALMSMCVKILGVMLICSPSNVTAVALNANLFDITLQTAVFSVSSNVLSSGSWLLSGRLARMLLIDCEQNSNDYPLTISVLDFTIELVETGVENDVLLALIIFSFQYILVNHEYWKYRMKHIRWKITLKVLELMKKCIILMPYCRKLGEIIHNVLFSDASIHNTLFHIACTTAHALEKLNASRFFDPMEIEGLQLAIGSVLDILSEMTTKLSKDTSSSIPVFLQAVFSCTTKPVPVVTSAISLISYFRDPVIQLGAVRFISTLFATTDCVQSFSCETTYFAPDNQEIINLRHSMSHILREKSESNEDLFVATVNLLTSAANYQPSFIVSILAPGENNQDRSSIDDAKLQRNEISVPKGSILIDALINYIERADDLIKSKPRMLLCVLNFMTALWQGAPQYANLLESLRSHVNFWKHLANAITNTASSENLIFKSLKGKAALNLAYSFRCQSAILGIMAYELFLQKKLLHSESLVKNTAESKDKEQNATRTEKSKATDFHDLKGVWSSWFKDSVLEKLIKTYTSCGHNNDVYDGAKVATSLFCVHVMLKLAVNNSGSLSVSLLQKIHGIVTKLTIHPAFSELLSQYSHRGYSEGKELKKLILNDLYYHLQGELEGRKMGIGPFKELSQYLVESNFLGSYQQQFSEDFFAKNMFLFDLTQLRADLNLGAWDCSDWRTSKEIAETMLRFLQDANTVMLLSSSKLSALKELIAVLAVYHDDSKGRATTGEKIQNELIFTCIDNTCQSFLATIETLSPVLDASEDMLNILACQVELLLLLTRIVCKGLSTDISLLVMKCASSGLKLLSELKLLPSKANLIMKLLLTLLLLVLQSNSLYLNFDAAADEGSGKDFSMVSNATLGLLPILCNCITTSEHCMISLSVMDLILGSFLMPITWLPVLQNHLQMQFVMLKLQDKNSSTIPIIMKFFLTIARTRGAAEMLYCSGFLSSLRVLFSQSGEAFLRTGSPDMITSEKLEIPQDIWGLGLAVVTAMVQSLGDSSSGTAIVESMMPYFFSEKAHLIFNSLDAPDFPSEDHDKKRPRAQRPWISYATLKETEHTLMLMCELAKHWNSWIKAIKNVDKQLREKCIHLLAFISRGTQRLGEPSIRSPPLLCPPTLKEDFETCSKPSYINSRSGWFALSPPGCVPKPKISSFSTALSIYGQTADTTGPVPKTCFSDTVAVQVYRITFLLLKFLCLQAEGAAKRAEEVGFIDLAHFPELPMPEILHGLQDQAIAIVVELCQAHKPTESLEIKSVCNLLLQILEMALQLELCVLQICAIRPVLGRVEDFSKEAKSLFSALEGHAFLKASRNSLKQMISCIYPGLL >CAK8538464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483694214:483703626:1 gene:gene-LATHSAT_LOCUS7656 transcript:rna-LATHSAT_LOCUS7656 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSVGPLVPAVVKPEPSKNFSTDTTAAGEGFPVPTMSELDKDFLCPICMQIIKDAFLTACGHSFCYMCIITHLRNKSDCPCCGHYLTNSNLFPNFLLDKLLKKTSDRQISKTASPVEHFRQAVQKGCEVTMKELDTLLLLLTEKKRKMEQEEAERNMQILLDFLHCLRKQKVDELKEVQTDLQFIKEDIGAVEKHRMDLYRARDRYSVKLRMLDDSGGRKSRHSSIDLNSSGLASSPLNLRGGLSSGSHTKKNDGKSQISSHGHGIQRRDPISGSDSQYINQSGLALVRKKRVHTQFNDLQECYLQKRRQAADKPHGQQERDTNFISREGYSCGLDDFQSVLTTFTRYSRLRVIAEIRHGDIFHSANIVSSIEFDRDDDLFATAGVSRRIKVFDFSAVVNEPTDAHCPVVEMTTRSKLSCLSWNKYAKNQIASSDYEGIVTVWDVTTRKSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTNQEASVINIDMKANICCVKYNPGSGNYIAVGSADHHIHYYDLRNISRPVHVFTGHKKAVSYVKFLSNDELASASTDSTLRLWDVKQNLPVRTFRGHANEKNFVGLTVSSEYIACGSETNEVFVYHKEISKPLTWHRFGTLDMEDAEDEAGSYFISAVCWKSDRPTILTANSQGTIKVLVLAA >CAK8538870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498532882:498533262:1 gene:gene-LATHSAT_LOCUS8021 transcript:rna-LATHSAT_LOCUS8021 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYKEYDPFIISHIVKKVYYIPYPSTVPRKRGWSVVIKTKPLGHIETGNPLEDVAYQVDELEQINDVIEVEQITNFSDTTIEGHQVDASILLDENDNHDEHEEFGSEDNITSDDDSDMDEHDFE >CAK8543279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594993073:594995496:-1 gene:gene-LATHSAT_LOCUS12046 transcript:rna-LATHSAT_LOCUS12046 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIPSGEVSTNRVIEDEYSINDSPIEQVRLTVPITDDPSQPALTFRTWILGLASCALLASVNQFLGYKTNPLKITSVSAQIITLPLGKLMAATLPTKLIQVPLTTWSFSLNPGPFSMKEHVLITIFASSGSNGVYAISIITIVKAFYHKNIHPVAAYLLALSTQMLGYGWAGIFRRFLVDSPYMWWPENLVQVSLFRSFHEKDKRPKGGTSRLQFFFVIFVASFAYYIVPGYFFQAISTVSFVCLIWKDSITAQQVGSGMKGLGVGSFGLDWNTVAGFLGSPLAVPGFAIINIVAGFLLYIYVLIPIAYWNNLYDAQKFPLISSHTFDSTGATYNVTRILNTKTFDIDMDSYTNYSKIYLSIVFAFDYGLSFATLTATISHVVLFHGEMILQMWKKTESSLKNHLGDVHTRIMKKNYEQVPEWWFVSILILMVMMALVACEGFGRQLQLPWWGILLSLAIALVFTLPIGVIEATTNTKSRLNVITELVIGFIYPGKPLANVAFKTYGHISMVQALGFLGDLKLGHYMKIPPKSMFIVQLVGTVVSSSAHFGTAWWLLTSIENICDESLLPKGSPWTCPGDEVFYNASIIWGVVGPKRMFTKDGIYPGMNWFFLIGLLAPVPVWLLSIRFPNQKWIQLINFPIIIGGASSIPPVRSVNYISWGIVGIFFNFYVYRKFKAWWARHTYILSAGLDAGVAFMGLVLYFALQSYGIFGPTWWGLEADQCPLARCPTAQGVHVEGCHVP >CAK8578504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633892178:633892777:-1 gene:gene-LATHSAT_LOCUS30509 transcript:rna-LATHSAT_LOCUS30509 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQLNNSTCSKTQLHSSSQLSFLSSTRTRTLPRHYHSTFAPLHRAQHARISCSVAPNQVQVPAAQTQDPKGKPDCYGVFCLTYDLKAEEETKSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVALELEDSLFPLLREVVISIDPYEVFQDAEWALLIGAKPRGPGVERAALLDI >CAK8576030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:387718576:387724467:-1 gene:gene-LATHSAT_LOCUS28248 transcript:rna-LATHSAT_LOCUS28248 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVDMSNSSMSSITGKKLYEKQLVASRPKGFAQRFSEPLVSLRHGDFRTTVGRAAFFLVKVAALETVRRFSKSRCPCVWRGLQGLQILVYRPFQWFQRWSTFRSLVKSMEELSTPLIVLSIATAFTDQLECSDGTSDNITDSRDSEISAAEPSPVQDSLNTSQLERAPEVLEYEKWLTQLDQELENQGISLPERINDDELHRFYAASNNDFSCFLTSIKKTIHWRKYYKILSVEELETWSNMVFWHGSDLLHRPCLIVRLGMACSSLASQDRPQFARAIVSQVEYGVLHLVDRDNPQITVLVDCEGLSPLRIPMQILRSCAYILQDHFPNRLGCLFVIRLPAIARVIAQTFIQVIKPVTKKKLKIEGEMYKKALYDNLPTIPSYLGGDCTCASCSKMGKLEMVQSHETGTSSSRVVREDDVSDNEHFPSLCLHPSIELDDHQSYEKLLRTAAISILVFMIVIALCKESL >CAK8566580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438327325:438331930:1 gene:gene-LATHSAT_LOCUS19701 transcript:rna-LATHSAT_LOCUS19701 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHNMLGVVLNDILHTVAPSQEDWEIRLAIINDLRSTVESVESLRGATVEPFGSFVSNIFTRWGDLDISIELLNGSHIASAGKKQKQTLLVNFLKALRMKGVCTHFQFIPHARVPILKFKSVRQGISCDVSINNLPGLMKSKFLLWINRIDVRFHDLVLVVKQWANAHKINNSKTGSFNSFTLSLLVIFHFQTCVPAIFPPLKDIYPTNMVDELRGVRVDAENLIAETCHANINKFISNKLRPINRSSLPELFIDFLRKFAQIDSWAAEFGICTYTGHSERIENNMRWLPKTSAIYVEDPFEQPENSARSVSAGQLKKIGEAFLTTYNLLISNNQNQSSILTQLVPPHVLRFIARPVIPYYNGRPSIPNYNSGYPLLTQPQVQRGVLPHPHSERHAQNGRQGASSNGSTSKRGANSNGSTSKRGANSNGSTSKRGANSNGSTSKHRANSNGSTSKQGASSNGSTSKQGASSNGSTPKPGASSNGSTSTIPTKGRQGTQQQAWRPKAHTQA >CAK8574562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2294961:2300201:1 gene:gene-LATHSAT_LOCUS26894 transcript:rna-LATHSAT_LOCUS26894 gene_biotype:protein_coding transcript_biotype:protein_coding MSIINHAMSIRRSNTNTFFPFRTLNCSNSNPNNRSVAAGGFGDKTNKPNKKGSQSQRNQRELSTKQSQAPRLTSKLDGKSTNISSDIDFEERLKAVRRSALEKKKAEEKEEFGAIDYEAPISSDNKTIGFGTKVGIGVAVAVFGLVFALGDFLPSGSPNEDTAVVNDKLSEKDKAALQSRLKEFEATLSNSPRDPTALEGAAVTLAELGEYSKAASLLDDLAKEKPNDADAFRLLGEVKYELKDYDGSVAAYKSSAKVSEDVNFEVLRGLTNSLLAAEKPDEAVQLLLACRDRLSSKDLSNKADRSPTDSQKLDPVQVELLLGKAYSDWGHVGDAIAVYDQLISTHPDDFRGYLAKGIILKENKSIGDAERMFIQARFFAPGKAKALVDRYSR >CAK8570586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63726742:63727054:-1 gene:gene-LATHSAT_LOCUS23323 transcript:rna-LATHSAT_LOCUS23323 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRDLHLRTNWVATSVGVEIFWPDYHLLMTLDAAVVELMRFFLIHGSVYRKCYCIFVSLVRAIKDSLYSKL >CAK8534139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698208228:698208992:-1 gene:gene-LATHSAT_LOCUS3706 transcript:rna-LATHSAT_LOCUS3706 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQFHAKKEITPIFTKSNNNNGNGMLPPHLKINRDSHFIKKSSSSPPSSSSSSSSTSSTMINSMATSNKPPQQHRHPVIIYTHSPRVIHTEPKDFMQLVQKLTGLTRSEEKVKNDENNIVSSSQHQPPKQESGTSNMVGDKENDQKKVVMQRNEDNDVSSSVITDEHIYGNNIGENRENQYFIATEAPMFEPPFNPYLTNYLASNSAEFLYSSPPLLPLLNYSDSFFSHNMTAGLPNSNTLEGMNEFGDYGL >CAK8531928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177239481:177241215:1 gene:gene-LATHSAT_LOCUS1683 transcript:rna-LATHSAT_LOCUS1683 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDENHKACTLDPNLNLELGLGLGLHVQKNVNKSLVNSKNDLNNHNKAYELSLKRSYAEEEVEEEDEEIAINTTNDRNGCTKKLRLSKEQSTMLEDAFKLHNTINTAQKRALAEKLNLKQRQVEVWFQNRRARTKLKQTEVNCIFLKKCHEKLSEENLKLKKELEELRALKVEAPNTTQSSSKAANWNICSSCKKIWKPNEEEDVVRKSSHSTIELD >CAK8540585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11463583:11467499:-1 gene:gene-LATHSAT_LOCUS9577 transcript:rna-LATHSAT_LOCUS9577 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRNTSTPISSSSSSSCCSRFWSSALRSKRLASPAEKAARDSSGHGLSRRLGVFDLILLGIGASIGAGIFVVTGTVAHDTGPGVTISFILAGASCVINALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFSQLMLDYHIGAASIARSLASYVISFIEIFPVFKDNIPNWIGHGENIGNVLSINALAPVLLMLLTLILCLGVKESSTVNSFMTVTKVIIVIVVIIAGAFEVDVSNWSPFAPNGVRSILTGATVVFFAYVGFDAVANSAEESKKPQRDLPIGIIGSLLVCIALYIGVCLVITGMVPFNLLGEDAPLAEAFKSKGLKFVSILISIGAIAGLTTALLVGLYVQSRLYLGLGRDGLLPAIFAKVHPRRHTPIHSQIWAGCIASIMAGLLNVHTLSHILSVGTLTGYSVVSACVVVLRWKDKTNSQVSTSAKREGVFCLIVVALSGFTAGLLFRYEVSIIFVIVAIVVAVGASLALVFRQVYGDSVGFSCPGVPIVPNICIFFNMFLFGQLHHEAWVRFVILSFIMVGVYAIYGQFHGDPNADETIIYHEAPAE >CAK8532066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:198109986:198110825:-1 gene:gene-LATHSAT_LOCUS1803 transcript:rna-LATHSAT_LOCUS1803 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRSQHLIRKFSELLVFGETATALLDTIGSPRSPFEMNSRMQIQSPKFGGGVGLGIVVALDKSEETGYEVVPKQHVCTSPIPIKHQQNRLFENAIFVGSPEDYTYVTYRDESNKPITKVFYDGGEESRILRHDYYYNDNNNTSNKNHINKNVGVFRRSPPTQTFLEAEPSFLTLDFLHSCHLCKMNLDGKDIFMYRGEKGFCSTECRSKQIMMDERKEKCRSEASKSRMELSSSSYTKDQMFSTGIMAL >CAK8535880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888590287:888591765:1 gene:gene-LATHSAT_LOCUS5299 transcript:rna-LATHSAT_LOCUS5299 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRWVNLFIIILSCVLIATHVFSDSNAMPNFLDLAREPQVFDWMVDIRRKIHENPEVGYEEFETSKLIREKLDELGIPYKHPVAVTGVVGYIGTGLPPFVALRADMDALLMQELVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILKNHEKYFNGTFVLVFQPAEEGGGGAQKVLDAGVLENVSAIFGIHMHPNLPLGEVASRSGPLFAGSGNFEAIISGKGGHAALPHNTIDPTLAASNVILSLQHIISRESDPLDPQVLSVAKFQGGAALNVIPDSVIIGGTFRVFSRKSFMRMKHRIEQVIIAQAAVYRCNATVTFFDEEKPFFPPTINDAGLHDFFHSVAGSLLGHDKVKGIELIMGSEDFALYQEAFPGYIYFLGMEDVSVEHLAMAHSPYYKVNEDSLPYGAALHASLAVNYLAKLHQGVAAVEEKYHDEL >CAK8542773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545270482:545271291:1 gene:gene-LATHSAT_LOCUS11581 transcript:rna-LATHSAT_LOCUS11581 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYINLKLISCKDIQAFNFFQKLTLYAQVSISSNNPRIQLNERNTQQQRTPTHRDADEDGTNPEWNYDMLFDLAFLSQHSDPAEFFLCFEFRHDGLILGDKFIGESRVPITDLISDVDGITRFVSYEIRSAEGKPNGIFNFSYKMEGVGKQSSQILDGRISGYPVLTPEDCAPAPVQYPISEIDRPCYYSTGFVQERPFYAPVAAIEAAAPPVSYPFAGECYYYHPPPPPPSMYPYPPPPPPRERLYPPIEPETSHWQSGPYFENRW >CAK8563412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601376012:601380074:1 gene:gene-LATHSAT_LOCUS16828 transcript:rna-LATHSAT_LOCUS16828 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCEYCRTIKRKGRVYILCTANPKHKQRQGMSTFADEAASHQPSVEISSSCATQEIKPLYTFRTGVASIIPQRHSLSMLYGWRVGLASILSKK >CAK8535695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875155173:875156318:-1 gene:gene-LATHSAT_LOCUS5127 transcript:rna-LATHSAT_LOCUS5127 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIKVVRDINDSKDLWKIVVRSKHMWTVASSSNKEHLELILLDSKLDMIQVTVPLHLYSKYLVELIVGSSYIIQNFKVSNKNFSFKSTNHGFKLVFCGSTSVKKAELPNIPVNYVNILSLSSIADGRFQSNLLFNVVGGVIEIVPTQIMADNNKSKVVFMITDMSKTLVQYTIWDQLALEFYQYYKSHNEEENVVLLQNARIKGA >CAK8530763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47632540:47633220:-1 gene:gene-LATHSAT_LOCUS605 transcript:rna-LATHSAT_LOCUS605 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEILKLFDTCWFGLQNLKKRQSSSTSKGSHQSNEESSKESKLSRIRTNHTRSMSDQLNNMTTFKHDSLSPKSVLFETKLQTIPSGKDVTDYIEAENQTQLQLQVLPKKNIVRNRRKRESKSLSDLEFEEVKGFMDLGFVFSEEDKDSSLVSIIPGLQRLGKNDEDCSDESVIQRPYLSEAWEVRERRMKEKPLMNWKIPAPTNDVDMKYSLMCWAHTVASTVK >CAK8564188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655806593:655810374:-1 gene:gene-LATHSAT_LOCUS17515 transcript:rna-LATHSAT_LOCUS17515 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHASSQSDIDEIENLIYASPATVLPARPPSPPRASIPVSSSSPFINSNLPNPAPPKSSYQPQIPKPPSSSSLPPPPPPSTRPDISNSGFGPAPNTLTEPVWDTIKRDLSRIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLILSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMLKNNVILKMVVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >CAK8573657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627145588:627147734:-1 gene:gene-LATHSAT_LOCUS26073 transcript:rna-LATHSAT_LOCUS26073 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDPREEVIQAWYMDDSNEDQRLPHHKEPKEFVSLDQLAELGVLSWKLDADNPDTDPELKKIREERGYSYMDVCEISPEKMPNYDAKIKSFFEEHLHIDEEIRYTVAGSGYFDVRDRNEAWIRVWVKKGGMIILPAGIYHRFTLDEGNYIKALRFFVGEPVWTPYNRPHDHLPARGEYIKNFVEKDVANHTVGATA >CAK8566159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386594219:386594566:1 gene:gene-LATHSAT_LOCUS19318 transcript:rna-LATHSAT_LOCUS19318 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFIALIPKLESPQGMEDYRPISLIGCVYKVIFKILAARLSKDIGRLISVSQMTFVPGRQLLDEVLVANKLVNFAKRNKRCCLMLKVDFAKANNCVDWGFLEHIMLAMGFGLK >CAK8540201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544838992:544839360:1 gene:gene-LATHSAT_LOCUS9229 transcript:rna-LATHSAT_LOCUS9229 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFPTKFHKSFFQINFHIIMPTNGPQLWPVNVVNPINPPVMQRSIDRPKKNRNKVNDEPRIRNTLPRTLQTVKCKKCESFGHNKQTCKGKRAAERAIPKGGNKKPLKKGVTSRARKRDKLL >CAK8532759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510801596:510802420:-1 gene:gene-LATHSAT_LOCUS2432 transcript:rna-LATHSAT_LOCUS2432 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPNRLLSVHIMHTALVAGWAGSMALYELVVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAATHIVFLGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFINPTWGVDDFDPFVPRGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELSNFFRTG >CAK8542717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540286892:540287173:1 gene:gene-LATHSAT_LOCUS11531 transcript:rna-LATHSAT_LOCUS11531 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPDQITAFPSTLSDARGAGGKLRKPPPRKPPASPYTRPSLTANRRWISKLVDPAYRIIAGGATRFLPSYSLPTKIKVNRELMNSTVKIICS >CAK8566026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368893418:368893811:1 gene:gene-LATHSAT_LOCUS19194 transcript:rna-LATHSAT_LOCUS19194 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSNQTLIDEVQDELANERDVQEGHQDHREENDDMISGEPVRDNVADMEASLKRPRKLKSLETCN >CAK8566373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414960674:414961027:1 gene:gene-LATHSAT_LOCUS19506 transcript:rna-LATHSAT_LOCUS19506 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGVMEDDVAYQDDEISPVNGLIEIEEITSLGDTVVVGQQVDATILLSANHVEEEEEESGDSERRQYRI >CAK8578205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612319581:612319892:1 gene:gene-LATHSAT_LOCUS30238 transcript:rna-LATHSAT_LOCUS30238 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKDEPVIVATARSSTSSSCCCSMTKLMRKLLMKRSRSRIRQGSFQCCYDPLSYSLNFDTSDCGSLLDEDYYYKFCAFSSRFVANPTPLQVATGKGTLSISS >CAK8565312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:113449507:113454268:1 gene:gene-LATHSAT_LOCUS18535 transcript:rna-LATHSAT_LOCUS18535 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKSSCTLLFFFAILTHLLLNSHAITNPIKTVVVLVMENRSFDHMLGWMKKLNPEINGVTGSESTPLSVTDPNSKRIFFKNQAQYVDPDPGHSFQAIREQIFGSEDTSANPAPMNGFAQQAYSMDNTTTMSQDVMNGFEPDMVSVYKTLVSEFAVFDRWFASVPASTQPNRLYIHSATSGGATSNVASLLAKGYPQQTIFDSLHDNGHNFGIYYQDLPATLFYRNLRKLKYTLKFHPYDLSFKNDAKNGKLPNYVVVEQRYTDTKLFPGNDDHPSHDVYQGQKFVKEVYETLRGSPQWNETLFLITYDEHGGFYDHVATPVRGVPSPDGIVGPEPFNFTFNRLGVRVPTIAISPWIEKGTVIHGPNGSPTPTSEYEHSSISATVKKIFNLPNFLTKRDQWAGTFEGIVQTRKQPRTDCPVQLQAPVKLRKGEANEDAKLSEFQQELIQLTAVLKGDNIFTSYPNTIGKDMTVKQGKNYMDESVKRFFEASRYAKKMGASDEHIVKMKPSLTTRSSKSSKNTNP >CAK8573509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617149616:617152835:1 gene:gene-LATHSAT_LOCUS25951 transcript:rna-LATHSAT_LOCUS25951 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQRQRLAKKRFKAENPALFPKPEPTPPKDPSKKKKNKFKRKKADSKDKPRTGKRPLRVPGMKPGDSCFICNGLDHIAKFCTEKAEWERNKICLRCRRRGHRAQNCPDGSKDVKFCYNCGDNGHSLANCPYPLEDGGTKFAECFVCNEQGHLSKNCPKNANGIYPKGGCCKLCGGVTHLAKDCPDKGKNGFGGAAKGAVHNLLRTDERPKGQVTKFVSGDDMEDDFTTQEIKDDEKNKSAKSKDGNVKPKKGPKIVNFV >CAK8561012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72266482:72266775:1 gene:gene-LATHSAT_LOCUS14640 transcript:rna-LATHSAT_LOCUS14640 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFYTFPSKASKYEDSSMNTSSSMGSMDSHNFEGLAGECQADEATAEDFWDAMIQSLKEKRKAKN >CAK8567388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509967122:509970517:-1 gene:gene-LATHSAT_LOCUS20448 transcript:rna-LATHSAT_LOCUS20448 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQGSNGNNNRVVAVNVTPSGTPSPPNHDSSKLDQTPGWKKFFTYVGPGFLVSLAYLDPGNMETDLQAGANHGYELLWIILIGLIFALIIQSLAANLGVCTGKHLSEVCKAEYPLFVKYCLWILAELAVIAADIPEVIGTAFALNILFHIPVWGGVLMTGCSTLLFLGLQRFGVRKLELLISILVFVMAACFFGEMSYVKPPAAGVVEGMFVPKLNGNGAVADAIALLGALIMPHNLFLHSALVLSRKIPGSKRGINDACRYFLIESGFALFVAFLINVAMISVSGTVCTAKDITPENADRCSDLTLNSASFLLKNVLGRSSSVIYAIALLASGQSSAITGTYAGQFIMQGFLDLKMKKWIRNLLTRIVAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSIIIIVISWILGLGIIGINVYYLITAFVGWIIHSSLPKVANVFIGIIVFPLMALYIVSVIYLTFRKDTVKTFVEVKDDPAMQTHLEKGFVSDGQLELSNAPYRDDLVDVTLPEGPRL >CAK8533107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:578271436:578272767:-1 gene:gene-LATHSAT_LOCUS2755 transcript:rna-LATHSAT_LOCUS2755-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSSKRSLPMWSFYNGGICGNSPHSFNESLRIQELPLLSDNGSSSASGEEPQDADYVLPLPYLSDEIETMILSRFPISKHWKMCCLNKKYLNLMKSGEIYKIRRTIGLKESSVFMLASGERNWCVFDGEFKSCKKLPIIPSDYKFECGVKEPFSAGTHLFVSGMEIDGTVIWRYELTTNEWFKGPSMITPRCVFASASSNTFAYIAGGLETENCIEVLNSAEKYNSENQTWQKLPNMNQKRKCCSGCYLDNKFYVIGGQDENRKNLTCGEFFDEKTNKWNLIPNMLKGIVLSSSRSPPLIAVVTNELYSLDASSNEVKVYVKGKNLWKKIGFVPVRADIQGGLGIAFKSLGNELLVIGDSSNSCSHKTLMKIYTCLPHHDLEVLEWKQIVCGSGNLNPFIYNCAVMLA >CAK8533106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:578271436:578272773:-1 gene:gene-LATHSAT_LOCUS2755 transcript:rna-LATHSAT_LOCUS2755 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSYSVSSKRSLPMWSFYNGGICGNSPHSFNESLRIQELPLLSDNGSSSASGEEPQDADYVLPLPYLSDEIETMILSRFPISKHWKMCCLNKKYLNLMKSGEIYKIRRTIGLKESSVFMLASGERNWCVFDGEFKSCKKLPIIPSDYKFECGVKEPFSAGTHLFVSGMEIDGTVIWRYELTTNEWFKGPSMITPRCVFASASSNTFAYIAGGLETENCIEVLNSAEKYNSENQTWQKLPNMNQKRKCCSGCYLDNKFYVIGGQDENRKNLTCGEFFDEKTNKWNLIPNMLKGIVLSSSRSPPLIAVVTNELYSLDASSNEVKVYVKGKNLWKKIGFVPVRADIQGGLGIAFKSLGNELLVIGDSSNSCSHKTLMKIYTCLPHHDLEVLEWKQIVCGSGNLNPFIYNCAVMLA >CAK8575554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:165604777:165605238:1 gene:gene-LATHSAT_LOCUS27810 transcript:rna-LATHSAT_LOCUS27810 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRMPLEVQKLLVVTPLTDDALLDDADTTQLHEALEERKRWLILGWSCGLLVTKTLTQLRVLQALDMKKKMLG >CAK8574475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:346332:351275:-1 gene:gene-LATHSAT_LOCUS26819 transcript:rna-LATHSAT_LOCUS26819 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMAISSVPFLPSFISSTSPLSFSLSPSTTKTLRNTSFKIKSLKTEREPSSSEPNPGFDPKSGVSVYKPKSYQVLANDAANSLAYALQDGKLRLEIDFPPLPSDVSSYKGSSDDFIDANIQLVLAVVKKLQEKKESTTCIVFPDKPEKLRASKLFKAALDSVDGITIGSLDDVPAGPMASFFRSVRNTLDFDFEDENEGRWQSSEPPSLYIFINCSTRELGYIEKYVEKFAPSTPTLLFNLELDTLRADLGILGFPTKDLHYRFLSQFTPVFYIRIRDYSKTVAVAPYIVNYSGAVFRQYPGPWQVMLKQPDGSFACIAESATRFTLGEAKEELLRVLGLQEEEGSSLEFLRRGYKSSTWWEEDFDLEASSAWRS >CAK8561672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:350110055:350111510:-1 gene:gene-LATHSAT_LOCUS15240 transcript:rna-LATHSAT_LOCUS15240 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVDVTHDFHSILSSPDRDFLVRNNGDQVKIDSLKGKKLGFYFSASWCGPCRRFTPTLVEVYNEISPKGEFEVVFLSADEDEEGFQSYFSKMPWLAVPFSDSETRSRLDDLFHVNGIPHLALLDETGKVVAEDGADIIREYGAEGYPFTSERIQELKDQEEEAKRNQTLKSILVSRSRDFVISADGKKTPISDLEGKTVGLYFCATSYRSCAVFTQQLKEIYKKLKENGENFEVVFTS >CAK8540228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545966264:545970495:-1 gene:gene-LATHSAT_LOCUS9254 transcript:rna-LATHSAT_LOCUS9254 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQAARLREQVAKQQQTILRQLGQLSNEPLMADESELECYQKLQKLYTSTKTAKHFQRHIVRGIEGFISVSSKQMEIVRKLAKDCCKYGTENKDHGSNYPLARASLQFGNSYDILENERETLLGIFCDQISEPLRAQITGAPLEDARHLTHNYDKLRQEVEGQAAEVLRRRSKLRDSSLPSDSSMRLQNAEKRLKELKSALVALGREATSAMLSVEEQQQHITLQSLSTSVDAEKAYHRHALVILDKLYAEMIMIDERQTKDPTSFPLPKDGYNQPTDEKPNSNGIDYKHDTQMGTYFFAKVIHTFDAQAEGELSLSLDDYVVIRQVDANGWSEGECNGNAGWFPSAYVQRQDVVPANKIPE >CAK8565014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25010511:25014398:1 gene:gene-LATHSAT_LOCUS18256 transcript:rna-LATHSAT_LOCUS18256 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGSAFLSATLQTLVEKLASKEFLDYITNTKLDLSLLKQIRLTLLTLQPLLDAAEEKQIHTPSVKDWLDGFKDVVYDAEDLLNQINYDSLRCKMENTQAASKTKQVWNILSSPFKNIYGDINSQMKDMCETLKRFAENKDILSLQTKSVRVSHRTPSSPMVNESVMVGRKDDKEKVMNMLLSESSTSQNNMGVVAIVGMGGVGKTTLAQLAYNDEKVEDHFDLKAWACVSEDFDVLRVIKTLLESVTSKTWETSNLDFLRVELTKNLRNKRFFIVLDDLWNDNYSDWDELVSPLIYGKNGSRVIITTRHEKVADAARTFPIFKLDPLSHEDSWSLLSKHAFGSGNFSETQRRNLEGIGRKIARKSGGLPIAAKTLGGLLRSKVDTKEWIEVFNSDIWNLQNDNILPALRLSYQYLSSQLKRCFSYCSIFPKDYSLDREQLVLLWMAEGFLDHSQDGKTMEEVGDECFTELLSRSLIQQLHDDMNGQIFVLHDLVNDLATAVSGKTCYRLEFGAKSYENVRHLSYNQEEYDIFKKFQTFHKFKCLRSLLAIGYRGESYSLSRKVVDDLLPTFGRLRVLSLSKYRNITALPVTVGNLVQLRYLNLSYTDITSLPDTICNLYYLQTLILSCCSNLTELPEHVGKLINLRHLYIDMTSIIEMPKKISELENLQTLNVFVVGKKNIGLSVRELGKFPKLRGKLFIKNLQNVIDVMEVSDTNLKSKEHIEELTLQWGEEVDESLKGKEVLNKLQPSPNLKKLSIDLYGGTSFPCWLGDPSFSNMVSLCIDNCMNSPTLPPLGQLASLKDLHISRMTLVDTIGQEFYGMAAGSSSSPFQPFSSLEKLVIEKMSNWKEWLPFQDNIFPFPLLKTLKLSDCPELRGHLPSQLPSIEKVKIDGCDHLLATPPSQHWLSSIKKLDIKGDLNSESNTERTQCSLLESDSPCLLQHISIRSCHMLKFVPKIIINSTCLRSLTFYGISSLTAFPTNSLPTSLQSLYIIDCENLTFLPLETWSNYTSLEFFHLESSCSALTSFPLNYFPMLQYLYIRKCSSLESIFISETSSCSSSTLQSFYVYDCKELISLPQRMDTLASLESLSLYNLPNLNLSLCEGVFLPPNLQTVYIESVGITKPVTEWGIQCLTALSSLQIRGDDDIVNMLPLLPVSLVRLCFKSLSEMNFLEGNGLRHLSSLENLYISDCPGLVSLPEKAFPSSLKTLSFRDCRRLESLPEKAFPSSLKTLYFRDCPRLESLLEDNLPTSLEQLYIYNCRLLEERYKREEHWSKIAHIPVIKINGQLTI >CAK8565015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25012650:25014398:1 gene:gene-LATHSAT_LOCUS18256 transcript:rna-LATHSAT_LOCUS18256-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDTNLKSKEHIEELTLQWGEEVDESLKGKEVLNKLQPSPNLKKLSIDLYGGTSFPCWLGDPSFSNMVSLCIDNCMNSPTLPPLGQLASLKDLHISRMTLVDTIGQEFYGMAAGSSSSPFQPFSSLEKLVIEKMSNWKEWLPFQDNIFPFPLLKTLKLSDCPELRGHLPSQLPSIEKVKIDGCDHLLATPPSQHWLSSIKKLDIKGDLNSESNTERTQCSLLESDSPCLLQHISIRSCHMLKFVPKIIINSTCLRSLTFYGISSLTAFPTNSLPTSLQSLYIIDCENLTFLPLETWSNYTSLEFFHLESSCSALTSFPLNYFPMLQYLYIRKCSSLESIFISETSSCSSSTLQSFYVYDCKELISLPQRMDTLASLESLSLYNLPNLNLSLCEGVFLPPNLQTVYIESVGITKPVTEWGIQCLTALSSLQIRGDDDIVNMLPLLPVSLVRLCFKSLSEMNFLEGNGLRHLSSLENLYISDCPGLVSLPEKAFPSSLKTLSFRDCRRLESLPEKAFPSSLKTLYFRDCPRLESLLEDNLPTSLEQLYIYNCRLLEERYKREEHWSKIAHIPVIKINGQLTI >CAK8536635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3267040:3269526:1 gene:gene-LATHSAT_LOCUS5979 transcript:rna-LATHSAT_LOCUS5979 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMPLFRSCSTLRQLTQLHSHLVATGLHNDPLASTKLIESYSQMGSLQSSRLVFYAYPSPDSFMFGVLIKCYLWNHLFDQVLSLYNHHFHMGSSHLTQNCSFLYPSLIRAASGVADLVVGRKLHGKIVKSGFSVDRVIGTSLLGMYGELCCLSDAKKVFEEMCQKDLVSWSSVVSCYVENGIYREGLEMFRWMVSEGIRPDSVMLLSVAEACAKIGCLRLAKSVHGYVIREGMIGDGSLSNSLIVMYSQCGYLCKAKRLFESLVDRSTSCWTSMISSYNQNDCFEEAIDVFVKMQDSVVEPNEVTMISVLNSCTRLGWLKEGKSVHCFVLRNAMDAADLDLGPALIDFYAACWKISSCEKLLCLIGNSNVVSWNTLISFYAREGLNDKAMVLFAHMVAKGLMPDSYSLASSISASASAGSIQFGQQIHGHVMKRGFADEFVQNSLMDMYSKCGFVDLAYTIFNKIRKKSIVTWNCMICGFSQNGISIEALNLFDEMYENCLEINDVTFLSAIQACSNLGYLDKGKWIHHKIIVTGNQNDVYINTSLVDMYAKCGDLQTARRVFDSILEKSVVSWSTMIAAHGIHGQINAAISLFTKMVNSHIKPNEVTFMNILSACRHAGSVKEGKFYFNSMRDYSVVPNQEHFASIVDLLSRAGDINGAYKIIKSIQMPVDASIWGALLNGCRIHGRMDVIDNIGEELRRISTDDTGYYTLLSNIYAEGGKWYESRKVRSKMEGLGLKKVPGYSTIEIDRKIYRFGAGDTSEWQMKEICMFLENFQSLTQEQGCDVECYMYNNNSTKAAMLFNDFNFYNLQREASNCIENKSVLL >CAK8537055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:70692124:70692591:-1 gene:gene-LATHSAT_LOCUS6372 transcript:rna-LATHSAT_LOCUS6372 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHRKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKADA >CAK8570992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:242755644:242769396:1 gene:gene-LATHSAT_LOCUS23695 transcript:rna-LATHSAT_LOCUS23695 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFSDGSDLCQQLMDRYGKSPAPHHRHLLAAAAALRSNLSSESLPLTPAAYFAAAISTASSSESLDPVSLSSLVSFMAIALPLVPTGAISAEKAQEAAEIVAALLVREGEGLGVSSLRAAVKCVGVLLGFCDLKYWHFIKLGFETLLKFSTEKRPKVRRCALESLEKFLRSLESSTVIKEASNLVLSELKRCIDLTMKLTALRTADECKDKGTSKHEHSDVLHVLNIVNLVAPNLSSEVVPEVLSEVHRLFESQIPTLTRHVLKTVEAIFETSRVRIIVLEIEDFVVSLASFVSLGDKNPLDTVMFAANVLKLAMDLLYIRQSSLWIKNLPLVCQSMMGLLTSEGNTASQASSILKDVLKHLLGSNILLNSTNETLHNDSSLSLEGDAIKSTCEVFESILSATDGMPNELLLSVISLLFLVLGEFSFEFMRNIVLKLAHLMIQNPDGKANNEHLQKCIGSAIFAMGPEKFLTLVPLSLDEDNYTYSNIWLVPILKKCITGASLAYYMEHIMPLAKSFKKASRKVKKSEISQDLLVRAHELWGLLPSFCRHATDTHQSFARLCDALYTFLKKDISMHENVSTALQMLVNENKAALGPKKNGPACDAEHDSSLEIRTRRAYSKKVATRNIKALASHSNQLLHILSDLFISSLPETRISLKGAIRCLASITDSSVTREVFMSLLRRFEIVDCEGESEILTTNSKALDIEPNDEKRCSQRCAILEIAFCLVEGANDNLVEMIYNLTVHSFQATNESIRCEAYNILSKILEEQPSYASPKYIELIDLLLSVKPPTAVASLRSRFACFNMLMVHIVKISLKEEVNSKAFLILNEIILTLKDGNDEARKEACDLLLNISSSLRDLSCVGPVAPYHKLVSMIMGYLSGLSPHIKSGAVSALSVLVYKDANLCLSVSDLVPSLLSLMHSKDTEIIKAVLGFFKVMVSCLEAKGLQNILSGVVAEIIRWSSVPRHHFRTKVTVILEILIRKCGSAAVRMVTPEKYMDFLRMVLENRHGKSNEAAAKETENTPEVSTAKGPERRKHNNRDTQEKDSFQQKMRKNKFESDMSSNKGPSKSTSNDEFRLAKRSRHSNDTNSNVRSEGSKKGNKSQFKSFTQGGGNRNVRPQGTEKDKAASKSNKLKRKFKRN >CAK8571990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500555956:500556513:1 gene:gene-LATHSAT_LOCUS24599 transcript:rna-LATHSAT_LOCUS24599 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAYKIYFQDEGERFTFETAWRLLKDESKWLACSSEASTKRTKNSASGAYSSSSNPQMSSEHDPPLSNLSRRQIGQKATKRKEKEKLMEMSSTPNVKYDSFKDDFKKIDLMSMFARDYAHIEGEKFEIEGKKVDAKNKKVESVKERLKMNDLQILSKDTSYMDTRLLQAHEILCDMIREKYGLN >CAK8537893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444821564:444822544:-1 gene:gene-LATHSAT_LOCUS7143 transcript:rna-LATHSAT_LOCUS7143 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDDCWECVFKFLNNHEDDKYNCYLNSLSLVSKQFLSITNRLRFSAAVGSKILPFIHLLFQRFPNITSLKLNCSFYRDPNAFNGDRNAFLHQISSFSLKLKSLDLSSQNIIPADGLQAFSENVTTLTSLNFSQMNSIRSSDMLLIADCFPLLEELNLGTITTFNSEDNFIDGINTLSLALSKLSKINLSSHRYMTNECLFHLFYNCKFLQEAIIYDCLNITNAGIVSTLRERPNFRSLHFTNKTDNCSNLFAILRSCPSLSNIKMECPYPRWWEKSVDNSNSLMVLSPQLETLCLANNTWLSDEKRYNICFHFPQFATT >CAK8561077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86202128:86202439:-1 gene:gene-LATHSAT_LOCUS14699 transcript:rna-LATHSAT_LOCUS14699 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8562318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:471832609:471832983:-1 gene:gene-LATHSAT_LOCUS15825 transcript:rna-LATHSAT_LOCUS15825 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRATKATYPQAWKRKTKKMRKVNNETYKYLLKIPPRFWSKYMFNYNTKCDMLVNNMLETFNSVIIGLGQKPIVTVLEEIIGYFMDRWANNIIKIEDYSGYLLPRIKKGFERQQEISRFFMAR >CAK8561727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:366991628:366993479:1 gene:gene-LATHSAT_LOCUS15288 transcript:rna-LATHSAT_LOCUS15288 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYRLALRIQRRIINPNHPQIRQFLHRRTRSVFDVAIKVHQNIQERDIEVGRNLGNFILRWLDRMKPSAQIHGGSPTNGASSSIRTTKLQAKYSNLKRPSYYTLFKRGSNKRLFTPSTSIWPKPFPTIASMLRPPNPAGTTTHYRYLSNYPRDAFRSNCNAYWPAGVIRKDIMQWMLQN >CAK8531993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:187775347:187777518:1 gene:gene-LATHSAT_LOCUS1739 transcript:rna-LATHSAT_LOCUS1739 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFPLGNLIQKESAVTTQMEISSLKLMANTATVLMLNPASVEDCQSFSVGSEDDVDMYISPQQNQISVSFEVKENQVGAEVVSEMVHESDGNGRYEDEFKIHEDFLRIHNPSSQSAILRTNLSEMNTPNTMMVDDDINVKSSLNESMKNVASVAMNHESEGGSNSGGPDSKPIAVVHATLEKQTSTTSCDNGLELSETPLYGFSSVIGMRQEMEDAIVIKPQLFQIPSMMLMEDQVNENTKHSLAHVFGVYDGHGGSQVANYCQKHLHSVLVEEIEAAESSLSENKEKDNWQDRWKKVLTNCFQKVDDKIVGIDAANRERSGNDGSEASTEETLAPETVGSTALVAILTQSHIIVANCGDSRAALCRGKEALPLSTDHKPNREGEWERIEAAGGKIIQWNGYRVLGVLAVSRSIGDKYLKPWIIPDPEVTIALREKNDECLILASDGLWDVMTNEEACEIARKRILIWHKKNGTNASTIQIDGVNPAAQYAAEYLSKLALQRGSKDNISVIVIDLKTQRQIKRKA >CAK8536783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20206215:20210046:1 gene:gene-LATHSAT_LOCUS6116 transcript:rna-LATHSAT_LOCUS6116 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMKKLQNQKQKQLVNEESKKKERHIVTWTQEEDDILRDQIGIHGTENWAIIASKFNDKTTRQCRRRWYTYLNSDFKKGGWSPDEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENNISYTNSNNKRVIYRDAASDSAVDYKKMRRSHIPDDAERSHKQNEASRAPLAVLAQNSHNINNLPDPNDVCNVKFGGFAPNNKIQGTFLKKDDPKINALMQQAELLTSLALKVDADNMDQSLENAWKILQEFMNRNKESDVPGYKIPDLQLVDLKDLLEDLKNSNEEIQPCWRYMELYEDSPSSSEGSTILPHSAAENLEHSLHQDNGTELNSIQIGDQKGDGVCDQVVLSSATMNQDIFTSCEEHINNDGIGIVSSLSTAEFSSPLQVTPMFRSLTAAIPSPQFSESERNFLMKTLGMDSPSLDPIANPSQPPLCKRALLQS >CAK8560653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34436884:34438032:1 gene:gene-LATHSAT_LOCUS14312 transcript:rna-LATHSAT_LOCUS14312 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKRGRGRPKSTVPPSLENLASLKTPEAEPSTTPTVNEDVTNIEKLAQATTETLTEPPQVKSDERKLWVDVISDNRNPVKGLSMEYVAPKVINGVIEIDIEQEDIETELRFWDNALILYVVGDDLSMNTMKNFIQRMWNFIKMPDLYYHDDEYFLLRFNSQEDKEAIMMRGPYTIRNMPMILKEWQSGFNLKKGLLRTLPIWVKLPQLPLHLWGAKSLSKIGSVIGKPLVTVECTANKLRVSYARILIEVDITQPLIDEITIRNVAGDIIMQPVQYEWRPKFCETCQKLGHNCEDRGKTQKWIPKPKPLEPTKNITPTKQPVGGETNGEDGASWTRVRKSARDKGKNILTDTTNNINCANGFETLEVLNDHQVITNLEPC >CAK8567120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489124928:489128521:-1 gene:gene-LATHSAT_LOCUS20202 transcript:rna-LATHSAT_LOCUS20202 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKSKLKTLISLSKLFLSFSLLLHTAIADDGVFMSKLAKSLSPTPSGWTATTFCSWTGVKCDDSNRVSSINLSSKSLSGTIPSDLNSLSELTSISFQSNSLTGALPSLANLSNLQSVFLSSNNFTSIPDGCFQGLTSLQKLSMTGNTNLKPWTFPSELTQSLNLVELDLGQTNLVGSLPDIFGPLFSLQNLRLAYNNLTGDLPNSFSGSGIQNLWLNNQQDGFGFTGSLNLLSTMSHLTQVWFQKNKFTGPIPDLSNCTNLFDLQLRDNQLTGVVPHSLMSLSSLTNVSLDNNNLQGPFPSFGKSVKVTPNDGINSFCKTTPGPCDPRVTTLLDVAAGFGYPLQLASSWKGNNPCQDWSFVVCSGGKIITVNLAKQNLNGTISPAFGNLTDLRNLYLSGNNLSGSIPGSLTGLTQLEVLDVSNNNLFGEIPKFSTKVKFNSAGNVLLGPSGGDKGRGTTPPESSGGAPSGSPSKKLSGSSLSPAWIAGIAVIAVFFVAVVLFVFCKCFTKNRRHGEFGRVNNPENGKGEIKLDVMSVSNSNGYGGVPSELQSQGSERSDNLQVFEGGNVTISIQVLRQVTGNFSQDNILGRGGFGVVYKGELHDGTKIAVKRMESVAVGTKGLNEFQAEIAVLTKVRHRHLVALLGFCINGNERLLVYEYMPQGTLTQHLFDWGENGCAPLTWKQRVAIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRRALDDTMPDERSHLVSWFRRVQVNKENIPKAIDQTLNPDEETMESIYKVAELAGHCTAREPYQRPDMGHAVNVLVPLVEQWKPTSHEEEDGYGIDLHMSLPQALQRWQANEGTSQVFNDTSFSQTQSSIPAKPSGFADSFDSMDCR >CAK8574350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676843297:676845259:1 gene:gene-LATHSAT_LOCUS26705 transcript:rna-LATHSAT_LOCUS26705 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVTVELVEFPAVLTSPATTKSYFLGGAGVRGLDIDGEFVKFTGIGVYLEEKAVASLIPKWKGKTSAELIESLDFYRDIIKGPFEKLIRSIKVRTLSGPEYVRKVSENCIAHIKSDGLYGDEEEKAIQELREAFKDQNFPPGSAAFYRQSPNGTLALSFSKDETIPEHEHTVIHNKPVSEAVLETMIGEIPVSPALKESLATRLYELMKNDNFKIGN >CAK8533604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641008623:641016049:1 gene:gene-LATHSAT_LOCUS3220 transcript:rna-LATHSAT_LOCUS3220 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDIYSASASQFKRPYGSSRGDSYGQGQGQVPGNGGGGGGGGLVIGGGGGGATTSQKLTTNDALSYLKEVKDMFQDQKEKYDTFLEVMKDFKAQKTDTSGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEALPKKTVEFEEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIGDVYSEVAILFKDHRDLLEEFTRFLPDNSAAPSAQHAPFGRNSLQRFNERGSMAPMMRQMQVEKQRYRRDRFPSHDRDLSVERPDLDDDKTMMNLHKEQRKRESRDRRIRDHDEREHDLDNSQRFPDKKKSVKKAEAYGLSSDYASHDDKDALKSMYSQAFSFCEKVKEKLSSAQDYQTFLKCLHIFSNGIIKRNDLQSLVTDLLGKYSDLMSEFNDFLERCENIDGFLAGVMSKKPLATDGHLSRSSKLEDKEHKREMDGAKEKERYKEKYMGKSIQELDLSDCKRCSPSYRLLPADYPIPMASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAAKRADELYTNIVENKISVESLSRIEDHFTVLNLRCIERLYGDHGLDVLDILRKNPTHALPVILTRLKQKQEEWNRCRTDFNKVWADIYSKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQKEDDIVQSIAAGAKHSLLPHLEFDFSDAEIHEDLYKLVRYSCEEVFSSKELFNKIMRLWSSFLEPMLGVVTSQSHGTESVEDRKVGHDAQSSVAPNVGGDGSPHRESISRIPKPDKNEGDGRVTEVKNVHRTSLAANDKENGSVGCELVSRDDLLMDKGQKSVDCNDNKAPGFGKQFSSDEPGARNTASVAIRGESSVNRTNIDMSPGRILTPSRRTDADVSGAKAKSPTPLLEGCDAIARSPVPVSNGMLVENTKVKSYEESSMPCKIEKEEGELSPNADSEEDNFVAYDSNLQSIAKSKNNDDSRKYDAEAGGDNDADADDEDSENVSEAGEDVSGSESPGDECFRGDHEEEEDMEHDDVDGKAESEGEAEGMCDAQNGGDGSSIPLSERFLSTVKPLTKHVSAVSFVEDVKDSRVFYGNDDFFALFRLHQILYERILSAKENSTSAEMKRKSKDASSTDPYARFMDALYSLLDGSVENAKFEDECRAILGNQSYVLFTLDKLIYKLIRQLQTVATDEEDNKLLQLYEYEKSRKPGKLNDSVYHSNAHVILHDENIYRFQCSSSPSRLSIQLMDNMNEKPEIAAVSVDPNFSFYLHNDFLSVLPSKKEPHGILLERNKSKYGDLDELSAICAVMEDVKVVNGLECKISCNSSKISYVLDTQDFFFRPRRKRRTSSSSSSTSSSRSRREREERFRKFMASAI >CAK8533603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641008623:641016049:1 gene:gene-LATHSAT_LOCUS3220 transcript:rna-LATHSAT_LOCUS3220-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDIYSASASQFKRPYGSSRGDSYGQGQGQVPGNGGGGGGGGLVIGGGGGGATTSQKLTTNDALSYLKEVKDMFQDQKEKYDTFLEVMKDFKAQKTDTSGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEALPKKTVEFEEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIGDVYSEVAILFKDHRDLLEEFTRFLPDNSAAPSAQHAPFGRNSLQRFNERGSMAPMMRQMQVEKRYRRDRFPSHDRDLSVERPDLDDDKTMMNLHKEQRKRESRDRRIRDHDEREHDLDNSQRFPDKKKSVKKAEAYGLSSDYASHDDKDALKSMYSQAFSFCEKVKEKLSSAQDYQTFLKCLHIFSNGIIKRNDLQSLVTDLLGKYSDLMSEFNDFLERCENIDGFLAGVMSKKPLATDGHLSRSSKLEDKEHKREMDGAKEKERYKEKYMGKSIQELDLSDCKRCSPSYRLLPADYPIPMASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAAKRADELYTNIVENKISVESLSRIEDHFTVLNLRCIERLYGDHGLDVLDILRKNPTHALPVILTRLKQKQEEWNRCRTDFNKVWADIYSKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQKEDDIVQSIAAGAKHSLLPHLEFDFSDAEIHEDLYKLVRYSCEEVFSSKELFNKIMRLWSSFLEPMLGVVTSQSHGTESVEDRKVGHDAQSSVAPNVGGDGSPHRESISRIPKPDKNEGDGRVTEVKNVHRTSLAANDKENGSVGCELVSRDDLLMDKGQKSVDCNDNKAPGFGKQFSSDEPGARNTASVAIRGESSVNRTNIDMSPGRILTPSRRTDADVSGAKAKSPTPLLEGCDAIARSPVPVSNGMLVENTKVKSYEESSMPCKIEKEEGELSPNADSEEDNFVAYDSNLQSIAKSKNNDDSRKYDAEAGGDNDADADDEDSENVSEAGEDVSGSESPGDECFRGDHEEEEDMEHDDVDGKAESEGEAEGMCDAQNGGDGSSIPLSERFLSTVKPLTKHVSAVSFVEDVKDSRVFYGNDDFFALFRLHQILYERILSAKENSTSAEMKRKSKDASSTDPYARFMDALYSLLDGSVENAKFEDECRAILGNQSYVLFTLDKLIYKLIRQLQTVATDEEDNKLLQLYEYEKSRKPGKLNDSVYHSNAHVILHDENIYRFQCSSSPSRLSIQLMDNMNEKPEIAAVSVDPNFSFYLHNDFLSVLPSKKEPHGILLERNKSKYGDLDELSAICAVMEDVKVVNGLECKISCNSSKISYVLDTQDFFFRPRRKRRTSSSSSSTSSSRSRREREERFRKFMASAI >CAK8533605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641008623:641016049:1 gene:gene-LATHSAT_LOCUS3220 transcript:rna-LATHSAT_LOCUS3220-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDIYSASASQFKRPYGSSRGDSYGQGQGQVPGNGGGGGGGGLVIGGGGGGATTSQKLTTNDALSYLKEVKDMFQDQKEKYDTFLEVMKDFKAQKTDTSGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEALPKKTVEFEEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIGDVYSEVAILFKDHRDLLEEFTRFLPDNSAAPSAQHAPFGRNSLQRFNERGSMAPMMRQMQVEKQRYRRDRFPSHDRDLSVERPDLDDDKTMMNLHKEQRKRESRDRRIRDHDEREHDLDNSQRFPDKKKSVKKAEAYGLSSDYASHDDKDALKSMYSQAFSFCEKVKEKLSSAQDYQTFLKCLHIFSNGIIKRNDLQSLVTDLLGKYSDLMSEFNDFLERCENIEPLATDGHLSRSSKLEDKEHKREMDGAKEKERYKEKYMGKSIQELDLSDCKRCSPSYRLLPADYPIPMASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAAKRADELYTNIVENKISVESLSRIEDHFTVLNLRCIERLYGDHGLDVLDILRKNPTHALPVILTRLKQKQEEWNRCRTDFNKVWADIYSKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQKEDDIVQSIAAGAKHSLLPHLEFDFSDAEIHEDLYKLVRYSCEEVFSSKELFNKIMRLWSSFLEPMLGVVTSQSHGTESVEDRKVGHDAQSSVAPNVGGDGSPHRESISRIPKPDKNEGDGRVTEVKNVHRTSLAANDKENGSVGCELVSRDDLLMDKGQKSVDCNDNKAPGFGKQFSSDEPGARNTASVAIRGESSVNRTNIDMSPGRILTPSRRTDADVSGAKAKSPTPLLEGCDAIARSPVPVSNGMLVENTKVKSYEESSMPCKIEKEEGELSPNADSEEDNFVAYDSNLQSIAKSKNNDDSRKYDAEAGGDNDADADDEDSENVSEAGEDVSGSESPGDECFRGDHEEEEDMEHDDVDGKAESEGEAEGMCDAQNGGDGSSIPLSERFLSTVKPLTKHVSAVSFVEDVKDSRVFYGNDDFFALFRLHQILYERILSAKENSTSAEMKRKSKDASSTDPYARFMDALYSLLDGSVENAKFEDECRAILGNQSYVLFTLDKLIYKLIRQLQTVATDEEDNKLLQLYEYEKSRKPGKLNDSVYHSNAHVILHDENIYRFQCSSSPSRLSIQLMDNMNEKPEIAAVSVDPNFSFYLHNDFLSVLPSKKEPHGILLERNKSKYGDLDELSAICAVMEDVKVVNGLECKISCNSSKISYVLDTQDFFFRPRRKRRTSSSSSSTSSSRSRREREERFRKFMASAI >CAK8532084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200972532:200973012:-1 gene:gene-LATHSAT_LOCUS1821 transcript:rna-LATHSAT_LOCUS1821 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8530764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47786641:47787524:1 gene:gene-LATHSAT_LOCUS606 transcript:rna-LATHSAT_LOCUS606 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLSSSTKRRGIKKRVVEIPMKDVEGTNTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKNCPARKQVERNHMDPTVLLVTYTSDHNHAVPPPRSYRNTSNAAASNKDSESEQEAEPETEERFADLGEVSLIATADELGGWLGEASPGVLECPVFSEQEVSMLGEEEMSLFADLGELPECSAVFWRGRSSAGDVSVGPLVEANCSL >CAK8569520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3880298:3880600:-1 gene:gene-LATHSAT_LOCUS22363 transcript:rna-LATHSAT_LOCUS22363 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPFKSLHPLYQKPKFIPQDKLDAGNKIVKMLEEADMNKDGRLTRDEIEKALKSLGSYFPGWKANRCLKKLDANNDGQISGGEIDELVDYLLNHGYGKK >CAK8537149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:109334145:109338187:-1 gene:gene-LATHSAT_LOCUS6460 transcript:rna-LATHSAT_LOCUS6460 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFHCRRPATATTLVVVSLSFLILFSTSSFVRASSVYSELNPIKPRHSRLLKSAVQRETPTSQLSEIWTPLENQGWKPCNELRNKPTLPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWKDSSAFEDIFDVDHFIDVLKDDVTIVKELPEEYSWSSREYYALAIRDTRIKAAPVHATANWYLENVLPVLQSYGIAAISPFSHRLTFDNLPMDIQHLRCKVNFQALVFVPHIRTLGDALISRLRNPQHSTEEMGSNYLQEVTDADDNKNAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEVGLLLAALGFDNSTRLYLASHKVYGGGARIATLKQLFPLMEDKKSLTSPFERTQIKGKASLLAALDYYISIHSDIFISASPGNMHNALVGHRTYLNLKTIRPNMALMGQLFLNKTMEWSEFEHAVVEGHENRQGQLRVRRPKQSIYTYPVPDCMCQA >CAK8537150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:109334145:109338187:-1 gene:gene-LATHSAT_LOCUS6460 transcript:rna-LATHSAT_LOCUS6460-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFHCRRPATATTLVVVSLSFLILFSTSSFVRASSVYSELNPIKPRHSRLLKSAVQRETPTSQLSEIWTPLENQGWKPCNELRNKPTALPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWKDSSAFEDIFDVDHFIDVLKDDVTIVKELPEEYSWSSREYYALAIRDTRIKAAPVHATANWYLENVLPVLQSYGIAAISPFSHRLTFDNLPMDIQHLRCKVNFQALVFVPHIRTLGDALISRLRNPQHSTEEMGSNYLQEVTDADDNKNAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEVGLLLAALGFDNSTRLYLASHKVYGGGARIATLKQLFPLMEDKKSLTSPFERTQIKGKASLLAALDYYISIHSDIFISASPGNMHNALVGHRTYLNLKTIRPNMALMGQLFLNKTMEWSEFEHAVVEGHENRQGQLRVRRPKQSIYTYPVPDCMCQA >CAK8537295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:208022713:208023172:1 gene:gene-LATHSAT_LOCUS6594 transcript:rna-LATHSAT_LOCUS6594 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKALLMEIPIFISQPLSISSINFHFLVKLLTSLSFFDRIISAYEITKLFPNLFKQLSTVLVLSGSGTVLPNQKLKIGVVLSGGQALGGHNVIYGNFDYLQERAKGSICMVLGVVIQAS >CAK8533966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676354840:676355126:1 gene:gene-LATHSAT_LOCUS3548 transcript:rna-LATHSAT_LOCUS3548 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSPKWLLKQELSKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPNCLADHIGSLIPGIEKALNVSNIVLN >CAK8530449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22204418:22204777:-1 gene:gene-LATHSAT_LOCUS313 transcript:rna-LATHSAT_LOCUS313 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHENWEEVRRGRNRGEKQSRRSIWTTRGHKVSIGVEDRATSFFFTEFPDGFEAKEMFDIFKEYGRVLEVVIPPKRDKRGKRYKFVRLKNVDDEKLMAIKLDSVMIKGRKLYTNVPKF >CAK8535059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809440487:809443799:-1 gene:gene-LATHSAT_LOCUS4542 transcript:rna-LATHSAT_LOCUS4542 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHGGASGRIKNLAATFGSDIQPFISDIRATVGVMKNIAVQLEKDNLPDKVKEMEDAAVELVDLSEHSVHFSSAVQAFGNRYQPGEQLTDFHKVFEDEILQYKANRNSDVQKHPLVRQFKEAVWKVHHEGQPMPGEEEEDIVMTSTQSNILNFKCPLSGKPITELQEPVRSMQCRHIYEKQVIMQYIQSKNNRTRCPIPGCPKVLDANVLIQDPSLAVDIDEMRKMNNKETDVEDFTMLEED >CAK8579411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696735650:696739404:1 gene:gene-LATHSAT_LOCUS31359 transcript:rna-LATHSAT_LOCUS31359 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSIEEKHVSLSDSKPKPNSKGSVGNESAAPIGATGSMNIKELREGAGYSNVHIFTYNELRLSTKQFRPDFILGEGGFGVVYKGVIDDSVRPGYKSTEVAIKELNREGFQGDREWLAEVNYLGQFSHPNLVKLFGYCCEDEHRLLVYEYMASGSLEKHLFRRAGSTLTWSKRMKIALHAARGLAFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRALDKSRPSREHNLVEWARPLLNHNKKLLKILDPKIEGQYSSKTATKAAHLAYQCLSQNPKGRPLMSQVVEILENFQSEGENDEDQILQSGGSSITLYEVPNSSNDTPS >CAK8568153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577441615:577442944:1 gene:gene-LATHSAT_LOCUS21144 transcript:rna-LATHSAT_LOCUS21144 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKPETKDRKMNKILLIINCLLLALGNSGGPLIMRLYFIHGGHRVWLSSFLETAGFPIMILPLTISYINRRRIHQNPPPSTTAQPAAKLKIISMRPPLFFAVAFVGILTGLDDYLYAYGVARLPISTSALIIASQLGFTAVFAFLLVKQKFTAFSINAVVLLTVGAGVLAMHTSGDRPAGVSTKQYVIGFLTTLAASALYGFILPSVELIYKKTKQNLTYSLVMEIQFVMCLFATLFCAVGMIANNDFKVIPREARNFELGERTYYVVLVMSAMLWQAFFLGAIGVIFCGSSLLSGILIAVFLPVTEVLAVIFYKERFQAEKGVSLVLSLWGFVSYFYGEIKQDKEKKKKRAMETEMGHSLEVLPAP >CAK8534599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746598524:746599888:-1 gene:gene-LATHSAT_LOCUS4132 transcript:rna-LATHSAT_LOCUS4132 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDGDSGTQLSDGSYRNDHKLNSRGGLVERIAARTGFNAPRLNTEGIISTELSLNSEIQSPYLTIPLGLSPATLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKFKLNGFNDMYASSFAFKPTPDTGPSFYHGAGRNINQTTLPQQTLHSFEASVQSQRVDATENKSSLHLKAEFSDSPPQKDNSAPMEDQAEENGTEKGISQKNQQMLPQILANTSEPTFHLRHALSTNGSDSVRRTHKCCVYIAGSSKYCARLNSIQAYNDINQNVIGEASHLSEYSFSAHNNIIDPTAELGAKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGADVKVVNSVVMNHVTIGDLCSIQGSVICSNVQLQERAILKDCQVGAGFVVTAGSECKGEVLANK >CAK8567288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501482982:501483554:1 gene:gene-LATHSAT_LOCUS20354 transcript:rna-LATHSAT_LOCUS20354 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIQKYVINAHHNGNVIVSDEVDLIFENIEISHFSINRRSSFQHFKESLEMKVQAGPGSQIIYRSVVHFGNNQFKFVPLKVHGDKDVETMFSNHECFRFPYIDLYIRFEQCQPTQMSQIINTSEEDAPTIILNEDVEEENEAQVDDYYTTLFEEGDNVNKGNNDEQHIPVENVFCPHDKPALMWRRIIL >CAK8579639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714153139:714154430:1 gene:gene-LATHSAT_LOCUS31569 transcript:rna-LATHSAT_LOCUS31569 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGDRGGFGSGFGGRGGRGGRGDRGRGGRRRAGGRREEEEKWVPVTKLGRLVKEGKIRTVEEIYLHSLPIKEHQIIDTLVGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFAKSPFQEYTDLLAKPTGKTLILEEERVEA >CAK8539328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509540916:509544648:-1 gene:gene-LATHSAT_LOCUS8437 transcript:rna-LATHSAT_LOCUS8437 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGEKVAQKKEKLGIKLRREVLLRKSKGPSTPLPSSSSSLWLLPNNNKHCSSSVTRDHQSLLTNTTATTVSVRKLAAALWEFDHYSPLFPMHRPVNNGGAADSRLRRRGHYTFHKDKAPDISNFLIDASPSSPDQPASASSLRRHVTASLMQHQRAIERNNHALQPLSPASYGSSMEMTPYNPAATPISSLDFKGRIGEPHYTLKTSTELLKVLNRIWSLEEQHGSNVSLIKALKTELDHTRIRVKELLRDRQGDRHEIDDLMKQIAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARELSEMKSSLTCALEDLKQERTRRKLLEDLCDEFARGINEYEQEVHALKQKSEKDWVQRADHDRLVLHISESWLDERMQMQLEAAQNGFMDKNSIVDKLSLEIETFIKAKQNSRSMENLVIRDRRNSLESVPLNDTVSAPQAVGDDDDDDSVGSDSHCFELNKPSNIGAKLPEEEIVDKNLDETLKSNVKKKKPILREGFKNLIPSSLQMAWALSSNSNKKSQSFDAQEGVTTDTRLVEGARISEEPEHFEISENGAFERKNYSSELHTTSKNHIIDNLIRGQLLTSEGGNIDIHAEHNSYGEASCSNAGWRNQTSPVRQWMASLDISEVSKVHSGSKDNTLKAKLLEARSKGRRSRVKALKGSF >CAK8572948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572520624:572523088:1 gene:gene-LATHSAT_LOCUS25450 transcript:rna-LATHSAT_LOCUS25450 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTVFRDSDLQPPGAVYETGAQKAKRGLFSKGDSVSVGACQKKVLADITNMDQHLQPAPPLPPPATDVSVDLILKENATMRKLLAHRNAVIESYKLQHQKYQTNFQKLRKQNSELALANTQMMREINTSRQMVRELQLELVGKSAILDTMRLKLMENNHKAKLNNNIDADESDLKFQENNKGQAKRKRVSRCQSSAADVVKQVKSIEKVDTQRPSARRKSTGLKAEKSGRTEDLPEIKYDASQPLENLANENESTSLGSNDVVRQDTESLGPTNTQQALAKKNIENKRHSLRRQSSLFKHGNPETAEDFFDIDDPKFEVSSLCDNLPESLPTASSCTSENCALDPRERRSSISRPSRRSALKVVSYKEVPLNVKMRRDN >CAK8535493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854058924:854063135:1 gene:gene-LATHSAT_LOCUS4950 transcript:rna-LATHSAT_LOCUS4950-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFSPNPTTVSKRRIPMSASNFFVASNDDQRERAAARAARAAAIRRTSRSVNFQSLPSDYSDPCLNKNQILELLHRCIKLASENKINQKNTWDLDLIDHLTDIIRDEDDNHGETNFQMASCTLEAGVKIYSLRVDSVYSETYKVLARMNRVGQETEQESVNAEGVQEDSKKGILKKLSPLSTLESSFEALNVKKFDVAFSVDPLYRQTSAKFDEGGAKGLLMNNLGVYGRCRVLFDSQDVPGKYVASQNEHDSSDIIDLSFARDCIEQMLLDIHIKDEISPTLKLIVNQFDENNRRPSDFQCYGHKSAEEVDAAINCEVEAEIENHETFPTWPYEHDNQTFVAEVGSNDADPKFSSYPQEEEPFPSQDLDMDELFGNVDEYLSFSLGFRSKKNAWAGPDHWKYHKAKESEVSCSPEDGSILKTRLPKTMRQIEVDLDFTNIFRKTPPDVFAPPKNPKSLLLPENRPHCVTKLPEDCHYEPEDLVKLFLLPSVKCLGRRRVRKFSDESTEQCNNNEPFHSWDNGSVFDGAHSHTDDSSTLISQPRQINKVEVQYDKTAKQVDVQALKLILWDSIQGSVQLTFQGEKEMLSFRDILANFPTECNVAATVTDITPHLCFICLLHLANEKELSIQSCPNLDDLHISLPNVGALKSGAVF >CAK8535492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854058924:854063135:1 gene:gene-LATHSAT_LOCUS4950 transcript:rna-LATHSAT_LOCUS4950 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFSPNPTTVSKRRIPMSASNFFVASNDDQRERAAARAARAAAIRRTSRSVNFQSLPSDYSDPCLNKNQILELLHRCIKLASENKINQKNTWDLDLIDHLTDIIRDEDDNHGETNFQMASCTLEAGVKIYSLRVDSVYSETYKVLARMNRVGQETEQESVNAEGVQEDSKKGILKKLSPLSTLESSFEALNVKKFDVAFSVDPLYRQTSAKFDEGGAKGLLMNNLGVYGRCRVLFDSQDVPGKYVASQNEHDSSDIIDLSFARDCIEQMLLDIHIKDEISPTLKLIVNQFDENNRRPSDFQCYGHKSAEEVDAAINCEVEAEIENHETFPTWPYEHDNQTFVAEVGSNDADPKFSSYPQEEEPFPSQDLDMDELFGNVDEYLSFSLGFRSKKNAWAGPDHWKYHKAKGSESEVSCSPEDGSILKTRLPKTMRQIEVDLDFTNIFRKTPPDVFAPPKNPKSLLLPENRPHCVTKLPEDCHYEPEDLVKLFLLPSVKCLGRRRVRKFSDESTEQCNNNEPFHSWDNGSVFDGAHSHTDDSSTLISQPRQINKVEVQYDKTAKQVDVQALKLILWDSIQGSVQLTFQGEKEMLSFRDILANFPTECNVAATVTDITPHLCFICLLHLANEKELSIQSCPNLDDLHISLPNVGALKSGAVF >CAK8537928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448182701:448183603:-1 gene:gene-LATHSAT_LOCUS7176 transcript:rna-LATHSAT_LOCUS7176 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKDKTNDNEKAREDLAKLCFHGDLELQPLTNGKRGKPKASYTLTKPEVKLVCKWLKELKMPDGYASNLSRCANVEKGTVHRMKSHDCHVFMECLLPIAFRSLPDLVWKPLTELSRFFKDLCCNTLRMDDLVKLDKNIPVIICKLERIFPPGFFDSMEHLPIHRSKEAMLDGPVQYRWMYPFERFMGLSKRAVTNKARVEGSICTDYIHRETNYFCSHYFNSFSLLPNKNICNNPRSDHDDILPTMSLLHSGGRPSGKSGKHYLSDKEWKCSH >CAK8530518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27243877:27245841:1 gene:gene-LATHSAT_LOCUS376 transcript:rna-LATHSAT_LOCUS376 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAKAAFTTVHSSPQPSPTPNHLSRSTLPFSSHPNNPSKRHLRPLRISSSISNSTQQQIPPLPSTQHFTSRFALDEARKGADILVEALERQGVTDVFAYPGGASMEIHQALTRSTSIRNVLPRHEQGGIFAAEGYARSSGLPGVCIATSGPGATNLVSGLADAMLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVNEAFFLASSGRPGPVLIDIPKDIQQQVSIPDWNQPMRLTGYMTRLPKAPSEAHLEQIVRLILESKKPVLYVGGGSLNSSEELRQFVGLTGVPVASTLMGLGSYPTTDENSLQMLGMHGTVSANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCGDLKLALKGINRILESNGTASKIDFGSWREELNEQKLSFPMSYKTFDEAIPPQYAIQVLDELTNGEAIISTGVGQHQMWAAQFYSYKRPRQWLTSGGLGAMGFGLPAAMGAAVANPDAIVVDIDGDGSFMMNVQELATIKVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPANEKEIFPNMLKFADACGIPSARVTKRADLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPANGSFKDVITEGDGRISY >CAK8560617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29515813:29516180:-1 gene:gene-LATHSAT_LOCUS14278 transcript:rna-LATHSAT_LOCUS14278 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVDSVKNINDSKETWRLAVRIMDVWSVVNNKGIEHLEMIVMDSLGDRIQVLIRHDHLLKWKEVIKENMICIINNGSVYNNDF >CAK8571169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:321788372:321812243:1 gene:gene-LATHSAT_LOCUS23859 transcript:rna-LATHSAT_LOCUS23859 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAHLLILTPHSATTSSFPRRSPPFLASRRHLHSAVKPLTLRPGVCIPSPFRACAQSHQMDGSDSGSKETKRLSWAEPISRFARGNVLPLALIAAVTLGLTYPSLGCAVDKYHVSKIGPFGIFVISGLVLRSEEIGAAVEAWPVGLFGLVSILFLTPYFSRIILQVQLQPQEFITGLAIFCCMPTTLSSGVALTQLAGANSALALAMTVISNLLGILIVPFSITKFVASGVGVTLPTNQLFKSLVLTILFPLILGKVIRESFKDVADFVDRNRKLFSGISAFFLSLVPWTQVSKSRPLLLIVKPEVFLVAIGLGALLHLTLLIFNSIAVQSLSIIFGGEKSTFSRQENANALILVASQKTLPVMVAVIEPLCGAFGESGLLVLPCIATHLIQIIVDSFLVNFMRRKNNVKVA >CAK8576585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:495269625:495274423:-1 gene:gene-LATHSAT_LOCUS28761 transcript:rna-LATHSAT_LOCUS28761 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVDRFALPCFEGFSGSDEKRERRSDFENSEDERRTRMGSLKKKALHASSKLKHSLKKKGGRTKSDGRVSSVSIEDIRDVEELQAVDAFRQSLILDELLPQKHDDYHMMLRFLKARKFDIEKAKHMWADMLQWRKDFGADTIMEEFEFKEINEVVKYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKSFAIKFPACTIASKRHIDSSTTILDVQGVGLKNFSKAARELITRLQKIDGDNYPETLCQMFIINAGPGFRMLWSSVKSFLDPKTTSKIHVLGNKYQSKLLEVINASELPEFLGGSCTCLDQGGCLRSDKGPWNNPEIMKMILSGEAKRPRQVVKVLNSEGKVIAYAKPRGPMVKGSDTSTAESGSEAEDIASPKVMKSYSNLRLTPVREESKVVGKSSYAVCGNLAGYDEYIPMVDKAVDAVWKKQASLQKSPSRKGTPPLPDTPKPPENIQARIWIALSLFFLTIFTFFHSIAWRVTKKLPSVSFNKTTSELTLDTTNMSPTPANTKTSALSSMMKRLTELEEKVDMLKSKPSEMPWQKAELLNAAVCRVDGLEAELIATKKALYEALMRQEELLAYIDSQEEAKLRRKKSCW >CAK8533440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617865169:617866753:1 gene:gene-LATHSAT_LOCUS3063 transcript:rna-LATHSAT_LOCUS3063 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKPHAVLIPYPVQGHINPLIKLAKLLHLRGFHITFVNTEYNQKRLLKSRGSNAFDGFTSFSFETIPDGLTPTDGDADGDVSQDIFLLCKSIRKNFIKPFRELLARLDDSATSGLVPPVTCILSDNSMSFTIEAAEELSLPIVFFSPASACTFLSGLHLPTLFEKGLIPLKDESYLTNGYLDAKVDCIPGLQDFRLKDLPDFIRIIDPNNSLLEFINEAAERALRASAMIFNTSNELESDVLNVLSTVLPCVYAIGPLSSLLYQSPQNDLASLSTNLWKEDNKCLDWLESKEPGSVVYVNFGSMTVMTPEKLLEFAWGLANSKQSFLWIIRPDLVIGGSVFLSSEFMNEIKDRGLIAGWCPQEQALSHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPANCRYICNTWEIGIEIDTNVKREEVEKLVTELMVGEKGKKMRQKTIELKKKMEEDTRPGGFSYMNLEKVIKEVMLKQNHT >CAK8539052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502455329:502463551:-1 gene:gene-LATHSAT_LOCUS8186 transcript:rna-LATHSAT_LOCUS8186 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSSLFTRVKETENNPLEKEPHQQPQPYHQFHTSNNTSNETDKDFSDPSSFTFSEFSLSDLKSATNNFSSEYIVSESGEKAPNLVYKGRLQNQKRWIAVKKFSKAAWPDPKQFVEEASGVGKLRHARLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVALYIAQALHYCTSEGRPLYHDLNAYRVLFDEEGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIQGKNNMLLMDSHLEGKFSTEEATVVVNLASKCLQYEPRERPNTKDLVTTLAPLHTKPDVRSHIMLGIPKQEEAPSTPQRPLSAMGEACSRMDLTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDNYSQFIDVGTMVSPTVFARRSLCYLLCDQPDPALRDAMQAQCVYPDWPTTFYIQSVALAKLNMHKDAADMLNEAAALEEKRQRGARGS >CAK8573875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643726057:643727736:-1 gene:gene-LATHSAT_LOCUS26275 transcript:rna-LATHSAT_LOCUS26275 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVHIERNIHLYNGIHQYNGSNYFINSASSMEENNSIMREVYTSDSNIWNNGYLHHNYNLHNFSQPPWRGWVGLMATDCLDCQHLQAIIEEGDPRYVAMILFEIKDNLHEMMKHQYSNYLIQKIFEAKKGVTNIQIDSIIYLIISDTQKLCDVCNNNHGTRVVQIMLENIKCPLTKYAVVYTIKPIIVDLMTNINGGYVIIQCVKVLPPTLKKVIMDELTKYCVDIATHKIGCSIVQTCLKDGGILANDLITTIISNAMLLAENRYGNYVVQFIIKMNFPLVNKRMIAELSGKFVTLSMDKHGSNVVEDLLKCSDQDDVNAIVRELMRSTNFLKVIQDPYGNYVAKRAIKCTKGYLRRKLSSLILSYRNELQNHPHGKIVFDNAKSKKR >CAK8538066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461411244:461412662:-1 gene:gene-LATHSAT_LOCUS7306 transcript:rna-LATHSAT_LOCUS7306 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPATAHHPIAPESPATAHHPYATRFVRRGMAYQNNPRHYVRGFRFALDRLRANDFIWRPYPSYPECVLQDSQIWSATTSIISFHIVEMHQADRVKLQFGFQQDIPPQPRCLRQQHETDMPNTWGDHWRNINKEENNEWRNRTNLTLRGNMVNGNCVHSAEYMQWFLSIPFMHASQGQFLEDPRQYATSSSQQRSSSPMPQEMPQVNPSQFETQTSSFNQPTFFAASSQQPTQPQPQPTYQRTHTTFFATSSQQPTPYTPTPQPNYYYRQQYQEQATFQPSFQFTPIPQPNFDFSYPQPQHQTFNPSMSHPSSSGRTDNVYYPPIQQNPPTTFTQPFQSAPNFTLTDDQLMEWPGFSVTDVDMLDTSRQPENEELTSDSTPSPPTSPPIRQTQELGRGKRVKKSTLCGTGGHLRR >CAK8572624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551794652:551795621:1 gene:gene-LATHSAT_LOCUS25167 transcript:rna-LATHSAT_LOCUS25167 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIFIGIVVLMGLFTSELRVTSAVWQQAHATFYDGPKGGACGYGDQVIDVYGKNTAALSMALFNDGKSCGGCYQIVCDASQVPQWCRKGTSITVTATNFCPPNYNLPSDNGGWCNPPRPHFDMSQSAFEWIAIYRAGIVPILYRKVGCKRDGGMRFTMNGNDYFNLVVISNVGGAGDISGVWIKGSKMENWESMSKSWGANWLSSRYLNGQSLSFRVQLSDGRSVIAKDVVPSSWKFGQTFISNVQF >CAK8544631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696550865:696554417:-1 gene:gene-LATHSAT_LOCUS13289 transcript:rna-LATHSAT_LOCUS13289 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSVFGLALFFSFSVTSSSFPQPPFSSNPNTKTTPSELLSLLGPTPHSKPVNPVIARKLISCLKFLVPFSPTVTDSLRHRKLLRTELIGPNRRRENDMIWWPPESVLELARIAVDSGGDPDAVHRLLDPTVIPVPSVEGSNKNRCQLTRTPYGRRFISEELNMYMQFLFELIVDRGPSVGFDVALSRFDLFHGHLFLTRDSGRLGILFHAREFPAYNKQVFPYNMGYCQIGSNVTYDDSMNLRNILWLAPLPDRSTKSWVAPGVLVVLDANPNGIIYRDLIPDYVKVARTIYEDDFGEVAVDVNILNVGSQSQNYQLFIC >CAK8578686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647188682:647189941:-1 gene:gene-LATHSAT_LOCUS30676 transcript:rna-LATHSAT_LOCUS30676 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSCTNSSSVNGFYDFLTQRLNALHQSLILSNDFMSIQFVSEVILSLQSFHSQLILVVQNLCLPVGGKWLDEYMDESSRLWDVCHAVKSSISGIENYSSGGSNIVASLDGFHHLNQEVSHQVLRSIYIGQREVLGLEEENKSMVETRIQSLSQHINQDITLESKLTEYNGFRGVLYAMRRVSSLLLMILLNGVAYCWSSSCFDHQENEGNLVFGSNFMVSMGRMQQKVGKEIDKINVQPRILLHEFQQAKVAMEEMKVELERIVVYGEVCEIQEKVEKLKSCFGLLKSGVENLIGKVDDLFDEIVEGRKKILDMCSHK >CAK8572106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510552648:510561397:-1 gene:gene-LATHSAT_LOCUS24705 transcript:rna-LATHSAT_LOCUS24705 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSNSIQVHYIIHVYEIKPWPPSQSLRSVQSLHLQWQNGDQNSGSIPSSSVGNGKIEFNEPFKLSVSMSKKGKKRESFKKNILEFQLYDRTVKSQLIGSATVDFADFGIIKEAKAICFQLNCKKSFKSSVQPMMYVSFQPFDVECSSSSRSSSFSKELSTERESVSQSIKDDDDDDDDDKGNGDNDDLEIAAFTDDETDDIASNNLQTTTSASKEIRGSGEIGEGGTKGANGERILPSEIITSSLHVNTKAGSPSHINDNKSLSSSSVLRSGVENVENSSPSSPKISKRSVKVADASSEIQERIQQSPSSSLVLRPGIGNVENGRPSLPEIVKRSVKVADTSSEIQERIQQSLSSSPVLHSGIGNVENGRPSLPEISKRSVKLADASSENQERIQQSPSLSPVLRPGIGNVENGRPSLPEISKRSVKLADASSENQERIQQSPSLSPVLRPGIGNVENGRPSSPKISKRSVKVADASSEIQERIQQSPSLSPVLRPGIGNVENGRPSSPKISKRSVKVADASSEIQERIQQSRWQDISVDRFKAISPSSNISSSMRPHFETSSQSQVLPEDSVSHKDNTENRRYKEYAPKKVGSVTNTGVMEDKLKKDEGRKGREHFTMSNGNFENVLDNNFLDNESTRTEKLNSDSLLLSKKSHEQPTIFVTNEKSEDMRSKKLPLITTESYGQFIRSQNLDQEEKINTSNVVHAAAACHKDINVNGSSFIDNSELKAEVERLREELREAAALEASMYSVIAEHGSSNKVHAPARRLSRFYFYARRVGSPAKITSAAQSVVSGFVLVSKACGNDVPRLTFWFSNLLLLRAIVSKGVENKDLGNGPWLNGECNVNGSTSHDKEKENKEEQFHGWLEPETFLVALEKVEAWMFSRIIESVWWQTLTPYMQSAAAKSSSSRKNYGKRYTIGDQDQGNFSIDLWKRAFKGACERLCPLRGGGLECGCLHVISRMVMEQLVNRLDVAMFNAILRESADEMPTDPISDPISDSKVLPIASGKSGFGAGAQLKNAVGDWSRWLSDLFGIDDCDDSHDDNNENDDIKCESSFKPFPLLNTLSDLMMLPFEMLADRSMRREVCPRLGISLVKQVINNFVPDEFTPGPVPNAVIEALNNEDIADDEGSITSFPCSAGSTFYAPPPTSSVVSMLKEVGTPRLKSASFMLKKLYTSDDELDELDSPLSALGMDDSKKMSAVVKEGRKVVRYELLKEVWKSSE >CAK8534863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779114322:779117298:1 gene:gene-LATHSAT_LOCUS4370 transcript:rna-LATHSAT_LOCUS4370 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAIIVFTIIILALTWSWRTLNWLWLKPKKLEKLLREQGLKGNSYRFLVGDIKDVVKTRKEATSKPMNLSDDIVPRVFSYFQQSVVKHGKNSFVWFGPTPRVTITDPEIIKDVLNKNNEFRKPNVSSLVRLLVNGLVNLEGEQWSKHRKIINPAFHFEKLKIMLPNFCKSCDDLISKWETMLSSDGSCEMDVWPFLQSLASDVISRTAFGSSYEEGRRIFQLQIEQAELTKTVMMNVYIPGWSFLPTPTHRRMKEIDRDVKASLTNMINKREEALKAGEATMDDLLSILLESNHKEMEEHGNNKNVGMSLEDVIEECKLFYFAGQETTSVLLVWTMVLLSRYPDWQARAREEVLQVFGKNKPDFDGLTHLKIVTMILNEVLRLYPPAIALARTVHKDMKLGNLTLPAGVQLFLSIVLVHHDSELWGDDAKVFNPERFSEGVLKATNGRYSFFPFGGGPRICIGQNFAMMEAKIAIAMILQRFSFELSPTYTHAPATVITLQPQHGAHIILRKVEI >CAK8563280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589310350:589310556:1 gene:gene-LATHSAT_LOCUS16706 transcript:rna-LATHSAT_LOCUS16706 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRIKHFDFVSRDSPQVGFESRVMGDYPARFGEHFLSALVNGSPSIKKEAAIPTAESPLTLFIIMVN >CAK8534289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713237573:713237950:-1 gene:gene-LATHSAT_LOCUS3844 transcript:rna-LATHSAT_LOCUS3844 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVLRGTKPKVDYRKLFFGNLASPRAIFTLWMACQNRLLTKDRMMKHGMSTDEVSVLCNLQESCHHLFFECEATKGVWKQAITWIGVDHNPGGWKSELVWITQQTLGKGSRTKLLKMAIAETVY >CAK8563324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592818254:592827666:1 gene:gene-LATHSAT_LOCUS16748 transcript:rna-LATHSAT_LOCUS16748 gene_biotype:protein_coding transcript_biotype:protein_coding MDENFDGFDNKLPELKLDSKQAQGFLSFFKTLSDDPRAIRFFDRRDYYTAHGENATFIAKTYYHTTTALRQLGSGSNALSSVSVSRNMFETIARDLLLERTDHTLEVYEGSGSNWRLVKSGTPGNIGSFEDVLFANSEMQDSPVVVALSLNLRESGCTVGLGFVGLTKRLLGMAEFLDDSHFTNVESALVALGCKECLVPIERGKSIEYKMLCDVLTKCGVMLTERKKSEFKTRDLVQDLGRLVKGSIEAVQDLVSGFEFATGALGALLSYAELLADESNYGNYTLRRYNLDSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVKEINLRLDVVQAFVEDTVLRQGLRQHLKRISDIERLVHNLQKRRAGLQHVVKLYQSSIRLPYIKSALDRYDGQFSSMMKSRYLKDLELWTGVDRLNKFIELVETAVDLDHLENGEYMISSSYDTALSELKEKQELLESQIHNLHKQTADDLDLHIDKTLKLDKGTQFGHVFRITKKEEPKIRKKLNTQFIVLETRKDGVKFTNTKLKKLGDKYQQILEEYKSCQKQLVSKVVEIAATFSEVFESLAALISELDVLLSFADLASSCPTPYTRPEITSSDEGDIILEGCRHPCVEAQDWVNFIPNDCNLIRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVDVIKAPTLFATHFHELTALAHENGSNDQHKQIVGVANYHVSAHIDASTRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPSAISLIDSSIEEVGSKRKRVSESDDMSKGAAKARQILESFVALPLETMDKMQALQEVRKLKDSLEKDAQNCVWLQNFL >CAK8569215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683084185:683085893:1 gene:gene-LATHSAT_LOCUS22094 transcript:rna-LATHSAT_LOCUS22094 gene_biotype:protein_coding transcript_biotype:protein_coding MASISWFSCLHIRPTASADNKGLSSSITAEHHKTRPQNLISSSLEEGHAVNRRQLILYTSTAAIVASSTVSNALALNDVSEDFSVYTDDENKFKIEIPQEWKTGTGETAGFKSLTAFYPKEESNSNVSVVITEVGPDFTKMESFGKVEEFADTLVSGLDRSWKKPPGVAAKLIDCKSSKGFYFIEYTLQNPGESRKHLYSAIGMLKNGWYNRLYTVTGQYGDEETDQYASKIQKAVRSFKFI >CAK8570556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59498944:59500237:1 gene:gene-LATHSAT_LOCUS23294 transcript:rna-LATHSAT_LOCUS23294-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFPDFFKVFLTKKDYERMLIPNAFVNLLHLKKMVRPDFVLRNHSGRDWHVKLLSIGHEVYFDDGWKRFKEENSLEDNDYIVFTHIENNVFKFKILELSSMCEKEKKNDEKEKNTMVNGESENEHQHCRACNPWCIGSRSEASELEDVEIDEEMYVQQGNPYFLTKYIYYRRNELYIPKNVIKDLCLCFTKYITLVCCHCKDVETNEIAAYHHILPQTNAKHIEKRGRIRTWRDGRVFVQGWEDFCRKSKITEKDICLCELVLHEDGTVEMLRVHVVNVRKE >CAK8570555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:59498944:59500237:1 gene:gene-LATHSAT_LOCUS23294 transcript:rna-LATHSAT_LOCUS23294 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFPDFFKVFLTKKDYERMLIPNAFVNLLHLKKMVRPDFVLRNHSGRDWHVKLLSIGHEVYFDDGWKRFKEENSLEDNDYIVFTHIENNVFKFKILELSSMCEKEKKNDEKEKNTMVNGESENEHQHCRACNPCKFSYVTEIKRTFCLFCMSENLLVDLFVLGCIGSRSEASELEDVEIDEEMYVQQGNPYFLTKYIYYRRNELYIPKNVIKDLCLCFTKYITLVCCHCKDVETNEIAAYHHILPQTNAKHIEKRGRIRTWRDGRVFVQGWEDFCRKSKITEKDICLCELVLHEDGTVEMLRVHVVNVRKE >CAK8578692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647292659:647293588:-1 gene:gene-LATHSAT_LOCUS30682 transcript:rna-LATHSAT_LOCUS30682 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYPNPIRPSQTRVGWIGIGVMGGAMASRLISAGYTLTFYARNPTHPNSLSLQSQGAKLADSPSHLANSSDVVFTMLGHPSDVKSILLDKNGVVSSLNPNTVTVDTTSSHPDLAREISLAARAKNAWSIDAPVSGGDIGARDGKLAIFAAGEASVVEWLQPLFKIMGKATYMGPSGSGQSCKIANQITIAANLIGISEGLVFAKRAGLDLEQFVNAIRDGSAGSTALELFGERMIKRDFRPGGYAEYQVKDLGMGVNFVEGGDDNNHVVVLPGASLAKQIFTGMVANGDGKLGGQGVISVIERINGD >CAK8536445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941937580:941939229:1 gene:gene-LATHSAT_LOCUS5813 transcript:rna-LATHSAT_LOCUS5813 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHRVSALVLLSLCFILAQGQSKQSSVHLDTHWHPGTATWYGEAEGDGSTGGACGYGNLVDVKPLRARVGAVGPVLYKQGEGCGECYKVKCLDHRICSKRAVTVIITDECPGCPSDRTHFDLSGAAFGRMAVSGENGHLRNRGEIPVVYRRTTCLYTGKNIAFQVNEGSTPFWLSLLVEFEDGDGDIGSMLIQEAGSTEWLQMKHLWGANWCITAGPLRGPFSVKLSTSSGRSLTAKDVIPTNWSPKSTYTSRLNFSP >CAK8574798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9566306:9566887:1 gene:gene-LATHSAT_LOCUS27104 transcript:rna-LATHSAT_LOCUS27104-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFQNFFFIILIISCYILTIVSEQEETGFVSSINPKLFKKKEIFSHFKFYWQDIVGGNNATSIPIIQPLPKFNNDYSVFGLVRIFDNALTLGPKLSSKLLGRAQGFLASTSQTELDFLMVMNFVLFEGKYNGSAITILGRDVAYNETREMSVIGGSGVFRFAKGYAEANTISYDPITVNTIVEYNIFVSHQY >CAK8574797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9566300:9566887:1 gene:gene-LATHSAT_LOCUS27104 transcript:rna-LATHSAT_LOCUS27104 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAFQNFFFIILIISCYILTIVSEQEETGFVSSINPKLFKKKEIFSHFKFYWQDIVGGNNATSIPIIQPLPKFNNDYSVFGLVRIFDNALTLGPKLSSKLLGRAQGFLASTSQTELDFLMVMNFVLFEGKYNGSAITILGRDVAYNETREMSVIGGSGVFRFAKGYAEANTISYDPITVNTIVEYNIFVSHQY >CAK8538517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485818169:485820631:-1 gene:gene-LATHSAT_LOCUS7706 transcript:rna-LATHSAT_LOCUS7706 gene_biotype:protein_coding transcript_biotype:protein_coding MATISHYTLHPLSSSSSTLIHLSPCSSTSSLTLPQSSSSSKFFFPSSKFRRKPTKLKHFMIIDPILLFNGFGSAFYFDTQTLLATVSVLAAIALSLFLGLKGDPVSCERCGGNGGTKCVFCNDGKMKQETGLVDCKVCKGSGLIFCKKCAGSGYSRRL >CAK8532209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221260559:221261056:-1 gene:gene-LATHSAT_LOCUS1940 transcript:rna-LATHSAT_LOCUS1940 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTTCFVCWNLNTGFNCNNHLHNTRVSRSPFPLSCKMPHRILSPQQRRHYHKRTTSQPSIDGDLKPNQHLNFDLNNKLSFNSLHNLNGPILLHDNNSTPSALNVNGAEQTEQLSGGQLEDLLTMIKSAETNILLINQARVRALEDLQKFLAEKKALQGDISR >CAK8574402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680121217:680124840:-1 gene:gene-LATHSAT_LOCUS26753 transcript:rna-LATHSAT_LOCUS26753 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRVANVNLGFESCSRSAFFSPRKQYNSFVFRCSQVQQLSISEESENSLIDALVGIQGRGRSSSPNQLNAIERAIQVLESLGGVSDPTNSSLIEGRWQLIFTTRPGTASPIQAAASIKDGKRILFRFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKQTQPRQKLLTAISSGVRVTEAIDELISLNKKSEDKEPELEEGEWQMIWNSQTVTDSWLENAANGLMGKQIVGKNGRIKYVVDILLGLKFSMTGNFVKSGPKVYAVTMDDAAIIGGPFGYPLELGKKFILEILFSDEKVRISSGDNGIIFVHVRNA >CAK8574401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680121217:680124840:-1 gene:gene-LATHSAT_LOCUS26753 transcript:rna-LATHSAT_LOCUS26753-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRVANVNLGFESCSRSAFFSPRKQYNSFVFRCSQVQQLSISEESENSLIDALVGIQGRGRSSSPNQLNAIERAIQVLESLGGVSDPTNSSLIEGRWQLIFTTRPGTASPIQRTFVGVDFFSVFQEVYLRTNDPRVCNIVSFSDAIGELKVEAAASIKDGKRILFRFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKQTQPRQKLLTAISSGVRVTEAIDELISLNKKSEDKEPELEEGEWQMIWNSQTVTDSWLENAANGLMGKQIVGKNGRIKYVVDILLGLKFSMTGNFVKSGPKVYAVTMDDAAIIGGPFGYPLELGKKFILEILFSDEKVRISSGDNGIIFVHVRNA >CAK8537315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227449846:227450568:1 gene:gene-LATHSAT_LOCUS6613 transcript:rna-LATHSAT_LOCUS6613 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYSLLDGSSDNSKFEDDCRAIIGTQSYVLFTIDKLIYKLVKQLQAVAIATDEMDSKLLQLYAYEQSRKPGSFVDIVYHENARVLLHDENIYRIECSSTPPRLSIQLMDYGHDKPEVTVVSIDPNFSAYLHNDFLSVVPDSKEKSGIFLKRNKLKCAWSDEFPNQIMDGVQVTNGLECKIACNSSKVSYVLDTEDSLFRTKRKRRILYPNNSYHEQTTSSSNICSRRTQRFCKLFSVK >CAK8574486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614604:617761:-1 gene:gene-LATHSAT_LOCUS26830 transcript:rna-LATHSAT_LOCUS26830 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSHKTTSSSSSSSTTTMLKRKRKTIPRNSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNQSQSKKGRQGAYDDEEAAARAYDLAALKYWGQATTLNFQVSNYQEELKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAIAYDMAAIEYRGLNAVTNFDLSRYINNDNNINHNQNPSNNNRELDLNQELVDAGDTSMTSSDVDLILNSSKFKEMLDITTTPSSSIEDSYTPPLVESNPPHRNFPEDIQTIFETQYSSIYSENDDDLIFGDLISIDAPIFHYGLDA >CAK8567507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519448417:519453751:1 gene:gene-LATHSAT_LOCUS20554 transcript:rna-LATHSAT_LOCUS20554 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSSARDYINRILQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELVDSISKSNEPMSHLKAIYFLRPTSENIQYMRRQLASPRFGEYHLFFSNIMKDHHIHLLADSDEQEVVQQVQEFYADFVAIDPYHFTFHVPSNYIYMLPAVIDPSALQRFSDRVVEGLAALFLALKRRPVIRYQRTSDIAKRIAQEAAKLMYQEESGLFDFRRMEVSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDVKSIGKFPKDQEEVVLSSEQDSFFKANMYDNFGDIGMNIKRLVDEFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVTKHVTLVTEMSKIVEERKLMLVSQTEQELACNGGQGAAFEAVTNLLNNESISDLDRLRLVMLYALRYEKDSPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRTADLYGNRDLMNIARNMARGLKGVENVYTQHQPLLFQIMESIVKGRLRDVDYPFFGNHFQQGRPQDVIIFIVGGTTYEESRSVALQNASNTGVRFILGGSSVLNSKRFFRDLEEAQRVARSSTSVV >CAK8570602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66787161:66796974:-1 gene:gene-LATHSAT_LOCUS23339 transcript:rna-LATHSAT_LOCUS23339 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIASEESGAGKSVEGSFSGHRCQSGEALAEWRSSEQVENGIPSTSPPYWDTDEDDDGPKPSELYGKYTWKIEKFSQITKRELRSNAFEVGNYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFCTFWREIDQTSRRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKCKKGRVKLLDAEEMPAPIVRAEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVSYQEAVALKRQEELIREEEAAWLAETEQKAKRAVNEREKKSKKKQAKQKRNNRKGKDKGREDRPIVAVYDKQQDVASDEKKDSNMDEVQTLDEKLDALEVVSDVSDSVIGVDEVPQPDSEERDASPVNWDTDASEVHPSTEASSNGIGSLAPVQNGLTEKRSSSVIDDSSSTCSTDSLPSVVMNDPHKVNSFSNYKVQKSPSKGKNRIKASCDGSNWTTEIDSQASGSAANAVDINRSGNGKVGESESEGAICLQDRLKWLDKPVVRKEEEVLSLQKKQSIKEQVDVEKPVDTGSLQKEMTSVRPSSPRSPPRNLPSPVNVRKTSLSPSQQTGKDAFSPSLTSASQATIVPKTESQKTSPPRPTEKPTTQVAMMSRPSSAPLVPGGPKLTTSVSVVQTAPLLARSASATGRLGPDPSPATHSYVPQSYRNVMMGNHMASTDTSFTHSTSSSGVNPSSSYSQQPLSSAMFLSQSADKMDSMAAQSNVPYGMIARDVLQNGPQWMEGSQREANRSMQYEPSSRLNDVQNHDLFRPVDSRSLDHMPNEFQACTSRRQNQGLLADEFPHLDIINDLLDDEHSIGNTAGTSSVFQSFNSGPHMLNRQFTFPGDLDTNDDLGSSTSSCRFERSRSYDPGFQQGYGSSGGHFDSRDYHPQANALPYGNGNGNGKVDGLVPNQWLPRAGSDLSYLGMRNPDNDGYPYYQDYSNLTCGVNGYTIFRPSNGQ >CAK8543811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641211165:641211539:1 gene:gene-LATHSAT_LOCUS12543 transcript:rna-LATHSAT_LOCUS12543 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFLAVSPEIFIINATSILLIHGVVFSTSKKYDYPPLVSNVGWLGLLSVSRLGGQRALGCGGAKQLERMNVRGAKKAQLPNLMRHRVRTAGNRSMGGCLILLQPQGWLIVRSWLEYPWFWKA >CAK8542309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500953406:500958991:1 gene:gene-LATHSAT_LOCUS11156 transcript:rna-LATHSAT_LOCUS11156 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLTRFSASRLRLFSTAIPAACMVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRIISFQEQYDRFMSSYRSLEKNTRGQSADIVSLSKWRILNRLHDRNETLYFRALIDNIKEFAPIIYTPTVGLVCQNYCGLFRRPRGMYFSAKDKGEMMSMIYNWPAPQVDMIVLTDGSRILGLGDLGVQGIGIPVGKLDMYVAAAGINPQRILPIMLDVGTNNQKLLDDPLYLGVRQPRLEGEEYLSIMDEFMESVHARWPKAIVQFEDFQMKWAFETLKRYRQKHCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVKQKIVIVGAGSAGLGVLNMAVQAVSRMSGCSETEAKSLFFLLDKDGLITTERSNIDPAAVPFAKNPRDLEGLAEGASIIDVVKKVRPHALLGLSGVGGIFNEQVLKAMRESVSTKPAIFAMSNPTMNAECTAIDAFNQAGENIVFASGSPFENVDLGNGRVGHVNQANNMYLFPGIGLGSLLSGARLITDGMLQAASECLASYMTEEDIKKGILYPSIDCIRNVTADVGAAVLRAAVAEGIAEGLGGVRSKELEHMSKEDTLEYVRGNMWYPEYCPLVHEK >CAK8541380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:144469612:144471360:-1 gene:gene-LATHSAT_LOCUS10305 transcript:rna-LATHSAT_LOCUS10305 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEALIDRPRKSLPKTFWLILSLAAIISSSALIVSHLNKPISFFHLSSTPNLCEHAVDTKSCLTHVSEVVQAPTLANTKDHKLSTLISLLTKSTTHIQEAMNKANVIKRRVNNPREETALNDCEQLMDLSMDRVWDSVLTLTKNNVDSQQDAHTWLSSVLTNHATCLDGLEGTSRVVMESDIQELISRARSSLAVLVSVLPTKSNDGFIDESLNGEFPSWVTSKDRRLLESTVGDIKANVVVAKDGSGKFKTVAEAVASAPDNGKARYVIYVKRGTYKEKVEIGKKKTNVMLVGDGMDATIITGSLNFIDGTTTFNSATVAAVGDGFIAQDIGFQNTAGPEKHQAVALRVGADQSVINRCKIDAFQDTLYAHSNRQFYRDSFITGTVDFIFGNAGVVFQKSKLVARKPMSNQKNMVTAQGREDPNQNTATSIQQCDVIPSSDLRPVQGSIKTYLGRPWKKYSRTVVLQSVVDGHIDPAGWAEWDAASKDFLQTLYYGEYLNSGAGAGTSKRVTWPGYHIIKTAAEASKFTVTQLIQGNVWLKNTGVAFIEGL >CAK8566263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398420793:398425444:-1 gene:gene-LATHSAT_LOCUS19408 transcript:rna-LATHSAT_LOCUS19408 gene_biotype:protein_coding transcript_biotype:protein_coding MKMILVILWFFFLKLRMSLGDIGEQPLSKIAIHKTILALHASASITASPFLLGNKGEDTEWVTVELESPEPAIDDWVGVFSPGKLNSSTCAPNPGGIGWVETPYICTAPIKYKYANHSNPNYKKTGKTTLKFQLINQRSDFSFALFSGGLSNPQLVAVSNSIAFANPKAPVYPRLAHGKSWNEMTVTWTSGYDIDEAVPFVEWGPKGGKQIQSAAGTLTFNRNSMCGEPARTVGWRDPGFIHTSFLKELWPNMRYTYRLGHFLSDGSYVWSKRYSFKASPYPGQKSLQRVIIFGDMGKAERDGSNEYADYQPGSLNTTDQLINDLENFDIVFHIGDLPYANGYISQWDQFTAQVQEISSTVPYMIASGNHERDWPNTGSFFNTPDSGGECGVPAETMYYFPAENRAKFWYATDYGMFRFCIADNEHDWREGSEQYKFIENCLATVDRKQQPWLIFAAHRPLGYSSNSWYAMEGSFEEPMGRESLQGLWQKYKVDIAFYGHVHNYERVCPIYQNQCVNKEKSHYSGTVNGTIHVVVGGGGSHLSDFAAAPPVWSIFRDRDYGFGKLTAFNHSYLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCEKTTLAT >CAK8566264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398420793:398425396:-1 gene:gene-LATHSAT_LOCUS19408 transcript:rna-LATHSAT_LOCUS19408-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGDIGEQPLSKIAIHKTILALHASASITASPFLLGNKGEDTEWVTVELESPEPAIDDWVGVFSPGKLNSSTCAPNPGGIGWVETPYICTAPIKYKYANHSNPNYKKTGKTTLKFQLINQRSDFSFALFSGGLSNPQLVAVSNSIAFANPKAPVYPRLAHGKSWNEMTVTWTSGYDIDEAVPFVEWGPKGGKQIQSAAGTLTFNRNSMCGEPARTVGWRDPGFIHTSFLKELWPNMRYTYRLGHFLSDGSYVWSKRYSFKASPYPGQKSLQRVIIFGDMGKAERDGSNEYADYQPGSLNTTDQLINDLENFDIVFHIGDLPYANGYISQWDQFTAQVQEISSTVPYMIASGNHERDWPNTGSFFNTPDSGGECGVPAETMYYFPAENRAKFWYATDYGMFRFCIADNEHDWREGSEQYKFIENCLATVDRKQQPWLIFAAHRPLGYSSNSWYAMEGSFEEPMGRESLQGLWQKYKVDIAFYGHVHNYERVCPIYQNQCVNKEKSHYSGTVNGTIHVVVGGGGSHLSDFAAAPPVWSIFRDRDYGFGKLTAFNHSYLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCEKTTLAT >CAK8575320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:78102049:78122515:-1 gene:gene-LATHSAT_LOCUS27593 transcript:rna-LATHSAT_LOCUS27593-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVEEASTPSLLPDLLKNTPSNIARLEDVIEHSKARHKYLAQTTSPSDGGDVRWYFCKTSLAPNELAASVPSTEIVGKSGYFRFGMRDSLAIEASFLQREEELLSCWWREYAECSEGPREQQSSSSKLDKHQFCESDEERVGVPVKGGLYEVDLVRRHCFPVYWNGENRRVLRGHWFARKGGLDWLPIREDVAEQLEIAYRSQVWHRRTFQLSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNVDASGFSNLVSLSRSGIKLRRGYSPSNSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTSHQLGTQRVLYIPCQWRKGLKLSGETTVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIINSVSNQLNRLYQKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQNNLSSPFPMDWMYKEHGENGEPVPDEKSNYFKHSLINQDDTLSVKSLSGEKKSTQQSSSEMEAEFSEESSVLCPTLSSGHNFIAEHNSVNPSNQRDIFECVSDSSDTFFEKMGALDKLENMNLGLPVDKEKCNGTSNKDEEIKKLREEIDSLNAKLNDLESCVDGHNKEEVDSVKDVYMHVAVPQLSQELPPIQDATEHYTPYIKYTKLLFKVDTFFAVGSPLGVFLALRNIRLGVGRGQEYWEQENISEEMPACRQMFNIFHPFDPVAYRIEPLVCKDYIGKRPVLIPYHRGGKRLHIGFQEFTENLAVRTHTIKNYVISARDRVLTVCQSTDVENLEGESIAEEEETSYGSLMMERLTGSKTGRVDHMLQDKTFEHPYLQALGSHTNYWRDYDTALFILKHLYRDIPEDPNSTTVYNTGSSKHESSSTGWYDPRDTVEEDAPLTFSDKVKVRNFSTKAKRIMQI >CAK8575321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:78102049:78122515:-1 gene:gene-LATHSAT_LOCUS27593 transcript:rna-LATHSAT_LOCUS27593 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVEEASTPSLLPDLLKNTPSNIARLEDVIEHSKARHKYLAQTTSPSDGGDVRWYFCKTSLAPNELAASVPSTEIVGKSGYFRFGMRDSLAIEASFLQREEELLSCWWREYAECSEGPREQQSSSSKLDKHQFCESDEERVGVPVKGGLYEVDLVRRHCFPVYWNGENRRVLRGHWFARKGGLDWLPIREDVAEQLEIAYRSQVWHRRTFQLSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNVDASGFSNLVSLSRSGIKLRRGYSPSNSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTSHQLGTQRVLYIPCQWRKGLKLSGETTVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIINSVSNQLNRLYQKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQNNLSSPFPMDWMYKEHGENGEPVPDEKSNYFKHSLINQDDTLSVKSLSGEKKSTQQSSSEMEAEFSEESSVLCPTLSSGHNFIAEHNSVNPSNQRDIFECVSDSSDTFFEKMGALDKLENMNLGLPVDKEKCNGTSNKDEEIKKLREEIDSLNAKLNDLESCVDGHNKEEVDSVPQLSQELPPIQDATEHYTPYIKYTKLLFKVDTFFAVGSPLGVFLALRNIRLGVGRGQEYWEQENISEEMPACRQMFNIFHPFDPVAYRIEPLVCKDYIGKRPVLIPYHRGGKRLHIGFQEFTENLAVRTHTIKNYVISARDRVLTVCQSTDVENLEGESIAEEEETSYGSLMMERLTGSKTGRVDHMLQDKTFEHPYLQALGSHTNYWRDYDTALFILKHLYRDIPEDPNSTTVYNTGSSKHESSSTGWYDPRDTVEEDAPLTFSDKVKVRNFSTKAKRIMQI >CAK8573437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612332858:612335598:-1 gene:gene-LATHSAT_LOCUS25884 transcript:rna-LATHSAT_LOCUS25884 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGLSTAAVAASVSVGPPTHLRIHSSRLSCGGRIPSSRIRIRPVRCKAVGENPQGSLSDTVVYKGVYGPWTVESSDVQEVISYRSGLVTAAASFVIAASAAYLPDNLSSLLKQNQDFLYVIGSGGLGLSLFLIHIYVTEIKRTLQALWGLGVLGSAATYIYLAQPANKTLVQYVVDNPSAVWLVGPLFAALTGLVFKEGLCYGKLEAGALTFIIPILLLGHLSGLMDDGAKLTLLALWMGLFVIFAGRKFTQPIKDDIGDKSVFTFNSLPDDEKKALIEKLEQQNSRY >CAK8572163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516086330:516087964:1 gene:gene-LATHSAT_LOCUS24756 transcript:rna-LATHSAT_LOCUS24756 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSENSLVISFGEMLIDFVPDTAGVSLAESHGFIKAPGGAPANVACAIAKLGGNAAFIGKVGEDEFGRMLASILKKNGVNTDGVLFDTEARTALAFVTLKKNGEREFMFYRNPSADMLLKESELKMDLIKKAKIFHYGSISLITEPCRSAHMAAMKAAREAGALLSYDPNVRLPLWPSAEAARSGIKSIWLEADFIKVSDDEVEFLTQKDAQSEEVIKSLWHDKLKLLLITDGEKGCRYVTKNFKGRVSGFAVNAVDTTGAGDAFVGALLTAVARDTSIFDNEANLREALTFSNACGAMCTTQKGAIPALPTAEEAKKFISSTKAN >CAK8534530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739275762:739276694:1 gene:gene-LATHSAT_LOCUS4072 transcript:rna-LATHSAT_LOCUS4072 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAVRTIALHSPSLCTVASDKPSSQSPSTISKPNTTHLTPKPKSLLQNHPLYTQTHEKLSLHFKEKILCLEVMGIDSGKALSQNPNLHTASIESIHSIISFLVSHGILHKDLPRIFGMCPKILTSSIQTDLIPVFDFLVRELKVPDYNFRKVVKKCPRLLTSSVVDQLKPALFYLKRLGLRDLEALAYQDSVLLVSNIERTLIPKLKHLESMGFTKEETRFMVLRCPPLLTFSIENNFQPKFEYFSVEMGGKLEELKGFPQYFSFSLENRIKVRHKEVVDSGVNLPLSLMLKSTDDEFRELIKKGNGGG >CAK8569583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6048909:6050285:-1 gene:gene-LATHSAT_LOCUS22420 transcript:rna-LATHSAT_LOCUS22420 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSSLTSSLSSLSFSSNISRKSTPLSLPRTFSLSRSSKFPSLTVSASSLAPPAEPEIADLKAFVKSRLPGGFAAQHIIATGRRKTAIARVVLKEGTGRFVVNYRDATEYFQGNPLWLQYIKVPLAILGYDTSYDVFVKAQGGGLSGQSQAITLGVARALLKVSEDYRAPLRLKGLLTRDPRAVERKKVGLKKARKAPQYSKR >CAK8572713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557610640:557619620:1 gene:gene-LATHSAT_LOCUS25243 transcript:rna-LATHSAT_LOCUS25243-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPNDSPLILHQKLVEEPADDAVGALSPKINQLKKQIQAERIEFIAEKLQRNQKKLQCHISGIMVAISTRDSSQAEENKTCPILSSRMDHPSCKFDGFINVSGDKDHSNQDISSVTSIKIPRIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQRGSEALICSDSEEELTEPEEVKHEFSEAEDRILWMAFEEHGLNEEVLNVVCKHVGGTSLEVQERYKSIRENTTGRLGQHSKSLGEYDSPMSMYLEKTLSDALDSLDNLFCRRCLIFDCRLHGCSQPLICPSEKQTVWSEPEGNRKPCGDQCYLQFNVVKNMPKDTTPGSFRDKKITIVEETNGIMSPPSTVEEPDNQSTKLSTRIDCHGYLNLNDHVSQNLEKRKVTNQSDSRLPPDSQNSSKKLKRVSDDVVTVVNDNSKNLNLGTCDENKHINTCAILGKSIEHASNKLIVPSSTCHSESDKGVVNGSKVVTNETELKKPLNSMEEQVDEMPGFSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEISSYMHDRGVSMPHRSISTANSIMDDKGKFDTECTDQDMPSRSRLLRKRGKTRKFKYSWKSAGHPTTWKRIADGKNQSCKQYTPCECQSMCGKDCSCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGTLGEPPRRGEGQCGNMRLLLRQQQRILLGKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQFVLDAYRKGDKLKFANHSSNPNCHAKVILVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKRDESEGRAKKHQSH >CAK8572712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557610640:557619620:1 gene:gene-LATHSAT_LOCUS25243 transcript:rna-LATHSAT_LOCUS25243 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPNDSPLILHQKLVEEPADDAVGALSPKINQLKKQIQAERIEFIAEKLQRNQKKLQCHISGIMVAISTRDSSQAEENKTCPILSSRMDHPSCKFDGFINVSGDKDHSNQDISSVTSIKIPRIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQRGSEALICSDSEEELTEPEEVKHEFSEAEDRILWMAFEEHGLNEEVLNVVCKHVGGTSLEVQERYKSIRENTTGRLGQHSKSLGEYDSPMSMYLEKTLSDALDSLDNLFCRRCLIFDCRLHGCSQPLICPSEKQTVWSEPEGNRKPCGDQCYLQQFNVVKNMPKDTTPGSFRDKKITIVEETNGIMSPPSTVEEPDNQSTKLSTRIDCHGYLNLNDHVSQNLEKRKVTNQSDSRLPPDSQNSSKKLKRVSDDVVTVVNDNSKNLNLGTCDENKHINTCAILGKSIEHASNKLIVPSSTCHSESDKGVVNGSKVVTNETELKKPLNSMEEQVDEMPGFSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEISSYMHDRGVSMPHRSISTANSIMDDKGKFDTECTDQDMPSRSRLLRKRGKTRKFKYSWKSAGHPTTWKRIADGKNQSCKQYTPCECQSMCGKDCSCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGTLGEPPRRGEGQCGNMRLLLRQQQRILLGKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQFVLDAYRKGDKLKFANHSSNPNCHAKVILVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKRDESEGRAKKHQSH >CAK8562391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:483126110:483126526:-1 gene:gene-LATHSAT_LOCUS15891 transcript:rna-LATHSAT_LOCUS15891 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQSMLQHFTLFLALIVFNYSLQSHARLLKPLNQHNVPTSTPEKKIDSSMKSHNEVATSFGDSSENHHTYAFQPTTPGNSPGVGHRYFTEEKSKKTVVQSPDHSISVIEDTKNEFKKTDPGHSPGVGHAYQNKIGN >CAK8568635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625652971:625653697:-1 gene:gene-LATHSAT_LOCUS21575 transcript:rna-LATHSAT_LOCUS21575 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLYPTPSSLATNIFSLQTSKSLRLAIQTHTNPKHSSVNYVFQLPSTNKYCLITTKATNGNGVGGNQQNTKPNSVICADCDGDGAVVCSQCKGDGVNSVDFFNGLFKAGASCWLCGGRKEILCGSCNGAGFIGGFLSTYDQ >CAK8530799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50747169:50747612:1 gene:gene-LATHSAT_LOCUS639 transcript:rna-LATHSAT_LOCUS639 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPVEEKKSTIAEKTPAEKKPKAGKKLPSKDGAAGDKKKKRNKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >CAK8569168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678440296:678441606:-1 gene:gene-LATHSAT_LOCUS22052 transcript:rna-LATHSAT_LOCUS22052 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNVLIYISTFSTNFSTISHHHTSHYRKQISLANLFQSFGFPSSNLHHFLSHTHFLFNSDLSHLRKSLSTLFSFKIPQKTLISLVHDCPSVLEPQFLHHWELAFPQLKSKDFNPSPLMIANLLRCSRKFQLNPLELSQKVEIFKGLGFSDDVTARVLEEFPSAVVMTENKIVGVIDFLVDFGVLRDEIDRIVRLYPRVLGFGIEDKLKPLIHELRGLGFSRREIKTEVVRDPGILGMEIGEFSRCLKLLQSLKCREVIKESIFGDGLVRACFELKLRVDCLCDHGLIRRDALKVLWKEPRLMTYELEDIEKKIEFLVQRMKYSVDCLHEVPEYLGVNFEKQIVPRYNVIEYLKGKGAIGFEIGLKDIIKPTRVRFYNLYVKPYPECEKIYGRFSGKVEVKRKHPDGLWKLFKPQKFPQTSKDVKNMKAFMDSSLV >CAK8531204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88909976:88911076:1 gene:gene-LATHSAT_LOCUS1011 transcript:rna-LATHSAT_LOCUS1011 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTRQEVVCVTGANGFIGSWLVQTLLHKQNPHYTIHATIFPGSDPSHLFTLHPEAQSRITIFPVNILDSTAVSTAIQNCSGVFHVASPCTLEDPTDPQKELLEPALHGTLNVLEASKRAGVKRVVLTSSISALIPNPNWPENKPIDESSWTDVEYCSSRGKWYPVSKTKAEKAAWEFQKKHGIDVVAIHPGTCLGPLLQKEMNASSAVLQRLMLGFKDTQECYWLGAVHVKDVARAHVLVYETPTAAGRYLCTNGIYQFSSFAKIVSQLYPHFPIHSFPDETQPGLTPCKDAAKRLIDLGLVFTHIQDAITEAAESLMAKGFLQRTPSASQN >CAK8543673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630656724:630658052:1 gene:gene-LATHSAT_LOCUS12417 transcript:rna-LATHSAT_LOCUS12417 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRKCIKSSQQSLSSTNSIIITEPEFYLPEDCWEHVLSFIINPLDDGSQYIKSLSLVSKQFLSITNRLLFSLRIYHPQLCFLYRFFHRFSNLNSLDLWFSSRDLHPDIALALRDRPTLKSLSIFGIDLKGPNYVTSQYIDSFVSLKGLISLKIHCSQISDDFLCSFARQGLSFKSFILKNCTGYNYPGIYGLLSKCGAIQHLSLQPVDFLNNHHVFQLSLLLPGLISINLSQNSNLTKLALFALIKNCHSLNEITMENIYNFGGESVENSDIMKDFNVNPQLKFLHFSNNSLIKDEILILFASIFPNLQLLDLTFCYRISEKGICHVLSRCCELRHLTLDSCFRVRGLKMNFTVHQLEVLDLSNTKVDDRTLYEISKSCFGLLKLLLTFCEYVTEKGVIHVVKNCTQLKEIYLILCDDNVNVDLIVSTFSSRPSLRVFS >CAK8542633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533973353:533973733:-1 gene:gene-LATHSAT_LOCUS11457 transcript:rna-LATHSAT_LOCUS11457 gene_biotype:protein_coding transcript_biotype:protein_coding MLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNTNNTLLNCLTVPEENDMVRKITMVSLWCIQTNPSDRPPINKVIEMLQGPLSSVSCPPNPFLFSSERQPLPVEWISSSDLNETNSIIESK >CAK8577962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599274703:599275050:1 gene:gene-LATHSAT_LOCUS30018 transcript:rna-LATHSAT_LOCUS30018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPFILVLLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLSVIPSLPKGSLPPFSSFPSIDLSFSIPPVSSPAPTPVSTTPKSPPSFFSFFPFFSQTLSISKP >CAK8565970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:363220139:363220863:1 gene:gene-LATHSAT_LOCUS19143 transcript:rna-LATHSAT_LOCUS19143 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMVVMLTRKYKVNREKIEQTGSNEPQTRYWVLKTVLFILVGNSFPLFFSHKTQNPFFFLFYFLAAIGAGFQSLRVLGI >CAK8565245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79665086:79667816:-1 gene:gene-LATHSAT_LOCUS18474 transcript:rna-LATHSAT_LOCUS18474 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFHLFALFLFLASLQVALSRDIEHGSLLVNGAQAKAETGDNFICTTIDWWPHDKCDYDHCSWGYSSVVNLDVSRPFLAKAIQALKPLRIRLGGSLQDQVVYDVGNPNSPCHPFQKMKGGLFDFSKGCLHMKRWDELNHFFNQTGAIVTFGLNALHGKHQIVPKVWEGAWDPTNAYDFIKYTVSKGYKIDAWELGNELSGKGIGARVGVAQYGKDLINLKRILDVLYENSRFKPSLVAPGGFYEKEWYNKLLQVSGSGIINVLTHHIYNLGPGSDGHLEGKILDPDRLSRVETIFSNLSETIQKHGPWTSAWVGEAGGAYNSGGHDVSNTFLNSFWYLDQLGIASTYNTKVYCRQTLIGGNYGLLNTSTLTPNPDYYSALLWQRLMGKKVLAVSSDISSPFLRTYVHCSKDRPGVTLLVINLSNQTHFMLNVKNPMRVKRDKVSKNIHKERNSFFDNLKKAFSWVGTKGSEVTFREEYHLTPEDDNLKSQTMVLNGIPLKLTNEGDVPTMVPVHNNVRSPIYVVPLSIAFVVYPNFDAPACARP >CAK8536393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937419707:937420618:-1 gene:gene-LATHSAT_LOCUS5767 transcript:rna-LATHSAT_LOCUS5767 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFATLRLVQLEKVTTQRFGNQIGLEISHLKVGFCGCSRKISSVNEMGSWEDGHWVWKVRESLIDDGINFVSDWADCSGLLDQMAAISSVGDNWKWLLHDSLSYKVRSFYAALPSSSSASAHTVGNDCAALLEILWKTVLPAKVQIFIWRLALDMLPTISNLMKIRVIDYSQNSDCAFCSSSIEDVSHLFFSCSKSSLVWNRICEWVDIEYISEDCCSLHAKVWKSRLPGHCKEYKINSIWFISCWSLWRARNNIIFNNASIDIEDIVFDIKVFSWNWLILGRQDNKNCNLYDWFKFPFDFM >CAK8566745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:458757867:458773186:-1 gene:gene-LATHSAT_LOCUS19856 transcript:rna-LATHSAT_LOCUS19856 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGDSARGPTARPRLFIQEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIVDSEDGTYEVVPGSDFVITRVAFRDNSSKYYINNRSSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNKYVEKIDESFKQLESLNEKRSGVVQMVKLSEKERDSLEDVKNEAEAFMLKELSLLKWQEKATKLAVDDTGGKMDELQVGVASLEENLKSERDKIQESKQFLKELETKHNNYMKRQEELDNDMRKCKEEFKEFERQDVKHQEDLKHMNQKIKKLEDKVEKDSSKIEALVKEGEDSNDLIPKLEDKIPKLQKLLLDEEKVLEEITESSKVETEKLRTELANARAELEPWEKDLIEHKGKLEVASSEAKLLNEKHEGAREAFKGAQDQMQSLSERINSKTASISQIKKDLEKNQKEASEAHKVEEECIKEQDTQILLEQGARQKVAELKSVLDSQKNQGSVLKAIMNAKETRQIEGIYGRMGDLGSIDAKYDVAISTACSGLDYIVVETSNAAQACVEMLRRENLGVATFMILEKQVEHLPMMKKSVSPPEGVDRLFDLVKIPDERMKLAFFAVLRNTVVANDLDQATRIAYGGNVEFRRVVTLDGALFEKSGTMSGGGGKPKSGKMGTSVRDPNVSDKALASAEKELSGLTDKLKAIHQRMVDAVKRYQVAEKTIAALEMELRKSEQELESLQVQRVDIEKQLGSLEVASVPQKDELDRLKELKKIISAEEKEIGRLTGGSKMLKEKVSELQRNIENSGGERLKSQKLKVQKIQSDIDKTSSDINRHKVQIETGQKMMKKLTKGIEESRKEKDKLTKETERLKGVFKEIELKAIAVQENYKKTQEMIDQHRDVLEEARSEHDKMKKAVDEMRASEVDADFKLKDMKKAYKELEIKGKGYRKRLDELQAAVIKHLEQIQKDLVDHEKLQATLAEEHLNADCDLKKACETVALLEAQLKEMSPNLDSIAEYRKKVALYNERVEELNAVTQERDDIKKQYDDLRKKRLDEFMEGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITIDPCTFVVCEKGA >CAK8565423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:187488548:187491212:1 gene:gene-LATHSAT_LOCUS18641 transcript:rna-LATHSAT_LOCUS18641 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQIHSCSLIATIIIVVTTQIIEGVNSFSEFDKISSLPQQPKVEFQQYAGYITVDEVQNKALFYYFVEAEVEPASKPLVLWLNGGPGCSSVGAGAFVEHGPFKPTKNGLIKNDYSWNKEANMLYLESPAGVGFSYSTNESFYDFVNDEMTAKDNLVFLQQWFTKFQEYKNNDFFITGESYAGHYAPQLAQLILQTKSKINLKGIAIGNPLLEFNTDFNSRAEFIWSHGLISDSTYEAFTKICNYSQIRRQYQHGALSPICARVNRLVSTEISRYIDSYDVTLDVCLPSLNQQAYRLTQLQEGDKIDVCVEDESFKYLNRKEVQEALHAKLVGIITTWFTCSEVLKYDMQNLEIPTISILGTLVKSGVRVLVYSGDQDSVIPLTGTRSLVNGLAKDIGLNTTETYRAWFKERQVGGWTQVYGSNILSFATIRGAAHEAPFSQPRRSLVLFKAFLEGKSLPTI >CAK8535987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895555492:895556621:1 gene:gene-LATHSAT_LOCUS5398 transcript:rna-LATHSAT_LOCUS5398 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATMICCADEAALCAKCDIEVHAANKLASKHQRLLLQSLSNKLPRCDICQDKAAFIFCVEDRALFCQNCDEAIHPAGSLSANHQRFLATGIRVAATASTNCAQDDEKPHLEPPNKNPHQVSLEPPSQQVPNFSPSWGVDDLLEFADFDSHNKKEPMQFGEMEWFTEEGLFGEEFNQEAISAAEVPQLPVTHHATNNYSSHRNSKSNKKPRIELIRDYDYDDEDEYFTVPDLG >CAK8576396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469847695:469851377:-1 gene:gene-LATHSAT_LOCUS28585 transcript:rna-LATHSAT_LOCUS28585 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVAARYASRRLFSSGTGKVLGEEEKAAENAYFKKAEQEKLEKLARKGPQPEAKPAAGSSGTVTDAKPSSSAHAETSSAKVSTDKYRNYGVVAGTITILAAVGWYLKGTAKKPEVQED >CAK8562419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:487360615:487360986:-1 gene:gene-LATHSAT_LOCUS15915 transcript:rna-LATHSAT_LOCUS15915 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGDGVEPTKPDDMVRLPSQIAVPWEGDHSIQVLIQHIFPDLELHCWDALYMVQIAILTPTNDDVQKLNDIIIDQFPREEHNLLSFDEVGGDNNNLYQQEFLNSIAQGSLPPYILKIKRVHH >CAK8534090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692855355:692859659:1 gene:gene-LATHSAT_LOCUS3660 transcript:rna-LATHSAT_LOCUS3660 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFMAIAGAFLLLYFCYAVLRWNEISHRKKGLPPGTMGWPVFGETSKFLQQGPDFMQKQAARYGSFFKSHIFGCPTVICMDAELNRYILMNESKGLVAGYPQSMLDILGNSNIAAVHGPAHKFLRGALLSLVSPQMLRDIVLPRIDRFMSSQLSHWDGKIINLQDHTKEVIFLSIMDQIASIDPRSKRADYFKTHFFKLVLGTLSLPINLPGTNYRNGVQARKDLLSMLRQILEERKASNETYKDMLSCLMKTDENKYKLNEDEILDLELTLMYSGYETVSTTTMMALKFLHDNPKALEEIRKEHLAIRERKKPNEPINFDELKSMKFTRAVILETSRLATVVNGVLRKTTHDVELNGYLIPKGWRIYVFTREINYDTSQYPDPLTFNPWRWMEKNLESHNYFLLFGGGTRLCPAKELGITEISTFLHYILTRYRWEEVGETKLEKFPRVQAPNGMHMRFSSY >CAK8530270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10876105:10877553:1 gene:gene-LATHSAT_LOCUS148 transcript:rna-LATHSAT_LOCUS148 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILNENNVNNNNNVVENKPLHIVMLPWLAMGHIYPYFEVAKILASKGHTVTFINSPKNIDQIPKPPKTIESFINLVKLPLPHIEQLPEGAENTMDILPNMNRYLKLAYEGLENDVTQILKTSKPDWVFYDFASGWLAPIAKTFNIASAHYNITPAWNKCFFDPPKDQVKKDFKLEDMCGPPKWVPFQTSIHLKPYEIIRAFTALQDESGGMAGFDLNKAYSSCDLFLLRTSRELEGEWLDYISEQYKVPVVPVGLLPPSMQIRDDEEEESNPDWVKIKEWLDSRELSSVVYIGFGSELKLSQKDLTELAHGIELSGLPFFWALKNLKQGTLELPEGFEDRTKERGIVWKTWAPQLKILAHGAIGGCMSHCGSGSVIEKIHFGHVLVTLPYLLDQCLFSRALLEKEVAIEVPRSEEDGSFTRDSVAHTLKLAIVDEEGSSLRKNAKEMGKIFSSKDLHNQYIDHLIDALYKYRVASDSNKE >CAK8566124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382154292:382156598:-1 gene:gene-LATHSAT_LOCUS19285 transcript:rna-LATHSAT_LOCUS19285-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARKVHSRFMSMKFYSASMASFLFVIAILFHCVIADLNSDKQALLDFINAVPHRRNLMWNSSASICTSWVGITCNQDGTRVASVRLPGVGLVGSIPSNTLGKLDAVKIISLRSNLLGGNLPADIASLPSLQYLYLQHNNFSGEIPTSLSHQLVVLDLSYNSFAGRVPKTLQNLTELNSLNLQNNSLSGSIPNLNVTKLRHLNLSYNNLSGPIPSTLRIYPNSSFEGNKGLCGLPIKPCSTVPPPPALTPTPSSAPGKGSTKLSKVAIIAIAVGGAMLLFFVVLVIVLCCLKKDGDDDGGSRDVKAKGPSGGGGGGRGEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTSYKAILEESMTVVVKRLKEVVVGKKEFEQQMEIMGRVGQHPNAVPLRAYYYSKDEKLLVYDHVPAGNLSTLLHGSRAGGRTPLDWDSRIKISLGTARGIAHIHSVGGPKFTHGNIKSSNVLLNQDNDGCISDFGLTSLMNVPANPSRAAGYRAPEVIETRKHSHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKMPDMRPNMDEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >CAK8566123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382154292:382157445:-1 gene:gene-LATHSAT_LOCUS19285 transcript:rna-LATHSAT_LOCUS19285 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDIELSIVSFQENMKARKVHSRFMSMKFYSASMASFLFVIAILFHCVIADLNSDKQALLDFINAVPHRRNLMWNSSASICTSWVGITCNQDGTRVASVRLPGVGLVGSIPSNTLGKLDAVKIISLRSNLLGGNLPADIASLPSLQYLYLQHNNFSGEIPTSLSHQLVVLDLSYNSFAGRVPKTLQNLTELNSLNLQNNSLSGSIPNLNVTKLRHLNLSYNNLSGPIPSTLRIYPNSSFEGNKGLCGLPIKPCSTVPPPPALTPTPSSAPGKGSTKLSKVAIIAIAVGGAMLLFFVVLVIVLCCLKKDGDDDGGSRDVKAKGPSGGGGGGRGEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTSYKAILEESMTVVVKRLKEVVVGKKEFEQQMEIMGRVGQHPNAVPLRAYYYSKDEKLLVYDHVPAGNLSTLLHGSRAGGRTPLDWDSRIKISLGTARGIAHIHSVGGPKFTHGNIKSSNVLLNQDNDGCISDFGLTSLMNVPANPSRAAGYRAPEVIETRKHSHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKMPDMRPNMDEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >CAK8541120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68718796:68725137:1 gene:gene-LATHSAT_LOCUS10070 transcript:rna-LATHSAT_LOCUS10070 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPKIAPSMLSSDFANLASEAHRMINYGADWLHMDIMDGHFVPNLTMGAPIIESLRKHTKAYLDCHLMVTNPIDYVEPLGKAGASGFTFHVETSKDNWKELIQSIKSQGMKPGVAIKPGTSVEEVYPLVESENPVEMVLVMTVEPGFGGQKFMPEMMEKVRILRKKYPSLDIEVDGGLGPSTIDAAASAGANCIVAGSSVFGAPEPAQVISLLRNSVEKAQ >CAK8531718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143383005:143383937:-1 gene:gene-LATHSAT_LOCUS1489 transcript:rna-LATHSAT_LOCUS1489 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEYRTLELNLISAKDLKDVNLFSKMDVYAVVSISGDPINPQTATTHIHRNGGTNPTWNFPIKFTVNESLANQNRLSLEIKLISNRKVAGDTMIGTVHMPLKELLDNPSGDSLRQVSYQVRTTSGKSKGSLNLSYKFGEHVGAPATKSEVKGNHESKMGKDEPVLAYPPPGVAAGSSSVPYGTPYPPPQQGAGYGYPPPAAAAAAQGGYPPAQPGYGYPPQQQGYGYPPQQQGYGYPPQQPGYGYPPQQQPGYGYPGAQKPKKNKFGMGLGAGLLGGALGGMLIGDMVSDAGAYDAGYDDGFDAGGFDF >CAK8538748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494966405:494967175:1 gene:gene-LATHSAT_LOCUS7915 transcript:rna-LATHSAT_LOCUS7915 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEMEHKQEQDSGNQNNMSGNGIDVSLISPKVPKSVSPVSSAAEGEMLKRPRGRPAGSKNKPKPPIIVTRDSANALKAHAMEVSSGCDVNESLLNFARRKQRGLCILNGTGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGAVVGALIASGPVVIMAASFMHATFDRLPLEDDELAAAMQNQHYQNGRTQHHLDISDLYAMPQNLLMNGAMPPEIYSWAPGRNLSKT >CAK8538749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494966417:494967175:1 gene:gene-LATHSAT_LOCUS7915 transcript:rna-LATHSAT_LOCUS7915-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKQEQDSGNQNNMSGNGIDVSLISPKVPKSVSPVSSAAEGEMLKRPRGRPAGSKNKPKPPIIVTRDSANALKAHAMEVSSGCDVNESLLNFARRKQRGLCILNGTGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGAVVGALIASGPVVIMAASFMHATFDRLPLEDDELAAAMQNQHYQNGRTQHHLDISDLYAMPQNLLMNGAMPPEIYSWAPGRNLSKT >CAK8572871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569111308:569115437:1 gene:gene-LATHSAT_LOCUS25383 transcript:rna-LATHSAT_LOCUS25383 gene_biotype:protein_coding transcript_biotype:protein_coding MYDISGALYLDEMGYAMSRLEVESSECEDRNASHESSSTGQTKRVLKNLDNEIAQITKLKSSPHQLLVHDGYGRKEVPVSPVKMLAGREFNCSGRGRFSSADRCHLLSRYLPVNGPWLIDQMPSRGYVSQFSADGCLFVAGFQGNIIKIYNVDKGWKVQKNILTKSLRWTITDTSLSPDQRNLVYASMSPIVHIVNVGSSETESLANVTEIHDGLDFSSNDDGGYSFGIFSVKFSTDGRELVAGTSGDSIHVYDLEANKVSLQILAHAADVNTVCFADETGHLIYSGSDDSFCKVWDRRCLNAKGKPAGVLMGHLEGITFIDTRGDGRYFISNGKDQTIKLWDLRKISSNVTCNRGQRSFEWDYRWMDYPPQAKDLSHPCDQSVATYRGHSVLRTLVRCFFSPAFSTGQKYIYTGSHNACVYIYDLVTGAQVATLKHHKSPVRDCSWHPFQTMLVSSSWDGDVVKWESSGSSDVAASSVKKRVYKRHFFEDYL >CAK8575163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:42517883:42518430:1 gene:gene-LATHSAT_LOCUS27449 transcript:rna-LATHSAT_LOCUS27449 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPSSSTLGWHRVEVKGEVQTRKGLWWIPRHPETRKGVVSDEMLRGVEKKHRSEDSRIGQPFEQLLNSRAGKRQPGELKHLSEAVECCTLDGESPVAESITSLYSDPSSMGHVKSRVNQQGPPCKAKYSWMTDSEVVP >CAK8533442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:618176721:618177944:1 gene:gene-LATHSAT_LOCUS3065 transcript:rna-LATHSAT_LOCUS3065 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFIAWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRLYQLLKEMNTPLFEGSTDSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNFPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFDINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIISRLKRLFASMHSTSQMTWHHTNKTSSGIMRRPSDGEAWKHFDRVHSDFATEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMAKPYMFLTCLIPGPSSPKSGIDVYL >CAK8540351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554060603:554060851:-1 gene:gene-LATHSAT_LOCUS9362 transcript:rna-LATHSAT_LOCUS9362 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSLSPRQCPDRYAFRAGWNLPDKEFRYLRTVIVTTTVHRGFGHLLPCHQVTNFLNLPTLGRCQPPYMVLRLCGDLCFW >CAK8536448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942355103:942357589:-1 gene:gene-LATHSAT_LOCUS5816 transcript:rna-LATHSAT_LOCUS5816 gene_biotype:protein_coding transcript_biotype:protein_coding MFEILFGWSKASKCKNAIKKACYRIGFLKSKRQTIVKQLRNDLAKLIQSGREETALNRVEQLIKDESMADAYELLEKFCEFILSQLSYIRRHKDCPNDIKVAVSSLIFASARCGEIPELSVIRQLFGRRYGEKFAKAAVELYPGNFVNKQLTENLSEKCVTEDLKYAMVNKIARENNCLQQNVLAIEYYPDCQQVQHIENKGYQVVENDPKVNASISESKVHSSHIDEMERGVKCVHSSFISKPCDSCNALPKSTFAVDSSAIMSIVPQYPQYILSYPLQDKFEKIVEVDFPKLLSSEMVDYVEEVEEYQFSLPKYAACHKEMLFEYNSSSLSGREKTKTGFGESYIDQDESLCESLNTMTSRRSKRGHRKRSIRRPSSIKNVGVIDIGYMVYYQKPCRNQKNVSVPDSGKNQKKPILHGRKNLQSGQNKYRNQPRLCLAEEEEEEASLLQHSVVGFKTRLEEDNLTTKNESAENQIKSEDSTRRINFNRKIKGCSLDKPCYYYLYDNKDSLETEPLIEAKSHVEQDCMHNECCHCRPFCEVGNNGNNETKEEIIASISVSDPKINGSLTRTEKEVSYSRAMTMPQERHRKGKDMLRTYSCQQYPNHVHPKLPDYEDIAVKFTALKKNHIQNKDYTVETRGIYTTQD >CAK8577216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545113682:545113912:-1 gene:gene-LATHSAT_LOCUS29343 transcript:rna-LATHSAT_LOCUS29343 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSGPHPNLATRLQRRASIHEKQVHRQLQGDLVEYIWERFGHQDDEI >CAK8563756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629410995:629413677:1 gene:gene-LATHSAT_LOCUS17133 transcript:rna-LATHSAT_LOCUS17133 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIQLQLSQTQKVRLQKALEQLESLSSKVNSDASVTIADSIPVNHEDGVLKGHGTADLEGEVVATLCGVVERVNKLVYVRALRSRYKPEVGDIVIGRVVEVAQKRWRLDINYSQNAYLMLSAMNMPDGVQRRRTALDELNMRCIFEEADVICAEVRGFQHDGLHLQARSKKYGKLSTGQLVTVTPYLVKRQKQHFHHIEDYGIDLILGCNGFIWVGEHIESRDDMIEDQVNQSNPQVSSLEEQEKNYTSLETRKYICRAANAVRVLSTLGFIITLEIIKGVVDLSLSANLDIHDMLGSEFCVLVAEKEAERRSSNKKRR >CAK8561488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:243651545:243651935:1 gene:gene-LATHSAT_LOCUS15075 transcript:rna-LATHSAT_LOCUS15075 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRKKLVNMGYALTEATFNYYHGEIRRTDIEASNWIDNIPREKWARAFDGGQCWGHMTSNLVEAMNSVLKATRNLPITALVQSTYYQMGSLFGKRGH >CAK8544180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670962381:670962933:-1 gene:gene-LATHSAT_LOCUS12881 transcript:rna-LATHSAT_LOCUS12881 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMKLGLMAVFAVSGSVVLLAHQVHKRVLSDFMKKFEFEMGGIVYPHSRKKLKGYNKHHEAKKKVRFAKKMKEVSRENKSNDNHRNEIRAQRVKAEQVWVIKNALKSGCVPKLEDTMPPNRAVLYRGIMNYRHQTPSGRFRF >CAK8539997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534797232:534800003:1 gene:gene-LATHSAT_LOCUS9040 transcript:rna-LATHSAT_LOCUS9040 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQTLPLKRQQGENPSDDGSTAIKPSRLKISLPSEDTDKKNVNKRVKDVEISVPIVYGTVAFYLGRKASEAQSHRWTVYVRGASNEDLSAVIKRVVFQLHPSFNNPTRVVESPPFEVTECGWGEFEIAITLFFHSDACEKQLDLYHHLKLYPEDESGPQTTKKPVVIESYNEVVFPEPSEGFLARIQNHPAAVVVPRLPPGLNLPNPVPHDHMNDKQRGDTKDHPLSQWFLNFSEADELLKLAAARQQVQAHIVKLRRQLTLLEGQSK >CAK8569199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681857995:681860494:-1 gene:gene-LATHSAT_LOCUS22079 transcript:rna-LATHSAT_LOCUS22079 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALASTRIPTNTRFPSKTSHSFPTQCASKRFEVAEFSGLKSSSCISYVHSARDSSFYDVVAAQLSYKTNGSTAVRGVPVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVIVVNDSGGVKNASHLLKYDSMLGTFKAEVKILNNETITVDGKPIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYGHEVADIISNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGISAEDVNAAFRKAAEGPLKGILDVCDVPLVSVDFRCSDVSTTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVANKWPGTPKVGSGDPLEDFCETNPADDECKVFE >CAK8560829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47936868:47939727:-1 gene:gene-LATHSAT_LOCUS14474 transcript:rna-LATHSAT_LOCUS14474 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQCSRFFPCCVNSQVKASVLETPDAENDDGEVSNWPIFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRSAWPDTRQFVEEARAVGQLRNQRLANLLGCCCEGDDRLLVAEYMPNETLAKHLFHWESQPMKWAMRLRVVLHLAQALEYCTSKGRALYHDLNAYRVLFDEDGNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDNDGTELVRLASRCLQYEPRERPNPKSLVSALASLQKETEVPSHVLMGIPHSTSFASLSPLGEACSRKDLTAIHEVLDNLGYKDDEGVANELSFQMWTDQMQDTLNCKKKGDAAFRQKEFREAIECYTQFIDAGTMVSPTVYARRSLCYLISDMAQEALNDAMQAQVVSPVWHIASYLQSVSLATVGMENEAQAALKEGTTLESKRNATSKQK >CAK8540597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11979109:11981642:-1 gene:gene-LATHSAT_LOCUS9589 transcript:rna-LATHSAT_LOCUS9589 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNSECDSKENSQKPNPETFQLFSSSVGSGFGFFDDVSQQVNAIPPPPCVEVLASDSKVPLFGKPKFESVDFDGVTLLKGRVNTQQVFGLSNSDLVPGKYEGGLKLWEGSLDLIKALRSEIKSELISFGGKRVLEVGCGHGLPGIYSLLEGAAVVHFQDFNAEVLQSLTIPNLNANFSEKSQPSSSPLANDAPEVRFFAGDWSEIDKLLLPVGTDATQIKDSGYDFILMAETVYAISSLQSLYNLIKKCLIHPHGVVYMAAKKYYFGVGGGSRRFLCVVEKDGVMTSKLVAEITDGSSNVREVWKLTFK >CAK8564915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15510486:15513053:-1 gene:gene-LATHSAT_LOCUS18166 transcript:rna-LATHSAT_LOCUS18166 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTVSFVLEQLYKLALEEGTLLTGVHKDFDDIKVELESIHAFLKDADAKASDDGGGGGSNEGVKTWVKQLREASFRIEDVIDYYSMYMAQRVNNSGSGCMVSLKMVFQIIKTLKLRHQIASEMKDIKLSIGGIKERSERYEFKAENGPGCSRGRGTTQSESFGDPRMASLFIEETQVVGFESSRNELVRCLVEGTKELMLVTVVGMGGLGKTTLSNYVFNNQFVKKHFDCRSFITVSQSYTVRELLIDMVEKFCKDSNESIPKGLHKMDDKTLIYQVRQYLEAKRYLVFFDDVWKENFSDEIQHAIISNNKGSRIIVTTRMMHVAEYFKKSFHVHVHKLQPLSPKKAWELFCNKAFRYEPGKKCPAELEGMSCEMVQKCGGLPLAIVAIGGLLSTKSKTVFEWEKVSKNLRMELERNAHLTSLMKILSLSYDDLPYHLKSCMLYFGIYPEDYIIKRKRLTRQWMAEGFVKDEENRPLEEVAEEHMTELIHRSLVQVSKVGFDGKVKSCQVHDLLREVIIKKMKDLSFCHLMLPRSISKLVNIETLDLRQTQVHELPKEINKLTKLRLLPVYYRKYEGHYSMLNFTTGVQMQEGIGCLISLQKLYFLEADHGGIDLIHELKKLRQLRKLGIRRVRGEYGDALCATIQEMKHLESLNISAINEEEILDLDFVSTPPNLKVLNLKGRLTKLPSWIPKLNYLVKLRLGLSNFEHDPLDSLKNLPNLLRLNLWDDAFAGEILHFKVGGFPKLKELDLTRLNKLSSITIEKGALLSLEHFRFNNNPQLKVVPQDLQYLENLQFLGFADMPSELVESIDPNKRGDCHWIIKHIPLVLIRQKVGSRFHDYELYPIPTISNV >CAK8537463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:363368705:363369484:-1 gene:gene-LATHSAT_LOCUS6753 transcript:rna-LATHSAT_LOCUS6753 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNIPLLIREWRPGFKVKDEILRTLPIWVKLPQLPIILWGDTSLNKIGSALRNPIMTDECTANRLRVSYARILVKMDITKELPQIITIRDNEGEKIQQPIEYEWRPLFCIKCQKVGHSCDKPKGTQQWKPKPAPQHVDYVKTVMDNTTKRIPRTEGNNNIVGDKVNSPAVENNANGNTLGECPTDLVSKVADPPLENGVNIIEQVEAVMEKWIEVITSGKDRGKPQDNPNSINKIVCANGFEALEISKDLIESQNTGQ >CAK8563969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642664607:642669873:-1 gene:gene-LATHSAT_LOCUS17322 transcript:rna-LATHSAT_LOCUS17322 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFCRAVDDGIKLSRRLYFGKDRAVAPPKPLTLMERSLNALLPKAPMVYAVIYDPGMVDNPDIASYQPYVYGRCDPPALIPLQMNAIEMEIDCYLDTAFITVSGTWRLHCVTGSRFCDCRLAIPVGHQGSILGVEVNAPRKSYSTQVVVMDENQSATPVQNGGYLKSNIFTLTIPEIDGGSNLSIKMNWSQKVECSNGGYSLNVPFSFPDFVNPTGKRMSKREKIRLNVDVVAGSELLFKTTSHPLQEVRRHAGSMGFLCDNEVLSWSKDDFSFSYAISSSLINGGVLLESASAHDFDQREMFYIYLSPGDIQNKKVFKKDIIFIIDVSGSMQGKLMDDTKNALLSALSKLNPDDLFTIIAFNGESHLFSKSMESASNETVERASEWINTNFVAGGGTDISHPLNTAIEMLSSAQSSVPIIFLVTDGTVEDERQICANVKNHMINGESICPRIYTFGIGSFCNHYFLRMLAIIGRGQYDAALDVDLIEPRMLALFEKASSLILANIKMDMLNEIDQVEVYPSNIPDLSLEGPLVLSGRYKGNFPETLKVNGILADFSNFEIDLKIQRDKGLPVQRISARDQIEHLTAQAWLSDNKQLEQKVAKLSLQTGFISEYTSMIMLENDHLKKTKESSPGAKEVSEKKHSKANVQGQKTILLPYLGIGFGSLAATAQNTPPGFEPKPEMAEIFKAASNCCDTVCGYCCCMCCIQCFSKMNNQCLIALNQLCIGLGCLACFECCSLCCGGEDS >CAK8572425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536124535:536125638:1 gene:gene-LATHSAT_LOCUS24996 transcript:rna-LATHSAT_LOCUS24996 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQNGRTNPLCFVFIFLFLSGVPFAGAQPVNGNSNDNNYYNRVSPSMAIIIVILIAALFLMGFFSIYIRQCADSPSNSIRNIAGVTGRSRRAARGLDASVIETFPIFEYSFVKIHKIGKATLECAVCLNEFEETETLRLIPKCDHVFHPECIDEWLGSHTTCPVCRANLVPQPGESVHGIPVLNTEQQDIEAQNDAVESLPEQDGSVKESTEPEVLSVNETLNRNRTRGSRSGRPRWFPRSHSTGHSLVQPGENTERFTLRLPLEMRKQILQNPELHRARSLVALPRETSSRRGYRTGGGEGSSRGKSVRWLDRGFKSDRWVFTMAPPFLVRASSIRSPRVANSAGEGTSASAATAAAVDSPRPPV >CAK8536398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937902170:937904545:-1 gene:gene-LATHSAT_LOCUS5771 transcript:rna-LATHSAT_LOCUS5771 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTPPPTSSLPIISDQPIQLPLPLSTNQSPSPSPSPSPSPSPLRRRSKTCLADRLEIAADESPEPAGVRRRGKARAAQNNARKPRKQRPDVENREESNDGVGLVEEIGKPRKRKNGGRPKKEKPILVQSSTSSPKTEEENLVDFNRIGQVVSDLVMWKDVSKSTFWFGLGSLCILSSCFSQGLNFSIVSALSQLAILILGVSFFSNAIYQSETVYEKCYAKLKEDDVLRLAKLIIPALNFVISKTRVLFSGEPSMTLKVIPFLLLGAEFGHLITIRRLCAIGFFVSFTIPKLYSCYTSQINKRAECLKSSLSETWCACTHKKKVMASTLLTFWNLSSTKTRIFTAFMLLVLFRYVKQHVVQQLQDGEAQVGEKEQQKKQKKESVVETQVEEKETQLALVIHNSDSKNKDN >CAK8536399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937902170:937904545:-1 gene:gene-LATHSAT_LOCUS5771 transcript:rna-LATHSAT_LOCUS5771-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTPPPTSSLPIISDQPIQLPLPLSTNQSPSPSPSPSPSPSPLRRRSKTCLADRLEIAADESPEPAGVRRRGKARAAQNNARKPRKQRPDVENREESNDGVGLVEEIGKPRKRKNGGRPKKEKPILVQSSTSSPKTEEENLVDFNRIGQVVSDLVMWKDVSKSTFWFGLGSLCILSSCFSQGLNFSIVSALSQLAILILGVSFFSNAIYQSETVYEKCYAKLKEDDVLRLAKLIIPALNFVISKTRVLFSGEPSMTLKVIPFLLLGAEFGHLITIRRLCAIGFFVSFTIPKLYSCYTSQINKRAECLKSSLSETWCACTHKKKVMASTLLTFWNLSSTKTRIFTAFMLLVLFRYVKQHVVQQLQDGEAQVGEKEQQKKQKKESVVETQVGEKEQQKKQKKESTVVEIEEKETQLALVIHNSDSKNKDN >CAK8541661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:338534623:338534940:-1 gene:gene-LATHSAT_LOCUS10565 transcript:rna-LATHSAT_LOCUS10565 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCSQSSTKQNPINNKPSFMPAKDDTKPVLQDPILRSDPIETEEAVLLLPPFSIPKSNQLPHLK >CAK8569432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615029:618762:1 gene:gene-LATHSAT_LOCUS22283 transcript:rna-LATHSAT_LOCUS22283 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTEIDEDELDEEPGEVIESAPPQKIGEERQLTTNSPIKKKLLKRGLGWETPNFNDHVTVHYVGTLLDGTELGSTRVLNCPLTFTLGQSEVYAGLDDGIVTMKKGEVALFTLPAAESGGVPRVANSVVQFEVELVSWITVVDVCKDGGIIKKIMEKGKGNDRPGDLDEVLVKYQVALVDGTIVAETPEGGFEFYVKDGHVLPTLPKVIMTMTRGEKAELIFQPQYAFRETGREAGSGFHSIPPDSVLHVNIELVSFKPVINVTGDSMVIKKILKEGEGAFTANEGANVTVSYTAMLEDGTVFEKRGIGETQPLRFVTDEEQVITGLDRAVATMKKGEKAIVSIHPDYAFGNVEVRQNLVIVPPGSKVVYDIEMIDFIKEKAPWELNNTEKIEVARRKKEEGNLLFKSGKYQRAAKKYDKAADFVSEDGSFGDDEQKLAKSLRVSCWLNGAACCLKLNDFAGAIKLCTQVLDVEFHNVKALYRRAQAYIETGDFLLADIDIKKALEVDPQNREIKVLKMKVKQLQADSDKKDAKLYENMFAHKPKRLKVEKEEDEVVKMKMDNVGDSAAPSDGGLIIDSC >CAK8538816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496925432:496926313:-1 gene:gene-LATHSAT_LOCUS7972 transcript:rna-LATHSAT_LOCUS7972 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSYLPNVKSISFNHRRSDLQLKPTPVRMTITQQTLSPRLYWDSLQADIEAHLKCSITIKQPLEVFEPMHHLVFSAPKTTVPALCLAACELVGGQRHQAISAASALLLMEAATYTHEHLPLTDRPRPGRNHVYGPNVELLTGDGIVPFGFELLARSDDGENSERILRVMVEISRAVGSTGVIDAQYMKTMDTRSDGEKICHVEEIMRVVEKYEGWLHSCGAVCGGVLGGGSEDEIEKLRKIGFYVGMIQGMAQRGFKEGKQVNEARNLALQELKFFKDKEVQAIKSLLNI >CAK8561685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:355611177:355613177:-1 gene:gene-LATHSAT_LOCUS15253 transcript:rna-LATHSAT_LOCUS15253 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQLQTNCCRNLKNTFIRPITTITTSATPAAPSNTFIPIFDNAANYIYINNRQIDSFIKSKNPNSALELFHNMQIRDTVTYNLIISSSCLPPKKAFHIYAEMGLFGIRETSTTFASVIALCTNAGFCIEGSQVHCRVAKFGFLNNVFVGGALVGFYMGFKLCGVAGELFDELSERNLAVWNVMLRGFCEMGHVEELLGFYSRMRFEDVDPNGVTFCYLLRGCSSNRSFHEGEMLQSCVLKMGLMESNVFVANALVDFYSSRGCLVGARKCFEGMKVEDVISWNSLVSVYADNNLVIEALEFFNFMQTRGHRPAVRSLVGFLNLCSRIEDIGLGKQIHCCVAKFGFDESSVFVQSALIDMYGKCLDIESSVAVFECLPKRTLECCNSLMTSLSHCGATEDVVELFGLMVDEGLMPDEVTVSTTLKVLSVSASASFTSSLLLHCFALKSGVEGDTAVACSLMDAYSRCGHVELSHRIFETVRTPNTICFTSMINGYARNGMGKEGLSLLHAMIEKGIKPDKVTFICALTGCSHTGLIEEGRLLFNSMESLHNVHPDRRHFSCMVDLLCRAGLLHEAEEFLLKARGKGDCFMWSSLLQSCRVHKNEEVGTRAAKMLVDLDPDDPAVWLQASNFYAEIGKFDESRQIRDVAIARKMTREIGCSLIEIRQ >CAK8561686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:355611177:355612958:-1 gene:gene-LATHSAT_LOCUS15253 transcript:rna-LATHSAT_LOCUS15253-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRDTVTYNLIISSSCLPPKKAFHIYAEMGLFGIRETSTTFASVIALCTNAGFCIEGSQVHCRVAKFGFLNNVFVGGALVGFYMGFKLCGVAGELFDELSERNLAVWNVMLRGFCEMGHVEELLGFYSRMRFEDVDPNGVTFCYLLRGCSSNRSFHEGEMLQSCVLKMGLMESNVFVANALVDFYSSRGCLVGARKCFEGMKVEDVISWNSLVSVYADNNLVIEALEFFNFMQTRGHRPAVRSLVGFLNLCSRIEDIGLGKQIHCCVAKFGFDESSVFVQSALIDMYGKCLDIESSVAVFECLPKRTLECCNSLMTSLSHCGATEDVVELFGLMVDEGLMPDEVTVSTTLKVLSVSASASFTSSLLLHCFALKSGVEGDTAVACSLMDAYSRCGHVELSHRIFETVRTPNTICFTSMINGYARNGMGKEGLSLLHAMIEKGIKPDKVTFICALTGCSHTGLIEEGRLLFNSMESLHNVHPDRRHFSCMVDLLCRAGLLHEAEEFLLKARGKGDCFMWSSLLQSCRVHKNEEVGTRAAKMLVDLDPDDPAVWLQASNFYAEIGKFDESRQIRDVAIARKMTREIGCSLIEIRQ >CAK8534548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740396239:740396541:1 gene:gene-LATHSAT_LOCUS4088 transcript:rna-LATHSAT_LOCUS4088 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNHFHYQQAFFNYMQNSQNPNPQNSQIPLVSTNPAIFLPSPNNPNMYLIPQMNSNSMEFSTQVPSFFTQVPPFSTQVGIEKEERVVVKKRSRQQFTRE >CAK8577636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580177846:580181246:1 gene:gene-LATHSAT_LOCUS29726 transcript:rna-LATHSAT_LOCUS29726 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIDLNTTEEDETSSSSVASGPLGSVCLELWHACAGPMISLPKKGSVVVYFPQGHLEHVHDFPLSFSENIHIPSHVFCRVVDVKLHAEERSDEVYCQVLLVPENEQMQQKLREGEVYADGEGEDTDAVMKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGQEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQLKNYGNFSSLSGQQLNLGSLTDVVKALSTRCAFSVHYNPRVGSSEFIIPIHKFLKSRDYSYSVGMRFRMRFESEDAAERRFTGLIIGISDVDSVRWPGSKWKCLMVRWDDLEASRLNRISPWEIEPAGSASTTNSSMTASLKRTKIGLPSTKLEFPVLDGIGTSDFGESLRFQKVLQGQEMLGADTIFDSISARSHHQLSDLRRCYPRSNYPGIAPTGNSIRIPQMNSDVSCNGFGESFRFQKVLQGQEILPSQPYGRALSVVDKASRNGGFGLFDVPRSRNGWYSQMNNNSHYHPSALMYHHQQGANPVPNLDYNNKINPAMDDKLRQRGSYHASEDTNSFPTDSISLQIGSQELVSTCKSSCRVFGFSLTEAAPVANKDAQPSAVTCQINPGPSSFTRHVEEDFNPKHSLRSKAVESYCTKVSNLHPVRNMVIDRVF >CAK8559990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2942615:2944045:1 gene:gene-LATHSAT_LOCUS13714 transcript:rna-LATHSAT_LOCUS13714 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTHIAVVSVPIVSHQIAISNFIKKLLHLHPNKFHITLIIPVLESLSNASKSITLSLSSLNIDITVLPPINLPQETVPTLKLPLAMSLTMPSILDALNSITSTTKTSKLVAIIADYFAYEVFSFAKKLNILSYTFFPSSATVLSLCFHSTVLEETISGEFRDLNEPVKIPGCVPIQGTDLPSSFQDRTSESYNHFLLRSKGINLCDGILVNSFAELESKAVKAMNEGSINGSHPPVYMVGPIMQKNSDDNQNESQCLSWLNEQKPNSVVFVSFGSGGTISQNQMNELALGLELSSQKFLWVVREPNDIASANYFAVSKPNSLGQEDPLCFLPKGFIERTKKQGFLVSNWAPQVEILSNKAIGGFVTQCGWFSTLECVVNGVPIIAWPLFAEQRMIAAILADGIKVAIRPKNDNESGIVEKGEIVNVLKRLMEGDEGIEILQRMKLLQDAAAAAIKDDGSSIATISQLVTKWTNM >CAK8573514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617632796:617633498:1 gene:gene-LATHSAT_LOCUS25956 transcript:rna-LATHSAT_LOCUS25956 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLSKKNFVKKEADFLKDKLELDLKTIFEEYNGGGTGGSQSDSAEPPVLVGRIGNPDYYYGVFLQSSGVKPSGVKSELTKYLEDGLEDDIPNFDILNWWKVYSSRLPILSNITRELLAIPVSTVASESAFSTGGRVLNEYRSRLTAKSVEALICIEDWLGGSPSPLPTQDDIEELEKIEREYFLQNQSNGGNSYDTFDED >CAK8579191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682808248:682819599:1 gene:gene-LATHSAT_LOCUS31157 transcript:rna-LATHSAT_LOCUS31157 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKFSKYSTCCWGSEQGGVGGGGVQVPEANVDENENNNIEGNGSPSFREYTIDQLRKATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAIKRFNKSAWPDAHQFLEEAKAVGQLRSQKLANLLGCCSEGDERLLVSEYMSNDTLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTNKGRALYHDLNAYRVLFDDDFNPKLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQSLTDSCLEGQFSDDEGTELVRLASRCLQSEPRERPNPKSLVTALIPLQKDSEVPSHVLMGIPDADGSAAFPLTPLGEACLRRDLTSLHEVLEKIGYKDDEGAATELSFQMWTNQMQQTLDSKKKGDAAFRQKDFKNAIDGYTQFIEVGTMTSPTIYARRSLSYLFSNMPNEALGDAMQAQMISPIWHLAFYLQAVALLALGRESDAQVALKEGSSLETNKSTN >CAK8536243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921230020:921230175:1 gene:gene-LATHSAT_LOCUS5631 transcript:rna-LATHSAT_LOCUS5631 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQRPAMKPWMEVAPSLLEFPWKPSNIPKLETIFEDRDEECEEDGLADF >CAK8532194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218338137:218338436:1 gene:gene-LATHSAT_LOCUS1925 transcript:rna-LATHSAT_LOCUS1925 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSKKLQILKGNLKAWNKNVFGNVQDHVKTAEKDLGCIQEEISQQGYNNELVVKEREAQAKVNLALNYEQAFWKEKARVQCHTEGIIILNSSTELQK >CAK8566473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426671455:426672999:1 gene:gene-LATHSAT_LOCUS19601 transcript:rna-LATHSAT_LOCUS19601 gene_biotype:protein_coding transcript_biotype:protein_coding METKFQTSVLLELSATDDIEAFKTEVEQKGCDVNEAGFWYCRKIRSKKMCYEKKTPLMVASLFGSTRVVKYIIETNMVDVNTPTGSENVTALHCAIAGGSESTLEIVKLLVDAGADVNSLDEIIKQKFSVVNSKELVGEKKEYPMDISLPDINDGVFGTDEFRMYSFKVKTCSRGYSHDWTECPFVHPGENARRRDPKKYLYSCVPCPEFRKGTCHNKDACEYSHGVFESLLHPLQYRTRLCKDEIRCSRKVCFFAHKHEELRPLYASTGSAMPSQESLPTSNVSTPLISSLMVAASSPNSENLWQNKINLTPPSLQLPNRQLKSALSARDLYQETDLLQGVSMQPSTPTQLQSMNRLRSNQNRNHVQASYPINNFVSSPVRKSSACGYDSSAAMAAAVMNSRSSAFATRSQSFMDRGVARHHISASESNRRMNYGFSDRMSHDGDDLNKFKKSASFGFRNNMAGVSQPEYVEPDVSWVHSLISSESSEIFGAKKQNYDLYKHLSSPWAEQIVA >CAK8543105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578970764:578982560:1 gene:gene-LATHSAT_LOCUS11888 transcript:rna-LATHSAT_LOCUS11888-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIGSLIESIGNFFSGGDQIPWCDRDVISGCEREVAEASNGDSDERKNESIMRLSWALVHSKQNEDIQRGVAMLETSLGNDKSPLHQREKLYLLAVGYYRTNDYARSRQLLEQCLDIAPDWRQALSLKKAVEDRIAKDGVIGIGITATVVGLIVGGVATALARRN >CAK8543104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578970758:578982560:1 gene:gene-LATHSAT_LOCUS11888 transcript:rna-LATHSAT_LOCUS11888 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDAKIGSLIESIGNFFSGGDQIPWCDRDVISGCEREVAEASNGDSDERKNESIMRLSWALVHSKQNEDIQRGVAMLETSLGNDKSPLHQREKLYLLAVGYYRTNDYARSRQLLEQCLDIAPDWRQALSLKKAVEDRIAKDGVIGIGITATVVGLIVGGVATALARRN >CAK8531181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87284926:87288711:1 gene:gene-LATHSAT_LOCUS989 transcript:rna-LATHSAT_LOCUS989 gene_biotype:protein_coding transcript_biotype:protein_coding MELHTAILPSRCSFPPSLFHSPPPTSIYSSPIKTSLPFSRFNFFTKLQTPTRGRGLQPCNYVASQEDNSNDVLEDDNRMIGVCDKLIGVFLVDKPTPTDWRKLLAFSREWNNIRPHFFARCHDKAVSETNPAMKEKLLRLARKLKEIDEDVQRHNVLLDVIRKDLSEISNIVAKRRKDFTNEFFEHLHTVIQSYHDDAEKQNELAKLGEACLAAVQAYDSATESIEQINAAQLKFQDIINSPSLDAACRKIDNLAEKKELDSTLVLMITKAWAAAKDSNMTKDEAKDVLFHLYQTSVANLQRLIPKEIRIVKYVIQIEDPEEQLSALNDAFTPGEELQGNNIDGLYTTPEKLHTCLKGVVDAYHLSKEGTIIREARDLMHPEIIKKLEKLKKVVERNFM >CAK8531009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69362663:69364948:1 gene:gene-LATHSAT_LOCUS829 transcript:rna-LATHSAT_LOCUS829 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEHAVGTELVMSNVIGEEEIDFSCDPYVGLEFFNADDALRYYISYATRMGFKVRIGQLYRSRTNGSVSSRRFVCSKEGHQLSSRTGCPAFIRVQLNDSGKWVVDHFHKEHNHNLENESENFAPTLQPIASATVDSSTGITRRPRKKLLEVGNGEPISPFGVINFKRLRKEELEGQARIEPHVGQEFSSPVEAYQFYHTHAAYKGFRIRNGQLFRSKNDGGITSRRFVCSKEGFQHPSRVGCKAYLRIKRQPSGKWVVDRLEKDHNHDLVPEKETRTASLPASNILTEVVNTEMVNSDMFRIDNYPVLRGGRQNHIRSDWYNMLLEYFQSRQAEDTGFFYAMEVDNGNCMSIFWADGRSRYSCSQFGDVLVVDTSYRKSLSMVPFATFVGVNHHKQPVLLGCALIADESEESFTWLLQTWLRAMCGRQPLSVIADQDVSIQRAVAKVFPVTHHRFSLWQINAKEQELAGLMGHGFTKDYEKCVYQSQTVDEFDTAWNALLVKYGLKDNSWLKEMYEKRASWVPFYIKSTFSAGIPLKESMESFFGALLNGQTPLPEFIQRYERGLERRREEERKEDFNTSNFQTFLQTKEPVEEQCRRLYTLAIFKVFQKELLQCYSYLGFKIYEEGPLSRYLVRKCGNEDTEKHVVTFNASNLDINCSCQMFEYEGVLCRHVLRVFQILELKEVPSRYILHRWTRNAEDGVFPDIESWSSSQELKSLMLWSLRETASKYIDAGASSIEKYKLAYEILREGGRKLCWHR >CAK8561515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:262570020:262571044:-1 gene:gene-LATHSAT_LOCUS15099 transcript:rna-LATHSAT_LOCUS15099 gene_biotype:protein_coding transcript_biotype:protein_coding MINKADSGCNNFVITSIIPIQHYQILTSLQSQSFDGHLSLKNNEDAAKDFGNIHHFPPLALLHPKIISDISRAIKHVFEKGSDSEMKVAARGHGHPLQDQAQAYQGLVIKMESLKGPEMKIQTGEFPYVDVSGGELWINILHETLQYGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNIFQLEVVTGKGEVVTCSENQNANLFHGVLGGLGQFGIITRARISLEPAPKMVKWIRVLYSDFSTFTRDQEYLISLKDTFDYVEGFVIINRTDILNS >CAK8543324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600084419:600088033:1 gene:gene-LATHSAT_LOCUS12088 transcript:rna-LATHSAT_LOCUS12088 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKPNQTTTQLEPLPPSPHHHHHQHHHQDNQISFGMMQSSSSTSLPGNFINKDSAYDLGELDQALFLYLNEQTDPSSIQDQKQNSTSGMRPPTLNIFPSQPMHVDPSSSNSKANMELLSQETTGSRKLDLPKQVVSVSEPSKIVKQPERNHGKGPTSSSEHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQMEQELQRARNQGMFFGGGAVLGGEQQNLPISMNSISSEAAMFDVEYARWLEEHHRLVCELRAAVQEHIHEDELRMFVDKFLAQYDQLMHLKSLVAKSDIFHLVSGMWVTPAERCFLWIGGFKPSELIKIIVSQIEPVTEQQIMSIYGLQQSIQEGEDALSQGLEAFNQSISETITSESLSYPPNMTNYMEQLARAMNKLSTLESFVREADNLRHQTIHRLNQILTTRQAARCFVAMAEYFHRMRALSSLWLARPRQE >CAK8543323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600084419:600088033:1 gene:gene-LATHSAT_LOCUS12088 transcript:rna-LATHSAT_LOCUS12088-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKPNQTTTQLEPLPPSPHHHHHQHHHQDNQISFGMMQSSSSTSLPGNFINKDSAYDLGELDQALFLYLNEQTDPSSIQDQKQNSTSGMRPPTLNIFPSQPMHVDPSSSNSKANMELLSQETTGSRKLDLPKQVVSVSEPSKIVKPERNHGKGPTSSSEHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQMEQELQRARNQGMFFGGGAVLGGEQQNLPISMNSISSEAAMFDVEYARWLEEHHRLVCELRAAVQEHIHEDELRMFVDKFLAQYDQLMHLKSLVAKSDIFHLVSGMWVTPAERCFLWIGGFKPSELIKIIVSQIEPVTEQQIMSIYGLQQSIQEGEDALSQGLEAFNQSISETITSESLSYPPNMTNYMEQLARAMNKLSTLESFVREADNLRHQTIHRLNQILTTRQAARCFVAMAEYFHRMRALSSLWLARPRQE >CAK8536753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:13227943:13229496:-1 gene:gene-LATHSAT_LOCUS6086 transcript:rna-LATHSAT_LOCUS6086 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINDSEKVDEVILPGFRFHPTDEELVGFYLKRKVQQRPLSIELIKQLDIYKYDPWDLPKFASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGLKTDWMMHEFRLPSLVDPLSSKKYFDKTIPANESWAICRIFKKTNSTTQRALSHSWVSSLSETRASMLTKNQEINQFCSDNMSQTKKETLSNNFFTNNNDSHNFDVDVNPLLHKAFDNHLPISNEYHNTNLIFSPSQPHICDNTSKMNDVSSMLLNMSSSSMLCDEVTTTTNFNCGLQEQLFSDYSLQLQSTFDNQYDNNALVKAPYYNVNVPRIDEQELMHFNIGDAWKSNLLWDTSFCPCDVPSSYYSTTTKCYT >CAK8539546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515853291:515863518:1 gene:gene-LATHSAT_LOCUS8629 transcript:rna-LATHSAT_LOCUS8629 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVELPSRLAILPFRNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGLIGILPVRDAAAETKLLGSTVSQGADSLDQNSKVHGGSSDSQTKNQNDVVHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGTYHTAKISSLEMTRTEMEQVEHDPDFIMLSRQFKATAVELISVLEQKQSTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDAKERLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMKAIKEELGDNDDEEDDLAALERKMQSAGMPQNTWKLALRELRRLKKMQPQQPGYSSSRAYLELLSDLPWQKTSKEHELDLRAAQERLDNDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALDRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTPEEKLKIATRHLIPRVLDQHGLSSEFLQIPKAMVQLVIQRYTREAGVRSLERNLAALARAAAVRVAEQEVVPLSKGVEGLTTPLLENRLVDSTEVEMEVIPMGVNNRDISNTFRITSPLVVDEAMVEKVLGPPKFDGRETAERVVTPGISVGLVWTTFGGEVQFVEASTMAGKGELHLTGQLGDVIKESAQIALTWVRARAADLKLAAAEGINLLEGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQRKVRSDTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPERNLKDLVEVPPSVLANLEILLAKRMEDVLEQAFDSGSPWRQHSKL >CAK8574259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672684385:672685218:-1 gene:gene-LATHSAT_LOCUS26619 transcript:rna-LATHSAT_LOCUS26619 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHELIVGTPIIYDQFQKSDNRYLLDSASHQCQYPSKHQSICRASSNQKKCSRKANIHSDSSARLGTNISETIKRKLSLGARILQVGGVEKMFTKYFNVIEGERLLKVCHCYLSTTSGPLAGLLFISTEKISFCSDRSIKVFNQKGQMCRIRYKVVIPAKKIKCVNQSENVEKPRQKYINIVTVDNFDFWFMGVFKYQKTIKYLEQAISQV >CAK8564163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654743426:654743728:1 gene:gene-LATHSAT_LOCUS17492 transcript:rna-LATHSAT_LOCUS17492 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDPYEVQVCRKCGLLGYYNHKLKTGVCSSCKNGDQISTMKLPYACKLLIQELQSMNIVPRLKLADA >CAK8575782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:323425255:323426301:-1 gene:gene-LATHSAT_LOCUS28017 transcript:rna-LATHSAT_LOCUS28017 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDQQDKPSFFAIIKENFNQLKVPQKIVMNLGEELWNKELIILIGSSGEKWQVSILKKGNDMYLQNTGWEQFMKDNSVVNEEFLVFTYNGENMFHVKFFGRNGLERPSFKKEEVAAEAPTVVKRKRGRPSFKKEEKVAAEAPIVVKRKRGRPRKNPAAETVCAKKEKEKEAPETVVARKRNDEPRKALAAQRVCVKKEKEEAVETMEIKRNKGRRPRKSAPPAAAAVVIIID >CAK8566045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:372000736:372003838:1 gene:gene-LATHSAT_LOCUS19213 transcript:rna-LATHSAT_LOCUS19213 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNDFVFDFQAKSSTDLKRKMKIKVENEKALKVNPTNQGSNPINTNVGNIQKLDHRKKLKVEVSKKMVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLNSTVDQQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQPFEKKKSLLKCPTMPLTECLDKNKEKVGVEEFDDEDTEENEMEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFENVLGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8577022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532104617:532107637:-1 gene:gene-LATHSAT_LOCUS29166 transcript:rna-LATHSAT_LOCUS29166 gene_biotype:protein_coding transcript_biotype:protein_coding MELTITQPDDFHLHLRDGALLEAVTPHSAKHFGRAIIMPNLKPPITTTSSAISYRESILKAIPKTSNFTPLMTLYLTDATTPHEIQLAKKSGFVYGVKLYPAGATTNSQDGVTDLFGNCYSVLEEMVEQGLPLLVHGEVTNPEVDIFDREKVFIETILEPLIQRLPQLKVVMEHITTMDAVKFVESCKEGYVAATVTPQHILLNRNALFQGGLQPHNYCLPVLKREIHRRAIVSAITSGSKRFFLGTDSAPHDRRNKERTCGCAGIYNSPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGIPRNKSKIKLRKSPWKVPECLSFPFGDIIPMFAGETLDWEALLI >CAK8561860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398379550:398381506:-1 gene:gene-LATHSAT_LOCUS15413 transcript:rna-LATHSAT_LOCUS15413 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTSKATSSATLSSSRNFRKRGRSKAHRGFHSYCLGTSSGSQDSDNEDQVCDQNKVDGSDVTYADGNLSDYDEVKSKSRLGIISGNISLGLRRFTSSRSSRPCPVSSPTLSIFDNVDDASGSGLPCCNIQRNSSVGIGARDELDVNLFSSRIQAETDTIETRNIDRQKRVREPVEHNVRFSRTLSVGRLRDRVLRRSTFSDLTSFPLQQERELRDDSQNTRRQAVERDSRVSPLDLSAISSSTSSVSNSMFSNQNYEVETSQLREVRYQDLLEHRSNFLERGRRIRSQARSLQRLSSRFENQSTHGRSCILSGQHRTGRCICRFRNRDTNSNDDTGARASISRIVVLAEALFEVLDEIHQQSVVLSSHPSASSLGCVPAPIKVVESLPVKSYEKLHKHQEDATQCYICLVEYEDGDSVRVLPCHHEFHRTCIDKWLKEIHRVCPLCRGNICVSDSEL >CAK8575491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:137172993:137174864:1 gene:gene-LATHSAT_LOCUS27750 transcript:rna-LATHSAT_LOCUS27750 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLKLVDAILFLFFLLIAIAAPLIDAQTCLPLSYFPDFLVNVKTWYTNEYGDYLVVDKPHFFVGLVWLELLFQWPLSLINIYAMFYSKPWFNTTCLIYGVSLSTSMVAVLSEMMGSKKASDKLLTMYFPFMCLGILATLRGLLTSSFKSSSAFGKRPAMARKKRA >CAK8568530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614487530:614487766:1 gene:gene-LATHSAT_LOCUS21478 transcript:rna-LATHSAT_LOCUS21478 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQHSSTPPWALDAGPLFLVLLIAAHVLGLVYWIYRLATQKQQQQRRKAH >CAK8574671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5931777:5931980:-1 gene:gene-LATHSAT_LOCUS26996 transcript:rna-LATHSAT_LOCUS26996 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFASFIDIMKVVDLPTVGIQFTWFSSDGKAKSMLDLFLVYEGIIDSWKLVAQEIDSRNVSNHCSI >CAK8567684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535806062:535807250:1 gene:gene-LATHSAT_LOCUS20713 transcript:rna-LATHSAT_LOCUS20713 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRQNNNRMMMSSPNVVPPKHRTKIFVGGLAWKTKTDTLKTYFDQFGEILEAVVIIDRNTRKSKGYGFVTFKDPNSAIIACKNPNPVIDGRRTNCNLASQKSNPPSSSSTGRQKFNSPSWNNAPLQFSSSSNYYDQYLPQYSHSYPVYRYCYPGYLCPQDIYDMNYPNGYGGPQFPVPLYPPYYQPFYGYSKQLVPTTSYVKKTQFPEPITTTGVITETVAATRDQTSSD >CAK8568061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567324511:567326445:-1 gene:gene-LATHSAT_LOCUS21058 transcript:rna-LATHSAT_LOCUS21058 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAFTASLFKWDPRTVLSTAPSPRPQLLDYAVTPTTAPMTYHPARLPRELGGLEELFQAYGIRYYTAAKIAELGFTVSTLVDMKDDELDDMMNSLSQIFRWDLLVGERYGIKAAIRAERRRLDEEEIKRRGLLSGDTTNALDALSQEGLSEEPVVQREKEAMGSGGGSTWEVAVVEERRKRQQIRRRRMKMKGNDHGENEEGEEEEEDNISGGGGGGERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQAIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEVSNELRRGFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHPRLSIWYVPTKLRQLCHAERNGAAASSSVSVGTTHLPF >CAK8540989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39483905:39485392:1 gene:gene-LATHSAT_LOCUS9943 transcript:rna-LATHSAT_LOCUS9943 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKVDKPKKADPKAQALKTAKAVKSGGQVIKKKAKKIRTTVTFHRPKTLSKERNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >CAK8534436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726339133:726345302:-1 gene:gene-LATHSAT_LOCUS3982 transcript:rna-LATHSAT_LOCUS3982 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKGKLVRFYSDGKKHKEPSGIEYKVSSSSLLKPSTNVLPLGKNKIGGSKVFPEDHEPWRKRILDPGSAIVLKWNRVFIVSCLVALFLDPLYFYLPSVVETIGSSCVRTDLMLRIVVTFLRTIADAFYLLHIMIKFRTAYVAPSSRVFGRGELVMDPSKIARRYIRSDFFIDFIATLPLPQMVIWFIIPATRTPQTDHKNNALALIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSVDRYTTCWKSVCKKEDNPHSCFLYLDCSSLNNDMRKIWANSTDVFNRCDPNNDDIPFKYGLFQNALTKHVVSSNFISKYLYCLWWGLQQLSSYGQNLETSTFIGETSFAIVIAILGLVLFAHLIGNMQTYLQSITIRLEEWRLKRRDTEEWMGHRQLPEDLRFRVRRFVQYKWLATRGVDEETILRSLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTLGTYIVREGDPVTEMLFIIRGRLDSSTTNGGRTGFFNSIILRPGDFCGEELLSWALLPKSTMNLPSSTRTVKALSEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKKRMSAKDLGVRESLPLDETEATEREHEEEYPTGSNSSQAKMNLGVTILASRFAANTRRGALKMKDDLPKLRKPEEPDFTTEADDD >CAK8530940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65570313:65574572:1 gene:gene-LATHSAT_LOCUS767 transcript:rna-LATHSAT_LOCUS767 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIWSLIFVTWLCVFSVSDGRELKVRHASHLAQYNHTLAIILVEFASAVYLSDLTQLFTWTCSRCGDLTKGFEIIELVVDVEHCLQAFVGVAEDPLAIIIAFRGTNEHSLQNWIEDLYWKQHEINYPDMDDAMVHRGFYTAYHNTTIRPAVLGAVERAKKFYGDIPIIVTGHSMGGAMAAFCGLDLVVNKQENKVQVMTFGQPRIGNGVFASLYSQLVPNTIRVTNDHDIVPHLPPYYYRLPQKTYQHFPREVWLYNIGLGSLVYRVEKICDGSGEDPDCSRSVSGTSITDHLVYYGVDMGSDDPATCRIVMNSNALNTTTRDSRGNIILSRNPASSLLKLSQEFDDQEDPVNSD >CAK8568380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600348364:600396167:1 gene:gene-LATHSAT_LOCUS21340 transcript:rna-LATHSAT_LOCUS21340 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFAKSIPEVLDFFRVDPTKGLSDTQVVQHGRLYGTNVLHEDQRAPFWKLVLKQFDDLLVKILIAAALISFLLALINGETGLMAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRSGCFSILPATELVPGDIVEVSVGCKIPADMKMIELLSNEVRVDQAMLTGESSSVEKELKTTTAANAVYQDKTNILFSGTVVVAGRARAIVVGVGPNTAMGSIRDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFVHGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVAKICIVESAKSSPFVTEYSISGTTYAPEGIIFNKAGVQLDIPAQSQCLLHMAMCSALCNESTLQYNPDKGLYEKIGESTEVALRVLVEKVGLPGFNSMPSALNMLSKHERASYCNHYWEEQFRKLDILEFSRDRKMMSVLCSRNQLHVLFSKGAPESIISKCTTILCNGDGSVMPLTADIRSELDSMFNSFAGKETLRCLALALKWMPSDQQTVSFDDEKDLTFIGLVGMLDPPRDEVRNAMLACMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLIDFTEHSYTASEFEELPALQQTIALQRMALLTRVEPSHKRMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKVKPRKVSEAVVTGWLFFRYLVIGAYVGLATVAGFIWWFVYADSGPKLPYTELMNFYTCPTRETSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNMWLVGSIVLTMLLHTLILYIHPLSVLFSVTPLSWADWMAVLYLSLPVIVIDEILKFFSRNSNGMRFRLWFRRSDYGLLPKREVRDK >CAK8534393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722879239:722879523:1 gene:gene-LATHSAT_LOCUS3943 transcript:rna-LATHSAT_LOCUS3943 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQRSATEIDKKVHREFAHWFRNRICNNLDKIHEPDKDVLISLAYGPFDKVKRFTAFNVNGFKF >CAK8561490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:244247395:244248166:1 gene:gene-LATHSAT_LOCUS15077 transcript:rna-LATHSAT_LOCUS15077 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFRAYSPSCAPNVESLLCRSTANPEDSGNNQLWGMRNDDDDDDDDDMCVIDDKEEFSVCDVKHKYFVPYNFESFNPMSDPSEDWGCKFDATPELENREVSLDTDESYKSRTQLLLITLFNAPVLQVFGSPNDAEKLEFLFYN >CAK8564263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663586394:663589735:-1 gene:gene-LATHSAT_LOCUS17586 transcript:rna-LATHSAT_LOCUS17586 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYCLLLLLLLISFLSHQPLSSASAPRNPEVEALMIIKDSLNDPHGALSNWDEFSVDPCSWSMITCSSDYFVIGLGAPSQSLSGILSSAIGNLTNLKQVLLQNNNISGKIPPELGNLPKLQTLDLSSNSFSGFIPPSLSQLNSLKYLRLNNNSLSGPFPVSLDKITQLTFLDLSYNNLSGPLPKFPARSFNIVGNPLICSSKSIEGCSGTVTLMPVPFSQVSSQGKHKSKKLAIALGVSFGCVSLLIVCLGLFWYRKKRQHGAILYIGDYKEEAVVSLGNLKSFGFRELQHATDSFSSKSILGAGGFGNVYRGKLGDGTMVAVKRLKDVNGSAGELQFQTELEMISLAVHRNLLRLIGYCATPTEKLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDDYEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMTALEFGKTLNQKGAMLEWVRKIQQEKKVEVLVDKELGSNYDRIEVGEMLQVALLCTQYLTAHRPKMSEVVRMLEGDGLAEKWASTHNYGSNCWSHSHSNNSSSNSSCRPTSTSKHDDNIHDRSSLFGMTMDDDDDQSLDSYAMELSGPR >CAK8567671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534971643:534973963:-1 gene:gene-LATHSAT_LOCUS20700 transcript:rna-LATHSAT_LOCUS20700 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGDPPLTMLSTEQIQKCLEENKELILAIMEGKSQGKYAEIAPYQTKLQDNLTFLARLADFDSQPEPQAQMHSQGQGMQRQPQVEMSQQRPDFSTGNTAFDMNEQQQQHLAMSLQQPDLSTSKLAFQMNEQQHYKQPTFLQQRQLFPEGMNSFSSANNSGMQTRNCSLQDTPSFNQMGSDAGPGWS >CAK8574498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:961536:963431:1 gene:gene-LATHSAT_LOCUS26840 transcript:rna-LATHSAT_LOCUS26840-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIPSHQLSNGLYVSGRPEQPKERVPTMSSAAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPLTGAPSRATSFGGAGSHSGPIHPNAAARAIYTTSGSMSIGGAAGSTSQKKSNSGPLSKHGEPVKRSSGPQSGGVTPTGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKLQGSAAAVHNQAVTVLSQVAASFTWNTYWGRRAIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGARVTPYVDDSNLFHVNPTKEELSPEFLRWLGERNLSSDDRIMRLEEGHIKEGSTVSVMGVVQRNENVLMIVPPPEPITTGCHWLKCIFPASLEGIVLRCEDMSKTDVIPV >CAK8574499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:961536:963431:1 gene:gene-LATHSAT_LOCUS26840 transcript:rna-LATHSAT_LOCUS26840 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIPSHQLSNGLYVSGRPEQPKERVPTMSSAAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPLTGAPSRATSFGGAGSHSGPIHPNAAARAIYTTSGSMSIGGAAGSTSQKKSNSGPLSKHGEPVKRSSGPQSGGVTPTGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKLQGSAAAVHNQAVTVLSQGDEYSFRRNFPKAMLWLLILLFVMGFIAGGFILGAVHNPILLIVVVILFGLVAASFTWNTYWGRRAIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGARVTPYVDDSNLFHVNPTKEELSPEFLRWLGERNLSSDDRIMRLEEGHIKEGSTVSVMGVVQRNENVLMIVPPPEPITTGCHWLKCIFPASLEGIVLRCEDMSKTDVIPV >CAK8572882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569759803:569762756:-1 gene:gene-LATHSAT_LOCUS25391 transcript:rna-LATHSAT_LOCUS25391 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMDKTGDYEQGSNCKRKLNHEFSMVPADRSSNVDGDFSLYGFTNLPDLNEFDPSGLDLDCYTEEPQLRVLEVEDWKDPMATKLEELLMSNLDSIFRNAVRKVVDLGYSQKMVETALSRKALYAEGDLLMNIVCYTVKNLKENCSENIAGFEFKSFKQLLHYFLVEMIGILRELMPSMTVTEAMWELLIHDLSITRVIRAEEQSSDVSNEQSSGKSSVPPSKLEVQSIDIISDSSSTTSQKGSQESKSGSNSKLNSRKELAFALRQKFIHMEKTKACGKGGVKLAKLTSVSGLIVEKRLKPQSEIPNQKMKRSSSNTKGVGKAEKGVSIAGLCHVSTNDDSALPEGGNLPMETIKPKPKLCSSVTQKVLNYCARIPFDKISGKYIPRDEKDEQILKLVSRAQELQDELQSWNDWTNKKVMQVADKLRKIQAESNSLKKEMEAYRKERKALEENAEKRITEVENATENNKKQIQSAASSIFILETKKSLLEKELESARLLAEQSMATHQEALERERMAIQQAQSWKSEKGLLQDELEKEKQTLSKLQQEIEKGKNVLANTEARVKKEKAKTEKILAQAAYFSKEREQYEAHMKAEEDAIRKKAASELQEYVESMVKLENEIAKLRLKSNSNKSISSVVKENKKSETSTTGSWEGGKLLKREHECVMCLSEERSVVFLPCAHQVLCPTCNELHEKQGMKECPSCRTPIEIRIRAKFLGQQ >CAK8532381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:252696768:252697574:1 gene:gene-LATHSAT_LOCUS2092 transcript:rna-LATHSAT_LOCUS2092 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIIREVLAYNLEYEFNLIHRAIHEGHFIISMDTEFPGVIHFPKTDRRHLQTVDQYRYLKVNVDNLKLIQVGLTISDSEGNLPDFGTNNCYIWEFNFCDFDVNNDLCNQESVDMLRRQGIDFERNFCHGVDSRRFADLMLSSILVFNKSVTWVTFSSGYDFGYLVKILTGMNLPNQLEDFLTVIEVLFGKNVYDMKHMMKFCNSLYGGLERVAATLNVSRAVGNSHQAASDSLLTWQAFNQMMKTYFKNDEASKHAGVLYGLDIAV >CAK8572270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525743471:525744367:-1 gene:gene-LATHSAT_LOCUS24854 transcript:rna-LATHSAT_LOCUS24854 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGPVGLGGMDNSVNSSPLVPKPDLGFSMNESAVTGMNNNNNNNEEDERENSDEQKGGAIETHNSTRRPRGRPSGSKNKPKPPIFITRDSPNALRSHVMEVASGTDIADSVVQFARKRQRGICILSASGTVVNVSLRQPTGPGAVVALPGRFDILSLTGSVLPGPSPPGATGLTIYLSGGQGQVVGGGVVGPLVAAGPVMLMAATFSNATYERLPVEDGDDQEGQQGGGGGGGDESPPAGMGQLTAGGSVGEGSSIPVYNNVGSGLGVSNGQQLMNNHEAYNSPWGHGGRPPY >CAK8568238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584536810:584537270:-1 gene:gene-LATHSAT_LOCUS21216 transcript:rna-LATHSAT_LOCUS21216 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRGNSASIIIIFQLCMFVFYSKMIYAETYIVGDKHGWTFNVENWPAETTFNAGDILVFNYDPSKDDVVKVTEDDYNTCADRDIEYYRSGADRITLVKGGNYFICGEPGHCDAGQKIAIIAN >CAK8534992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800796567:800797046:-1 gene:gene-LATHSAT_LOCUS4480 transcript:rna-LATHSAT_LOCUS4480 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKPRRPQQEPIKYGDVFNVSGELSSQPVAPRDAATMQSAEDKTLGQTRKDGPASLMTSAAQKNEDAGFIGHNTATNIAREEGVAVSETYDSGKRVITETLGGQVLDKSVEDTNGAKGTADRPKKNK >CAK8572629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551950945:551954509:-1 gene:gene-LATHSAT_LOCUS25171 transcript:rna-LATHSAT_LOCUS25171 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCLVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPTLFESPAISPSNSERFTVDSSTLDSETLSGSFRHDSMEDSNSSGLHKQAKNFPETTFKTISGASVSANVSTARTGGNQSALFAGDFLEPAASFEGTASFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRSGGFMSGPIEKGVMSGPLDDTDKSNFSAPLARGRRRPRLQHLMRSVSGPMKNTFSRTFSKHSMGGSWMQRLFFQPVTQLAWHSRESKCKPEVSRNCGIDVGSYEAEYKHTHNLQWAQGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRFLDKELEGLLWDYEDNPVDVLKPEVPETVKPTVAPECSTKEMSETHTKSNHECFEASSCPELTKDQSFNSEIVEENAEVNVNVEHQLSNCGSNSTDSNSVQHGKLTGKGRKSLRLYELLQMESWDDQCNDSSVLEEPKLDGHMRSCSSNAREDGFRHQDEGPSTSGDNGGTRFDSTNEEHQAVFSVSRQNSKKSFSAKIKKMYKKPRSLCKKLFPWSYDWHREESSVDEKIIEASGPIRKCRSGVDHNAVLRAMARALERTEDAYMEMAEHNLEKNPELAIMGSCVLVMLMKDQDVYVMNLGDSRVILAQERSNDRHPNSISVKDDLRHRNRSREALVRMELDRISEESPIHNQNNHVIKMNKNREISFCKLKMRALQLSTDHSTSIEEEVCRIRAEHPDDNQAILNDRVKGHLKVTRAFGAGFLKRPSFNEALLEVFQVNYVGSAPYLSCTPSVLHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFCAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >CAK8578249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614601929:614605542:-1 gene:gene-LATHSAT_LOCUS30276 transcript:rna-LATHSAT_LOCUS30276 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIQIASFDSTQKPTWVSRVSKNNKLGNLKLNKRFHNVFDEKDDSSLSALVSPRHTNIIDRWAARQAQEVVRNLEKINEDVADKVFDHFPSRSSSFNSRRGREVSVSPPLSDGSGSCESEKTFNLGASSLVQIWEKRLSQSNCPKQNVVSIGRTSSDLSSNEVSAFSVEDHSRVSEEEESSDEQFQNCSSKVHCNSDAGESDKNKVADIIKKLSVTNKMQSDENDHELSNSVTGSPSVSTPKQLSERRCFGKITSYPRIRGRQAFNDLIMQFETDRHGELNNLAEQGAVSKFTQRGRIQSLLRLRLLQRGVAAFDPSRLKSTTPEGNKQQQGSVIMQLRERFNTRDEQRTSSLMELSKPRLISKNSVSQTSACIKEEAHLCSGFEAQNDAPKEIVEASSSKTDSNTNIETTDKVEAREQQPYDTIETSCSEILEENDCSDYNYDETRESYDWASSISRPRSYWEELRQGWYKEMLDFGSHDDERRKLLERRTVSTVLSGDFREKMDKLMNSHRGAQTHLVNNQSDEEDREGSTQQLTAFFHDRFHVRGNLEENGRDMTEKEVPVVEESINSGSDHELGDSQFSSSVNSPSSASWSYEDTDGGNGSDRVVFVSSPLPSQSQSFYQDNRQYCPSTNHQSIDMEFIYDMRGQMEQLFREMSELRKTLKYCTDMNMQLQQSQKQEVHRVREKKLTNKTSKKGKCCICNDNKVNAVLYRCGHMCACFKCASELQWKSGKCPICQVEIIDVVQVYTNT >CAK8544279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675610604:675611008:-1 gene:gene-LATHSAT_LOCUS12972 transcript:rna-LATHSAT_LOCUS12972 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFAGFRSSLPFSGLIRQLENDVETVIKVLQPGPLGITEHKFSTDEIRSANAAVAKAVANWRRNAILEDNSHVLKDYIKK >CAK8563582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618515043:618517622:1 gene:gene-LATHSAT_LOCUS16977 transcript:rna-LATHSAT_LOCUS16977 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTMKLALFSVLTLFSFHAVVSVTPLHVQHPLDPLTKEEFLAVQTIVQNKYPISKNKLAFHYIGLDDPEKDLVLRYETHPTLVSIPRKIFVVAIINSQTHEILINLRIRSIVSDNIHNGYGFPVLSAAEQTLAIELPLKYPPFIASVKKRGLNLSEIVCSSFTMGWFGEEKNVRTVRVDCFMKESTVNIYVRPITGITIVADLDLMKIVEYHDRDIEAVPTAENTEYQVSKQSPPFGPKQHSLTSHQPQGPGFQINGHSVSWANWKFHIGFDVRAGIVISLASIYDLEKHKSRRVLYKGYISELFVPYQDPTEEFYFKTFFDSGEFGFGQSTVPLIPNRDCPPHAQFIDTYIHLADGTPNLLKNAICVFEQYGNIMWRHTETGIPNEFIEESRTEVNLIVRTIVTVGNYDNVIDWEFKASGSIKPAIALSGILEIKGTNIKHKDEIKEDIHGKLVSANSIGIYHDHFYIYYLDFDIDGTHNSFEKTSLKTVRITDGSSKRKSYWTTETQTAKTESDAKITIGLAPAELVVVNPNIKTAVGNEVGYRLMPAIPAHPLLTEDDYPQVRGAFTNYNVWVTPYNRTEKWAGGLYVDHSRGDDTLAVWTKQNREIENKDIVMWHVVGIHHVPAQEDFPIMPLLSTSFELRPTNFFERNPVLKTLSPRDVAWPGCSN >CAK8565795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:335845053:335845370:-1 gene:gene-LATHSAT_LOCUS18977 transcript:rna-LATHSAT_LOCUS18977 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQRLLSTLRLRGGSGTGASRWTSPGHEERPKGYLFNRTPLAPGESRNWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHEKALERLKIEDAIAESESSE >CAK8563851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635223555:635231984:-1 gene:gene-LATHSAT_LOCUS17218 transcript:rna-LATHSAT_LOCUS17218 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALIAHLLSQTLSPDAAAVRAATDELDRLSPTPHFPFHLLSISTGGENQGQKIAAATYLKNHTRRNVDSSGATPSNVSKEFKDLLMQALLQVEFPVLKILVEVFRVVAAADFVKQSLWPDLVPNLQSAIQNSHLINGSNSTWNTINALIVLHALIRPFQYFLNPKIAKEPVPPQLELIAKEILVPLLDVFHQFVGKAIANHGSADIETEKAILTICKCLHFAVKSYMPLTLAPLLNSFSRDLMSILSSLSFDYTVTQEDVYLTRWKSGKRSLLIFSSLVTRHRKHSDKLMPDIINNALNIVKYSKNTSRLPFILERILSLGFDVISNVLETGPGWRLVSPHFTTLLESAIFPALIMNEKDMSEWEEDADEYIRKNLPSDIDEISGWREDLFTARKSAVNLLGVISMSKGPPMEASTDSLSAKRKKGQKNKRSNQRRSMGELLVLPFLSKFPIPSDSNLSKKKVLNDYFGVLMAYGGLQEFLRDQESGFVTILIRTRILPLYTIAVSVPHLIASANWVLGELGSCLPEEMSADVYSQLLMALVMPDKQDTSCYPVRVSAAGAITTLLDNDYMPPDFLPLLQVIVGNIGNDENDSSILFQLLSSIMEVGEEKISVHIPHIIPSLVGSVSKWLTSNLEPWPQVVERGIATLAVMGQTWEDSRPEVSDSESNDLQEKWAADQAAIGRAFAALLQQVWLTPLSTQDQQNQNSLTSSCIEDLSTLLRSVMLSIDSSHLIQELKVSELLPVWAEMIAEWHAWEESEDLSIFDVIKEIVNLDRTYRLKNFLVKEVPPPPAPPVPERSIVEGISTFVSEAIKQYPSATYRACSCVHSLLHCPTYSIETEGVKQSLAIVFSRAAFSRFVEVRSTPSSLWKPLLLAISSCYLCYPEIVEGIIEKSEAGGITIWASALCQVSSSSFEAAGLTTESEMKLIVLTLARLIEQLLKQGKPCCDSFHNYLTSLMEVSIQLKELQDEKGDEEEVEEDDDDAEDEDSDDDDSEDYDEDSEVEEYEETQEEFLERYAKAAVALEDDSVIEEGDVEDEELDMELGQLLDVDEQKVVLSLIDKYHHVLIQGQGMPSQLITSFLDAFPEHGLYFQQN >CAK8564601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2067646:2068512:1 gene:gene-LATHSAT_LOCUS17891 transcript:rna-LATHSAT_LOCUS17891 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLVKTMMDVPAACWTRSHFKIDTQCDLQLNNMCEAFNRAILEYRDKPIISLLEGIKYYITVRISAQKEKLSRYTGVTSPNIKKVLEKTKRAAEGWIATWHANDDFAIFGVSNGVETYAVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEDYVSLFYRKSTVLATYSHIIMPTNDPQLWPVNTANPISPPVMRRSIGCPKKNRNKANDEPMIRNTLLRTLQIVKCKKCESFNHNKRTCKGMRAAERAVPKGGNKKP >CAK8563117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574882689:574885316:-1 gene:gene-LATHSAT_LOCUS16560 transcript:rna-LATHSAT_LOCUS16560 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGTTQACAACKFQRRKCTPECLLAPYFPADQPKIFLNVHKLFGVSNIVKILKFLEPTQKKPAMDSIITQANYRDKYPVHGCWEEICRLQYQIWMMEEELHAVYQQLEICRQQQQQQLPHDDVTSQLELGMGPRSSNALALFNNTPQPQNYNTVPAPLSVSQQHSYSNSNSVDYNNSPLYMDSKDNVTNPNLWLQCPYTNNNSNSITMQPHQLITSESQQPLSSVQQGVVEDNYDEMHSFFDTVDDRQSYIYSKEAYESSSEESLKDTRKCTEHVSENELKSAAACFSLTSVN >CAK8563254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586593902:586595382:-1 gene:gene-LATHSAT_LOCUS16683 transcript:rna-LATHSAT_LOCUS16683 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSILSIQFIKDFIDSQINDDKNWDFNVKLLRAAGLFAGSIVLMRNYGDLMAI >CAK8561588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310531189:310532044:-1 gene:gene-LATHSAT_LOCUS15166 transcript:rna-LATHSAT_LOCUS15166 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESGEDEINRSQVWKDARVNKNGVIDNENVQRVVDQCKLTEAITEEERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQIRPTQMDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPKQVSKSLQQPEKGTQGLQQLEDVAERQQPEQVSKSLQQPEKGTQRQELSDKGSCNPGSFGNIPEVIQSSSYMFVL >CAK8561589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310531189:310532044:-1 gene:gene-LATHSAT_LOCUS15166 transcript:rna-LATHSAT_LOCUS15166-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESGEDEINRSQVWKDARVNKNGVIDNENVQRVVDQCVKKLTEAITEEERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQIRPTQMDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPKQVSKSLQQPEKGTQGLQQLEDVAERQQPEQVSKSLQQPEKGTQRQELSDKGSCNPGSFGNIPEVIQSSSYMFVL >CAK8531082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78828390:78830001:-1 gene:gene-LATHSAT_LOCUS897 transcript:rna-LATHSAT_LOCUS897 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNTTLLDPLHSSGNHSLTPETHRRRNSNIAIALRRRSTYKKYSGSTPVNFRQGFVKNEVSARKLAAGLWQMRFVEFSGDCGYVRDDGWFRSSKPKLEAFKPLIKSSKERESKWTPLLDKGSNESTIVHWRKLLEDRKLVGDQHDSIVEVLLEELLRSQRMVRKLKAEKNSSKKKVRQFLQNFEHEKVLWKCKENKKIEKELDELEEKLARERRNRERMKFLNAKLLQELDKANESSDRFRKNYEKEKRKRELTEKVCDELNNHIEEDKGEIEKLMREAMEIYKEVEEEREMTKMIELWREERVQMKLDDAKNLLEEKYNQMVELIAYLQRFLRSKGDEICNDEIEDARLIEQAVESVNIRQILEHSYNFSKSDDVFPIYEECSEETGMLYSSPASNRLRSCRIDEKTISIEGTSRDNNNPHITRGMKGCIEWPKGIKTNSKVIIPLEERVKSQKSQLQHILKPKAF >CAK8568205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582111766:582112983:-1 gene:gene-LATHSAT_LOCUS21189 transcript:rna-LATHSAT_LOCUS21189 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLIDATLATGCRKSNKPLAARYLIIRLPSSEFIKIFQRVLLLIFALASFQFIINSKRITSSGSIVDFAKNSIDTEQVLSILFHDLTNEGLLKKTQHKAVFLANDESLKGVTDFSHEMEFVPLEDIEKQNSVVDNTIDFIFTTNFPEASKFIERVLKPEGVVTVLMSEHNPASFYKPKNYRIVYMRQFDSVAVAMKKTAVITADHNKLNLAVPRKLLGYAEAKKIALQNLEDVLLEPPRAASGKSRKYLKRTRYLPDLMGDSLESYPRRVFIHVGLPEKSGGSGTDWFLKNYPTRNRKFEMIKIETTVVPEKSEKSEKKVVSMSDWLNKNVKEEEYVVMKAEAEVVEEIMRSNSIGLVDELFLECKPQHGFKTENKNRRAYWECLALYGKLRDEGVAVHQWWG >CAK8577766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586927049:586927812:1 gene:gene-LATHSAT_LOCUS29840 transcript:rna-LATHSAT_LOCUS29840 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPATLYRKIAHRPSCDVFINHRGIDTKRNIAGLLYDRLTKMGVRSFLDSKNMKPGDRLFDHIDRGILGCKVGVAVFSPTYCDSYFCLHELALFMESKKRVIPIFYDVKPSELVVKDNGTCPAKELRRFSAALEEAKFTVGLTFDSSNRDWSVLLRDASEAVIMNLLELEEERKLMKRKQ >CAK8536308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929879205:929879450:-1 gene:gene-LATHSAT_LOCUS5689 transcript:rna-LATHSAT_LOCUS5689 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFHAILGGGNRDYITGILYLLGIIFLSLCVLSIIIFSCGDDNNNNQKRRKKRDGRGISGIGGDGGGDGGGSGYGGGSN >CAK8539420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512268005:512272010:1 gene:gene-LATHSAT_LOCUS8517 transcript:rna-LATHSAT_LOCUS8517 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELGEYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFKRARSVWERALEVDYKNHSLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEVLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPRSRNVYERAVEKLADDEEAEQLFVAFAEFEERCKEAERARCIYKFALDHIPKARAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEHEVRKNPLNYDSWFDYIRLEESVGNKGRTREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDARDMERTRDVYRECLNQIPHQKFSFAKVWLLAAQFEIRQLNVKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLERSPENCYAWSKYAELERSLAETERARAIFELAIAQPALDMPELLWKRYIDFETAESEFEKARVLYERLLDRTKHLKVWISSAEFEATALDLSEQEPKEQCIKRARRVFEEALNYFRSSAPDLKEERAMLLEKWRNLEATSGELGDVSLVQSKLPKKLKKRRQISTEDGSSRIEEFIDYLFPEETQTTNLKILEAAYNWKRQKLSSADDREYVAG >CAK8544651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697668878:697669489:-1 gene:gene-LATHSAT_LOCUS13309 transcript:rna-LATHSAT_LOCUS13309 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTTTLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDMFVEHRAASRWDYATRAYLLMLVGSTIFADMSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYSTLLQCWIHEYFPTLGKKGEN >CAK8539319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509223791:509226628:-1 gene:gene-LATHSAT_LOCUS8428 transcript:rna-LATHSAT_LOCUS8428 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRHIGEVLGSLKALMVLRDEIQINQRQCCLIHDTFTLAFDTIADEIRQNLKLEERSTKWKPLEFPLRELCRVFKEGEMYIKYCLESKDWWGKALTLSQNSDCVEFHIHNLLCYFPAVIEAIENAGEMSGLDQDENSKKKVMLSRKYDVEWNDPKLFQWRFGKQYLVPKEICKQLENAWREDRWRLIESIKDKKCSPKFSSSKNEQNLADMLLKKLRNGSEKTNNCNNNNNINDQLWPIGILLGAKDYQVRRRLGRGKEYKEIQWLGQSFALRYFLGEKEAYENEILDLISLSHPNISQYLCGFYDEEKKEFSLVMELMNKDLWTYMKENCGPRRQILFSVPVVVDLMLQMARGMEYLHSKKIYHGNLNPNSILLKARNSQEGYFQAKVVGFGLSSVINGDGNGTRTSPTHNPISEEINPLIWYAPEVLTELEQTKNASTSCKYSEKADAYSFGMICFELLTGKVPFEDNHLQGDRTHQNIKAGERPLFPHRSPKYLVSLIKKCWQTDPSQRPSFSSICRILRYTKKFLSMNTEYVMINPELNQLELQNPPVDCCDIEGTFLKTFQIERTFDMCCVSQIPYEMFAYKIIEKGKINNQSQNNSIAIKDKCCECEPTKDEAIVPKEVNDDLSKGHGDISASIMDDQVTNPKSVSSETRSIFSDSPPPRKSVTIKLPLQMKAKKDRGNSKLQATRSLPPLLSGRTSRTNKVNQPSSTSSPLSPGKKKPSIFSSESERNSNTVKGNQSPSLSTSSSIRRKRNEGGNVSDSKSSSLKLKTRDQSIFNSLKTKKAPVPLDPSLSPTRMRRINSTNNINVNVRMQKGFMSTPPMSPSRSFLRTCTSKKCGNVSDMDNGLKIRGTLSPFRLSPLSPYVIRKTACGNFSDSESNFKSKRESLSSFTSPLSPYVRTTCGHISD >CAK8537971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452182323:452182718:1 gene:gene-LATHSAT_LOCUS7217 transcript:rna-LATHSAT_LOCUS7217 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIQNKIILIQAAIAEDQNNKVKDQGSQKMSMGNLKNFFQKKYPNESEEEIMVRTLDHMKNQFFSTFPTKTSKDENSSMKTSSSIRSIDSNNFDCLSGEAQTDDPTPEDFWDAVIQSMTQKAKDKAKR >CAK8573767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635580583:635581107:1 gene:gene-LATHSAT_LOCUS26175 transcript:rna-LATHSAT_LOCUS26175 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSNFDLALCCEIVNTDIVFFEQKLNEEASQVANDAVGSIRTVASFCSEEKVMELYKQKCEGPIKTGIRRGIVSGFGFGVSFLVLYAVYACSFYAGARLVEDGKSSFSDVFRVFFALSMAAIELSQSGSLVPDLTKAKSAAASIFM >CAK8570747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106722470:106725531:1 gene:gene-LATHSAT_LOCUS23477 transcript:rna-LATHSAT_LOCUS23477 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHSLLASASINIGLALITLSLFSILKKQPSLASIYYAHRLSHYHHVPFDSSFHRFLPSTSWISQAYHLTEDHILQSHGLDALVIIRLFKFGIRFFSVCSLVGLVILLPINYDGVKEVRDTSYSTMDSFTISNVPRGSRRLWVHFACLCFISFYGMYLLYKEYKEISIRRMQQLRSLNRRPDRFTVVVREIPLCLQHKAYDCCVDHFFSKHYPNTYYSYQMVYNTEDLDELVDQTKSLERKIEDLNETSMARKHKSNIFVVDFSQQQTSKVDLLEVKLHALCHKIHQLQCKDMLKKKELPVAFVTFKSRSAAAVAAQLQQHSHPLLWVTEHAPEPRDVSWRNLRVSYRVVPLYRLGVVIAASLLTVFFAIPVTAVQGIAKYEKLKKWFPPVMAVQLIPGLSSIVTGYLPSVVLKGFIYVVPFAMFAMAKVAGCVARSKEEIKACNMVFYFLVGNVFVVSVLSGSLLDIIGKFISHPKIIPSEFATAVSAQADFLVTYILTDGLSGFSLELLQPGLLIWDILKSCTVGSQREKNPYLYSLPYFRIIPFVSLSILIGLVYAVVAPLLLPFLIVYFSLGYVVYINQIQDVYETTYETCGQYWPYIHRYILLAIILMQITMMGLFGLKLKPAASISTIPLLLFTLMFNEYCKMRFLPCFHHHSLKDAAENDELDESCDQLDLVFYENTINAYCPPSLRPVSFTALESSSTPLVSSQH >CAK8574366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677552893:677556400:-1 gene:gene-LATHSAT_LOCUS26720 transcript:rna-LATHSAT_LOCUS26720 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSVFRLGRRLRTVLSNSLKPETNYNNNLITIRPRYLAATPSFQHRNRHNNAFSSSSSASPFPSPFSTTGQKRSMFIQTQSTPNPESLMFHPGKAVMDIGSADFPNARSALNSPLAKSLFAIDGITRVFFGSDFVTVTKSEDASWEFLKPEIFAAIMDFYSSGEPLFLDSQAAASKDTAIHDDDSETVAMIKELLETRIRPAVQDDGGDIVYCGFDPDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEMDAEDEEAELSGQVE >CAK8535686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874372771:874374368:1 gene:gene-LATHSAT_LOCUS5118 transcript:rna-LATHSAT_LOCUS5118 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIGNPLRLKSVNHISFICKSLDESIDFYQNVLGFVPIRRPGSFDFEGAWLFGYGIGIHLLQVVNPENVPRKKEINPKDNHISFQCESMGAVQKCLEEMKIGFVRAMVEENGVKVDQLFFHDPDGFMIEICNCDSLPVIPLAGDMAKSCSRLDHEIMQQQIEQVVNQI >CAK8561995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422967045:422967284:1 gene:gene-LATHSAT_LOCUS15533 transcript:rna-LATHSAT_LOCUS15533 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLWQNSETTGSNKDEYDTKERNTKKVKDHGKQVVEDDGSLHKGNMGGITYKEMVLGASSGNAEEEGNSTGNEGIWR >CAK8537286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:203681244:203683521:1 gene:gene-LATHSAT_LOCUS6585 transcript:rna-LATHSAT_LOCUS6585 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSSPPSKEEVETDQKWANNGKSRNAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWIPGTLTLLLSWSLTLNTMWQMIQLHECVPGTRFDRYVDLGKHAFGPKFGPWIVLPQQLIVQIGCDIVYMVIGGKCLKKFMEIACTNCTQLKQSYWILIFGSIHFFLSQLPNFNSVASVSLAAAVMSLSYSTIAWVACLSRGRIENVSYSYKQTSTSDLIFRIFNALGQISFAFAGHAVALEIQATIPSTPEKPSKIPMWKGAIGAYIINAICYFPVALIGYWAFGRDVDDNVLMSLERPAWLIASANLMVFIHVVGSYQVYAMPVFDLIENMMIKTWNFRPGLPLRLVARSSFVAFTLIIGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPKRFSINWFINWAAICIGVCIMLASTVGGFRNIIADASTYKFYT >CAK8565170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:55548818:55550898:1 gene:gene-LATHSAT_LOCUS18405 transcript:rna-LATHSAT_LOCUS18405 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHKLSSFTSPTSPLTPNPRFRQPISSINCTTSPTSPFTEKHSTERYQRDQWVYRKTISCNHNTPFGDSTREDDIALQLPELKKLVQVLKKRRESEGKCSEGKCVPGDVFLVGTGPGDPELLTLKAVRVIKSADLLLYDRLVSNDVLDLVGPNAKLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIHVKVIPGITAASGIAAVLGIPLTHRGIANSVRFLTGHSRKGGSDPLFVSENAADPDSTLVVYMGLSTFPSLSQKLMHHGLSPHTPAAAIERGTTLQQRTVFAELKDLPEKIASTGLESPTLLIIGKVVELSPFWPITTKQESSLMQA >CAK8576629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498872216:498878417:1 gene:gene-LATHSAT_LOCUS28803 transcript:rna-LATHSAT_LOCUS28803 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGVILKNPDDLYPLLKLKIAARNAEKQIPPEPHWGFCYSMLHKVSRSFGLVIQQLRPELRDAVCIFYLVLRALDTVEDDTSVETDVKVPILIAFHRHIYDHDWHFGCGTKEYKVLMDQFHHVSTAFLELGKNYQDAIEDITKRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFYASGTEDLATDDLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVSKLEDLKYEENSVKAVQCLNDMVTNALLHAEDCLKYMSALRDPSIFRFCAIPQIMAIGTLALCYNNIDVFRGVVKMRRGLTAKVIDRTKTMTDVYGAFFDFSSMLESKVDKNDPNATKTLSRLEAIQKTCRESGLLTKRKSYVVGNESGYGPTMIFILVILFSIIFAYLSANHHSN >CAK8576450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:479992863:479993522:-1 gene:gene-LATHSAT_LOCUS28637 transcript:rna-LATHSAT_LOCUS28637 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKECGHHDDEHGRKLLRLIIAGIASLLFLILLTIFIIYLILKPTKPHFIIQDATIYNFNISSPTSSPFPTPNTLSLTMQVTLSTHNPNNKIGIYYQKLHVYASYRSQQISFPTALPDTYQGHKDFTVWSPFIYGVAVPVSPFTLIALQQDEGNGMVLVNVKVNGRVKWKVGSWISGRYHIFVNCPAYVRFVGDRNNGIGVVAPAVKFQVLQSCSVDV >CAK8563542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:614937432:614941672:-1 gene:gene-LATHSAT_LOCUS16940 transcript:rna-LATHSAT_LOCUS16940 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNLFEDLKKENVDLENIPIEEVFKQLKCSKEGLTSAEGENRLVIFGPNKLEEKTESKFLKFLGFMWNPLSWVMEVAALMAIGLANGGGKPADWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEASILVPGDLVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTSIGNFCICSIALGMLIEIIVMYPIQQRAYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVNSVDKDGLLLAAARASRVENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVGKRTAITYIDGEGNWHRCSKGAPEQIIELCELKGETLKKAHTIIDQFAERGLRSLAVSRQTVSEKTKESEGDAWEFLGLMPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDNKDPAIASIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLNEIFATGIVLGSYMAIITVVFFYLVHDTDFFTRVFGVKPIGDSNDHLNSALYLQVSIISQALIFVTRSRSWSYVECPGLLLLTAFFAAQLVATVIAVYAHWGFARINGIGWGWAGVIWIFSIITYIPLDILKFIIRLGLSGKAWDNMLDNKTAFTTKKDYGKGEREAQWAMAQRTLHGLQVPESHKNNNNHCEQSEMAEQAKRRAEAARLKELHTLKGHVESVVKLKGLDIDTIQQNYTL >CAK8535691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874818391:874818687:-1 gene:gene-LATHSAT_LOCUS5123 transcript:rna-LATHSAT_LOCUS5123 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNIPSSSDLKQAEEKSSTNMKEMMRNTSTSSSSYDHNEIKKKEESAEINHKKLERKTTEDVNASADAFIKNFRQQLMIQRLQSIENYEKMLARGL >CAK8569831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13045052:13050895:1 gene:gene-LATHSAT_LOCUS22640 transcript:rna-LATHSAT_LOCUS22640 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHLHDDEDDNNDVFIEQSDIIHEVALDDEVFPEACDPNSDSDSEIEEVDDSDHIFTGHTGELYAAACSPTDMALVATGGGDDKGFLWQINNGDWASELNGHTDSVSSLGFSHDGKFLATGSFDGTVKIWDVAGNLKGTLDGPEGGVQWLRWHPRGNVLIAGFDDSSTVWMWNTDNFAFLMSFNGHASGVTCGDFTPDGRTICTGSDDATLRIWNPKTGESIHVVRGHPYHTEGLTCLAINSTSTLALTGSQDGSVHFVNITTGRVVSSVPSHSSSIECVGFAPSGTWALIGGMDKMTIWDMEYSLSRSTCEHEYGVTCLTWLGASYVATGSMDGAVRLWDSRSGECVKVFRGHSEGIQTLSLSANREYLVSASLDHTARVFEVKDFC >CAK8536755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:13918278:13919687:1 gene:gene-LATHSAT_LOCUS6088 transcript:rna-LATHSAT_LOCUS6088 gene_biotype:protein_coding transcript_biotype:protein_coding MVISATTFTSQNNNNNLRDASFSSYLNNKKETFVDSSHPFISSNRKETLQLGVRKEEDDEIGVFDAEKYFNGVQVDTPTPRVATIDAYKFKYMKNQQQTCLQNRKLNKVQYGTPSVGSESSLNSQNALLQTSVKKSSRNRKNQVHRKSLLAILGCKCSCYDKNSVDVNDHAGEISFNKGLVHGKSKTTSEKLFKHDQDASKKTETRAAKELVMNKDMYIQKQEKISSYKMQLQQVENPRKTLEVFGSPILSERCKSLSFDMRLKKPSWEIAGSKLEEEIEFSTNSGENENYDDEAGSDASSDLFEIESFTGKTNPSFCRTSSNVASGCPSPTCYAPSEASIEWSVITASAVEYSAHSDHEDQETIRTPTRTSLDSSNGKTRINKEIPRRRPGKFLGCNSQKAVGVAADAFTATCEKQSTNPKIHGKSDMVPQVTKFKVESFGARNEQHGYAKPPLRRSHSPHGAQVLYI >CAK8532955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554726990:554727862:-1 gene:gene-LATHSAT_LOCUS2612 transcript:rna-LATHSAT_LOCUS2612-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSNAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGTCRLPGHTRKNCPNVGTSSR >CAK8532954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554726990:554728066:-1 gene:gene-LATHSAT_LOCUS2612 transcript:rna-LATHSAT_LOCUS2612 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFTTLVFCILGPRIIFPLIGDFTVVIFVWYALWGTWNILKHSKLKFHTVTVVHFDGGEVSHIAQNFMRDIKDRNLRKKVVNAAYALNQPSFMYYREEIRLSNAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGTCRLPGHTRKNCPNVGTSSR >CAK8562353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476490650:476494941:-1 gene:gene-LATHSAT_LOCUS15855 transcript:rna-LATHSAT_LOCUS15855 gene_biotype:protein_coding transcript_biotype:protein_coding MRTENLQDKKHYVLVHGACHGAWSWYKVKTIIESSGHLVTVIDLAASGINSKKIEDVDTVSEYSQPLLELMTTIPRNEKVILVGHSLGGVNIALAMEQFPEKIAVAVFLTAFVPDIQHNPSYVMEKYIESVPAAEWLDTGFFQSGKKTSMFFGPKFLSNKLYQCSSPKDLELAKSLIRPGSLFKEDLTRQTKLFSKQGYGSVPSAFIVCNEDAAIPLKFQLWMIQNGGINDVFEVKGADHMAMLSKPQELCESLYQIANKYA >CAK8538558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487208578:487208790:1 gene:gene-LATHSAT_LOCUS7744 transcript:rna-LATHSAT_LOCUS7744 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMELVKQSKNTNGGDIWAELVEIGVKPSSLLLVYMCLVKNVNALKAFNGIPIDKRKEMLHLIVLDYPF >CAK8566833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466184979:466188302:1 gene:gene-LATHSAT_LOCUS19938 transcript:rna-LATHSAT_LOCUS19938 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHGSRIPNSTETYEESETDESLSYSSSSEDDEEEEIEKVLADVTFEELQKARSNGAHAVFKKRGEDKKLKRANKNRPMEASSKKPVPAFRDVIQAPKKVVRDPRFESLCGTLDPDGFRKRYNFLYEKDLPAEKQALKREMKKLKDPERKSEIVERISWVDKQLKSDSTRNVETSILAKHKKKEREAAKQGKRPFYLKKSEIRKQRLIEKYEDLKTSGKLDSYIEKKRKRNAAKDHKLMPYRRSGDNAE >CAK8570677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:86605588:86606236:-1 gene:gene-LATHSAT_LOCUS23413 transcript:rna-LATHSAT_LOCUS23413 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYVAFLGGLIQHRWMYPFERLLGDSKRSVKNKAKVEGLICAHYLHRETSHFCSHYFNHLMLTPRIIRNPVNVNKRSQFTLSIFGLPGSPYGKKGVHWLTKKEMQSAHVHVLINCVEVKPYIEEFNISYFHNTGVQATSGHIHAHFPTWFKEKLSCIVAPTQEILHLRNLSEGPIQSANE >CAK8574736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7734181:7735041:1 gene:gene-LATHSAT_LOCUS27051 transcript:rna-LATHSAT_LOCUS27051 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLRKSLQRYFSKIKTQHSHQLRLPSKKWISIKSCTHPRTPSFSIENKNNKDDEATLADIDQFLFENFKSLFLDDQEDAQPNKNTKCGVMSEDEEDKDGEKTRENKSSNLGRTFFDSSEGGSPLDLNGSNRFFTPRGFSGSTTTSTTNTFEETGSSSNSSTSKTFKIDSSSKETKIPNNCVVVLASSPNPYDDFRKSMQENVEARITKNQSVDWDFMEELLFSYINMNEKKSCKFILSAFCDLISVMRQSSDTEPDTDPEKPRSVRTIRKGRDVRKKKNKVLTL >CAK8567673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535132367:535133392:1 gene:gene-LATHSAT_LOCUS20702 transcript:rna-LATHSAT_LOCUS20702 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPTRVTRALAFFFFAAVCFPPALCSDEVQSFREAPAFRNGRECPPRGTSSVIHIAMTLDATYLRGSIAGVFSVLQHASCPENVVFHFVTTTHRRRQELRRIIISTFPYLNFHIYHFDSNLVRGKISYSIRRALDQPLNYARMYLADLVPATAQRIIYFDSDLIVVDDVAKLWNIDLGDHVLGAPEYCHANFTNYFTHRFWSNPAYASSFKGRGACYFNTGVMVIDLWKWREGRYTEKLENWMRIQKRSRIYELGSLPPFLLVFAGNVERVEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRIDSKKPCPLDSLWAPYDLFRHSSSPFCDS >CAK8579395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695617732:695618220:-1 gene:gene-LATHSAT_LOCUS31344 transcript:rna-LATHSAT_LOCUS31344 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFVRLLSSGTTIIPRAAAPVTDVQVFSVINLCDCHYLYVKHGSTSFIQQPQNSRGSMFGTSFESERASRIRAEQLAAATSTRLEEATKVIQASNDIAQKATEQYQASNEFVKKMESELNALKAFIMQKLDPTNGQSARVVIRSSNPHYDDDLDDQSLSED >CAK8570363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39732268:39744607:-1 gene:gene-LATHSAT_LOCUS23116 transcript:rna-LATHSAT_LOCUS23116 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQCVSCKQLRSVIVFQGKSGYSNILNREMRCCSFLPSKFSKMCYRMKHKVTDKKFVDIDQHGGKSALKLRRTKVFSLMDYDIPALIGNRSGEIKSKMNLEEEDISSICKKFSSITLGSAPKLDLYDETASYSEATINSATKDFEQPFYDSSELKEVQNTLSEARPSLPVDISSVTNFTLKKDDSSRCPLTPQSVSSIYEEKLDQVAREDSQKKVGLQSQSNLTLNELFLDKPINYIAGLTRKQYEQLDNCGFHTLRKLLHHFPRSYANLQNAHSKIDDGQYMIFVGEVLSSRGVKAGCSFSFLEVIIGCQIVDMESANEHLADKVEEKKTIYLHLKRFFRGTRFTFTPFLNSIATKYHSGDIACVSGKVRTMRAIDHYEMREYNIDVLEDGKDLSLCTKERPYCIYPSKGGLNPTFLRDIIARALHALPVNVDPVPRDITEEFGLLCLHDAYFGIHKPKDLSEADLARKRLIFDEFFYLQLGRLFQMLDGLGTQIEKDGLLDKYRRPEVNSVRTEEWSCLTKKVLELLPYTLTPGQLQAVSEIIWDLKRPVPMNRLLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPTELLAIQHYEHLLTLLENLDEVEFKPTVALLTGSTPLKQSRMIRRGIQTGEISMAIGTHSLIAERVEFSALRIAVVDEQHRFGVIQRGRFNSKLFYKSTISSMEDDAITDGSSKSDDYMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPVQTYAIEGNEKGFEEAYEMMMDELKDGGKVYLVYPIIELSEQLPQLRAASADLKSISDRFPGYNCGLLHGRMRSEEKEETLGKFRTGELHILLATQVIEIGVDVPDASMMVVMNSERFGMAQLHQLRGRVGRGTRQSKCILISSTVSGLNRLKVLEESSDGFHLANMDLLLRGPGDLLGKKQSGHLPEFPITRLEVDGNILQDAHVAALKILSASPDLEQFPALKLELGMRQPLCLLGD >CAK8560724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38522803:38524450:-1 gene:gene-LATHSAT_LOCUS14379 transcript:rna-LATHSAT_LOCUS14379 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGGGPRELTGAVDLIGHFKLIPHYEFFCKRPLPVSIADTHYLHNVVGDTEVRKGDGMQLDQLIQNTSFSRDTSARIQPFDLDILKEAFQLKETAPVDLPAAEKGIPTIAGKSKSEKDKEKKHKKHKDRDKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHRDSSADHSKKHHDKKRKHDGDDDVNDVHKHKKSKHKSSRIDELGAIKVAG >CAK8575470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:130007598:130011154:-1 gene:gene-LATHSAT_LOCUS27730 transcript:rna-LATHSAT_LOCUS27730 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLRLKATMKKKKTNQTPENKFQFELNSCSKSKDFRGAISLYDDAVSNNTCLNQHHLNALLYLCSNAVTDPSLKHLALDYGFRIFDHMSSQNITPNEATVATVARLAAANGDGERAFELVKNIGNYNVAPRLRTYDPALFCFCECLDADKAYEVEEHMNGVGVSLEEEEIAALLKVSAKKRRADRVYRYLHKLRSSVRCVKESTGAIIEEWFRSSEAREVVQRDLDMERVREGVLRNGGGWHGLGWVGKGDWVVTRTSVDDDGICRGCGEQLVCVDIDDEEMEKFASSVAALAVEREVKANFSEFQGWLEKHDCYEAIVDGANVGLYQQNFAEGGFSIQQLDDVVKELYNESTGKWPLVILHNKRVRGLMENLSSRKLVEEWMKNGVLYTTPNGSNDDWYWLYATVKLGCLLVTNDEMRDHIFELLGSNFFNQWKERHQVHYTFVKGNLKLQMPPSYSLVIQESEKGSWHVPLALGTSDESLKPWLCITHSTADDAVATVSNGVDTSGNGHRDETQKLAGNVHSLDSSVIENNSTSVTGKRKERSEPS >CAK8531012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69713826:69714068:1 gene:gene-LATHSAT_LOCUS832 transcript:rna-LATHSAT_LOCUS832 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRGRPKKMVSLLPVCQAKIHTPSNKEDQAESNSHMNEADDTQIESHEKERDDERGIEKTTETQQIKWWRLENYGLTF >CAK8576986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529643091:529647322:-1 gene:gene-LATHSAT_LOCUS29136 transcript:rna-LATHSAT_LOCUS29136 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNGEEGGLFLQTLRRRVESYTANNSSAEAEEIVNHLRSTYSDYHRTKRQELIRLVLKAIKVINKTSHNNDIDNGESHDSCRKKSKKIDIEQEKEEILPMTTTSTSCNSVLDSDSVRKKEPMFKDLGGMKNVIEELKTKILWPIFNPNMFKLGGKPIGGVLLHGLPGCGKTRLAHAIANETGYNFYPTSATQFVSGISGSSEENIRELFSKAKRTAPSVIFIDEIDAIASKRENLQRQMETRIVTQLMICMDEVNDHRFETSDKPRGHVLVIGATNKPSAIESALRRPGRFDSEILVPIPDQSSREEILNVVTRHQKHDNSVDLQKVAMSTPGFVAADLEALANEACSAAMDRLRNETKQKSDQDEDWWKEPLPQDILEKCAITMSDFEEASKKVQPSLTREGFAPIPDVKWEDVGALDHVRQEFDRYIIRRIKHPEDFKGFTRNLETGFLLFGPPGCGKTLIAKAVANKAGANFIHVKGPELLNKFVGTSEHDVRKIFSRARTCAPCIIFFDEVDALTKERGKEGGQNIEGVLNQLLIELDGAENRKGVFVIGATNRFDVIDRAILRPGRFGKHLYVPLPSPDDRVKILKTLAKDFIIDASVDLNVIGRMEGCKNFSGADLAELMEVAGMAALIEKWDSNEETSGTIKTCHFEAALRKISPSVSVPQRQYYQHISKSLKFK >CAK8534865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779414562:779417305:-1 gene:gene-LATHSAT_LOCUS4371 transcript:rna-LATHSAT_LOCUS4371-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAAIVLFTLLTTLALTWSWKILKRLWLKPKKLEKLLREQGLKGNSYRFLVGDIKDIVKMGKEGTSKPMNLSDDIVPRVFSYFQQSVVKHGKNSFVWFGPTPRVIITDPELIKDVFNKNDDFQKPNMNPLVTLLVNGLVHLEGEQWSKHRKIINPAFHFEKLKIMLPSFYKSCDDLIRKWETMLLSNGSCEMDVWPFLQNLASDVISRTAFGSSYEEGRRIFQLQIEQAELTKTVMTNAYIPGWRFLPTPTHRRMKEIDRDVNASLTNMINKREEALKAGEATKDDFLSILLESNHKEMEGHGNNKNVGMSLEDVIEECKLFYFAGQGTTSVLLVWTMVLLSRYPDWQTRAREEVIQVFGNNKPDFDGLTHLKIVTMILNEVLRLYPPVIELARTVHKDIKLGNLTLPAGVQLFLSIISVHHDSELWGDDAKVFNPERFSEGVLKATNGRYSFFPFGGGPRICIGQNFSMMEAKIAIAMILQRFSFELSPTYTHAPATVITLQPQHESAVIFAQSSNFSFHCHL >CAK8534864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779414562:779417356:-1 gene:gene-LATHSAT_LOCUS4371 transcript:rna-LATHSAT_LOCUS4371 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVSFLFNQLLLYREMVTTAAIVLFTLLTTLALTWSWKILKRLWLKPKKLEKLLREQGLKGNSYRFLVGDIKDIVKMGKEGTSKPMNLSDDIVPRVFSYFQQSVVKHGKNSFVWFGPTPRVIITDPELIKDVFNKNDDFQKPNMNPLVTLLVNGLVHLEGEQWSKHRKIINPAFHFEKLKIMLPSFYKSCDDLIRKWETMLLSNGSCEMDVWPFLQNLASDVISRTAFGSSYEEGRRIFQLQIEQAELTKTVMTNAYIPGWRFLPTPTHRRMKEIDRDVNASLTNMINKREEALKAGEATKDDFLSILLESNHKEMEGHGNNKNVGMSLEDVIEECKLFYFAGQGTTSVLLVWTMVLLSRYPDWQTRAREEVIQVFGNNKPDFDGLTHLKIVTMILNEVLRLYPPVIELARTVHKDIKLGNLTLPAGVQLFLSIISVHHDSELWGDDAKVFNPERFSEGVLKATNGRYSFFPFGGGPRICIGQNFSMMEAKIAIAMILQRFSFELSPTYTHAPATVITLQPQHESAVIFAQSSNFSFHCHL >CAK8539472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513646162:513649278:-1 gene:gene-LATHSAT_LOCUS8563 transcript:rna-LATHSAT_LOCUS8563 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTGDLLNIEPVELKFTFELKKQISCSLILSNKTDSYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVRVNDGANAKEITPEMFNKEAGHVVEECKLRVLYVAPPQPPSPVQEGSEEGSSPRVSFNENGGADSTTMMRAFTERHESSEKSAEAKALMSRLTEEKNNAIQQNAKLRQELDLLKRQSNKSRGSASMIFVIFFGLLGMITGYLLKKT >CAK8532345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248688327:248689139:1 gene:gene-LATHSAT_LOCUS2063 transcript:rna-LATHSAT_LOCUS2063 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHIKMRAAAFSADGSVLAIAADTAITLWDPVKNELIAVVGETPSPIGRLSFVEKSEYFLSVSHGSTPQLSVWSMSELTASWSYGLHIEAVSCALDLSFFAILGLLPKSNESLFKGDGIIIVFNATDPIPVASWSVTKAKGGSVAFLKGNPSELADGKSSETLLTYLNGDREYVLFDPFDKEAHGLNMTKNDDFVGLEENGQFGYTSIYGELPKFDLKRNKTSSVSSAASNRPWETIFSGSSHMLPPLSKLCSEFLESLLEKRTSIVE >CAK8532346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248688555:248689139:1 gene:gene-LATHSAT_LOCUS2063 transcript:rna-LATHSAT_LOCUS2063-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTASWSYGLHIEAVSCALDLSFFAILGLLPKSNESLFKGDGIIIVFNATDPIPVASWSVTKAKGGSVAFLKGNPSELADGKSSETLLTYLNGDREYVLFDPFDKEAHGLNMTKNDDFVGLEENGQFGYTSIYGELPKFDLKRNKTSSVSSAASNRPWETIFSGSSHMLPPLSKLCSEFLESLLEKRTSIVE >CAK8561816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:388861972:388863264:-1 gene:gene-LATHSAT_LOCUS15371 transcript:rna-LATHSAT_LOCUS15371 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSMPPPPLSPVVSTPVAQSNSRSRNVSTNLSTAEIGGIKDLGETSDAQEDGSIEEKRKEVDLEKPNQTATPEEGWKLWADVLKDNRNPAKGRAMKFIPHQVVDGKIEVLIEEDDVSSEVKFWESSLILYAMGADLSMNVVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTNRDKVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTGLNKIGSALGKPIMTDECTANRLRVSYACILVEMDIIKELPQSITIADHEGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNQGPIEVGNVNMDIVQIRKGNASTTADESLSIRNKDVETVMEKWTEVIKNGRDRGKKTGNVGAAGVLSYDNGFDALEILKDLIEAQNTGQ >CAK8578415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628006676:628007302:-1 gene:gene-LATHSAT_LOCUS30428 transcript:rna-LATHSAT_LOCUS30428 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLPCCHAMSCMKDQHLEIDDFVPKCYKKEQYVACYAPVIYPLNEEALWEKTSVVDLQPPPIKRQPGRPKKKRNREAGEMVRGETHMKSERHGIKCSRCHKDGHKKATCKLPQPQAPPSQVQEATSLPLSQVDSSQIQDATSQPPSQAVTSQPLPPVVISQPPSQGGTNQPPPPVVTSQSPPKTKKNFIRVASLFQANLDHYYYVF >CAK8543208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588394309:588396506:-1 gene:gene-LATHSAT_LOCUS11981 transcript:rna-LATHSAT_LOCUS11981 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMKLGEVNRAVSSTAINNRSSRSHSVLTVHINGKDTSGNSIRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEADSFSETVSTLKFAQRVSTVELGAARINKESSEVMQLKAQVENLKTQLANKENSKPMFNRTKEPRTPLEKTPLRPRRLSIENSSMTKTDKPVKAEDKSGAKSPSYIPRSRRLSLEGPRTIKKAADVNKTLQFESMSQQKYHPQQDPEAAVSKLSGQLSNEKSISELHAEDKSGAKSSSSYIPHSRRLSLEGPRTIKKASVEVNKTLHFEPMSQQKYHPQRDPEAVSKLNGQLSNESSTSALHAEVPPSSRKIYPKSLIEVDDAVQIHPPKLPQTPESTLLDKNDSNKIVTGDVADSTTTNVIGSTIGKGSQFRRSLRTIGKLISGPDKRSQHTTVEVKSPIKGSIHASQIKSPIATSERTKRRQSLTGIPSGPSNSRRSSLGAKPDHVAYEKERNARTPPPVRSESKTAKRWQ >CAK8531653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135326374:135330321:1 gene:gene-LATHSAT_LOCUS1429 transcript:rna-LATHSAT_LOCUS1429-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTMATAAGAAVMLYYVSRRLTKKEEEEEDDHDGDVSKSSRSIRRRRISRRPAQAPATLLESIVTLSETLRFTYAETLGKWPIGDLAFGINYFMRKQGNLAVASVYAGSDCVQLKGDEIIVELYELLRLLTLGMLFSKKPFPVFLDSAGFTVEDVLMQKPKAGLLKPAFTIIRDTQSKCLLLLIRGTHSIKDTLTAATGAVVPFHHSVLNDGGISNLVLGYAHCGMVAAARWIAKLCTPTLLKALGECPDFNVKIVGHSLGGGTAALLTYILREQKEFSSSTCVTFAPAACMTWELAESGKHFITTIINGSDLVPTFSTSSIDDLRSEVTASSWLNDLRDQVEHTKVLNVVYRSATALGSRLPTISSAKARVAGAGAILWPVTSGTQVMMKRAQSVAEAVVRTRSSLSSWSCMTARRRNVAPLPNSDTEDLTTEVSLLSERISESLLTEKAATESLLTEENNSSVAGSGHDDTDEEEHLIPANQDITTSTVDDITEGQLWYELEKELQKQDDTIDIHAQEEEAAAAKEITEEENQLVDAAECSNSITTSDNVDNHRFYPPGRIMHIVSMPSSDSSDSNSDDHPIEEHVRLYETPRELYSKLRLSKTMINDHYMPMYKKMMELLIRELEKDSSSNSLM >CAK8531654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135326374:135330321:1 gene:gene-LATHSAT_LOCUS1429 transcript:rna-LATHSAT_LOCUS1429 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTMATAAGAAVMLYYVSRRLTKKEEEEEDDHDGDVSKSSRSIRRRRISRRPAQAPATLLESIVTLSETLRFTYAETLGKWPIGDLAFGINYFMRKQGNLAVASVYAGSDCVQLKGDEIIVELYELLRLLTLGMLFSKKPFPVFLDSAGFTVEDVLMQKPKAGLLKPAFTIIRDTQSKCLLLLIRGTHSIKDTLTAATGAVVPFHHSVLNDGGISNLVLGYAHCGMVAAARWIAKLCTPTLLKALGECPDFNVKIVGHSLGGGTAALLTYILREQKEFSSSTCVTFAPAACMTWELAESGKHFITTIINGSDLVPTFSTSSIDDLRSEVTASSWLNDLRDQVEHTKVLNVVYRSATALGSRLPTISSAKARVAGAGAILWPVTSGTQQVMMKRAQSVAEAVVRTRSSLSSWSCMTARRRNVAPLPNSDTEDLTTEVSLLSERISESLLTEKAATESLLTEENNSSVAGSGHDDTDEEEHLIPANQDITTSTVDDITEGQLWYELEKELQKQDDTIDIHAQEEEAAAAKEITEEENQLVDAAECSNSITTSDNVDNHRFYPPGRIMHIVSMPSSDSSDSNSDDHPIEEHVRLYETPRELYSKLRLSKTMINDHYMPMYKKMMELLIRELEKDSSSNSLM >CAK8530924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63594142:63596101:1 gene:gene-LATHSAT_LOCUS751 transcript:rna-LATHSAT_LOCUS751 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFRFHQYQVVGRALPTESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIYEKNPTKIKNYGIWLRYQSRTGYHNMYKEFRDTTLNGAVDLMYNEMASRHRVRFPCIQIIKTATIPANLCKRESTKQFHNSKIKFPLVFKKIRPPTRKLKTTYKAKKPNLFM >CAK8538532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486272264:486273059:1 gene:gene-LATHSAT_LOCUS7720 transcript:rna-LATHSAT_LOCUS7720 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQKTREADRIYDDFEPPFDWDHDDTSDTVILMIPGFKKEQLRVQVTSTRVLRVSGEKQINEKKYRRFRKEVSIPSHSDTGKISAKFEAGILYVKLPKLINQQNIAPTPTQQEPQKPQQQTIPQKPKTDHVSDQKKQHEPKKDIEEVTRKNEKDKEEESRDDENKKIEKRSVTMEMVSRQRQEYVNALCGLVDEVKKQKKLVNVLLLVFLVLMFGIYVKNVINSFFSGGHKHQEL >CAK8571208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:337564952:337568879:1 gene:gene-LATHSAT_LOCUS23892 transcript:rna-LATHSAT_LOCUS23892 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPTFCKLVLPTTLQSRQLRIPDNFLRKHGAQLSTAATISVPDGTVWRLGLKKVDNKLWFVDGWQDFVQRYSIGIGYFLVFTYEGNSCFVIHIFNMGSSELNYQSAMRGRNEGPCYANYHPLFNEMEDIDSLEFLSSPPSNLTSGVLQEKGFAGSGDQLTPGKNHTPSLHNLFNGGSKLNRVNWGDIDGTLSSKVDIQSTRDIGVQFNASEFKKSTEEVKIRYSNEEGLNNSDTKKTSRKKRKSDLSAQEGSSAENEEDADSRYRFYESASARKRTVTAEERERAINESKTFEPTNPFCRVVLRPSYLYRGCIMYLPSSFAENNLNEVSGFIKLLGPTGKEFPVRCLYRGGRAKLSQGWYEFTVENNLGEGDVCVFELLRSREVVLKVTLFRVREDESGLFDPSMQVSQNVSHAKLLNPHLQHRVSTIKPVKY >CAK8572423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535544028:535545333:-1 gene:gene-LATHSAT_LOCUS24994 transcript:rna-LATHSAT_LOCUS24994 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPEKEIDRAKDMALEAVVYPQTQDPFGYGIKDFYNFNFNNFVAKEQQQQEQEQRSFSFVENQTENNYPYYGDWNNNSSTLNELQETTTDPSSNNTQNLDTSPSIDTVVRPKRRRARSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPDSYIQRGDQASIIGGAINFVRELEHKFQFLGAKKEKEVKDDDDDDDDADGSNKKPFSEFFSFPQYSTSTGGTSNSAAVFGEKVGEIQSCIADIEVTMVESHANLKIRSKKRPKQLLKMVSGFHNMRLTILHLNVTTIGEFVLFSLSVKVEDDCKLGSVDDIAAAVYQMVNRIQQEAVLN >CAK8572433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536748821:536751047:-1 gene:gene-LATHSAT_LOCUS25003 transcript:rna-LATHSAT_LOCUS25003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASDYGAFMEKFILPPSSSSTDLPLNSLTFAVKDIFDVKGHVAGFGNPDWAKTHEVATSTAPTVLALLSAGATCVGKTIMDEMAYSINGENIHYGTPRNPCAEDRVPGGSSSGSAVAVGAKLVDFSLGTDTGGSVRVPASYCGIFGFRPSRGAISKSGVVPMAQSFDTVGWFARDPKILSRVGHVLLQSPQVTPVKPTQVIIAEDCFQLSSIPYDVVPQTVIKAVQKLYGDDVLRREILGEYVKAKVPGLKHFMSEENTNQVYNIPSLAALSSAMRLLQRYEFKNNHSEWINAVKPDLGPGISERVSDALSTTEKDIDICHSVKGELCDALTSLLGDFGVLVIPTVPGPPPKLQTNSSELEIFRARAFSLLSIVGVSGFCQVSIPLGVYNDLPVSVSLVARNGADGFLLHLVESIYDIIEK >CAK8569019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663163958:663164707:1 gene:gene-LATHSAT_LOCUS21917 transcript:rna-LATHSAT_LOCUS21917 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFYRNRSLENIFHFLKIFTTLLLFYHSSFFPIFLHSVSHLYALSITVFSNYFFAFLILNAIILFLYIFSSRNGDVSSDDTYNHNLNQFLTITAPELVIDPVESPSEKQQDPVVETTLPEKKPRDSFNSEVRESSVTAVTESTTTTMTCCTTVTTSGDDKVLEEKCYRRVLSECYERRCVVADERREMKRFNTCLKKEPQRQLCYVEKMSKEEFNRTVEEFIAKHKRKQREEQEQLRSQKTEYLALAP >CAK8566108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380610095:380610352:-1 gene:gene-LATHSAT_LOCUS19271 transcript:rna-LATHSAT_LOCUS19271 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFSPQQRLLQHINFNFQLLQQRLRHFRF >CAK8536347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932837840:932838043:1 gene:gene-LATHSAT_LOCUS5724 transcript:rna-LATHSAT_LOCUS5724 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVIVNKVLNRLKKPVYFLDVTTLSQYRKDAHPEGYSGVMSTDCSHWCLPGLPDTWNVLLHAALFG >CAK8576248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435571334:435571757:1 gene:gene-LATHSAT_LOCUS28453 transcript:rna-LATHSAT_LOCUS28453 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDVLTGRKTGDYIQGDVRISGFPKNQQTFARISGYCEQSDIHSPQVTVRESVIYSAFLRLPREVNNDEKMKFVDEVMNLVELDNLRDAITSSPKETAETLYLQN >CAK8539969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532753941:532755581:-1 gene:gene-LATHSAT_LOCUS9015 transcript:rna-LATHSAT_LOCUS9015 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLKISKIVLAILSFVWISNISTDAQVLFSLKKTFELRESLSSQIGEWAANNTYDSILKFINSPSFPSRGAFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYERYKEDVQLLKNLGVNSYRMSISWNRIMPNGTLKGGINKEGVNFYNDLINELLKNGIEPFVTILHFDYPLALHQKHGGFSNRSIVTHFKDFSKLLFKTYGDRVKHWTTINEGEVTALFQYMHNIDNMSIEACPTTSRICKEAYIVLHNFLIAHATTSNLYKKKFQATQGGEIGIALSSGSYYPYSSKPEDVAAAKRLMDFYWGWVLEPIFHGDYPQIMRKLVGNRLPTFTKKYKKMLNGSTDFIGINYYASHFARHESNRTKIFGDNFDAVAISEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYIKKNYQNPKIYITENGISSSKTPNPLKDEHRVAYIASHINATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSKNWGLYHVDFNDSLKRIPTETANWYSKYLTNDFNGQN >CAK8538539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486592137:486592776:-1 gene:gene-LATHSAT_LOCUS7727 transcript:rna-LATHSAT_LOCUS7727 gene_biotype:protein_coding transcript_biotype:protein_coding MELESMKKFLEKGGETASMVNGFPPKFMETLIMSSLRVDLIEPGCIICSMTIPPRLLNSRNSLHGGGTATLVDAVGSVVIPASGHVGLTGVSVEINVTYFDAAYVDEEIEIEAKALRMGKNLTVTSVEFRKKKTGKVFAQGRHTKYLPVASKL >CAK8539680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521530499:521532642:1 gene:gene-LATHSAT_LOCUS8757 transcript:rna-LATHSAT_LOCUS8757-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGIMDAAYFVGRSEILSWINSLLQLNLSKVEEACSGAVHCQLLDAAHPGIVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNSGQDSYNPFERREVCKGGREVSKRSAHSQPSTKGGSTAAHRPQSSHARRNDVSTVNHSASHGAVRASRPSAPAAAVNPAYDQQITELKLSIDSLEKERDFYFAKLRDIEILGQTPGVENSPVFEAILKILYATDDNGSELAEAQAILAAGLQEAKTLSPIAEVSEEKSSSKRKNINNPECDAAGVTNLSPRRRLSDISNVHYEGSPLA >CAK8539679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521530499:521532642:1 gene:gene-LATHSAT_LOCUS8757 transcript:rna-LATHSAT_LOCUS8757 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGIMDAAYFVGRSEILSWINSLLQLNLSKVEEACSGAVHCQLLDAAHPGIVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNSGQDSYNPFERREVCKGGREVSKRSAHSQPSTKGGSTAAHRPQSSHARRNDVSTVNHSASHGAVRASRPSAPAAAVNPAYDQQVQQITELKLSIDSLEKERDFYFAKLRDIEILGQTPGVENSPVFEAILKILYATDDNGSELAEAQAILAAGLQEAKTLSPIAEVSEEKSSSKRKNINNPECDAAGVTNLSPRRRLSDISNVHYEGSPLA >CAK8578596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640621719:640622132:-1 gene:gene-LATHSAT_LOCUS30593 transcript:rna-LATHSAT_LOCUS30593 gene_biotype:protein_coding transcript_biotype:protein_coding MNEARVWNCKSSTFCLSDREFKNPALSLEKSLSSGAKIVKPPEPDDDDTSCELSWLINCVVLRRRIRTENLFAFWRMLIMSMVGPFGMRGDGAPVGVVGTSGTVFSPGDGAVAVVTGKGGDIVVDGNGGDDVVVVGG >CAK8563545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615057974:615064971:1 gene:gene-LATHSAT_LOCUS16943 transcript:rna-LATHSAT_LOCUS16943 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKHFAFFLVVLVFFPITSHLFVDSTSWVKAGYYYSGNEIAASEIKSTLFTHLLCAFAFINSTNYNVFINSSEEHNFFTFTDSVKLQNPSVITLLSIYGGRENSSIFNSVINQSSYRKSFIDSSIKTARKYGFQGIDLCGVSPKQGNELANFATLLKEWRIAITSEARNTKKIELVLVMAGYYLKASDSFSYPFESMQKNLDWVHFVAYDYHLPEKDSVTGFHAALYGSSGWENTDSGIKEWRKRGFTSNKLVIGLPYHGYAWTLVKQGEGGVGKPASGPAITRDGSMSYKWIKSYIKSFGDGVVSSYNDTFMVNYFTVGSISWVNFDDVDVIKEKVSYAKKNGLLGYNVFQVGNDDNWVLSTAAAQEVDEDHHDKRLVIIVLVTIMTAALLMGTLFCYYYYQRTITFITKMIHKLKIYLSAAEVDVYQDSYDLIVFGYPTIKVSTDNFSKENKLGEGGFGAVYKGKLQKGQEIAVKRLSENSNQGLEEFKNEITLTARLQHVNLVRLLGYCTKRNEKLLIYEYLPNKSLDHFLFDPKKSILLDWRKRVNIIEGITQGLVYLQEYSNFTIIHRDLKASNVLLDHEMNPKIADFGLARMFEKNELEANTNRIVGTYGYVPPEYVRKGIYSPKYDVYSFGVLLLQIISGKASSHYYGSHENMNLLEYAYELWMEGRGVEFLDPSLDDSTCHCKIMRCMHVALLCVQENSTDRPSMLEVDSLLKNEGTHVSTPNMPAFSMKKHEDDKGDTSKLRLKFYSINEVTISEMVAR >CAK8536533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:952926451:952927320:1 gene:gene-LATHSAT_LOCUS5892 transcript:rna-LATHSAT_LOCUS5892 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAIFLCFLIVVMDVTAGILGIEAEIAQNKVKHLRLWIFECRDPSHKAFMLGLGAAILLSLAHVIANLLGGCNCLCSQEELQKTSPNRQLSMACLILTWIVLAVGLSMLVIGTATNNKSRGSCGFTHHHFLSIGGILCFVHGLFCVAYYVSATAS >CAK8537454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362364837:362365751:1 gene:gene-LATHSAT_LOCUS6745 transcript:rna-LATHSAT_LOCUS6745 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLETIIDWLPGIKEIRLKDFPSFIRTTEPNDLMLDFILGECQRAEKASAIILNTFDDLEHNVLEAFSSLNLPPVYSIGPLHLLLKEVNNKELNSIGSNLWKEEPECLEWLNHKEPNSVVYVNFGSITVMTNEQMIEFAWGLANSKIPFLWVIRPDLVAGENAVLPQEFLEETKNRGMLSSWCPQEEVLDHSAIGGFLTHSGWNSTMESVCGGVPMICWPFFAEQQTNCRFSCHEWGIGLEIEDAKKDKIESLVKELMNGEKGKEMKEKALQWKKLAQNAALGPNGSSFMNFKNLFSNVLK >CAK8537455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362365233:362365751:1 gene:gene-LATHSAT_LOCUS6745 transcript:rna-LATHSAT_LOCUS6745-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEQMIEFAWGLANSKIPFLWVIRPDLVAGENAVLPQEFLEETKNRGMLSSWCPQEEVLDHSAIGGFLTHSGWNSTMESVCGGVPMICWPFFAEQQTNCRFSCHEWGIGLEIEDAKKDKIESLVKELMNGEKGKEMKEKALQWKKLAQNAALGPNGSSFMNFKNLFSNVLK >CAK8577701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583984464:583984940:-1 gene:gene-LATHSAT_LOCUS29783 transcript:rna-LATHSAT_LOCUS29783 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGQRSNVYDPFSLDVWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSAEKEDKNDEWHRVERSSGKFLRRFRLPENAKMEQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8579627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713597597:713598439:1 gene:gene-LATHSAT_LOCUS31558 transcript:rna-LATHSAT_LOCUS31558 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVSRTGRELQRYNNMGGRQVVGCIPYRYKQDIDGNRSNELEVLMVSSQKTQRLMFPKGGWELDESLEQAASRESLEEAGVIGLVECELGEWNFISKRHGIYYEGYMFPLFVKEQLDYWPEKNLRTRLWMTVGQAREVCQHWWMKEALDILVQRLISSSQQQ >CAK8536488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946487616:946489028:1 gene:gene-LATHSAT_LOCUS5852 transcript:rna-LATHSAT_LOCUS5852 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLIQQIQVSPPPSSLPSPTILPLTFFDIPWFYCHPIKRIFFYHFPHPTHHFLQTTLPILKHSLSLSLQHFFPFSSNLIIPPNSHDAPYIRYLDGDSLSFTVSESSANINLLISDSQDAQNWHPLVPDLPPPRTEPNGTRVIPLMAIQLTVLPNSGFSISLTFNHVAGDGKSLHHFMKYWASLSKASANNNNNSLLSIGLPFHERDRVKDTKGLKSIYLQELRDSDSKNMEFAGLVRESYVNKVRTTLVLSYEQVQNLKKWVTDKCKDSHRTQHLSTFVVTSSLIWFCMVKSEESESKSDQDDCVVGVDDLCYFVILADCRDSSEFSLPKSYFGNCLTSYILAVKRNELVGENGILVASNGIENKVRDFKSNALLGAETLMSNYRELAKPGKSVVVVAGSPKLAVYETDFGWGKPLKSDAVHLDTTGSISLSDCRDGGGGIEVGLALERSRMASFINIFQQQLDSICSM >CAK8575088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28022236:28022883:1 gene:gene-LATHSAT_LOCUS27378 transcript:rna-LATHSAT_LOCUS27378 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNCMTSAKVISMSYISSRVSEVGLAAFFYKTAFGQNRCGVSYSFAQPCLIVGFKKMSWSVRSSVNDSSFSPSNGTNGRTRIIRVIQEFRTKLSSKIDKVKKNLPVKLLFFLVGFYCATAFSTVIGQTGDWDILSAGLAVVVVEGIGALMYSSSLPLVIKRLISVFNYWKAGLTLGLFLDSFKY >CAK8535631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870957874:870958533:1 gene:gene-LATHSAT_LOCUS5074 transcript:rna-LATHSAT_LOCUS5074 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFPSSRNETSSYTHSYSSAFASSSVANFTPRASPVMMQPSSSVKVKLHGHRTTPLSPPSSTVRFSVEQRPESSEHRSMTATKDSRNNNGVSSSSEKTRRCMCSPTTHAGSFRCAYHKRMAEQEQQRQQKQEQKVQQQQQVKKEQQQQQRQQQTAWSRSRTLNLRRSAMKNSLVRIGVEGEIVKRTLTNPIRPSAHQLRRREAFQPKPSRLSNMSKA >CAK8561073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:85896003:85896764:-1 gene:gene-LATHSAT_LOCUS14695 transcript:rna-LATHSAT_LOCUS14695 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKNNANTSSSRLPIFNRSFTTLRHEEKQPLQKTLNLERTASLRGRVVKKLCSFFEPATPSSQEESPLSSKPKPLKLTESTSVSKSLDASRIIRLPGTEDRIVLYFTSLRGIRRTYEDCYAVRMILRGFRVWVDERDVSMDSCYRKELMNVLGEKSMKNVTLPQVFIRGNHVGGAEVMKQLCEVGELGKILEGLPKTKAGFVCESCGDIRFMPCGNCSGSRKVFDEDEELLKRCLECNENGLVRCPNCCSY >CAK8574994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20338428:20340168:-1 gene:gene-LATHSAT_LOCUS27287 transcript:rna-LATHSAT_LOCUS27287 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNVFGDIDNLPEEDKRRMSIMVEQLQMRDNLRLYNALVERCFKNCVNTFYRSSLNKPEETCVVRCAEKYLRLSTQVGIKYSDIYQGASTTDKQ >CAK8562120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:442876907:442877705:1 gene:gene-LATHSAT_LOCUS15646 transcript:rna-LATHSAT_LOCUS15646 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEIQSTEPEVLTVDVLAARSLIQTTHVYLDVRTVEEFQKGHVDSEKIVNIAYMFNTPEGRVKNPDFLKEVLSLFKKEDHLIVGCQSGVRSVYATVDLLAEGFKDVSNMGGGYLDWVKKEFPVKIHATE >CAK8566891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470324434:470324846:-1 gene:gene-LATHSAT_LOCUS19990 transcript:rna-LATHSAT_LOCUS19990 gene_biotype:protein_coding transcript_biotype:protein_coding METTEGVVRGWCVLNTGSPISIPVGKATLGRIMNVIGEPIDHKGEFKTEHYLLIHREALAFVEQATENYI >CAK8531007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69342203:69344058:-1 gene:gene-LATHSAT_LOCUS828 transcript:rna-LATHSAT_LOCUS828 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDQQPQAQQDRRGYTTVKNDQEFDIESGETLYPGLSVGENQLRWGFIRKVYGILCAQILLTTVVSVITVFYAPLNLLLRGNSPLLLFLVFLPFIFLIPLLRYQQKHPHNYILLGLFTLSISFTVGVTCANTDGKIVLEALILTSAVVSSLTGYAFWASKKGKDFSYLGPLLFTCLFTLVLTGMMQMFFPLGPVAHAIYGGFGAMIFSAYIVYDTDNLIKRFTYDEYIGASVTLYLDILNLFLSILRILREANN >CAK8531008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69342203:69344055:-1 gene:gene-LATHSAT_LOCUS828 transcript:rna-LATHSAT_LOCUS828-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDQQPQAQQDRRGYTTVKNDQEFDIESGETLYPGLSVGENQLRWGFIRKVYGILCAQILLTTVVSVITVFYAPLNLLLRGNSPLLLFLVFLPFIFLIPLLRYQQKHPHNYILLGLFTLSISFTVGVTCANTDGKIVLEALILTSAVVSSLTGYAFWASKKGKDFSYLGPLLFTCLFTLVLTGMMQMFFPLGPVAHAIYGGFGAMIFSAYIVYDTDNLIKRFTYDEYIGASVTLYLDILNLFLSILRILREANN >CAK8569293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692492812:692496200:-1 gene:gene-LATHSAT_LOCUS22164 transcript:rna-LATHSAT_LOCUS22164 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPSPTRKQSVGDRHMISPTRHNMSRSARRRKNTGHWWLSSISWRMKKEADSYDLTPQTHLSSNSPYYKGLTDQSLAITPTSHEENYEHDSTVSPSLRPLLLSSSPISSPYYKGLTDYSLVIDASWTATPHPIPHHSSSLSCYHDSSPYYRGLIALPVPTSPSSLYSLNINFNTSTTTSTQPSTRDFVVFLSPEDQSLMVTEPEATLNEAMVLIHPGIQGKPLRVNDHSSSQATLEEMDDDDDDDDDDDNDDDDNDDDNDDDDEIEGEKPLRVNNESETILEDVVLLVEEHTRKPLREGVTSDSETVLLQQKNAEKSDIGLEEMDLVIEQEKPLRESDVSQARNEKTDSKEELGDFWLGGQKTVSEQTVSLELPCEHMLKHTAEEGNLYEYLWATKYQPKKLGEFICNKARALELKALVKGGCGCNHFIFEGPPNVGKRSMIRAMLREVFGDDGVQVTEEYKDFSLKGEMVENLQLRMQKSLHHVEVNLSEAKGYEKHVIVELFKETYGKVINSSLPCSPENCQAIILYEAEKLSLESVLYIKWMVEKYKGCNKLFFCCSDESRLQPIQSHCTTVRLSSPSTQQIVKILEYIVQEEGIKLSRESIKKIILRSKNNLRQAIRSLEATYRHKNALNDDDLILTGWEYDILNIAKNIISEQSPRQLYAIRRKLQSLMIHDVPPDFIYKSLVAHLTSLVDDSLRSEFAKLHKEYTKGSEMKFESAKHYAENKPGGSDEKNSEITKKNAMNYLKVEEFIAKFMSWYKNLSKSNDHMQVIAGV >CAK8562930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557989437:557992961:-1 gene:gene-LATHSAT_LOCUS16390 transcript:rna-LATHSAT_LOCUS16390 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGFLTEGQREMLKIASQNAESLSALSSSPKSPSSLLADHHIKAPAGGKAQTAGIAVRHVRRCHSGKYGRAKKDGAGGKGTWGKLLDTEIDSHIDRNDPNYDSGEEPYQLVGTTVTDPLDDFKKAVASLIDEYFSNGDVDLAASDLRELGTSEYYPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPTQIRDGFFMLIESADDLAVDILDAVDILALFLARAVVDDILPPAFLARARKALPESSKGVQVILTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKIADLLREYVDSGETLEACRCIRELGVAFFHHEVVKKALVLAMENPSSEPLLLKLLKEAAEEGLISSSQMVKGFSRLAEGLDDLALDIPSAKALFQSFVPKAISEGWLDASFTNPAGENGEFQVEDEKVRKYKKEAVTIIHEYFLSDDIPELIRSLEDLGAPEYNSVFLKRLITLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVLLLENAEDTTLDILDASNELALFLARAVIDDVLAPLNLDEISSRLPPKSSGSETVRMARTLVSARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRLLDLLQECFSEGLITTNQMTKGFTRIKDGLDDLALDIPNAKEKFAFYVEHAQTKGWLLPSFDPSATDV >CAK8564992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21779040:21781508:-1 gene:gene-LATHSAT_LOCUS18235 transcript:rna-LATHSAT_LOCUS18235 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSCYNILLISIIMLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKRLYDLQPRSSVSWKTNSSHILIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8564993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21779040:21781463:-1 gene:gene-LATHSAT_LOCUS18235 transcript:rna-LATHSAT_LOCUS18235-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKRLYDLQPRSSVSWKTNSSHILIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8532223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:223299390:223300720:-1 gene:gene-LATHSAT_LOCUS1954 transcript:rna-LATHSAT_LOCUS1954 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAEFRRPIRRKLSYGICFLLGVFSVVGLVLFFLQHNYYEDRLKHPLLERNKNVEHFATDRLNFTEEISSATSFSRQLSEEMVLAKAYVVIAKEHNNLHLAWELSSMIRSCQLLLSKAAMAGKPVSKEEAEPIIKSLSSLIFKAQDIHYDIATTIVAL >CAK8560195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10229470:10237841:-1 gene:gene-LATHSAT_LOCUS13891 transcript:rna-LATHSAT_LOCUS13891 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILKELGHGSCGHVYKARDMRTFEIVAVKRLKRKFCFWEEYTNLREVKALRKMNHPNIIKLREVVKENNELFLIFEYMDCNLYQLIKEKEKPFTEEEIRCFMKQVLHGLSHVHKKGFFHRDLKPENLLVTNDVLKIADFGLAREVLSMPPYTQYVSTRWYRAPEVLLQSACYTPAVDMWAIGAILAELFTLTPIFPGESEIDQLYKIYCILGMPDSTCFTVDANNSRLLNFVGHGVVPPMKLSDIIPNASMEAIDLITQLLSWDPSRRPDADQSLQHPFFHVHTRVPRSLGDPLELKLSNKRAKPNLELKLYDFGPDPDDCFLGLTLAVKPSVSNLDVVQNASRGMGENMLFCSNFNDHPDQSVFWSLLPPDQNGVHNSAETSLSLSFGSVQHQPIGIPQTAGFSFQPLQPNILTTPFLTPPSPFQRRHCL >CAK8560677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35521990:35526827:1 gene:gene-LATHSAT_LOCUS14335 transcript:rna-LATHSAT_LOCUS14335 gene_biotype:protein_coding transcript_biotype:protein_coding MEILISSTSKTIPFPFFCNPKTLHPKFSSNCNKPFHRNHFPFYLTTSTSRKFQTWAHFGRPTNRRNSLRNKLLQDNQVRLKHIPDDPSSVSSNDVAESDVNFQRVSFDGDSLVELEKQKSKLLGESVFLNKLENWVDQYSKDIEFWGIGSAPIFTVYEDSLGGVKRVLVDEEEILKRVRVQKGVNEIEDLSDVRCKILEAKKLARDMENGDNVIARNSSVAKFVVQGKEEKGEEGGFVKAVCGFVAQPRLPSKLSGVGGKVLIVLVVMFAVKKLFSFGDKEVRYTEVEKKMMTRKVKARKEKEMLTKGAVEVIHESKETPVIGVKKPKLDKEQLKNNILKAKASSDKLVVQNSSDEVRTGLIDMDYKVREIREMAKRAWEIEGRDRSLISKDVETDDHVEVKSSDESEEVKKNSKQDNSLSNHQNEVARKTTDSNGVLDTTSDDLTEKGDNSILQAVAHDDREINEEKIEINGNAMALEDSKDNKSLRTPVNGSFITNKSSVNKKPRIIRSVKEAKDYLSTKHDKQNRYTKSPIKIKKENIADSKPSKYVDFNSQKSQNLEMNNTIVSRSDTLNGFPYSKPEINASEDSKREKSPTKNECWEDSGIEPGFEDLQKSKTTLDHEINGIGTEGKGKEPGRERLLYSKPYINASEDSNQKESEKSPTETDCSKDSGIEPGFEDLLKSETTLDSEINGIGTEGKGNEPDRERLLYSKPDINASEDSNQKESEKSPTENDCSKDSGIEPGFEDLQKSETTLDHEINGIGTEGKGREPDRERLLYSKPDINANEDSNQKEWEKSPTKNEYSKDSGIEPGLENLQESEITLDCKINGIGTEAKLSVEKSFHEAEPTIEQNSSDTLNMISDSKPDLNPNENSDEEDNKFSQTKIDNTKDSDVEPGMRNPQNCETTSGEEINGDRRKSKFTGKTENWLETNFHEVEPIIKQIRAGFRDNYAVAKERVDQTLDIPSEMESPEIGEDGGEPDWMQNEHLRDIVFRVRDNELCGREPFHMMRDEDKKAFFEGLEKQVVKENKKLSHLHEWLHSNIENLDYGADGISIYDPPEKIIPRWKGPSVEKIPEALNEFLEEKIKRTSSKNLNLVKKDEKDSTKNSADSSSKVKVDGSVAPIKKSKTPKTVIEGSDGSVKAGKKSGKEYWQHTKKWSQEFLDCYNAETDPEVKSVMKDIGKDLDRWITEKELEDAADLMKRLPDKNRSFVEKKVNKLKREMELFGPQAVVSKYREYTDDKEEDYLWWLDLPYVLCIEVYTVKDEEQRIGLYSLEMAPDLELEPKPCHVIAFQDPGDCRNLCYIIQTHMEMLGGGSAFVVARPPKDAFRDAKESGFGVTVIKKSEIQLNIDQPLEEVEEQITEIGSKMYHDKMMKDRAVDINSIMKGVFGVDVSDKRLKRKLK >CAK8536163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913564269:913564676:-1 gene:gene-LATHSAT_LOCUS5557 transcript:rna-LATHSAT_LOCUS5557 gene_biotype:protein_coding transcript_biotype:protein_coding MDSACISVCVNSKDIPIRPTFANLYKWPESEVEFVKTMNTNSYRNGVEFDSLSCRQMYLRSYKFSKKKLSITGKTRKCFSCVKESVICASNRLNYNIKGNRKILGRAKYVTHAAVSIFYRLLSRSDKVHVARHGY >CAK8535376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845124094:845126030:-1 gene:gene-LATHSAT_LOCUS4841 transcript:rna-LATHSAT_LOCUS4841 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHQAKSIHSSSRMSIPSERHMFLQTGNGSSDSGLVLSTDAKPRLKWTPDLHARFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQSHNNNVTHKINTHSASGTDERLSEQTNGTHINKLTLGPQPNNNNKDLHISEALQMQIEVQRRLNEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGIVGLEAAKVQLSELVSKVSSQCLNSTFSEMKELQGFCPQTIQITNQPNDGSMDSSCLTSSERSQKEQEIIQNGGFGLRHFNNNKNNNNHVFMERKEQQLAEAAASMQNLRNTEVLKWCVDEVKKNSTFLTPLERNHGNLSMNIGVEQNHSDVGDFQHRNTARTEPMKSVDDNNKIQQPSNYFSASRLDLNSRGDNNEGATSCKQLDLNRFSWN >CAK8565821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339106152:339107324:1 gene:gene-LATHSAT_LOCUS19002 transcript:rna-LATHSAT_LOCUS19002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAVIATKKTSKVRKQLHIHHDIAFSILSKLSIKPLKRFECVCKSWSLLSANRYFMSHYRNSFLTKYHSYYDDASFLPIQRFNIFHNHRFELYSLYEEKFASNVKIDWPYLICFPRIVGCGSVHGILCFSIMIKNDIILCNPSTKDYKVIPLSSNHHECYRRGYSNSGFGYDCVEDDYKVMCIYHLQNEPIEDLYLDPFIWEIFSLRNNSWKKLDVDIKYNPNFWSEEQHGFSHRVCQIEEYGYKTYVLSFDWHREVFTTTLIPFDIDDIPDFLFKWTNLVLLNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLEFPIGMGKKSDMLFRKKDGGVVWFDLITQKTTDLSITNKTCSNIIIHKENPISLLAYVGKSI >CAK8534041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:685272039:685275266:1 gene:gene-LATHSAT_LOCUS3615 transcript:rna-LATHSAT_LOCUS3615 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSISTLSSSSSFLSDTSSTSSSTLIRNRTSPSFLVGFPKPPFSNATLRLKPSFSALSTQQDNGSPEQFLKNNSMADFMRFKKGIDGASGVLQTAVVSYKRKFPWSILRPFLKVDLISTIHIADEEYFLALQKELESYDCVLYEMVASRETLENMRSPIKRLKGSHSRGFNILGFIQRQMAQVLRLDFQLDCLNYQSENWQHADLDYETFQLLQEAKGESLFSFAKDMTLRSTKAMLKPSIPEDLDPWRSKLLWAACVLPMPLVGLFLIDSVCANVESPVSEYPEIEALSRLDFSGAMKLFLAKRLTSELTLATADVEEKSVIIGERNRVAIEALRTAMDKGNNRIAILYGGGHMPDLGRRLREEFDLIPSGVEWITAWSIRKRKLNTSSFPFLKTMARASGWPLNRYQTLALLIFSFVLALDLWFWELFFGTTVDWVSEVASEVIQYVDNSKMI >CAK8543971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652908871:652910745:-1 gene:gene-LATHSAT_LOCUS12689 transcript:rna-LATHSAT_LOCUS12689 gene_biotype:protein_coding transcript_biotype:protein_coding MIDESNRSCFSYVFLLCLLLCRYTCSQKTCPNCGSMTVPYPLSIESNCGDPYYRLRCDPRNRKLYFDTLNGGSYVVIRIMSSIQRMVVQAPPWLPGSCVTQDMLVSNGIWLNQSLPFNITSSNTVFLFNCSPRLLVSPLNCTSSSICHRYLENSGHVDGKRAHECASGLRPCCTFVAGGMPSAYKIRLHSSGCKAFRSILHLDQDKSPSQWEEGLEIQWSLPPEPVCRTQNDCSRDSKCSSSGRDKLFRCLCNRGFHWNPSAATCEKYKRKSKWKTSLIVSIAVISFFSFAVVLVVITKSFNHSKLAKERETAMKSSIGVEKPYKMFQLKELKKATKCFSHDRILGSGGFGEVYKGELQDGTLVAVKKARVGNLKSTQQVLNEVSILSQVNHKNLVRLLGCCVESEQPLMIYEYISNGTLYDHIHGRYPSFLDWKKRLKIAFQTAEALAYLHSSAHTPIYHRDVKSTNILLDDEFDAKVSDFGLSRLANPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSKKAIDFSRDQDDVNLVIHVSQYANNGEIMQVVDQRLVLSMEPLSGKLITSIKLFWELAHDCLKEKRVERPCMRDIVERLLCIIRIEDQE >CAK8571719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464984468:464984782:-1 gene:gene-LATHSAT_LOCUS24356 transcript:rna-LATHSAT_LOCUS24356 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTSFDSDRASRTRAEQLAAVTSARLQEATKAIHASNEIAQKAFIMQKLDTTNGQSASVAIRSSNRHYDDDLDDQSLSED >CAK8561928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413229442:413239284:1 gene:gene-LATHSAT_LOCUS15473 transcript:rna-LATHSAT_LOCUS15473 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKPGGNSLEGLKASDVDPQVTFHQGIPSGGDKFAYDAIQKILALSTKDGRIKLYGKDNAQALLDSSEPLPSKFLQFIQNKGILLNVTSNNHIEVWDIEKKVLSDIYFAKEEITSFAIIQHSLYMYIGHFNGNISVLKLDQDPWHIAQMKYTIPFSASNGNSEVCDDTIVMHVLPQPGAETKRVLIIFRNGQIILWDIQESETIFRTGGNMLQTLHNNETKKVTSACWACPFGSKVVVGYNNGELFIWSIPSLNTGNGPSASDHSSQSPLLKLNLGYKSEKISIASIKWVYAAGKASRLYVMGASEYASSNLLQVVLLSEHTESRTTKLGLPLSECCVDMEIISTSTEQDKHKQDSFLLLGKSGHVYLYDDTFIERYLLQSKSTPSLPKGVIAKLPLASSSITAAKFISNNSNVFYSEDEYYRQLVKNHPLFVPVEMNQKDGISPSSAKFSGFSKVQNLYITGHSNGAVNFWDASCPLFTLILQLKQQTENDFSLSGIPLTTLFFDINSPLLVSGDESGTVRIFRFKPEPYATNIFSGTKKGTDHVIQSVKTVKINGAITSVNIDHSSTRLAVGSHQGHVSVFKMDGLTLLYQKHIASEISAGIISLQFLTCSLHGFDKNILAVGTKDSSVLALDNETGNMTSTETVHPKKPSKALFMQVFDGQGEVLTGSVTKDGLDLSEGNHIENAPTKQLCFLLCSEKALYVYSLMHAVQGVKKVLHKKKFHSSSCCWASTFYCPSGIGLVLLFTNGRVELRSLPELSLIVETSIRGFNYSPPKSKSFSDWQICCSSKGDLVLVNGDQEIFAVSLLVQRNIFRVLDSVSCIYKKEMMLSQEELFPGPVIQKEKKRGIFSSFSGNKEKPAPPMETVNSRESIQELSVIFSKENFPSLVDNNDNLAIDEDEVELNIDDIDLDDHVEKRKDRGILGIGGLNKKKLTGKFQALKGRLKEIKGNTQKTSGKEERQEEQQPGTVDQIKKRYGFSSSSNETTVAKLAESKLQENLKKLQGINLRTTEMQDTAKSFSSMANQVLRSVEQQQDKRSS >CAK8565756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:323499606:323500895:1 gene:gene-LATHSAT_LOCUS18942 transcript:rna-LATHSAT_LOCUS18942 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNNPNLVVTTILFLLLSLPLVSCEECSSKYEGGCHDINEALKLKLIAIFCILLSSMIGICIPIFTSSIPALKPDGDLFIIIKAFASGVILATGYMHVMPDSFEDLTSPCLPQHPWHKFPFTTFITMISAIFTLMVDSFSLSYFKKKLPMSSSLNNLKMTKELEDGHGHDGLAIANGHGKNVNAEQLLRYRVVAQVLELGIVVHSVVIGLSMGASENPCTIKPLIAALCFHQLFEGMGLGGCILQADYGVKMKGIMISFFSATTPFGITLGIGFSKVYSDTSPTALIVEGVLNAISAGLLNYMALVDLLANDFMGTKLQNNIKLQLLSYIAVLLGAGGMSVMAIWA >CAK8565757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:323499777:323500895:1 gene:gene-LATHSAT_LOCUS18942 transcript:rna-LATHSAT_LOCUS18942-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGICIPIFTSSIPALKPDGDLFIIIKAFASGVILATGYMHVMPDSFEDLTSPCLPQHPWHKFPFTTFITMISAIFTLMVDSFSLSYFKKKLPMSSSLNNLKMTKELEDGHGHDGLAIANGHGKNVNAEQLLRYRVVAQVLELGIVVHSVVIGLSMGASENPCTIKPLIAALCFHQLFEGMGLGGCILQADYGVKMKGIMISFFSATTPFGITLGIGFSKVYSDTSPTALIVEGVLNAISAGLLNYMALVDLLANDFMGTKLQNNIKLQLLSYIAVLLGAGGMSVMAIWA >CAK8541918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:432508351:432512917:1 gene:gene-LATHSAT_LOCUS10806 transcript:rna-LATHSAT_LOCUS10806 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVIVFLVNVYLLVNYQHPDDVNQAYFPKFVVVLGLSVAAISILMLPADVANRQACRHAIYNGACNLTLPMKDLWLAIYVIDAILVFFIIPFAMFYYEGDQDKSIGKRIKSALCWVVTTAVVCALVLGILYGLVGKVDFTVRHLSSSTTAFPTSWGLNSGQQCVGNGGHQCSAYSASASSEKTWTMRSTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLLFSFIRRPKAVITRSQYIKEATELGKKAKDLKKAAESLHQEERGGAKGRKHRKNVKEVEKELFQLEEDVKLLEEMYPQGEKAETTWALTVLGYLAKLVFGILGLIVSVAWIAHIIIYLLIDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGATMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKYNVFQIAFVVLAGLTFVYYAAFGWKRKKPSGRFQLSS >CAK8568463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608069893:608070414:1 gene:gene-LATHSAT_LOCUS21418 transcript:rna-LATHSAT_LOCUS21418 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRGTGLDEIQQYVDARWICAPEALWKIFKFTLYKLYPSVERLQIHLPNHHQVRFYKHQRITDVLNDNKNAVTMLTEFFALNQMDPRARNYLYKEILEHYYWLNGVKKWQRRQTKRKVIGRIYTVSPSEGGKFYLRVLLSHLRGPTSWECLLTHNGACFFTFKKSAEDWGY >CAK8534441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727024079:727026598:1 gene:gene-LATHSAT_LOCUS3987 transcript:rna-LATHSAT_LOCUS3987 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLWISVCFLFHIILHFHIATCFYLPGVAPEDFHKGDPLRVKVNKLSSTKTQLPYSYYSLPYCRPDHIVDSAENLGEVLRGDRIENSPYMFKMREPQMCSAVCRLILNGKTAKEFKEKIDDEYRVNMILDNLPLVVPLRRPDQESSLVFLHGFLVGLKGQYAGNKDEKYFIHNHLTFVVKYHRDSVTEFSRIVGFEVKPFSVKHEYEGEWDEKTRLTTCDPHAKKIISGSESPQEVEDNKEIIFTYDVEFQESDVKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAVIMLRTLYRDISKYNQLETQEEVQEETGWKLVHGDVFRTPSNSELLCVYVGTGVQFFGMVLVTMMFAALGFLSPANRGGLMTAMLLLWALMGLIGGYSSARLYKLFHGTEWKKITLKTAFMFPSIVFAIFFVLNALIWGQRSSGAVPFGTMFALVFLWFGISVPLVFLGGHIGFKKPEIEEPVKTSKIPRQIPEQAWYLDSVFCILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFLILVVTCAEITIVLCYFQLCSEDYTWWWRSYLTSGSSALYLFLYATFYFFTKLEITKPVSGVLYFGYMLLLSYGFFVVTGTIGFYACFWFTRLIYSSVKID >CAK8579163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680605818:680606925:-1 gene:gene-LATHSAT_LOCUS31129 transcript:rna-LATHSAT_LOCUS31129 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKKEQLESDDDTPSPPPQSQELSYFLKENEPENEGTSSSVVKQERNERDHASSLDQIPGAGIDTKDSVDRDAVLARVESQKRLALIKAWEENEKTKVENRAYKMQSAVDLWEDNKKSSTEAKFKGIEVKLDQKKSEYVEDMQNKIAEIHKSAEEKKAMIEAQKGEEILKVEETAAKFRTRGYEPRRLLGCFGLRLFR >CAK8530231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8810921:8812737:1 gene:gene-LATHSAT_LOCUS114 transcript:rna-LATHSAT_LOCUS114 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNKQVTLSKTTKKGRGHKEVIVNAIRDAAENYNSVYVFSFENMRNKKLKEFRDELKSTSRFFLGSNKVMQVALGRSASDEIKPNLHKVSKLLRGDAGMVFTNMSKEEVERLFNEFEEFDFARTGGIATEKVELKEGPLDQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKPLSPEAARILRLMGVKMATFKLNLVCRWSADDFELYIDGPGDSDVECS >CAK8570427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45255097:45257364:1 gene:gene-LATHSAT_LOCUS23175 transcript:rna-LATHSAT_LOCUS23175 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCNSNTITLSFPFPLTTINKLKHTQHRHRRQTLVVASIHHDSLRVLEWDKLSDLVSSFTTTSLGRHALKDQLWSKNRTYEESLKLLEETNAAVEMHNHGSCRMHFGHIDAMLVQAAIQNARRTISVTGYEARAVLALLQSFDSLQGDLKAAIKQDKDWYSRFMPLSEVIMEFVVNRSLVKVIEEVIDEDGSIKDSASSELKKSRQQVQVLERKVQQLMESLIRNESSETSILEVNNIGGRWCISVDSGQKTSFKGLLLSSSGVGSTVEPLSAVPLNDELQRARGLVAKAEAEVLLTLTKKIQLDVDDIENILNSLVQLDVINARATYGLSFGGSNPHIFRPDGNNSSTAQSLTRNDNSNGLLPNNREWILHLPKAYHPLLLQSHRKNSKKAKEDVATSVAALDKGQPQPVPVDFLVSNKTRVIVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESVQIPWFDSVFADIGDDQSLSQSLSTFSGHLKQISNIKLQSTRQSLVLLDEVGAGTNPLEGAALGMSLLESFSQDGCLLTLATTHHGELKTLKYSNEAFENTCMEFDEVNLKPTYKVLWGIPGRSNAINIAERLGLPSVVIDTARKLYGSSSAEIDEVITDMEKLKQDYHRRLTEAHYYLIQSRRLHSSLLNTRRKITEHSTSLLLKKHKDVSASAAMARSILHKNVKELGASTKKASQHNKAIKCFSMESLRSMMRTLSKISRGFVLPHQVFLYRLQPSPKLIWKGSLHHLFTT >CAK8562228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:459348275:459351084:1 gene:gene-LATHSAT_LOCUS15744 transcript:rna-LATHSAT_LOCUS15744 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSFRMTTTAVLCYNPSNNFLRTNQPKQIYLKNNLFSPSSSTSRSFTCGFAPFKLLLKSVMDGSIRTAYRDGNSGEHIEVLEQEAFVDRSSEVQPKFLFQEVESTLNRLSKWIVSALFGIFVIWRHDAEALWFAGGSVVNAMLSVLLKQILNQKRPSTLKSDPGMPSSHSQAIFFAVMFTILSSIESFRINAFTITSSGLVLALGSYLSYLRVSQKLHTVSQVVVGAAVGSTFSISWYWLWNTFVLDAFASSLWVRIIVIVGSAGFCLGFLIHVVRHWFKNNEI >CAK8532880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:542540653:542541255:1 gene:gene-LATHSAT_LOCUS2542 transcript:rna-LATHSAT_LOCUS2542 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVISIGTQLPKENEWVPNCDDALKPKIGQVFDTLEEGGEFYKKYAHHVGFSVRSSSETKDKNGVKRWKYFVCSKQGYLPMKTNEIEQSKSSIKARRRSLTREGCNANVVFK >CAK8543849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643939379:643939609:-1 gene:gene-LATHSAT_LOCUS12575 transcript:rna-LATHSAT_LOCUS12575 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEGKPIGHYKVNLLNGWCNCRKFQAYHVSCSHVIAACSNMRHDAYALLSDVYRVTNLFGVYSTNFSVLPNDEY >CAK8541542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234884043:234884510:1 gene:gene-LATHSAT_LOCUS10457 transcript:rna-LATHSAT_LOCUS10457 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAERKIEKSDPIYRNRVVNRLINNIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKVRRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8534107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695832536:695834923:1 gene:gene-LATHSAT_LOCUS3677 transcript:rna-LATHSAT_LOCUS3677 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYSSQISLTFSFINTFLLLPLLLSQLYVTADEMYTSCEPFSCGNFINITYPFWNINTQPSYCGHPEFMLDCRNGSLTMKIKDQKFHILHINQASQVLRIAREDMWDFIPGDKYLCPKRYTKVDIDFHFFNYTSNNEIYTMLYECGPLPDSYYSPSNQYNFKVMSCHMEGKFHIVYIVSSAKLTDFSVMKCKNNITVLGKKNSLKNNSRATKDVLDEGFEVRWNGVGDDTCHNCIKHGGRCGYKRAENAVMCLSKESTLPKGKWNWKMKLTTGVISSMLATLVIIASICIYRRHNNNSYAESYIHSPSISLQPSKRISKSKNFGVEHFIFDDLDVATEHFSDDNRLGDGGSGEVFRGKLLDGREVAVKRLFEYTRNKEKQFLNEIEILARVVHPNLVLLYGCTSLISREAMVVYEYVSNGSLYNHLHGDKETSKKLPWNIRMRIAVETADALKYLHASNIVHRDIKSSNILLNAECHVKVADFGLSRIFPIDKSRVLTTPQGTLGCVDPEYKKTQELTYKSDVFSFGVVLIELITCLRAYDDISRKDGDVFLYKMAMKKIQNQTLTDIVDNTLDFNSDSKENQMIKGVAELAFLCLQVSSDMRPTMEDVLENLLNIQGVNEIQPEAGISSNPDDEIKLLNSD >CAK8577392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560081718:560086626:1 gene:gene-LATHSAT_LOCUS29505 transcript:rna-LATHSAT_LOCUS29505 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSQDSSSQSLWEGYKEFWCDRFSFMNNYSNFVKRDKPLTSWSDSDVEEFIASDPVHGPVLRTAREAVQYGLTGSALGALFTAGFAWKYSRSLHGAGLSLLAGGVFGWTFGHEIANHSLQLYRVDTLASEAKFLEWWKSKTEGY >CAK8533834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664120142:664127377:1 gene:gene-LATHSAT_LOCUS3428 transcript:rna-LATHSAT_LOCUS3428 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSGAGRRAYMKLFTSAAKYSSFSASNEMNLIKQLRERTSAPIKDVKAALVDSNWDIDAAQKELRKRGIVLASKKSSRAASEGLLALAQNHHKTALIELNCETDFVARNDIFQHLALSLANQALLLHNNNSSSFHFGPQSFQDIRLNLEHPKINGETTVQNAITDVAAMMGENVKLTRAYVMPAPSNGLVSTYLHTSPQPGLGRIAGILSLEVDGDKTHADALQRVGSELAMHVVAAKPLFLTRELVSLDALENEREILKSQAEASGKSQTTIDKMVEGRLRKYFEDVVLMDQKFVMNDTMKVKVVLDNLSKEVGSSVRVVNFLRMEVGEGIARKDTDASESVAQAA >CAK8537365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:309746743:309747156:-1 gene:gene-LATHSAT_LOCUS6660 transcript:rna-LATHSAT_LOCUS6660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGNHPRKKKGGLVTMPFIFANEVCEKLAVVGFNTNMISYLTKELHMPLTKAANTLTNFGGTASLTPLLGAFISDSYAGKFMTITVASIIYQIVIFFL >CAK8537364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:309746743:309747189:-1 gene:gene-LATHSAT_LOCUS6660 transcript:rna-LATHSAT_LOCUS6660 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRKEQSFEKMEENGNHPRKKKGGLVTMPFIFANEVCEKLAVVGFNTNMISYLTKELHMPLTKAANTLTNFGGTASLTPLLGAFISDSYAGKFMTITVASIIYQIVIFFL >CAK8531646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:134013516:134015421:1 gene:gene-LATHSAT_LOCUS1422 transcript:rna-LATHSAT_LOCUS1422 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYMENDSIHNGKEVEGTNVNKAEGNTLGASSSGLTKKRGNTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKIVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWKRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEYFRNLLEYWRDDKTLEVSHQNTENIVQLKWRHLMGNKGFAVIREKMREIMKIKNLRLKLKCSLLLDKVGKERSWIKKLTMQL >CAK8570439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46287813:46288037:1 gene:gene-LATHSAT_LOCUS23186 transcript:rna-LATHSAT_LOCUS23186 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYDGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRNKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8535557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863481838:863483078:1 gene:gene-LATHSAT_LOCUS5004 transcript:rna-LATHSAT_LOCUS5004 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASNSESQPILNHSCEIIPDNDDIENVGVITDQPQCRICLDFEGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAVFILRANVPKDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLIYKFYGDELREMFGYEQHPYGFYTMAVLAIILVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREHVKNVPELDPSHVTELRMLGLY >CAK8569514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3703048:3703975:1 gene:gene-LATHSAT_LOCUS22358 transcript:rna-LATHSAT_LOCUS22358 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLPIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTGEQATIGQIHASFPAWFRDQLSCIVAPTQEILHLRNLSRGPVQRAIEWHTYFVNDYKFHTQKWTEGKKTINIGVFAKGVTNGGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDWYDPSSRGTKIDKKYNIVDIRMDRRYKEYDPFIMSHIVKQVYYVPYPSI >CAK8539452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513004868:513005209:1 gene:gene-LATHSAT_LOCUS8544 transcript:rna-LATHSAT_LOCUS8544 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHVFLRIVDALGNHDEYFQMRVDATDKMGLSPLQKCTSAIRMLAYGSPADLVDEYVRIGESTSIECLERFVKGVNVVFGTEYLRMPNNTDVEHLLQMEKLSCCMERTIFPR >CAK8543433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607767041:607769731:1 gene:gene-LATHSAT_LOCUS12190 transcript:rna-LATHSAT_LOCUS12190 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILRETAKPSSSSCSPSSSSEPATTSSTSITETVKGSHQFKITGYSLSKGIGIGKYIASDIFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVRSRTEGPKIYSIAIPPSNIGHQFGQLLETGIGNDVSFEVNGEVFTAHKLVLAARSPVFRAQLFGPMKDHNTRCIKVEDMEAPVFKALLHFIYWDSLPDMQELTGMNTKWATTLMAQHLLAAADRYALERLRLICEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIATSENLRAVMQTDGFEYLKESCPPVLTELLEYVARFTEHSDFMCKHRNEAILDGSDINGRRVKQRL >CAK8572508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542242996:542247112:-1 gene:gene-LATHSAT_LOCUS25067 transcript:rna-LATHSAT_LOCUS25067 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRPTANSRTEVRRNRYKVAVDAEEGRRRREDTMVEIRKNRREESLLKKRREGLQPQQIPSSIHSAVEKKLENLPSMVTGVWSDDNNLQLEATTQFRKLLSIERTPPIEEVIQTGVVSRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASSSDDVREQAVWALGNVAGDSPRCRDLVLGQGALVPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALAGLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQVIINHQSLPCLFNLLTNNYKKSIKKEACWTISNITAGNKQQIQAVIEANIFGPLVTLLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVEQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNIGNTGDLNLYAQLIDDAEGLEKIENLQSHDNTEIYEKAVKLLETYWLEEEDETMPPGDASQAGFNFGNTDVPSVPSGGFNFNQ >CAK8534271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711744296:711752269:1 gene:gene-LATHSAT_LOCUS3829 transcript:rna-LATHSAT_LOCUS3829 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSAARTLAELRRIATLTRGGANSNHIFAARPFSSDVVISPVSVADPTLSSSADSNGKASKWWLYLPGAIAFGLGSWQIVRREEKIKMLEYRGKRLQMEPLKFSGAYPSSEELDSLEFRKVVCKGVFDDKKSIYVGPRSRSISGVTENGYFVITPLMPVHNYPDSVSSPILVNRGWVPRSWKEKFLEASHDEQFADPLPSPSQSDGTRSWWRFWSKEPVSSEDQDPSVTPNKVIGVVRGSEKPSIFVPANDPESSQWFYIDVPSIARECGLPENTIYVEDVNENINPSNPYPLPKDVNTLIRSSVMPQDHLNYILTWYSLSAAVTFMAFKRLRQKNKRR >CAK8564233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:660290654:660293499:-1 gene:gene-LATHSAT_LOCUS17556 transcript:rna-LATHSAT_LOCUS17556 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSCLGLLNHVTTNDYIEESTTVQKMITTVTGEDELKFQQDSVSLSSSRERRMIIVANQLPIRVVKGSKEEDEKKMKFEWDVDSISFQLKDGFSEKVEVLYVGSLKAEVDQSEQEEVAQVLFDKFRCVPTFLPSEIHNKFYHGFCKHYLWPLFHYMLPVSKSQGARFDRSQWLAYVSANRIFADKVTEVINPDEDYVWVHDYHLMILPTFLRKRCPRVKLGFFLHNTFPTSEIYRTLPVREEILRGFLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLDYFGRNVTIKILPAGIHMGLLESVLSMPYTATRVKELKEEFEGKVVILGVDDMDLFKGIGLKFLALGKLLEVNEKARGRVVLVQILNQARSRGKDIQDLKFEIEAIAKEINDKYGDKQSGYRPIVCVNGPVSTQEKAAYYAISECCIVNAVRDGMNLMPYEYTVCRQGSVELDKALGLENDEAKKSVIIVSEFIGCSPSLSCAIRVNPWNIDDVCEAMNSAIRMVDTEKQLRHEKNYKYISSHDVAYWAKSFDQDLERACREHYLKKWLDVGLGLNFRIIALDPSFKKLSVDYIVPAYRDAKSRLILLDYDGTMMPQGSLDKTPSLDVISLLNGLCSDPKNVVFIVSGRDRECLSKWFSPCDKLGLSAEHGYFTRWSKDSPWRTCGLASDFDWKNTVEPVMALYTEATDGSFIEKKESAMVWQHQEADPDFGSWQAKELLDHLESVLANEPVVVKRGQHIVEVKPQGVNKGIVVEELISTMRNEEKSPDFLLCIGDDRSDEDMFESIANLALPTISQVFPCTVGQKPSRAKYYLDDTPDVITLLEGLLRHQQIKHIVE >CAK8568873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:649939707:649943633:1 gene:gene-LATHSAT_LOCUS21782 transcript:rna-LATHSAT_LOCUS21782 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFRDLSLGHSKRETTPSPPLKIMPPKKPPTLPADDLPSPLGQLSTNLSDSELALTAYEIFVAACRTSSGKPLSSSIANSASNSHSVSPSQNSVGVQRSLTSTAASKVKKAFGLKSPGSGSKKSPGSGSGSGLGKVKRPVTVGELMRNQMRVSEAMDSRVRRALLRISAGQVGRRIESVVVPLELMQQLKASDFTDQQEYDEWQKRTLKVLEAGLILHPYIPLDKSNSAGQRLRQIVHGALDRPIETGKNNESMQVLRSAVMTLANRSYDGSLTDSCHWADGIPLNLRLYEMLLQSCFDVNDESSIIDDFDELMEQIKKTWGILGLNQTYHNLCFTWVLFHRFVATGQMDLELLSDADGHLAEVAKDAKTTKDSEYSKILSSTLTSILGWAEKRLLAYHETFDSGNVETMEGIVSLGVAAAKILLEDISNEYRRRRKTEVNVARERIETYIRSSLRTAFAQIMEKADSSRRASRNQPNALPLLAILAKDVGSLAMNEKLVFSPILKRWHPLAAGLAVATLHACYGNEMKQFIAGITELTPDAVQVLRAADQLEKDLVQIAVEDSVDSDDGGKAVIREMPPYEAEGAIANLVKIWIKTRIDRLKDWVDRNLQQELWSPQANQEGYAPSSVEVLRIINETLDAFFQLPIPMHPALLPDVMHGLDRCLQYYVAKSKSGCGSRNTFIPTMPALTRCTIGSKFQGFGKKKEKSPNPQKRNSQVATNGDSSFGIPQLCVRMNTLQWILGEFDVLEKRIITLLRNSESAREEDFSNGLASKFELSSAACLEGIQQLCEAAAYRIVFHDLSHVLWDGLYVGDPSSSRIDPFLQELERNLMFISDNVNEKIRTRIITEIMRASFDGFLFVLLAGGPSRTFSKKDSQIIEDDFKFLKELFWANGDGLPSEIIDKFATTLRSILPLFRTDTESLIEQFRRLTLETYKSSARSRIPLPPTSGQWSPTEPNTLLRVLCHRNDESASKFLKKTYDLPKKL >CAK8579801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722967433:722970735:-1 gene:gene-LATHSAT_LOCUS31715 transcript:rna-LATHSAT_LOCUS31715 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDFAQKLLDDLRLRKERITATTSHSNNSNQSHHLPIDAYAYTKQTYRGSTNTRANEIVSSRCGEMGNRSSRGQRSLKNGGDLSNQIIQYGKAYENNGGKPRRADLSFTNSILGFLHQIQRGSNLDRQLAPTGRTLSLVQINEISKGAHKLNHILRACCSTGVNMDTYSLHFAKELLQGAIDLEESLGMLVDLQKHSEFMITSQAQNKNRITLLEEEEEEDNEEDQRWRMEKMQLARPTFSFDSQMQRAITISNSKEARKIKVSQQKRLSTNTVGTADISNQTVDKGRIPNVIAKLMGLDNLPVKKDSANSNIASSKHSAKGSSSTTPKSKQTDKLIKDQKVGVGSFKNQNASYYSESEVVGVKPLKGFDKASIINSSPRHVEVKMGMKQDLQNNSSMVKGRSTNHNDPVQNLNNMPERRPQVKPAIQVAKEGHATTNKHMQVSNEKKSRDHLVVQKSILSKGGESEMAPNYSPKQSTNNVQKKKHQSSINQPTPIKKSSDDHALVASNEKVKEVTYRKKSSPKYQELERAKGRQTVKDHKFAASKKIKPEKMEQMSSRRNGQEASDRASGKYNVLNGAERKRFSMFIEHELLPPTTLHNSGSGRDFQEPANDLQYQVVKPAALNLQDRAVPEAPHEGFKTSEVAYNKSKTNGVREDEMGVKLQDQNISSIQQPLTESENRLKWILVMSQLFVNTAEALFRLNIPFGILQGGGQDSQDQSSKLILDCGYEVMKRKGIRQELKVHTCSNISSITSTVNLVRSLDDLVRKLNEDMEKLKLYGRKKSSQVDGEEYLPKMLEHDVYDKCPDINCMWDFGWNDETFAFIEKYDVIRETEKHILSVLVDEITKDYCILK >CAK8577115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538050285:538050596:-1 gene:gene-LATHSAT_LOCUS29248 transcript:rna-LATHSAT_LOCUS29248 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWGITKPSIRRLVRREGVKRINGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRHGRTLYGFGG >CAK8568321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594740887:594742563:-1 gene:gene-LATHSAT_LOCUS21287 transcript:rna-LATHSAT_LOCUS21287-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDGNLRAYSFNEKTKTWEVSWQALPEPCSVHGLCGENSMCTYHPVNGRTCYCLKGYKLKNHNDWTQGCEPEFKPSDLSCNHGESYGFLHLRNMELYGYDLRVAKVTSLKQCQQLCLDLCEKCKGVQFKFNRDSTYDCFPKTLLFNGRDSHNIDGDVYLKLPKVTLLDSSMPIKHTPMKCSIENSSQTLNRFYEKPSKNSTLSFLTWVAYGIGVFEISMIFFVWFFLFRTNKNHDDNVDQIQRHLLSATGFQRFSYSELKIATKGFTKEIGRGGGGTVYKAILDDDRVAAVKRLNEADQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRILVYEFMEHGSLAENLCSNSLDWNKRFNVAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDTNFQPKVADFGLSKLLNRDERDSSAFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVLLEMVSGKSPMEIHSLDNSGGIEHHRMVSWVMDKVKNARTTMFWIEEIVDGNLEGKYDVNQVENLVRVALKCVKDDMNERPTMSQVVEMLLQSHEKVRGQGQGQPR >CAK8568320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594740887:594743331:-1 gene:gene-LATHSAT_LOCUS21287 transcript:rna-LATHSAT_LOCUS21287 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIFFFFFLFSFTKTISLSSTKDTLQQGSSLSVEKPNDTLISSNGDFSAGFFPVGDNAFCFSVYFTKSKQPTVVWMANRDQPVNGKYSKLSLFKNGNLVLTDAKRKKSPWSISNTSPFPLQLKLRNNGNLVLETVNSNVTVIWQSFDSPTDTLILGQQVTEQSSLVSSKSDKNYSSGFYKLYFDNDNALRLLFKGALLSSVYWPAPWLLPIDAGRSTYNVSKIALLDSFGHFMSSDAFEFFTSDYPKRVRRLLKMDHDGNLRAYSFNEKTKTWEVSWQALPEPCSVHGLCGENSMCTYHPVNGRTCYCLKGYKLKNHNDWTQGCEPEFKPSDLSCNHGESYGFLHLRNMELYGYDLRVAKVTSLKQCQQLCLDLCEKCKGVQFKFNRDSTYDCFPKTLLFNGRDSHNIDGDVYLKLPKVTLLDSSMPIKHTPMKCSIENSSQTLNRFYEKPSKNSTLSFLTWVAYGIGVFEISMIFFVWFFLFRTNKNHDDNVDQIQRHLLSATGFQRFSYSELKIATKGFTKEIGRGGGGTVYKAILDDDRVAAVKRLNEADQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRILVYEFMEHGSLAENLCSNSLDWNKRFNVAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDTNFQPKVADFGLSKLLNRDERDSSAFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVLLEMVSGKSPMEIHSLDNSGGIEHHRMVSWVMDKVKNARTTMFWIEEIVDGNLEGKYDVNQVENLVRVALKCVKDDMNERPTMSQVVEMLLQSHEKVRGQGQGQPR >CAK8565175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:57200961:57201246:1 gene:gene-LATHSAT_LOCUS18410 transcript:rna-LATHSAT_LOCUS18410 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTGSCGRREQGL >CAK8573644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626970858:626971671:1 gene:gene-LATHSAT_LOCUS26068 transcript:rna-LATHSAT_LOCUS26068-5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSYQSIMSSSMTSISSRSRVNQFNNIPSVHMPGLRRNASLKVRSMAEGGQKDQPKVSADPIAPPSTPTPTPQPAYTRPPKMSTKFSDLMAFSGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVPWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8573640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626905085:626971671:1 gene:gene-LATHSAT_LOCUS26068 transcript:rna-LATHSAT_LOCUS26068 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRRNVSLKVRSMAEGGQKEQPKVSVDPITPIAPTPAPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVPWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8573643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626921757:626971671:1 gene:gene-LATHSAT_LOCUS26068 transcript:rna-LATHSAT_LOCUS26068-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTSVSSRSRVNQFSNIPSVYIPNLRRNVSLKVRSMAEGEQKEQPKVSVDPIAPPSTPQPAYTRPPKMSTKFSDLMAFSGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVPWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8573641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626911326:626922513:1 gene:gene-LATHSAT_LOCUS26068 transcript:rna-LATHSAT_LOCUS26068-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRRNVSLKVRSMAEGEPKEQPKVPVDPITPIAPTPQPAYTRQPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDADFGMEELLC >CAK8573642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626911353:626912159:1 gene:gene-LATHSAT_LOCUS26068 transcript:rna-LATHSAT_LOCUS26068-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMTNISSRSRVNQFTNIPSVYIPSFRRNVSLKVRSMAEGEPKEQPKVPVDPITPIAPTPQPAYTRQPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >CAK8573690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630980002:630980409:-1 gene:gene-LATHSAT_LOCUS26101 transcript:rna-LATHSAT_LOCUS26101 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKFVALLLLACIVTVQSETKIVSPEECYDYCYRAMFMPKLVAENACKWRCRNFNMYESVNQRGTMRGKIQDFSDPTASPAKSPVPKPKVH >CAK8543173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585255336:585256230:-1 gene:gene-LATHSAT_LOCUS11949 transcript:rna-LATHSAT_LOCUS11949 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRKNQGISDRQVGHRRSSSYYGKPPLGNRFSTVPSWENKFCATVGQVPWRRFLEGKRYMESHSDVMKWDDSAVKHAFHDAKNRFWAEINGYPCDIPLPDPDMYIDEVDWDASFDPELYLDLDRELDATRIMMEKSEQESEIVDIPLNHVWEIIPTGWGDVDEEETKPQEPNFAAEGWGSSNPENNDTNSWEQNNSQRWIPQEQNKYQARNGWNINGGGYNGRREKNHGYQHCNNDYKMNRRRGGGRGGGRGGKRGNYSYATKVL >CAK8544728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702539725:702542146:1 gene:gene-LATHSAT_LOCUS13383 transcript:rna-LATHSAT_LOCUS13383 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRIATRITNHHHHFLNKNYNHHYRSIFTTLHLQNSWINKVKNVFTPQKPEQPPQVDASQFPSGSFTLLNFADEMKKARKIGAFKEYMVGRSSEVTFATAFEKYEAIIRYLAAFDYTGENLKTSHKQEAAKHCNCTIADVENALAKFTWAKEAQKKIQELNKEGKPMPKNIAELQKLVGTNPLDLARSNLAKSGQVSRNAPCPCGSKKRYKRCCGKD >CAK8530405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19281582:19282079:1 gene:gene-LATHSAT_LOCUS272 transcript:rna-LATHSAT_LOCUS272 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSTLCILSSILIVSCSNIVCAQDSSADYVNAHNAARSAIEGANIPNLVWDNKIATFAQNYANKRKDCKAYPSGGNGGEYGENVAISNGNISGAQAVKSWVDEKAYFDRNSKKCVRGECRDYTQVIWKNSLRVGCGKVKCNNGGTFVSCNYYPPGNIPGQDPF >CAK8574331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675561875:675563213:-1 gene:gene-LATHSAT_LOCUS26686 transcript:rna-LATHSAT_LOCUS26686 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCCCYKPTLLVIVFTFFISQSFAARFSQSHHSLPFIWPLPAKFTFGNETLSVGPTLSLIGNGANSPILKAGFYRFKGIVFSHNGFVRTVNTVYDVNKLNVIVHNKSEELQLGVDESYNLLVIKATGSGKVTIEANTVFGALRGLETFSQLCSFDYSTKRVQIHKAPWSIRDKPRFPFRGLIRYIKTLFTN >CAK8566278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399288305:399291207:1 gene:gene-LATHSAT_LOCUS19421 transcript:rna-LATHSAT_LOCUS19421 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPGWQNRSLTSSSIKRAAVGQVKHSIFVRLKLKGIRERKFLFPGISMGIRAGALSPVSDWRMVLDPSDSLSTTQKERLKQKNGLREEAQVKMPTSPYRRFTVTSMRDPRSFQTTRTEHQDFFYMLCFKTEKSGNDYESSYSSNFNTGNAFSYLSKSYLNLSSLLHCRNSFTQLEAASKDKVFHLQGVVGHGGSGLLFPKELVATFERHSELLLLLNFHRSPLVVIPGLGEDVNSDESLPRLLRSICQKEFSNITTLLPRRLKTFDSFRLKYVPVSARKGLLSARTISISFQMTKNELRQLACLYRLVYGLVRIRASPGIRRAQGLGLCRAACPQKSQFNILMNQAVKDVEGTREGYI >CAK8573672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628761784:628763629:1 gene:gene-LATHSAT_LOCUS26087 transcript:rna-LATHSAT_LOCUS26087 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKWLKNFLTGKREKDKEKSTINQNFSNGNENPSTPISSSGSAKEKKRWSFRRSSATATPTVSKELNKSEAIASVTVQTVTDVQNIQNIQNEQRSHAMAVAVATAAAADAAVAAAQAAAVVIRLTSGSNESSRSIEEAAAVRIQCVFRSHLARKALRALRGLVKLQALIRGHLVRKQAKATLRCMQALVTAQARARAQRIRMVSEGKPNPNQSTPRNVMENDLFRQIYNEMDIGLEDNIKIVEMDFCESKGNSTSRSSSVNHQYSTNGSYSYSKEENYKVSPAPSSAVTEMSPKGCSRHFEDCFSTAQSSPHYYSAVSKTDDTSSNHPFSFPRPSYAEPMSYDYPLYPSYMANTESSKAKVRSHSAPKQRPDSFERQPSRRRVSVEGRNVPRPMRMQRSSSHVGATAQNYQYPWSIKLDRSAVSLQDSECGSTSTMLTNTNYCRSLVAYDPDGDRN >CAK8569741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9525706:9526160:-1 gene:gene-LATHSAT_LOCUS22557 transcript:rna-LATHSAT_LOCUS22557 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSGCQVGSPSDSPNGERSTKKQRVSVEGEYLNPYMMLPHQILESSMSSYQQPVFLSQDHFDSALGMSTRSSEELDKLGGGRNM >CAK8538908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499373598:499377073:-1 gene:gene-LATHSAT_LOCUS8056 transcript:rna-LATHSAT_LOCUS8056 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPKIEPGLPFGFTFTNPNNFEDKTIQDLVAILRGTYLVETFNRVEDVLVSRDARLREEIQRLQENVDLERRKIEEELHFERLTRIQGEVGFKEREELHEKEKRRLQESYESLMREVKVNGLAEGVRIRELREKNSKLECEVKKLKEKSVDDGNEIEMLRVKNDGLELEVLGLRKMKGKWEEDSNELGVLRKSKKKWLDDSVALDEAKRKVRVLEDDKYAMDKLEIKKEELEGSVKKSLETISKLRKEKGDLTDEKRRVEKLLESSSAKFRGLNERVERLENDISFLLSVDGSGDRKNEGGRTVKPVVVDFEEKDEDFRGNEFRNDTVEEAEDVQHSLGVAASTQPRNKGDKDAQGASPGEKCCIVYKRRLKKTIEVTGSSESKGTKNLEKKIEIINLDDDDDDDQCMYRGVHEKKAISGIAMKFEDPTPSSSVAWQHANPLDMVKRKISFSDSESSSSSSDDSSFLDNPAIRSAASPAKKRKM >CAK8533059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573689116:573690927:1 gene:gene-LATHSAT_LOCUS2711 transcript:rna-LATHSAT_LOCUS2711 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKNFNVITNSTKAQDSTFLNQTLRGNIVRKIFKIIFYFHLLLISLLIISLTIYNLIISTSQNPNFHPMKWYPPLLTSTLCAGVFGFTWQWITLKNPKMAIKATFWLSPILTCVMAIMLVYIETPISLTFGVIALIFSLIQSLYGCWINPRLEYANKILSTSIVDFPIKTMRIAFSSTLIGIFYCLFLMFGIGGARAIENKTNLTSLFILLIVLSLGWTMQFLKNVIHVTISRVKYMNLGCGEEIMDTSVAFHDTLNHLIGSVTIGSILVPFISTFRGFARSMSLMGGDSDEFMFSFVSCYMGIASILVRCGNRFGFVHVGVYNKGFVQSSCDVWDIFNRVGLVELVDLDLSGSFCFLSGVAGGAISSLVGGIWSIVAYKNYATEVSIYGFLIGYFMVRLGLAWVQACVCAYYVAYAENPQSTHFDSTIPMRLEQLHRSQV >CAK8538731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494357107:494357886:-1 gene:gene-LATHSAT_LOCUS7901 transcript:rna-LATHSAT_LOCUS7901 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLNLTTTCSLPSNPSFLSHKHNIKFIHPSSSLINNKICAQSQGTDAGVSEDDSSLGSLSSSRAQLDLLEQFTSTTSSINGNEIDGSTRRLSIRDQLAQLVGDRDDDFTIPLGKNLKKVSAKFLTTSQRRNIKRQTYLNEVSQRNDSTVFATIGAFVILPPLIILGIAIATGYVQLLP >CAK8533553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636067214:636067717:-1 gene:gene-LATHSAT_LOCUS3173 transcript:rna-LATHSAT_LOCUS3173 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETAKAASHRVRAFDRHDYNFIVDETKKHNEGRPMGHYRVEIHKNWCDCGKFQTFHMPCSHVIVACSSVRQDPFLQLSEVYKIMNLFCIYNNSFPVVASEDYWPTYHGDTIYHDENTRKNKKGRPKSTRIRTEMDTTEKMERLCGICRLPGHTRKHCPNVGTTFR >CAK8533138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:582866129:582869611:-1 gene:gene-LATHSAT_LOCUS2786 transcript:rna-LATHSAT_LOCUS2786 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFYFDLLILLCLLFISNSHELEQDQNQALLELRMFLEFPSSLQIMENYNVDFCYLPSSSNLSIKCEDNSIIELKIFGDKSLKPSSSFNGFAIPNQTLSMNFSIDSFFITLTKFKTLKVLTLVSLGIWGKLPNKIDESFTLLQVLDLSSNFLFGSIPPKISTMVNLQSLTLDENYFNSTLPNWFDSLFNLTFLSLKKNHFKGSFPFSLCKIKKLEVISFSQNELSGELPSFNSLNGLHVLDLRENHFEFDLPRLPKSVVTVLFSNNSFSGEIPKKFGELNHLQHLDLSSNRLEGVPPSTLFSLSNLSYLNLANNVLSGEFSDKLNCGGKLGYVDISSNKLSGLLPSCLANSSDGRVVRYSRNCLSVEAQNQQRSSYCKDFGSRWKKFRILKVVALVAIVVGFVLVVLVFGVFVCKKFRLMKKSRKEVLVKIVQDKSATGVSSEVLANARFISQAVKLGTQTSPTCRQFSIRELKEATKNFSLLTCIGDGSIGKLHKGKLENGSYVVIRSFVLSKKCSIQNLKAKLDLLSKLEHPNLVSFLGHCIDAGLQDDSSTQKLYLVYEFVPNGDYHTRLSENSAEKGLKWPDRLAILIGVAKAVHFLHIGVIPGCFNNGLKTKNVLLDEHCIPKLSDYGMSIIKEDIEKFETKSEKKSKSCQRTKTDNDVYNFGFIMLESLVGPITCDKGETYFLNEKASFGSEDGRRKIVDPTVLTTCCQESLSIAISITTKCISQESSSRPSFEDVLWNLQYAAQVQATADADQKSD >CAK8571464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:423334176:423335075:-1 gene:gene-LATHSAT_LOCUS24127 transcript:rna-LATHSAT_LOCUS24127 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREQLHPSLSKLNYNRKGKIIHKKAMTTSTHVSLFLSFAFLFYAVAGGKVTFTNRCQYTVWPGTLTGDQKPQLSSTGFELGPGAITSLNLPSPWSGRFWGRTGCSFNNGKFICATADCASGQVGCNGAGAIPPATLVELTVASNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPANINLVCPSDLQVRGSDGSVIACKSACLAFNKDQYCCRGAFNTEQTCPPTNYSTVFKNQCPLAYSYAYDDKTSTFTCSSTPDYAITFCPST >CAK8577908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596873944:596881019:-1 gene:gene-LATHSAT_LOCUS29971 transcript:rna-LATHSAT_LOCUS29971 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLLSCSQTLKGYDLTNQKSPGYNRAASRICKSSKQLPFLCHGSTVSENFNRRTSLDVPSFSGWKLSYFSKYRPLRSERLRTNATAYDVAGAVEVVNDLGLDTLTFLAVTVFIVPSFKFIKASPILGFFLAGVVLNQFGLIRNLEDVKVLSEWGILFLLFEMGLELSLARLKALAKYAFGMGLTQVLLSTLAFTAFELPPNGAVGTKILEFLFHSRSDLVNIRSVDEAVVIGAALSLSSSAFVLQLLAEKGELPTRLGSATLGILLLQDIAVVPLLVILPVLESQTMTEESIWPMLAQESLKALGGLGLLSFGAKYILRRVFEVVADTRSSEAFVALCLLTIAGTSLLTQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFLTTGTSIDMQVLLREWPNVLALLAGLITIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAASFIEDRSDDENKEKDSDMVNFDVNEPVVVLGFGQMGQVLANLLSNPMASEGDSDAIGWPYVVFDIDARVVKEGRKLGFPIIYGDGSSPGVLQSAGISSPKAIMIMLTEKKKSVEAVQRLRLAFPAVPIYARARDLKHLLDLKKAGATDATMENAETSLQLGSKLLKGLGMMSDDVAFLSQLVRDSMEQQAEVAVSLPDYRESNIMEPLQVRVADIKESRIPVATTTIPKYELSVQNQKDQASLSRIQKEADPEEDEEDYELNQAVKFEGNGASFSKQDIEESSVVGSQDD >CAK8542128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475701199:475702906:1 gene:gene-LATHSAT_LOCUS10996 transcript:rna-LATHSAT_LOCUS10996 gene_biotype:protein_coding transcript_biotype:protein_coding MENENLGHGRGKVIDELLRGRELANQLRNILNESGDIDDSNGSTAPFAEHLLKEVLMTFTNSLLFLNNTQTSQDVQLTKSEDSLESNCKSTAIVKERRGCYKRRKVSQTWEKESEYQVEDGHQWRKYGQKTILNTKFPRNYYRCTHKIEQGCKAIKQVQKLQEDPPLYKTTYYGHHTCRILQSPEIIVDSSLSPSHHSSMFLSFDNSFPTPAKQDCPFLSSPSYPSSSSISSLKKECKEEIVHAPPPSSSANDYYLSGLTFDDSEKNVTLSSTLDSHQLGVHIPDILYDDVLNWPLS >CAK8532014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191321805:191322304:1 gene:gene-LATHSAT_LOCUS1759 transcript:rna-LATHSAT_LOCUS1759 gene_biotype:protein_coding transcript_biotype:protein_coding MWPNVDVEEMLPPKYKKEPCRPKKLRFIEHGKTGSRMRMSDVAYRCTKCDQYGHNSRKYKRNEQNPNALKRKRKILRTKGKSVTRNDDVGMGKTTAGNDDISMAIVVARNDNEGVKQGWNDPELDAVIEEMMASYDT >CAK8564986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21762436:21764904:-1 gene:gene-LATHSAT_LOCUS18232 transcript:rna-LATHSAT_LOCUS18232 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSCYNILLISIIMLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKHLYDLQPRSSVSWKTNSSHIPIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8564987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:21762436:21764859:-1 gene:gene-LATHSAT_LOCUS18232 transcript:rna-LATHSAT_LOCUS18232-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCFAIEVAYDSKALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVQREYNFSGNLDFVKFFKLIQEAGLYAIMRIGPYACAEWNYGGIPVWLHNIPGIELRTNNEVYKKEMQIFTTKIVNVAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKEYVKWCAQMALAQNIGVPWIMCQQGDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKQLHAAIKLGENVLTNYISVNDKDLGNGITLTTYANSTGARFCFLSNNDTNKDANVDLQNDGKYFVPAWSVTILNGCNNEIFNTAKVNSQTSIMVKKSGDNSSNELTWEWKMEPKKDTMNGKGNIKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLSVNTMGHTLHGYVNRRYIGYQFSQWGNKFTYEKKVSLKTGTNIITLLSATVGLANYGAWFDEIKTGISGGPVQLIGKNNVTMDLSTNLWSYKVGLNGERKHLYDLQPRSSVSWKTNSSHIPIGKPMTWYKAEFKAPFGTDPIVVDFQGLGKGHAWVNGHSIGRYWASWVTATNGCSDTCDYRGKYVKEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFKTVTTGTICANVYEGAHLELSCQSGQVISQIQFASFGNPQGQCGSYRKGSWESTKSQSIVEAACIGKSNCGFIVTKEMFNVPLGVTNSTARLAVQVAC >CAK8575107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30887303:30888884:-1 gene:gene-LATHSAT_LOCUS27396 transcript:rna-LATHSAT_LOCUS27396 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLILLVLCLSSFPLLLFFLKHRRAINAPYPPGPRGLPIIGNLHQLDNSILHLQFSKLSKIYGPIFSLQLGLRAAIVVSSAKIAKEIFKDNDYVFSNRPILYGQHKLTYNGSEIIFSQYGEFWKDMKKTCIVHILSAKRVSSYSSIRKLEVKQMLKKISNHAKSSVVTNLSEMVTSLSSTIICRIAFGRRYEDEGIERSKFQGMLHELEAMVTAFFVSDYIPFMGWIDKLSGLRARLERNFKEMDEFYQEVIDEHLDPNRKQGDEEVIVDVLLQLMKQRLFSIDLTFDHIKGVLMNMLVGAIDTTSATAVWAMTALIKNPRVMKKVQQEIRNSRVEKELLDEDDIKNFPYLKAVIKETLRLHVPAPLLVPRETREDSVIGGYQIPAKTIVYVNAWTIQRDSDEWEDPEEFYPERFLESSINFFGKDFELIPFGAGQRICPGMSMAVASLELILANVLYFFDWELPHGLLKEDIDTERTTGITQHKKNHLCLAAKFPMKM >CAK8532355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249390089:249390644:1 gene:gene-LATHSAT_LOCUS2071 transcript:rna-LATHSAT_LOCUS2071 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFLESIYFFVYNHFFWKLYIYYFVSFTNGYRAASLADSSPASLPLPLRVEPKPKSGIRQQDLLKKVVEIKPKRPRSESNKPTQAPSDTSITNHGRDRDNLKENDQFLSRPKKFEEHPTAGMHDGETKPKVDNSGGGGLLGLAYTSSDDDDE >CAK8534872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779725195:779728712:-1 gene:gene-LATHSAT_LOCUS4377 transcript:rna-LATHSAT_LOCUS4377 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDKPKSSSSAPPPPQSQPLPPPQYGTFQGVSNFPPPHPAIGFPQPVPPPGSDPSAPPYYPHGYQAYPGYVVAEGRPVRERRLGCCGIGCGWCLFILGFFLGAIPWYVGAIIMLCSRVDYREKPGYIACIVAAVVATVAIVLGATNLANEW >CAK8567784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544469892:544475768:1 gene:gene-LATHSAT_LOCUS20807 transcript:rna-LATHSAT_LOCUS20807 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIQENLLLSSSPNHSHLPIDEELWLMAEERAQEILCTIQPNVVSEVNRKQIIDFVQRLIGGYYGGEVFVFGSVPLKTYLPDGDIDLTVLSQESVEEDLAQAVCNLLGSGENLEYEVKDIQHIRAQVKVVKCTVKGIAVDISFNQMAGLYALRFLEEVDQLVGKNHVFKRSIILVKAWCYYESRLLGAHHGLLSTYALEILVLYIINCFHSSLCGPLEVLYRFLDYYSTFDWEKNYITIDGPQPLSSLPEIVEKPESERGGLLLSKELLKNYRDMCSVPKACDTSPREFPIKCMNILDPLKSDNNLGRSVNKSTLHRIGYAFAFGAEKLKEILDLPGESMGGALEVFFMNTLNRNGKGQRPDIDVPVPAFGTGRSEEPVLVGDCDSFYGGLQHVQMCRNYAIPLAPSISPPIPFDADMLKLQQSWLRCYHRGTDVYVPRQTFYHPNAPPHPTYSLEETGKSRGTGTYIPDMTLTTYWNTRARGSRPRRVSAGNNNNSNTNALPKPLERKEIEEVPSETDMNESSSKSFELSNEDFPLLSSTGNSTSSAFQQSERKEIKEVPSETGLNDNSSQSFELSNEDFPLLSSISNSTSSAPQQSKLDRNSSTALSARIEEKTN >CAK8578372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624825304:624829185:1 gene:gene-LATHSAT_LOCUS30389 transcript:rna-LATHSAT_LOCUS30389 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRPIFPGGMAEDNLVSPMRMGNGYRVEGIPEMSSGGGSGGDGFGNGWRDVDNCFDYGNDRCDRGGVSVTQESVSNDIIDRLPTDPFGMGIGDTFTAITGWLEDLDFEYGGYGGCGGYGGDEIGSSGENYPLFAGLNFIWNNAVRFHTFPQWGNVGIEENRFQGVGGFSEYSQREVGEASCSFSAESSRDMDGVMDFGFDYKDVAVASVSGDMNINDVNCHAGDEHSPHPAIIYSLPYLGLSDLLAVERVCKYLHFTVRTDTLLWKSIHVDQPLNERINDDVLLELTSRAQGKLECLSLVECSRITDDGLRRVLEVNPKIIKLSVPGCTRLSIEGIVGMLKAYKNSLGAQGVKHLHIGGLYGVTPKHFEEIKLLLSTDSQQQEQSHTDCQLQGHSPADSQLKKLSNKPHFYCRWNLYLPCDDNRSIDIELCPRCENLRLVYDCPAEGCQGVVGHASQVCRACTLCIPRCSQCGRCINDGEYEETFCLELLCSHCSHHLSKLLGKTDGKVGLIRPSSP >CAK8542205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:488740978:488747929:-1 gene:gene-LATHSAT_LOCUS11064 transcript:rna-LATHSAT_LOCUS11064 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVESLLEKHAVVKWVIGVMIVGVSVLGIYSSGPFGLWKKNICSRRRNKRPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVSDDEIIANKGPPVTPLHERLIMVNAVKWVDEVIPEAPYAITEEFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYAHAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERAITDTHNHSSLQRQFSNGRGQKFDDGVVASGTRVSHFLPTSRRIVQFSNGRSPGPDARIVYIDGAFDLFHAGHVEILRLARARGDFLLVGIHTDQTVSATRGLHRPIMSLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISVVAHGTCAENTDFQKEQSNPYAVPISLGIFQVLDSPLDITTTTIIRRIVSNHEAYQKRNEKKGESEKKYYESKTHVSGD >CAK8576989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529932173:529932598:-1 gene:gene-LATHSAT_LOCUS29139 transcript:rna-LATHSAT_LOCUS29139 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDENKLQLMAAIDPALEQNEETCESITIAAELAGHCTAMEPYHRQDMSHAVNVLSAVVEKWRPVSDELDDSYYAVDGTRPLRQMLKIWKDAENGKFSYSSTSASFEDSKGSVAVRPTRFTDSFTSADASDFKYKVWCVT >CAK8540492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9069205:9070013:-1 gene:gene-LATHSAT_LOCUS9494 transcript:rna-LATHSAT_LOCUS9494 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDFEKFDIRAMMDTPN >CAK8578887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660886725:660887135:1 gene:gene-LATHSAT_LOCUS30868 transcript:rna-LATHSAT_LOCUS30868 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSAGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8560497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22248663:22261669:-1 gene:gene-LATHSAT_LOCUS14163 transcript:rna-LATHSAT_LOCUS14163-3 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYAVLGLNKECTDSELRNAYKKLAMKWHPDRCSASGNVKFVEEAKKKFQAIQEAYSVLSDSNKRLMYDVGVYDNDDDENGMGDFLNEMVTMMSQTNSNENGEESFEELQQLFSDMFQADIGLDGSNSMKASTSSTFMTYNESSNSNKRNSNQMNYGMAGNSNQMNYGMAGDSSIFDANYQNFCFGVNFVNYHYQ >CAK8560496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22248211:22261669:-1 gene:gene-LATHSAT_LOCUS14163 transcript:rna-LATHSAT_LOCUS14163-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYAVLGLNKECTDSELRNAYKKLAMKWHPDRCSASGNVKFVEEAKKKFQAIQEAYSVLSDSNKRLMYDVGVYDNDDDENGMGDFLNEMVTMMSQTNSNENGEESFEELQQLFSDMFQADIGLDGSNSMKASTSSTFMTYNESSNSNKRNSNQMNYGMAGNSNQMNYGMAGDSSIFDANYQNFCFGTGETPSKRCGGEGKRGNSRRRR >CAK8560495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22248123:22261669:-1 gene:gene-LATHSAT_LOCUS14163 transcript:rna-LATHSAT_LOCUS14163 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYAVLGLNKECTDSELRNAYKKLAMKWHPDRCSASGNVKFVEEAKKKFQAIQEAYSVLSDSNKRLMYDVGVYDNDDDENGMGDFLNEMVTMMSQTNSNENGEESFEELQQLFSDMFQADIGLDGSNSMKASTSSTFMTYNESSNSNKRNSNQMNYGMAGNSNQMNYGMAGDSSIFDANYQNFCFGVKRRQRDVAEKEKGGIREGGGSNRQRKDRKQNISYGHDVSSNDNHGISAN >CAK8532263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:233108534:233109386:1 gene:gene-LATHSAT_LOCUS1990 transcript:rna-LATHSAT_LOCUS1990 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSAGLVAIDLAKQLLQVHQNSYALVVSTENINSGWYLGNNRSMLVSNCLFRVGGAAILLSNISSDSHRSKYHLKQTVYTHIGSQDSCYNSIFQREDETNKITGISLSKDLMSSAGFALKENITKLGKYVLPLLEQLKFATSFVVKKYFNKNMKVYTPDFKLCFEHFCIHTGGKAVQDEMQKVLGLSAWIK >CAK8569981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19002897:19005885:1 gene:gene-LATHSAT_LOCUS22774 transcript:rna-LATHSAT_LOCUS22774 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLSVASHSASLPLHDSTIKGKINFGINGLSFSKSSGRISMVAAVNVSRFEGIPMAPPDPILGVSEAFRADTSDVKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGENKEYLPIEGLAAFNKATAELLLGADNPAIKQQRVATVQGLSGTGSLRLGAAFIERYFPEAKVLISNPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIEDIKSAPEGTFVLLHGCAHNPTGIDPTPEQWEKIADVIQQKNHFPFFDVAYQGFASGSLDEDAASVRLFVSRGMEVFVAQSYSKNLGLYAERVGAINVISSSPESAVRVKSQLKRLARPMYSNPPVHGARIVANIVGDPTLFGEWKAEMEMMAGRIKTVRQKLYDSISSKDKSGKDWSFILKQIGMFSFTGLNKNQSDNMTNKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >CAK8568110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574209912:574211824:1 gene:gene-LATHSAT_LOCUS21105 transcript:rna-LATHSAT_LOCUS21105 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIKLRSFILFLLLTLSTLFLLHPTTYAFNQNQPIKTIVVLVMENRSFDHMLGWMKKAINPFIDGVNGDECNPVSAEASKKDTICFSDDAEFVDPDPGHSFEDVLKQVFGNGNGSIPSMNGFVEQALSVSQNLSETVMKGFKPKSVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKRQLAIGYPQKTIFDSMHENGLDFGIYFENIPTTFFYRNLRKLKYISKFHRYDSKFKKDAGNGKLPSLTVIEPRYFDLTGSSANDDHPSHDVANGQMLVKEVYETLRASPQWNETLLVITYDEHGGFFDHVKTPFVNIPNPDGNTGPAPYFFKFDRLGVRVPTIMVSPWIKKGIVVRSPKGPAENSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEDVVGEVTSPRTDCPVTLPDVTPLRSTEAKENGSLSEFQSEVVQLAAVLNGDHFLSSFPDEMSKKMSVKEAHDYVTGAVSRFIRASKEAIKLGADESAIVDMRSSLTTRSSSHN >CAK8537535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390013060:390014016:-1 gene:gene-LATHSAT_LOCUS6824 transcript:rna-LATHSAT_LOCUS6824 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQQQMMSFLAKAMHSPGFMAQFSQQQTESNRHVTGGKKRRLQRQEEENLATKNLHNPLDGRVVKYQPSINETAKALFNQMMQMNNSTRTESSNKNLDAFLIDDIPSTIPLDSSSSSTQVSGVTLSEVPPISGRMAVESQFPIGCMTNSMSEVQSSPSVLTDCVKATEFPELTAHHCQDNVLNFGKVQGLATESSFMNLGQNFVGSVTENDEELDVISAVFDGTQSLETDAFSPDTNEISKLQGINDEFWDLFFMPNSLTGDTDEVKGSSLGYGLTKDQELSLEQEIQQENMDKKQHMDHLTQQMELLASDSSLCI >CAK8540168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542803421:542806376:-1 gene:gene-LATHSAT_LOCUS9199 transcript:rna-LATHSAT_LOCUS9199-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCITFNVGTSFNLHGCIKHQFSRPIGFRNHCTFTKSSTHLSKSLNLSFTNRSFSLFDTRARSFQTVFKCVAQSSEPSASVGVETNNNSEERVAVLVIGGGGREHALCYALQRSPSCDTVFCAPGNAGIASSGNATCISDLDVNDGAAVESFCRKWGVGLVVVGPEAPLVAGLSNYLVKVGIPTFGPSAEAAALEGSKNFMKQLCDKYDIPTAKYKTFTDPSAAKQYIQEEGAPIVIKADGLAAGKGVTVAMTLEEAYEAVDSMLVKGDFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDIGPNTGGMGAYSPAPILTKELESIVMDSIIMPTVKGMSAEGCKFVGVLYAGLMIEKKSGRPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACRGELSGVSLDWSPGSAMVVVMASKGYPGSYEKGTIIENLEEAELVASGIKIFHAGTAFDSEGRFIATGGRVLGVTAKGNDLEEARDRAYQAVENVNWPGGFYRRDIGWRALPRKQHAT >CAK8540167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542803421:542806376:-1 gene:gene-LATHSAT_LOCUS9199 transcript:rna-LATHSAT_LOCUS9199 gene_biotype:protein_coding transcript_biotype:protein_coding MSCITFNVGTSFNLHGCIKHQFSRPIGFRNHCTFTKSSTHLSKSLNLSFTNRSFSLFDTRARSFQTVFKCVAQSSEPSASVGVETNNNSERVAVLVIGGGGREHALCYALQRSPSCDTVFCAPGNAGIASSGNATCISDLDVNDGAAVESFCRKWGVGLVVVGPEAPLVAGLSNYLVKVGIPTFGPSAEAAALEGSKNFMKQLCDKYDIPTAKYKTFTDPSAAKQYIQEEGAPIVIKADGLAAGKGVTVAMTLEEAYEAVDSMLVKGDFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDIGPNTGGMGAYSPAPILTKELESIVMDSIIMPTVKGMSAEGCKFVGVLYAGLMIEKKSGRPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACRGELSGVSLDWSPGSAMVVVMASKGYPGSYEKGTIIENLEEAELVASGIKIFHAGTAFDSEGRFIATGGRVLGVTAKGNDLEEARDRAYQAVENVNWPGGFYRRDIGWRALPRKQHAT >CAK8568059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567171001:567172251:1 gene:gene-LATHSAT_LOCUS21056 transcript:rna-LATHSAT_LOCUS21056 gene_biotype:protein_coding transcript_biotype:protein_coding METASNESAKPELDSNQDLLAKDEAKAQGVVLQDDGALKDSTEAELKKISLMRTLVEARDPSSKEVDDLTIRRFLRARDLDVEKASAMFLKYLKWKHSFVPNGSFSPSEIRDDLAQEKMYVQGVDKKGRPITVAFAAKHFQNKNGLEAFKRYVVFALEKLCDRIPAGEEKFLAIADIKGWGYVNSDLRGYLGALTILQDYYPERLGKLFIVHAPYMFMKVWKLVYPFIDDNTKKKIVFVENKKLKSTLLEEIDESQLPEIYGGKLQLVPIQDS >CAK8577073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535386310:535386754:1 gene:gene-LATHSAT_LOCUS29214 transcript:rna-LATHSAT_LOCUS29214 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWNLRMNVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCFRHIAQNFMRVLRNKELRKKVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPREKWSRVFDGG >CAK8540799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21834626:21840816:-1 gene:gene-LATHSAT_LOCUS9768 transcript:rna-LATHSAT_LOCUS9768 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAADLSKCGVTAVWLPPPTESVAPQGYMPSDLYNLNSSYGSMEELKHCIEELHSQDLLVLGDVVLNHRCAHKQGLLWHLC >CAK8544173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670410316:670414799:1 gene:gene-LATHSAT_LOCUS12874 transcript:rna-LATHSAT_LOCUS12874 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATTLECPGCPPLRALTFDTLGLIKVLESRENQGGPKVVERWGEPDASKSVNAVSIIDRKSNPLLAVARKNGQIEVLSPITGVSQATISKANDLDVHSEENNVIGLHLFAKQNLEIDSRAYNLLTCTGKGNASIRSIEVPESVAESSNTIFSKTWNVCNSGNVLCCKVDGNEKFALFGGKGVEVNIWDLDNYTKIWNAKSPPKNSLGIFTPTWFTSVSYLSKDDHRKFVAGTNNHQVRLYDISAQRRPVLSIDFRETPIKALAEDIDGNTIYLGNGSGDMASVDIRTGKMLGSFTGKCSGSIRSIVRHPELPIVASCGLDGYLRLWDTKTRQLLSSVFLKQHILHVLFDSNFIVEDISKEADSLPSKEQTEQTVKEITDGEEIEATPLKRKKSSRNKENVIDGSEKKKRAKESEEHKKSKGSKSASKKRNKSSKNEIQVEGL >CAK8533869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666292546:666293166:-1 gene:gene-LATHSAT_LOCUS3459 transcript:rna-LATHSAT_LOCUS3459 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNLKLQLGNIRASFQKSFYEVEHAHISPFYNNLCGSVSQAALRRIVEELTRVDYVGTNKEICRCTLRATYELPCACELTGYKIGGIPIPIDDVDVHWRKLSMEVKLEEDVDDGLEVDMNSEIDELWKKFGSLDVIGKRALKSKVFELVFSTMTSLCPPPEKIENKGGVKKKGKKSVGYDVYRDPLYHEYVDQVSQSSQRQSQPS >CAK8535294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:835003974:835005688:-1 gene:gene-LATHSAT_LOCUS4765 transcript:rna-LATHSAT_LOCUS4765 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMELEKNVKYGYLPFMEKDIRNLFLKANKIFERSDVMDLLKYCEDTKKSCSKFQYAYTLDEERRLEHIFWSPASCFDWYKKYGDVVVFDTTYKVNSYEMSFGIFVGMNGHGKTILFGCALLRNETTSAFRWLMKPPKTILTDQDLWMKEAISKELSSTKHSFCIWHITFKFSSWFNAILRDKYAKWCSEFYELYKLETRDVFEHQWPKVVAKYNLQSNKHVKGLHEIRNYWAFAYLRDYFFGGMTTTGRSESINAFIKRFINSHTSLTDFAKQVDVAIDDIKQKEEHDIMLEKCKGSTMKLISPLQEQAHSVLTRFAFQKFQEEFERSIQYSIHHENDNMFVLRYYKDVNNRKHVVFWDGKIATCSCKNFEFWGILCRHILSIFLHKDYHEFPSNYMPSRWRLQLPLNDDEIEPQVNVVIKEEAIDCNNEVHCPPKSKPKGRPKRRRLKGGKELSHNMNTCGLCKGQGHNIATCPLKEKKKNNNKKKKVCEDANLNPILLSKV >CAK8533288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600553677:600558091:1 gene:gene-LATHSAT_LOCUS2928 transcript:rna-LATHSAT_LOCUS2928 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGGAGGAESGSEDSIPILFTDSQKTYVRELDHKSNSLQRSIQDLRLRLPPPDISQRLPHLHAHSLASNNALALQLNAHSSTRHQAQLREETLKEENAAFENAISTCEDKIKEKLQEAELLRRKLEEMDEAEEKLKTEIENMRLRASENADQSWTVESWEEENKTYTKTGFDADVDGEVSKSAMLDKLEEKKNELSSMEDTVKELEKKWAEVLENALKQPSPAQREKTLDKQLHGLLEQLAAKQTQAEGLLGEIHVKEMELERLNGQWRQLQNNNSEANNARSRFVRGSSDKVQSLSDYDGPQRLPYHSAGRTESQQRLMLLRSAFVLYILALNVIVFIRISF >CAK8562747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533066596:533068863:1 gene:gene-LATHSAT_LOCUS16217 transcript:rna-LATHSAT_LOCUS16217 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKNMCNSEGNLDDKSFSKPMPWIGIYIASASLLCFISFSVDLIKGFKARKLWFPCKYFCLNATSLTIIAISVKLSVDLNTPMPHRHDQLAKLTSSAIICTVMANSMPSLGVTQNKETIMNVMAMAILVITMIVNICIQFATGVIYEFWIEHGVIMFLMLILLMIMSSSTLSLQKMKHYMELKYKVNEEALIEESMQKQGFGNVTDKLRDELMKYWMMAHTSSPQFLLGRSVSCTASGAFCVLSTLTLLEGMLRSYLMPWSVKFCSGDSDYRWSIILILIVQAAAVGVGTIAPAFRWFFAVKYRCPNVRKRSCKKIFQVESYWTDRLVVIRESPISFRIRNRWFRKLAHDVKLMILRFCIKLQIGTVRLCKAAQYVSIYPMCWVLGFCEYFKTWKFGSSTSSLGTGTKHDLRRFILHLEGEEELVEVMMKENRNATMHWFQQGEKKQPKLVIELLEKKCSISQGFKGIGEFDCAEILPLHGVEPPYSWSLPLVTLASIIVAMPNIEKCLVKNMISTLNEGLPYVKFIENSMDQDRKLIKLRKAADIVWLRTDLYGKWQDVDLYKLSLQNNSPKETLETLADLAKARYEKYKEKYHQICVKVSPSAWPLKVLASNAMYRISKTILLLNQDAVKDCSTSEKVFEVVIVMISDILGACLTNLPHVISRVCLNSGIEEREDNVREAVYVLGKTKKIIEMLEKRAFPSVDFCCGTNVEDWRLMHKEKRFCCPSGLSSLEEDDSYIDATKLRDLCLNID >CAK8542105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474036349:474044524:-1 gene:gene-LATHSAT_LOCUS10975 transcript:rna-LATHSAT_LOCUS10975-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKRRKNKKKKNKQNKNVDAGVGETASRVQNLVNNAKDVHASFSEAVVISIGDGETDSSDRNLVNDAKDKPASLSEVAPNSIGDGETDTSDQNLVNDATGRSASLSEAAPHSIGVGEIDTSDQNLVSNAKDGHADLSEAVVNSNGDGDTSMRDQNLVNSGKDEPSQLLGTADEQSVSVDWNGDVEVPNRDLNLVRSGEDEPTQSLDSADGKSTNMDSNGHLPNAKKCDISDETIRKLKGENAVLIQRETILEETVQKLKDENDKHIRKEVMSEERIRKLNEENNMHIMKETISEETIQKLNEQNDMLVQKEVELEENIRKMKKELDMHFTKEVILEDTIRKMNKGNDIHMQEAATSKETIRKLIEENEGHTQKEALAEETITKLKEEIDKHICKEATLEEIINKLKTEKEFQKHTETDLEVRTAQLQNENHSLLQKVVTLEEIINKLKTENELQKHTQTDLEVRIAQLQNEQNSLLQKEAGLMEKTNLLLSEKAGLMEKTNMLQIEKEGFEQKVNTLESKLSSLSEKEAGLVGKTSLLLREKEGLEQKLNILGSNLSSFSEKEDGLEMRIAQLQSENNSLIQKETGIIEKNNQLLNEKTILSLKVESLERKINLLESDLSFSVEEENSAKEVISNLKENTSMLQVQLGRWQSWKSPRIIFC >CAK8542104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474035395:474044524:-1 gene:gene-LATHSAT_LOCUS10975 transcript:rna-LATHSAT_LOCUS10975 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKRRKNKKKKNKQNKNVDAGVGETASRVQNLVNNAKDVHASFSEAVVISIGDGETDSSDRNLVNDAKDKPASLSEVAPNSIGDGETDTSDQNLVNDATGRSASLSEAAPHSIGVGEIDTSDQNLVSNAKDGHADLSEAVVNSNGDGDTSMRDQNLVNSGKDEPSQLLGTADEQSVSVDWNGDVEVPNRDLNLVRSGEDEPTQSLDSADGKSTNMDSNGHLPNAKKCDISDETIRKLKGENAVLIQRETILEETVQKLKDENDKHIRKEVMSEERIRKLNEENNMHIMKETISEETIQKLNEQNDMLVQKEVELEENIRKMKKELDMHFTKEVILEDTIRKMNKGNDIHMQEAATSKETIRKLIEENEGHTQKEALAEETITKLKEEIDKHICKEATLEEIINKLKTEKEFQKHTETDLEVRTAQLQNENHSLLQKVVTLEEIINKLKTENELQKHTQTDLEVRIAQLQNEQNSLLQKEAGLMEKTNLLLSEKAGLMEKTNMLQIEKEGFEQKVNTLESKLSSLSEKEAGLVGKTSLLLREKEGLEQKLNILGSNLSSFSEKEDGLEMRIAQLQSENNSLIQKETGIIEKNNQLLNEKTILSLKVESLERKINLLESDLSFSVEEENSAKEVISNLKENTSMLQVQVAELEESKNNLLLENQQLRENVSGLHSTVQNLENSISFSASQGASAKDGATENQEFKSQIEEAYMLVEKLMAENAELVEKVHKLCVELDQQDTGISLSEVTGSDGLTEFVKPESSEAMSISAPESDSLEKTSVVNDSSDSFHARHAVGVISNSSLPDDDTDEIVQIPLDDNDVRDLQLQNAKNVENNDAVPITDAPLIGAPFRLISFFAKYVSGADLIDQKSSNTSN >CAK8568364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598986083:598988866:1 gene:gene-LATHSAT_LOCUS21326 transcript:rna-LATHSAT_LOCUS21326 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALKRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNKNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8532114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:206867399:206869543:1 gene:gene-LATHSAT_LOCUS1850 transcript:rna-LATHSAT_LOCUS1850 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDSRRNSFSELENTKFTNNCGEPRILNHEKESFHELYPDALDMFDGYSESSDHSIGMLPCFTNKLSNVSLKNVLVGAISNKTSTTTDNQLLSGSSDVMFLDIGENGDTVIDSSVYTPSAPPPPVGIDYGVYKDVLEAEPLEWLPDSSTSGCMKCSALFTVLTRGRHHCRFCGGVFCRVCTKGKCLLPVRFRERNPQRVCDACYEKLDPVQDFLIDTVSNAVQVAKHDVMDWTCSRGWLNLPVGLSMEDEIYKSSNTLRSYCKVARSNPERSIPLAILTEAKGLAILTVAKAGALLSYKLGTGLVVSRRSDGSWSAPSAIFSLGLGWGAQIGGELMDFIIVLRDIKAVRTFCSRMHFSIGAGCSAAAGPIGRVLEADIRAGDRGSAMCYTYSCSKGAFVGVSLEGNIVATRMDTNLRFYGSSYLTTSEILLGMVDKPEAAEPLYVSLQDLYSSLRH >CAK8566024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368802988:368803482:1 gene:gene-LATHSAT_LOCUS19192 transcript:rna-LATHSAT_LOCUS19192 gene_biotype:protein_coding transcript_biotype:protein_coding MKINQNEIVEENVSNASPTDSDDENRNRRVIKTVYKTLLRGGGGETEKLAKVVGKELEWRYHGPPHCQHMMKKLTGESMERSFKFRPRRMRSVKGDRLIVEGWEDVGEYWVHVWRVKDGIITQLREYFNTLLTVVSEDSNEVRIWRSTPWARVQGSLPDLVLSI >CAK8561591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:311603746:311604280:-1 gene:gene-LATHSAT_LOCUS15168 transcript:rna-LATHSAT_LOCUS15168 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEKVVCVTCASGFISSWIVKFLLKCSYTVRAIVRNPSDPKKVEHLINLDGAKERLQLFKADLLGEGSFDSAVEGCDGVFHTDSPVFFVVDDPQLIDPAVRGTLNVLKLCVKSPSMK >CAK8570206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28700343:28704351:-1 gene:gene-LATHSAT_LOCUS22979 transcript:rna-LATHSAT_LOCUS22979 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKAPMNIESSDDDGRVKRTGNVWTATTHIITVVIGAGVLSLSWAMAQLGWIAGIFIVLLFASIALFTYNLVADCYRFPDPVTGKRNYTYMQAVKVYLGGRMYVICGLILYAKLVGITVGYVITSSRSLAAIEKSFCLRSKGHQADCRSSYNPYMIGFGTLQLFLSQIPNFHTLTWLSSVAAITSFGYVLTAIGLCLSVIISGKGALTSIFGTKVGPELSVADKIWRTCSSFGNIALACNFATVIYDIMDTLKSPPSESKQMKQANLIGLSTMTMMFLICSCLGYAAFGDKTPGNIFDGFYEPYWWVVFGNICIFIHMVGAYQVMAQPFFCIIEICANTAWPDSNFINKDYQINMGNLKININLFRLIWRTIFVIMATILAMAMPFFNEILSLLGAFGFGPLVVFFPIQMHIAQKSIKVLSLKWYKLQLLNCLCFFISLVAVVASVHQIIQNLYKFKNFAYKD >CAK8569718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9179281:9181766:-1 gene:gene-LATHSAT_LOCUS22537 transcript:rna-LATHSAT_LOCUS22537 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFSFPPPGYLRNTDTLIEESIKIQLDTEKPIKGRKEKKDKKNRNETKEERKLRKDKKKERKEKRKREEEQAKKDSKLKLTNEVTEPKDNGKLQKGEYYENEYFEKSDITEELCKPVSSPQEPYSSDSSQSSKRKRDTLLPSKDQGTGFRIRLPLRKHRESEEVKQGFKLGSSSRSVGISDSIIQETKRVQLPLKNVETPNKLVGTSASQNCRPIMKKVEAHSSSQGFRPPPRRVGTLLPGNSASRVCRPPVKKVETLFPGTSASQVCRPPLKKVEALLPGTSASQVCRPLLKKVETLLPGTSASQVCRPPLKKVETLLPGTSASQVCRPPLKKVETLLPGNSASQVCRPPLKKVETLPLENSASREEATPNQHPVSSTSKVCRPPLSKDQSPSQLNVNSCSKVCRPPLQKDETRSLLEVSKPLNSLDPPSKPLKNKTVDKESLRMESLYNSLLKIPPVTYYFDSMDQDWLFSSALTEAKPVSKKQKIDDAIQCSKSLWPSRAQYMPEVEMYALPYTVPF >CAK8575195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50402457:50402795:1 gene:gene-LATHSAT_LOCUS27479 transcript:rna-LATHSAT_LOCUS27479 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKMCMALLYAENGGAISWGQITSSLTPCMRYLEYSGAVSPSCCSGVKGLVNAARTIDDRRTTCECLKSDAASFKEINIGYAAALPDKCGASIPYKIDHSTNCARYTSIT >CAK8543756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636965903:636966487:1 gene:gene-LATHSAT_LOCUS12495 transcript:rna-LATHSAT_LOCUS12495 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGRGRPKITVPPSPKILTSLKTSEHESPVNVQTPKIEPRTTAGEDKDMTNTMAKENKKTLTAPTQPQPEERKPWVDVINDNWNPAKGMAIEYVAPKIVNGVIEIDIEQEDIETEIQFWDNALIFYVVGGDLSMNMVKNFMQRMWNFMKMPDLYYHDDDYFLLRFNSQEDKEAVMMKDPYTIRNMPVILKE >CAK8562517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:505832971:505839382:1 gene:gene-LATHSAT_LOCUS16008 transcript:rna-LATHSAT_LOCUS16008 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKLLSPSCSTGMFRNVECRQSATSPSPAVEKLGFHNLCATNVARSYRSPRSLVLCYDRAKLNLRKPIKTKEYTGAFLIDDRKCSRKLLKPIKAKNDSEPSCTDNTAALSGKSFHKMSNVGNSTNILWHECPIQNRDRQQLLQQKGCVIWLTGLSGSGKSTLACALSQSLHSKGKLTYILDGDNIRHGLNRDLSFRAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPEGSFIEVFIDVPLHVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCGCEIVLQQKGSDCKSPKDMAEIVISYLEKSGHLKA >CAK8564846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12325696:12326664:1 gene:gene-LATHSAT_LOCUS18104 transcript:rna-LATHSAT_LOCUS18104 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFITLILLTFSIMSLKFHGSDSQSIKSSHVLDLLIRDHTFSSLDKNFKTGIPQSVKLPPNLSGIKVDTVRFRCGSLTRYGAKLKEFHLGIGVTIHPCIERVMLIRQSIEYNNWSSIYYANYKDLSKYQLVSPIVGILAYNADEDSNSSSNPFQLGIEAGENLITVDFNNATNFNHKEGNGIKVNPLCASFEGNGKLTLAKTKPLATLVCVAKMHGHFGLVVESLQQEDDDFTKPIRLSRWKVAVGSTIGAALGAFLLGLLLVAMLVRVKKRSRMVQMERRAYEEEALQVSMVGHVRAPTAPGTRTTPTLEQHDYITQRAR >CAK8562162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:449250808:449254232:1 gene:gene-LATHSAT_LOCUS15683 transcript:rna-LATHSAT_LOCUS15683 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGAATAPLPEAFLHFLQSNGIDPSIYTSIDSTPRYIRLKPGFEDCIEDVESEIKCKPEKLEWLLGFYTLPPHIQIASTKAYQEGKIYGIDASSGAAVMALGISPGDHVLDLCAAPGAKLCMILDLLGDSGSVTGVDAARHRLAACRTMLQKYKLGDRCRLFVADGTTFSVIPEGFRSDSESCESRSEERMDVFKEWTSRRPWKERKKAKKCGIPQVVSKSHPPELIYYGRHSGVIGLTKGELYKTVSENEIAGYGYDKVLVDAECTHDGSVKHIQKFEHWGWVTLQRRVLDAERTDNLHVLQLNLLTNGFRLLKVGGSLVYSTCSLTVAQNEDVVEQFLKENVTAELTEIDAARKWPCKGGRIQKTWRFDPLTSQTSGLFVAKFRKLGL >CAK8576898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522714077:522715176:-1 gene:gene-LATHSAT_LOCUS29055 transcript:rna-LATHSAT_LOCUS29055 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVCFFVLFLASSASACDRCAHQSKASHFPKDSPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEYADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQVVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8563998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645115455:645116102:-1 gene:gene-LATHSAT_LOCUS17348 transcript:rna-LATHSAT_LOCUS17348 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIIEHVVLFKIKEEASQSQIHSFEEQVNSLVSLEQPLHLTMGPLTAIQSSSISSFTFTHILHIRFSSKEDLHAYAVHPTHVSVVNDNKSLVVDKMALDWVTEVHGDDLVLPVESALRVVFFKLKEGLGEEGKEEVLKGVNGIQRGKAVQFTCGENFSDGRSKGFSIGSLAVFPGVSELKEVDSDEEFRKYDEIKENLDTVLVLDYVGHGQTAS >CAK8530535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28239420:28240136:-1 gene:gene-LATHSAT_LOCUS393 transcript:rna-LATHSAT_LOCUS393 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLEKRDNLWILTITGDDQNRLNPTLIESLLSTLTNLASQSTPGSVLITTAKGKFFSNGFDLPWARAASSKSAAVVRLQSMVDSLKPVAAALISLPMPTIAAINGHASAAGLLLAMCHDYVFMRSDRGVLYMPEVDLGLPLPDYFTAVLKEKIKSPAVLRDVLLAGVKIKGKEAVEMGVVDSAHDSGESVIEAAVRLREELAQKKWVGKVYAEIRKSLYPDACKVLGLTPISLIPKM >CAK8579766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721591071:721592139:1 gene:gene-LATHSAT_LOCUS31684 transcript:rna-LATHSAT_LOCUS31684 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILEHLLDVEEHQQQHVDFAKPKFQIKDLTKDSDDGKIHILKGITVDIPKGVIVGIIGPSGSGKSTLLRALNRLWEPPSSSIFLDGQDICHLDVLSLRRKVAMLFQLPALFEGTVAHNVRYGPQLRGVKLTDDEVGKLLTMADLDASFMDKSGADLSVGQAQRVALARTLANSPEVLLLDEPTSALDPISTENIEDALMKLNKNKGMTLIMVSHSIKQIQRMADVVCLVVDGEIVEVLKPDQLSQAKHPMARRFLELSS >CAK8564198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657285001:657286044:1 gene:gene-LATHSAT_LOCUS17524 transcript:rna-LATHSAT_LOCUS17524 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVITLLIICIPVMVAFAGNLYQDVGITWGNGRGNILNNGQLLTLSLDRTSGSGFQSNNQYLYAKIDTQIKLVPGNSAGTVTAYYLRSEGLSWDELDFEFLGNLSGDPYVVHTNVYTQGKGDREQQFYLWFDPTADFHTYSFLWNPAHAVFYIDGRPIREFKNLESEGVPYPKNQAMRLYSSLWNADDWATRGGLVKTDWTQAPFTAAFRNFKASGCVWSNGVSSCKSNSSSDNAWLSQQLDYTNQKKLKWVQKNYMIYNYCNDLKRFPQGLPVECTLRTKS >CAK8564199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657285046:657286044:1 gene:gene-LATHSAT_LOCUS17524 transcript:rna-LATHSAT_LOCUS17524-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAGNLYQDVGITWGNGRGNILNNGQLLTLSLDRTSGSGFQSNNQYLYAKIDTQIKLVPGNSAGTVTAYYLRSEGLSWDELDFEFLGNLSGDPYVVHTNVYTQGKGDREQQFYLWFDPTADFHTYSFLWNPAHAVFYIDGRPIREFKNLESEGVPYPKNQAMRLYSSLWNADDWATRGGLVKTDWTQAPFTAAFRNFKASGCVWSNGVSSCKSNSSSDNAWLSQQLDYTNQKKLKWVQKNYMIYNYCNDLKRFPQGLPVECTLRTKS >CAK8539187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506382933:506384340:1 gene:gene-LATHSAT_LOCUS8307 transcript:rna-LATHSAT_LOCUS8307 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPIPLVTPYKMGNFNLSHRVVLAPLTRTRSYNNVPQPHAILYYSQRASQGGLLITEATGVSDTAQGYPNTPGIWTQEQVEAWKPIVDAVHAKGGVIICQIWHVGRVSNSCYQPNGKTPISSSDKSLTSSHAQQFTPPRRLSTDEIPDIVNDFRLAAKNAIEAGFDGIEIHAAHGYLIEQFMKDEVNDRTDEYGGSIENRCRFALEIVEATVNEIGADKVGIRLSPFAEHSECGDSNPKEVGLYMVNALNKYNLLYCHMVEPRIKSAFEVVDNSPHSLVPMRKAFNGTFIVAGGYDRQDGINAVAENKTDLVVYGRLFLANPDLPKRFALNAPLNKYNRETFYTSDPVLGYTDYPFLD >CAK8574586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3072003:3073641:1 gene:gene-LATHSAT_LOCUS26916 transcript:rna-LATHSAT_LOCUS26916 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIILPNDCWESIFALLNDGDDDGNNRCLHLQSLSAVSKQLLALTGRQKIHFTISTQTAPFVPSLLRRFPNLTSIDLTRFRGNLDAILYQIAILQLPLKSINISNRPTIPANGLRVLGRKIKTLTSLICSHVASIFKSDLIIIADSFPFLEELDLSFHQIIDIVGFGINSLSLALPKLRRVNLSGSSYINEISVFHFFKNCKFIEEVVMPRRTYVIKDGVSYKLGDKPKVIQYPGWFGEALPPRGRRTSVAEACPPRIDCPPKIHNSPIIEIGRSFIIQFVLQGTFNQQ >CAK8537549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:392400542:392404560:-1 gene:gene-LATHSAT_LOCUS6838 transcript:rna-LATHSAT_LOCUS6838 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPEIDDFIKLAIDHSLGLPVSSQTLDIKLRAAKQSDQLLRDQNASLLNKLKEKDELIEQAKYEACLSAQAIKKFVEENQKLAVECENLVEHCQKLEKEFVLYEKDREALINFQNEAEERAREAWLLVEELERDLNVYEMKMKECQEENESVDFSSTSTSEEESLLDSLLATVATKDDSSTHEFLVANSENEHCKKLLSMWSGFKQSTQRVLSLVAELTSLEKDKEHLRINLDRAEEEGKLLFVENGILEKENKRLLMKLSHSASAKSSKRKSSPKTSSPMRKKIDFDDLDSVSSRQPLSPLQCNSSDCVTAV >CAK8565196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67108918:67113403:1 gene:gene-LATHSAT_LOCUS18430 transcript:rna-LATHSAT_LOCUS18430-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQSSNQFSPQQQLMPQAQQDLVNPLLCDFVGNNQLGDMFSSLTKLKQLDVSYNSLSGDLPQSLKSANSLKKIHLQNNKLTGSINVLARLPLDDVNVENNKFIGWVPELLKDINSLRTGGNSWSSGPAPPPPPGTPPIERSEKKAGKSIVTGVAIAGIVFGVLIVIILIIALCKRRRSFVPSSHFIDEDRRSQHKSFTPIASQELTKGLGYDDSTKYKGFKAMDSTAIVIKALQKCLSTVRLSLSNCVQTFTDNEFANCLNSKRSTSVRCTPFSLGELQIGTTNFASGRLLGEGNLGPVYRAKYADGKVLAVKKINPSFFDGGHPEEFSQILSSISKLRHPNIAELVGYCSEQKHMLVYDYFRNGSLHDFLHLPDDFNKPLTWNTRIRITLGTARAIEYLHESCSPSLVHKNIKSANILLDTELNPRLSDYGLASFHQRTSQNLGVGYNAPECTKPSA >CAK8565197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67108918:67113403:1 gene:gene-LATHSAT_LOCUS18430 transcript:rna-LATHSAT_LOCUS18430 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQSSNQFSPQQQLMPQAQQDLVNPLLCDFVGNNQLGDMFSSLTKLKQLDVSYNSLSGDLPQSLKSANSLKKIHLQNNKLTGSINVLARLPLDDVNVENNKFIGWVPELLKDINSLRTGGNSWSSGPAPPPPPGTPPIERSEKKAGKSIVTGVAIAGIVFGVLIVIILIIALCKRRRSFVPSSHFIDEDRRSQHKSFTPIASQELTKGLGYDDSTKYKDVIFVIGFKAMDSTAIVIKALQKCLSTVRLSLSNCVQTFTDNEFANCLNSKRSTSVRCTPFSLGELQIGTTNFASGRLLGEGNLGPVYRAKYADGKVLAVKKINPSFFDGGHPEEFSQILSSISKLRHPNIAELVGYCSEQKHMLVYDYFRNGSLHDFLHLPDDFNKPLTWNTRIRITLGTARAIEYLHESCSPSLVHKNIKSANILLDTELNPRLSDYGLASFHQRTSQNLGVGYNAPECTKPSA >CAK8566950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474916178:474918556:-1 gene:gene-LATHSAT_LOCUS20045 transcript:rna-LATHSAT_LOCUS20045 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETVDVGVVEKDPTLRYARYDEKLGKGAFKTVYKAFDEVDGTEVAWNRITIEDVMQLPENLEKLYSEVHLLKSLKHENIIKLYSSWVDEKTRTINMITELFTSGSLRQYRRKHKNVDMKAIKNWARQVLRGLCFLHSHNPPVIHRDLKCDNIFVNGNTGQVKIGDLGLAIIMQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCILEMITCEYPYIECKNPAQIYKKVTSGIKPAALAKVSDPEVKQFIEKCLVPVSMRLPASELLKDPFLATGNTKISHDTPTLLNPPIKSMNPPACEPHPMEIDSNLGHTSPGSSVEKIKETSQVSTLDLLRKTENNEFRLKGEKNADRTILLTLRIADSHGGARNIHFPFYIDSDTTISIAEEMVEHLELKDEDVAVIAELIHNMIFELVPDWKPVCGNLSSGTDNMYRPLEAQDGGQSNCHSTFGSDIFDLKAMYEEDLGQLHLDGEDQDKQESISSDISAEYGVAIATDSEGAEPDCYILHECCKGSNGLNSNNSDVRIYGQENGNNKQSENSVSSYMSSKCSLDSDHLNELQLELEAIETQYQQCFRELVKMREEAIENAKKRWISKKNTSVI >CAK8537020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:60706254:60724390:-1 gene:gene-LATHSAT_LOCUS6340 transcript:rna-LATHSAT_LOCUS6340 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERGVVRKECAAIRASINENDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIRKVPDLAENFINPATSLLREKHHGVLITGVQLCTDLCKTSTEALEHIRKKCTDGLVKTLKDLANSPYSPEYDIAGITDPFLHIRLLKLLRVLGEGDVDASDSMNDILAQVATKTESNKVAGNAILYECVQTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTADAQAVQRHRVTILECVKDLDASIRKRALELVYVLVNETNVKPLVKELVDYLEVSDLDFRGDLTTKICSIVAKFSPEKIWYIDQMLKVLSEAGNFVNDEVWYALIVVISNASELHGYTVRALYRAFQTSAEQETLVRVTVWCIGEYGDMLVNNVGMLDIEEPITVTESDAVDVVEIAIKRHASDLTTKAMALVALLKLSSRFPSCSKRIEEIIVQLKGNLELELQQRAIEFNSVIAKHQNIRSTLVERMPVLDEATFIGRRAGSLPGATSTATAPSLNLPNGVAKPAAPLVDLLDLSSDDVPVPSSSGSDFLHDLLSVDLSPASQQSGTVQASKSGTDVLLDLLSIGSPSTQGSSSAVDILSSSISNKTSISPLDDLSPLSLSLSSKATSNAGPMMDLLGGISSSPAAENNGPVYPSVTAFESSFLRLTFSFTKQPENPQTTSIQATFMNLSSNTYTDFVFQAAVPKFLQLHLDPASGNTLPAAGNGSITQTLKVTNSQHGKKSLVMRIRIAYKVNGKDTLEEGQISNFPRDL >CAK8563892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637398586:637398966:-1 gene:gene-LATHSAT_LOCUS17253 transcript:rna-LATHSAT_LOCUS17253 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYKEYDPFIMSHIVRQVYYVPYPSIVPCKRGWSVVIKTKPLGHIETGDLLEDVAYQVDEVEQINDVIAVEQNTSLFDTTVEGHQVDASILLDENNNYDKHEDFGSEDNITSDYDNDMDGHDFE >CAK8574260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672687664:672688498:1 gene:gene-LATHSAT_LOCUS26620 transcript:rna-LATHSAT_LOCUS26620 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFLHDLLNGISNSSTYPTGKSSKRYLPDSSGKYCKSITKSNKGKLNSVLTKMSDTVKGKLRLGARILRVGGVEKVFMQLFSVTDGEKLLKASQCYLSTTAGPIAGLLFISTHKVAFCSEKSIKITSPKGEFVRVHYKVSIPHEKIQHVNQSQNVKKPSEKYMEIVTMDGFDFWFMGFFNYKKALRCLQQAISQVQS >CAK8540904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31013180:31014280:1 gene:gene-LATHSAT_LOCUS9862 transcript:rna-LATHSAT_LOCUS9862 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSHKTITFITFFFLLGPSPILSRDAHVINFRTPNLYPESLAWDPRAQHFLIGSIRQRIITAVSDAGVVETFISDITLPSDASFLGIAVDSPRNRLLAVVHSYPPLPPFNALAAYDLHSRRRIFVTPLTSSDNNNDEPSPCAANDVAVDHLGNAFVTNSAGNFIWKVTVNGEASIFSKSPLFSSPNSPTNNSLPGLNGITYVSKGYLLVIQSNTGKVFKVDEIDGTARIVSLTEDLIGADDIVVRGTDVAIAVSPMNKLWFMKSMDNWEVGSVYERLEIDVRRFPTSVTVREKGRLYVLYGHLNEGMLGDSGREGFGIAEIRSREGQDEHVWIFILIGFGLAYFFFWRFQMRNLVKKVDYKIK >CAK8562102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440681239:440683843:1 gene:gene-LATHSAT_LOCUS15628 transcript:rna-LATHSAT_LOCUS15628 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKLINAPAYIECSSKSQQNVKAVFDAAIRVVLQPPKQKKKKNKAQKACSIL >CAK8537441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:359211009:359215747:1 gene:gene-LATHSAT_LOCUS6733 transcript:rna-LATHSAT_LOCUS6733 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDNRQYRGLNGVVRTFNSSNNFINDLKPGFPANLDPFSSDEEDDDNALNLQEHIRKTNVEVEPSILDPRDEGTLDNWVTRNASMVRLTGKHPFNSEPPLRRLMHHGFITPVPIHYVRNHGAVPKARWEDWTVEVTGLVKNPTRFTMDRLVREFPSRELPVTLVCAGNRRKEQNMVKQSVGFNWGAAAVSNSVWRGVSLRNVLKCCGIQARSKGAVHVCFYGAEDLPGGGGSKYGTSIKREIAMDPSRDVILAYMQNGEALAPDHGFPVRVIIPGFIGGRMVKWLKRIVVTSDECDGHYHYKDNRVLPSHVDAEQANEEGWWYKQEYIINELNINSVITTPCHDEILPINSWTTQTPYTLKGYSYSGGGRKVTRVEVTMDGGETWHVCTLEHPEKPTKYGKYWCWCFWSLEVEVLDLLGTKEIAVRAWDEAVNTQPENLIWNVMGMMNNCWFRVKTNVCRPHKGEIGIVFEHPTQPGNQSGGWMEKKRQLERSQETNPILKKSVSSPFMNTTSKTYSISEVKKHKTPDSAWIIVHGHVYDCTRFLKEHPGGSDSILINAGTDCTDEFEAIHSDKAKKLLEDYRIGELVTTGYTSDSSPNNSMHGNSEFTHLAPIKEIMALNPRERIPCKLISKTSISHDVRLFRFALPSEDQLLGLPVGKHIFLCVSVDGKLIMRAYTPTSSIDEIGYFELVVKIYFKNVHPKFPNGGLMSQYLDSLTIGSIVEVKGPVGHIEYLGRGNIMVHGKQRFAKKLTFLAGGSGITPVFQVANAILKDTNDRTEMYVVYANRTEDDILLREALDEWAKIYSDRFKIWYVVENAKEGWEYSVGFITESIMREHVPLGGEDTLALACGPPPMIQFAVQPNLEKMGYDIKKDLLVF >CAK8571967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:498210077:498212975:-1 gene:gene-LATHSAT_LOCUS24578 transcript:rna-LATHSAT_LOCUS24578 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAPTLPITLTLTLNSTLSFTTTLPFPKPRFPSYKFKSLVSHCSISDREEHRWLREEQRWLREEQRWIREENRWSRERDELLREISELKLQIQSLERRILSSASSSSSTSDAVANVASLLQVLKDKNLVLESGSSQRRLVFDKEAEEKESKEVVEDVKEVLVVEEPVVRVKKRAFLRSGSEGEEVQKLQEALLKLGFYSGEEDMEFSSFSSGTERAVKTWQASVGVTEDGIMTSELLDRLDLEIRTTDIDNAKETKKSTTVFPKEVENGASAASVTEISEVQQNFVGKVDEGTDISHPRVFLLGENRWEEPSRLLAKGAVDKSKKKNATTKCLQCSGLGILLCTECDGTGEPNIEPQFMEWVGEDTKCPYCEGLGHTICDLCEGKTMV >CAK8577689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583544838:583545983:1 gene:gene-LATHSAT_LOCUS29771 transcript:rna-LATHSAT_LOCUS29771-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >CAK8577688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583544838:583545983:1 gene:gene-LATHSAT_LOCUS29771 transcript:rna-LATHSAT_LOCUS29771 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >CAK8579289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689883672:689884705:1 gene:gene-LATHSAT_LOCUS31244 transcript:rna-LATHSAT_LOCUS31244 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVREIAAMNFAAVMEAPVVFICCNNGWAISTPVEEQFRSDGIVVKGQAYGIWSIRVDGNDALAVYSAVHTAREIAIKDALAVYSAVHTAREIAIKEQRSVLIEALTYRVGHHSTSDDSTKYRSTGEIEYWKMERNPVNRFKRWVERSGWWSEKDELELRSSVRKQLMHAIQVAEKAQKPPLEDMFTDVYDKLSSNLEEQERVLRKTIEKHPKDYPSDVPL >CAK8535285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834107077:834109322:-1 gene:gene-LATHSAT_LOCUS4757 transcript:rna-LATHSAT_LOCUS4757 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYSFVAALSLQLATKENLPFGINKSSAQLMLLGSTTSMATAGAYFLYILTTSFSGSSCSYCLLSVLLSFSLFFITLKDIGLQEKFKQLGLQLFIASLVILTLNTSYSSAKSDASRKLPSLKIGSRKFAHLLRTQLMFKINPLQAERK >CAK8543684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631609011:631615221:-1 gene:gene-LATHSAT_LOCUS12428 transcript:rna-LATHSAT_LOCUS12428 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDETLATSLSSSGSWWNNTLLTEILGLPVLELVAICTNLLVLVLFLLRQIFFCFGGRLWFYKDHNNINNNTAVVGNGGLVRGSVIGETIEVRIGAWFKLSVLSCFYVLLVQVFVLGFHVVSLIKDEFVVVDLSLLLAPFVQGLVWILLSFTALHCKYKVSQKFPILLRLSWFVLFVVCLCGLYVDARGFWLDSSKHVASHVLANFAATPAITFLSIVAIRGVSGIQVCRNSEIQQPLLIDEEEDEEPGCVKVTPYSDAGLYSLATLSWLDPILSIGAKRPLELKDIPLVAPKDKAKTNFKVLNSNWEKLKAENSPTQPSLAWALLKSFWKEAAINAIFAGVTTLVSYVGPYMISYFVDYLSGIETYPHEGYVLAGIFFVAKLVETFATRQWYLGVDILGMHVRSALTAMVYQKGLKLSSIARQSHTSGEIVNYMAIDVQRVGDYAWYLHDMWMLPLQIVLALAILYKNVGIASIATLVATIISIAVTIPVARIQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEDRYRIRLEEMRGVEFKWLRKALYSQAFITFMFWSSPIFVSAVTFATCIMLGGQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSIDRLTCFLLEEELQEDATIVLPQGVSSIAIEIKDSEFSWDPSSSSRPTLSEINMKVEKGMRVAVCGTVGSGKSSFLSCILGEIPKISGEVSVCGSAAYVSQSAWIQSGTIEENILFGSLKDKAKYKNVLHACSLRKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADVYLLDDPFSAVDAHTGSELFREYILSALENKTVIFVTHQVEFLPAADLILVLKEGSIIQAGKYDDLLKAGTDFKALVSAHHEAIEAMDIPNHSSEDSEEHLSLEESVMTSKKSICSENDVDSLTKEMQEGPSSSDQKTNKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGSLIPLIIIAQALFQFLQIASNWWMAWANPQTEGDKPKVTPMNLLLVYMALAFGSSLFIFVRAVLVATFGLGAAQKLFLKMLRCVFHAPMYFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFAATTIQLIGIVGVMTEVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIINLFGESIAGASTIRGFGQEKRFMKRNLYLLDCFARPFFYSLAAIEWLCLRMELLSTCVFSFCMLLLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIEDSRPPSLWPENGTIEIVDLKVRYKENLPLVLHGVSCTFPGGKNIGIVGRTGSGKSTLIQALFRLIEPADGSIRIDNINILQIGLHDLRSHLSIIPQDPTLFEGTIRGNLDPLEEHSDKDIWEALDKSQLGEIIREKGQKLDSPVIENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDTATDNLIQKIIRTEFKACTVLTIAHRIPTVIDSDQVLVLSDGRVAEFDTPLRLLEDKSSMFLKLVSEYSSRSSGIPEF >CAK8564443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674351556:674352286:1 gene:gene-LATHSAT_LOCUS17748 transcript:rna-LATHSAT_LOCUS17748 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETQQVVPTIVAENETVEVTKVDETTPTSEVLVSEPVTTEQPKEEAAVETAVEKEAETEVPDKVETTKVAEEAKPEVENPATEKVEEVKEEATTEEAKESTETESAAAPPPVEENKAAETAVEEVTTEVTTEA >CAK8574080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:660221188:660225416:1 gene:gene-LATHSAT_LOCUS26457 transcript:rna-LATHSAT_LOCUS26457 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASLISFSSLPSLASPFKGNVKTLVAKPCLCPCLKSQRTKLRVSVVNKAGSTYAKPVGENVRFRLDNLGPQPGSRKRAKRKGRGIAAGQGASCGFGMRGQKSRSGPGIMRGFEGGQMPLYRRIPKLRGIAGGMRAGLPKYVNVNLTDIENAGFQDGEEVSLETLKEKRVINPSGREGKLPLKILADGELSKKLTIKAGAFSTSAKEKLESAGCSLVVLPGRKKWVKPSVAKNLARAEEYFAKKRGETASEPAPV >CAK8534829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:773105570:773105928:1 gene:gene-LATHSAT_LOCUS4339 transcript:rna-LATHSAT_LOCUS4339 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMIESGDDLDDSSDEDDGEETPMKKTDGKKAGHTATLHPKKAGKTPNTDAKSPKSGGHLSCSSCSKTFNSETGLTQHTKAKHGAQSC >CAK8568969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660094387:660127373:-1 gene:gene-LATHSAT_LOCUS21872 transcript:rna-LATHSAT_LOCUS21872 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACEAAIEGTKQKIVISIRVVKTLGTWGKAAMLGRQMAKPRVLAISTKAKAQRTKAFLRVLKYSNGGVLEPAKIYKLKHLSKVEVVSNDPSGCTFTLGFDNLRNHSVAPPQWTMRNIDDRNRLLLSILNICKDALGRLPKVVGIDVVEMALWAKENTPAVSTQNNRADGATVEYHVNEAELKVNVEKDLVSQAEEEDMEALLGNYITGISQAEAFSERLKRELQALEAANVYAILESEPLIDEVLQGLEAASNCVEDMDEWLGMFNVKLRHMREDIESIEIRNNKLEMQSVNNQSLIVELDKLVEQLHIPSEYSAFLTGDSFDEAQMLQNIEACEWLTGALRAFEVSNIDPTYAKLRAFKEKRGELQIIKSTFVRRASEFLRNYFATFVDFMMNDKNYFSQRGQLKRPDHADLRYKCRTYARLLQHLKILDKNCLGPLKKAYCCSLNLLLRREAREYANELRASNKTAKTLIEGSTGSGQNLNSTDVADAYAKLLTVFIPLMVDESSFFAHFMCFEVPTLDGNKSGPVDHAKDDDGLGIADIDDNDSKSSISSVELAALNESLQDLLDGIQEDFYAVVEWACKIDPLCCISMHGITERYLSSQKADAARFVRLMLGELESRISTMFGRFVDDACHQIERSERNVRQSVLPYIPRFATLATRMEQYIAGQSRDLVDQAYTKFVSIMFVTLEKLAQTEPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQSCSRHISMIIYYQFERLFQYARRIEDLIFNNVSPEEIPFQLGLSKVDFRKMLKASLSGMDRSIGAMYKKLQKNLTSEELLPSLWDKCKKDFVDKYDSFVQLVAKIYPAESVPTTQELKDLLANM >CAK8538763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495287418:495289440:1 gene:gene-LATHSAT_LOCUS7926 transcript:rna-LATHSAT_LOCUS7926 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSNVGSVTMFVMMVALVSQNLVVSVIADSVKEGENEFTPVAHAESSPSGLSSSLCEHSPPPHHSGGGCGSSSPPPSHGHGHGHGHSPHHHGHGKSPPSSGSGSYTPTPSTPTPSPPSGSGSYTPTPSTPTPSPPSGSGSYTPTPSTPTPSTPSDPTPSSPPNCDPTPSPPEDGSNTPTPSTPDLSPPSGGGGSYTPTPTTPDSSPPSGGGSYTPTPSTPDTSPPSDGSGSYTPTPTTPDPSPPSGGGSYTPTPSTPDTSPPSDGSGSYTPTPSTPDLSPPSGGGSYTPTPSTPDTSPPSDGSGSYTPTPTTPSTPPTSDCGTPPPVDSTSPTTPSNPPSGGYYTSPPSDPVTPTTPSNPPSGGYYTSPPSDPVTPTTPSNPPSGGYYTSPPSDPITPTTPSNPPSGGYYTSPPTYGGSTPTDPGTPTYSSPPTYGGSTPTDPGTPTFSTPPYLPAPSPFTGTCNYWNNHPQIIWGLLGWWGTLGSAFGTSSVPGLGSSLSLPQALSNTRTDGLGALYREGAASYLNSLVNNKFPYTTEQVRDRFIASLGSNKVAATQANLFRMANEGRMKPRA >CAK8538762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495287418:495289440:1 gene:gene-LATHSAT_LOCUS7926 transcript:rna-LATHSAT_LOCUS7926-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSNVGSVTMFVMMVALVSQNLVVSVIADSVKEGENEFTPVAHAESSPSGLSSSLCEHSPPPHHSGGGCGSSSPPPSHGHGHGHGHSPHHHGHGKSPPSSGSGSYTPTPSTPTPSPPSGSGSYTPTPSTPTPSPPSGSGSYTPTPSTPTPSTPSDPTPSSPPNCDPTPSPPEDGSNTPTPSTPDLSPPSGGGGSYTPTPTTPDSSPPSGGGSYTPTPSTPDTSPPSDGSGSYTPTPTTPDPSPPSGGGSYTPTPSTPDTSPPSDGSGSYTPTPSTPDLSPPSGGGSYTPTPSTPDTSPPSDGSGSYTPTPTTPSTPPTSDCGTPPPVDSTSPTTPSNPPSGGGYYTSPPTYGGSTPTDPGTPTYSSPPTYGGSTPTDPGTPTFSTPPYLPAPSPFTGTCNYWNNHPQIIWGLLGWWGTLGSAFGTSSVPGLGSSLSLPQALSNTRTDGLGALYREGAASYLNSLVNNKFPYTTEQVRDRFIASLGSNKVAATQANLFRMANEGRMKPRA >CAK8541603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:296015652:296018355:1 gene:gene-LATHSAT_LOCUS10511 transcript:rna-LATHSAT_LOCUS10511 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRITYPVISDDKDLDDAALWAMIDSASASHSSSKHKPLAIKYHHNRQLSSPITNPSPPPPPSKFPKYSRDSTDSGEVVQDPWPYGPPRKVARICGSNSCETSPLAIVQTGQKTPTAMVYSSQEIGKVNEVWPRCFGRNDEEKDNGMRHSLSGMFPTVSLFKEYQNAAMAILEKSDYTLISGNPYIKKTGWRKISCYFNIPYEIRDKNIEFDGDRNVQRAEFVIRAHMQGGRFSDGWGSCDRREKRFQKPNHDVPSTAETRAKNKACQDLLGIGEYRPGATS >CAK8565095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35685535:35686863:1 gene:gene-LATHSAT_LOCUS18334 transcript:rna-LATHSAT_LOCUS18334 gene_biotype:protein_coding transcript_biotype:protein_coding MLETTVIIVGGGPSGLAISALLTQNSISHIILEKEECNASLWRKNAYDRLNLHLASEFCSLPLMPHPSSGPTYLTKDQFLQYIDKYVDHFSIKPRYYRDVESAEYDEVINKWIVEARNTFEGTLEVYGAMFLVIASGENSEGFIPNVPGLGKFEGEVVHSKNYKSGSKYKSKDVLVVGCGNSGMEIAYDLHNWGANTSIVIRNPVHVFTRDMIRIGMRLVQYLPVWIVDTIIIFQAKRKYGDLSKYGIYRPKDGPLYLKNITGKSAVIDVGTIEKIKNGAIKVIPSGIKEIKKKNIIFENNVEKEFDAIVFATGYKSVANRWLKDYQYALNEKGFPKNPFPKHWKGDHGLYCAGLARKGLFGVKKDAEAIAEDINRTLKFEN >CAK8569558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4985065:4988151:1 gene:gene-LATHSAT_LOCUS22398 transcript:rna-LATHSAT_LOCUS22398 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGEQNCTNFKSWQEEIYWTHFQFIHFTQFLHYDFQQQLALPKTFSNNVKKKLPGNVTLKSHSGVVWNIGLTTRDDSVYFTNGWQQFVKDHSLKENDFLVFKYNGKSHFEVLIFDGECFCEKAASYFVGKCGDAQTVKGGGQKCSGFKSWEEDIYWTHFQFIRFTQFLSTHFEQQLAIPKTFSNNVKKKLPENVTIKGPSGAVYDVGLKTRDDTLYFTNGWQKFVKDHSLKENDFLVFKYNGKSHFEVLIFDGESFCEKAASYFVGKYGHAQTEQGGGDKAKETDNSVEEANMAYKGDAECGLHEKFRHLNNIGKPLAAPFGTTNEKSFNAGVEFASPEQVMADAVTKTSSAAFPSQPTGKRTRRPDNEATAVQNNRRGTSPIAGWKTNFFSMLSAKHGVASHETSKDMPMNPKVSSKPKHKAGLSDKKLSMIGQEAVLSTDLKKLGGASNTPKKMGICSMSKTAHKKLATPKRHRVEDELISQAKADLKMLASLEKQKAAEALNSPFPNFVTIMKKLNVSGSRTLRIPQEFASAHLPDYKTEITLRNSRGECWTVNSIPDSKGGKAHTLCGGWMSFVRANGINIGDTCIFELVSHLVIQVHVSGVGKEGLDHQNVNVNLNAPSTC >CAK8540741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18271154:18272578:-1 gene:gene-LATHSAT_LOCUS9715 transcript:rna-LATHSAT_LOCUS9715 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNIKIHQHIIVVPPSSSTQTTIITPLTFFDIFWLKFHPVERVFFYTLPNSQSHPSFFFQEIVPNLKSSLSLTLKHFLPLAGKIVWPSDSSKPFIRFNPNEDDGVSFLIAESELDFNLVIENSPQEASLSRSLIPLLESTDSFASIISIQITLFPKSGFSIGISTHHAVLDGKSTTMFIKAWAYLCNKTIETLEESQTLLPRLEPLFNRDIIKDQNQLAVYFTNIWIQLMTQKFPNEKVNNLRIFPFEPKLKDYVRATFKLTREDLDKIKQRVLSMWEILYTNESKPKNLSSFVIACAYLHVTIAKAMNGVEKEKEKEKFSFVFSVDCRARLEPPIPNNYFGNCVWVQFPDTQPLDFIKEDGVLLVAKCIHEKIMMIDEKGVLEGAKDCINKFISLEREGFEVMGVAGSNRFGVYEIDFGWGRPEKVEIVSIDRGLTIGLAESKDGNGGIEVGVVLKKDVMDLFSNLFLEEL >CAK8560272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12788772:12798456:-1 gene:gene-LATHSAT_LOCUS13960 transcript:rna-LATHSAT_LOCUS13960 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGNASTIVTSCAFRNEPQLCSKFTASTCSTTLWLSCIGLHGNKGRKHFQIRSSNGHPLNAISSHDGLIGSSLAKEDGQPQQVEESFSFSDSETTGSNLSITVVGASGDLAKKKIFPALFALFYEDCLPENFIVFGYARTKMTDEELRNMISQTLTCRIDKRANCADKMDQFLKRCFYHSGLYNSEDHFLDLDAKLKEKEGGRASNRLFYLSIPPNIFVDVVRCASLKASSKSGWTRVIVEKPFGRDSESSGELTRSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQVIFSEDFGTEGRGGYFDNYGIIRDIMQNHLVQILALFAMEPPVSLDAEDIRNEKVKVLRSMKPILLEDVVVGQYKGHSKGGRSHPAYIDDPTVPKGSLTPTFAAAALFIGNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSSRYPREIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIENKKIAPELYPYGSRGPVGAHYLAARHNVRWGDLGGND >CAK8569296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692571345:692574179:-1 gene:gene-LATHSAT_LOCUS22166 transcript:rna-LATHSAT_LOCUS22166 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVYGKALLFGTGVNLGAGVGLRTKPTHSLFFISNSTSDSSTTDSKPQSRFERLNANKNKNKVNALILRTKEKIRRRKQAEQDDNSATATPTRRRLGPHTKGWGDTRVTHTKSLPLPLEDTNFFSLKSFKDIGCADFVIHSLHSLSLTRPSHIQAISFAPIIAGKSCIISDQSGSGKTLAYLVPIIQRFKQQELEGRNKSSSHAPTVLILAPTAELASQVLHNCRSMSKSGVPFKSMVVTGGFAQKTQLESLKQGVDVLVATPGRFLFLMKEGFLQLTNLTCAVLDEVDILFGDEDFEKALQCLISSSPVDTQYLFVTATLPKNVYSKLVEVFPDCEMVMGPSMHRISSRLEEIIVDCSGEGVQEKTLDTAFLNKKAALLKLAEGNRVPRTIVFCNKIETCRKVENALNRFDRKGIRMQVLPFHAAMTQESRLASMKEFTSSPSKEVSQFMVCTDRASRGIDFNGVDHVILFDYPRDPSEYVRRVGRTARGARGQGKAFIFVVGKQVSLARKISERNRKGHPLHDVPSAYES >CAK8534814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771029809:771030794:1 gene:gene-LATHSAT_LOCUS4326 transcript:rna-LATHSAT_LOCUS4326 gene_biotype:protein_coding transcript_biotype:protein_coding MANEVILLNFWPSHYGMRVLIALEEKGIKYENKEEDFSNKSLLLLQMNPIHKKIPVLVHNEKSICESLNIVEYIDEVWNDHSPLFPSDPYQRSQAKFWANYVDTKVYEIGSRYAKSVGEEKEAAKKELLESFEVLEEQLGDKPYFGGDKFGFVDVALVPFFCMLYTYTFAGKFIDDERFPNLTSWAKRCAKKESVSKSIPQESKMKQFLEERSLLNRGD >CAK8541162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79729043:79729498:-1 gene:gene-LATHSAT_LOCUS10102 transcript:rna-LATHSAT_LOCUS10102 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTVHGQWRGYSASGCQDYDTWHQNPQFKLTATGQDASFPIHVFITLTQGVGFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRVAYNIYLHESVGGTDYVNPREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >CAK8573732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633616588:633622261:-1 gene:gene-LATHSAT_LOCUS26140 transcript:rna-LATHSAT_LOCUS26140 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREARRSTMAANGLTRRRHRTNSLRDSPDEDGAMEMQEPTRLRDRGSGKKDRDRERERERDRLGRNKRRRGDRLMHGGREDGGEDTSEESINDEEDEDDEDGGGVGGGGGGSVRMLPLNPSTLSSSSSLTNHHRKSFPPAKVFRPTPPLTWKAADEMIGVSVPRKARSASTKRSHECWASSGGGLVAEQNHRQPSSSPVRATAAPPSPSSSNASFRKKIKMNGGGSSGGGGGGPKFRPPKSSSKSSPSVQDEIEIEIAEVLYGMMRQPQSQVPSKQEMNDSIKLDSRETNNNKSSASDGKSRISSPPQNSSSSATPVSAATAPKRKRPRPVKHEEENPAIFSVRSSPVSSISKTENDQPSKTETCSSNSDKYNQGSVHENLGNLVPVQATPEPIKPESNTSVDAKVLTEESEKQDVGLSKDVVPPVSPKKESSVLQAVDDVREDVKATKANPTISESENQLKEKFQIDLMAPPPLLRSSPERVVESNSFVEAEKVKLVMKEDQKSQRINKDELVVVESDKVKTKAEESESHKATTTLPKERGMDSQLELEKTDRVDTNGNVNILNKKQHQNVQRHQHQMQQQQTTSEKNVQSNSLPIPMNVPNWPGGLPSMGYMTPLQGVVSMDGATIPSAAIQPPHLLFNQPRPKKCATHCYIARNILYNQQIARMTPFWPAAAAGSASLYGANPGGSLSVVPSKELHSGNVSSRPTNSSQDKGHGLTMFPGHVGKDKGSSQPSNVDNSSRKQILLQQTLPPGAAPSNILHGPTFIFPLNQQQAAAAAAAASVRPGSVKSLPVTSNGPPPSTPNSAPPNTSGAGAAAAPPPTMSFTYPNMPGNETQYMAILQNNAYPFPIPAHVGGPPGYRGNPAQAFPFFNGSFYPSQMIHPSQIQTQQLPAQSQQSQQGHPNTAISTVSSSSQKHAQNQQQKANNASGSNGGSGGGGSGSLQGFPVTKNPPSQILQMQQQQQRQQLHNHHASNAARQVESEMGCEDSPSTADSRVNRATMNIYGQNFAMPMQTPNFALMTTAMSGPGSNGNHSEKKQQQQQPGSKAGGETSPAFVMPFASINGVTAATGLDLSSIAQNHSIMQSSHNYQIMAAAQASSAQLKKSYHAAEEGKHVVNSSNLEEDRKSMSGKIPATVGQSNAFARTDVADPSMSSLACNNVIDSSGRSLNFGSASSRASASVMPSAINTNTASSQQQMQRNQQQIQLQKQNQFAAAAVAAARSKTPTTSNGSIYSDNLPSTSSISTKYPNAVSAFPQSLVQSSNTVVTQSPQWKNSARVTNTSLSPQTMASLPSSSIKTPQQQQSRSQQGQTQISFAANSKSSTQVQTASSNQSPSPPVMVGSPTNSSMSKNTGSPRTTNSTSTNNKTSQTSSLSSQQAKHSPMPTRKSSPVPSILSGPQITPSPNTGSKSQLSQQQQKQQQQQHQHQQQQIAKQNLQQAQLFFSNSYIHSQVAQSNSPTSTTSAATGYYLQRRGPEQVQRQGSGGTSSNGAAANNSKGSTLNTQGLLHPSQFAAMPPSGNHHQFVPAGFYNVQPVPTAVQVKPAEQKQPAGE >CAK8539791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525462976:525463916:1 gene:gene-LATHSAT_LOCUS8853 transcript:rna-LATHSAT_LOCUS8853 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKQQKHFVLVHGVCLGAWCWYKLKPQLESIGHKVTTLDLAACGTNTHKIEDVHTFAEYAKPLLEFLASLDPSEKVILVGHSFGGMSTALAMEKFPEKIAVGIFIAAFIPDTKHQPSYVIQQYIERYPMSGWLDTQFSFDGRKMNVLPGFKFLSTKFFQLCSKEDLELVKILLREGSFFVEELSEAENLSKERYESVPRAYIVANEDLAIPVEFQQWMIQNAGIDVVKVVDGADHMTILSKPQELCLSLLEIADKYT >CAK8574234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671418057:671418980:-1 gene:gene-LATHSAT_LOCUS26594 transcript:rna-LATHSAT_LOCUS26594 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGPSESQGPMMQRRITRTQTAGNLGEAIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRIKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPFNILPLDPDSANQAIMRFPEIQAAVFALRNTRGLAWPKDYKKRKDEDILDWLGAMYGFQKHNVANQREHLILLLANVYIRQFPKPDQQPKLDDRALTEVMKKLFQEFQNFLGTDSWAD >CAK8562254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462651804:462652232:1 gene:gene-LATHSAT_LOCUS15766 transcript:rna-LATHSAT_LOCUS15766 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTNSIFHGPATFHRQSQPSKFLLSKPLLFPRLPRVVNFGKLKFNSPFSVKNSFRRFDVKSSVNSSSEVLESIDVGVPHSSLQKHSVKIPVGDRHILVETGHIGRQASGSVTVTDGETILYTTVCLNDTPSEPSDFPPFL >CAK8567817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546966004:546966207:-1 gene:gene-LATHSAT_LOCUS20839 transcript:rna-LATHSAT_LOCUS20839 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEHVIEDAYMIDELSSGDDDDNFDEMPCVIRFNVEDYLSKDFVFKVRIEFCTLKQFKDVILEHNV >CAK8537287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:204770843:204771159:1 gene:gene-LATHSAT_LOCUS6586 transcript:rna-LATHSAT_LOCUS6586 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKTKNSAQWWESYSDEHPELQAFATCVLSLTFSSSRCVRNWSAFEMVHTKRRSLLKQKMMNDVVFVMTNSKLAKQK >CAK8543875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645840096:645844515:1 gene:gene-LATHSAT_LOCUS12599 transcript:rna-LATHSAT_LOCUS12599-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSTPFPSRIVPSPSVMMLNEHAAPAVSSLPSSSAAQSFPTSVLLQEHRDEYKPLLHMHREDKSPQAALNTRVMDVKSVPEKNINGDVDESARDSMQQLHLRCHLQNLLASSPEEDFVSSSSTMQSADDFQWRALSLAKQALSASKQAAAVADELELIKLDYDNDSSLLSLADYSIGKNKIVRSTRLKERRSKQRKVSNSKVFDEEMYLTKKLDVQRRLRAAKKLKEGLDGNNVLRFFLWSPETKQLLNLEEESQLIAQIQDLFKLKETKIKLQSQFGREPTLHEWADCVGLSCRVLQARLHSGYKSKEKLILANLRLVVHIAKYFQGRGLSFQDLLQEGSIGLMRSIEKFKPQAGCRFSTYAYWWISNTIKRAMYLHSKTIRLPETFYVLLGKVSEAKKSYIKEGNLNPTKEEIARRVEITVDKLEMLLLATRTPLSMERAVWSDQNTSVQETTADSAIEIPNECVAKQLMRSHVRNLLSTLSPRERKVIRLRFGIEDGYPKSLSETGHLLGVCRDNVRHIERKGLNKLKECLVDQQFDAYADLIV >CAK8543874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645840051:645844515:1 gene:gene-LATHSAT_LOCUS12599 transcript:rna-LATHSAT_LOCUS12599 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIVVIKGAKSNTMFSPSTPFPSRIVPSPSVMMLNEHAAPAVSSLPSSSAAQSFPTSVLLQEHRDEYKPLLHMHREDKSPQAALNTRVMDVKSVPEKNINGDVDESARDSMQQLHLRCHLQNLLASSPEEDFVSSSSTMQSADDFQWRALSLAKQALSASKQAAAVADELELIKLDYDNDSSLLSLADYSIGKNKIVRSTRLKERRSKQRKVSNSKVFDEEMYLTKKLDVQRRLRAAKKLKEGLDGNNVLRFFLWSPETKQLLNLEEESQLIAQIQDLFKLKETKIKLQSQFGREPTLHEWADCVGLSCRVLQARLHSGYKSKEKLILANLRLVVHIAKYFQGRGLSFQDLLQEGSIGLMRSIEKFKPQAGCRFSTYAYWWISNTIKRAMYLHSKTIRLPETFYVLLGKVSEAKKSYIKEGNLNPTKEEIARRVEITVDKLEMLLLATRTPLSMERAVWSDQNTSVQETTADSAIEIPNECVAKQLMRSHVRNLLSTLSPRERKVIRLRFGIEDGYPKSLSETGHLLGVCRDNVRHIERKGLNKLKECLVDQQFDAYADLIV >CAK8571751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:467177240:467181124:-1 gene:gene-LATHSAT_LOCUS24385 transcript:rna-LATHSAT_LOCUS24385 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKQQENENSGGIQVQGMQFSYDGLQQLPPLFLDFNLHVSPGSRCLLVGANGSGKTTLLKILAGKHMVGGKDVVRVLNCSAFHDTQLVCSGDLAYLGGSWSKNVGCAGDIPLQGDFSAEHMIFGVEGIDPDRRDKLIELLDIDLQWRMHKVSDGQRRRVQICLGLLHPYKVLLLDEVTVDLDVVTRMDLLEFFKEECEQREATIVYATHIFDGLETWATHLAYIQEGELRKAEKISDVNELKSSTNLLSVVESWLRAETKIEKKKPVNNTSNAQGNSFASSPFFSSRHMAYYR >CAK8533435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:617314430:617316168:1 gene:gene-LATHSAT_LOCUS3058 transcript:rna-LATHSAT_LOCUS3058 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGSSSTKTVGKCGVTRLQKIHKAKSNGRRIEVQWNARGQPIKHNSKSFASYIGVTVLRLVPISLDNWSATKNKEDVGVYKQNIWDEIEKAFVICEEHRAFIYKEAGKLHRAFRTKMEKFYLRDSKGGFVKDRPTKYSYCITQEDWDKFVAQRLTKKFQKVSSENRERALNPQLPIESHV >CAK8561840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:392400753:392403655:1 gene:gene-LATHSAT_LOCUS15394 transcript:rna-LATHSAT_LOCUS15394 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVELLYVASLPVVKVLLITALGLFLAIDYTDVLGADARSKVNNLVFYVFNPSLVGSNLAETLTSENVLTLWFMPVNILSTFILGSALAWLVIKVTRPPKHLEGLILGCCSAGNLGNLPIIIIPAICKEKGSPFGDPDICHKYGMAYVSLSMAIGAVLLWTYVYNIMRISSNKAKLMTSGVISDSQQNNNISETLNPAKDAPEDAYALLLPNTEIQEKVSFSDKMKRHLKKILDNINFKTVFAPSTIGAIIGFGIGVITPIRKLMVGNDAPFHVVEDSAAMLGEAAIPTVTLILGANLLKGLKGTSTPTWTIVGIIAVRYIFLPILGVVVVQGAIKLGLVQPDPLYQFVLLLQYAMPPAMNIGTMAQLFRSGESECSVIMLWSYAFASIAVTLWSTYFMWLVV >CAK8577295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552980722:552981327:1 gene:gene-LATHSAT_LOCUS29416 transcript:rna-LATHSAT_LOCUS29416 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSDLVKNAKDTASSAKTAVGEQTEKVTTAAGDAKKTVGEYVDKAADHVHSKPDPPAEPGVFSGVAKVAGDAQKTVGEFVTKAVDYVTPTPKPDPPAKTEGVVAGATKVAGDAQKTVGEFATKAVDYVTPTPKPEPVAKSEGVVAGATKVAGDVQKTVGEFATKAVDYVTPTPKPEPVAKSEGAVAGATKAVGDLFKK >CAK8575517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:148348206:148348520:-1 gene:gene-LATHSAT_LOCUS27774 transcript:rna-LATHSAT_LOCUS27774 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKNSIPDSVFEESCFTVEESLNSVYRQGRKTESFGPLEIKVVENGTFDKVMDFALSQGASINQYKTPRCVKYDPIIELLNTKTVSSFFSLQCPHWVPGHKNW >CAK8537439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:357850271:357873068:1 gene:gene-LATHSAT_LOCUS6731 transcript:rna-LATHSAT_LOCUS6731 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSRIFAYRKQPTDMNSKKKTPRKQQPKLERRNAAKNFSYDAQPSSPDSSPSSSILYTRSMDFYDRTSFRVEGVDGEFDIICRSLGLNGPEDFSIPAAAWEAMKVRSSSDVLPRLNISEFDEAKVDDEIVVAECDDRVSLSVRDSPAETSGCCNIGIKGFRPPMLKPPPGVRVSVLDSTCSTWDLLRDFAPEGEGKGRGKGKEEEEGKKEEEEEGEGEGEGEGEGEGEVGDVILKRDEEESAEIIDEFSRSCSFTTFTTSQEDDSSSTTTEPRSNSISPNVRLKPVITPGSWQKGELLGRGSFGSVYEGISEDGFFFAVKQVSLLDQGSRGKQSVVQLEHEIALLSQFEHENIVRYIGTEMDESNLYIFIEFVTKGSLLSLYRRYKLRDSQVSAYTRQILNGLKYLHDQNIVHRDIKCANILVDANGSVKVADFGLAKAIKLNDVKSCQGTAFWMAPEVVKGKVKGYGLPADIWSLGCTVLEMLTGQIPYYPMECITAMFRIGKGELPPVPDSLSRDARDFILQCLKVNPDDRPTAAQLLDHKFVQRSFTQSSGSASPYTTRRG >CAK8577130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539070886:539072965:1 gene:gene-LATHSAT_LOCUS29261 transcript:rna-LATHSAT_LOCUS29261 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPISQDWEPVVIRKKAPNAAAKKDEKAVNAARRAGADIDTVKKYNAATNKAASSSTSLNTKRLDEDTENLAHDRVPTELKKAIMQARMDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQIIGKLERALGAKLRGKK >CAK8571872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:487411144:487413452:-1 gene:gene-LATHSAT_LOCUS24495 transcript:rna-LATHSAT_LOCUS24495 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLFEDIFRVEKLNPDDKKLFEKVNRIEARSERFDMFMHLDINSELYPLKEGQKFFLKVVSTLNPDGTPDTGYYNPGNRQSLADTFEYVMYGKLYRVTESTGREKAELLISFGGLLMMMKGDHSHFNKFELDQRLYLLIRKV >CAK8535455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849999679:850000365:1 gene:gene-LATHSAT_LOCUS4918 transcript:rna-LATHSAT_LOCUS4918 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEDGVKEFITWEFAQECCRREGGVRCPCLKCEYRPKISDLEEVERHLKRKGFIKNDWVWTYNGEQLPSNVHAETTNTHASSSRSHMEFEEQFNLINDMVGNTFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTLLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKIMLDATLTKDNLPTSYYDAKRLVLKLGLEV >CAK8568453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606880132:606880476:1 gene:gene-LATHSAT_LOCUS21409 transcript:rna-LATHSAT_LOCUS21409 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNTLVRPPVDDVVPVPPPEDDEPPPEDASDENDDEPEGFPGGPSDMSLLTGYADHTSRHVWDGETRPPQKFYNHRRNILPFDQS >CAK8544686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699351971:699352195:-1 gene:gene-LATHSAT_LOCUS13342 transcript:rna-LATHSAT_LOCUS13342-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQVHRHVQQDLIEHIWQRYGHENNNN >CAK8544685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699351971:699352603:-1 gene:gene-LATHSAT_LOCUS13342 transcript:rna-LATHSAT_LOCUS13342 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVASQDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEIHYTINHTEYNKGYYLSDGIYPEWATFVKSISMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQVHRHVQQDLIEHIWQRYGHENNNN >CAK8539101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503916256:503916963:1 gene:gene-LATHSAT_LOCUS8230 transcript:rna-LATHSAT_LOCUS8230 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARAFPLEKLMNSLQEYQRKSLQKILIEYIMLDGVNDEEQHAHLLGKLLETFEVVVNLIPFNSIGTLSQFKPTSEQKVFNFQKILRGTYDIRTTVRKQMGEDISGVCGQLVINAPDKSLGNVNPLTDIEDLVI >CAK8572177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517333316:517335026:1 gene:gene-LATHSAT_LOCUS24770 transcript:rna-LATHSAT_LOCUS24770 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRFENSCEVGVFSKLTNAYCLVAIGASESFYSTFEAELSDVIPVIKTSIGGTRIVGRLCVGNKNGLLLPHTTTDQELQHLKNSLPDQVRVQRIEEKLSALGNCIACNDYVALTHTDLDRETEEVLADVLGVEVFRQTVASNVLVGSYCAFSNKGGLVHPHTSIEDLGELSTLLQVPLVAGTVNRGSEVIGAGMTVNDWTAFCGSDTTATELSVIENVFKLRDAKPSDDVNDMRKIF >CAK8569263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688338260:688339147:-1 gene:gene-LATHSAT_LOCUS22138 transcript:rna-LATHSAT_LOCUS22138 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLNTQSNFHGRSISLPSRPHPLILKCNQHLEALLRSSNETSSYSLCHKIDGLRDLIECVENLIQLPLTQDVLVHNQHQENWVNNLLDGSLRLLDVCSAAKDAVIHTKECTRELQSIIRRRGGGTEVTAEAKKFLTSRKVVKKAVSKALTNMKVNTKRCNLLSTNQDHQTVALIKLFHDVEVATLSTFQTILQYISGTTQSKSNSWGSISKLMQPKRVACSLLTDESEFSQVDVALQSFVFTKTRKVEGINDLQNHLEKTESCIQDLEQGLEFLFRRLIKIRVSLLNILNN >CAK8542495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520415841:520417240:-1 gene:gene-LATHSAT_LOCUS11334 transcript:rna-LATHSAT_LOCUS11334 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTCRVNPISLQLQFHSHVSRTTTTTSSLSLLPPSSPLLTLNAVFHSQPKLHLKRSSTTTRCSALTPELKSTLDKVVTSNKIVLFMKGTKDFPQCGFSNTVVQILKSLNAPFETVNILDNDMVRQGLKEYSSWPTFPQLYIDGEFFGGCDITIEAYKNGELQEQVEKAMCS >CAK8560093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7165540:7169311:-1 gene:gene-LATHSAT_LOCUS13805 transcript:rna-LATHSAT_LOCUS13805 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSHPWIYDVFINFRGEDTRDSIVSHLYAALSNAGINTFIDNKNLSKGKEVGPELKRAIEGSQISIVVLSVNYANSSWCLGELLHIMECRKTYGQFVLPVFYGVEPSAVRRQSGLLREALKASARSVELSNPKGKKEDLFYKWRTALTEVANLSGWDSRSFTEAKLVKKIVDDVLTKLDVSLLPITEFPIGLDSRVQKLTQIIDEKSNKVCMMGIWGMGGSGKTTTAKAIYNRIHRKFEGRTSFIQSIRETCENDSQRIVHLQQQLLSDLKINQNIHSIASGITKIEAGLRGQKAFVVLDDVTKPEQLTALCGNPKWFCSGSVLIVTTRNVRLLKSLSADHVFTMTEMDENQSLELFSWHTFRQPSPREDFIELSKNVVAYCGGLPLALEVLGSHLFERTEPEWTDTLSILEKIPNNEVLQKLRISYDGLEDYKKKDIFLDICCFFIGKNRVDVTEILNGCGLNADIGIAILIERSLIKVGKNNKLQMHDLLRDMGRVIVGEGSEKEPAKHSRLWFHKDVLDVLSKNTGTETVEGLILKLHRNDRTRFSTNAFQEMKKLRLLKLEGVNLKGHYGLISKELRWVDWQLSSFKFIPNDFNLENLVVLKLKYSNVEQVWQKTKLLEKLKVLNLSHSKYLKRTPDFSNLQNLEKLIMKDCENLSEVHPSIGDLKNLLLINLKDCTSLSNLPREIYQLITVKTLILSGCLKIEILEEDIMQMESLTTLIATNSGVKEVPFSILRLNSIGYISLCEYEGLRHDVFPSLIWSWISPTKNFIPRVSPFGGNSLSHVSLNIESNYMDYHSPVLTILSNLRCVWVQCHSEIHLTQELRKFVDALHDVNFTKFETTSHGPDTSSYSLRSLVIGMGSNEIIMDTLQMSLSQGLAASCIDSFLPGDNSPFWLANTCEGSSIYNRDTVMSFNDEDWQGVVSNLDVGDKVEIFIVIGHGLTVKETAVYLVYRQPTAMESIPIPTVEVQTPPDVNTEPLPKKNRKKFRRFVMRVGKCLCMNQN >CAK8560092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7165540:7169311:-1 gene:gene-LATHSAT_LOCUS13805 transcript:rna-LATHSAT_LOCUS13805-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSHPWIYDVFINFRGEDTRDSIVSHLYAALSNAGINTFIDNKNLSKGKEVGPELKRAIEGSQISIVVLSVNYANSSWCLGELLHIMECRKTYGQFVLPVFYGVEPSAVRRQSGLLREALKASARSVELSNPKGKKEDLFYKWRTALTEVANLSGWDSRSFTEAKLVKKIVDDVLTKLDVSLLPITEFPIGLDSRVQKLTQIIDEKSNKVCMMGIWGMGGSGKTTTAKAIYNRIHRKFEGRTSFIQSIRETCENDSQRIVHLQQQLLSDLKINQNIHSIASGITKIEAGLRGQKAFVVLDDVTKPEQLTALCGNPKWFCSGSVLIVTTRNVRLLKSLSADHVFTMTEMDENQSLELFSWHTFRQPSPREDFIELSKNVVAYCGGLPLALEVLGSHLFERTEPEWTDTLSILEKIPNNEVLQKLRISYDGLEDYKKKDIFLDICCFFIGKNRVDVTEILNGCGLNADIGIAILIERSLIKVGKNNKLQMHDLLRDMGRVIVGEGSEKEPAKHSRLWFHKDVLDVLSKNTGTETVEGLILKLHRNDRTRFSTNAFQEMKKLRLLKLEGVNLKGHYGLISKELRWVDWQLSSFKFIPNDFNLENLVVLKLKYSNVEQVWQKTKLLEKLKVLNLSHSKYLKRTPDFSNLQNLEKLIMKDCENLSEVHPSIGDLKNLLLINLKDCTSLSNLPREIYQLITVKTLILSGCLKIEILEEDIMQMESLTTLIATNSGVKEVPFSILRLNSIGYISLCEYEGLRHDVFPSLIWSWISPTKNFIPRVSPFGGNSLSHVSLNIESNYMDYHSPVLTILSNLRCVWVQCHSEIHLTQELRKFVDALHDVNFTKFETTSHGPDTSSYSLRSLVIGMGSNEIIMDTLQMSLSQGLAASCIDSFLPGDNSPFWLANTCEGSSVIFQVPEDNHCGMTGITLCVLYSSTSGNLITECLTSILIINYTKFTIQIYNRDTVMSFNDEDWQGVVSNLDVGDKVEIFIVIGHGLTVKETAVYLVYRQPTAMESIPIPTVEVQTPPDVNTEPLPKKNRKKFRRFVMRVGKCLCMNQN >CAK8537510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:380736094:380736483:1 gene:gene-LATHSAT_LOCUS6799 transcript:rna-LATHSAT_LOCUS6799 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSVLKGIVSELVIIGVKIDDEDKALRLIWSLPSSYEHIKPVLIYGNETLSFEKVASKIISKERRLKVKENTSSNSVLVARGRSYLKKNNETGVKCWKYGKLGHIKYKCLAWAALEKGFE >CAK8534119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696621869:696622849:-1 gene:gene-LATHSAT_LOCUS3687 transcript:rna-LATHSAT_LOCUS3687 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALSNHDEYFQMRIDATGKMGLSPLQKCTSAIRMLAYGSPADIVDEYVQIGESTSIECLERFVKGVNVVSGAEYLRKPNNTDIEHLLQMGESRDFPGHAPTVQYTINGTPYNMGYYLADDIYPEWATFVKTISMPQGEKRKLFAQHQESARRDVERAFGVL >CAK8561106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:95926099:95944127:1 gene:gene-LATHSAT_LOCUS14727 transcript:rna-LATHSAT_LOCUS14727 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRLCSLLGELGYEGTNSGSDSLDPDSFEWPFQYEDTRPILHWICSTLRPSNILSLSELSQYEQFKHQGKLLEGDDLDFAFDSISAFSDTTDNQEALFGPHEPLSLKDIKEETLAYKTEAADLQRQLRELQSQFDMLSGQASTLTQGRRARVGATSVVSGHLATIEESLSGRNLQMNAVLGRIASTAEELAHYHSGDEDGIYLAYSDFTQFLFEDSSCLKELNQWFSKQLDTGPFRLVAEEGKSKCSWVNLDDDSSNYVRDLEKSHHQRVSELQRLRSIFGISERQWVEAQVENAKQQAILMTLKSQVSSDEAHMHFDHHFLRRKHSELKGELSNLYNHEEKLLSETIPELCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQLLKIACQLEKKHMLGAYYLLKLIESELQAYLSATEGRVGRCLALIQAATDGQEQGGVHDSDHFLHAIRDLLKIYSNTQAALSTYVSAPGIVQQISALHSDLMTLQSDLDNSLPEERNRCINELCNLIQSMQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSVAVEEVTLEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >CAK8530248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9868122:9870292:-1 gene:gene-LATHSAT_LOCUS129 transcript:rna-LATHSAT_LOCUS129 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIWTGLQGLKPVMLMVLVQLAYAAVNVLYKLAINDGMTVKVATAYRLAFGSAFTVPLALISERNKRPKLSWRVLFMAFLCGLFGGSLFQNLFYEALALTSATFASAIYNLIPAITFIMAISCGFERLNLRAAAGKAKVLGTLAGIGGAMMLIFLKGVEINIWPFHINLMHPHQHQNSHVDFGSKWLGVLCAVASCFSFALWLIIQAKMSKEYPSHYSSTALMSTMGAIQATGFALCFEKDWSQWKLGWNIRLVAVAYSGIVASGLVVIVTSWCIKMRGPLFASVFNPLMLLFVTIAASLMLDEKLYLGSLIGAVLIVCGLYMVLWGKSKEMKKIAQLATSKIAQEAEEDIEVVVMTTPLELDHDKLHVSNNNHIEVDKDGEIKSIGDDPK >CAK8560961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64355657:64359228:-1 gene:gene-LATHSAT_LOCUS14596 transcript:rna-LATHSAT_LOCUS14596 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGIFMGMLFGIALMAGWARMMRYRSAKRIAKAVDIKLLGSLSRDDLKKICGENLPEWISFPVYEQVKWLNKLLSKLWPFVAEAATLVIRESVEPLLEEYRPPGITSLKFSKLSLGNVAPKVEGIRVQSLTKGQIIMDIDFRWGGDPSIILAVEAALVASIPIQLKDLKVFTIIRVIFQLAEEVPCISAVVVALLAEPEPKIDYTLKAVGGSLTALPGVSDMIEDTVNAIVNDTLKWPHRIVVPLGGIPVDISELELKPQGRLEVTVVKATDLKNKEMIGKSDPYVVLYIRPLFKVKTKVINNNLNPVWDQTFELIAEDKETQSLIFEVFDEDIGQDKRLGIVKLPLIDLEVQTEKEFQLGLLSSLDTLKVKDKKDRGTLTVKVLYYQFNKEEQLAALEAEKAILEERKKMKAAGVIGSTMDALDGAVSVVGSGAGLVGSGLGAGAGLVTSGLGTFTSGLTKAGKFMGRTITGHHSGSRRSGSSTPVHNAAENGGGAKPL >CAK8566040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371212549:371213229:-1 gene:gene-LATHSAT_LOCUS19208 transcript:rna-LATHSAT_LOCUS19208 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAAVARPRFDNEGNEIFSGKIGVFPLVNKVPARRSSVNRAAGTLETKPITSINKEISRMFLINKVLPAIKEKWPREQASETIYIQQDNVPSHVSMDDEEFRRVASEGGFDIRLTCQPQNSPDLNVLDLGFFNAIQSLQQIEVTNSVDELIQTVQKSYDNFSSKASNKIFLTLQSCMIEIMKVKGSNNYKIPHVKKDVMFHQGILPVELKCDGELVHEVMEYLAN >CAK8564851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12836845:12838001:1 gene:gene-LATHSAT_LOCUS18109 transcript:rna-LATHSAT_LOCUS18109 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVIGDNNSENHSQFQPLARQNSMYNLTLDEVQSQLGDLGKPLSSMNLDELLKNVWTVEANQSVQMDNVNTAQTGQVVLQQQPNMSLTGVLSKKTVDEVWRDIQQSKDHEEVRSQERQSTLGEMTLEDFLVKAGVVSIASSNGKNTNGPKVDNVDSTLVLPQFVPHGQWVQQYAQAHYQHPQQNVIPTYVPSQILPQPLHMVSSSPLDVVPYADGQMALASPAMGNISDSKKSGRKRGLPEDVSERTVERKQKRMIKNRESAARSRARKQAYTTELEIKVSRLEEENEKLRKEKELSNMIANAPEPEPKCQLRRVSSASF >CAK8571185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:330284409:330287355:-1 gene:gene-LATHSAT_LOCUS23874 transcript:rna-LATHSAT_LOCUS23874 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKDGSMMKAWEATVKKTQAVAKKRANSIFGTAHVSHGDEEDNRDETEVYMAERVLPNGDYYKGEWADSFPHGKGKYLWTDGCIYVGEWFKGKTMGKGRFTWPSGPCYEGEFKSGYMDGIGSYTATNGDVYKGQWVMNLKHGHGEKVYSNGDKYEGEWRRGLQDGQGKYAWKDEKYYIGEWRNGSIWGKGSFVWSNGNRYDGYWEDGLPKGSGTFKWNDGSFYVGNWSKDPRDQSGTYYPFDGSTEGHLDWDPQQVYNELSEYQISPGEKVSVLPSQKRLAVWRSTKGGGGGGGGDGSSTKPRRMSVDARVSVGLEKPSDRMHLWGCDGEGTNNNNSNSSSNINGSGTPNKDDNDLLSLHIQSSNPKQTLKAPKKSKRQGETICKGHKNYELMLNLQLGIRHSVGRPAPSASFDLKPSAFDSKEKVWTRFPPEGSKYTPPHPSSEFKWKDYCPVVFRTLRRLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFDNALVTKFYGLHCVKLNGPSQKKVRFIIMGNLFCSEYTIHRRYDLKGSSLGRITTKPESEITETTILKDLDLSFIFRLQRSWFQEFCKQIDRDCELLEQEGIMDYSLLVGIHFKDISAEGDLIPSGSHTPQGDSGSEGSPCISRADMDQLLLEPSKWDSIKLGVNMPARVERTVRRLSDCELQLVGEPIGEYYEVVLFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFIFKVFSQDS >CAK8561684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:355608156:355610539:-1 gene:gene-LATHSAT_LOCUS15252 transcript:rna-LATHSAT_LOCUS15252 gene_biotype:protein_coding transcript_biotype:protein_coding MENKFFLVFLLCLLIVANGVSGSMMCDNNNFGMLEELNKLDAEEEYETEELSDMPSWTSQRGGKVLVNVDSFGAAGDGETDDTEALQKAWGVACSTSKAVLLVPQGRRYLVNATKFIGPCKDNLIIQIDGTLVAPDDPKSWDPKLPRIWLDFSKLNKAVFQGSGVIDGSGSKWWAASCKKNKTNPCRGAPTAFTIDTSSGIKVKGLTIQNSQQMHFTISRCDSVRILGVKVSSPGDSPNTDGIHISESTNVIVQDCKIGTGDDCISIVNASSNIKMKRIYCGPGHGISIGSLGKDNSTGVVTKVILDTAFIKDTTNGVRIKTWQGGAGYVRGVRFQNVRVENVSNPILIDQFYCDSPTSCQNQESALEISEIMYQNISGTTNSAKAIKFDCSDSVPCSKLILSNVDLEKQDGTVETYCHSAQGFGYGVVHPSADCLNSNDKISQVHESQSITSQDDDDDIRHTEL >CAK8562255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462652355:462652885:1 gene:gene-LATHSAT_LOCUS15767 transcript:rna-LATHSAT_LOCUS15767 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGFYHETQILSWVLSYGGSHAPDSLAITAAGIALALSEVPMSKAVAGVRVGLIGDKYIVNPTTEEMENSELDLMLAGTDSAILMIEGYGNFLPEEKLLKAVEVGQDVVRAICNEVEVLVKKCGKPNMIDAIKLPPPELHKHEEEALNPSTSDSHPTNPHSHDATHCHCLTTVLN >CAK8537458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362679554:362679784:-1 gene:gene-LATHSAT_LOCUS6748 transcript:rna-LATHSAT_LOCUS6748 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHAYGGNFDYSYDNVDINNSTTETFSALHSNLATRLQRRASIQEKQVHHKLQGDLVEYIWERFGHEDDEI >CAK8531229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91692255:91692641:-1 gene:gene-LATHSAT_LOCUS1035 transcript:rna-LATHSAT_LOCUS1035 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKNKRAREYDDVEGKIWNKKSKKRDKNNEVEEKGCLAFGVFDFPWLKEGVICKSEECLMDFEDNFLSLLQNQDNGCFKASSGFEFFEECEDPKTSMDDVWLPFDINALELEDEDLDCIWSSLLND >CAK8567284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501191594:501198100:1 gene:gene-LATHSAT_LOCUS20350 transcript:rna-LATHSAT_LOCUS20350 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKGGKSRTQRKHFQQNRENVWNQHRPESLPSTTNPYDIQNPDFDHYYKEQNIVSLEEWDSFMQVLRTPLPAAFRINSSSLFYADIRSQLENDFARALQAIVLDGDEEDAIRPLPWYPENLAWHSNFSRMQLRKNQSLKRFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSNHIVLDMCAAPGSKTFQLLEILHRSTKAGSLPDGMVIANDLDVQRCNLLIHQIKRMCTANLVVTNHEAQHFPGCHLNLDCDIMGPSQHTSQLLFDRVLCDVPCSGDGTLRKAPDLWRRWNTGTGNGLHTLQILVAMRGLSLLKVGGRMVYSTCSMNPIENEAVVAEVLRRCKGSIELVNVSSELPQLIRRPGLKRWKVRDKGKSLVSCKEVAKVRRSAVLPSMFPNGGSYRDIDYNSNCDVNGHPEDGVQVEENPAMHEFTEIVSDFPLELCMRLLPHDQNSGAFFIAVLQKVSPMPAIEVKRRKEVNNQHVESLNQGNEDAQVLQIYPSESTHQEVCEQVFDDNKNEPNEPNTADFKSSPVIDEEGESEDAQEPHNEENIAKITPSKRKLQIQGKWRGVDPVVFFKDDSIIKSIEAFYGIDEQFPLDGHLVTRNSDTSNVKRIYYISKSVKNALELNLSVGQQLKITSVGLKIFERQKSREGRSVECAFRIASEGLPLILPHITKQILSASAIDFKHLLQYKNVKFAEFVDAKFGEKVANLKPGCCVIFLGEGNKVSAEALQVDESSIAIGCWKGRASLTVMVTSIECQELLERLLMRLDRETESGSSMHEDKPSNNVRDVEQESNGNGQDVEAI >CAK8570860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:134702126:134703314:1 gene:gene-LATHSAT_LOCUS23572 transcript:rna-LATHSAT_LOCUS23572 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAYFVQIDGTLNKLSTLREYVDDTEDYINVMLDDKQNHLLQMGVMLTTTTLVVSAFVVVAGVFGMNIYIELFDSDKYGTREFMWTVGGGTARTIFLYVVAIAWCKYKHLLE >CAK8566380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415856535:415857684:1 gene:gene-LATHSAT_LOCUS19513 transcript:rna-LATHSAT_LOCUS19513 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERFNGQHRNFQLYWCFQCNRSVRVAHVNSTDLICPRCFGQFICEITIPRPRLMIDFTAHDPSPEARLLEALSLMIDPPTRTRRPREVPVHRRTGDHHPTRTQPEPGIQHRPRTWVILQPMDPSDSNTFQPVIHPRGRQGSTPIPRGVDAGDYFFGSGFNELIDQITENDRQGPPPVPERGINAIPTVKIESKNLKENSHCPVCQEEFEIGGEAKELPCKHIYHSDCIVPWLRLHNSCPICRQEVPVGSEDECSEDEGGGGRLRRCLRWTRVSSLWPFHGRYRRVHPQRHNGSGSGSASSTTRESNSRWHFCCIL >CAK8572112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511523742:511524344:-1 gene:gene-LATHSAT_LOCUS24711 transcript:rna-LATHSAT_LOCUS24711 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEEFNSSNNTQTKNIINFTTSTSSEDKNITNFTSSSSSSSAPQPANTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHSQICPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEDNPFGARAVRLFLREVRDSQSKARGISYEKKKRKRPQQQQQQQLPPPSNNAT >CAK8537907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:446437648:446438100:-1 gene:gene-LATHSAT_LOCUS7156 transcript:rna-LATHSAT_LOCUS7156 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEKDEHNKKLVTDLYKALVSKDTKSMQHLLASDLEWWFHGPPRHSHYLVSLLTGSSSSKKSLVPNLIIGFGSVIVAEGYDEKNMMWWVHAWSISDGIITEVREYVNTSVTVTKLGFYPEDVVGSSCCRCIWKSKLSDASVPGLILTI >CAK8572833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566531893:566534142:1 gene:gene-LATHSAT_LOCUS25351 transcript:rna-LATHSAT_LOCUS25351-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGHALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVTECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRSNSTRITTEMDDFEKEKRRCGICREISHMRRKCPNVAGPSNRPV >CAK8572832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566531872:566534142:1 gene:gene-LATHSAT_LOCUS25351 transcript:rna-LATHSAT_LOCUS25351 gene_biotype:protein_coding transcript_biotype:protein_coding MFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGHALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVTECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRSNSTRITTEMDDFEKEKRRCGICREISHMRRKCPNVAGPSNRPV >CAK8563717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626769412:626770880:1 gene:gene-LATHSAT_LOCUS17096 transcript:rna-LATHSAT_LOCUS17096 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNARRTLASTLSRALSSSSSSGIASRGRTRFAFALSSPKQTLLVLHPFPARLKSSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPDNPKPSEDEMVNSYVKTLAQVLGSEEEARKKIYSVSTTTYTGFGALVSEELSYKLKELPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIPRPQYRYAERQPSRSRPRPRHDRRRETMQVERRDPTQRQNWNQGQGGHMQPSNSNE >CAK8530983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67810118:67810501:1 gene:gene-LATHSAT_LOCUS805 transcript:rna-LATHSAT_LOCUS805 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSKRSNISSTGTYSSSSNPKNPIDCSEYNSATQNDRPSGQKAAKRKGNGKASPSITPIVDLTGMESASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMDDEQRREHEEICQRIKEKYFKRS >CAK8536752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:13105487:13107266:1 gene:gene-LATHSAT_LOCUS6085 transcript:rna-LATHSAT_LOCUS6085 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQASKA >CAK8533628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642809249:642812348:1 gene:gene-LATHSAT_LOCUS3240 transcript:rna-LATHSAT_LOCUS3240 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSESGGYVRADQIDLKSLDEQLQRHLSRAWTMEKKNKEKEDEGGEVIGRSSSNTRQEWEIDPSKLIIKTVIARGTFGTVHRGVYDGLDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFLGATMGTSDLQIQTENGHIGMPSNLCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHIKKIVHRDVKTENMLLDKSRTLKIADFGVARIEASNPHDMTGETGTLGYMAPEVLNGSAYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVLMLEAIDTSKGGGMIPLDQQQSCLCFRKYRGP >CAK8575426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:116737486:116738063:1 gene:gene-LATHSAT_LOCUS27695 transcript:rna-LATHSAT_LOCUS27695 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNFMQNYQNPNSQNSQIPSVPPNPAIFFPSPNNSNMYQNPNPQNSQVPPFSTQDPIVGVDQKVESFWHRVAANYNQYRGQLREKLSGQLKCRWHRINASVQKFVGCYRQAVNGKKSGTSENDIMAAAHAFYAQDQGITFNLEYA >CAK8570453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47697859:47698146:-1 gene:gene-LATHSAT_LOCUS23200 transcript:rna-LATHSAT_LOCUS23200 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVLLAVIDGCHQLESLDIRQCFNINFIGSLAKRCKENIKYLWLPNDATDDYPFETKFHDGAYEDYAFGISYDFSGWSECHEFLYDANYYDLQ >CAK8537858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442437820:442439287:1 gene:gene-LATHSAT_LOCUS7111 transcript:rna-LATHSAT_LOCUS7111 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTSFNHVVAMPYPARGHINPMMNLCKLLVSNNNNILVTFVVTEEWLSFISSEPKPDNISFRSIPNVVPSELIRGRDHPAFIEAVMTKMEEPFEELLNLLDHPPSIIVYDTFLYWAILVGNRRNIPVASFCTLPASVISILLHHHLLEQNGHYPVKFSENGNERVNYIPGISSMHLADLPLRGDSNQSKRMMQVFLKGFQWIHKAQYLLISSIYELESQVIDILKSKFSIPIYTFGPTIPCISIKNNLTPNTNNSYIEWLDSQPIGSVLYIAQGSFFSASSEQIDEIANALRESNVRFLWIARNEASRLKQICGNMGLVLEWCDQLRVLSHSSIGGFWSHCGWNSTKEGVISGVPFLTFPISFDQPFNSKMIVEDWKVGLRVKENVNGDVLVKKSEIVKLVCEFMDLDGELTKGIRERSRKLKKICCDAIGDGGSADIDMKAFIGDITMHSSKFIHT >CAK8543634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628114660:628126547:1 gene:gene-LATHSAT_LOCUS12380 transcript:rna-LATHSAT_LOCUS12380 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKPFLCFSVLLAFLAVAGGDSGEAAELDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPDCKSRPDSGLSGITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLSPSILEERDIYFHKLKLTFETAFKLRGGPSLVFAHSLGNNVFRYFLEWLKLEIAPKHYNQWLDQHIHAYFAVGAPLLGATETIEATLSGFTFGLPVSEGTARLMFNSFSSSLWMLPFSKYCRTNNKYWKHFSGGRQVGTHTYHCDEEEFKSNFSGWPTKVVNIEIPSSRGFDAYPTFSEIPETNLSGMECGLPIQLSFSAREIADGSFFKAIEDYDPDIKRLLYQLEKSYIGDPVLNPLTPWDRPPLKNVFCIYGSNSKTKVGYYFAPSGKPYPDNWIITDVVYEYEGSLVSRSGNLVEGNPGAISGDETVPYNSLSWCKNWLGPKVNITRAPQSEHDGSDVQIDLNVEHHYGEDIVPNMTRFPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSSVLMRELWLEMWRDIHPDARSEFVTKAKRGPLRNEDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLKYTSADLLLHYL >CAK8572362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532134617:532135585:-1 gene:gene-LATHSAT_LOCUS24935 transcript:rna-LATHSAT_LOCUS24935 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALFVPSSTRSSSLIRAVSTPEKSETATEKKRSKVEIFKEQSNFIRDPLNKDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQYGIGTLRLTTRQTFQLHGVVKKDLKTVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSAEPPEVVQARNDNSHGTNFIDSLEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDHVEPHMCVMHNTASFCS >CAK8540270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547987581:547992134:1 gene:gene-LATHSAT_LOCUS9291 transcript:rna-LATHSAT_LOCUS9291-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGIGRNQRTFRPKKSTPSGSKGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCRTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWVETQLDDESIFPQKLGSPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIEKKELAPLQELIDTIIY >CAK8540271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547987581:547992134:1 gene:gene-LATHSAT_LOCUS9291 transcript:rna-LATHSAT_LOCUS9291 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGIGRNQRTFRPKKSTPSGSKGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCRTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWVETQLDDESIFPQKLDLWDHDAGSPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIEKKELAPLQELIDTIIY >CAK8563864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635979259:635981455:-1 gene:gene-LATHSAT_LOCUS17229 transcript:rna-LATHSAT_LOCUS17229 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSSSLSKKTSVLGLEVWELMGLIVGLFIVIILLVISICLTSKKKSRRINGMLPVTHRLSVSEEIKEISVDQVSTNGHPQNGMFMSLYDKFNDKEAEKVLLQTKNGEYSSQSGSFVHVEKDVAGSQSGDESGARRLSAHRPSVTSPSPLSGLPEFSHLGWGHWFTLRDLELATNKFSKDNIIGEGGYGVVYQGQLINGNPVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGFCIEGTHRLLVYEYVNNGNLEQWLHGAMRQYGFLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRSPAEVNLVDWLKMMVGNRHAEEVVDPNIETRPSTSALKRALLTALRCVDPDSEKRPSMSQVVRMLESEEYPIPREDRRRRKSNATNADAETHKETSDTDKSDHPDSKSVGKNGRRNQRK >CAK8531778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150671165:150672433:1 gene:gene-LATHSAT_LOCUS1544 transcript:rna-LATHSAT_LOCUS1544 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPNGYLSELKNELLKGVVVPELSGFREQCIYKVPPRLRQVNPEAYTPQVISIGPFHRSHGDNILHQMEPLKLNYLKGFLNRTKLSLDDFDFDSQEWENRIRSCYGGLFSFNSNEFLKIIIVDACFIIEHFLRFYEYSNWERNDPILLQPWLLDDIKRDLVLLENQLPFFVLEYIYRLAGLNRGSPSFFDITSIYFQPMDRNLHNLTSHRMLSPYHFTDLVRAFLLPSSFFVHETGCSIENVYSVSQLSEAGLVCEVNEGKYLLDLSFDEGVLKMPCLHVHHTTESYLRNILAYEECHISNLNTRYISQYLTILGFLINSEKDVSILIDKKIIVNWMGDANDVATMVNNLRKNVSMPPFRLQYKSVCERLNGFYENPMNKHKAILVHEYFNTPWKIASTVAAVVLLLLTLIQTICSIVSL >CAK8531652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135159442:135159987:1 gene:gene-LATHSAT_LOCUS1428 transcript:rna-LATHSAT_LOCUS1428 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVTSFLLPLMAILILSTLTQISYAQNSPQDYLNTHNKARSEVGVGPIYWDTKLVSYAQNYINQLKANCKMVHSKGPYGENLAWSSGDITGTGAVNMWVGEKQYYNYNSNSCAVGYKCGHYTQVVWRDSVRVGCAKVKCNDGRSTIISCNYDPPGNYIGQRPFDISPFEVPLNFNHGSF >CAK8541755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:386784474:386787913:-1 gene:gene-LATHSAT_LOCUS10651 transcript:rna-LATHSAT_LOCUS10651 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAGTVVEGGQRVLSNQQSQIGTVSQLFAGGVAGAFGKTCTAPLSRLTILFQVQGMHFDVGHVAALSKPSLLYEAQRIVNEEGFRAFWKGNLVTIAHRLPYSAVNFYTYECYKNLLHSVLGENHRAKASSDVLVHFVSGGMSGMTAASALYPLDLVRTRLAAQRNVIYYNGMSHAFSTICREEGFFGMYKGLGATLLGVGPSIALSFSVYESLRSSWKSQRPDDSTAMVSLACGSLSGIVSSTATFPLDLVRRRMQLEGVGGRPRVYNTSLFRTFGHIFRNEGIRGLYRGILPEYYKVVPGVGIVFMTYETLKTLLSSFQNR >CAK8577114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537732519:537738376:-1 gene:gene-LATHSAT_LOCUS29247 transcript:rna-LATHSAT_LOCUS29247 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKIEKMASIDAQLKLLAPGKVSDDDKLVEYDALLLDHFLDILQDLHGSDIRETVQDCYELSAEYEGNNNPQKLEELGEMLTSLDAGDSIVIAKSFSHMLNLANLAEEVQVAYRRRIKLLKKGDFADENSAITESDIEETFKRLVNQLKKTPLEVFDALKSQTVDLVLTAHPTQAVRRSLLQKHGRVRDCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGVNERIPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMVANLYFSQIEDLMFELSMWRCNDEIRSRAEELYSSAKRDAKHYIEFWKQVPPTEPYRVILGDVRDKLYNTRERARQLLANGSSEIPKESTFTNVEQFLEPLELCYRSLCACGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITNHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECGVKQPLRVVPLFEKLADLETAPAALARLFSIKWYRNRINGKQEVMIGYSDSGKDAGRFSAAWALYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTIQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVIATKEYRSIVFQEPRFVEYFRCATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDPKNLQVLQDMYNQWPFFRVTLDLVEMVFAKGDPGIATLYDKLLVSDELWSFGERLRSSYDETKRFLLKVAGHRDILEGNPYLKQRLRLRESYITTLNVLQAYTLKRIRDPDYHVTLRPHLSKDYIESSNPAAELVKLNTTSDYAPGLEDTLILTMKGIAAGMQNTG >CAK8542591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528231442:528233913:-1 gene:gene-LATHSAT_LOCUS11417 transcript:rna-LATHSAT_LOCUS11417 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDFCERIVAAKCSSKSSTEAVDSTQVPASSKAGSSDIHSRNSGWAAAVRRVISGGSMRRFQERVLGSSRTDVSSSDGDIWLLGVCHKIPPHESTGEVDTRNVFAEFEQDFFSKILITYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALIFHKLGRSWRKTTDKPVDKEYIDILQLFGDSEAAAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARNQREKNDQGEKPLPMAIYVVSGDEDGERGGAPVVCIDDASKHCSEFSKGLAAWTPILLLVPLVLGLDKVNLRYIPLLQSTFKFPQSLGILGGKPGASTYIIGVQSEKAFYLDPHDVKPVVNITGDTQEPNTSSYHCNISKHMPLDSIDPSLAIGFYCRDKDDFDDFCSRAIKLAEESNGAPLFTVAQSRSLSIQVTSSSVSGDNTMFDDDSLGTNLDNDAGTNEDDWQFL >CAK8542590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528231442:528233913:-1 gene:gene-LATHSAT_LOCUS11417 transcript:rna-LATHSAT_LOCUS11417-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDFCERIVAAKCSSKSSTEAVDSTQVPASSKAGSSDSKFPKASLWSTFFTSGFSVDETYSESSSSEKKAVHSRNSGWAAAVRRVISGGSMRRFQERVLGSSRTDVSSSDGDIWLLGVCHKIPPHESTGEVDTRNVFAEFEQDFFSKILITYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALIFHKLGRSWRKTTDKPVDKEYIDILQLFGDSEAAAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARNQREKNDQGEKPLPMAIYVVSGDEDGERGGAPVVCIDDASKHCSEFSKGLAAWTPILLLVPLVLGLDKVNLRYIPLLQSTFKFPQSLGILGGKPGASTYIIGVQSEKAFYLDPHDVKPVVNITGDTQEPNTSSYHCNISKHMPLDSIDPSLAIGFYCRDKDDFDDFCSRAIKLAEESNGAPLFTVAQSRSLSIQVTSSSVSGDNTMFDDDSLGTNLDNDAGTNEDDWQFL >CAK8538292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475575781:475583235:1 gene:gene-LATHSAT_LOCUS7507 transcript:rna-LATHSAT_LOCUS7507 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLPSPSTFRGNFVVPLSHCTSCFQFNLRFHSSNRFRHTRWSSGQLSLTGRRRRIACSVTESDGDNQEDKEEHKNEETQSLEDSVEQIKPPPIDGEQLNKFSDGNTEQNDTQNMDNIEVASGSPLPGVKPQQLDNAIKIPKETIEIFKNQVFGFDTFFVTSQDPYEGGVLFKGNLRGQASKSYDKISKRLQDKFGDEYRLFLLVNPEDDKPVAVVVPRTTLQPETTAVPEWFAAGSFGLVTLFTLLLRNVPDLQSNLLSTYDNLNLLKDGLPGALVTALILGVHELGHFLVAQNAGVKLGIPYFIPSWQIGSFGSITRIRSIVSNREDLLKIAAAGPIAGFTVGLVLLLVGFIIPPSDGIGVVIDSSVFHESFLAGGIAKLLLGNALKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFALWGRKASIRLTGFSIVLLGLSSLLNDVAFYWVVVIFFLQRGPIAPLSDEISEPDDKYVALGVTVLLIGLLVCLPYPFPFIDETLAPF >CAK8566154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385869994:385873084:-1 gene:gene-LATHSAT_LOCUS19314 transcript:rna-LATHSAT_LOCUS19314 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFSFGFFTDDADVVPSKEIQWLASVFAGIIFSFVVYRLTATFSSRLFAGYRKLSSAGKVEWNNRGFSTFHAIFASFTSFYLLILSDLFKDDSQEKLVVNRSSTFSNSVLSFSTGYFLADLAMVIWLFPALGGLEYVLHHGLSMFSIIQSLLSGQAQIYILMVLFSESTTPFVNLRWYLDTAGLKSSKLYIWNGVALFFGWLIARIFLFMFLFTHMWTHFDEVKEVFPMGFYSLLVVPPVLAMMNLFWFWKIAKGMVKTLSKAKHSE >CAK8578528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635341227:635341568:-1 gene:gene-LATHSAT_LOCUS30532 transcript:rna-LATHSAT_LOCUS30532 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHEIENEIENELEEFSVWKRNTPILYDLLISHPLLWPSLIVQWIPASPQPYSHPSFNTHKLLIAIHTSNKISNYLMVTDSTLSPFLTRTTTTTHLQLLDSQKIPYKQPFL >CAK8562956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:561572678:561582262:1 gene:gene-LATHSAT_LOCUS16416 transcript:rna-LATHSAT_LOCUS16416 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATPSLFSVSINPNHVLKPKRFILQPNLPVFKSLKCIHSNTSLSCSAVAFPKTAELVVPSKLRHLADEFQLLPEPMERMKRLLHYAGLIQPMEETSRVDTNRVMGCTARVWVEVKIDGEGKVRLTADSDSEITKGFCACLVWVLDGSEPEAVLKVSTDDLVALNVGLPGTGRSRANTWHNVLVTMQKKTKQLVAERDGKVPFEPFPSLIITADGFVPKGSYAEAQAKYLFPKKLKVDELVNVLKDKKIGVVAHFYMDPEVQGILTAAQKQWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFNEVDVYRMSNERIGCSLADAAATSTYMEYLEPASRSTSLHVIYINTKLETKAYAHELVPTITCTSSNVIQTILQAFAQVPDLSIYYGPDSYMGANIVELFQQMTVMTDEEIAAIHPEHNVDSIKSLLPRLYFYQDGSCIVHHLFGHEVVDTIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKDRVQESLDRNIDDHLQFVLGTESGMVTAIVAAVRSLLEPAKSSSHGAKVTVEIVFPVSSDSISKTTSSLNSVEVSDIIPPVVPGVTSGEGCSIHGGCASCPYMKMNSLSSLLTVCHHLPDKETTLSAYKAERFKLQTPNGQSVADVGCEPILHMRNFQATKKLPEKLVNQILHPKDSGKLA >CAK8578286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617276887:617278674:-1 gene:gene-LATHSAT_LOCUS30311 transcript:rna-LATHSAT_LOCUS30311 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSHVFLGVIDEVINKPEMGIPERFLRPNKQPSILLDQTNPSQTIPIFDFQTLLSADNTQLHNLFSACKDWGFFQVVNHGVSSELLEKLKIEIENFFKLPVEEKTKYKIRQGDFQGYGAVIRSEGQKLDWGDRFFMITNPIQRRKPHLFPQLPSSLRETLETYISELKKLGMKLFELMGKAIKMDLKEIENVFEDGNQSIRMTYYPPCPKPELVDGIIPHSDGSGITILNQINGVGGLEIKKDGVWIPVNFHTDAFVVNIGDIMEILSNGVYSSIEHRVTVNKEKDRFSIAMFFNTKFEAEIGPAKSLISSENPALFKSMLMEEYSKYFFSRNLDGKTNLERMRI >CAK8540238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546574801:546575988:-1 gene:gene-LATHSAT_LOCUS9264 transcript:rna-LATHSAT_LOCUS9264 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRHGGSGAMNTATLLAKKGLLGAEVVAPNKWGSLVIRNGSTFAANLSDQKEKTSSSQGSVGANGGNKDEKGIMSYWGVQPSKIIKQDGTEWKWNCFRPWETYKADVTIDLNKHHAPTNFLDKMAYWTVKSLRYPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEIAKPKWYERALVITVQGVFFNAYFLGYLVSPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAVDYWQLPPNSTLRDVVMVVRADEAHHRDVNHFASDIHYQGRELREAAAPIGYH >CAK8572012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501651432:501652454:-1 gene:gene-LATHSAT_LOCUS24621 transcript:rna-LATHSAT_LOCUS24621 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLQCFGLSTKRKRRKTLYKVLAREDQKYGNYEVLAITEKAIIPYSESRGRDQEKEKSGVKPKKKKVSFNLNLQIYEVNPSSYQVLEDEEEEDKDTAVESEGRVLGDGSEAVIIRYPSNHRYYNCSYDQDEEDDMVYEESDIDDEFDEEYDWDDDDDDGYESCENYEVCDENSKQKVLSTKDDEVKSNSSGRERSMNMNSVLHPVENLSQWKAIKAKVISSKNRRKENVPSEENTSSKFSPCILESSDLQSKPLLKEIAVDASLSNWLVSPNYNVSSTIRCQ >CAK8577769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587380152:587381117:1 gene:gene-LATHSAT_LOCUS29843 transcript:rna-LATHSAT_LOCUS29843 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQKLLQSSSYPFFWGYTPEQQYYKQQQITSTKSTFTTPRNLTLFTRSWLPTLTTPPRALIFMIHGYGNDISWTFQSTPIFLAQMGFACFALDLQGHGQTQGLKAFVPSVDLVIQDCLSYFNFIKRDPKFESLPCFLFGESMGGAICLLIHFADPRGFQGAILVAPMCKISDKVRPKWPIPQILTFLARFFPTLPIVPTPDLLYKSVKVDHKKVIAQMNPLRYRGKPRLGTVVELLRVTDILSRKLCDVELPFIVLHGSADVVTDPEVSRELYEEARSEDKSIKVYDGMMHSLLFGETDENVEIVRNDILQWLNARCN >CAK8537982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452973815:452975831:-1 gene:gene-LATHSAT_LOCUS7227 transcript:rna-LATHSAT_LOCUS7227 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNQISSPGTARANSPNINMRSNFDVDSQYLTELVAEYQKLGPFMQVLPLCTRLLNQEILKVSGKNGLMQSQGFSDYDRVPFGNVKPNHMHSLDTAQNFTGWNSLSHEGLAGVQGLNVDWQRAPAVSNSHIMKKILRLDIPYDNHPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDFDKEELLRGRPGFEHLNEPLHILIEAELPVNVVDIRLRQAQEIIEELLKPVDESQDIYKRQQLRELAILNSSFREESPQLSGSVSPFTSNEMIKRAKTDL >CAK8536719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:10682047:10682502:1 gene:gene-LATHSAT_LOCUS6053 transcript:rna-LATHSAT_LOCUS6053 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHNQDYKDGINYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVYDHLCSKGFVKGYTEWIYHGEDKSLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHGGLNEDAKKFYKLVDDAKQELYPGCEKFSSLSFTI >CAK8531245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92294223:92294498:1 gene:gene-LATHSAT_LOCUS1051 transcript:rna-LATHSAT_LOCUS1051 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSTNSYKIIDEFCCRMKGTMKEWYHNLGAFKQDELHRLETTASVLGLLHREFIGDMEIFDRKNRQEFFEMKCCSLKTKDLYKQYHRMA >CAK8573693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631006488:631006954:-1 gene:gene-LATHSAT_LOCUS26104 transcript:rna-LATHSAT_LOCUS26104 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLVALLLVVCLVTVQGETYFNGKKPLTQAECVANCISRSILWKSLSESLCEFNCANVITWKSYQGPSSHGSHARGYGPVGAPKPSLAPAPRSIMELSKNTEA >CAK8571664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458007451:458008203:1 gene:gene-LATHSAT_LOCUS24305 transcript:rna-LATHSAT_LOCUS24305 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSFIGDFNTILGAHEYDGSFRPARGLMEEFADWTDYNHLVHMPTVGVKFTWANGIEGRQHTRKWLDRVICNQDWVSLCAQSSCSTLNKSRSDHYPILLHFNFQEFKFKSHFRFMQMWTLHPDCIKVVEDAWKTNFIGCPMFVLAAKLKFLKEKLKVWNKEYFGNMQDNVKKAELALENIQHHIDTDDHTVVLAQQEKVAQARLEQALNIESSFGKIKLVLTGTYTGTGTRNIFTRLLKSETHQISLTL >CAK8571275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:359643997:359646795:-1 gene:gene-LATHSAT_LOCUS23953 transcript:rna-LATHSAT_LOCUS23953 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCIGSGTSQSKDVSSPSALPSPSCWPRGGTKKDTSDAYKTSSTRATEAVQNKAPPVVKIENEDVKPVQQQKPQTNEGVVATPAKQKRPQNVKRLASAGLKADSVLQRKTISLKEFYNLGQKLGQGQFGTTFLCVEKATGKEYACKSILKRKLLTEEDVEDVRREIQIMHHLAGSQSIVSIKEASEDAVAVHVVMELCSGGELFDRIVERGHYTERKAAKLARTIVGVIQSCHSLGVMHRDLKPENFLFVNQQEDSPLKAIDFGLSAFFKPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLCGVPPFWGESEQEIFEAILHSDLDFTSDPWPGISESAKDLVKKMLVRDPSKRLSAFDVLRHPWIQVDGAAPDKPLDSAVLSRMKQFTAMNKLKKMALRVIAENLSEEEIAGLKEMFKMIDTDNSGYITFEELKVGLKKFGANLNESEIYDLMQSADVDNSGTIDYGEFIAATLHLNKVDREDHLVAAFSYFDKDGSGFITRDELQKACEEFGLGDVGLEEMIREADQNNDGRIDYNEFVAMMQRGNAEIGKRGRKGSRSLSIAFREALPVC >CAK8568793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641575274:641576233:-1 gene:gene-LATHSAT_LOCUS21718 transcript:rna-LATHSAT_LOCUS21718 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNECQPLHADILRFYKSINMKLDQQVPLLLVERQALNEAREGEENDMIAGKKIVLAFQTLGVVFGDVGTSPLYTFSVMFGMH >CAK8534456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728075085:728084386:-1 gene:gene-LATHSAT_LOCUS4001 transcript:rna-LATHSAT_LOCUS4001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNLSSYPNPIISPRRSSSPTTHNAVYAIPPSPSLLTQQNSLRFAVLGAGFAGLSVVWHLFKQSSKELNLKIDIYDEVGIGGGASGISGGLLHPYSPKVKPLWEAAQCWKESIKLLRIAEEASLEEDMKPFVVNKRGILRPAMDMKNVTKLNDNAENCLSCCRVERFNNEEAQGILPGVLLPFNTAFFMPEAININPQHYLEALFRACENLVKESSCQDSGEKQLSLHKKSVHGLSEFEGEYDAVIICLGAKANTLPEISGRLPLRTCRGVILNLEPPDITRCYPEHGPSILSDAWIAVESSRSLNVGSTWEWKSINSSSDVSIDEASKALHKLLPKASTIFPEIKDWVFTGAKAGLRAMPPLTPLGSLPLLGCINDIIDRNHSCKYWLFGGLGSRGLLYHGWLGNLMAHAALYCNEEVIPSELTSWKNINPKF >CAK8567104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487284255:487310054:1 gene:gene-LATHSAT_LOCUS20187 transcript:rna-LATHSAT_LOCUS20187 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGRDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDAAYTLRESIAQDQEKTESQKDQIQQLDGSIKDLDTKIGHGEKTLKHLLELQKQISAKTTERSTLLEGQERQHADLAEEYEETDEELMEMKSKFDERIKISSSQINKLEREKNDISIKSPTLRRAINESIGEISKLQTEEEAHMSLKNERDTCIQNIFSSYNLGSLPKPPFSAADGLNLTNRIKTRLRDLEKDLEDKKKANKTELKMAWDSYLSASESWQNTDAKLQTKRENKDGIMKRFEEKNDELESFELEISNIDVSHLDERERKLQFQLERMTKQRDEREFELKKSQIEKEVLNVEQKIKVVNREFAAMATDSIERGRLSAMKLDLETKKKQHKKIIDGQKDKIRSALQGRLPPDKDIKKEITQALRTVAADFDGLNVKYREVDKEVNVLQMKIQEVDNNLSKHRKDMESRKRFIESKLQSSDQQCSGIDSYLKHLESVKEKRDVQKSKYNIADGMRQMFDPFERVARAHHICPCCERSFSPEEEDSFVMKQRVKAASSAEHMKVLAVESSSADSDYQQLDKLRMWYEEYVKLSKETIPNAEKELQQVKEELDHKSQTLDDALGALAQVKTEKDLVEMVLQPVENADQRFLEIQNLQKKVEDLESELGCGGPGVRTLEEIQSELAAVQGTKDNLKTELEKLADEQMDMQKDISSIQTRLLKARDDKMNAEKKLQGGKRLEEELECLREEMVQIDLDVKSLVEAVEPLSMHKDKVLADYNELKIRLDLEVENLVEKKRIFQQEAEAVFRMTSKIIEYSNLKKGDKLKELQEKKSLSESQLQSCETRIQEIIDELDKRKDLMRNTDLLKIKIEDNLKYRETKAKVDKLSYEIDTLEENVLKVGAVSTIQTELAKLSKERERLLEELNRCKGTVSVYQSNISKNKIDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDCISIHSDSEGGGTRSYSYRVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNSESLAAAILRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDYQHSIIESQAIFD >CAK8534306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714429041:714431730:1 gene:gene-LATHSAT_LOCUS3860 transcript:rna-LATHSAT_LOCUS3860-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMNSFLVVDDDDDVKYKEEEQELELQHEEQSGVHEHGDHVEENSEILKTRISNHPLYEFLVEAHLDCLKVGDISNLEIEKKDKKKAMKKQNMDMLSQSELDLFMEAYCLALNKLKEAMEEPQQNSMAFINNMHSQLRELTQATTPAANDPPATTSSSEETQQFRRNPTI >CAK8534307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714429053:714431730:1 gene:gene-LATHSAT_LOCUS3860 transcript:rna-LATHSAT_LOCUS3860-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLVVDDDDDVKYKEEEQELELQHEEQSGVHEHGDHVEENSEILKTRISNHPLYEFLVEAHLDCLKVGDISNLEIEKKDKKKAMKKQNMDMLSQSELDLFMEAYCLALNKLKEAMEEPQQNSMAFINNMHSQLRELTQATTPAANDPPATTSSSEETQQFRRNPTI >CAK8534305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714428978:714431730:1 gene:gene-LATHSAT_LOCUS3860 transcript:rna-LATHSAT_LOCUS3860 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVYVVYQRLLFHLLKNRYMESKMNSFLVVDDDDDVKYKEEEQELELQHEEQSGVHEHGDHVEENSEILKTRISNHPLYEFLVEAHLDCLKVGDISNLEIEKKDKKKAMKKQNMDMLSQSELDLFMEAYCLALNKLKEAMEEPQQNSMAFINNMHSQLRELTQATTPAANDPPATTSSSEETQQFRRNPTI >CAK8571095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:293494778:293495165:-1 gene:gene-LATHSAT_LOCUS23788 transcript:rna-LATHSAT_LOCUS23788 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASAQLSYAICTYKDYERLNHQILLTLVKKINTMQKIKESSWDLDMEDHVDDWSQWIDTDLPDDVNCVDDPDYIIPEEVAENSISTSISTKSYNLRLRNRLH >CAK8562948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560408058:560409658:-1 gene:gene-LATHSAT_LOCUS16408 transcript:rna-LATHSAT_LOCUS16408 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIISSTFLFLFLLIKFYSYSTKTKNSPPRLPLIGNLHQIGSFPHRSFYALAKKYGPFMQMYFGKVPILVISSAEAAREITKTHDHVFANRPPKINYDILLYNFRDVSTAPYGEYWRQLRSICMLHLLSAKRVKSLRAVREEELVLMMDKIRDYSSKSLPVNLSELIASKTNDVVCRATLGNKYSGESGTGFAKLMTDFTELLGTFMVGDYVPSLDWMTHLSGYYSRAKRVAKQFDDLLEGVVEERFKNPKGDNEEQTDLVDVLLWIQRTESLGFPIDRTTIKALLLDMFVAGTDTISTLLEWEMSELMKNPHMMKRLKEEARTVANGRTYITEDDLSNMKYLKALVKETLRMYPPIPLLVPRESRQDVKVNGYNIKAGTRVFINAWGISRDPRYWDQPDEFRPERFLDTSVDVKGTDYQLIPFGSGRRGCPGLVYAMAANDIVLANLVHQFNWELPGGAGAKLDMSEAFGFTVHRKFPLMAYAKVSNEK >CAK8566844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466804865:466805434:1 gene:gene-LATHSAT_LOCUS19947 transcript:rna-LATHSAT_LOCUS19947 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFVDFAFKGAKENDVVICPCNHCDFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTNQNMVEDTIIFEDLIHNMINDAFVVDMYHANEIPSASNLEIGQEDYVRLSATQERNEAKEYYELTREGEQPLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMTMILE >CAK8531018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70154071:70157472:1 gene:gene-LATHSAT_LOCUS837 transcript:rna-LATHSAT_LOCUS837 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISLKAHFYAFPSFSSQPRTTISPTQLNTYRKKPLFTVSVRAQMDPNEDSATTATSGEPVVEALKVKEWEVGMFHNEVAATQGIRIRRRPPTGPPVHYVGPFEFRLQNEGNTPRNILEEIVWNKDIEIARLKERKSLASISKSLKNAPPVRDFIGALRAANERTGLPGLIAEVKKASPSRGILRENFDPVEIAQSYEKGGAACLSVLTDEKYFQGSFENLELIRNAGVKCPLLCKEFIVDAWQLYYARSKGADAVLLIAAILPDLDIKYMVKICKLLGMAALVEVHDEREFDRVLGIESVQLIGINNRNLETFELDISITKKLLEGEQGKIVRERNIVMVGESGLFTPEDIAYVQEAGVRAVLVGESLVKQSDPGKGISNLFGKDISV >CAK8575270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66744389:66752094:1 gene:gene-LATHSAT_LOCUS27544 transcript:rna-LATHSAT_LOCUS27544 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEIKPGKPFTHQYDASRGRLHISMATLGLGTAITKSTLQCNVGNKSPVFLCALYPGKTESLQLNLEFEEDSNVVLSVIGPRSIHLCGYYLARGHYINTMDESESYGEDIADTETERSDHGDEDDYDDSFIDDGGDTVFLQSPISNEEEPSDSGRKSTKGGRRRLRKKYQLVESDDDDLREEKKIVNDNMHAESRETDDDDSLPISSICKNKASGRVLDQEMDDNVDKETVDAGNKDGQEPDNTIELTLKTDNVVVDSQTHSREAEPSDQLVDPCPVLDVGDIKKSKKKKKEKEKETKSSCNDHSTEPDNAVQDEPKMNTTQDLLVGNEQNQQQADDNRKAEPSDQLVDPCTVLDVGDIKKSKKKKKEKEKETKSSGNGHSTELGSAVQDEPKMNMTQDLLAGNEQNQQQADKKVETTDKILPSSQVGQGQDEKPKRKRKERSKDETLLTAGGASISHVINLPQGNEHNSQNTVNGDVKISDTVALPSTETDSQKKTKKRKKEHLNKASQPEGDSGNGEANKETVKHDSLIDKFSERKEQQPKVTVENNVDNDGKQSEDKKVKKKKKSKSQGIEVVNSDVPVSVEPSTGMMKEDGNHLEDAKPSQVRTLSDGLVIQELETGKANGKIAALGKKISINYTGKLKENGVVVESNAGEAPFKFRLGKGEVIKGWDIGLEGMQVGEKRRLVIPPSLLSRKDGDSGSIPPNSWLVYDFELVKVH >CAK8575267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66744389:66752094:1 gene:gene-LATHSAT_LOCUS27544 transcript:rna-LATHSAT_LOCUS27544-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEIKPGKPFTHQYDASRGRLHISMATLGLGTAITKSTLQCNVGNKSPVFLCALYPGKTESLQLNLEFEEDSNVVLSVIGPRSIHLCGYYLARGHYINTMDESESYGEDIADTETERSDHGDEDDYDDSFIDDGGDTVFLQSPISNEEEPSDSGRKSTKGGRRRLRKKYQLVESDDDDLREEKKIVNDNMHAESRETDDDDSLPISSICKNKASGRVLDQEMDDNVDKETVDAGNKDGQEPDNTIELTLKTDNVVVDSQTHREAEPSDQLVDPCPVLDVGDIKKSKKKKKEKEKETKSSCNDHSTEPDNAVQDEPKMNTTQDLLVGNEQNQQQADDNRKAEPSDQLVDPCTVLDVGDIKKSKKKKKEKEKETKSSGNGHSTELGSAVQDEPKMNMTQDLLAGNEQNQQQADKKVETTDKILPSSQVGQGQDEKPKRKRKERSKDETLLTAGGASISHVINLPQGNEHNSQNTVNGRDVKISDTVALPSTETDSQKKTKKRKKEHLNKASQPEGDSGNGEANKETVKHDSLIDKFSERKEQQPKVTVENNVDNDGKQSEDKKVKKKKKSKSQGIEVVNSDVPVSVEPSTGMMKEDGNHLEDAKPSQVRTLSDGLVIQELETGKANGKIAALGKKISINYTGKLKENGVVVESNAGEAPFKFRLGKGEVIKGWDIGLEGMQVGEKRRLVIPPSLLSRKDGDSGSIPPNSWLVYDFELVKVH >CAK8575266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66744389:66752094:1 gene:gene-LATHSAT_LOCUS27544 transcript:rna-LATHSAT_LOCUS27544-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEIKPGKPFTHQYDASRGRLHISMATLGLGTAITKSTLQCNVGNKSPVFLCALYPGKTESLQLNLEFEEDSNVVLSVIGPRSIHLCGYYLARGHYINTMDESESYGEDIADTETERSDHGDEDDYDDSFIDDGGDTVFLQSPISNEEEPSDSGRKSTKGGRRRLRKKYQLVESDDDDLREEKKIVNDNMHAESRETDDDDSLPISSICKNKASGRVLDQEMDDNVDKETVDAGNKDGQEPDNTIELTLKTDNVVVDSQTHSREAEPSDQLVDPCPVLDVGDIKKSKKKKKEKEKETKSSCNDHSTEPDNAVQDEPKMNTTQDLLVGNEQNQQQADDKKAEPSDQLVDPCTVLDVGDIKKSKKKKKEKEKETKSSGNGHSTELGSAVQDEPKMNMTQDLLAGNEQNQQQADKKVETTDKILPSSQVGQGQDEKPKRKRKERSKDETLLTAGGASISHVINLPQGNEHNSQNTVNGRDVKISDTVALPSTETDSQKKTKKRKKEHLNKASQPEGDSGNGEANKETVKHDSLIDKFSERKEQQPKVTVENNVDNDGKQSEDKKVKKKKKSKSQGIEVVNSDVPVSVEPSTGMMKEDGNHLEDAKPSQVRTLSDGLVIQELETGKANGKIAALGKKISINYTGKLKENGVVVESNAGEAPFKFRLGKGEVIKGWDIGLEGMQVGEKRRLVIPPSLLSRKDGDSGSIPPNSWLVYDFELVKVH >CAK8575269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66744389:66752094:1 gene:gene-LATHSAT_LOCUS27544 transcript:rna-LATHSAT_LOCUS27544-5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEIKPGKPFTHQYDASRGRLHISMATLGLGTAITKSTLQCNVGNKSPVFLCALYPGKTESLQLNLEFEEDSNVVLSVIGPRSIHLCGYYLARGHYINTMDESESYGEDIADTETERSDHGDEDDYDDSFIDDGGDTVFLQSPISNEEEPSDSGRKSTKGGRRRLRKKYQLVESDDDDLREEKKIVNDNMHAESRETDDDDSLPISSICKNKASGRVLDQEMDDNVDKETVDAGNKDGQEPDNTIELTLKTDNVVVDSQTHREAEPSDQLVDPCPVLDVGDIKKSKKKKKEKEKETKSSCNDHSTEPDNAVQDEPKMNTTQDLLVGNEQNQQQADDKKAEPSDQLVDPCTVLDVGDIKKSKKKKKEKEKETKSSGNGHSTELGSAVQDEPKMNMTQDLLAGNEQNQQQADKKVETTDKILPSSQVGQGQDEKPKRKRKERSKDETLLTAGGASISHVINLPQGNEHNSQNTVNGDVKISDTVALPSTETDSQKKTKKRKKEHLNKASQPEGDSGNGEANKETVKHDSLIDKFSERKEQQPKVTVENNVDNDGKQSEDKKVKKKKKSKSQGIEVVNSDVPVSVEPSTGMMKEDGNHLEDAKPSQVRTLSDGLVIQELETGKANGKIAALGKKISINYTGKLKENGVVVESNAGEAPFKFRLGKGEVIKGWDIGLEGMQVGEKRRLVIPPSLLSRKDGDSGSIPPNSWLVYDFELVKVH >CAK8575268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66744389:66752094:1 gene:gene-LATHSAT_LOCUS27544 transcript:rna-LATHSAT_LOCUS27544-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEIKPGKPFTHQYDASRGRLHISMATLGLGTAITKSTLQCNVGNKSPVFLCALYPGKTESLQLNLEFEEDSNVVLSVIGPRSIHLCGYYLARGHYINTMDESESYGEDIADTETERSDHGDEDDYDDSFIDDGGDTVFLQSPISNEEEPSDSGRKSTKGGRRRLRKKYQLVESDDDDLREEKKIVNDNMHAESRETDDDDSLPISSICKNKASGRVLDQEMDDNVDKETVDAGNKDGQEPDNTIELTLKTDNVVVDSQTHREAEPSDQLVDPCPVLDVGDIKKSKKKKKEKEKETKSSCNDHSTEPDNAVQDEPKMNTTQDLLVGNEQNQQQADDKKAEPSDQLVDPCTVLDVGDIKKSKKKKKEKEKETKSSGNGHSTELGSAVQDEPKMNMTQDLLAGNEQNQQQADKKVETTDKILPSSQVGQGQDEKPKRKRKERSKDETLLTAGGASISHVINLPQGNEHNSQNTVNGRDVKISDTVALPSTETDSQKKTKKRKKEHLNKASQPEGDSGNGEANKETVKHDSLIDKFSERKEQQPKVTVENNVDNDGKQSEDKKVKKKKKSKSQGIEVVNSDVPVSVEPSTGMMKEDGNHLEDAKPSQVRTLSDGLVIQELETGKANGKIAALGKKISINYTGKLKENGVVVESNAGEAPFKFRLGKGEVIKGWDIGLEGMQVGEKRRLVIPPSLLSRKDGDSGSIPPNSWLVYDFELVKVH >CAK8531963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184859226:184862081:-1 gene:gene-LATHSAT_LOCUS1712 transcript:rna-LATHSAT_LOCUS1712-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTKSPSEAKGPNLVERAKEEFEAILHSHKSSSHHRRETHGLNSDIDENTLVDDVKAPNVFERAKEEFQAIAQVFHHNNEKASTHDIRNGNQKVETNHKQEISSSSSEAKAKEGNIFLKAKAEIKSVIHHLDKSKQHHHDKETHGMNDDIDENTPINEVKAPNVFERVKEEFEAVLQAMHPKKES >CAK8531964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184859226:184862081:-1 gene:gene-LATHSAT_LOCUS1712 transcript:rna-LATHSAT_LOCUS1712 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTKSPSAKGPNLVERAKEEFEAILHSHKSSSHHRRETHGLNSDIDENTLVDDVKAPNVFERAKEEFQAIAQVFHHNNEKASTHDIRNGNQKVETNHKQEISSSSSEAKAKEGNIFLKAKAEIKSVIHHLDKSKQHHHDKETHGMNDDIDENTPINEVKAPNVFERVKEEFEAVLQAMHPKKES >CAK8531965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184859226:184861951:-1 gene:gene-LATHSAT_LOCUS1712 transcript:rna-LATHSAT_LOCUS1712-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIVCVEVMEILLIFSMHLVKEAKGPNLVERAKEEFEAILHSHKSSSHHRRETHGLNSDIDENTLVDDVKAPNVFERAKEEFQAIAQVFHHNNEKASTHDIRNGNQKVETNHKQEISSSSSEAKAKEGNIFLKAKAEIKSVIHHLDKSKQHHHDKETHGMNDDIDENTPINEVKAPNVFERVKEEFEAVLQAMHPKKES >CAK8564475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676968760:676969233:1 gene:gene-LATHSAT_LOCUS17777 transcript:rna-LATHSAT_LOCUS17777-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVFAVSLFALFVYYSSGFSYIHPPQNSFNAYFSTCLLSMLEKKYMFLICNLILAFLANTISSSSDHFDYDIQSQFSNDLVVDIEDEYSEVLVMKKEEEFYEELVSEEGSSENEGPIEEEELGDVNRDELNRKFEEFIRKMKEEIMIEPKTHPIAI >CAK8564474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676968730:676969233:1 gene:gene-LATHSAT_LOCUS17777 transcript:rna-LATHSAT_LOCUS17777 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYEFFKRSMQFVFAVSLFALFVYYSSGFSYIHPPQNSFNAYFSTCLLSMLEKKYMFLICNLILAFLANTISSSSDHFDYDIQSQFSNDLVVDIEDEYSEVLVMKKEEEFYEELVSEEGSSENEGPIEEEELGDVNRDELNRKFEEFIRKMKEEIMIEPKTHPIAI >CAK8578169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610871598:610871825:1 gene:gene-LATHSAT_LOCUS30207 transcript:rna-LATHSAT_LOCUS30207 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFGNGKRRSLLKKLRKESLRLKFLWPSSLFKWNRLTLPLSFMDDVVFKVVSAFEAVVLVLTLCFFYLCCGCSF >CAK8543156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583885304:583885969:-1 gene:gene-LATHSAT_LOCUS11935 transcript:rna-LATHSAT_LOCUS11935 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDHKENQNDRISDLPSNVIDGILANLKIRHQVRTSILSTKWRYMWTSAPRLCFDQDFYQRFLDLDDPDAVISNAVTDVLMFHNGPIHNFSLCIYDDFDFEINVDNINMWIPFMSRDIKHLELVTHCIFKDQMPDILFSCKELTYFKFSSFNLSIPPNFCSFKKLLELHLFCVEFESSALESLILGCPFLEKLVIELCDGFEYLDISSPTLKDFSASIN >CAK8544283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675985887:675992912:-1 gene:gene-LATHSAT_LOCUS12976 transcript:rna-LATHSAT_LOCUS12976 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGDRDEIKGLGLGLGLGLGSRRREMVESELEEGEAYSYQNREDYDATVDPDVALSYIDDKIQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPAWTHPRTPHKNHTQNSPRSPNNFNSEIGQGDAVQCSTGAQLSRLGPGSATSSRLAAMKGLSLDDEKCAEALNSKYDSLNTKAGSISDQKPLKVRIKVGPDDLSTGENAAIYSGLGLDVSPSPSPDDSPSESEGISRGHLDDPFESPTSIIKIMTTLPKLLSPLPDDLIELIEKEIRTRYSIPGPVHIDDKESSGMLPNESNGVKGDIKLFGGKRVKSLQGYESSMEVKGFNKKNTHNDVGGSSRKEKNADALNTEELVSKTMKHPLLSNSYPLCNDPVKDADGPCGSLKEANKGVVKEKTLSDQAQKERVDHASTEVNGFSERAKGGSGRKLVGDKVLLDDTIKAESNVSKVRTTSNTECVEPPKKADQRGSLGEQDSTALPGGKKKSKGIHDTTVIEREKENLKVAFSLNPKIKKSSDDSYTSKNEIEDVKVQKGPMKARDAYRDFFGELEEDEDKIDSLETPNEDKLKESEAVVRSVAETNLGAKERSGSKKIDKPLTAEVKPKTATNGWGTGNAPSTVVENGNVVPAMLPPVEMEDNWVQCDRCHKWRLLPAGKNPESLPEKWLCSMLNWLPDMNRCSFSEDETTKALFSLYQGHSLDAQNNLQNGSGSILVSGTGATLQHPGQRQLNNDMHAAPGGKKKAVKEISNSSNKEGVTLSSHSIKKNPQSSVKSRSLNDVNKSPVVSEADAPGEKHKNKPRMPEYNSDRGDVKKVKSRRDPDEDCLRPSKKSKTDLVQSADKEWIPEQNGTSRKVNHSSSNTLPTTSAGKDRSRQRGHSSSSDSKFGKDRPPVSAEKKKDKGRDSLDEGSLDLVNYGSNGSVKKRKLKDYQDAQTRSSGNPFPHDSRTSEQEFSDSRKEKKARNSRSEGKESSASKGSGRTDKKNGHTKNQSSRQNPGSNHSHRSIDGMDSSKRDLGSVQVSVAATSSSSKVSGSHKTKASFQEMKGSPVESVSSSPLRILSTDKFSNREVMGKDVPRDTAAVDCSKRCLDGEDDGASDRSETARKEKSFSMAHRSDFQGKGVNHISDTKPKAQTTSHYTNGGAEIMALDGTYPGAEQIKDQGEDRSGVHYANASVSHARKTGMESDLEENKQGCKSEPPEVKVKNSSSPSQLPDQSPFCETKRRDGKVKLQDKFGLKHDQNENIHASKKDSTGKNESRKKENHGKRERDSQEVRVDAPSKWEPLHAPSKNQLADCDAERSSKRSLLERPDQEVLGKGKSQVETLSHCPRPVAGSHRGNGDMELDPSKADDASKLQKKQLKKADHQNGTQQVGSKNHAVNGHRSKELDAPSPLRKDSYTHAANNAVREAKDLKHLADRLKNSGSAHESTNLYFQAALKFLHGASLLESGNNDNAKHSEMIQSKQTYSSTAKLCEFCAHEYEKSKDMASAALAYKCTEVAYMRVIYSSHTSASRDRYELQTALQMTPLGESPSSSASDVDNVNNSTTADKVALSKSINSPHVAGNHVIAARSRPNFVRILGYAQDVNFAMEASRKSRNAFAAADASMGVGKNADGISSIKKALDFSFQDVEGFLRLVRLAVEVIHR >CAK8537890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444735502:444735837:1 gene:gene-LATHSAT_LOCUS7140 transcript:rna-LATHSAT_LOCUS7140 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDFPEGCWELIFRFLGQGNDLESVSVVCKQFLSITNRIRISLTIHNPTIIFLPRLLLRFLRLKVIDLSHFTGELKGLLHQISQSGLNLDFIPTIKCFVRMGCENLVQR >CAK8540666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15469791:15470447:1 gene:gene-LATHSAT_LOCUS9650 transcript:rna-LATHSAT_LOCUS9650 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFDSSISPVDQSSSMANSLDFPKSRNRKTLNSLQIPPCERSRSRSAVVDVVIFIAVITALGFLVFPYIQFVMSESFKICCLIIDLVREEVSVAPVIYVSIGVSASCAVCATWFFVSYISRKCGNPNCKGLKNAAEFDIQLETEDCVKNSSSLGKDGGGVKKGLFKIPCDHHRELEVELKKMAPINGRAVLVLRGKCGCSVGRLEVPGPKKNRKIKK >CAK8531873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168237165:168237410:1 gene:gene-LATHSAT_LOCUS1635 transcript:rna-LATHSAT_LOCUS1635 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNNNETMKDSPRQHSTEVLHQRKKMPFCPMRMAIGGFAAISVLGYFVLYANKKPEASALDVAKVSTGMAHPENTHPRN >CAK8574668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5917838:5918296:-1 gene:gene-LATHSAT_LOCUS26993 transcript:rna-LATHSAT_LOCUS26993 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNYYKHNNGRIWILWDETRIKVTTHTISTQFIHYSVSHLNGIRKNWLAVIYTSNALEQRKGLWKDLTNIQANVTEAWCLMGDYNNVLRAQDRIGGNMVTENEYKDLIDMMDQVGLYEKDGCDDHFTWCNNQRNDMIYSRIDRVLGNINWL >CAK8532632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:335972497:335978403:1 gene:gene-LATHSAT_LOCUS2318 transcript:rna-LATHSAT_LOCUS2318 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFQNSYRDGRHSKSFKDFMHNDRGHHDADNRYLLNQTPTYYADDDRQLSNQMLSRHSSKRHLTNQRPKHYSEMRHVWHKTLEDYADKKCLPHQTPEDFADKSHSPYQMPEDYTANKGLPYKTPDRYADKRHLLHRMLPRVEKRNLPQQMREPCADKRYPPRQTSKQYADKRHLPHQTPEDYADKKYFGQQAPEHYADTRHLPHQKPKDYADSKGMRHPTPKHFADKRSLPRQTPEVYADKKYLPHQMPESSSVYCKICDVKFGRTNFGEHNNGRKHQMLLALRQLSMKQKTSNGKESRQIPNSSQVNPIVQCMEVSKSKKNGHTVENVSCEAPSIRYKEVPAEGSKRKFGDNSGAKDCGLKGENVSHEAPSLKHKKVPAESSKRTLKDNTDATDHVYKSEIEVATGGKYMKTNNGIRRPMKSSKPEVNALSNTVKSQVSELTPSSWRLASLKIAPIPVKRSSFKVQSQHVSGSLTQKSKGKEHLKFPKTTMEKNDQPHSTPVESNASASSNRTEPMRCDFAEISPSQVPAPSLVFTPSPAVGSSFEPPIQIDSQLEIEGKDQPHSISIESHAHAGSDINTLIKDSCSDSGAIVIAPPQSPIDTQLSTPVAVKQTEMSESTVRNEIQNHIVHQNDQQPSNSMEIDAASEISAETETADESSQAEAEMGIIQLPQVSVCLKCGNKGFEETLVYCNKCEYYALHRYCLDGPVIFTDEVIWFCEDCEAEVVDVDYPDSEIKVNSIEDYDQLTTDSEKDEVDSNENCDQITTGSEKDEADSQNGCSTVVDPLPIADPVWRGSLQLINRSFELMSHLSTLARPKVLEETRHLPNVFHADLLQRSAVWPGNFRKYGTNNQSIGLYFFPENERVERYFDELVYEMISNDLAIRARVEDVELLIFSSTVLPSQHRRFQSKYYLWGIFRRKQTAS >CAK8542240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492575511:492576767:-1 gene:gene-LATHSAT_LOCUS11093 transcript:rna-LATHSAT_LOCUS11093 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLFHFPIREVFWSSQDISEALAVEWAVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFVEHRVASRWDYAIRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSEYSWAAAAFVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLEKRGENWIPANNVGLPRVMRWSYRQGALKVDDLRPILDELTPADVIWRPFENHSVWRQFDELCIYRGCLRWGDTIVPYLPDRCMRQFGYRQYVSHPPLDSRMAGDIDVDWISYHQSVQNVICPTTPTTTPYETDDGYLEWYYRVSHPRLVPPSVDATTKMLVHVYEAGPSDPIVARMSSLIHRYLQQTSAEEDDPQFADLFEALHLGLSQ >CAK8562794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537808147:537811645:1 gene:gene-LATHSAT_LOCUS16260 transcript:rna-LATHSAT_LOCUS16260 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYDDDYGGELKKEVVPDLTITIEADDNKGDYIKLAPCSDEGSPLGAAEECSPPARRSIVWYWIKMVLLFLFLGFLAVAVLKWVGPYFIDKEVIPIINWETETFSPPVLTILVFASVALFPTILLPSTPSMWVAGMKYGYGFGFLLIIPAVAIGVSLPFIIGSIFHHKIEGWLEKYPKKASILKSAGGGSWFHQFRAVALIRISPFPYMIYNYCAVATNVKYGPYIIGSLVGIVPEIFVAIYTGILIRTLADATNERQSLSAQQIILNALGFCITVATTIIITVYAKRRLKELQEEDNAIIVQ >CAK8567054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482617576:482618428:1 gene:gene-LATHSAT_LOCUS20142 transcript:rna-LATHSAT_LOCUS20142 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAIFNFMQNYQNPNSQNSQIPSVPPNPAIFFPSPNNPNMYQNRNPQNSQVSPFSTQDPIVGVDQKAESFWHRIAANYNQYRGQLREKLSGQLKCRWHRINASVQKFVGCYRQAVNGKKSGTSENDIMAAAHAFYAQDQGTTFNLEYAWRLLKDEAKWGIIGESIENSSKMTQTSAGHHRRSLIHLQVMSLTHHHQWSVQWDKKQQKGRVKQRKFQMQRKMQGIKEQKQWKDLRKVRRTR >CAK8537176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:123683495:123683929:1 gene:gene-LATHSAT_LOCUS6486 transcript:rna-LATHSAT_LOCUS6486 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPISSNGSWILTNIISSRSNVNLVQQEWHDMMKKNKFSMSRVYHNLVEDNNGVEWSHLLMNNFARPCAVVACWMAYHNWSETKMRLMNLGLVQNSVCNICEKENKTQDHIMFACRILKDIWKQVLNWMNIDHQPLEWKEEVG >CAK8544185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671109696:671117242:1 gene:gene-LATHSAT_LOCUS12886 transcript:rna-LATHSAT_LOCUS12886 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPSVMPPSSHGISSVPLKGASVAKRKTPSELRGEQLKREIFVDYTDESLTSAGSSKAAEVDNRLKKPGSFRAPRYNDTRLDDVFSAKKPRYRHAYGKENVKENPSLEQTSNQTNASVFSSSAVKRLKGISRFEKSAASGEVTKDDVPQAEEANEKCSQGKFLSVADLSSDVDRSSGETAIDMGKALKGLASLEPHVDSEVATDSSQRHGDSVPTIAGNSFSTCHIPGKKAPLDLTLKTSMRIVSSASVDWNENIKGGVFKVLHSWMYPQSTLPPSIISVLNSSTAEGELEFLRKRQVAWEESFRDLYYMLRKNVCGLFYVCTSQFVVMFTGGDGSGKSKCSCNAYISQSTRGLRSLLREHDLCFSMPLCRSKVEQVTTEDLVELSEIEKHNLGQTRRSRSFSDVDNSPESLLVFNGNDNVHGLYDLLFSYRTLLTSLSGVDVPVLCSPVPFQSSALTSPDIKCMKMRRAEDIAANNVSIWKDNEFAEGSSDGLFCSIEIKDTFIPPWIICSICALMGSEGKSFEASFVTEPVSIGLNAALKSTCEKSESKSAGSESSGDCSNSFGIPEAAVTSSLCSCTLKSIKYCDGSYLASLSPV >CAK8543440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607966784:607972788:-1 gene:gene-LATHSAT_LOCUS12197 transcript:rna-LATHSAT_LOCUS12197 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADIGYSAAINLTSAVTFLFAFAMLRLQPFNDRVYFPKWYLKGIRCSPTNSRSIVKKFVNLDFGTYIRFLNWMPAALHMPEPELIDHAGLDSAVFIRIYVLGVKIFAPITVLAFMVLVPINWTGKTLEAPAAKDLTFSDIDKLSISNVPFGSKRFWAHIGMSYVFSAWTCYSLYKEYMIIASMRLRFLASERRRPDQFTVLVRNVPPDMDESVSEHIEHFFYVNHPDHYLMHQVVYNANLLASIVEKKKKLQNWYVYYNNKFERDPSKRPTTRSGMMGLLGTKVDAINHYTALIEKLSEQEAEERENVLNDPNAIIPAAFVSFRTRWGAAVCAQTQQTSDPTVWLTEWAPEPRDVYWENLAIPYFDLNLRRLLTTVSLFFLTFFFMIPIAFVQSLANIESIEKVLPFLKSVIEKPSVKSVIQGFLPGLALKLFLIMLPNLLMTMSKIEGFISLSSLERRSASKYYLFILVNVFLGSIVTGTAFQQLQSLINEPSSEFTKTVGSTIPMKATFFMTYIMIDGWAGVSGEILRLSTLITFHLKNTFLVKTEQDRENAMDPGSLAFATSEPRIQLYFMLGHVYAPVTPLLLPFIVVFFAFSYMVFRHQIINVYNQQYESGATFWPDVHRRVIIGLIISQILLMGLLSTRGANKSTLLLIAQPVLTIWFHRYCKGRFESAFVKFPLEDAMVKDTLERAVEPNLNLRLYLEDAYVHPVFKSDEYEKPDIVDDEEENPLIQTRRTARSSKPESENEAASAGSC >CAK8537723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:424174480:424175058:-1 gene:gene-LATHSAT_LOCUS6986 transcript:rna-LATHSAT_LOCUS6986 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTFKLNGNTDKHTKDILVMRFTGQIKGWWDNLLSPEDKTQIYLAFKTKSNESTCVETLLYAITKFFVGEPLKLHQRATYQLLTLYCPTMSDCRWYRDMFLSKLYLRSDGVADYLKERFISGLPRLFAEKVKTNIKQNFNEIIHYQSFTVGELSNYVIETGIQICTTYKLQNKFKNEKMSNRREMGSFCE >CAK8535010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802767947:802770121:1 gene:gene-LATHSAT_LOCUS4497 transcript:rna-LATHSAT_LOCUS4497 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNVRENFVFIAKLAEQSERYDEMVDAMKKLAKMDVELSVEERNLFSVGYKNVVGSRRASWRILSSIEQKEESKGNEWNVKRIKDYRQKVEVELSNICNDIMIILDEHLIPSTNIAESTVFYYKMKGDYHRYLAEFKSGDEKKEVADQSLKAYQSASATAENELQPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDDGVSELDSLNEDSYKDSTLIMQLLRDNLTLWTSDIPEDSEDQKMESATKSGQEEEELGR >CAK8544550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692064036:692066059:-1 gene:gene-LATHSAT_LOCUS13216 transcript:rna-LATHSAT_LOCUS13216 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDKQSRKDHKGSSKIPSDGSKRNLGVTKQASNSGLKFMINTVWINAHNLLVMEPFIRGMVRDVTERKVQELLFSREKINEAARSGVRSLELRFMNDDKLPKMTFTKTNIVPKGEALQVALYDVRSQSIVNEGPLSLIKIQICPIHGEFESGEDEDWTEAEFNKNIVHKKKNKEPLLVGDGVVTLRNGVASISEITFNETSRWARKKRFSLGAKAMENGENIKEGRSQAFRVKDIRGEAYKKHYPPYLNDEVWRLKKISEKGPYRNRLHSYGIKKVKDLLRLLIINKSSLHEIFGKIPNKCWSDIIEHARLCVVDEYMLYSYEMIGQPILLLFNVIYELVGLTFDKQKFYLPNDVTLTPNQKNLVEIVKQDAYKNIENLRAIDEAVLNSKSLEACIKSTQDMQDFVEPFISTSNVNDGMQNVEINVDPVVDIREIRQNSYDNLDGYFDEGECSTFVDLLNYVSNIEKPKAVWCKIRAVVKWGIIVRRVAAAKRNEPLSIIRFMISVLFSKVDVEFQLQ >CAK8575111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:31402622:31402786:-1 gene:gene-LATHSAT_LOCUS27400 transcript:rna-LATHSAT_LOCUS27400 gene_biotype:protein_coding transcript_biotype:protein_coding MATASIELILANILYSFDWKLPHGLVKEDIDTEMLPGITQHKKIPLYLVAESPT >CAK8566786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462764869:462766880:1 gene:gene-LATHSAT_LOCUS19893 transcript:rna-LATHSAT_LOCUS19893 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHFIFLFLFFLLSFFHGSIATIGNKGISETQEYSFKQKTNPFTPKASLIRYWNTRISNKLPDPIPNFFLSKASPLTPQHYANLINLLKQKPISANFYNSLCSTPYLFCSFDHPSEYYQSKKTTKPDANFAVYSNKKFATYGSSRLGGVDSFKNYSNGLNTNNDSFKKYSTTSTRHSGQFNSYAENGNVANTNFTNYGSGSSSGTGDFKSYDKLVNDPNLGFTTYDSSATNHKLSFSSYGNETNSGSESFNSYGKRVRSGNSDFTNYAVSSNILQSSFTGYGELGTGAANDSFTSYSFNGNNPRSTFKTYGAGSVSGSDTFVSYRNRANVGDDSFQSYGSKSKSGAASFTNYGQSFNEGNDTFTEYGKGSSGKTAFGFKIYGLGRAFKGYNKNGVSFSSYNNFSTFSGKTVNKLIEPGKFFRESMLKEGNVMVMPDISDKMPERSFLPLSISSKLPFSSLEDVKKAFHARDGSATERVIKNALGDCERAPSVGETKRCVGSAEAMIDFAVSVLGPNAVVKTTESVNGSKKSVMIGKVYGINGGKVTKSVSCHQTLYPYLLYYCHSVPKVRVYEAEILDVETKSKINYGVAICHLDTSSWGPQHGAFIALGSEPGKIEVCHWIFENDMTWTIAS >CAK8564218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658584311:658589379:1 gene:gene-LATHSAT_LOCUS17541 transcript:rna-LATHSAT_LOCUS17541 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLSLFLCFSVFCYAVIAVPETCPAANVGKCGDPDDWEGEFFPGISKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWFRFSVAFWHTFRGTGGDPFGAPTKHWPWEDGTNSVSMAKRRMRANFEFINKLGVDFWCFHDRDIAPDAPTLEETNANLDEVVALAQELQTKNKKSVLWGTAQLFMHPRYMHGGATSSELAVYAYAAAQVKKAMEVTHYLRGENYVFWGGREGYQSLLNTDMERELNHMARFFEAAVAHKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLIGEFKINIECNHATLSGHSCHHELETARVNGLLGNIDANTGDPQVGWDTDQFLVDIQEATLIMLSVIRNDGIAPGGFNFDAKLRRESTDVEDLFIAHIVGMDTMARGLRNAAKLVKDGSLAELVRKRYQSFDTEIGAKVEAGKADFDFLEKKIKEWGEPKVASAKQELAEMIFQSAM >CAK8562570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510896919:510897789:-1 gene:gene-LATHSAT_LOCUS16058 transcript:rna-LATHSAT_LOCUS16058 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAFTSVILESRAKPLITMVEEIRTYMMERWATNRTRFQNMVDVEVLSNIRRKIEKTSTYTNFWLVKMFDEHIFEVRHLENQVDKFSVNLKEHLCSCRRWELTGLPCVRALSTMKSRNHMVDDYTPEYYRKSRYMEVYKHVIYPVIGSNLWVIIEYLDVQSPKYRKMPRRPKKRRNLEQGEIDASDRKMRRTCFIVKRSRCKKPGHNKITCKVTLASQQALTQASQQALTQASHKYLLMHPNKHHNKHPNKLLKQLLKHPNS >CAK8530467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23337626:23338504:-1 gene:gene-LATHSAT_LOCUS330 transcript:rna-LATHSAT_LOCUS330 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKLLLIFTAVTFTALGFKSSLPLATTHLHLLWTFFLLCFTPPYLFFTLNAIIISILVSSKFHQSNIQPNHPMLDPTILVSNNVLLDDSPTSKDQEVEEATKQIDLENLLPEMIKSPDNIPMIDKPLVSSRFINRKPLKSTPEGGKALKVAAKQKRHETLENTWKTITEGRSIPLSRHMKKYDTWQNRYDAGPHQLEDSVSDFNLNKSVRLRKEPSLSQDELNQRAEAFIRNFNQQMRLQREESLLNHYQNMINRGAS >CAK8539412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512149379:512151616:-1 gene:gene-LATHSAT_LOCUS8511 transcript:rna-LATHSAT_LOCUS8511 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLFVTLIFILCSINQITSIFAVEENQDLMTYIVHVKKSENVASFQSEDLHTWYHSFLPETFAHKDRMVFTYSNVASGFAVKLTPEEAKDLQVKGEIVSARPERTLSLHTTHTPTFLGLKQGQGLWSDDNLGKGVIIGVIDTGIYPFHPSFNDEGMPPPPAKWKGHCEFTGGTVCNNKLIGARNLVKGAIQESPFENFFHGTHTAAEAAGRFVEDASCFGNAKGVAAGMAPNAHIAMYKVCNDKVGCPESAILAAMDVAIEDGVDVLSLSLGLGSLSFFEDPIAIGAFAAIQKGVFVSCSAANAGPGYSTLSNEAPWILTVGASTIDRKIAASAKLGNGEEFEGETLYQPKDFSPQLLPLFYAGSYGYGNSSQNQSFCLPGFLKNIDLRGKVVLCDVGGGVTSIVKGQEVLNAGGVAMILANSEAIGFSTFAIPHVLPAVEVSYVASLIIKDYINKTYNPTATVSFKGTIIGDSNAPSVVSFSSRGPSQESPGILKPDIIGPGVNILAAWALSLDNKLPPYSIVSGTSMSCPHLSGIAALIKSSHPEWSPAAIKSAIMTTANTLNLGGSPITDQRLLPADVFATGAGHVNPVKANDPGLVYDIQPEDYVPYLCGLGYSDREIEIIVQRKVKCSDVKSIAEAELNYPSFSILLGSDSQFYTRTLTNVGFANSTYRAELEVPLALGMSVNPSEITFTEINEKVSFSVDFIPEIKENRRNHTFAQGSLTWVSDKHAVRIPISVIFK >CAK8530368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16959729:16961540:-1 gene:gene-LATHSAT_LOCUS236 transcript:rna-LATHSAT_LOCUS236 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLSNRNEPNWPQHRSSGAGFMGKTPFSNSNPNPNFKPKLGNSKRTQSASDDASSINRRTNDLNHSQHVTFNVASYSKNELNELKHRLVSELEQIRNLKNRIESGEFQPQRKSTSKKVSGNKRPVQLNPTKDFKRSSSEIEGLMKGCGQILQKLMKTKQAYYFNAPVDVVGMSLHDYYDIIKRPMDLGTVKSNLLKNIYASPAEFASDVRLAFNNALAYNPKGHVVNTAAEQLLARFEKLYVPLHRRYEECLNHDHHFEEELQASSWNHVEPPERIKKKRENPNPVPPAEYHHPEPPQPLASSSKPPVEQPPLMQSPVRTHTPSPMQATPVKPLKQPKPKARDPNKRDMNLEEKQKLGIGLQSLPSDKMEQVVQIIRKRNGHLEQDGDEIELDIEAVDTETLWELDRLVTNWKKMMSKMKRQALMDNVNNNVASNIDNGEVPVSEKVDEVSPVEAKKPKKAEAGDEDVDIGDDMPMSVFPPVEIEKDKDVVVGGRASSSSSSSSSSGSDSSSSSDSDSGSSSGSDSEADNGHL >CAK8567957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559370784:559375756:1 gene:gene-LATHSAT_LOCUS20963 transcript:rna-LATHSAT_LOCUS20963 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVEVEAATAASPPPPPRRVLLISAGASHSVALLSGNVVCSWGRGEDGQLGHGDTVDRLLPTQLSALDAQQIVSVVCGADHTIAYSDSRVEVYSWGWGDFGRLGHGNSSDLFIPQPIRALQGLRIKQLVCGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTDDSLVPQKIQTFQGIPIKMVAAGAEHSVAITENGELYGWGWGRYGNLGLGDRNDRCIPEKVPSNDLQCDKMVMVACGWRHTISVSSSGELYTYGWSKYGQLGHGDCEDHLVPHKLQALSDKLISQVAGGWRHTMALTTGGILYGWGWNKFGQVGVGDNVDRCSPVQVKFPHDQKVVQMSCGWRHTIAVTEKDNVYSWGRGTNGQLGIGDTIDWNSPKIIEALSVDGSCGQHIESSNTDQLSGKTFASLAERYAVVPDEAASLQSVDRLDLSVPESDVKRIRV >CAK8567958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559370784:559375756:1 gene:gene-LATHSAT_LOCUS20963 transcript:rna-LATHSAT_LOCUS20963-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVEVEAATAASPPPPPRRVLLISAGASHSVALLSGNVVCSWGRGEDGQLGHGDTVDRLLPTQLSALDAQQIVSVVCGADHTIAYSDSRVEVYSWGWGDFGRLGHGNSSDLFIPQPIRALQGLRIKQLVCGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTDDSLVPQKIQTFQGIPIKMVAAGAEHSVAITENGELYGWGWGRYGNLGLGDRNDRCIPEKVPSNDCDKMVMVACGWRHTISVSSSGELYTYGWSKYGQLGHGDCEDHLVPHKLQALSDKLISQVAGGWRHTMALTTGGILYGWGWNKFGQVGVGDNVDRCSPVQVKFPHDQKVVQMSCGWRHTIAVTEKDNVYSWGRGTNGQLGIGDTIDWNSPKIIEALSVDGSCGQHIESSNTDQLSGKTFASLAERYAVVPDEAASLQSVDRLDLSVPESDVKRIRV >CAK8562655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:522154899:522157167:1 gene:gene-LATHSAT_LOCUS16134 transcript:rna-LATHSAT_LOCUS16134 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPLKELGSGNFAVARLAKDKNTGELVALKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVMLTPSHLAIVLEYAAGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGNPSPRLKICDFGYSKSALLHSIPKSTVGTPAYIAPEVLSRKEYDGKTADVWSCGVTLYVMLVGAYPFEEPEDPRNFRKTIGRIIGVQYSIPDYVRVSAECRNLLSRIFVADPAKRISLQEIKHNPWFLKNLPKDIIEAERKGFVETKKDQPSQSVEEIMRIIQEASVPGPGSKVGEGGQAGSGSMNIEDDEEIDVDVSGEYEYENA >CAK8538471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484146115:484147914:1 gene:gene-LATHSAT_LOCUS7663 transcript:rna-LATHSAT_LOCUS7663 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDMHIPHRAPDIPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEDSRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSASGAYSSITYDVNPSFVLMDIDALRVVVYVYELIDGEVKVDKIDFKKTSASVPAL >CAK8575290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71525296:71526384:1 gene:gene-LATHSAT_LOCUS27564 transcript:rna-LATHSAT_LOCUS27564 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDGDEPVLQIEDEIDESSVLSSKRTKTSTAWEFFEKFVDDKGLPKVKCKNCDKIYMARDGGGTSNLIKHAVKCVGRGNESSYPPLDQEKYREKISKVIVKHNYPFTFVEHEGIVDLLCFLHPNVKSITRNTTKPDVLKVFRKEKENLKSYLQSIPGIFFLTSDLWSAINTYQYMVLTTHFVNRNWELEKKVVSFTHCPPPHSGFNLAEKLINLLKEWGIEKKIFTITLDNASNNDVMVNILKKHLLSGLGLIAEGTYFHVRCGAHILNLIVHDGLKVIDGSLDKIRLCVKYVRGSEARKIKFASCLEQLSNVTSKQVRQDLPTRWNSTYLMLETAIGQREAFTLLSDIDPYFDCCLSNEE >CAK8539802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525703911:525704396:-1 gene:gene-LATHSAT_LOCUS8864 transcript:rna-LATHSAT_LOCUS8864 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYAISSFPTLSCNRFVVHNATSFTCKAQATKSVTAFPTPGRRELLFLLSASTALTAKESVSLAQDIPLFGIRKSLKKVEEKAEEIVKEGFESAEKGLETAERGLETAEQGLEATEKGIETAVSFGGLAQAGVVAGAEVFGVLVASAVVNGILGPEPQKS >CAK8538254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473718297:473719472:1 gene:gene-LATHSAT_LOCUS7474 transcript:rna-LATHSAT_LOCUS7474 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNFIYLTFSLYILLSLLPATTFSLPSIGATYSTFPTNRQEPPPRPPPPPSSPPPPSQPDRITTAMEKLKLDSLRLEEPDLTIIRSFTYTNISLFLTVPNYMVTPMANNRSVARAWIYTHVLPFYPRAKITTISVGNAFVDVYPEAVNNLLTAISNVHVSLRDLGIRKISVSTSFSFITTVSSPFPPSSATFREPTGVNLMGPLLQFLSDTNSSFLINLYPYNLYRLRSEIPLGIALFQDYPFNFRDDFTTGVRYRNLFDIMVDAVVSAMALAGYETIPVIVTETGWPSTGNEPDANPGYAEIYMRGLVKHLKSGAGTPLLRDGVKGVYIYELFDKEGPGRNWGLLYPNGSSKYNVDFSEASRSSLVNWINVAFLLIVVFVEVCCMVIVD >CAK8542227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491343502:491344629:-1 gene:gene-LATHSAT_LOCUS11082 transcript:rna-LATHSAT_LOCUS11082 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCGGRDGDGGPCGACKFLRRKCVKGCCIFAPYFESSGQGSAHFAAIHKVFGASNASKLLTRIPVHKRLDAVVTLCYEALARATDPVYGCVAHIFSLQQQVMELQAELAYVQARLSILNRVSEDPQFQQPQSSSMSPTLHSSLAHHLSSSNDEASYMSMYFDHPFQHDLFHPTPFELSNVQNPSYYSDYQELHDLPREL >CAK8537636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:412560877:412562840:-1 gene:gene-LATHSAT_LOCUS6904 transcript:rna-LATHSAT_LOCUS6904 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPIRESLSITGSRIITSVLRSNSPYPRFMNWVKTEGCHATSMPSFKEKPQEDHGYENKGVDVGNMSEIDGYLNLFGLMKQRFYNFKNQKYIKELEHFQSLAKAQYPKFMVIACADSRVCPSNVLGFQPGEVFMIRNIANLVPMMKNGPSECNAALEFAVTTLQVENILVIGHSSCAGIEALMNMQHDTEPRNFVHNWVANGKVAKLKTKAATSHLSFDQQCRFCEKESINQSLLNLLSYPWIEDRVRRELVSIHGGYYDFSNCSFEKWTLDFNQCDVREEEKSYVVKEKELWC >CAK8576635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499318173:499318643:-1 gene:gene-LATHSAT_LOCUS28809 transcript:rna-LATHSAT_LOCUS28809 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNCWHYCCQVERHHEKDTLMCKGLTFTYSQSQQVKVFLNQKQDGLNCLIADIEKLSALAQHVSCSNN >CAK8539883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530237657:530238116:-1 gene:gene-LATHSAT_LOCUS8941 transcript:rna-LATHSAT_LOCUS8941 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKQVDENLQVKPAQNVSFCQRNKSGNGSFVSKLRDHFHEFIHASADEHRRCFRNTIQKIFHASKVFGKNSESIDEGESSLQLHSSTGK >CAK8574072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:657022183:657023136:1 gene:gene-LATHSAT_LOCUS26450 transcript:rna-LATHSAT_LOCUS26450-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENASSRHRRKDKVLVIMGATGSGKSRLSVELAKLFPCFEIINSDKIQVYRGLDITTNKIPFHQRNNVPHHLLGDIDPSHGYFSPSDFRHHAGDLISDITSRRKLPIIVGGSNSFIHALLVERFNPESNVFDEDSSSSSSKTISSDLRYKCCFLWMDISFPVLSEYLLKRVDDMFDSGMVDELAEFYKPGSGSGSDNRTGLRKAIGVPEFDRFFKQYPPGGSNEDEVHNPTREGAYEEAVKAIKDNTCQLAKKQIGKILRLKRAGWDLRRLDATEAFRAVLTSDSHGGDGGGEEFSDVWKKQVLEPSVKIVKRFLME >CAK8574071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:657022045:657023136:1 gene:gene-LATHSAT_LOCUS26450 transcript:rna-LATHSAT_LOCUS26450 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTTSFLSPNPQPYYYHQPIKSHHSLYTLYTPPSTTRTRPHWPRMENASSRHRRKDKVLVIMGATGSGKSRLSVELAKLFPCFEIINSDKIQVYRGLDITTNKIPFHQRNNVPHHLLGDIDPSHGYFSPSDFRHHAGDLISDITSRRKLPIIVGGSNSFIHALLVERFNPESNVFDEDSSSSSSKTISSDLRYKCCFLWMDISFPVLSEYLLKRVDDMFDSGMVDELAEFYKPGSGSGSDNRTGLRKAIGVPEFDRFFKQYPPGGSNEDEVHNPTREGAYEEAVKAIKDNTCQLAKKQIGKILRLKRAGWDLRRLDATEAFRAVLTSDSHGGDGGGEEFSDVWKKQVLEPSVKIVKRFLME >CAK8535824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883761550:883762924:-1 gene:gene-LATHSAT_LOCUS5244 transcript:rna-LATHSAT_LOCUS5244 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNSTDFAFTSSTTVHNKNSNITRCHSTKETPFSKLSCPTFSRSLQNSPISSPPHHYFPTKLSDSESPQTTYHCASSVLRNDGQITSIGLSSSGLLYTGSDSNVVRVWKLPEFTECGQLKTKASKVVAIEVSNDTVYAAYGDGKIRVWTIIWDNSTNVLKHVRSATIPKTLGYVRSYIAGKDKTHMKHKRLITSMAVNSAEDILYTASLDKTVKVWRISDLKCIETIKAHAEPINAIIVSDDGVLYTASDDATVRVWRRNFCSHDQPHSLTVTLHAKYSPVKALTLTNDGGVLYGGCTDGYIHYWLKGWFAGQLQYSGSIQGHTHAVLCLASVGKYVVSGSADSTSRVWSREEDGQHVCLAVLVGHRGPVRCVTAFLGGCFVDDDDNEDCCIVCTGSLDGVLKLWRVTHTKNFSLFVPTLEQVFS >CAK8578597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640622239:640622562:1 gene:gene-LATHSAT_LOCUS30592 transcript:rna-LATHSAT_LOCUS30592-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVNASVTGTVYTDSKLAIYQVDKVLLPLDLVLPAKAPALAPAPGKGLPKAGKTNSSAVGDGSSAGSDDGDGKVLPAEASKAGAVVMWVNLVVFGMALVGGVVL >CAK8578595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640621633:640622562:1 gene:gene-LATHSAT_LOCUS30592 transcript:rna-LATHSAT_LOCUS30592 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSLISTSLLLLAITFYTTTTLAQLSPTQPPTTTTSSPPLPSTTISPPLPVTTATAPSPGLNTVPLVPTTPTGAPSPLIPKGPTIDIINILQKAKRFSVLIRLLKTTQLINQLNSQLVSSSSGSGGLTIFAPEDSDFSKLKAGFLNSLSDRQKVELLQFHTLASFISISNFDTLTNPVQTQAGDDARLQLNVTTYGGNQVSMATGAVNASVTGTVYTDSKLAIYQVDKVLLPLDLVLPAKAPALAPAPGKGLPKAGKTNSSAVGDGSSAGSDDGDGKVLPAEASKAGAVVMWVNLVVFGMALVGGVVL >CAK8568445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606661367:606663877:1 gene:gene-LATHSAT_LOCUS21401 transcript:rna-LATHSAT_LOCUS21401 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSVAVPLRANNSVCDNPTIATHMDVSRLKLMANAELISNAITTISADSFIGSEEDHIGNNLEGEVGVSAVAPPLHGREGDILLLNMLSQGSDELLAPEVDEDDSLSLDGDPIIYSTLSIASENSSVCGDEFFSSEDNSDFRTRSSIDSMDIDKNISSVEVVASSAVFDDSNLEADIMSEPLAVALSLGDETGVRSVPVPTAAVLHQLPLEKGVGGTVGRSVFELDCTPLWGFTSLCGKRPEMEDAVAIVPRMLKIPIQMLNGNRKYDGINKDFNQQTVHFFGVYDGHGGSQVANYCRDRMHLALAEEVELFKEGLILGGPKDDCQDLWKKAFTNCFLKVDNEVGGKVNCEPVAPETVGSTAVVAIVCSSHIIVSNCGDSRAVLCRGKEPMALSVDHKPNRDDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVTFLPRAKDDECLILASDGLWDVMTNEEACDLARRRILLWHKKNGSELSSVRGEGIDPAAQAAAEYLSNRASQKGSKDNITVIVVDLKAQRKFKSKT >CAK8543630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627702048:627707154:-1 gene:gene-LATHSAT_LOCUS12377 transcript:rna-LATHSAT_LOCUS12377 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGKSINNIASKSSNKLLNPWMLHFQKLALELKCPLCLSLFKQPVLLPCNHLLCSSCVADSSMIGSECALCNTKYAQIDLRNVPFVENMVTIYKNMNATFCANAFQQRSSDDRMVLERCQTLDNSTCSNKNTDKVLLNSCMSNEVGDANCIAEKSNSMRCSQKEIGDRVELDLNQVTESAPDSPPFCDTKGSDNCCSYQDSEQPLNVVKSEYSSLKSETKGKSDLKERMAQFRSESSASENEGLVRDLKSEKDPSVIIPSNPPNDLCPSTNMCSFCQSFNISEATGPMLHYDEGILVTGDAAMQPNVVHVHKVCIDWAPQVYFVGETVKNLKKEVARGAKLKCTQCSLKGAALGCFVKSCKRTYHVPCAINISTCRWDHVDYLLLCPSHSNVKFPSENSSHKKKSTQKHPVSSQLPFLQPNSLLGGLKNSGEKMVFCGSALSNEEKVLLINFASKVGATVTKCWTLNVTHVIAATDANGACSRTMKVLRAILNGQWILKVDWIRACMDETILVNEECYEIDLDNQGCRGGPKAGRLMALAKEPKIFCGLKFYFSGDYDLSFKKYLEDLVEVGEGAVLKSKDELEVRIDAKVLVVYNIDPPQGCKLGEEVSILWQRLSEAEDLAANTGYQVIGHTWILESIAACKLQPFVS >CAK8543629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627702048:627707154:-1 gene:gene-LATHSAT_LOCUS12377 transcript:rna-LATHSAT_LOCUS12377-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGKSINNIASKSSNKLLNPWMLHFQKLALELKCPLCLSLFKQPVLLPCNHLLCSSCVADSSMIGSECALCNTKYAQIDLRNVPFVENMVTIYKNMNATFCANAFQQRSSDDRMVLERCQTLDNSTCSNKNTDKVLLNSCMSNEVGDANCIAEKSNSMRCSQKEIGDRVELDLNQVTESAPDSPPFCDTKGSDNCCSYQDSEQPLNVVKSEYSSLKSETKGKSDLKERMAQFRSESSASENEGLVRGMKKQKNLTNGDAIIQQSTSYHSKLVDSRHVSDLKSEKDPSVIIPSNPPNDLCPSTNMCSFCQSFNISEATGPMLHYDEGILVTGDAAMQPNVVHVHKVCIDWAPQVYFVGETVKNLKKEVARGAKLKCTQCSLKGAALGCFVKSCKRTYHVPCAINISTCRWDHVDYLLLCPSHSNVKFPSENSSHKKKSTQKHPVSSQLPFLQPNSLLGGLKNSGEKMVFCGSALSNEEKVLLINFASKVGATVTKCWTLNVTHVIAATDANGACSRTMKVLRAILNGQWILKVDWIRACMDETILVNEECYEIDLDNQGCRGGPKAGRLMALAKEPKIFCGLKFYFSGDYDLSFKKYLEDLVEVGEGAVLKSKDELEVRIDAKVLVVYNIDPPQGCKLGEEVSILWQRLSEAEDLAANTGYQVIGHTWILESIAACKLQPFVS >CAK8574691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6746366:6752539:1 gene:gene-LATHSAT_LOCUS27013 transcript:rna-LATHSAT_LOCUS27013 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDIYGASTSFRARSSSSTTGWRNSGIEVFSSRRGEQEDDEEALKWAALEKLPTYNRLRKGLLATSRGVANEIDILTDLGFQERQKLLDRLINIAEEGNEKFLLKLKDRIDRVGIAVPTIEVRYERLTVEAEAYVGGRALPTLLNSVTNAVESILISLHILTNKKKHMTILKDVSGIVKPSRMTLLLGPPSSGKTTLLLALSGKLDPNLKVSGRVTYNGHGMNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDLLSELSRREKEAKIKPDPDIDVFMKAVSTGGQQESVVTDYVLKLLGLDVCADTMVGNEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVRSLKQYVHILNGTAVISLLQPAPETYELFDDIILISDGQIVYQGPREHVLDFFEFVGFKCPERKGAADFLQEVTSKKDQEQYWFHREKPYRFVTVTQFAETFQSYHVGRKIGDELAIPFDKSKNHPAALTTEKYGVNKKELLKANFSREYLLMKRNSFVYIFKICQLIFMATVTMTLFLRTEMHRESLNDGGVFAGAIFFAVVMIMFNGMAELSMTIAKLPCFYKQRDLLFYPSWAYSIPAWILKMPVTFCEVAVWVFLTYYVIGFDPNVGRLLKQYLLLLLINQVASGLFRAIAALGRNMIVANTFGSFALLTLLTLGGFIMSRKDIKSWWIWGYWISPLMYGQNAIMVNEFLGDSWNHFTPNSNKTLGIQVLESRGFFTDAYWYWIGVGALIGFMFLYNIIFTVALTHLNPFDKAQATINEESEDSATSGALQEVELPRIASSGESSSRRQKRGMVLPFEPHSITFDEVVYSVDMPQEMKIQGVIEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGHIDGSIKISGYPKRQETFARISGYCEQNDIHSPRVTVHESLIYSAWLRLPSEVDYNTRKMFIEEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEVYVGPLGRHSSQLIKYFESIEGVSKIKDGYNPATWMLEATSSAQELTLGVDFNDTYKNSELFRRNKQLIEELGKPAYGSKDLHFSTQYSQSFSIQCLACLWKQHWSYWRNPPYTSVRFFFTTFIGLMFGTIFWDLGRKYSNRQDLFNAFGSMYTAVLFLGVQNSSAVQPVVAVERSVFYRERAAGMYSALPYAFAQVIIELPYVFIQAISYGVIVYAMIGFDWSLEKFFWYIFFMYFTLCYFTFYGMMAVAITPNHHVASIVASAFYAIWNLFSGFVVPRPMIPVWWRWYYWACPVAWTIYGLIASQFGDIKIMMESENETVEEFIRGYFGIKHDMIGVCAGVVVGTGVLFACIFAVSIKLFNFQRR >CAK8542006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:452527049:452527712:1 gene:gene-LATHSAT_LOCUS10883 transcript:rna-LATHSAT_LOCUS10883 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVTEVPSLNHNSEDDGSKRKDLEEKENDKDTNLVGGGGGGGGVINNFISTLMTPLSPRIEKFTTPQDGGGNGVFEKEVDNNGGGGRKGVINNLVSNLFHRSEEKEQGVVEKEDEEIKADEKIKRLKTENEANGGGGGGGGGGGFIHDIVSHLPTSIPDDAAPTADEAAILINSLVRD >CAK8571023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:270516169:270516426:-1 gene:gene-LATHSAT_LOCUS23720 transcript:rna-LATHSAT_LOCUS23720-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEQDVSLGANKFPERQPIGIAAQSHDDEKDYKEPPPAPLFEPSKLTSWSFYRAGIAEFVATFSVSLHHHLDCHGCQQIRLQV >CAK8571022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:270515556:270516200:-1 gene:gene-LATHSAT_LOCUS23720 transcript:rna-LATHSAT_LOCUS23720 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKSDSKCKTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQVLGAICGVGVVKGFEEKVFYGKVNDGANFVAPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFVVFLAHLATIPITGIGINPAKSLGAAIIFNKDLGWDDHWIFWVGPFIGAALAALYHTVVIRAIPFKSS >CAK8572820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565515610:565515849:1 gene:gene-LATHSAT_LOCUS25342 transcript:rna-LATHSAT_LOCUS25342 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPCLEKLFWKFYKGEKESWCNVLQGKYGRKNLTGGVEEAKAQDPSLWKTLVKTWTLYGNRRMWTIGNGESVHAWNIC >CAK8564320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668188682:668191639:-1 gene:gene-LATHSAT_LOCUS17635 transcript:rna-LATHSAT_LOCUS17635-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQSLNSLFNSSSSPPPQIPIPLHNHTQIQINNEDDFLKQMLSNLPPSSPWNNPKPLWDPNSDDNLTFPYDEQTNLSSKFSNHQITDKTAAALMLLMPSAADSGLLHIPADFDSSQNDVVNASSLLQAGDGSVQALYNGFSGSLHGVANQTHHFQPPQVQSFGSGSVSATNQAPVSGAPAQPRQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAAAVAPLVADMSSEGVSDCVQANGNGGVHPRNPKTSSSNESLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRNPLINAPNNINPITASNGDGPSSPGISVNSTVKDANSASKS >CAK8564319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668188682:668191639:-1 gene:gene-LATHSAT_LOCUS17635 transcript:rna-LATHSAT_LOCUS17635 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQSLNSLFNSSSSPPPQIPIPLHNHTQIQINNEDDFLKQMLSNLPPSSPWNNPKPLWDPNSDDNLTFPYDEQTNLSSKFSNHQITDKTAAALMLLMPSAADSGLLHIPADFDSSQNDVVNASSAGDGSVQALYNGFSGSLHGVANQTHHFQPPQVQSFGSGSVSATNQAPVSGAPAQPRQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAAAVAPLVADMSSEGVSDCVQANGNGGVHPRNPKTSSSNESLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRNPLINAPNNINPITASNGDGPSSPGISVNSTVKDANSASKS >CAK8576193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:421790852:421793039:1 gene:gene-LATHSAT_LOCUS28399 transcript:rna-LATHSAT_LOCUS28399 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVQRPISLHRFSQNVKDGKSQLQRRSFTISSSLPETAVSVAVAATFVGAAATLLVQRSKTSESTQLKIELKECEDCGGSGVCSACKGEGFVLRKRSDASAQRARDLSKNMATRFTDGLPKKWSYCTKCSSSRSCLTCGGSGKLN >CAK8538906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499316258:499321956:-1 gene:gene-LATHSAT_LOCUS8054 transcript:rna-LATHSAT_LOCUS8054 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLSSSTSLMKLATDFDFLVKPVFLHGLSSVLHILLLVGILVSWVWKRVAAGVGNVGNETKEKLPNSTLFKVTKFCSFGFSSFSFVLFLVNYFYWYTSGWSDEKLVTLIDLALKTVAWCVVCVCFHKGFLFFFSSSQRKRRFPGFFRAWCVFYLFVSCYCFVVDIVVLYENRVELTVPCIVSDVVSVCVGLFFYYVGFCVKNESEEEGDRTLQEPLLNGDTHIGNGNGNGDVLELKETKGGDTLTPYSNAGILSLLTFTWVGPLIAFGNKKILDLEDIPQLDSRDSVVGAFPTFCEKLEADCGEVNRVTSLKLVKSILISGWKEILFTAFLALLNTLASYVGPYLIDSLVQYLDGKRLYENQGYVLVSAFFLAKVVECFTQRHWFFRLQQLGLRVRGLLVTMIYKKTLTLSCQSRQCHTSGEIINFMTVDAERVGIFSWYMHDIWLVALQVALALLILYKNLGLASVAAFVTTVIVMLANVPLGSLQEKFQKKLMESKDTRMKTTSEILRNMRILKLQGWEMKFLSKITELRDAEQGWLRKFLYTSAITTFVFWGAPTLVSVVTFGTCMLIGVPLESGKILSALATIRILQEPIYNLPDLISMIAQTKVSVDRIASFLRLEDLQSDVVERLPRGSSDMAIEVDDGNFSWDVSLPNPSLQNLNLKVFHGMKVAVCGTVGSGKSTLLSCLLGEVPKISGTVKVCGTKAYVAQSPWIQSGKIEDNILFGEQMVRERYEKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGVLSSKTVVYVTHQVEFLPTADLILVMKDGKITQSGKYADLLNIGTDFMELVGAHREALSTLESLGEGKTSNEISKLEPKVNISGTQEVVNKNEQNGKTDDDTGEPKGQLVQDEERVQGKVGLSVYWKYITTAYGGALAPFIILAQIFFQTLQIGSNYWMAWATPISADVEPPVKGTTLIEVYVGLAIGSALCVLVRALLLVTFGYKTATILFNKMHLAIFRAPMSFFDSTPSGRILNRASTDQSAVDIDIPYQVGSFAFSLIQLLGIIIVMSQVAWQVFIVFIPVIAISIYYQRFYLPSARELSRLCGVCKAPIIQHFAETISGTSTIRSFDQQSRFHAANMKLTDGYSRPKFNIAAAMEWLCIRLDMLSSITFVFSLMFLISIPPGIINPGLAGLAVTYGLNLNQIQAWVIWNICNMENKIISVERILQYTSIQSEPPLVLEEENRPDPSWPAYGEVDIRNLQVRYAPHLPLVLRGLTCTFRGGLKTGIVGRTGSGKSTLIQTLFRLIEPTAGEVIIDSINISAIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYNDDQIWEALDKCQLGDEVRKKEGKLDSSVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRKHFTDSTVITIAHRITSVLDSDMVLLLNQGLIEEYDSPTTLLEDKSSSFAKLVAEYTMRSNSNFE >CAK8534478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731287983:731292123:1 gene:gene-LATHSAT_LOCUS4021 transcript:rna-LATHSAT_LOCUS4021 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTQPPPLLPSLHHPIPKSNLTRRSLLLTSTATFSFPTLSSSASPTQPPNPTITDRVFIEFSLCPNYYLPNRTLGDDISTLCSDSTSLGRVIIGLYGNLVPRTVSNFKSLCISASNSNPNSSSYKNTVIHKVFPGQYFLAGHQGRPERGDVRPPNHLPRNIETVDPKAFALTHSRAGIVSLPLSENDDDDEIKFDPEYRNVEFLITTGPGPCPQLDSKNIVFGAVLEGLDVITAIASTPTYQPGERIRQFNDLAEFFGDERAQNARAIWNRPLTTIYISDCGALEVAKPSLTPSLP >CAK8565008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24792567:24796379:1 gene:gene-LATHSAT_LOCUS18250 transcript:rna-LATHSAT_LOCUS18250 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGTAFLSATLQTLVEKLASKEFLDYITNTKLDLSLLKQIRLTLLTLQPLLDAAEEKQIHTPSVKDWLDGFKDAVYDAEDLLNHISYDSLRCKMESTQAASKTKQVWNILSSSFRNIYGDINSQMKDMCETLKLFAENKDILRLQTKSVRVSHRAPSTPMVNESVMVGRKDDQEKLINMLLSETNTCMDVLAIVGMGGVGKTTLAQLAYNDENLQKHFDLTAWACVSEDFDVVRVTKNLLESVTKTPWETNNLDLLRVELKKNLNGRRFFIVLDDLWNDDHCDWEELVSPLIYGKTGSKVIITTRNKKVADAARTFPIFELDPLSEEDSWFLLSKIAFGSGDFSETQQQNVEAIGRKIARKCGGLPIAAKTLGGLLRWKIDTKEWIDVLNDDIWNLENDTVLPALRLSYQYLSSQLKRCFSYCSIFPKDYPLDRKQLVLLWMAEGFLDHSQDKKTMEEVGDECFAELLSRSLIQQLHDDSRGQIFVLHDLVNDLATAVCGKSCYRLEFGAKSYENVRHLSYNQEKYDIFKKFQTFYKFTRLRSFLAIHFAWTECKLSRNAVNYLLPTFGRLRVLSLSNYGNITSLPVTIGNLVQLRYLNLSYMNIASLPDTICNLYYLQTLILSRCFKLTELPEHVGKLINLRHLYIDMTSIIEMPKQIAELKNLQTLNVFVVGKKNIGLSVRELGKFPKLRGKLVIKNLQNVIDVMEASDTNLKSKEHVEKLTLQWGKETDDTLNERNVLDMLQPSAKLKKLSIDLYGGTSFPSWFGDPSFSNIVSLRISNCVNCMTLPPLGELPSLKDLKICNMPILETIGQQFYGMAAGGSNSSFQPFSSLENLVIEDMSNWKEWHPFPDSMFPFPRLKTLRLYRCPKLKGHLPSHLPSLEVIEIHGCDHILATPPTQHWLSSIKDIYIKGDLDSESNTKKTQCSLLESDSPCLLQHIVIRNCHMLKSVPKMIINSTCLRSLTLHGISSLTAFPSNGLPTSLQSLYITKCENLIFLSPEKWSSYTSLVSLYLSRSCNITSFPLNCFPMLQYLFIRECRSLESIFISETSSCSSSTLKTLVIEDCEALRSLPQPMDTLTSLEFLSLSNLPNLNLSLCEGAFLPPNLQSIHIDSVRIKKPVTEWGLQGLTVCSSMSIGGDDNVNMLLKEPLLPISLVSLRIKSLSEMKSLEGNGLGHLSSLECLHILDCPGLVSLPEKAFPSSLKTLYFGNCPRLESLPEDSLPTSLEDLTIIRCPLLEERYKRKEHWSKIAHVPVIKINNQLTI >CAK8560962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64782801:64784163:-1 gene:gene-LATHSAT_LOCUS14597 transcript:rna-LATHSAT_LOCUS14597 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSESVSSVGKNMSVATAPRKPRILLATSGSVAAVKFANLCHCFCEWAEVRAVATNRSLHFIDRTAIPKNVILYTDNDEWSSWKKLGDGVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPFFVAPAMNTFMWNNPFTEKHLISIDELGISLIRPVTKRLACGDYGNGAMAEPSIIYSTIRLFYESKAQQGMTSN >CAK8560963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64783074:64784163:-1 gene:gene-LATHSAT_LOCUS14597 transcript:rna-LATHSAT_LOCUS14597-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSESVSSVGKNMSVATAPRKPRILLATSGSVAAVKFANLCHCFCEWAEVRAVATNRSLHFIDRTAIPKNVILYTDNDEWSSWKKLGDGVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPFFVAPAMNTFMWNNPFTEKHLISIDELGISLIRPVTKRLACGDYGNGAMAEPSIIYSTIRLFYESKAQQGNVRV >CAK8567930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557090350:557091081:-1 gene:gene-LATHSAT_LOCUS20938 transcript:rna-LATHSAT_LOCUS20938 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVKLPPGFVFSPTDEELILHFLYSKASHLIPSHSNFIPHLDLSLLLPWELNGKAMCGGNDDVQYYFFTKLNKENRSTQNGFWKEIGVTEPIFSGTDQKVGMKKYLVFNETSWVMQEYHIYSSVFDKLGENWSEWVLCKVYEVEKNMYAEQGYSDDDRESSGTELSWQDQVFLSMDLDMDMEGITMNQY >CAK8561744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:372124783:372129137:-1 gene:gene-LATHSAT_LOCUS15305 transcript:rna-LATHSAT_LOCUS15305 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRPSTQALSQEPPSPSSPKRTNSEPQPEPINSEPQPEPINSEPQPEPINSEPASESRKRAMPEPCTPEPNPKPHHEPKRHGFSSGSTPPPPPPPPPLIPVEFTDAFVEDLFKYLPMPVEELNRLSPSRSTLPPASPPTVFGEDLFKFLPSTSYDEPVPLNTIFPLTEENAPDLGFLEKDWTPPVPTNIDERISALADPIDPAEVIDEQYPNRWDHEVNLRRRKISAAMSPSMSYVPVDHAGNTDIPVPTPLSVGAGIENLRNTCFMAAILQCLTHTGQMLTGLRYCFHASPCHLRGFCVICAFRNHNDQALHPSRNTIRPTLFLLNVNHFSDNFVAHSQEDAHEFMICALNKLKSAFPEQGRHNLIEQIFGGKTVSQLRCRSCGFSSDTIEPILDLGLAVDNEITVQRALDSYIMVENMDGMFKCSSCDQEVYMEKQLLIDKAPEIAVLHLKRFKKDRSTYEKIENHVYFTPKLDLEPYTSAKGREDPILMYDLYAVVVHSGSTANSGHYFSYVRSDEDTWHLMDDAQVFGVSEEHVLAQQAYMLFYAKQGTAWFSSILETEERAVRSVSTDNTQGFGSGENGFSDYKEETDNDSPHANDDDLMWANDSDSTYGIDTDSPDGKEKTASDMEEEEECDSAGVNDKDSKDADDKDSKDADDNDSKVTDDNDSKVTDEKSGSGVDGEECDLADANDDNDSKDADDNDSKVTNEKSGSHMDVEECDLAEANDKDSKDANDNDLKDANEKSVTGMDGADGNDSKDANDNDSKVTNEKSASPMDVEECDLADANDKDSKDANVKSVSGMDVEECDLADANDNDLKDANEKSVTGMDGADGNDSNDANDKDSKDANEKSVSRMDVEECDLADANDKDSKDANVKSVSGMGVEECDLADANDKDSKDANMKSVSGMDVEECNLADANDNDSKDANEKSLTGMDEADGNDYKYANDNDSKVTNEKSASPMDVEECDLADANDKDSKDANVKSVSGMGVEECDLADANDNDSKDANEKSVTSMDGADGNDSKDANDNDSKDANDNDSKDANEKSVSGMDGEECDLADANDNDSKVANDDNGSKVANDDNDSKVANDDNDSKVANERLGSDMDEDDV >CAK8577898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596340995:596343450:-1 gene:gene-LATHSAT_LOCUS29962 transcript:rna-LATHSAT_LOCUS29962 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQYILGGFLAFSLAFVFVLYSFVEKKKVTERGSSSIDVKSNGCAKTSSENGIWSHEVEGETDIIIVGAGVAGAALAYTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLLELGLEDCVDEIDAQRVFGYALYKDGKNTKLSYPLEKFNSDVSGRSFQNGRFIQRMREKASSLANVKLEQGTVTSLLEENGTIKGVNYKNKSGQEFTTKAPLTIVCDGCFSNLRRTLCNPKVEVPSHFVGLILENCNLPYENHGHVILGDPSPILFYPISSTEIRCLVDVPGQKLPSVGNGEMVHYLKTVVAPQVPPELYTSFIAAVDKGNIRSMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLHNLHDASALCNYLESFYTLRKPVASTINTLAGALYKVFCASPDPASIEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVLHFFAVAIYGVGRLLIPFPSPKRMWIGARLISGASGIIFPIIKAEGVRQMFFPASVPAYYRTPPVH >CAK8542065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:466801235:466816453:1 gene:gene-LATHSAT_LOCUS10937 transcript:rna-LATHSAT_LOCUS10937 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQSTVLIVPNHHDESTATAFSSSASAAALNDDNDITSVPHRRDSEFAATSSSSTAAYVNAATTMAYLPQTNFFNELRHDAFELELPKGPSDSGLVSKWRPKDKMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALDLIGKSLTSQYERWQPKARYKCQLDPTLEEVKKLCTTCRRYAKSERVLFHYNGHGVPKPTPNGEIWVFNKSYTQYIPLPIRDLDSWLKTPSIYVFDCSAAGKIVNSFIELHEWSASNSSGSPRDCIMLAACEAHETLPQSVEFPADVFTACLTTPIKMALRWFCTRSLLRDSFDYSLIDKIPGRPNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCTPVSHPTLPPTHQHHMWDAWDMAAELCLSQLPLLVEDPNAEFQPSTFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKVLALDKSCQTDLVKDGGHIYFMKFLDSSEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLSHVCLKHLESSSPNDSQTEPLFLQWLCLCLGKLWEEFTEGKLIGLQGNATSIFSPLLSEPQPEVRASAVFALGTLVDVGFDSCRSGGGDEECDDDDKFRAEVSIVRSLLSVASDGSPLVRAEVAVALARFAFGHNKHLKSIAAACWKPQTNSLINSLPSLANIKDTGGGYSKQSQHMAHGSIVSPQIGPLRVGSENSKVIRDGRVSSSSPLASSGIMHGSPLSDNSSHHSDSGILNDGFSNGVVNNFGPKPLDNALYSQCVMAMCTLAKDPSPRVGNLGRRVLSIIGIEQVVAKPAKPSGVRTSEATISPSLARSSSWFDMNGGHLPLTFRTPPVSPPRTSYIAGMRRVCSLEFRPHLMTSPDTGLANPLLGSGGASGTFDRSFLPQSNIYNWSCGHFSKPLLTAADDSEEVLAKREEREKFALEHIVKCQHSAVSRLTNPIAKWDIKGTQTALLQPFSPIVVAADENERIRIWNHEEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNVRVWKDYSLRGKQKLVTAFSSIHSHKPGVRSLNAVVDWQQQSGYLYASGEMSSIMLWDLDKEQLVNTIPSSSECSVSALAASQVHGGQFAAGFIDGSVRLYDVRTPEMIVCGLRPHTQRVEKVMGIGFQPGLDPGKLVSASQAGDIQFLDIRNHSSAYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGDQLGTIRYYPTLMAQKIGSVSCLNFHPYQLLLAAGAADACVCIYADENTQAR >CAK8575265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66456926:66463711:1 gene:gene-LATHSAT_LOCUS27543 transcript:rna-LATHSAT_LOCUS27543 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLPSPCLSSSLHTNNSTFSNRLFSSPISSSIRCFRLLIPHSSLKQTKKQPTKISNSNPSRLKRLFNSKGEDDKRDAEKNRRRESESGKRGKDDDDDDGSTALKGTILAGVLLVGFVGGFASVGYFYREPINSFLNQFSGFIEGYGSAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSVTGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESELSALGGNNQLLTLGLGLFVTALAATYVTKLAKDAVKDIDD >CAK8542149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478767705:478768261:-1 gene:gene-LATHSAT_LOCUS11015 transcript:rna-LATHSAT_LOCUS11015 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTNAIKFNSIALIICIALCAPFLSMAVLTCCDLKPAFAACDSYARHGGQCVSQDCCFEAMNLLNNLIDTHQDYIAACHCIQDAAVKIPNINYTAFSMIPTGCGIQLPFNFRVDMNCDSLH >CAK8542150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478767705:478768258:-1 gene:gene-LATHSAT_LOCUS11015 transcript:rna-LATHSAT_LOCUS11015-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNAIKFNSIALIICIALCAPFLSMAVLTCCDLKPAFAACDSYARHGGQCVSQDCCFEAMNLLNNLIDTHQDYIAACHCIQDAAVKIPNINYTAFSMIPTGCGIQLPFNFRVDMNCDSLH >CAK8565177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:57955761:57958616:-1 gene:gene-LATHSAT_LOCUS18412 transcript:rna-LATHSAT_LOCUS18412 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFKPFSHKEQSGIEGRSIDVGNLKINVHKVIAEGGFSCVYLARDSVHMSKQYALKHIICNDEESLGLVKKEISVMKLLIGHPNVVKLHAHAIYDMGRTKEAFIVMEFCEKSLVTVLESRGAGYFDERQILLIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGPDGLWKLCDFGSISTNHKRFEKPEEMGIEEDNIRKYTTPAYRAPEMWDLFLREVINEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPDLPKFSASLTDLIRDMLQARPDDRPDITQVWFRVNEKLPVELQKSLPDRPPESPSSNNHEGASMSTNKSSPMPRRNPPSPPSVGEPKTTPQPSLPSRGGESGGQLGAFWSTLHAKDSLVPEEKGKAIYDEDRSSHHVSLKHDRIRPDNDQLPKNVGTNKVANTQTQTAKSSVHGKLHKPEVASSKDFEINFFPDKDHASQRHMSNLEKNNNFQDQSFNTFVAEFDTTKLNSGHGNKSTREEVLESEVEKLREQLKEANLEKSEITAKYEKLTAICRSQRQELQDLKQALAARTPSPSKESFRTSPGVASSASLQDRSEWKTPSSELKSWLAFPEKAEPPMKSLSADNASKSVRSRDGQQKKLVTQPASDFDSWGFGTDTFSAARPGSGSPQMPRPGEGSKAHVFSEAKGFESKSNSQPAGWAGF >CAK8569725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9260281:9263120:1 gene:gene-LATHSAT_LOCUS22543 transcript:rna-LATHSAT_LOCUS22543 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFLLYFILFFTLCLKAKALIKLPPNVTIPAVIAFGDSIVDSGNNNNLRTLVKCNFPPYGKDFQGGLSTGRFCNGKIPSDILAEELGIKGYVPAYLDPNLKSTDLLTGVGFASGASGYDPLTPQIASVISLSAQLDMFKEYIGKLKNMVGEERTNFILTNSLFVLVGGSDDIANTYFIAHARTQYDIPAYTDLMSSSATNFVKEIYKLGARRIAVLGAPPIGCVPSQRTLAGGIVRECAENYNDAAKLFNIKLSNKLDSLGHNSPNSKIVYVDAYTPLLDIILNYQKYGFKVVDRGCCGTGKLEVAVLCNRFDTTCSDASEYVFWDSYHPTEAAYRKLVDAINQKYLTRLF >CAK8530378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17648664:17651609:1 gene:gene-LATHSAT_LOCUS246 transcript:rna-LATHSAT_LOCUS246 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTISSTIIPPTSWFTPKRLLAIFCVINLLTYLDRGAIASNGVNGSKGTCTEGAVTCTSGSGIQGDFNLNNFEDGVLSSAFLVGLMVASPIFASLTKSVNPFRLIGVGLSVWTLATLFCGFSFDFWSILVCRMFVGVGEASFVSLAAPFIDDNAPASQKAVWLAVFYMCIPSGSAIGYIYGGLVGSHFGWRYAFWIESILMLPFAISGFLMKPLELKGLVPADLIKAEVPEDKSNSTSKAKMFDEFSRFWIDMKALLLNKVYVINTLGCIGYVFVLGAVAYWDPRAGYNIYHMTDADLVFGGIAMVCGIVGTLAGGFALDFVNNSNTLSNAFKLLSVTTLSGAAFSFGAFLCENVNAFLALLSIGELLVFATQGPMNYVCLRCVEPSLRPLSMAMLTVAIHLFGDVPSSPLVGLLLDSINNWRTTMLILTTVLFPTAGIWFIGVFVHSVDRFEDDSGNQVCTIPLLQEKTEETSTSNSQSQEC >CAK8539956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532355529:532357169:-1 gene:gene-LATHSAT_LOCUS9003 transcript:rna-LATHSAT_LOCUS9003 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATASNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRNLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8574597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3327387:3328688:1 gene:gene-LATHSAT_LOCUS26927 transcript:rna-LATHSAT_LOCUS26927 gene_biotype:protein_coding transcript_biotype:protein_coding MEELWENFHLHMELDCGDDYFIDNVINIDDGDDFLREIMLETPQSDENDSGNCGFEVVNNMIKSDCSNSVVSEQQQEPGQSKHVGGRCCLPKTFILSFDNSTVIPATTSQPCVNSEAKRDSKNKRSRSHESNEKMKRNEEKVVKKGRNSFQCADHIIAERKRRQELTERFIALSATIPGLSKTDKASILRAAIDYVKQLQERVHELEKQDKNVGVTSMMVLNKPNLRGIINNNEGDKNSGETSSDDDDDCNKNILPEIEARVMGKEVLIEIHCEKQIGIELKVLKHIENLELFVTGSSVLPFGKSNISVTIIAQMGEGYKVRVDDLVKSLRRVILKYEIGCESDLF >CAK8533183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588767002:588767466:-1 gene:gene-LATHSAT_LOCUS2830 transcript:rna-LATHSAT_LOCUS2830 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSNKLLGPGSVSKTGTTRTDFEFSTGTLTELPNDVVFFGKVITRKTEPQTVRENAVAPGIRSSSGKESRYRRSGSERKRYTGLFGMVKFPLQMELSDIKMRQERREPVPLPKFTTKEDGGGESCWEMVRPLRRRGTIMSYLKASFGCIHIV >CAK8533017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566309074:566310699:-1 gene:gene-LATHSAT_LOCUS2669 transcript:rna-LATHSAT_LOCUS2669 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIRLDPSNIVLIRVEQGQKCLGKITLNNVMYTMPVAFRIQPLIKTRYTIKPQSGIISPLASLVIEITYHPPQQQGSNNLPHSFPFSDDSFLLHSVLAPGAAIKEPSSMFDSVPSDWFTTKRKQVFIDSAIKVMFVGSQILTQLVEDGNSMDDIREVLEKSDPLWESVNSRDSKGQSLLHLAISKTRPDLVQLILEFKPDIEATNIVGSTPLEAASSSGESLIVELLLAHKANTEGSESSIFRPIHHASREGHMEILRLLLLKGAKVDSLTKDGNTSLHLAVEEKRRDCARLLLANGARTDVRNTREGDTPLHIAAANGDENMVKLLLHKGATKYVRNKLGKTAFDVAAENGHSRLFDALRLGDSLCAAARKGEVRTIQKVLESGGVINGRDQNGWTSLHRASFKGRIDAVRFLVEKGIDLDAKDEDGYTALHCAAESGHADVTEFLVKKGADVEARTNKGVSALQIVESLNYVGITRILVNGGASREGLGERLPSTPSKIPFGSKVEGGSAMTMRKKMSSRTRALRSSFDRSMPLAVL >CAK8565963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:360061564:360062962:1 gene:gene-LATHSAT_LOCUS19136 transcript:rna-LATHSAT_LOCUS19136 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVHLVVHLVEEVKLGGPVHYRRMYPVERYLGKLKSHVCNKARPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLPPIEKLQAHRQILTNCPIVDDYLKQFRSITQNQMKRSQRSAAEIDKKVQREFSHWFRNCICNNLDNIHGLDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGSTSINFTKLLHFGEHEDNEPYIQASEAQMVFYMDDENKQGWSIPVHLKPRDLYDMGGNDEIMSLIEPYPSQNLEQIFPNDDIGTSSANDNNN >CAK8569350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695959184:695961478:-1 gene:gene-LATHSAT_LOCUS22211 transcript:rna-LATHSAT_LOCUS22211 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTTGTGGGDGDNDEQQRIKTITTMLTNHQNPQHLQPFIPHLTLPIIISILSWKPLHSHPQTLVSFFKWFQTNAPSTLSVSPKPLLTLLPPLLSRRKFSDAKSLLLDFISSDHPRNSLHSRLLRSDHSIPKPVIDTSIAAYVLSQQPQLAFEIFNKMRRLRFRPNLLTCNTPLNALVRSNSSHSILLSRQVFQDSIKLGVQPNTNTFNILIHGYCSNNNFNEAFRLINQMGEFCCCPDNVTYNTVLNALCKRSQLSKVRDLLQQMKSSGLVPNRNTYKILVHGYCKVKWLKEAAEVIELMTGNDMLPDVWTYNTMVRGLCDEGKIDEAIRLRDEMENLRLVPDIVMYNTLIDGCFEHWGSVEAHKLLEEMKSRGVKENAVTHNIMVKWLCKEGKIDEASNVMAKMVESGFSPDYFTYNTMINSYCKDGKMGEAFKMMDEMGRKGLKTDTFTLNTILHILCSEKKLEDAYKLTVNARKRGYILDEVTYGTLIMGYFKDEQADKALKLWDEMKEKGIVPTVVTYNTIIRGLCLFGKTDKVVDRLNELLEKGLVPDEATCNIIIHGYCWEGAVEKSFQFHNKMVEHSFKPDIFTCNILLRGLCRGGKLEKGLTLFNTWISKGKPIDIVTYNIVISSFCQEGRLEDAFDLMVEMERKNMEPDRYTYNAITSALTNAGRNEEAAKFISKFAEKGKDVKAQDTPPEVGTSDMMYSEQICSLCTQGKYKETMKLFQQAEQKGVCLNKYTYIKLMDGLLKRRKSISKASR >CAK8565650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:299555824:299559435:1 gene:gene-LATHSAT_LOCUS18842 transcript:rna-LATHSAT_LOCUS18842 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPMSHFLLLSLLVVLFFFCFLEKGVSIEEASWKERHHLVQINSLLPSSSCSSSTKGPKRKASLDVLHKHGPCSQLNNIGKANSIPTHSEILNHDKERISYIHSKLLSSSSNNNNNNKVEIELDSSSSANLPAKSGSLIGSGNYYVVLGLGTPKKDLSLIFDTGSDLTWTQCEPCARSCYKQIDEIFDPSKSSSYYNITCTSPDCTQLSSATGNDPGCSSSTKACIYGIQYGDQSFSVGYFSRERLSVTSTDAIDGFLFGCGQNNQGLFGGSAGLLGLGRHPISFVQQTSQKYYKAFSYCLPSTSSAVGHLTFGATRNKYVKYTPFTTSSSSSFYGLDISGISVGGTKLPISASLFTSGGAIIDSGTVITRLPPTVYASLRDNFRQGMAKYPNASALSILDTCYDLSGTKIVSIPKVSFFLGGGNTVEIPAPGILYAASLKQVCLAFAANGDDSDVTIFGNVQQRTLEVVYDVGGGKIGFGYNGCK >CAK8568803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642339899:642340255:-1 gene:gene-LATHSAT_LOCUS21727 transcript:rna-LATHSAT_LOCUS21727 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKMKWFGSRNEARLGRSYTTKPQTHHSSNDRSKKTVWQKIWRKVKRDKKKVFNYASSSGSASRVDIDGVCYDEETYSMNFDQGTGWMEADNLPRSFSARYADPSWILPPKLLLGR >CAK8538378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480073375:480074712:-1 gene:gene-LATHSAT_LOCUS7589 transcript:rna-LATHSAT_LOCUS7589 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSSMSSNLIIGFFLLVLVLMGSANASLSKDYYYSSCPKLFETVKCAVESAISKETRMGASLLRLFFHDCFVNGCDGSILLDDTSSITGEKNANPNKNSARGFEVIDQIKLAVEKVCPGIVSCADILAVTARDSVEILGGPSWDVKLGRRDARTASQSAANNGIPAPTSSLNQLISRYNALGLSAKDLVALSGGHTIGQARCTTFRGHIYNDTNIDTSFARTRQSGCPKTSGSGDNNLAPLDLATPTSFDNHYFKNLVDIKGLLHSDQELFNGGSTDSIVREYSMNPNSFFDDFVDAMIKMGDISPLTGSNGEIRKNCRSVN >CAK8565683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307194449:307195093:-1 gene:gene-LATHSAT_LOCUS18873 transcript:rna-LATHSAT_LOCUS18873 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTTFLSIAPKISIFANISRVSIYGSYGATLQQIFCFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYALMTIDAFAIVLALRQTRVKYIADLGALAKTNPILAITFSITMFSYVGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGFWTVGRLPQVSQFGGPKAVLRAPDT >CAK8532350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249008705:249011116:-1 gene:gene-LATHSAT_LOCUS2067 transcript:rna-LATHSAT_LOCUS2067 gene_biotype:protein_coding transcript_biotype:protein_coding MFYEVLSNIMSLIIDLTILYMVLFFLSLNIVLCIEIEINDFYPQERHVLLLIRDSLNSSVDLHGNWTGPPCINNISRWFGITCSNWHVVDITIHGVNLSGYLPSTFLQNITFLRRIDFRNNALFGLLPNLTGLVFLEEVKLSFNHFSGSIPLEYVELLGLEVLELQENYLDGEIPPFDQPSLRSFNVSYNHLVRKIPETSLLQRLPKSAFGDNSELCGKPLDKPCPPFAIPPSPSMEKNTKKLQVWIIALVAFGAALFLFLMIIAILFCIRKARGNETRRNNPTRHVFGAWASNVGNSEDSGRLGQLEFFDKKLQVFDMDDLLRASAEVAARGEFGVTYKATLETGNVVAVKRLSYMNELSKKEFIQQMQLLGQIKHENLVEIISFYHSEDQKLIIYELVSDCTLFELLHEGRGIGRIPLDWSTRLSIIKDIAKGLDFLHQFLSSHKVPHANLKSSNVLIHQTNQGYHSKLTDYGFLSLLSSSNKNAEKLSIRKSPEFVKGKKLSHKTDVYCFGIIMLEIITGKIPGGGEVEETTSNDLSDWVRTVVNNDWSTDILDLEILAEREGHDAMLNLTEIALECTDLIPEKRPKMSVVLKRIEEMEEMIKEND >CAK8539666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520685044:520690361:-1 gene:gene-LATHSAT_LOCUS8744 transcript:rna-LATHSAT_LOCUS8744 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVTTQLISIFSLIFLHLTSFSPYLALSINPNNLLLFPSITDRNLSRPAMILPLHLTSPDSSISPVNPRRQLQRSESQHHPNARMRLYDDLLINGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCSTCEHCGRHQDANFQPDLSTTYDPIKCTPDCNCDGDKNQCMYERQYAEMSSSSGLLGEDVVSFGNLSELPPQRAVFGCENDETGDLYSQRADGIMGLGRGDLSIMDQLVDKKVISNSFSLCYGGMDVGGGAMILGGISPPSDMVFTHSDSGRSPYYNINLKEIHVAGKQLRLNPKVFDGKHGTVLDSGTTYAYLPDVAFLAFKRAIMRERNSLKQISGPDPNYKDICFSGAGINVSQLSKSFPVVDMVFENGHKLSLSPENYLFRHSKVRGAYCLGVFSNGRDPTTLLGGIFVRNTLVMYDRENSKVGFWKTNCSELWERLHISDAPSPAPSNSEITNITKAFEPSVAPSPSPSPSLSPSPSQNNIDQGELQIAQITISILFNISSADMKPHITELAGLIAHELDVNTSQVNLMDLSSLGNGSLSRWAITPRPYADFFSNTTAMSMVSRLSEHRMQLPDTFGSYKLLDWNAEPSSKRTWWQKYYWVVALMGLVTLLLGVSALGIFLIWKNRQQAEHSYKPVDVAVSEQELQPL >CAK8536803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22361542:22364580:-1 gene:gene-LATHSAT_LOCUS6135 transcript:rna-LATHSAT_LOCUS6135 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAQSCTAIVTNPISVSNHSLITSKKSISSRCVGPTFCSNLPQYLEFVQPKPKLKPFPSPPPQRPQRSPSPVRELPARVYVRHSVYNGKGVLTVAPRPPKFTSLNSGAFTISRQGCVLLKFVPSALPFKYDWGKKQLFSLSVGEIGILINLGAKETGEFYHDPFLGTSDEGKVGKYLRVVPLHDGSGHMFNLSVINKPANINENIFIPVTKAQFAVFNSLLSFITPCLLGWNVFASSIKPKKRRL >CAK8536929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40137111:40137956:-1 gene:gene-LATHSAT_LOCUS6253 transcript:rna-LATHSAT_LOCUS6253 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRTTDENKRRKMEDSVKPMVTCHWVDSNYRTKSSALSQPKNNDNVVEDNQVSKTRALSQPEVVEDKKMIKNQVFKTSALSQTKNNDNVVEDKKVIKNQVSNRVMPNNIDVENKKIIKNQVSKTEIGFNGGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMIDKSESKSKGIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDAWKLEDRGRSKTHNSTRYL >CAK8530438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21575025:21575429:1 gene:gene-LATHSAT_LOCUS303 transcript:rna-LATHSAT_LOCUS303 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKCELCKSPAKLFCESDQASLCWECDTRVHTANFIVTKHQRFLLCHVCQSLTAWHGTGPKFVPTMSLCNYCVSVTNNNNDHRHRDNDDDDNDDDDDDEDTDEDDDEENQVVPWKSTPPPPVSSCSSNSATTY >CAK8568146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576888342:576889376:1 gene:gene-LATHSAT_LOCUS21137 transcript:rna-LATHSAT_LOCUS21137 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKKRLKTLSNILKDKASVIYASLSIKRHVSSVQINILRATSHSLSSPPSESQIAAVLSIGNTSYTLPRACINSLMDRLHRTKNATVAMKCLFTLHNVILKGPFTLKDQFSCYPSYGGRNFLNLSTFRDDSDFESVQLSSWVRWYSAVLEQFLTISRILGYYMNSNSIKNDSVTMMKLSNADLLYKLEGLVVFVEKISDVPESLDLQRNELVYEIVRMVGEDYRNVQGEILLRLEELGKRIDRFEDVSELNELVSYLKRLEESREKLVLLFVNRRKNNGFWEMVREIKMRGLEKKGEIEGKWLTVVVGRNTVAADELIRCTNPFLEPGQYFPVPQMSFATVR >CAK8568157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577834587:577835690:-1 gene:gene-LATHSAT_LOCUS21147 transcript:rna-LATHSAT_LOCUS21147 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSFRGGSFYGDADPYRSRDGLTTRTAAAPSDEIQLRIDPVMEFDDEITGLRGQVRRLRNVAEEIGTEVKTNRDFLEQMQMTMAKAQAGVKNNLRRLNKSIVRNGSNHIVHVIAFALICFLIVYLWSKMSRK >CAK8538204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471199345:471200989:-1 gene:gene-LATHSAT_LOCUS7431 transcript:rna-LATHSAT_LOCUS7431 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIVYGGDVPNKEAHFNGHKSKFCSPNSLDATLMKGKIVLCEGSQGTDEALRVGAIGVLTQGQGFRDVADYFPLAGSYLQPNGASSIDKYIHSARTPMATIFKSHEIENALAPVVAPFSSRGPNLVTPDILKPDLIAPGVDIIASWSPISIEGRKLGFNIVSGTSMPCPHVSGAAGYIKSFCRSWSPAAIRSALMTTAKLMSSINNHDAEFAYGVGQIDPVKALNPGLIYDAEKMDYIRFLCTLGYNKSVLTKITGNSDSCYNVSYTSARDLNYPSFALKALNLNHIRGTFKRTVTNIGSPSSTYRAFLTFPDGLNISVKPDVLSFSSLGERQTYILTIDGSIKEPIRSASLIWDDGEYQVRSPIVVYDERAEKDRKAPDFNVWVVVIFAVVFVIILILILILVKCKLFTLNI >CAK8572159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515772783:515773877:-1 gene:gene-LATHSAT_LOCUS24752 transcript:rna-LATHSAT_LOCUS24752 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCVYLSINSQDVVLKYALKMGTRLSSAFWPSYGVTALFGYGFGFLLIIPAVAIGVSLPFIIGSIFHHKIEG >CAK8576472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481379792:481380115:1 gene:gene-LATHSAT_LOCUS28655 transcript:rna-LATHSAT_LOCUS28655 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKKVLIVGMLLAIGNAMFANGITVCNMTRDERKTCEPYVSNDKNYTHVNYKVPSHACCSATANADLQCFCGYKDSGLLSLYGINPKQALELPVKCKIVDSFHCM >CAK8540722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17161818:17163809:-1 gene:gene-LATHSAT_LOCUS9698 transcript:rna-LATHSAT_LOCUS9698 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPYLFPQTQSTVVPNPSNFFSQNLLSTPLPTSSFFQNFALKNGDQPEYIHPYLIQTSNSSLSVSYPLLLFSTALLYQVFSPDLTISSTQKPQTNTPQNNHVISSYSDLGVTLDIPTSNLKFFLVRGSPFVTAQVTKPTPLSIKTIHAIVSFSSFDDKKTKYTLSLNNGQKWIIYTSSPINFNHDVSEVTSDPFTGVIRIVVVSDSNGEKILDEFSSSYPVSGYAIADVKNKFGLVYKWETQNSGDLLMLAHPLHVKLLSKNSNDNNVTILNDFKYRSIDGELVGVVGNSWLLKTDSFNVTWHSSKGVAKESYEEVVSALEKDVNELNAASINTTSSYFYGKIVARAARFALIAEEVSYDKVIPIVKEFLKSTIEPWLDGKFKGNGFLYEKTWGGLVTQQGVNDAGADFGFGVYNDHHYHLGYFLYGIGVLAKIDQEWGQKYKPIVYSLLKDFMNLGQGDNKNYPTLRSFDLYKLHSWASGLTEFGDGRNQESTSEAVNAYYSATLVGLAYGDEDLVAIGSTLLALEINAAQTWWHVKAENNVYNVYGTDFVKQNSVVGVLWANKRDSGLWWASSECRECRLSIQVLPLSPITENLFNDGVYAKELVEWTLPSLSNEGWKGFTYALQGVYDKENALNNIRTLKGFDDGNSLSNILWWIHSR >CAK8536662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6268388:6269457:-1 gene:gene-LATHSAT_LOCUS6002 transcript:rna-LATHSAT_LOCUS6002 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFVLNTQHSFSLFLLLVSMALFSYSIQSMRFDLPYIKGNNVRCFSEEIKKNAMVVGNYSIVNPNGALPLPSNHTITVQVSTHGGMAKYHLAERVQAGKFSFMAYQSGDYLICFVDTTKDPQVTLSIDFEFRVGVEAVDRFNIAKKSHVDRMAQELQIMYEMALSIKEEMTYLLERNTEMLDLNYITDNRMFWLIFVSFTVCFTVAGLQLWHLKTFFHKNKLI >CAK8562818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:541503117:541504478:-1 gene:gene-LATHSAT_LOCUS16283 transcript:rna-LATHSAT_LOCUS16283 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDCIISHIFSKLSLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCNPELPKTPLFPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVFKGVNRIELLLAYPRPLPDFEKAMKAMPFPYNESDFEKAMIPYNESDFEIEPYNFFLSDSHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLLPVEQNMLQDMCLKCIHLHNLTLNECTFRSDLKITSTTLLHLNINCGDIIGEKINIDIIASNLSSVQYSSDCLAEFLLHTLNIKSHKLSNFSYTCAQISNLVHFSGLKNVTTIVLDGLMEGDVINFGLREGDVITHLFSKCLQLQHVTISQCWLTCECKIISAKLRHLSILHCFNTNVLDIASNGSLFEYRGHPETKSILSIHALNLSSFEFRGRSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8569389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698290667:698292610:-1 gene:gene-LATHSAT_LOCUS22245 transcript:rna-LATHSAT_LOCUS22245 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAPMEVEKTGSKSVQELSLSSENLPNSYIHDQGGVGFRHALHPSESNIHIPVVDILNLTSPSSSQQELQKLHYALSSWGFFQVINHGMTSLFLDKVRQVSKQFFELPKEEKLKCVREPNGLEGYGNDVIFSEKQRLDWTDRVYLKVHPEDQRNFNVWPQKPNDFRSIVLQYSENLRQLNEVILKAMAKSLNLEEDCFLKERGDRDSMFIRLNYYPPCSIPDHVLGLKPHADGSSITFVLQDKEVEGLQVLKDNQWFKVPIIPDALVINVGDQIEIMSNGIFQSPVHRVVINAERERLTVAMFCIPDSEKEIKPVEKLVNESRPILYRAVKDYVGIYFQYYQQGKRPIEASKI >CAK8560113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8059910:8060401:1 gene:gene-LATHSAT_LOCUS13824 transcript:rna-LATHSAT_LOCUS13824 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKGDLRIYVISCFFFACTIGGGIFLCMYIFLPDSEVVSWYLYVGMTLVAIPWLSWFIIFIYRCIRPINVQEHRNNNNPGKSAAIWTPKSPQTTSPTGAKTAGGDSLMDGDGEGRVQFGAVVEMRNGSGGGEENHNNENVVGDHHESKKEQEIPTLVTVEH >CAK8567732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539659802:539661347:-1 gene:gene-LATHSAT_LOCUS20755 transcript:rna-LATHSAT_LOCUS20755 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAENEESGTQISETTKSSSLFRYNSPLAQIILIGLVCFCCPGMFNALSGMGGGGQVNATASNNALTALYTTFAIFGILGGGIYNILGPHLTLFAGCSTYVLYAGSFLYYNHKQHQAFAIVAGAVLGIGAGLLWAAQGAIMTSYPPVNRKGTYISIFWSIFNMGGVIGGLIPFILNYNSGDKAATVNDGTYIGFMAFMSLGTVLSLTILPASKVVRDDGTKCTNMLYSNVATECVEILKLFYNWKMLLMIPAAWSSNFFYTYQFNHVNKTEFNLRTRGLNNVFYWGAQMMGSIGIGYTMDFSFKSRKKRGIVGICVVAVLGSAIWGGAVANQIKHRHGEILDFKESGSGFAGPFVLYFSFGLLDAMFQSMVYWSIGALANDSEILSRYTGFYKGIQSAGAAVAWQIDNHNVSPMSQLIVNWVLTSLSYPLLLVLMVLAVKEDNKEEEETGEKISPPGHNGSVSVH >CAK8572160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515812128:515815567:1 gene:gene-LATHSAT_LOCUS24753 transcript:rna-LATHSAT_LOCUS24753 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTVAASWSLKLTPPSLSSSPSLSTFKFKCCATQPQPQPQCSSLHNDDLQALLQILPSDLHHNLLNQPNRTHLLEVILDLGRFPEARYLGKLGNHYLRNSEVTVKELEYAHGAVGEFGDDNRAGIEGTLHRISAIRSKNGGIVGLTCRIGRAVIGHIDMVYDLLQYGKSILFVGRPGVGKTTVMREIARVMSDEFHKRVVIVDTSNEIGGDGNIPHAAIGGARRMQVPMPSMQHSVMIEAVENHMPEVIIVDEIGTEAEAHACRSIAERGIMLIGTAHGQQIENVMKNPTLSDLIGGIESVTLGDAEARARKCQKTILERKGPPTFDFLIEMRDRHYWLTHQTDKSVDMLLRGKSPQVEVRKRDEKCKVVIEKSKAYDKC >CAK8568610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624257727:624258878:-1 gene:gene-LATHSAT_LOCUS21552 transcript:rna-LATHSAT_LOCUS21552 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKQGRGRPKSTVPPSPETLTNSNTPKAVSGVTTTMSEAGKSTEKINEKVPTETLIEQTQVKPEERKLWVDVISDNRDPTKGLSMEYVAPKVINGVIEIDIEQEDIETELRFWDNALILYVVGDDLSLNTVKNFMQRLWNFIKMPDLCYHDDGYFLLRFNSHEDKEAVMMKCPYTIRNMPMILKEWQSGFNLKQNLLITLPIWIKLPQLPLHLWGAKSLIKIGSAIGKPLVTDECTVNKLRVSYARILIEVDITQPLIDEITIRNVAGDIIMQPVQYEWRPTFCETCQKLGHNCEDRGKVKKWIPKPIQKEKLTIITLTQQPIWEKPTDEEGVSWTRVKKSVRDKGKNIMSDTASIIHCVNGFESLEVLNDHQVVTNLEPC >CAK8536207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917433160:917433710:1 gene:gene-LATHSAT_LOCUS5598 transcript:rna-LATHSAT_LOCUS5598 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQFVVDLNSKMNNPYAANPGEATVMGFEVLKTSDSGYNNVYTLTDDEARDPPEAPPQLQQTFIGYPANVGSSSSLPLPQSAILNHLYIENREPQRSVVALGFTHRFRNKYVTAVLYKPVQRRGTTSI >CAK8544765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704955063:704956746:1 gene:gene-LATHSAT_LOCUS13420 transcript:rna-LATHSAT_LOCUS13420 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSSTKMTTTTQLGTQGFKVSKLGFGCMGLTGAYNDLLSEQDAISVIHYAFTQGITFFDTADLYGVDSSNEILLGKALKQLPRENIQLATKFGIFKGDAPGFTDVSVKGSPEYVRSCCEASLKRLGVEYIDLYYQHRVDTSMPIEDTVGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPVTAVQIEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFGGKGVLENVPAVSNLATYPRFQAENLEKNKNIYDRIESIGKKHGCTPAQLALAWVLHQGQDVVPIPGTTKIKNLDQNLGALTVKLSEEELREISAAVPVDDVAGSRYYNGSDKLSWKFANTPPKV >CAK8570715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:94640683:94647489:1 gene:gene-LATHSAT_LOCUS23448 transcript:rna-LATHSAT_LOCUS23448 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDQSKAGGATGGGSSTPAKRGRPFGSGNNSAAAAAAAAESAAPSTLLGPSLHVHSAFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRKDATPLAKIPGLLDALLQVIDDWRDIALPKDLVRISRVRSLGANSVATGFGNGYQALGSTGALQRPSLGSGSASTESTQHNGTTKPRFSELRFDEEGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPDNEVIMAQNRHCMETAFQCIEDHAIEDEELVTNALETIVNLAPLMDLRIFSSSKPSFIKITEKRAVQAIMGILNSPVKAWHCAAAELLGRLIINPDNEPFLLPFFPQIHKRLVDLISLPAIDAQAAAIGALYNLAEVNMDCRLKIASERWAIDRLLKVIKTPHPVPEVCRKAAMILESLVSEPQNRVLLLAYENAFSEILFTDSKYSDTFARILYELTSRPSNKVATARGIWGM >CAK8564855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13582145:13583269:1 gene:gene-LATHSAT_LOCUS18113 transcript:rna-LATHSAT_LOCUS18113 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIQKTNPNISNSLPTLPIDLISEILSKLSVKHLLQFRCVCKSWNSLISDHRFARKHLNGSTTRISLQCVSYHTPSSRFFLKSYPIQSLFPDITTHFTPHEFSYNFIFRNYIHYIVGSCDGIVCLANYYKPSVVLCNPSIRKFKELSPFDNPPVNSQLNMTCGFGYDHVSRSYKVVVVYYFYNKGTSENTNKVKVHTLGTNSWKSIQMFPSDTVFTEKSGKYLSGTINWVAFVKGRRSDPPFIVSFDLDKESYQKVFLPDPGEIDVFNLTLCVLKDCLCILSDHDVWVMKEYGIKESWIKLFDFSCLRDPTKTSILTNVLYICEVDHMLLEFSYHEKKKLILYDSKNGTFNNAAIFQHTLEVCAESLVSPCF >CAK8564856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13582628:13583269:1 gene:gene-LATHSAT_LOCUS18113 transcript:rna-LATHSAT_LOCUS18113-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGFGYDHVSRSYKVVVVYYFYNKGTSENTNKVKVHTLGTNSWKSIQMFPSDTVFTEKSGKYLSGTINWVAFVKGRRSDPPFIVSFDLDKESYQKVFLPDPGEIDVFNLTLCVLKDCLCILSDHDVWVMKEYGIKESWIKLFDFSCLRDPTKTSILTNVLYICEVDHMLLEFSYHEKKKLILYDSKNGTFNNAAIFQHTLEVCAESLVSPCF >CAK8540557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10797391:10801993:1 gene:gene-LATHSAT_LOCUS9553 transcript:rna-LATHSAT_LOCUS9553 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQHRLLILYATQTGNALDAAERLAREAERRSCPNKLLSLHDYDPSLLPQEEAVVFVVSTTGQGDAPDSMKVFWRFLLQRNLSNHWLKGVHYTVFGLGDSGYQKYNFVAKKLDKRLMDLGGTTIVERGLGDDQHPSGYEGTLDPWMSSLWRILNMIKPELLPNGPDVVIQDTMLIDQPKVQISYHKIENIKSHFSTASDLMSPDILRSARSMHPGNSSSDRSGHPDCFLKMVKNLPLTRPNFGKDVRHFEFEFVSDAVQYDTGDVLEILPGQDSAAVDAFIQRCNLDPDSLITVSPREMDDCNGHGSRMPVKLRTFVELTMDVASASPRRYFFEVMSFFATAEHEKERLKDFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLIQLVPLLKTRAFSISSSQSAHPYQVHLTVNVVSWTTPYKRKKKGLCSSWLATLDPSNAVSIPAWFQKGSLPTPSPSLPLILVGPGTGCAPFRGFIEERALQSKNISTAPIMFFFGCWNEDGDFLYKDFWLNHSQNNGVLSEAKGGGFYVAFSRDQPEKVYVQHRMKEHSERVWNLLAEGAAVYIAGSSTNMPTDVTSAFEEIVSKENDVSKEDAVRLIRALERSGKYHIEAWS >CAK8574427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681187122:681187884:-1 gene:gene-LATHSAT_LOCUS26775 transcript:rna-LATHSAT_LOCUS26775 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFTLESFTVETTDGVKLRTRLFKPGPNINIKNENFAIVLVHPYSVLGGSQGLLKGIASGLAQNGYTAVTFDMRGVGKSTGRPSLTGFAEVKDVVSVSNWVSHSLSIPKILLLGSSAGAPIAGSAVDKIDQVIGYVSIGYPFGITASILFGRHHKGILESEKPKLFIMGTQDGFTSVKQLKNKVRSAAGRVETHLIDGVGHFQMEGPDYDAYMVDLILNFIESLSL >CAK8539881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530132969:530135898:-1 gene:gene-LATHSAT_LOCUS8939 transcript:rna-LATHSAT_LOCUS8939 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPVVHAKKERIARSEDPPHTDDSVDPLDIYDFVRDIRDPEHPYSLEQLNVLSEESISVDDKLGRILITFKPTVPHCSMVTVIGLCLRVKLKHYFPPHFKVDIKVSEGSHTNEESVNKQLNDKERIAAALENPNLRQLVDECLYSNEL >CAK8578921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662151455:662152270:1 gene:gene-LATHSAT_LOCUS30899 transcript:rna-LATHSAT_LOCUS30899 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFEKNIKVHNETISKGKKITQFIYLKPSLISLLQIHTKGKDLVRPTVTRFATSYLTLGCLMENKGGLIRMFTSYEWTITKFAKTSEGKQIEEVVMDKEFWKNIVICCNGAYPLIKVLRLVDSDEIPAMRLIYEEMDKAKEKIQANFNGVQRSYKPLWDIIDERWDRQLHRPLHAVGYYLNPKLHYTSNFKADYEVK >CAK8576831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517356937:517358078:-1 gene:gene-LATHSAT_LOCUS28994 transcript:rna-LATHSAT_LOCUS28994 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRMITTTSSLVTLLLLATICDAQLSSTFYDTTCPDALTTIRTAIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDTTTIQSEKTALPNLNSVRGFQVIDNAKSQLEKLCPGVVSCADILAVAARDASFVVGGPSWTLKLGRRDSTTASKTLANTDLPLFSDDLQTLISKFSIKGLTAKDMVALSGAHTIGQAQCFTFRDRIYNNASDIDAGFASTRQRGCPSSSSTSNNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFSGGSTDSIVSQYSQNPTSFKSDFAAAMIKMGDILPLTGSARIIRSVCNAAN >CAK8543284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595843187:595843687:1 gene:gene-LATHSAT_LOCUS12051 transcript:rna-LATHSAT_LOCUS12051 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKTVLSLTATLDLEVEKMDVKTTFLHGDLEEEIYMKQFNGFQVKGKEDHVCRWRKSLYGLKQDLRQWYKKFKSVMCDQGYRKTTSDHCVFVRTFPNYDFVILLLYVDDMIIVGKSIYNINMLNKKLGESFAMKDMGAAKQIIGIRIMCDRKEKKLWMSQENYI >CAK8568634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625651583:625652724:1 gene:gene-LATHSAT_LOCUS21574 transcript:rna-LATHSAT_LOCUS21574 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWKILKRQIEQTLPEWRDKFLSYKNLKKQLKIMCPKDARTPPKLDACQVHHFLCLLEVEIDKFNTFFVNMEEEYIIKWKLLQDRVDRAMDYSDAELMSLGREIVDFHGEMILLENYSALNYTGLVKIIKKHDKRTGALLRLPFIQEVLNQPFFETDVLNSLVKECEMILNILFANNDEPTFPCSSTSEETEEGEDEDGCGSNVTEDENKEKLMQAPNELAEIENMENLFIKLTLSALRTLEEIRGRSSMLMYAEFLQKQEIETKRSGKVCGNKRKR >CAK8532892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:545024414:545026525:1 gene:gene-LATHSAT_LOCUS2554 transcript:rna-LATHSAT_LOCUS2554 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGKKVAIGIDLGTTYSCVAVWRNDQIEIIVNDQGNRTTPSYVAFTDSERMIGDPAFNMVTCNPTNIVFDAKRLIGRKFSDPIVQSDMRLWPFKVIGDLNDKPMIVVNYNDEEKHFAAEEISSMLLAKMREIAEAYLRSIVKDVVITVPAYFNNSQRQSTRDAGVIAGLNVMRIINEPTAAAIAYGLHMKPLNHGRRNVFIFDLGGGTLDVSILTFEKGDIQVKAIAGDTHLGGQDFDNTLVNHFVKEFLRKHKIDISGDRRSVRKLKKACEKAKRILSSTPETTLEIDSLSQGIDFYSTISRSKFDELNKNHFKKCMEIVEKCLIDSGIDKSSIHDVVLVGGSTRIIKVQELLGDFFEGKQLCKSIHPDEAVALGAAVHASILSGEFSEKTEDLLLREVIPLSLGLQTHGGIMEIIIPRNTIIPTNMQHVFTTHLHNQGKILIHVYEGERLRAEENNLLGKFVLEIPPAPVGVPQIKITFQIDDDGILHVSSTEKSLGVNERVKIISDKGRLSKEEIETMIKDAEKYKDEDKRYRKKVEARRALEKYAIKMRNAIEDEEISMKLCSEDKKMINDAINLVLTWLDVNEIAEQDHFDFYRSILSSAFDPIIVKMIKNEGNGVKPGTVVGYPLDNKKNSWILSLAKYGIEIVWSTATGDITGLVSTIIVDLLNN >CAK8564838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11851795:11853485:1 gene:gene-LATHSAT_LOCUS18097 transcript:rna-LATHSAT_LOCUS18097 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDAENCCEEKKVWRVFSPSCIMMYETQPFFLNDTVSNAHVPQQAKEKDGTKSWIIIVIVVIGTVVAALLASSTYYLCCLKHRKNRRNYRQNLSPIFSQDQSDIEETGNTDLSMMPLSTILKSTNNFSDEYKLGKGGFGTVYKGVLADGREIAVKRLSKTSVQGVEELKNEIILIAKLQHRNLVRLLACCIEQNEKLLIYEYLPNSSLDFHLFDMVKKGAQLDWKQRLNIINGIAKGLLYLHEDSRLRDIHRDLKASNILLDHEMNPKILDFGLARTFGGDQDEARVQILDERPNIKPHFSPLVSDTFFSLPFRIICLEENK >CAK8544932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713014353:713017704:1 gene:gene-LATHSAT_LOCUS13572 transcript:rna-LATHSAT_LOCUS13572-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQASKYRKGACENCGAMTHAAKACMERPRKVGAKHTNKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQHLEKLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRNSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKETIIEKYGNAADDDKLPRELLLGQSERQVEYDRAGRIIKGQEAAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGTAGIEAAEAANDLMRANIARKEAAAEDPTPPEEKRPATWGSDVPEDLVLDEKLLADALKKEDQRKREEKDERKRKYNVKWNLEVTQEDMEAYRMKKKHHDDPMNLFMN >CAK8544931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713013215:713017704:1 gene:gene-LATHSAT_LOCUS13572 transcript:rna-LATHSAT_LOCUS13572 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLSSWELNLIERPNIDLKKSIHREVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQASKYRKGACENCGAMTHAAKACMERPRKVGAKHTNKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQHLEKLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRNSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKETIIEKYGNAADDDKLPRELLLGQSERQVEYDRAGRIIKGQEAAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGTAGIEAAEAANDLMRANIARKEAAAEDPTPPEEKRPATWGSDVPEDLVLDEKLLADALKKEDQRKREEKDERKRKYNVKWNLEVTQEDMEAYRMKKKHHDDPMNLFMN >CAK8574169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667246511:667247274:1 gene:gene-LATHSAT_LOCUS26540 transcript:rna-LATHSAT_LOCUS26540 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKAFWNSPVGPKTSHFWGPVANWGFVAAGLADINKPPEMISGNMTGAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQHTRWAKAEGYLSGKKEKETSSE >CAK8543261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593273392:593276482:-1 gene:gene-LATHSAT_LOCUS12030 transcript:rna-LATHSAT_LOCUS12030 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPAVKGEDTTNKKTKKPKKENPFAIDYGFNSNKTSSSKLTVLKDPTGREIETRYELGRELGRGEFGVTYLCTDKETREELACKSISKKKLRTAIDIEDVRREVEIMKHMPPHNNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQEVLDHPWLINAKKAPNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEEAAGLKEGFKLMDTSNTGKINIDELRIGLHKLGHQIPDADVQILMEAGDVDRDGYLDYGEYVAISVHLRKMGNDEHLHKAFEFFDQNQTGYIEIEELRNALSDEIEETNSEEVISAIMHDVDTDKDGKISYEEFANMMKAGTDWRKASRQYSRERFNSLSLKLMKDGSLQLNNEKQ >CAK8535501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854628285:854629397:1 gene:gene-LATHSAT_LOCUS4956 transcript:rna-LATHSAT_LOCUS4956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGNIIGQGSSATVYLAVSSRSSDVSAVKSAETSVSNSKHLLREQRILSSLSSPYIVSYKGCNFTKENYKNWFNLFMEYMPFGNLSEETRRNGGRINEPTMAHYTRQIVEGLEYLHSKGVVHCDIKGSNILVGEKGVKIGDFGCAKMVDEIAPIAGTPMYMSPEAARGEEQDFPCDVWSLGCTVIEMATGFSPWSNVEDPVNVLFRVAYCEEVPMIPCFLSEQAKDFLKKCFVRDSKERWSCSQLLKHPFLEKIQEFDSCSPTSILEPGFWNCEEDSESFVFGDLGKTSFENCPVDRIKKLALCSRDPCWKLCDENWIIARGNDAETLISDVNDRISSDYFCEDYTNYKISDVSFVVNSFDSTFDFL >CAK8535500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854628279:854629397:1 gene:gene-LATHSAT_LOCUS4956 transcript:rna-LATHSAT_LOCUS4956 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWTRGNIIGQGSSATVYLAVSSRSSDVSAVKSAETSVSNSKHLLREQRILSSLSSPYIVSYKGCNFTKENYKNWFNLFMEYMPFGNLSEETRRNGGRINEPTMAHYTRQIVEGLEYLHSKGVVHCDIKGSNILVGEKGVKIGDFGCAKMVDEIAPIAGTPMYMSPEAARGEEQDFPCDVWSLGCTVIEMATGFSPWSNVEDPVNVLFRVAYCEEVPMIPCFLSEQAKDFLKKCFVRDSKERWSCSQLLKHPFLEKIQEFDSCSPTSILEPGFWNCEEDSESFVFGDLGKTSFENCPVDRIKKLALCSRDPCWKLCDENWIIARGNDAETLISDVNDRISSDYFCEDYTNYKISDVSFVVNSFDSTFDFL >CAK8575591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:189073244:189075727:1 gene:gene-LATHSAT_LOCUS27842 transcript:rna-LATHSAT_LOCUS27842 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVSQMTYKNVVRFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQDIDLYITFAQVQETQTSQVINPSIIPHEDVEEGDGEEENEAQVDDLYTTLFEEGNEVNIDDQCVPVENVFIPPAHMTTLPLSVEGTSFDWPQNPRFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVLGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDETWLYGKYKGTLLIAVAQDGNSNIFPVAFALVEGETAEGWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYVLNQPTFHYYRSEIGMANQPTFHYYRSEIGMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFCVSCSHVIAACSNVRHDAYALLSDFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCFMCRQTGHNRTRCPNVKTSSC >CAK8541192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:86193417:86193669:-1 gene:gene-LATHSAT_LOCUS10128 transcript:rna-LATHSAT_LOCUS10128 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALICAQNWLRPSFYEFKDLEFNEEYEISEDVLQGFTETSAGSGVLSSSPTQSQPSGCV >CAK8575022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22461108:22461518:1 gene:gene-LATHSAT_LOCUS27315 transcript:rna-LATHSAT_LOCUS27315 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8530661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38941746:38942078:-1 gene:gene-LATHSAT_LOCUS509 transcript:rna-LATHSAT_LOCUS509 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNQNTQFQTLNQPISTQSFTPIPPYDQTGYRPDIASSSQPPQNNYEGMGNPFDLGDFTDMDPSSWAEVMQLLEDDTVDPTPQQRPRRNVRDRGCGTGGRLNRPSRRN >CAK8539681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521533113:521533415:1 gene:gene-LATHSAT_LOCUS8758 transcript:rna-LATHSAT_LOCUS8758 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQANKVNTVNVQSSIEKKVETVDHQSSAGHGQEERKVQVIHEPHSKTSGGVLTSAADAVASTLQSAKDAISKK >CAK8543265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593817450:593819774:1 gene:gene-LATHSAT_LOCUS12034 transcript:rna-LATHSAT_LOCUS12034 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFLVDDLQDGPLNGLELTNGTCKTTTVVGDKTYVTVGADDGTLSIDVQIFDPSLGEWVYPTVLGTKPLSCKGHSTVVLENRILVLKKGSKPDDQIWFLEVDTEYVRQQRKKLGTEVVAWSKGVIGNAEKPIVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRDMEKNGVHYHFTDKSVMEKEIKNGNFLEFASVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVKASSLEAIFIFICPPSMEELEKRLRDRGTETEEQILKRLRNASAEIEQGKSSNIFDFILYNDNLEESYEGLKKLLGLNGFVTASSKSAPPKEINLPMDPSVSKLDDKIIINCISSGPDKESKNLIMLDVSSLKGGAPGRTRGLDFHVIGSMDQHS >CAK8538323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477366964:477367428:1 gene:gene-LATHSAT_LOCUS7535 transcript:rna-LATHSAT_LOCUS7535 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRITEPQPPIMYQQWIKEFIDFAFKGAKENDVVLCPCKHFGFKKSKSVSEMFDRLIWSPFPKGYTTWIHHGESFDLPNTIFPSTTPNMVEDNIIVKYHIQNMINDAFGVDRNHANEIPSASNLEIAQEDYVMSNATQEINEANEYYELARE >CAK8535863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886818628:886819352:1 gene:gene-LATHSAT_LOCUS5283 transcript:rna-LATHSAT_LOCUS5283 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNSRRQVAAMKQSLFDQGLLDEQFIQLEELQDDANPNFVEEIVTLYYRDSSRLISNLEQTLERNPLDFNKLDTIMHQFKGSSSSIGAKKVKAESTLIREYCRTGNAEGCRKSYQQMKKEYVALRKKLENYFQLARQAGPLERACRPK >CAK8544175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670469787:670472476:1 gene:gene-LATHSAT_LOCUS12876 transcript:rna-LATHSAT_LOCUS12876 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGSRKTSKDQFIFSSINLLPASWSWSHTVQQHPPPVCKIDNKDSQPVIMFKEQHSKPTNPKPMMMLPNIKRVPAKSAGLKAESVLLTNSGCFSEYYELGDELGKGQFGVTSLCYEKTTRKKYACKCIPKVKLVKEDDLEDVRREIQIMHHLVGSSNVVSIKGAYEDSGFVYIVMELCEGGELFDRIVQRDHYTERKAAKLARTIVSVVESCHSLGVMHRDLKPENFLFVDGCEDSTLKAIDFGMSVFFKPGEKFSDVVGSAYYIAPEILRQCYGPEADVWSAGVIIYLLLCGTAPFYGELEQEIFHEVLHGELDFSSDPWPSISESAKDLVKKMLVRDPSKRITAHEVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEISGLKELFKMIDTDNTGQITFEKLKAGLKMFGANLNEFEIFDLLNAADVDNSGTIDYGEFIAATLHLNKVGREDNLVTAFSYFDKDGSGYITQDELQKVCKEFGMEDVHLEEMIQEADQNNDGQIDYNEFVAMMLRGNADLGNSGSKCRSTSFNIGLRKIEAQSVC >CAK8535468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850734280:850734936:-1 gene:gene-LATHSAT_LOCUS4929 transcript:rna-LATHSAT_LOCUS4929 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVMQQSLISTSVLLLAITFYTTTTLAQLSPIQPPTTTASSPPLPTTASPPLPATTATAPTPGLNTVPLVPTTPTGAPSPLIPKGPTIDIISILQKAKRFSVLIRLLKTTQLINQLNSQLVSSSGSGGLTIFAPEDSDFSKLKAGFLNSLSDRQKVELLQFHTLASFISISNFDTLTNPVQTQAGDDARLQLNVTTYGGNQVSMATGAVNASVTGTV >CAK8565165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:53416658:53416858:-1 gene:gene-LATHSAT_LOCUS18400 transcript:rna-LATHSAT_LOCUS18400 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLLWTISDFPAYGMLSEWSTHGKLSCPYCMGNSKSFVLEHGKKCCCFDCHHQYLPLEHLFHRD >CAK8564238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661012859:661016352:1 gene:gene-LATHSAT_LOCUS17561 transcript:rna-LATHSAT_LOCUS17561 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGCSASGERLVSAARDGDVQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLILFKANIHKTDYLNGGTALHLAALNGHTRCIRILLADYIPSIPNFWDVLRTGDYKSIEEFDQSGICEVINKTSDGGITALHMAALNGHVESVHLLLELGASVSEVTVEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGASLTAENANGWTPLMVARSWHRNWLEDTLKTPPAENLQVLPSPYISLPFMSIVKIARECGWRTSDLAPICLDPCAVCLERKCMVAVEGCDHEFCTQCALYLCSTTSATTSRHGPPGSIACPLCRHGIVSFVKLPHTRPLPKETQRTTTNLSLTFCTCSSEVLGDSTDMTTPFCKPTTSRASKPSSISRSFRSSSCQRFSSFRINSSLCLGASDVTPSLVPCASVGTNLRNHLTRCAGAGSRSSFGRSSSQNERRKASWFCSFNSFNQSVSTASGC >CAK8561876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:402433246:402433707:-1 gene:gene-LATHSAT_LOCUS15427 transcript:rna-LATHSAT_LOCUS15427 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLNILTGLSGFKKGSSLFLYLGVPIFKGKLKAVHLCSIVDKVINKLASWKGSLLSFAGRLELVKSVIQNEGRLGIRSLTKLNEAYNLKLAWELHNSSEP >CAK8533001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:564343246:564345817:-1 gene:gene-LATHSAT_LOCUS2654 transcript:rna-LATHSAT_LOCUS2654 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKGIVKAVALLVGDNNVRGSLQFSQYLNGNYTHVTGKITGLSPGLHGFHIHALGDTTNGCISTGPHFNPLKKDHGASSDDERHAGDLGNIVAGPDGVALISIKDRQIPLSGAHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVACGIIGLQSSV >CAK8537135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104973451:104974059:-1 gene:gene-LATHSAT_LOCUS6448 transcript:rna-LATHSAT_LOCUS6448 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRSQSPMKHRENFNLISVMVGDAFGVNMTYDKPEDFDGEEFPNEEAQIFYQLLNEMNMPLFEGSSDSKLSICVRLLATKSNWNVLDHCLEFFAKMMLDSTPTKDNLPTCFYDAKRLVSKLDLEVRKIGCCISGCKLFYDNEFVTIGRALKECKFCKSPRYKVHSKAIGRKQKRVAVKSMFYLSIIPRLKRMFASMHSAS >CAK8530162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3701920:3704740:-1 gene:gene-LATHSAT_LOCUS51 transcript:rna-LATHSAT_LOCUS51 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKREPNVATNNREAEDQLIVTPLGAGNEVGRSCVYMTYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLSDYVKVSKVSIEDMLFDEQDINRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDVCIIESTYGVQHHQPRHTREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWQNHPELQNIPIYYASPLAKKCLTVYETYTLSMNDRIQNAKSNPFSFKHISALSSIDVFKDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNSCVIPGYVVEGTLAKTIINEPKEVTLMNGLSAPLNMQVHYISFSAHADSAQTSAFLEELNPPNIILVHGEANEMGRLKQKLVTQFSDRNTKILTPKNCQSVEMYFNSQKMAKTIGKLAEKTPQLGETVSGLLVKKGFTYQIMAPDDLHVFSQLSTANVTQRITIPYPGAFSVIQHRLRQIYESVEPSVDEESGVPTLLVHERVTVKHESEKHVSLHWTADPISDMVSDSVVALVLNINRDLPKIIAESDATKIEEANEKKIEKVMHALLKSLFGDVKVGENGKLIINIDGNVAELDKESGEVESQNEALKERVRTTFKRIQSSVKPIPLSQIQSSVKLVPLSPP >CAK8537173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:122527001:122530106:1 gene:gene-LATHSAT_LOCUS6483 transcript:rna-LATHSAT_LOCUS6483 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHQTWPCISSSSRFTSLPLPNLTASESSAAYSSRSVRLCSRPPPSKPSSSTAEETDFSSLPYDVLTKIAASFDQPNLQAASLVCRSWSEALRPLREAMVMLMWGKRFKHGKRGVCRNTEKALDMFTKAAARGSALAMVDAGLIYWERGEKIKALDLYLMAAQLGNPSAQCNLGISYLQVEPPNTEQALKWLYKASKGGNVRAQYQLALCLHRDGGSRSNIREAVKWYTKAAEGGYVRAMYNTSLCYSLGEGLTRNHRIARKWMKRAADRGHSKAQFEHGLALYSDGDMIKALVYLELASRSGEKGANHVKNVIVHRLSAASQNHAMHLANSWRALPSN >CAK8574759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8711111:8712028:-1 gene:gene-LATHSAT_LOCUS27070 transcript:rna-LATHSAT_LOCUS27070 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTTLTVYNPTTAIIALSKSLRAGAIFQVTNLAQAKIAEEAGACAVTVLDQIPAGRLIVSRMSDPSLIKSIKQSLSIPVIAAARIGHFVEAQILQAIDVDYIDETEILTPADDQNHINKRNFEIPFISGARSLGEALHRIKEGAVMIRLQGELTNSGNISETVKSIRCLKKELRILSNMDDDEVFTFAKKIDAPYDLLAETKRVNRLPVLYFAAGGIVTPADAALMMQLGCNGVFVGSEIFDYEDAVNRVKGIVQAVRNYNDPHVLAEIMMGLNLGGDGDNRIEDGSSDDDDDNDDDDDDESV >CAK8561224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124038932:124039532:1 gene:gene-LATHSAT_LOCUS14833 transcript:rna-LATHSAT_LOCUS14833 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLITELGIKTPADKFFKLFTTEFHELQNHCERIHHAKLHEGEDWHDTDTVKHWTYVIDGEVHTCYESIEEVDEQNKKITWKMFGGDIEKHYKLFKLTLETTDKADGTAVAKCTIEYEKINEDTKPPNGWMDYLSQCARDIDAHLIKREKVAI >CAK8538418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482172605:482173179:1 gene:gene-LATHSAT_LOCUS7622 transcript:rna-LATHSAT_LOCUS7622 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIAFLIIGVLAMVLLISSQVSANTKEEVVGKSNELNDAKYGYGRGGYNGGGGYNGGGGGYNNGGGYRNGGGGYNGGGGYNGGGGYRNGGGGYNGGGGYRNGGGGYNGGGGYNGGDSDNLN >CAK8542916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559694297:559695278:-1 gene:gene-LATHSAT_LOCUS11714 transcript:rna-LATHSAT_LOCUS11714 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAQIGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPYVQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSKASTKRTKNSASGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFARDYARIEGEKVEIERKKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8573331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603720425:603721117:-1 gene:gene-LATHSAT_LOCUS25792 transcript:rna-LATHSAT_LOCUS25792 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGYINAAIRSSNTNEAYFFINDKYILLDYAPGTSNDKLLYGPIPLRDGFKSLNHTIFGSYGIDCSFDTDNNQAFVFYENLCALIDYAPHSDKDKIISGPKKIADTFPFFKGTVFEKGVDAAYRSSKGKEVYLFKGNQYARIDYGSNKLVQNIKNITGGFTCFRGTIFENGVDAAFASHKTNEVYFFKDDYYARVDVTPGASNDVIMDGVRKTLDYWPSLRGIIPLKS >CAK8574910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15042007:15045098:1 gene:gene-LATHSAT_LOCUS27209 transcript:rna-LATHSAT_LOCUS27209 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPVLKRKKKKSDQIVYVKRVSPGEDSPTVLPEPQTHTRSLQSAPPSFKIKVKPIQPSVKVSNNRIRALSAPSSLDEADQDALASIEYEEEEPKYRGGSVKEQRSPSPQPLPLPSPKGGGTLKTMGSFKLGTASVPLYSSGPLPLPPTGSLRNFSYDELAAACHNFSSDRYMSESLSSTIYKASFGDDASSSKKFEATVTRLRPSNQGLKEFINEVNTLASLRHPNLCRVLGFHARDGSEHRMLVYEKLHHGSLDRLLYGRSDGPSIDWNTRMKIAICAALGLSFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHVPKEEISSSSSAVGNLSMETLEKGLLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLSDNHRLSMIMDPQLKGRFPCKAARTIANIAQRCLQMEPSERPTMGTVVENLKKIQDLKHSSKFPLQEPAQTSTKQMSRSPSLDAIIHPASRSSFSPSPSARALLSVSPPRWSGVPIQLPPHAFSSTLYLEELDRQESRKSLTSASRKASVEGF >CAK8533536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633602872:633605006:1 gene:gene-LATHSAT_LOCUS3157 transcript:rna-LATHSAT_LOCUS3157 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMIKNEENKRMSMSMADNDFQVVAKRRRREPVLPSEENQGQKQQVCQNSTTSTTKRSSRFRGVSRHRWTGRYEAHLWDKLSWNITQKKKGKQGAYDDEISAARAYDLAALKYWGTLTVTNFPVAEYEEQVEIMQTMTKEEYLATLRRKSSGFSRGASKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGSHAITNFDLSTYMKWLKSSEGNISETKLKKLEVPESQTVASQSHCFPIDGSKSLAIDSSTYNSNNLNSSQKYEVFDNKKYQMSRTKSCSSPTALGLLFRSTVFRELVKNNSNMTGDETDGEDTSDRQPKTASDDDDELDGIFCGGIGDIPFLKPQ >CAK8544311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677565200:677568934:-1 gene:gene-LATHSAT_LOCUS13002 transcript:rna-LATHSAT_LOCUS13002-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPICFDGNKQDGEVKSAGGILTSDVSDMEDEFGEPEVEPRVGEEYQAELPPYIGAVYRSQIVKKTGDSEIAVNIPESFSLGLPLPLMWTHCEFDRSCGLESLESGTGRKGRVICEGKNVGGFSNFKSSCRNGEADIGSELRPELDPPRGRYLVPELLCSQTWTDIEYNSFLLGLYAFGKNLIFLKKFVGTKSMGDILFFYYGKFYKSKGYTRWAQCRKSKTRRCIFGQKIFTGWRQQELLSRLFSHVAKDCQTTLTEISKNFVEGKMPFEEYVFALKNAVGIEVLIVAVGIGKGKHDLTGTALEPPKINHTLPVRHEIPAGKACSSLTSADIMKILTGDFRLSKARSSDLFWEAVWPRLLANGWHSEEPKDNFVTGTKQTLVFLVPGIKKFSRRKLAKGNQYFDSISDVLNKVTSDPRLLETEVQTTDGSADQVNMQNNQNQDGVSNTHQYPLFQSPSSKCKPDLVKFTIVDTSMVHDTDQHKVRQMKSLPFQPGNISTISSCSSSESETTTSEDSEDQIEQANASSPIVDRVEQANPSQHAENQVEPANSTYHVKDQVEKNNSSYHIEDQVEHANFSYRIEDRVEHTNSLYRVEDQVEQANASNSIDCQAEHTNSSYRVEDQVEQANASNPIEGQAEHTNSSYRVEDQVEQANASNPIEGQVEQANASNPIEGQVEHTNSSYHIERANSYNRVEEISDKGKRPDLSSHTRNFDLNDDEPTKEMNEQPLVEKMTSDDCGKYFSCTTKMQELRACNHGESSHSAKKTSMNRNFDLNEPFSSSDPLEASEGMDMSVDLETLSCPSYPAKGNNMNNEGSVTENLQVGEVSAKNSENRMLIDLNFPEVAPDLTLELEIPSSKAIPQNDDQRAQTLSPSSSETTQHNTTDEIPDLNKEHQPIIVNRRQSTRNRPLTTKALEALEYGFLNSKRKRKNSEPSDNSKSQCLRPSNETIISSTCDDNIENSMADTSEKEENVIQEYSFIV >CAK8544310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677565200:677568934:-1 gene:gene-LATHSAT_LOCUS13002 transcript:rna-LATHSAT_LOCUS13002 gene_biotype:protein_coding transcript_biotype:protein_coding MNPICFDGNKQDGEVKSAGGILTSDVSDMEDEFGEPEVEPRVGEEYQAELPPYIGAVYRSQIVKKTGDSEIAVNIPESFSLGLPLPLMWTHCEFDRSCGLESLESGTGRKGRVICEGKNVGGFSNFKSSCRNGEADIGSELRPELDPPRGRYLVPELLCSQTWTDIEYNSFLLGLYAFGKNLIFLKKFVGTKSMGDILFFYYGKFYKSKGYTRWAQCRKSKTRRCIFGQKIFTGWRQQELLSRLFSHVAKDCQTTLTEISKNFVEGKMPFEEYVFALKNAVGIEVLIVAVGIGKGKHDLTGTALEPPKINHTLPVRHEIPAGKACSSLTSADIMKILTGDFRLSKARSSDLFWEAVWPRLLANGWHSEEPKDNFVTGTKQTLVFLVPGIKKFSRRKLAKGNQYFDSISDVLNKVTSDPRLLETEVQTTDGSADQVNMQNNQNQDGVSNTHQYPLFQSPSSKCKPDLVKFTIVDTSMVHDTDQHKVRQMKSLPFQPGNISTISSCSSSESETTTSEDSEDQIEQANASSPIVDRVEQANPSQHAENQVEPANSTYHVKDQVEKNNSSYHIEDQVEHANFSYRIEDRVEHTNSLYRVEDQVEQANASNSIDCQAEHTNSSYRVEDQVEQANASNPIEGQVEQANASNPIEGQVEHTNSSYHIERANSYNRVEEISDKGKRPDLSSHTRNFDLNDDEPTKEMNEQPLVEKMTSDDCGKYFSCTTKMQELRACNHGESSHSAKKTSMNRNFDLNEPFSSSDPLEASEGMDMSVDLETLSCPSYPAKGNNMNNEGSVTENLQVGEVSAKNSENRMLIDLNFPEVAPDLTLELEIPSSKAIPQNDDQRAQTLSPSSSETTQHNTTDEIPDLNKEHQPIIVNRRQSTRNRPLTTKALEALEYGFLNSKRKRKNSEPSDNSKSQCLRPSNETIISSTCDDNIENSMADTSEKEENVIQEYSFIV >CAK8540410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558754313:558754966:-1 gene:gene-LATHSAT_LOCUS9415 transcript:rna-LATHSAT_LOCUS9415 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLITLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDP >CAK8539978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533575867:533579438:-1 gene:gene-LATHSAT_LOCUS9023 transcript:rna-LATHSAT_LOCUS9023 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLSCLLSVFLLLLFYTAAATPPSQLHRFSESATRIGNNNNYLTKEELWFSQTLDHYSPYDHRKFQQRYYEFLDFFRIPDGPVFLVICGEYSCNGIKNDYISVLAKKFGAAVVSLEHRYYGKSSPFRTLATENLRYLSSKQALFDLAVFRQSYQKSLNARLNRTKTDNPWFVFGVSYPGALSAWFRLKFPHLTCGSLASSAVVLDVYNFIEFDQQIGESAGVECKAVLQETTRLIEQKLATNGKALKASFNAADLEIDGDFLYFVADAAVTAFQYGNPDILCKPLVEAKKHGDDLVEAYAKFIKDFFLKSEGSTQSYNQQNLKNTAITENSADRLWWFQVCTEVAYFQVAPSNDSIRSHKVDTRYHLDLCKNVFGKGIYPDVDATNLYYGGTEIAGSKIVFTNGSQDPWRRASKQISSPQMPSYTITCHNCGHGTDMRGCPQSPFNIEGNDKNCTSPDAVHKVRQKIIEHMDLWLSQCQDMSRSCI >CAK8571285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364498457:364501535:1 gene:gene-LATHSAT_LOCUS23961 transcript:rna-LATHSAT_LOCUS23961 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPPVSKKYEVKLLVRTLRGIDLSPESTFAVEIRWKGPKLALSSLRRNAVVRNFTGEAQAHRVEEDEQNGVVLWDEEFHSSVNLSSNRDNAFHPWEIAFTVFNGLNQRSKTKVPVVGTGSLNLAEFASVIDQKDFDLSIPLTLPGGSAVEPSLSLTISISLVELRVAQENSELVHKSIVPVQSPLSQSGEASLSEKDEISTIKAGLRKVKILTEFVSTRKSRKPSREEEGSEGNYSARSEDGEYNYPFDSDSLDDFEEGDSHSEEVKEESSVRKSFSYGKLAFANAGGSFYSSMRVKGDDEDWVYYSNHKSDIGSLPKEESAVSSSEPYVAQSSRRSLLPWRKRKLSFRSPKSKGEPLLKKAYGEEGGDDIDFDRRQLSSDESISHGSQKTEDESGANRTSVSEFGDDNFAVGSWEQKEVLSRDGHMKLQTQVFFASIDQRSERAAGESACTALVAVIADWFQNNRDLMPIKSQFDSLIRDGSLEWRNLCENQTYRERFPDKHFDLETVVQAKIRPLSVIPEKSFIGFFQPEGMDEGRFDFLHGAMSFDNIWDEISNAEHDSTTNEEPRIFIISWNDHFFILKVESDAYFIIDTLGERLYEGCNQAYILKFDRNTAIYKTPDVSNLSDENTTAIEQQTVADVLENNNEKQSHQINSKGLSESVEETEESPKSEQDEDEVVCRGKEACKEYIKSFLAAIPIRELQADVKKGLISTTPLHHRLQIEFHYTQLLLQSYEESSSLAVTEVDT >CAK8576675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505033761:505034024:1 gene:gene-LATHSAT_LOCUS28848 transcript:rna-LATHSAT_LOCUS28848 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPSMIKRASPSKAMGMQRGYVAVYVGEKQKQFLIPISYLTQPLFQELLSQAEEEFGYDHPMGGLTIPCTEDVFQNIRCGLNGL >CAK8576390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469074809:469076614:-1 gene:gene-LATHSAT_LOCUS28579 transcript:rna-LATHSAT_LOCUS28579 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTKRGSIARKRRTKSRSFTSSFRGALTRTISQQRRKALVSSQRDRDRKKRDFRSLWISRINAIIRQNKEKIYYSNLMYNLYKNQLLLNRKIVAQIAILKGNCLFTIANDIINKTPSDTEVSALENKNLRRITQIIGLVLDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVQRLLGNNRARVVAMSATDGLKREMEVIDIGAALSVPVGGATLGRIFNVLGELIDNLGPVDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERIREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLKERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLDAKGIYPAVDPLDLTSTMIQPRIVGEEHYETAQRVKQTLQRYKELQDMISILGLDEVSEEDRLTLARARKMERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEATAKAMNLT >CAK8560438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19375485:19376433:1 gene:gene-LATHSAT_LOCUS14107 transcript:rna-LATHSAT_LOCUS14107 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTQKGRTETTFDEYSPSPSIGSVSLFSLKSVLVSTSGFEVVLKRKKMKVSEYVMWVRLLRKVRLSVGTNCGSCCI >CAK8540812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23012492:23012682:1 gene:gene-LATHSAT_LOCUS9779 transcript:rna-LATHSAT_LOCUS9779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCEAYSSWYVADDDAEPKSEV >CAK8562397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484050598:484053072:1 gene:gene-LATHSAT_LOCUS15897 transcript:rna-LATHSAT_LOCUS15897-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDEKKKGQMVPQYDDQGVTLAFHGREVYDGVPLAHLPKKSPLNDKHNKVVENKHRQATPDEGQSHKFASSSKETTDATFSRGEAVIQAEGLRSNLQQEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDITITLEDESGREYKTKYIACKTGLSAGWRQFSAVHKLLEGDVVVFQLVEPTKFKVYIIRATRELENQESCSKQKVGGKNNIDTDVIACNSPKRKNGKPIPQDTQKKKKIIVSKTETKAKHYTEQYENDSEEALSEILEAYKMPEFKDLKGFENFRIIVNGMLIDNELSTEVRNKYYKLCYSQQAFLHDNLIKGLNYNLVSGVISEVVNIADAIKVSVISTPRFEFYNWDKTLLAFENLGMNVEFLRHRLRRLVSLAYETDNGLETRRYLAYRTEEHGRVDDEIKNMETKLEELKEACNGFGGYLESLKHKAERYEHKFQKEVAANW >CAK8562398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484050598:484053072:1 gene:gene-LATHSAT_LOCUS15897 transcript:rna-LATHSAT_LOCUS15897 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDEKKKGQMVPQYDDQGVTLAFHGREVYDGVPLAHLPKKSPLNVDKHNKVVENKHRQATPDEGQSHKFASSSKETTDATFSRGEAVIQAEGLRSNLQQEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDITITLEDESGREYKTKYIACKTGLSAGWRQFSAVHKLLEGDVVVFQLVEPTKFKVYIIRATRELENQESCSKQKVGGKNNIDTDVIACNSPKRKNGKPIPQDTQKKKKIIVSKTETKAKHYTEQYENDSEEALSEILEAYKMPEFKDLKGFENFRIIVNGMLIDNELSTEVRNKYYKLCYSQQAFLHDNLIKGLNYNLVSGVISEVVNIADAIKVSVISTPRFEFYNWDKTLLAFENLGMNVEFLRHRLRRLVSLAYETDNGLETRRYLAYRTEEHGRVDDEIKNMETKLEELKEACNGFGGYLESLKHKAERYEHKFQKEVAANW >CAK8537496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:376970516:376970863:1 gene:gene-LATHSAT_LOCUS6785 transcript:rna-LATHSAT_LOCUS6785 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMTVSGQPTGCIIFELFTDVTPRTTENFCALCTGEKGVSHSSKSLQFKGSSFHRVIPGVMCQGGDFTARNDTEGESIYGSKFTDENFIKKDASLGTISMANAGPRTN >CAK8540077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538475198:538476046:1 gene:gene-LATHSAT_LOCUS9115 transcript:rna-LATHSAT_LOCUS9115 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYHVRSNSFPSQSHPSSTRIEQELNKIKTWEATSTSDSISNGLSMLEDLYISLEDLLNMTSTQKVISHHQGEKFVEELLDGSIKILDICGIARDTMSEIKENVKSLQSSLRRRKGDSSIEKSIFEYKFFTKKMKKNVTKLISSLERMECKFGASSLLNQDQEVVAVIKALRGVIVINMSLFQSILSFLASKSKATKWLKLAKLVHRKEVTCEENFNELQCVEASLRTLLREGSDAAEMRAAHESLEALENAVERIENGLESVFRRLVKTRVCFLNIMTQ >CAK8564974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19804528:19804947:-1 gene:gene-LATHSAT_LOCUS18221 transcript:rna-LATHSAT_LOCUS18221 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8531922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177002112:177006361:-1 gene:gene-LATHSAT_LOCUS1678 transcript:rna-LATHSAT_LOCUS1678 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGQFVQLCIYLLAGSSFIWSPGKCSVGDSVSSGQVVGSPNPRSNSRNAKSFSPMKQFLMQSYYGRYTSLHDSDFENFMSHEVTSGLCEVLPENLSSILRLSVLKRGLVGEGSHRHVSTLIKLQTQQLNSLSDLLSYSCELIIIERLPSGVFADPFELQHLAQRGVFNDIAVFGDTNLELPSFLSNRSAVEIHLDIDPNTLLQPADISIELPLHARYQPLNESGYSIVELGAPDTILRCSTKEKMENSSCLFKLKNDDANLYEAGLVWRIPSGKKAHSDLVSIVTFLTAFLSTLALAAKVEFIGIATGSSIWNDFWCEDVHGHVHFLLPNPVSALAAKVEFIGIARGSSIWNDFWCEDVHGHVHFLLPNPVSSLFI >CAK8531923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177003853:177006361:-1 gene:gene-LATHSAT_LOCUS1678 transcript:rna-LATHSAT_LOCUS1678-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGQFVQLCIYLLAGSSFIWSPGKCSVGDSVSSGQVVGSPNPRSNSRNAKSFSPMKQFLMQSYYGRYTSLHDSDFENFMSHEVTSGLCEVLPENLSSILRLSVLKRGLVGEGSHRHVSTLIKLQTQQLNSLSDLLSYSCELIIIERLPSGVFADPFELQHLAQRGVFNDIAVFGDTNLELPSFLSNRSAVEIHLDIDPNTLLQPADISIELPLHARYQPLNESGYSIVELGAPDTILRCSTKEKMENSSCLFKLKNDDANLYEAGLVWRIPSGKKAHSDLVSIVTFLTAFLSTLVIMVTSLHYFNSRVSKDLKQS >CAK8531921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177002112:177006361:-1 gene:gene-LATHSAT_LOCUS1678 transcript:rna-LATHSAT_LOCUS1678-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGQFVQLCIYLLAGSSFIWSPGKCSVGDSVSSGQVVGSPNPRSNSRNAKSFSPMKQFLMQSYYGRYTSLHDSDFENFMSHEVTSGLCEVLPENLSSILRLSVLKRGLVGEGSHRHVSTLIKLQTQQLNSLSDLLSYSCELIIIERLPSGVFADPFELQHLAQRGVFNDIAVFGDTNLELPSFLSNRSAVEIHLDIDPNTLLQPADISIELPLHARYQPLNESGYSIVELGAPDTILRCSTKEKMENSSCLFKLKNDDANLYEAGLVWRIPSGKKAHSDLVSIVTFLTAFLSTLVIMALAAKVEFIGIATGSSIWNDFWCEDVHGHVHFLLPNPVSALAAKVEFIGIARGSSIWNDFWCEDVHGHVHFLLPNPVSSLFI >CAK8574779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9104930:9106456:1 gene:gene-LATHSAT_LOCUS27089 transcript:rna-LATHSAT_LOCUS27089 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVGKHGSLRNVLVRLLLFGVFVVIFRFAYVITIAGENCNIGDFCFFSSPGKINAAVAGSGSGALSVRSSAELSTPEHQASKEWITGVRFYSSIFQNMIATGDLSRKAKSLCVDTPTGRDVYALKEIGVDVVGISKKAVKSLVKSGEGHRIPFANNTFDFVFSSEGGLEKSTKASEFASEIARTLKPEGFAVFHVNAKDSYSYNSFVDLFRFCCEVVKFHDIHGFDTSMPMIREIFMKKISYRKTDSDSEFDLNRNQKCSVADYKRELVKNAEELIEEEPLKPWITLKKNVKNIKYAPSMVDISFKNRYVYVDVGARSYGSSIGSWFKKQYPKQNKTFHVYAIEADKTFHQEYAVKKGITLLPYAAWVRNESLVFEINNDPGDKKKEKAGRGMGRIQPLESQGGAGGGVQTTQGFDFAEWLKKTVTMNDFVVMKMDIEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQRCCPGQRSPKYEKTYDQCLQLFNSLRQSGVLVHQWF >CAK8579374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694589466:694591817:1 gene:gene-LATHSAT_LOCUS31325 transcript:rna-LATHSAT_LOCUS31325 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRSIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHADATNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGVNDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPPPRLSPEEIWNRVSKLPKFTDYGEAYRIEGYGVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPDGYASNLARCADTKTGKLHGMKSHDCHVFMERLLPIAFSSLPNHVLYPLTEISQFFRDICASTLRVDSIIKLDQNIPVILCKLERVFPPGFFDSMEHLPVHLAQMRKYFASRSNYSPRDDDQNEDESFSDEE >CAK8573121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586698905:586699222:-1 gene:gene-LATHSAT_LOCUS25605 transcript:rna-LATHSAT_LOCUS25605 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIVLFKLMLLLLLLLNPPSNTTKGCNYGPFCVDAARPLEQNVNPKYINLKPEKGNGGGRVFQGRNVEDCLPKGFRRSSAPSRYINYQPLGISCSSSKTVVNGP >CAK8577801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589288699:589290333:1 gene:gene-LATHSAT_LOCUS29872 transcript:rna-LATHSAT_LOCUS29872 gene_biotype:protein_coding transcript_biotype:protein_coding MDITIITCSFFLSTLTLILSLLVSSSYSSPSSTPLENFIQCLSNHYSPPSYPASHAIYTPTNSSFLSILHMHTYNNRFSSPTAPKPLAIVTSLHESHVQGTILCAKNHRLQIRIRSGGHDTEGLSYVSDVPFIILDMFHNDSVNIDIKNETAWVEAGATLGKVYYHIAKKSNVHAFPAGVCTTVGAGGHFSGGGYGNLMRKFGLSVDNIIDAKIVDVNGNILDRKSMGEDLFWAIRGGGGASFGVILSWKIKLVSVTPNVTVFKVQRSVEKDATNIVYKWQKIASKLDENLFLRTMYDVVNDTQKGKKSVNVTFIGIFLGLTNDLIPLLNESLPELGLTTSDCIEVPWVNSTLFWYNYPIGTHLEVLLDVPKEPLLTNYKTMSDYVKKPISKSGLESIWEFMIKSDRVRMEWNPYGGKMDEISESETPFPHRKGNLFLIEYLTSWGEDGVEAKNHYLNISRSFYEFMAPFVSKSPRESFLNYRDLNIGANIPSNTIKLDIARSYGIKYYQGNYERLVSVKSRVDPHDFFRYEQSIPTLHSGRML >CAK8536348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932866740:932868629:1 gene:gene-LATHSAT_LOCUS5725 transcript:rna-LATHSAT_LOCUS5725 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQVSRRENITTERKIPGEKDNVQKTTTRIEKELPQGGVEPLPGGEINKDRSGKAIGDIAGRGKARQSHELGPNVQSLPDRNENPNYLDRAGVPVSANVAENRVGENAPREKLDNRTRVVSGTPHIKEQNRALGKEQVVAERGRVHGAENQDAILEKSVSEEKERARERAKDAILEKNVAEEKERARERAKDAILEKNVAEEKERARERAKEATKETLNNTARAAQEKGAQAAEKAAQAKEVAAEKAAQAKEVAAEKAAQAKEVAAEKAAQAKDVAAEKGQQGYEATKDTVSNAAKIAAEKAAQAKDTAAEKAAQAKDVAAEKGQQGYEATKGTVSNAAKTAAEKAAQAKNLTLEKTQQGYEATKDTVSNAAKTAADYATPVAEKTKTAAEKAKCAAVQAKDVTVEKGKTAAEIAGKVVSAAGWTATHYATQLTVDGTKAAANVVEGAVGYVAPKASELAAKSVETVKGLAASAGETAKEFTARKKDESWREYEAQKASQPKEGEEISPSSEGENVSNYRENLVTQKVIPSEERIQAEGTNLQEKGIGSNVIPTTGETETVENILEKEQGKGSEKREKKNEEGSDENITIAVTETK >CAK8567832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:548889152:548890228:-1 gene:gene-LATHSAT_LOCUS20853 transcript:rna-LATHSAT_LOCUS20853 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVANPRDKVIKRELDDPTLSSEMVFPFPGMNRDREMSAMISALTHIVSGDVPNQSTPVEPSMINMSSSPNSSSSYVTSSSLKRTREDDRFFADQVSLTPDTTLIQTNAESSRNRTTTARVTREMGNAVYEYRRTESVVREGEEKRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFETAEAAARAYDEAALRFRGNKAKLNFPENVTLRHPPPTTSTQWNVSNMPSSVVSITTSTDPVVHTRPFSYSQPSTNLYDSFQFSGIPARNVYDDNMIMTSSMASHLQSSSSSLSPASSLSSSSSMQTNASASSFYSTPFPPWSGSDHNSSSSK >CAK8567833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:548889152:548890156:-1 gene:gene-LATHSAT_LOCUS20853 transcript:rna-LATHSAT_LOCUS20853-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPFPGMNRDREMSAMISALTHIVSGDVPNQSTPVEPSMINMSSSPNSSSSYVTSSSLKRTREDDRFFADQVSLTPDTTLIQTNAESSRNRTTTARVTREMGNAVYEYRRTESVVREGEEKRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFETAEAAARAYDEAALRFRGNKAKLNFPENVTLRHPPPTTSTQWNVSNMPSSVVSITTSTDPVVHTRPFSYSQPSTNLYDSFQFSGIPARNVYDDNMIMTSSMASHLQSSSSSLSPASSLSSSSSMQTNASASSFYSTPFPPWSGSDHNSSSSK >CAK8573431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611828224:611832309:1 gene:gene-LATHSAT_LOCUS25878 transcript:rna-LATHSAT_LOCUS25878 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTISSLSFSLPLRHNSRVSPFNPISSTIPFQSFTLPTNKWRVLCFKHENIPSETNDSELKEDKLSEDLIKFKGDDDDEAKDLKKDWLAALHTIINTVLGVEPWKVPWTAKTIVQVMLLWIASFWLVGSWIVPFLAYTAGFRKETLSYRGQALYSLLTDVAEGVVGIAILHRCLAKFKPLPDDWFRFELKGNWQFDVGLGCLMFPLINHLSQMNLNLLPVLQYAPVTVSSVEQSILARDPVAMVLYAVVVSVCAPIWEEIVFRGFLLPSLTRYMPVWSAVLVSSIAFALAHFNIQRMLPLVFLGMVMGSVFVRSRNLLPSMLLHSLWNAFVFLDLMK >CAK8562797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537940264:537942572:1 gene:gene-LATHSAT_LOCUS16263 transcript:rna-LATHSAT_LOCUS16263 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSACTDEYEKLVFRMSTPRVVIDNAVCSNSTIVKFDSARKHGILLEAVQILSDLNLFIKKAYVSSDGRWFMDVFHVTDQDGNKLTDESVLKYIEQSLGSIHNGKTNHRNGLTALELKGMDRVGLLSEVFAVLAEFQCDVVEAKVWSHNGRTASLIYVKDCTSGTSIEDSQKINRLEARLRYVLQGDSDIRSAKTSISDVVIHPERRLHQMMFADRDYQKSPILKFTSEIPLVTVQNWAERGYSVVNVQCKDRTKLLFDVVCNLTDMEYVVFHATINTRIDQAYMEFYIRHKDGTPISSEPERQRVIQCLQAAIERRSCVGVRLELSAEDRQGLLAEVMRTFRENGLNVTRADITTTGDLAANVFYVTDSIGYPADKKIIESVRQKIGLSNLKVKELPIVSHEKAEREDESVGVGGAVLLSLGSIVRRNLYNLGLIKSCS >CAK8576138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:414406104:414406859:1 gene:gene-LATHSAT_LOCUS28350 transcript:rna-LATHSAT_LOCUS28350 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLTEQDAAHWVYRGEGAANVVVSYTGSSPSYIGKVMRIRKSPRKASTSPGLRNTIALSPHEHLIWKEIHELISSSDKEIAGQLYVDHVMKPLLGSKYVDVGTHILVTKKFLETVEQNIDSQPPAWRIDVSQVDKQCDFTLLMSDHSIFPQGSQGSSHSISVEIKPKCGFLPLSTFISEGTAIKKNMLCTVQERLLCYVCFWRKISLFNMMTFKTMTTFMPPPPAIHPGMSVFWRNSFAFYTHQTSDRS >CAK8562263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463500006:463505658:1 gene:gene-LATHSAT_LOCUS15775 transcript:rna-LATHSAT_LOCUS15775 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFTTTSAAALKDKKWDALIIGGGHNGLTAAAYLARGGLSVAVLERRHIIGGAAVTEELIPGFKFSRCSYLQGLLRPAVINELELAKHGLKLLRRNPSSFTPCLDGRYLLLGADKDFNHSQISKFSQADAEAYPKYESQLEKFCKFMDLVLDSSPPESLQHKSSLNEQLKHKLQNSVFWASCLRHAASMGQKDMLEFMDLLLSPASKVLNNWFETDVLKATLGTDAVIGSTASIHTPGSGYVLLHLVMGETDGERGVWSYVEGGMGSISKAIASAAVTAGAHVVTNVEVSQLLIKNSGTVDGVILAGGTEVHASVVLSNATPYKTFMELVPNNVLPEDYFQAIKHSDYSSATTKINVAVDKLPQFQCCKSNHFHAGPEHVGTIHIGSESMEEIHTASHDAANGIPSRRPFIEMTIPSVLDKTISPPGMHVINLFVQYTPYKPLDGDWQDHDYRESYAQKCFKLIDEYAPGFSTSVIGYDMLTPPDLEREFGLTGGNIYHGAMGLDSLFLMRPVKGWSNYKTPLKGLYLCGSGAHPGGGVMGAAGRNAAHLVLQDVKKV >CAK8575121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:33768232:33772141:1 gene:gene-LATHSAT_LOCUS27409 transcript:rna-LATHSAT_LOCUS27409-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLDKFLFQSISAKYKTYHSKNGAITKDITSKMFDKESGYEIKECKLRVVYVAPPQSRFSIREGSDKDSNVSNEHVEQLDTLFEVKTTNPKRYCVKPNHGVVLPRSTCDVIVIMQAQKETPSDMQCEDKFLIQNVVAKMGTTRKDITSEMFDKKPGSKVEECKLSVIYVEPSQPSFTIQEESDEYFSSRSSIFSDQTNAVISKLTKERDNALEQNKRLEQELV >CAK8575120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:33766137:33772141:1 gene:gene-LATHSAT_LOCUS27409 transcript:rna-LATHSAT_LOCUS27409 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTVSSASSSASKLLRLVIPRRYASSMDCGYGKMNNQDDSFHLQIHPQELQFPFELKKQISCDLQLSNKSDNCVAFKVKTTNPKRYCVKPNHGVVLPRSTCDVIVIMQAQKETPSDMQCEDKFLIQNVVAKMGTTRKDITSEMFDKKPGSKVEECKLSVIYVEPSQPSFTIQEESDEYFSSRSSIFSDQTNAVISKLTKERDNALEQNKRLEQELV >CAK8536486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946389054:946390964:1 gene:gene-LATHSAT_LOCUS5850 transcript:rna-LATHSAT_LOCUS5850 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSLSFSAMNSQRKSTLPSTRFLASNSDVFGIRTDHSSYHCVGVRAANSASKMTIQCMSSVTDVPSVSETKLNFLKAYKRPIPSIYNNVLQELIVQQHLMRYKTSYRYDPVFALGFVTIYDKFMEGYSSEEDRNTIFKAYINALKEDPEQYRIDAQKLEEWARAQTSSSLVEFSSKEGEVEGILKDIAERAGGKGEFSYSRFFAVGLFRLLELANATEPTILEKLCAALNINKKSVDRDLDVYRMLLSKLVQAKELLKEYIDREKKKSEERAAEPQKANGAISKCLGQQLSVL >CAK8564462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676037500:676037808:1 gene:gene-LATHSAT_LOCUS17766 transcript:rna-LATHSAT_LOCUS17766 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKELLKILRNTETKHFNSCSSVMTHEPVTRLFFHPELININTLGSTSPPPRVCLSLWPTTSQGMKDDNNTSCSSSTQTQPMQASWDNVCDSGVDTSLHL >CAK8566241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394649050:394649628:1 gene:gene-LATHSAT_LOCUS19391 transcript:rna-LATHSAT_LOCUS19391 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEEFMDDNDEEQQLHNERRSGSSSRPKRRTTVDRGREEGHNRLFNDYFSKNPVYADVQFRRRFRMHRHVFLRIVDAIENHDEYFQMRVNATGKMGLSPLQKCTSVIRMLTYGSPADLVDEYVRIGESTSIECLERFVKGVNVVFGVEYLRKPNNIDVEHLLQMGVTWLSRYVGFH >CAK8578750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651168507:651170231:1 gene:gene-LATHSAT_LOCUS30736 transcript:rna-LATHSAT_LOCUS30736 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGPTNFARIRAKVRENKDGQEVTQAEMFIETQKSHKGKQVDEETQFVIDKLQESIETSTEAGTQTFQSLLGKEKPGRVRCYGRTVTPSLLEKKEEISLIKMQYDGKISDMTQKMGAMEALLKSMYMQENPHLSEEEVNGKMREALHNDNILTPRSSTLTYAPAHQKVRNEDDPQDEQDDVLQDDDDLQDDDDLHFDIDDDLQYDQDDDLQHDQVDGSQDDDSHDPQYNEYDEDLH >CAK8560190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10172121:10173550:1 gene:gene-LATHSAT_LOCUS13887 transcript:rna-LATHSAT_LOCUS13887 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNGCRSHHHHNHHCPHCPLRRHCHHCPLHNNNPSHSSSHNFTSPPPLQTQNLPPLAHIRISQEKEHIVFDGVEEDDEPVFVMTDEWREFFAKSEARRKLEKKQVKKGKK >CAK8538463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483614674:483618008:-1 gene:gene-LATHSAT_LOCUS7655 transcript:rna-LATHSAT_LOCUS7655 gene_biotype:protein_coding transcript_biotype:protein_coding MPENRQVFKNVGANPSGANIEEAIRRLKINNNRDRDAAAQSMPYPDRPGEPDCLYYLRTGMCGYGSNCRYNHPANVSLVTQYGEELPERVGQPDCEYFLKTGTCKYGSTCKYHHPKDRRGAAPVVFNTLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQQAAASFGAYPAAASPPPTTVTSSGFPYAGGFPAWSVPRMSYLSGQGIQSYVPPFMPSTQSIMPALSWSNYMGSISPAMPTGVLGSNLFYDYMNPAGDTLSGGQVVNSFLPDRPDQPECKYFMSTGTCKYGSDCKFHHPKERIGQSLSINPLGLPMRPGNAICSYYRIYGVCKFGPTCKFDHPVVAIPQNYALPSPTLSVFDASLLNTPRRISTVQQADTSPSKQSSDKLQQSDTKDATEDSSKQADDTTSNSRTPSSESLHDE >CAK8561757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:375304172:375305185:-1 gene:gene-LATHSAT_LOCUS15318 transcript:rna-LATHSAT_LOCUS15318 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAAQLVCGHPFDTIKVKLQSQPTPLPGQLPKYAGAFDAVRQTIAAEGAGGLFKGMGAPLATVAAFNAVLFTVRGQMESLLRPHPGAPLTVSQQFVCGAGAGFAVSFLACPTELIKCRLQAQSALAGTGAAAVAVKYGGPMDVARQVLRSEGGVRGLFKGLIPTMGREIPGNAIMFGVYEALKQRFAGGTDTSGLSRGSLIVAGGLAGGSFWFLVYPTDVIKSVLQVDDHKNPKFSGSLDAFRKIKSSEGFKGLYKGFGPAMGRSIPANAACFLAYEMTRSALG >CAK8563339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593847680:593849355:1 gene:gene-LATHSAT_LOCUS16763 transcript:rna-LATHSAT_LOCUS16763 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILSKLPKKLPKSDSSDSAKSDSGNSTTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPASVNSGVEAVDPSLSFKDVSNTQKQSLFISKLNLCCKVYDMSDPDKNCSEQDVKRQTLLDLVDFVSSGSVKFTEPAIAALCKMCAANLFRVFPPKFRSSSGGGETEDEEPMFDPAWSHLQVVYDLLLQFINYNSLDVKLAKSHVDHAFVLRLLDLFDSEDPRERDCLKTVLHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHSGIAELLEIFGSVISGFAMPLKEEHKIFLWKALIPLHKPKSIGIYHQQLTYCIVQFIDKDQRLVSSVIKGLLKYWPVTNSQKELMFMSELEEVLEMTSMDEFKKIMVPLFRRLACCLTSSHYQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVHNSQNHWNQAVLNLTQNIRKMLSQMDEELVLACQRKIEEEGLKSSDVAERRRITWERLEEAATGVQSISGADILLQVKPATATCSVAC >CAK8578598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640648455:640648832:1 gene:gene-LATHSAT_LOCUS30594 transcript:rna-LATHSAT_LOCUS30594 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTMQHSLISTSFLLLAITFYTTTTLAQLSPIQPPTTSSSPPLRSITASPPAPSLGLNTVPLVPTPPTGAPSPLILRPNSPSENNTINQPTQLTASIIIIGFRRFNDFCTRRQTVTSPNSKQDS >CAK8539571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516594050:516594475:-1 gene:gene-LATHSAT_LOCUS8654 transcript:rna-LATHSAT_LOCUS8654 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRSPKVVSTPSSAISNKDDDIPSKTNANASKTQYGGEELTKEIVEEQGSSKSETLISPKGATEPRRLWVDVISGNRNPGNGLKLEFIAPTIVNGIAEVRIEEADTEIEVKFWETELIMYVMGGELSMNMVKQFMLKQ >CAK8541676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:350687927:350690818:1 gene:gene-LATHSAT_LOCUS10579 transcript:rna-LATHSAT_LOCUS10579 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVKTLLLCLCVVVTLFPQLVVCITRHYTFNIEYMNVTRLCHTRTVLSVNGKFPGPRLVTREGDRVLVKVVNHISNNVTIHWHGVRQKTSGWSDGPAYVTQCPIQTNQTYIYNFTITGQRGTLFWHAHISWLRATLYGPIIILPKHNESYPFQKPHKEIPILFGEWFNVDPETVINQALQTGGGPNVSDAYTINGLPGPFYNCSSDTFKLKVKPNKTYLLRIINAALNEELFFSIANHSLTVVEADARYTKPFNTNTILITPGQTTNVLLKTKSYFPNTTFLMVARPYITGRGTFDNSTPAGILYYKQHNTSIKNLPFLKPTLPQINDTNFASNFSRKFKSLADSKFPINVPKKVDKKFFFTVGLGTSPCPKNTTCQGPSNNTKFAASVNNFSFVLPPVSIMQAYYFGKSNGVYQTDFPETPLNPFNYTGTPLNNTMVNNATKLVVLKFNTSVELILQDTSIIGAESHPLHLHGYDFFVVGQGFGNYDPNKDAAKFNLVDPGERNTVAVPAGGWVAIRFFADNPGIWFMHCHLEIHTSWGLRMAWLVLDGQESNQKLQPPPSDLPKC >CAK8538003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457041976:457042407:1 gene:gene-LATHSAT_LOCUS7246 transcript:rna-LATHSAT_LOCUS7246 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPHLRIISSDVEEGEIEDHKSEIEVELVNEEKVERPNMELPEEKVERSNVEIPEEEFEGEFVPTWKKRVTFRAILVSLVLSILFTFIPMKLTLTTALIPPLNASSVLLGLMIVKTWTALLTKAGKVNQPKDKNIFKLDFN >CAK8543623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627413695:627417496:-1 gene:gene-LATHSAT_LOCUS12371 transcript:rna-LATHSAT_LOCUS12371 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHQKGIAFVFYTLVISLLPLKITASLRTEAEALVKWSLSPPLPSWSLTNHINICNWDALVCDNSNTTVSKINLSNANISGKLADLDFSSLPNLTLLNLNGNRFGGSIPSTIGNLSKLSFLDLGNNLLEGTLPSELGQLRELQYVSFFFNNLNGTIPYQFTSLPKVSYLDFGSNYFVSSLNWSQYLNMPSLNYLGLELNKFTGEIPSFIFECANLTYLDLSWNKWNGTIPESMYVNLGKLEYLNLTNCELEGKLSSNLSMLSNLKDLRLGNNMFNSPIPAEIGSISRLQILELNNISAHGEIPSSLGQLKELVHLDLRLNFFNSKVPSEIGLCTNLTFLSLAGNNLTGSLPLSLANLTKLSELGLSDNFLSGQISASLISNWTELTSLQVQNNSLIGKLPPQIGLLKKLNYLFMYRNLFSGPIPEEIGNLKEMSHLDLSVNNFSGPIPRTIWNLTNLTVINLFFNNLAGNIPADIGNLTSLQVFDVDTNNLDGELPHTIAHLTSLTSFSVFTNNFSGSISRDFGKNSPSLTTVYFSNNSFSGELPSDMCSGLNLETLSVNNNSFTGPLPNSLKNCSSLVRVRLDDNKFNGNITEAFGIHPNLTFISLSRNHLVGYLSPDWGKCINLTEMEMSGNKFSGKIPSELSKLSKLQVLSLHSNEFTGNIPPEIGDLSLLYKFNLSRNHLSGDIPESIGRLAWLTNVDLSDNNFSGSIPKEFGNCNRLLSMNLSHNNLSGVIPYELGNLFSLQSMLDISSNNLSGEIPQNLQKLLSLEILNVSHNNLSGTIPQSFSSMLSLQYVDFSYNHLSGLIPTGTVFQNQSAEAFVGNSGLCGEVKGLACPKVLSQDKSGGSKKKVLLGVTISIGGVFFIGMISVGILLLQRKARKHSEESKSIEDNDQSNCMVWGRDGKFTFSNLVKATNDFNEKYCIGKGGFGSVYRAELPTGQVVAVKRLNISDSDDIPKENRMSFMNEIKTLTEVRHRNIIKLYGFCSRRGEMFLVYEHVAKGSLGKMLYGEGGKFELNWGTRVEIVQGLAHAIAYLHSDCSPPIVHRDITLNNILLDSDFVPHLADFGTAKLLSSNDSTWTSVAGTYGYMAPELAQTMRVTEKCDVYSFGVVVLEILMGTHPGEFLNILYSNKSLALMEVLVKDVVDQQLPPPTDELGETIMFTMRVALACTCAAPESRPMMRSVAQELSVTAQARLSQPFGTITISKLIGLQK >CAK8538392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481153073:481154008:-1 gene:gene-LATHSAT_LOCUS7601 transcript:rna-LATHSAT_LOCUS7601 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKPVIFLCLLYALLLITSEATKPSKDEKQADETDVSKTKVGIDGWEGRPLRGPGGGPGGGGPGGGGAGGGGPGGGGAGGGGPGGGGAGGGPGGGGPGGGRGGRGPNGGPGGDGPGRGPGGGGPGGGGPGRGGPGGGGPGRGGPGGGGPGRGPGGPGGGGAEKTGEPKTKVGGSGHGGGGSHGAGSGHGGGGSHGGGSGGGKKGGRVGSP >CAK8538497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484926286:484935047:-1 gene:gene-LATHSAT_LOCUS7686 transcript:rna-LATHSAT_LOCUS7686 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPVTVEELLLEKAIKEECQWENLPRRLQQTVPSKEEWIARTIEYCVKKRLPWDSCFACKFCKESEYYENMMRYLRKNLALFPYHLVDYVCRVMRLSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPVEPWWRVCLVNFTLEEFKKLSNEETAVVDKVCEEEANSFLMFDPDTVRDLCRRGLVYFEVPIHPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSNENATVSELATTLQAELSQLQAAASFVCRLGWATKVIDPESIIGNSSITMSPRRSTFSDEDASVASQGSENMSIDNDSTQQGDVSASGNNGPRSAFTRVALIVDANITSYLMMGSVSPGLKTHAVTLYEAGKLGHASIPDLCKDLSTLEGATFEGELQEFANHAYSLRCVLECLHSGGIPADKKEEEVIDKLGTTSSINDKSCSMIAEPERSLADRSSNSSVGETVINTDDLENFESEKNVVETSVYSELIPSSMANGVHAITLEDGSNHIQQVNKSDTNLHTNDKLVEVEGSNVGTEIQKIKKYRVDILRSESLASLAPATLNRLFLRDYDILVSIVPLSHSSILPRPTGPVHFGPPTYSSMSPWMKLVLYSTAASGPLSVVLMKGQCMRLLPAPLAGCEKALIWSWDSTTIGGLGRKFEGKLIKGSILLHCLNSLLKHSAVLVLPLSKYDLNKSGKAATLDIPLPLKNADGSIASVGEDLGLCEEENSKLNSLLTNLSDKMELWTVGYIRVLRLFIGNESDQLSSEVKYEWVPLSLEFGMPLFSPTLCSNICRRIISSEMLQSGSFSEHHDAMQNLRKKLYDICAEYQSTGFTGKLLYQKQQQKESFGKLKSHAIGKLNPLLAPSCPISGASSVHQRLKLANRQRGQTEVLSFDGSVLRSYELTPADVAATTEVKEATQADSMKTEVEENDSKEPILPGVNLIFDGSKLLPFDIGACLQACQPICLITEAAAVSASVAMK >CAK8535890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889011627:889011948:1 gene:gene-LATHSAT_LOCUS5309 transcript:rna-LATHSAT_LOCUS5309 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLKFTLMTIFIFAIALSPTLPCDAARDLVTGNKVICIQCVCCTPPPPGTCCSKCCASSSPPQTETIGQSP >CAK8560263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12322933:12326964:-1 gene:gene-LATHSAT_LOCUS13952 transcript:rna-LATHSAT_LOCUS13952 gene_biotype:protein_coding transcript_biotype:protein_coding MYRATASSLKRHLKGRGGNLGATRFNTSSAVAAKVSSGGLFSWLTGERSSSLPPLDTPLSSVVLPDPLPDYVEPSKTKITTLANGLKIASETSSNPAASIGLYLDCGSIYESPLSSGASHLLERMAFKSTVNRSHFRIIREVEAIGGNIGASATREQMGYTFDALKTYVPQMVELLVDCVRNPAFLDWEVNEELRKVKAELGELSNNPQGLLLEAIHSAGYSGALAYPLLAPEEALNRLDGPSLEEFVAENYTAPRMVLAASGVEHEELLSVAEPLLADLPSVPRPEEPKSTYVGGDFRRHGEEGAAHVAIAFEVPGGWQQERDAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSMLNNTGLFGIYASTGPEFVQKAVDLAARELIAIASPGQVSQVQLDRAKKSTKSAVLMNLESRMIASEDIGRQILTYGERKSVEQFLKAVDEITLNDITKISQKIISSPLTMASYGDVINVPSYETVNRMFHAK >CAK8577687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583535175:583536438:-1 gene:gene-LATHSAT_LOCUS29770 transcript:rna-LATHSAT_LOCUS29770 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKFDPRYSGELLKHMDKQNEVLMETYRSMLHELQKLQVEEEMIMRKLYEVMSAHGLTKQNEDNSNASHNTAEAEQINNEVNTTHENQ >CAK8566041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371213255:371213581:-1 gene:gene-LATHSAT_LOCUS19209 transcript:rna-LATHSAT_LOCUS19209 gene_biotype:protein_coding transcript_biotype:protein_coding MRDISLSKRRNLLSLSRALGISKTSLFRYVKEGVLHCHSSALKPHLKDDNMKERLRFCLSILEESSIPHDPKFKSMHNIVHIDEKWFYISKKSTNYYLLANEADPYHV >CAK8576960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528145439:528149930:-1 gene:gene-LATHSAT_LOCUS29113 transcript:rna-LATHSAT_LOCUS29113-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLKDGSRYIFKSNIKKLFDFHFHSKVVHNQQYGTLVDHQLSRDFFVKLWVFDSRMRNPRGKKLIKSRGVILDPRWFSASSVGAGTSEPKRFLKQPPISQSVSEFSQPESPEEAKVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDACYPQSPVGLIREQSNVITRQLLRLRRPFVAHITDATGNELFRVRRPFWWITSSIYAEIDGKEIGVVHRRWHLWRRLYDLYLGNKQFAVVENPGLWNWTFTLKDIDGEVLAQIDRDWRGFGFEILTDAGQYVIRFGGSDPSSKIGLANAIQDLDVGRPLTLAERAVAVALAISLDNDYFSRHGGWGLPFFDVGE >CAK8576959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528145439:528149933:-1 gene:gene-LATHSAT_LOCUS29113 transcript:rna-LATHSAT_LOCUS29113 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRLKDGSRYIFKSNIKKLFDFHFHSKVVHNQQYGTLVDHQLSRDFFVKLWVFDSRMRNPRGKKLIKSRGVILDPRWFSASSVGAGTSEPKRFLKQPPISQSVSEFSQPESPEEAKVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDACYPQSPVGLIREQSNVITRQLLRLRRPFVAHITDATGNELFRVRRPFWWITSSIYAEIDGKEIGVVHRRWHLWRRLYDLYLGNKQFAVVENPGLWNWTFTLKDIDGEVLAQIDRDWRGFGFEILTDAGQYVIRFGGSDPSSKIGLANAIQDLDVGRPLTLAERAVAVALAISLDNDYFSRHGGWGLPFFDVGE >CAK8572164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516249989:516250585:1 gene:gene-LATHSAT_LOCUS24757 transcript:rna-LATHSAT_LOCUS24757 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPLNMLLGTFLLLIFFAGDFPFRAKGSLLPFLDSPNTLLSDLWSDRFPDPFRVLEQIPYGVEKHEPSVTLSHARVDWKETPEGHVIMLDVPGLRKDDIKIEVEENRVLRVSGERKKEEEKKGDHWHRVERSYGKFWRQFRLPENVDLDSVKAKMENGVLTLTLNKLSHDKIKGPRVVSIVQEDEKSSKLINDELK >CAK8578523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634809520:634811244:-1 gene:gene-LATHSAT_LOCUS30527 transcript:rna-LATHSAT_LOCUS30527 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNSNSNSSSSTIRTEPIAQNIIKLISNLCFSIFVFSVLIFTVIAITYQPPDPWLQSSPALTNLFTQTENSTFHIDTSVIKTGEDIPLSPQDSPLPLPSSAVAANATPAVSEALIEKSEEKIVNSSTTCEDLPETLNCSDPRVLITIQRFNLRAFKSISFFEYRTPVNGTVPGECDVSWRFRNKREKSWRKYRDFRRFKITVTDDCHYKVIHAGRFHSGTNARRNPVLQPRTGGSKGKTVPQIAARDDEINDTIPTLGSESNFRSGKYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSVCLGSMYNPSNKDEEGKDFRYYFDFEHLKEVASIVEEGEFLRDWKRWDKTHLKKRKVPVRKVVTHKVTPMQLQKDKSTVIWRQFDSPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVTEISGRMDWDFDAVHVVRGEKAQNKELWPHLDSDTSPDTLLEKLKGMVQPWRNLYVATNEPYYNYFDKLRSSFKVHLLDDYKELWGNSSEWYNETSLLNNGKPVEFDGYMRVAVDTEVFYRGKTRVETFYNLTNDCKDGVNTC >CAK8574081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:660459547:660460257:1 gene:gene-LATHSAT_LOCUS26458 transcript:rna-LATHSAT_LOCUS26458 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRDSVVCPKPRRVGFNFAVNDHPAKAFRWHLSCQIEPCDSNSSGSNPLDTILTKDVDCDIEQLCPPVASSPPFFCGSPPSRVANPLIQDARFGDENFPPLSPSSWVVVPTQSGLPPSPSSSARKGGCVRANFGNNPAVRVEGFDCLDRDSRNCSIPALA >CAK8572903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570456595:570457407:-1 gene:gene-LATHSAT_LOCUS25409 transcript:rna-LATHSAT_LOCUS25409 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKLHGFWYSPFTFRLVWVLNLKGIPYEHIEEDRFNKSPQLLQYNPVYKKAPVLVHDGKPICESMLIIEYIDEIWPHNSLLPADPYDRAQARFWVKYVDDMFSAIVAHVDSKSDQEREKAEENIREGLGVVENQCLRDEKKFYGGDTINIVDIALGSFVKAIDVQEDMFEVKILQSERFPRLKLWFNNFKNVPIIKENTPSKEKLVALLKPLIEKNLAKK >CAK8568386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601072193:601077556:1 gene:gene-LATHSAT_LOCUS21346 transcript:rna-LATHSAT_LOCUS21346 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLARSLANSLRLDDDGNNENDVVSDPPTTSPRNHPQQNQSQGNAIESQSQHHHDDDDDDDDDETQGRGVKEDLDEIKQTLTRQFWGMASFLAPPPTTSQSDHTFPHKREEEEEEYQRQFDDAAISNQSLDMEQAALREDDPDPNSNTFGSDSEGDREQEFDIPCAVGITEEVLTFALNIAMHPETWLDFPIDEEDDTDDFYMTEAQQEHALVIERLAPRLAALRIELCPCHMSESYFWKVYFVLLHSRLNKQDSEVLSTPWVMEARATWMQELHKQTKPEFEIFGRSDLYSRENAQHHDSTPSLSDDTYSDDMPHQTYGYRTDSLSVRADNESEKHTVESSGSHFSVKSVFEENPIIKNENKDLKSGRRSQIIIQDYDDDDDDDWPEDDSDLGGYGRTTLPIVNEEDISFSDLEDDDFGIKHVSANPDSKVV >CAK8540057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537410624:537413634:1 gene:gene-LATHSAT_LOCUS9096 transcript:rna-LATHSAT_LOCUS9096 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIARATSTTTMFNFSTLVHNPQSNTLFFSPFPFSPSSATPRKFQSFKPPTNWNLHNSLSSSYQNENDEEHVIGDCLVFEEGIFEDPIFPIPSDNLVDSKKPKPSSKKKKKKTAVIKSENLVPDKWRDVQAEVNITKKERRKIAQEIEFNSKVLKKKGGLVPLRNMNLDDYKAYRDAMLAKLKPVVLDMPPSFSFADREEELEDELNDGFGEERVKPKNPRWAVYGRGFEDVNEFLNSQSYDLALKKTQGRLKLFTKEEKALLNKIKPDLSVATSDKWLPLHTFAASAESFLLQTLLKHDVDINAMDKDGLTALSKSIVGRKRAITNLLLLNSANPFVQDNDGATLMHYAVQTASVPTIKVLLLYNVDINLQDNDGWTPLHLAVQTQRNDVVKLLLIKGADETLKNKDGLTPLDICLYSGQSIRTYELIKLLKQLPRRSKNARKNKSLEAASTD >CAK8540058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537410660:537413634:1 gene:gene-LATHSAT_LOCUS9096 transcript:rna-LATHSAT_LOCUS9096-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSTLVHNPQSNTLFFSPFPFSPSSATPRKFQSFKPPTNWNLHNSLSSSYQNENDEEHVIGDCLVFEEGIFEDPIFPIPSDNLVDSKKPKPSSKKKKKKTAVIKSENLVPDKWRDVQAEVNITKKERRKIAQEIEFNSKVLKKKGGLVPLRNMNLDDYKAYRDAMLAKLKPVVLDMPPSFSFADREEELEDELNDGFGEERVKPKNPRWAVYGRGFEDVNEFLNSQSYDLALKKTQGRLKLFTKEEKALLNKIKPDLSVATSDKWLPLHTFAASAESFLLQTLLKHDVDINAMDKDGLTALSKSIVGRKRAITNLLLLNSANPFVQDNDGATLMHYAVQTASVPTIKVLLLYNVDINLQDNDGWTPLHLAVQTQRNDVVKLLLIKGADETLKNKDGLTPLDICLYSGQSIRTYELIKLLKQLPRRSKNARKNKSLEAASTD >CAK8560833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48682806:48683159:-1 gene:gene-LATHSAT_LOCUS14478 transcript:rna-LATHSAT_LOCUS14478 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQRISGNLHRQLEGRFVYLSCNKYGSNVVEKFFHDAGVHLSEKIIAELLNSPNISRLLLDPFGNYVICTTLVKFKGNPYLKNALLDLIQANSLMMRSIMFGKKLLDRAGKELRNM >CAK8566400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419796245:419798286:-1 gene:gene-LATHSAT_LOCUS19533 transcript:rna-LATHSAT_LOCUS19533 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTLFLSLLLHFFSTVTSKSTIEPCTNSDTCNALLGYTLYTDLKVSEVSSLFQIDPISLLTANAIDISYPDVEHHILPSKLFLKIPISCSCVDGIRKSVSTNYKIRPSDTLSTIADSVYGGLVSSDQLKEANSISDPSVLDVGQNLVVPLPCTCFNGSDNALPAIYLSYVVQPLDSLSYIAARYFTTLTDLMNVNAMGTTSISDGDILAIPIPACASNFPKYASDFGLLVPNGSYAITAGHCVQCSCGPRNLNLYCMPASLAVSCSSMQCKSSNLMLGNVTVQQTSGGCNVTSCSYDGLVNGTIATTLTPSLQPRCPGTQEFPPLIAPPTTVARESIFAPAPSPSSLLDGTDGRSPKSSVVPSTGFTPANGPVSGISSGASAACSLVKPLPTLTVPLVLLLVKLMIPLAL >CAK8560286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12999257:13000993:-1 gene:gene-LATHSAT_LOCUS13973 transcript:rna-LATHSAT_LOCUS13973-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKLKCFQCFLMWHVLAATSILSSSTDHSSIDNFLDIAKSPEVFDWMISIRRKIHENPELGYQEFETSKLIRSELDKMGIAYKHPVAITGVIGFIGTGLSPFVALRADMDALPVQEMVEWEHKSKVAGKMHACGHDAHVTMLLGAAKILKKHEKEIQGTVVLVFQPAEEGNGGAKKIVNSGALENVTAIFGLHIAPELPVGEVASRSGPILAGSGRFEAKISGKGGHAAIPQHSIDPILAASNVVISLQHLVSREADPLDSQVVTVAKFHGGSAFNVIPDYVTVGGTYRAFSKQSFNQLRQRIEQIIIGQAAVHRCNATIDFLDEVKPSYPPTINNGDLHEHFVNVAVNMLGINKIDSAVTPSMGAEDFSFYQEVMPGYWFWLGVRNASLHKRIESLHSAYLEINEDGLPYGAALHASLAASYLLKHQQDVPGVVRRYHDEI >CAK8560285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12991878:13000993:-1 gene:gene-LATHSAT_LOCUS13973 transcript:rna-LATHSAT_LOCUS13973 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKLKCFQCFLMWHVLAATSILSSSTDHSSIDNFLDIAKSPEVFDWMISIRRKIHENPELGYQEFETSKLIRSELDKMGIAYKHPVAITGVIGFIGTGLSPFVALRADMDALPVQEMVEWEHKSKVAGKMHACGHDAHVTMLLGAAKILKKHEKEIQGTVVLVFQPAEEGRGGAKKIVESGVLENVTAIFGLHVVPDLPVGEVASNSGPILAGSGRFEAKIIGKGGHAASPHEAIDPILAASNVIVSLQYIVSREANPLDSQVVTVAKFQGGSAFNVIPDYVTIGGTFRAFSKQSFNHLRERIEQIIVGQAAVHRCNATVDFLEEEEPSYPPTVNDSKLHEHFVNVAVNMLGIDKVDSAMKPAMAAEDFSFYQEVMPGYFFMLGVQNDSRNPSESSIHSPYLEINEDGFPYGAALHASLASSYLLKHQQNMLRAADSKYPYEI >CAK8565971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:363220889:363222997:1 gene:gene-LATHSAT_LOCUS19144 transcript:rna-LATHSAT_LOCUS19144 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSFFDRMIGHLRGTCKYYTGYPKVLGPSRVIHFTSEREFVNLLHEGFPVVVAFTIRGNYTEHLDKVLEEAAAEFYPHVKFMRVECPKYPGFCISRQKKEYPFMEIFHSPTHVANQGRVADPNITKYNVKVMPFNYDISVYGFREIFKRYGIRASDAK >CAK8531458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111902616:111904523:1 gene:gene-LATHSAT_LOCUS1249 transcript:rna-LATHSAT_LOCUS1249 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEIVFPRSINLLCRFHINKNVGAKRKQYVASDMQKKIDELWTDVVWASDEVEYDQRLNQLEQACVECNEFIDYVKDTWLTPHRQRFVGAWINRVLHLGNTTTNRVESAHWKLKKMLGNSISDMVKYWEAVNDNLKLQLSNIRASFQKSFYEVEHTHVSKEFFIKLSTPTRIVEELKRVDYVGTNKEICRSTLRTTYELPCACELTGYRIDAIPIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSNAIDELWKRFKSLDVVGKRALKGRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVRYDVYRDPSYHEYVDQTSQSSQRQSQPSQTSKNLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIMSYTNDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLVVLRESLMIEEFGPQPPHKWLPLPDMGYVIANRYNVVLVCLGIECWTFFPMTTSFSPNVSIYCIGFVNRNHWVQVNMKEGFPLPPMTVDWKKFRSPAATSWMIGFVGRLQYWQQLTPILPTHYEL >CAK8571771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471403657:471404106:-1 gene:gene-LATHSAT_LOCUS24404 transcript:rna-LATHSAT_LOCUS24404 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIPVHASSSTPPKVQKNVPGSITDIAWKHGVSVDGGTRKIRCNYCSKEVIGGVYRLKHHLACTQINVGACKSVHDDVKFQMWQILKSLQNNLLKKTEDTNEIVTGKRPLEDDDNVAPAKKIYEEGHEYAIHHQLHLQKEFERRNMS >CAK8537626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:410723315:410739976:1 gene:gene-LATHSAT_LOCUS6896 transcript:rna-LATHSAT_LOCUS6896 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSGEMDQGVSDSSLPTSNPQLQPAHIQHLSSSRNKVFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNVVSQKANEVNLTLGGIDLNNSGSVSIKEDKKLLTVQFPDVHDGRVFTLKAETTEDLYEWKTALENVLAHAPSATNVTGQSGIFRSGQAESLDIYLDQLKDRDAVKYAVLGRPILLALEEVDGTPSFLEKALRFIEEHGAKVEGILRQAADVEDVENRVREYEQGKVEFSEEEDAHVVADCVKHVIRELRSFPVPASCCKALLEASRTARTHRVSAMRAAIWDTFPEPNRRLLQRILLMMQAVASQKAENRMSSSAVAACMAPLLLRPLLIGDCEVENDFDVGGDDSLQLLQAAAAANHAQAIVITLLEEYNSLFEEGSSSPGPDMYMDSEDGESESEEATDDDLSYDDYDDDEQDESIEGSDVDADDDVVSETNSETGDSAVNDGYDDKDHILSYSSSKSSEVNDYLAVDQRLSTISLEVSLPQSEDFASQNSSACTDESNKPCENFTSQNKTASANDSTKATDIIEGLSPDQTTMNRLNSPSPSCMNKSINMSNGTMHRRRSVLGRNSGSRNLSMESIDFLDENEAEVERLEAVKTELQSHIAVEGKVNAKLQSYVETRKEALQERRLILERDVDKLQEQLLKEKNFRETLEAGLKFLPGTLSELSGIDEQTKTDFEELVTIETDLADLELKVNELGARLSALLERNYGSMANFCDQTQLISGHERNLKSKPDTEVAAISEYDRSISKQDSHFGGAENENERKPESTLLPNKHPPSFKKSGVRVEQGTNSPTSSTMTRLTSKLNFLKDRRSQFSNELQNMNKGKGFELQLPPPSPNKSRGFDFHIQLRSPRSRGNERHSPLLSPNKSRGCDFYIPLISPSRCRGSENHSPSIFEKVRGNEDHSLQYSEKPRKSDSQSYHSDSLNEFSQYLKSGRSARHYQTHNVD >CAK8537627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:410723315:410739976:1 gene:gene-LATHSAT_LOCUS6896 transcript:rna-LATHSAT_LOCUS6896-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSGEMDQGVSDSSLPTSNPQLQPAHIQHLSSSRNKVFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNVVSQKANEVNLTLGGIDLNNSGSVSIKEDKKLLTVQFPDVHDGRVFTLKAETTEDLYEWKTALENVLAHAPSATNVTGQSGIFRSGQAESLDIYLDQLKDRDAVKYAVLGRPILLALEEVDGTPSFLEKALRFIEEHGAKVEGILRQAADVEDVENRVREYEQGKVEFSEEEDAHVVADCVKHVIRELRSFPVPASCCKALLEASRTARTHRVSAMRAAIWDTFPEPNRRLLQRILLMMQAVASQKAENRMSSSAVAACMAPLLLRPLLIGDCEVENDFDVGGDDSLQLLQAAAAANHAQAIVITLLEEYNSLFEEGSSSPGPDMYMDSEDGESESEEATDDDLSYDDYDDDEQDESIEGSDVDADDDVVSETNSETGDSAVNDGYDDKDHILSYSSSKSSEVNDYLAVDQRLSTISLEVSLPQSEDFASQNSSACTDESNKPCENFTSQNKTASANDSTKATDIIEGLSPDQTTMNRLNSPSPSCMNKSINMSNGTMHRRRSVLGRNSGSRNLSMESIDFLDENEAEVERLEAVKTELQSHIAVEGKVNAKLQSYVETRKEALQERRLILERDVDKLQEQLLKEKNFRETLEAGLKFLPGTLSELSGIDEQTKTDFEELVTIETDLADLELKVNELGARLSALLERNYGSMANFCDQTQLISGHERNLKSKPDTEVAAISEYDRSISKDSHFGGAENENERKPESTLLPNKHPPSFKKSGVRVEGTNSPTSSTMTRLTSKLNFLKDRRSQFSNELQNMNKGKGFELQLPPPSPNKSRGFDFHIQLRSPRSRGNERHSPLLSPNKSRGCDFYIPLISPSRCRGSENHSPSIFEKVRGNEDHSLQYSEKPRKSDSQSYHSDSLNEFSQYLKSGRSARHYQTHNVD >CAK8537625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:410723315:410739976:1 gene:gene-LATHSAT_LOCUS6896 transcript:rna-LATHSAT_LOCUS6896-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSGEMDQGVSDSSLPTSNPQLQPAHIQHLSSSRNKVFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNVVSQKANEVNLTLGGIDLNNSGSVSIKEDKKLLTVQFPDVHDGRVFTLKAETTEDLYEWKTALENVLAHAPSATNVTGQSGIFRSGQAESLDIYLDQLKDRDAVKYAVLGRPILLALEEVDGTPSFLEKALRFIEEHGAKVEGILRQAADVEDVENRVREYEQGKVEFSEEEDAHVVADCVKHVIRELRSFPVPASCCKALLEASRTARTHRVSAMRAAIWDTFPEPNRRLLQRILLMMQAVASQKAENRMSSSAVAACMAPLLLRPLLIGDCEVENDFDVGGDDSLQLLQAAAAANHAQAIVITLLEEYNSLFEEGSSSPGPDMYMDSEDGESESEEATDDDLSYDDYDDDEQDESIEGSDVDADDDVVSETNSETGDSAVNDGYDDKDHILSYSSSKSSEVNDYLAVDQRLSTISLEVSLPQSEDFASQNSSACTDESNKPCENFTSQNKTASANDSTKATDIIEGLSPDQTTMNRLNSPSPSCMNKSINMSNGTMHRRRSVLGRNSGSRNLSMESIDFLDENEAEVERLEAVKTELQSHIAVEGKVNAKLQSYVETRKEALQERRLILERDVDKLQEQLLKEKNFRETLEAGLKFLPGTLSELSGIDEQTKTDFEELVTIETDLADLELKVNELGARLSALLERNYGSMANFCDQTQLISGHERNLKSKPDTEVAAISEYDRSISKQDSHFGGAENENERKPESTLLPNKHPPSFKKSGVRVEGTNSPTSSTMTRLTSKLNFLKDRRSQFSNELQNMNKGKGFELQLPPPSPNKSRGFDFHIQLRSPRSRGNERHSPLLSPNKSRGCDFYIPLISPSRCRGSENHSPSIFEKVRGNEDHSLQYSEKPRKSDSQSYHSDSLNEFSQYLKSGRSARHYQTHNVD >CAK8538536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486339774:486343030:1 gene:gene-LATHSAT_LOCUS7724 transcript:rna-LATHSAT_LOCUS7724 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVSSERQPPRSSPSISRSITQTLNGSHKFLIQGYSLAKGMGVGKHIASDVFTVGGYQWAIYFYPDGKNPEDGSNYVSVFIALASEGTDVRALFELTLVDQSGLGKHKVHSHFDRSLESGPYTLKYKGSMWGYKRFFRRNSLESSDFLKNDCLKINCTVGVVVSATDCPLLNSIHVPESDIGSHFGTLLENMEGSDVTFDVAGEKFPGHKIVLAARSPEFRSKFLNGMDVDTDNQEIVVTDLEPKVFKAMLHFIYRDTLTEEADVVPSTSSSDFPVCETLIAKLLAAADKYGLDRLKLMCESRLCNGIDVNSVARILALADQCHAAELKAVCLKFAAQNLAAIMRTDGFESMKEKCPWLQSEILKTIAGCEGDTCSTGGKSQSVWAQLSDGGETNGRRVRQRH >CAK8537385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:318025271:318026095:1 gene:gene-LATHSAT_LOCUS6678 transcript:rna-LATHSAT_LOCUS6678 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLIHSIMRHVAETLNLDLEDLYIHIAWPLYHKYGHAFEAFKIVVTDPDTILSTLMREIKEVGPDGQEVTKVVPAVSEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVIHIKETIEAVSSKKRKRKKTSSEAVGGFSVFRSSTSKTNEKVQAGDDESIRLKKEK >CAK8536426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940689938:940690249:-1 gene:gene-LATHSAT_LOCUS5795 transcript:rna-LATHSAT_LOCUS5795 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8574788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9464966:9467135:-1 gene:gene-LATHSAT_LOCUS27097 transcript:rna-LATHSAT_LOCUS27097 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVNLDGVDTSSILSEATSTVATIIGKPESYVMIVLKGSVPISFGGTEQPAAYGELVSIGGLNPDVNKKLSAAISAIIETKLSVPKSRFFLKFYDTKGSNFGWNGSTF >CAK8574789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9465183:9467135:-1 gene:gene-LATHSAT_LOCUS27097 transcript:rna-LATHSAT_LOCUS27097-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVNLDGVDTSSILSEATSTVATIIGKPESYVMIVLKGSVPISFGGTEQPAAYGELVSIGGLNPDVNKKLSAAISAIIETKLSVPKSRFFLKFYDTKAHQSQEYAQCLHALHQQ >CAK8567442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514111484:514111693:1 gene:gene-LATHSAT_LOCUS20496 transcript:rna-LATHSAT_LOCUS20496 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGVPAAEALLASGRNSEKLNLPALQSKMKCDPEGYESEPHPQPIQLLTRTLPATSRNELHFHIRNQ >CAK8534880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:785651391:785651870:1 gene:gene-LATHSAT_LOCUS4385 transcript:rna-LATHSAT_LOCUS4385 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLHGTFPSKQISPALVHAVTLSVDVRTDHVQKVTETQEIDLTTPWAASSRMANRCVLDNKSSSSLTSVATDLGLGTLYTSTPNACKPDTTRFQDKIKHFECVPDSASADSVAIQGNTSHQIARSSCSCERIGTVTCFRRETRTIVFLMSNTSGNNA >CAK8561625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:327200879:327202252:-1 gene:gene-LATHSAT_LOCUS15200 transcript:rna-LATHSAT_LOCUS15200 gene_biotype:protein_coding transcript_biotype:protein_coding MICKYKSHIFYLFFLALFPFIQSKLTPNYYQKTCPRFLDIVKETVAAKQQITPSTAGATLRLFFHDCMIGGCDASILITSNSFNKGERDADINLSLSGDGFDVVTRAKNMLELECPGMVSCSDIIATAARDLVVLAGGPFYELGFGRKDSLVSKAIDAENKYPKPTMTMNQVIDIFTSKGFTIQEMVALVGAHTIGFSHCKEFSNRLFNFSKTAETDPKYKPEFAAGLKKLCQNYQKDPSMSAYNDVMTPKKFDNMYFKNLKRGLGLLATDSLMFEDKRTKSFVELYATNESKFFEDFGHAMRKVSVLNVKVGKNGEVRNRCDAFNKLDTN >CAK8560264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12336442:12342463:-1 gene:gene-LATHSAT_LOCUS13953 transcript:rna-LATHSAT_LOCUS13953 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSLSPFPPSQSSSLHKPTPPTTNPTLCFNPPQHPNTNHRFNFQQNASSSSRDNDNAQDFPIEDANTRVNPIEGSDERVLFGRKKKKGKEKVYWVCSDCGYTTGQWWGRCRSCSVSGTMKEFHEAKTSESNGKVSGFSVMEDGLGSWLPQQNGELRPLRLSEVNRGLDHLHWRIPLSGLFGNEVSRVLGGGLVPGSLTLVGGDPGVGKSTLLLQIAALIAEGLKDGEASPVVYVSGEESIEQIGNRADRLTIGSDIYLYSSNDIEDILKKVHHLSPQALVVDSIQTVYLKGIMGSPGGIMQVKECTSALLRFAKTTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKYTSHRMLRAVKNRFGSTDELGVFEMSHSGLEAVSNASEMFLSEQDLDSEILAGLAVAVIMDGSRTFLIEIQALCLSGSTGSRQFNGIQANRADMIISVLIKQAGLRLQEHAVFLNVVSGLTLSETAGDLAIAAAICSSCLELPIPNDIAFIGEIGLGGELRMVTRMEKRVHTVAKLGYRMCIVPKAAEKVLGSEGLENIKVVGCRNLKDVINTIFPNVMRRSN >CAK8569881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14116015:14120975:-1 gene:gene-LATHSAT_LOCUS22685 transcript:rna-LATHSAT_LOCUS22685 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFVTENMGLLCSKSNRYNDAKAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVVHANVYQTIKLLHDGSKEFAQNDVDFSKYVISSENKDIGEKLSEIGGRMDYPRLTKELAQEIESLWKDAAIQETYVRGNELQVPDCTHYFMENLQRLSDASYVPTKEDVLLARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCVAISEYDQTLFEDENKNRMMETKELFEWVLKQQCFEKTSFMLFLNKFDIFEKKILDVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQSTAPDSVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >CAK8530394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18870541:18871026:1 gene:gene-LATHSAT_LOCUS262 transcript:rna-LATHSAT_LOCUS262 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSILCALGLIFIVGSHVAYAQDSPSDYVNAHNAARSQVGVANIVWDNTVAAFAQNYANQRKDCQLIHSGGGGRYGENIAMSTGGMSGTDAVKLWVDEKSYYDYNSNTCASGKVCGHYTQVVWRNSVRLGCAKVGCNNGGTFITCNYDPPGNYVGQKPY >CAK8542936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561059802:561063773:1 gene:gene-LATHSAT_LOCUS11733 transcript:rna-LATHSAT_LOCUS11733 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIENNHLQHQNTSEEEKESLRKRVWQESKKMWIVAGPAIFNRFSTFGITVVSQSFIGHIGSTELAAYAIVMTVLVRFANGILLGMASALGTICGQAFGAKKYDMLGVYLQRSWIVLFLATILLLPIYIFTIPILEALGQDKNVAIVAGSISLWSIGILFAFSVSFTCQMFLQAQSKNKIIVYLAAVSISIHVFLSWLLTVKFKFGLNGAMTSIILAYWIPNLGQLFYIMKKCPDTWKGFSFLAFNDLWSVTKLSLSSGVMVCLEIWYTTILILITGNMKNAEIAIDALSICMNINGWETMVAFGFMAAASVRVSNELGRESSKGAKFSIVITVLTSFAIGFVLFLIFMFLKERVSYIFTPNPDVANAVGDLSLLLSFSILLNSIQPVLSGVSVGAGWQKVVACVNIGSYYLIGIPIGLVLGYVFHLQVKGVWIGMLFGIFVQTIILIIITFKTDWDKQVEIARNRVNKLSVVENVESNDTPNTSS >CAK8574538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1843136:1844239:1 gene:gene-LATHSAT_LOCUS26877 transcript:rna-LATHSAT_LOCUS26877 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIQLPPPTATGSAAGSPNRPQRRRRDLTLPLPQRDTNLAVPLPLPPSGSGSGGSGNGGSGGSGGGGSNGGGTNQQLVIPFSELERLNRIGSGSGGTVYKVVHRINGRAYALKVIYGHHEESVRRQIHREIQILRDVDDPNVVKCHEMYDHNAEIQVLLEYMDGGSLEGKHIPQESQLADVARQILRGLAYLHRRHIVHRDIKPSNLLINSRKQVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDINDGQYDAYAGDIWSLGVSILEFYMGRFPFAVGRQGDWASLMCAICMSQPPEAPTTASPEFRDFVSRCLQRDPSRRWTASRLLSHPFLTRTVSHQNQSPPNLHQLLPPPPRPLSS >CAK8578376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625458351:625460799:1 gene:gene-LATHSAT_LOCUS30393 transcript:rna-LATHSAT_LOCUS30393 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSFPLRWESTGDQWWYATPIDLAAANGLYDLVLELLHLDTNLLIKLTSLRRIRRLETVWDDESQFEDVAKCRSYVARKLMVECEKTGRGENSLVGSGYGGWLLYTAASAGDLEFVHELLRRDCLLVFGEGEYGVTDMFYAAARSKNSEVFNMILDFALLGKDCGGVELDEGGGGGGVFKKEIVNRAIHAAARGGNWEILKKELILGNAFQILAYRDAQGCTVLHSAAGRGQVEVVRNLTALSPDIINSTDSQGNTALHVASYRGYLPVVEILIQASPSLTKLTNQNGDTFLHMAVYGFTSTDFCRLDKHAELMNRLLSTEKIANLKDIINVKNNVGRTAIHVAVIHDVQCDVVESMMSVASINLNIRDANGMTPLDYLKQRPRSKSSEALIKQLISAGGISNCKDYITRNAIATHLKTRHGIQGSPGTSFRISDSKIFLYTCIENENSSDIANTDQASVESNAYSIEIEDYYESAAGSSCNSKTSSADSVAKRLKNLLKFKEFKDDDSVNNSPSSRENNLKEFPISLRQRYSKQCSLPNNKRTLSIRTLFPSPSAKKYFTAGLTQGVIRVKPQKEGSNLSHSSSNKQEHVDTMGQIDDGGAQQLNYNKERNFNKKLMNRYFSFGAQGQSLEDANSCTMSKHSSKRFGLVA >CAK8579528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705993357:705999889:-1 gene:gene-LATHSAT_LOCUS31468 transcript:rna-LATHSAT_LOCUS31468 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMRIFHSRKWLCRSFSNILHSEPLRVCVVGSGPAGCYTAEKVLKAHQQAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAQHDRCSFFGNVTLGSCISLSELRKLYHVVVLAYGAESDRNLGIPGENLKGVISAREFVWWYNGHPDGRNLDPDLKSTDTAVILGQGNVALDVARILLRPTRELATTDIASHALAALEESSIRVVYLVGRRGPAQAACTAKELREVLGIHNLDISIQESDLLLTPADEEELKSSRIHRRIFELLSKAATSRPRHAGLNQRQLRFVFFRKPDSFQESKDSTGHVSGVRFEKTVLKGAGPGKQIAVGTGEFEDIKCGMVLKSIGYKSVPVDGLPFDHKKGIVPNDKGRVLHETSDTTVLEEGLYVCGWLKRGPTGIVATNLYCAEETVSSILEDLEKGALISPTATPKPGRDGLLNLLHDRNVQNFSFNDWEKIDSEERKLGNLRNKPREKLATWNELLTATSEGTEYST >CAK8538679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492747439:492749077:-1 gene:gene-LATHSAT_LOCUS7853 transcript:rna-LATHSAT_LOCUS7853 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFYAHGRNHLFVPGPTNIPEEVIRAMNRNNENHRSPAISALTKTLLHDIKKIFKTTSGIPFLIPTTGTGAWESALTNTCSPGDRIVSFLIGQFSFLWIEQQKQLNFNVDVVESEWGQGADLDILESKIAADKTHTIKALCIVHNETTTGVTNDLTKVRKILDEFNHPALVIVDAVSSIGALDFRMDEWGVDVVVTSSQKAFSLPTGMGIVCAGPKAIEASKSAKSLRAFFDWNGYLKCYKLETYWPYTPSIQLLYGLRAALDLIFEEGYENVILRHNRLAKATRLAVEAWGLKNCCQKEEWYSASVTAVVVPPNIDSGEIVTKAWKKYNLSLGVGLNKVAGKIFRIGHIGHLNELQLLGCLAGVEMTLKDVGYPVKLGSGVAAASAYLQNNTPFIPSRI >CAK8542480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519274896:519282309:1 gene:gene-LATHSAT_LOCUS11319 transcript:rna-LATHSAT_LOCUS11319 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDHHRLDSSASDISVYVDAVATPSGRTDRDSSSASQNVVAEPSEHSKKAAKVGRHSGLLARFFDENVPFKKRLRWLKKASMVKDDGTVEIDVPGHIKPHSPENIYEPCNETFVDDVQPIRPQQIAMLIVGTQGDVQPFVAIGKRLQADGHRVRLATHKNFEDFVLKAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIQLQRSQIKSIIHSLLPACNSQYPDSNEPFKADAIIANPPAYGHTHVAEYLKVPLHIFFTMPWTPTNEFPHPLSRVRQPIGYRLSYQIVDALIWLGIRDLINEFRKKKLKLRAVTYLRGSYTSPPDMPYGYIWSPNLVPKPKDWGPNIDIVGFCFLDLASNYEPPKPLVDWLEEGDSPIYIGFGSLPLQEPEKMTRIIVQALKQTGQRGIINKGWGGLGNLAELNKSKSVYLLDNCPHDWLFPRCTAVVHHGGAGTTAAGLRAECPTTIVPFFGDQPFWGERVHARGVGPAPIPVKEFTLERLVDAIRFMLNPEVKKRAVELANSMKNEDGVVGAVNAFYKHYLREEPDAKAESKPVASVNKQLSICGCFGCYKSSS >CAK8571610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:448074777:448078020:1 gene:gene-LATHSAT_LOCUS24253 transcript:rna-LATHSAT_LOCUS24253 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEHSDVVQWGMNLFDVDPCYSSGYYGDIIQHDTGDVYDANYFHSHYDSESNQVENDEIIARTLQEEFSQLEIAERSGYSQADEEHYHASEPSYDWQNTPMMNYCSGGHNYVNEGVGDIETSSSCCSPGEVGGCSLELVDNYPFDDEIERRLSEITPIPHIPKINGEIPSIDEATSDHERLLDRLQLYDFVERKVQGDGNCQFRALSDQLYNTPDHHKFVRRKVVNQLKSHPDIYEAYVPMEYSEYLEKMSKSGEWGDHVTLQAAADSYGVRIFVMTSFKDTCCIEILPSFEKPKGVIFISFWAEVHYNSIYPQGDITSNESRKKKRWWSFESSH >CAK8536740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12337043:12338006:-1 gene:gene-LATHSAT_LOCUS6074 transcript:rna-LATHSAT_LOCUS6074 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSILIKFLMFLQLSVLCLSQQQDFDFFYFVQQWPGSYCDSQKSCCYPTSGKPAADFGIHGLWPNYRDGSYPSNCDPDSPFDQSQISDLTSSLQKNWPTLACPSGNGIQFWTHEWEKHGTCSESSLKQHDYFETTLNLKQKSNLLGALSRAGIQADGGSYSVSSIKEAIEKGVGFAPFIECNVDSSRNSQLYQVYLCVDTSGTNFIDCPVFPHGKCGTDVEFPSF >CAK8579129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678087869:678088510:-1 gene:gene-LATHSAT_LOCUS31097 transcript:rna-LATHSAT_LOCUS31097 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQIKKIQAMKRYKKHQFLENLHFYSLIALICSFFCCVTLCLPYLCTLLVYISSLIPLLLSSKLLFILCNIIIFVLMINSKIISSDTSSNSDVYYDEYIQSTQTSKPMFQSFDVNKGETVFEKLHVEENIVNMFEKHVGENTMELKRKVCVKKTTKSWEAKEDDDHDFDGFDKQSMFVAASDELNKRAEDFIARVNRNREFELRCWKNGSY >CAK8577262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:550816132:550818152:1 gene:gene-LATHSAT_LOCUS29383 transcript:rna-LATHSAT_LOCUS29383 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFSGGSVSPFLFHTNKGTSFTPKSPILHLKRSFSAKSVASVGTEPSLSPAVQTFWKWLQEEGVITAKTPVKASVVTEGLGLVALRDISRNDVILQVPKRLWINPDAVAASEIGRVCSELKPWLSVILFLIRERSREDSVWKHYFGILPQETDSTIYWSEEELQELQGTQLLKTTVSVKEYVKNECLKLEQEIILPNKRLFPDPVTLDDFFWAFGMLRSRAFSRLRNENLVIVPMADLINHSVRVTTEDHAYEVKGAAGLFSWDYLFSLKSPLSVKAGEQVYIQYDLNKSNAELALDYGFIEPNESRHAYTLTLEISESDPFFDDKLDVAEANGFAQTAYFDIFYNRTLPPGLLPYLRLVALGGTDAFLLESLFRGTIWGHLELSVSRDNEELLCKAVRDACKSALAGYHTTIEQDRKLKEGNLDSRLAIAVGIREGEKMVLEQIDGIFKQKELELDQLEYYQERRLKDLGLCGENGDILGDLGKFF >CAK8543368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603215072:603216297:1 gene:gene-LATHSAT_LOCUS12130 transcript:rna-LATHSAT_LOCUS12130 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTQKNSLVSHFSHPHLLQHTTTPSTKNITCSGCNQTITHEQHYYTCKTCPFYLHSVCYKTPLITNHPSHPNHDLFLLVIPSSAATKSTFHCMACKQHATGFCYHCAECNIFFHSICITLPLSVSIAQHPHKIKLEFAPPYDFFCDLCDKPSYKGWLYRCNMCEFDIHIACAVKNIGGGVRIDGAVSGWNKKLFSPLKKHSTSNGKKAMIVELGLQETEEITTTHSISLEILEGKTPLRDKMTPLSDDTSPPLSSNQFSDSYFSIDLNKSYSTNHDRRGQVRKEVNNDYISQSVVSSNSGQGDEETNNVVNYWLKNHSQKDKVNAAFEDSSQKVLVKNPKERLAKWSVKDQTTSRDEAEKKSHSGWKKLLTCCL >CAK8573321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603013477:603016728:-1 gene:gene-LATHSAT_LOCUS25782 transcript:rna-LATHSAT_LOCUS25782-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSISPDGQALLSLATSSPSLLSSWNPSTSTPCSWKGVTCSPQSRVISLSIPDTFLNLSSLPPQLSSLTMLQLLNLSSTNLSGSIPPSFGQLSHLQLLDLSSNSLTGSIPNELGNLYSLQFIFLNSNRLTGTIPQELSNLTSLEVLCLQDNLLNGSIPSELGSLKSLQQFRIGGNPYLTGELPPQLGLLTNLTTFGAAATSLSGSIPSTFGNLINLQTLALYDTEISGSIPSELGLCSELRNVYLHMNNLTGSIPREFGKLQKLTSLLLWGNTLSGEIPSEISNCSSLVIFDVSSNDLSGEIPGDFGKLVVLEQLHLSDNSLTGEIPWQLSNCTSLATVQLDKNQLSGTIPYQVGKLKVLQSFFLWGNLVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFGLQKLSKLLLLGNSLTGKLPASVANCQSLVRLRVGENQLTGEIPKEIGQLQNLVFLDLYMNHFSGSLPVEIANITVLELLDVHNNYLGGEIPSLIGELENLEQLDLSKNSLTGEIPSSFGNLSYLNKLILNNNLLTGSIPKSVRNLQKLTLLDLSYNSLSGCIPQEIGHVTSLTISLDLSSNAFIGEIPDSMSALTQLQSLDLSRNMLHGGIKVLGSLTSLTLLNISYNNFSGPIPVTPFFKTLTSSSYLQNFHLCQSIDGATCSSSLIQKNGLKSPKTVAMITIILASVTIIVIASWIIVTRSNHRYMVEKALRISGSVSGAEDFSYPWTFIPFQKLNFSIDNILDCLKDENVIGKGCSGVVYKAEMPHGEVIAVKKLWKTNKGDEMVDSFAAEIQILGYIRHRNIVRLIGYCSNGSVKLLLYNFIQNGNLRQLLEANRNLDWETRYKIAVGSAQGLAYLHHDCVPTILHRDVKCNNILLDSKFEAYLADFGLAKLMNSPNYHQAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVESQHIGDDGQHIVEWVKKKMASFEPAVSILDTKLQSLPDQMVQEMLQTLGIAMFCVNSSPVERPTMKEVVALLMEVKSQPHEEIGKTSQPLIKQSSTQ >CAK8573320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603013477:603016788:-1 gene:gene-LATHSAT_LOCUS25782 transcript:rna-LATHSAT_LOCUS25782 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVSHSVTTFLFFLLFFCMEVSSISPDGQALLSLATSSPSLLSSWNPSTSTPCSWKGVTCSPQSRVISLSIPDTFLNLSSLPPQLSSLTMLQLLNLSSTNLSGSIPPSFGQLSHLQLLDLSSNSLTGSIPNELGNLYSLQFIFLNSNRLTGTIPQELSNLTSLEVLCLQDNLLNGSIPSELGSLKSLQQFRIGGNPYLTGELPPQLGLLTNLTTFGAAATSLSGSIPSTFGNLINLQTLALYDTEISGSIPSELGLCSELRNVYLHMNNLTGSIPREFGKLQKLTSLLLWGNTLSGEIPSEISNCSSLVIFDVSSNDLSGEIPGDFGKLVVLEQLHLSDNSLTGEIPWQLSNCTSLATVQLDKNQLSGTIPYQVGKLKVLQSFFLWGNLVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFGLQKLSKLLLLGNSLTGKLPASVANCQSLVRLRVGENQLTGEIPKEIGQLQNLVFLDLYMNHFSGSLPVEIANITVLELLDVHNNYLGGEIPSLIGELENLEQLDLSKNSLTGEIPSSFGNLSYLNKLILNNNLLTGSIPKSVRNLQKLTLLDLSYNSLSGCIPQEIGHVTSLTISLDLSSNAFIGEIPDSMSALTQLQSLDLSRNMLHGGIKVLGSLTSLTLLNISYNNFSGPIPVTPFFKTLTSSSYLQNFHLCQSIDGATCSSSLIQKNGLKSPKTVAMITIILASVTIIVIASWIIVTRSNHRYMVEKALRISGSVSGAEDFSYPWTFIPFQKLNFSIDNILDCLKDENVIGKGCSGVVYKAEMPHGEVIAVKKLWKTNKGDEMVDSFAAEIQILGYIRHRNIVRLIGYCSNGSVKLLLYNFIQNGNLRQLLEANRNLDWETRYKIAVGSAQGLAYLHHDCVPTILHRDVKCNNILLDSKFEAYLADFGLAKLMNSPNYHQAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVESQHIGDDGQHIVEWVKKKMASFEPAVSILDTKLQSLPDQMVQEMLQTLGIAMFCVNSSPVERPTMKEVVALLMEVKSQPHEEIGKTSQPLIKQSSTQ >CAK8571834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482227689:482228886:-1 gene:gene-LATHSAT_LOCUS24460 transcript:rna-LATHSAT_LOCUS24460 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLFQGLSSVLHSMDQGLICSSNCDLETMTEAPYHCSYILQPSDKGPMHMRRLAGAEEVKQAPDNRLINPLVNKDVENSVQACLLKFLLLML >CAK8539364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510209158:510209840:1 gene:gene-LATHSAT_LOCUS8467 transcript:rna-LATHSAT_LOCUS8467 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSHSRVKDDDSVHHVDFASGKVHLINTKESWDQKLEQARGDGKIVVANFSAIWCGPCKMIAPYYCELSEHYPSIMFLLIDVDELTDFSTSWDIKATPTFFFLRDGQEIDKLVGANKPELEKKIACVNVAPRHQ >CAK8573028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578107292:578109091:1 gene:gene-LATHSAT_LOCUS25523 transcript:rna-LATHSAT_LOCUS25523 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAESWFRSLWKTQRKDDSNSEKAVIGVLAFEAAKLMSKLVNVWQSLSDKQVAKLRDEISNSVGIKKLVSDDDNFIVRLISQEMLESMVYVAESVTRLAKKCSDTRLKDFEKAFDRFITRGFDSYGWVLSLKKMDKKVKRMEKFVSINANLYQEMEMLTDLEQTLKRMKVYSESDGPNLIEYQKQVAWKKLEVKNLQSDSLWNRTYDYTVLFLARSLFTIFSRINNVFGIHEVANIGKTTNRNAMNGDHIHGSHSVSELLQSSVQPLPNNVARFSSGPLGPSTAKSDQNVRANKTSIFQPVGESSTKSGPISGKYKGLNFFSGPLGRSSKKPVPENGTSKNKFWKFNNGHSSTASGKENNTRHSRATQVGPFKGCVAADSLSVINGHLNPNDVCLETQNHKEADSELHTHGNAAHCSQPMFSSLCKLKPPSESLGAASLALHYAHVIIVIEKLAASPHLIGLDARDDLYNMLPRRVKASLRTKLKPYSKGMAAAVYDASIAEEWTEAMTKALEWLAPLAHNMLRWQSERSYEQLSFVSRTNVLLVQTLYFANQEKTEEIITELLVGLNYVWRYVKELNTGILEIGNSVVNNGYSILNA >CAK8566793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463594048:463597771:-1 gene:gene-LATHSAT_LOCUS19900 transcript:rna-LATHSAT_LOCUS19900 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHMKVPLLEKKQYYEDCPGCKVEQAKELNHSVSIINLLIIWMIVLSATLPASSLFPFLYFMVRDFNVAKEEADISYYAGYVGSAYMFGRALTSVLWGMISDRYGRKPVIIMGVIAVIILNTLFGLSTSFWMAIITRFLLGSLNGILGPVKAYATELFREEHQAIGLSTVSAAWGIGLIIGPALGGYLAQPAEKYPEIFSKGSFCDKFPYFLPCFIISGFALAIAIVCIWIPETLHNHSGNESRDDAEAIENGSIRVVKEKTVQKNENLFMNWPLMSSIIVYCVFALHDVAYQEVFSLWAVSPPKLGGLNFTTDDVGNVLSISGLALVIYQLFIYPYVEKTCGPISFARITGILSIPLLQSYPFIALLSGTALYVVISIASVFKNVMSVTITTGLFLIQNRVVEQHQRGEANGISMTCMSLFKAIGPAAGGTILTWSQKRMNASFLPGTQMVFFFLNLVEGLGIVLMFKPFLSEKKKTTSDTLH >CAK8574771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8838198:8840084:1 gene:gene-LATHSAT_LOCUS27081 transcript:rna-LATHSAT_LOCUS27081 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIATLPPPLLLHHSRKLFSGNFQNFHVSVLSGRRNSVAFVVKASGESSESSTSLTVLKSVQNVWDKPEDRLGLIGLGFSAVVAFWASTNLIAAIDKLPVLPISLELIGILFSTWFTYRYLLFKPDREELFQILNKSASDILGQ >CAK8562896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554264958:554266768:1 gene:gene-LATHSAT_LOCUS16358 transcript:rna-LATHSAT_LOCUS16358 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETRTDDKQKRAKSNNEEDVINQLPEGIPINILSKLPIQEAVRTSILSRKWRNLWTYFTGTLEFSGSPIMKDMKKDMKKITGRSLQMAMEIMYDAERQTYTNWINELLASLKSSSLQGLKLWFPVKNVSDIDNWIQFAVRKKVRKLELYFGRATDYVLPLELFKVERFDSLCVLRMKSITVTEETLEYFLCNCLLLETLSLVDSEVPKTMKVLGSGSSLKLKCLELVRCLELTKVEIFAEKLVSFKYYGTNLETEFKSVSSLVEASFGGSFVEFVRESFMLQIKVLKLDITQNSPQVIYWLSQLPKLNNLKDLELVACGDDGIILSACVVLLNASPSLCRFTIKMLNTEPTFRTEHKFPKECQYSIKELELVGFCGASCQVELVMHILENAVELMKITIDTRLPTKPKVRHMEEEHFRTWNCEENRMRAWRLKDKIPPSIKFVCL >CAK8567361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508557303:508557741:-1 gene:gene-LATHSAT_LOCUS20423 transcript:rna-LATHSAT_LOCUS20423 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKGVNEEAFKHLINISPRFWSKSRFPESSCCDTLVNNMSEAFNSILVAARSKPIVTMIEEIRVYIMQRWESNRKKITKYDDIILPNIKKRMERESQKTNHWIVRSACEYDYELRHTSLNR >CAK8564376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671083799:671084101:1 gene:gene-LATHSAT_LOCUS17688 transcript:rna-LATHSAT_LOCUS17688 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTGRPNKNRNKANDEPRIRNTLPRTFQTVNCKKCGSFGHNKQTCKGKRAAERAIPKDDNKKPKKRGDKSGKEAGQTVIDGGQTVIDGGSQAPPPIQE >CAK8563154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578487444:578488990:1 gene:gene-LATHSAT_LOCUS16590 transcript:rna-LATHSAT_LOCUS16590-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVSLLFCFFLGLLAFVHAKENKKIEFYELKRGDLRLNLTNYGASIVSLFVPDKYGKLADIVLGYDSIESYETDTCYFGAVIGRVANRIGGAKFTLDGKTYTLPANDHGNTLHGGTKGFGDNVWTVDYHKEDSHITFAYNSSDGEQGFPGKVEVRVTYMLLEKRILGVKMTATPIDKPTPVNLAQHAYWNLRGHDSGDILSHTVQIFGSNITPVDDKLIPTGKLQPVANTPYDFLTPKEVGSRINDLPGLYDINYALDTSGQNHLSKNVVVRDPVSGRKMELWSNQVGLQYYTSGMLGDTKGKNGATYHKYAGIALETQGYPNSVNLPNFPSQIVKPGETYKHIMVYRFTAS >CAK8563155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578496264:578497815:1 gene:gene-LATHSAT_LOCUS16590 transcript:rna-LATHSAT_LOCUS16590-3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVSLLFCFFLGLLALVHAKDNKKIEFYELERGDLRLNLTNYGASIVSLFAPDKHGKLADIVLGYDSIESYETDTCYFGAVIGRVANRIGGAKFTLDGKTYTLPANDHGNTLHGGTKGFGDNVWTVDYHKKDSHITFAYNSSDGEQGFPGKVEVRVTYMLLEKRILGVKMTATPIDKPTPVNLAQHAYWNLRGHDSGDILSHTVQIFGSNITPVDDKLIPTGKLQPVANTPYDFLTPKEVGSRINDLPGLYDINYALDTSGQNHLSKNVVVRDPVSGRKMELWSNQVGLQYYTSGMLGDTKGKNGATYHKYGGIALETQGYPNSVNLPNFPSQIVKPGETYKHIMVYRFTAS >CAK8563153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578487444:578497815:1 gene:gene-LATHSAT_LOCUS16590 transcript:rna-LATHSAT_LOCUS16590 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVSLLFCFFLGLLAFVHAKENKKIEFYELKRGDLRLNLTNYGASIVSLFVPDKYGKLADIVLGYDSIESYETDTCYFGAVIGRVANRIGGAKFTLDGKTYTLPANDHGNTLHGGTKGFGDNVWTVDYHKEDSHITFAYNSSDGEQGFPGKVEVRVTYMLLEKRILGVKMTATPIDKPTPVNLAQHAYWNLRGHDSGDILSHTVQIFGSNITPVDDKLIPTGKLQPVANTPYDFLTPKEVGSRINDLPGLYDINYALDTSGQNHLSKNVVVRDPVSGRKMELWSNQVGLQYYTSGMLGDTKGKNGATYHKYGGIALETQGYPNSVNLPNFPSQIVKPGETYKHIMVYRFTAS >CAK8536866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:30090208:30095199:-1 gene:gene-LATHSAT_LOCUS6193 transcript:rna-LATHSAT_LOCUS6193 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNKSKVIKLRSHLDKYLIVDDNKISKIKIRQDRNGTVRRAEWTVEEVQNYPHVIRLKSYNGKYLTATEIPFRLGVTGKTVILTELEEGLVDGKNEWEPIRDGFQIKLRSWCGKYLKGNGGAPPWRNSVTTDDSFGSVKHDSVLWDVEAVVVEENMNEIVFERLLSSFASDDVSFESDTESPVSVFSLSSPPARENFQTTKPNKLRTGMDFFHRAKAVRLKSHHNKYLLAEEDEESVTQHRNGSSNNAKWTVEYVPDYDNVIRLKSCYGKYLTASDQPFLLGMTGRKVLQTIPNTIDSSVEWEPVRDGVRVKLKTRYGNFLRGNGGLPPWRNTVTHDIPHRTVTQDWILWDVDVVEINVSNHGSDPASENNNAPSPAVVVPTVSASFSRQQSNESSVGVSPKTKEGRVIYYHVAEDDREVSDEGVEGYSLVFKGNGVDDLRKKFEEETGLEGIIVCNKSPLNGKLYPLRLQLPPNNVTMHVVLVLPFSKVATELEAQGLL >CAK8540156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542583514:542585299:1 gene:gene-LATHSAT_LOCUS9188 transcript:rna-LATHSAT_LOCUS9188 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIPVIDVENLSDQSECEKLRDACEIWGCFRTINHSIPLTLMAEMKTVTEALLDLPMEIKKNNKDVIAGSGYKAPSDVNPLYEALGLYDLGSSQAMQDFCSQLNATPYQRQVMEAYGKAIYDLAVKIGEKMGESLGIFGADFEDWPCQFRINKYNFTPEAVGSLGVQLHTDSGFLTILQDDENVGGLEIINNSGSFVSVPPFHGTLLANLGDIAKVWSNGRFCNVKHRVQCKEATKRFSIATFMLGPKKGNVEAPIEVVDHDHPRLYQPFVYEDYRKLRVSNKMHTGEALELLRLV >CAK8575054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25108488:25109036:-1 gene:gene-LATHSAT_LOCUS27346 transcript:rna-LATHSAT_LOCUS27346 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFHLSTPFSQIHPLKPKLLFTTPSLFLLSKPHLSHNLKPIHPRKFTINSIDISNEEEPQQQQPSSDSEKLRLEEKFAVLNTGIYECRSCGYKYDEGVGDPSYPIPPGYQFEKLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQYGLGGNSLTSGQKTVLIYGTLLFFFALFLSGYFIQ >CAK8571220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:341098082:341100781:-1 gene:gene-LATHSAT_LOCUS23903 transcript:rna-LATHSAT_LOCUS23903 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSISRISLLQPNPSLSLIPNQSPQPLINHKKLPSNFRFEAKRAKWVVLKASSSGVLEVNSKQSVSVEKSNDPIVVIDNYDSFTYNLCQYMGELGVHFEVYRNDELTVEELKRKNPRGVLISPGPGTPQDSGISLQTVLELGPTVPVFGVCMGLQCMGEAFGGKIVRSPYGVMHGKSSLVYYDEKGEDGSLAGLPNPFLAGRYHSLVIEKESFPHDELEVTAWTEDGLIMAVRHKKYRHMQGVQFHPESIITPEGKTIVRNFVKLIEKKKASSS >CAK8559989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2940657:2942093:1 gene:gene-LATHSAT_LOCUS13713 transcript:rna-LATHSAT_LOCUS13713 gene_biotype:protein_coding transcript_biotype:protein_coding METKTHIAIVTVPVYSHLRSILEFAKQLVLQNQNIHVTCINPTFGSSPCNNVKSLFETLPSNINYMFLPPINLQDLPEDIHPALKVQATIYGSVPSIQDALNTLHSSSKLVAVVADGLITQVLSLAKKLNILAYSYFPSTTMLLSLCLHTTYLDKTISSEYKDLLDPIEIPGCIPINATDLPDPMQDRSGEAYKVFIEGNDRFYLADGIMVNSFLALEEITIRTLQQKECEGVPSIYPIGPFIQNASSDEGNDFEYLRFLDKQENKSTLYVSFGSGGTLSNEQIIELALGLELSGQNFLWVLRPPNKHGVIDDLDIGEYDDANDVLFKFLPNGFLERTKGKGLVVPYWAPQVEILSHSSIGGFLSHCGWNSTLESIIHGIPLIAWPLFAEQKMNAALLSDGLRVAIRPKVNENGVVEREEIGEVVKNLMVGEEGKGIRERMEKLKGDAIDALKENGSSTRTLTQLALKWKSLGSQMGT >CAK8562018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426366405:426366846:-1 gene:gene-LATHSAT_LOCUS15554 transcript:rna-LATHSAT_LOCUS15554-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNINHWVHVNMKEGFPLPPVTVNWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8562017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426366405:426366948:-1 gene:gene-LATHSAT_LOCUS15554 transcript:rna-LATHSAT_LOCUS15554 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNINHWVHVNMKEGFPLPPVTVNWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8579691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716864204:716866550:1 gene:gene-LATHSAT_LOCUS31617 transcript:rna-LATHSAT_LOCUS31617 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVTQTKTEQQHRMKSRKPRNQIKNHHKPISQMDQQIQTTRCNSTISSLLLSTFSNETAVSPQPTSKKINFLSAAATFRGLGCTAGASQQVSVPAVIRASADWTHQGKKKTRKKKHKRNVVGGSSKNISNDGSSATCVDFQDVWCGPGIDAAASVDCVVSKKNVSSRAKIDLEKITHREPSSSFRRRTTVYPESFSFPDTDPDIFTACSFGTATYPRHIRDLSSDDLSEIMVLQGRILMGGRYNSCDLFRDLRLDVDNMSYEQLLELGERIGHVNTGLKQDEMEPHIRKTKLRFSDDVSKHQVDKKCSICQEEFEEDDEVGRLKCDHMYHFECIQKWVAHKNFCPVCKEQVAARH >CAK8560954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62650220:62657272:-1 gene:gene-LATHSAT_LOCUS14589 transcript:rna-LATHSAT_LOCUS14589 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGNFPPPQPNGGDFLLSLLQKPRPQTQTHPSQSPITIPQQSPTMDPAVAMMGPTIPFSTSPYQTNGYDNFNHFNHLNHHPHQNQNQNLPPWSHTPSPPYPQNTFGVPHNPFSLPPVPETGYSNNTTPFHFTNGVSLADNLRRLGFPIESNNNNCANSFVQQHQQKQEVKLQFGSLPTVSYNTTPEVSSKGSFNGFDRHNNHERGVIGDFRSNAQSTEQTRAPPGVGNNGNRLGFGELGGTNEDLSSNLEILRIGFGERSNNKGNVGHELRLPDQIDHPGPPSGSNLLSDYEDIGAVGEQLAGSLLLEDEVDDKSSNSKKHHGPKEKDARASDSRGKQLLSQRARTFKRQMIFRRDIDRLSVPFLAIYESLIPPEEEKLKQKQLLGLLEKLVCKEWPMARLYLYGSCANSFGVSKSDIDVCLAIQEVDMDKSKIIMKLAGILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNLLAVVNTKLLRDYANIDTRLRQLAFIIKHWAKSRGVNETYHGTLSSYAYVLMCIHFLQQRRPAILPCLQGMDATYSVTVDNVDCAFFDQVEKLGHFGQHNKESIAHLVWGFFFYWAYCHDYANSVISVRTGNTISKRDKDWTRRVGNDRHLICIEDPFEISHDLGRVVDKRSIKVLREEFERAADIMQYDPNPCVKLFEPYVRSS >CAK8560027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5134805:5136963:-1 gene:gene-LATHSAT_LOCUS13745 transcript:rna-LATHSAT_LOCUS13745 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTLRRAIGAVKDQTSIGLAKVGSSSSLGDLEVAIVKATKHSENPADEKHIREILSLTCYSRAFISACVKTLAKRLSKTSSWTVSLKTLILIQRLISEGDPAYEQEIFFSTRRGTRLLNMSDFRDKSKSNSWDYCSFVRTYALYLDERLEYTMQHKRGRSGRFSYEEDEEEQSEEKVRNRERDKDGEIVAKSIPLFEMKTEQLFSKMQHLQLLLERFMACRPTGRARSHRIVIVALYPIMKESFQIYHDMTKILGVFIDRFTEMEIQECYKVYEIFCRIGKQYDELDLFYTWSKSIGVGRSSEYPNIEKVTTKKLELVDQFIRDKSSRKEKIIIEEKPIEKEEEKEVEEDMNEIKALPPPEGFNEEPIEEVVEEEKEQEQEIKEEKIVQTEGDLLGLGDDMMSKQNYNENKLALALFDGELSTTTQALPWHAFDDESDWETSLVQSGSNLPNQKPSLGGGFDTLLLDSMYEQNVATKQGMNGYGSASSVARVSAVPMLALPAPQTSSNDYQDPFAASMAVAPPAYVQMSEIEKRQRLLLDEQAMWQQYAKSGMQGNVGFPTQQQQPNNFYMGGYQQNYYGNYYH >CAK8537833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439991314:440014349:1 gene:gene-LATHSAT_LOCUS7087 transcript:rna-LATHSAT_LOCUS7087 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNDEEQDGNSIHQSPLRIPELLYVSVKMENPIVAVAGNLFPHVFCSSALLGASDSSRALSMERESESMWEFSLVVPQNHDALDFKFLLKPKDNDSPCFVEEDPSRVLIRGALQDGARLASFKLDNDQAVEYKVFVQASRVSSFDLAASWRAYRENFHPSAARGIPDVSINSEPRTEIETVSSVGLELDLEHYVVPAPSNSAVVYAANLTENPRSLNDSGSGSDYFSIGDDIVSIDQPETEMEFNILDPSRVYQNSKLVKSLSVGTMSTLRTEHGQRGPFVDRGVGHPRILKSSSLKSFTTDLILEPDTKNSFPAAAGAVAAGAIADQMLGPKEDKHLAIVMVSLPARGKTFTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGASQSADFFRADNPEGIEARNEVAKLAFEDMITWMKEGGQVGIFDATNSSKQRRNMLMKLAEGRCKIIFLETICNDVDMIERNIRYKIQQSPDYAEVSDFEAGLRDFKTRVTNYEKVYETVEEGSYIKMIDMASGRGGQIQVNNISGYLPGRIVFFLMNTHLTPRPILLTRHGESQDNVRGRIGGDPALSEAGELYRQKLAKFVGKRLKSERAASIWTSTLQRTILTAGPIGGFPKIQWRALDEIDAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFTDRPLKEIPHMEVPLHTIIEIELGVTGVQEKRYKLMD >CAK8541522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:217597922:217603348:1 gene:gene-LATHSAT_LOCUS10438 transcript:rna-LATHSAT_LOCUS10438 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHWGIVVSDPSLQNQFTQVELRSLKSQFISMRRESGKLIIGDLASKMSRLKVVGENLSEEERYSYINDLHKNSDEEVDFELFLKVYLKLQTFASSRTGKNNAKNSSAFLKVATTTLLHTISESEKASYVTHINNYLAEDEFLKKYLPLDPSTNDLFEIAKDGVLLCKLINVAVPTTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRRHLVLGLISQIIKIQLLANLNLKKTPQLLELVGDSQDMEELMNLPPEKILLRWMNFHLKKTEYKKIVANFSSDVKDAEAYAHLLNVLAPEYTNPSTLAMKNPFERAKLVLEHAEKMGCKRYLTARDIVEGSPNLNLAFVAHIFQIRNGLSALAKQSSLLESLLDDTQDSREERVFRLWINSLGNSIYINNVFEDVRNGWVLLETLDKVSPGIVNWKIANKPPIKMPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGYKKLILAYLWQLMRCNILQLLKNLRFHSHGKEIIDADILQWANTKVKSSGSPSHIYSFKDKSISDGIFFLELLSSVQPRAVNWGLITKGVTDEEKMMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKHAVVEERSSDSENGSQVETTSNSTVDDSSSDFSVEENM >CAK8571421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404336318:404338667:-1 gene:gene-LATHSAT_LOCUS24087 transcript:rna-LATHSAT_LOCUS24087 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKTAKTRRGKKELEKRAPKLIESGKKTLILHGTKTSAVLNAVLTQIYHLKKESAVKYSRKNDNINPFEAGGETSLEFFSLKTDCSLFLYGSHSKKRPNNLVIGRTYDHHVYDLVEVGIENFKGMESFTYDKKLAPKEGTKPFMAFIGEGFETMIQLKHLKEVLVDLFRGEVVENLNLAGVDRAYICTALSPTKVFFTHCALRLKKSGTVVPRMELVEVGPSMDMVIRRHRPPNESVRKEAMRTSRDKPKKKEKNVKKDPLEGKIGNVYIPDQKIGEMALPNKSKGVKRERREAKRKSGSDEHASKKKKEDS >CAK8567729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539437868:539443352:1 gene:gene-LATHSAT_LOCUS20752 transcript:rna-LATHSAT_LOCUS20752 gene_biotype:protein_coding transcript_biotype:protein_coding MANILKSNSGRIALRSITHSLAAVSTTTTNHASSLPSRLSSVRFLRSGRDPISRNYETIPPVNWGVRIVPEKKAFVIERFGKYAKTLPSGIHFLIPFVDRIAYVHSLKEEAISIPDQSAITKDNVTILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFAERDTLNENIVESINVAAKSWGLECLRYEIRDISPPRGVKAAMEMQAEAERKKRAQILESEGERQAHINIAEGKKSSVILASEASMMDQANRARGEAEAIIAKARATAEGLSVVSQTLKENGGPEAASLRIAEQYIHAFSNIAKEGTTMLLPSSASNPANMMAQALTMYKSLIGNVPSNTRSGTAPPSMAGQKEGNYSSDEVKDESSTTLTTNRGIPDHPGTSGFSLQSPPNRE >CAK8534920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789692617:789693447:-1 gene:gene-LATHSAT_LOCUS4418 transcript:rna-LATHSAT_LOCUS4418-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKPQFLATQTIFSLFLLTFLLLITNVNSESFSFSFPKFDNASDTIALGGDAKIIGAVLQLTKKDQLGKPSPHTFGLSAFSEAIRLSDKTGGKVADFTTQFSFVVDPKGSQLHGDGFTFFIASVGYEFPDNSSSEGGFLGLFDKETAFNTSENSIVAVEFDSFTNEWDPLFPENSPHIGIDINTIESSIAVPWPIDRQPQGSIGKARIGYNSASKELSVFVSYPNSPVKVDVLVSYPVDLAAVLSDWVLVGFSGATGQLAETHDILSWSFSSNI >CAK8534919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789692617:789693456:-1 gene:gene-LATHSAT_LOCUS4418 transcript:rna-LATHSAT_LOCUS4418 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMANSKPQFLATQTIFSLFLLTFLLLITNVNSESFSFSFPKFDNASDTIALGGDAKIIGAVLQLTKKDQLGKPSPHTFGLSAFSEAIRLSDKTGGKVADFTTQFSFVVDPKGSQLHGDGFTFFIASVGYEFPDNSSSEGGFLGLFDKETAFNTSENSIVAVEFDSFTNEWDPLFPENSPHIGIDINTIESSIAVPWPIDRQPQGSIGKARIGYNSASKELSVFVSYPNSPVKVDVLVSYPVDLAAVLSDWVLVGFSGATGQLAETHDILSWSFSSNI >CAK8574284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673830598:673831785:-1 gene:gene-LATHSAT_LOCUS26643 transcript:rna-LATHSAT_LOCUS26643 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKTTPLLKRKQPQQTLTSPVTRKKQVQQTLTSLHLPFDLVAEILCRLPVKHLIQFRCVCKSWSSLISGDSKFAKKHLRLSNSRDDRHHLIMRKVQDSSEFFLCHSPISSIFSSASTTQFRYPLEEILNYENYGTEVSACDGIFCTRIESIDDSLVLLCNPSLRKLKILPPLKFPHRKYIQISYTLVYDRFTNNYKVIAIAASSSKKEVNIHTLGTDCWRRINQDFPGPHLILIPRSGIFVNDSVNWLVYEVAGGSGFIVSLDLEKESYQKLSLPVFDKQFTTLRTLGTLRGCLSFPFQRDNFYDVWIMKEYGNEKSWTKLLTVPHMKEFGFYSYTRALYVSKDDQVLMEFLKNGKYNLVVYDSINNTFKIPKFQNLMVARAVYVESLISLPL >CAK8578945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663237032:663237418:-1 gene:gene-LATHSAT_LOCUS30923 transcript:rna-LATHSAT_LOCUS30923 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRDSLDVLQQVWDRAMLQQKFKSKPFNIMLNEDNEKVNWRNLIKFNQTRLRVVICLLLTCHGNLATKDRMKRFGMLQDNLCNSCKIEEESINHLLFSCSKTKDIWSDMLKWVEVNHISQKWKDEIR >CAK8579567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708205889:708207583:1 gene:gene-LATHSAT_LOCUS31502 transcript:rna-LATHSAT_LOCUS31502 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFLFFTLTFVLIITTTFFLRPKQPKHDSKHPPGPPGYPVIGNLHMLGTLPHRSLEALSKKHGPIMSLRLGQVPTVIVSSSSAAEQFLKANDLAFASRPKLEATHYLAYGSKGLVFSEYGAYWRNMRKICTLQLLSASKVESFASLRKTEMELAMKLLKKAASSGEVVDVSEFVHDVIMDIVCKMVLGCSIDEVFDLKRLIQQGMNLSGAFNLADYVPFLRVFDLQGLRRRYKRTHKELDQVLEKIVKEHEENSDVQNGGQKHKDFVDILLSRMNQPIDSSHEQNNVVDRTNIKAIVLDMIAGAFETSATVVEWALAELMRHPRVMKNLQQELDNVVGVNKMVEENDLSKLSYLDIVIMETLRLYPAGPLVPRESMEDATVDGYFLQKKSRIIVNLWAIGRDSKVWSDNADEFYPERFVDKNLDYRGNDFQFIPFGFGRRGCPGINLGLITVKLVVAQLVHCFSWELPSNMTPNDLDMTEKFGLSIPRAKHLLAMPKYRLHGEAT >CAK8568594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621444116:621448226:-1 gene:gene-LATHSAT_LOCUS21536 transcript:rna-LATHSAT_LOCUS21536 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTKSLLLFLFIQFTFSLFPSSIAQSPASGSSEGYTIYGRVKIPSFGTKEFSLPGKVSNVKVILNGGQRVSFLRPDGYFSFHNVPAGTHLIEVAATGYFFSPVRVDVSARNPGKIQAALTENRRGLSEFVLEPLKDEQYYEIREPFSVMSIVKSPMGLMMGFMLIVVFLMPKLMENMDPEEMKRAQEEMRSQGVPSLANLLPGAARGN >CAK8574148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665760909:665762252:1 gene:gene-LATHSAT_LOCUS26521 transcript:rna-LATHSAT_LOCUS26521 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCGIHDVNGVFQTWMTAIYALNQLEQRRKLWEDLKQIHDSQQGPWFLMGDFNNVTKSMDRIGGNMVTEREFEDLRSLIDCAGLFEKDSTGDYFTWTNKHSIGTIYSKIDHVLGNIDWLQENIDLKLEILPPSISDHCLLGLHVVKINRAVHTKFRFTNSVVKISDYHDTVKQNWNKEITGRPMTRLWYKLMRLQAPLSRLSKQFSNLQQTIVQARNDLLQTQENLIMDRMNTEIIEQVKTCTDELTHLQELQDQMLRQRTKINWLREGDTNSSFFYAYLKSRTTTTNISQLYKDGGTCIHNQKDIEKEVCEFYGKLMGTREPRMNMIDIDVMREGPQLSMEQRANLISPVSVTEITNALKGIGDLKSPGIDGYGGKFFKASWDIVDKDVIETVTEFFEQNVIYKAFNETIVTLIPKQPDAKTLKDYMPIAGCSTIYKIMSFYISF >CAK8539190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506444469:506447503:1 gene:gene-LATHSAT_LOCUS8310 transcript:rna-LATHSAT_LOCUS8310 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVYTKKTKLSWSKRMVRKFFNIKCKTEDTTHPTDAFASRGGHGLEYRSRSSLSEREPCTIKKSKTEKFSRSSDHVRRARMNLDHPRIIDVHNYSVFVATWNVAGRSPPSNLSINDWLQASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIGNTLNNLPGTSGSNGYYTPSPIPQPVVELNADFEGSARQNNSSFFHRRSFQTTSSSWGMDSDPSTVQPRLDRRFSVCDRVIFGNRKSDFDPSFRWGYRPSDYSRASDYSRTSDYYSRASDYSRPSDYSRWGSSDDDNGLGDSPSTVLFSPMSYGGPAASGEDGYGMPGRSKYCVVASKQMVGIFLTVWVRSELKDHVKNMKVSCVGRGLMGYLGNKGSISISMSLHETSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHGSDNEKSPETILEHDRIIWLGDLNYRIALNYRSAKALVEMQNWRALLENDQLRIEQKRGRAFSGWKEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGMFWAEVESNHGKLKKSISCSRSRIQVEELLPYSHGYTELNFF >CAK8575530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:153618994:153619866:1 gene:gene-LATHSAT_LOCUS27787 transcript:rna-LATHSAT_LOCUS27787 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLIGKPNQRFTTNKSFLLLGEQLKNEPKKIKLEWLFLTIAPCDAVEPWQLGFQDTTTPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFHYQKNTIPQRIVHGTTIEILRTIFPSIIPMFIGIPSFALLYSMDEVVVDPAMTIKVIRHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDLELGQSRLLEVDNSVVVPAKTHLRIIVTPTDVPHSWAVPSLGVKCDVVPGRLNQISISVQREGVYYGQCCEICGTNHAFTPIVVEAVPSKNYGSRVSNQLIPQTGEA >CAK8539952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532300428:532301711:1 gene:gene-LATHSAT_LOCUS9000 transcript:rna-LATHSAT_LOCUS9000 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMFSKNNKMHEYITPGLSTKKIIDQYQKTLGDIDLWRSHYEKMLENLKKLKDINNKLRRQIRHRIGEGGLELDDLSFQQLRSLEEDMVSSIAKIRERKFHVIKTRTDTCRKKVRSLEQMNGNLLLELKEKCVIHPQFLFHDEGDEESAVALANGASTLYAFCQHHSHMNIPHHHGGGGEAFKNDDLRLA >CAK8539953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532300428:532301711:1 gene:gene-LATHSAT_LOCUS9000 transcript:rna-LATHSAT_LOCUS9000-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMFSKNNKMHEYITPGLSTKKIIDQYQKTLGDIDLWRSHYEKMLENLKKLKDINNKLRRQIRHRIGEGGLELDDLSFQQLRSLEEDMVSSIAKIRERKFHVIKTRTDTCRKKVRSLEQMNGNLLLELEKCVIHPQFLFHDEGDEESAVALANGASTLYAFCQHHSHMNIPHHHGGGGEAFKNDDLRLA >CAK8535497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854252917:854254691:-1 gene:gene-LATHSAT_LOCUS4953 transcript:rna-LATHSAT_LOCUS4953-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLTRVSGLMTNAPFMLNVDCDMVVSNPKIVQHAVCILMDSKNGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMGGLQGPYYGGTNTFHRRNAIYGLDPHENHYGKKGKLAEKTLIQQFGSSNEFLKSVTHAFEGSDYSSSNSISPSNFIDSAIQVSDCGYESGTSWGTRMGWLYGSISEDVPTGLNMHRKGWRSECCTPDQTAFTGCAPGGLLTTMVQQKRWASGLTVVFFGKHSPVMGILFGKIQIRAGLSYCWLTNWGLRSVFEVCYAALVAYCVITNTGIFPNGFGLWIPLTLFVIYTIHTLQEYRSKGLSLRHWWNNQRMVTMRTTSVWFIGFLSAMVKLLGISDTVFEITQKETPSSIASGDIADAGRFTFDESSAFVVGTTVLLVQLTAIAVKILGLQLEANSRNGCGLGELMGSVYLVVCYWPFLKGLFARGKYGIPLSTIFKSALLSFIFVHFCRIGVIS >CAK8535496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854252917:854255850:-1 gene:gene-LATHSAT_LOCUS4953 transcript:rna-LATHSAT_LOCUS4953 gene_biotype:protein_coding transcript_biotype:protein_coding MANPENLPLYDKIWIKRKFQRSIDILILLLLFSLLSFRLFSFINNTFTIPWFLAFSCESWFTFTWIVILNAKWTPAVTKTHPNRLLQQVRELPRVDLFVTTADHVLEPPLITLNTVLSLLALDYPANKLACYVSDDACSVFTFYALVEASKFAKFWVPFCKKYNIQVRAPFRYFSQVTKSEDGSLEFKQEWLQMKDMYDSLSRKVEDVTRNSLSFKFEGEFAVFLNTEKRNHSSIVKVISENKDGLSDGLPHLIYVSREKKRNYEHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMVVSNPKIVQHAVCILMDSKNGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMGGLQGPYYGGTNTFHRRNAIYGLDPHENHYGKKGKLAEKTLIQQFGSSNEFLKSVTHAFEGSDYSSSNSISPSNFIDSAIQVSDCGYESGTSWGTRMGWLYGSISEDVPTGLNMHRKGWRSECCTPDQTAFTGCAPGGLLTTMVQQKRWASGLTVVFFGKHSPVMGILFGKIQIRAGLSYCWLTNWGLRSVFEVCYAALVAYCVITNTGIFPNGFGLWIPLTLFVIYTIHTLQEYRSKGLSLRHWWNNQRMVTMRTTSVWFIGFLSAMVKLLGISDTVFEITQKETPSSIASGDIADAGRFTFDESSAFVVGTTVLLVQLTAIAVKILGLQLEANSRNGCGLGELMGSVYLVVCYWPFLKGLFARGKYGIPLSTIFKSALLSFIFVHFCRIGVIS >CAK8532239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228014946:228016838:-1 gene:gene-LATHSAT_LOCUS1969 transcript:rna-LATHSAT_LOCUS1969 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTLRRYTRTFHFHSFNLHPSPPISTFTTTTHHHHAATTTTTSSFNKHLTFLTNNNQHLTAIYLYNQIEFNKTITPCIITLTILITSFSHLGRVSLAFSVFGKILKRGYVLDTVTLNALIKGLCVSGKVSKALEFHDELVDKGFDLNEVSYGILINGLCREGRVNAAIHLLRMIEKNCFFVKGNVVMYSTVIDCLCRNGFVNEACEFYYNEMLVNGVSLNEFTYGSLIRGLCGVGRVREGFGLLDEMIREGLYVSVYNFTVLIDGLCKKGMLVEAREVFDEMVKRGYEVNVVTCTALMGGYCSNGNVDEARELFDKVVDWGFVPDVWTCNVLIHGYCKVKRLDDAMKVYNEMRRVGLVPNVVTYNSLIDSFCKSGGVSRAWEIVKTMHDSGLMPDIVTYCILLDALCKSQCLDHAILLFNQLVERGLEPDVWTYTILINGFCMNQRMGEAMNLLKEMHLKNLVPHIVTYSCLVDGLCRSGRIPCAWKLLNNMHDYGPPPDNITYSIMLDALWKSQHLDHAVFLFNQMIRRGLEPDVLCYTIMIDGYCKSKRIDEAMNLLKEMYLKNLVPDVATYTILFDGLFKSERISYVWQLVNLIRDSGPPPDVLNYLDSLCTSEHLYNNGLVSAYR >CAK8537818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438908964:438910469:-1 gene:gene-LATHSAT_LOCUS7072 transcript:rna-LATHSAT_LOCUS7072 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTMVSYRFNINGNYTDKVEARRGIRQGDPLSPLLFVIIMEYLSRLLFRMQRNSDFNHHARCEKPQLTHLTFADDLLLFSRGDKGSMEILQRTITSFLDSTGMKINPAKSKVYFGSVSDPVKYSILSSTAYNEGTLPFRYLGVPVSSKKLSVIHYMSLVDKLLSRITHWSSRLLSYASRLQLIKTVLYAITSYWMQCVCFPKTVISKINSICRSFLWTGGSTISRKSLIAWDKVCKPTVKGGLNVLDLVVWNSMFMMKLLWNICRKTDDLWVRWIHAYYLKNEDVMYRMVKNSDSNIFKTILLQRENIGNMQMVWNEMVQAGRFIGRRVYANLLPATPNVVWAKLILHNRARPRAIYTLWMICHGKLATKAILNRFGMVNNDQCVFCSAVETIDHLFFECATLRKAWVETLLWIGIHPGNWNEERNWILNCYGGKGWKADLVRLALTETLHELWRFRNDTCFNQRNDNRNCTDRIINNIVYRGWSSPKLRPHIAFLMVQ >CAK8535965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894394649:894398255:-1 gene:gene-LATHSAT_LOCUS5378 transcript:rna-LATHSAT_LOCUS5378 gene_biotype:protein_coding transcript_biotype:protein_coding MSVANPFRKLIKCVILDLDGTLLNTDGIVCNVLKVSLGKYGKEWDGRETLKIVGKTPLEAASAVVEDYGLPCSPIQFISEISPLFSNQWCNIKALPGANRLIKHLKSNGVPMVLASNSPRESVDAKISFHNGWKDSFSVIIGGDEVRTGKPSPDIFFEAARRLHMEPSSCLVIEDSLPGVTAGKTAEMEVVAVPSLPKQSHLFTAADEVINSLLDLQLEKWGLPPFADWVEGTLPVDPWYIGGPVIKGFGRGSKVLGIPTANLSTKDYSDLLSEHPAGVYFGWAGLPTRGIFKMVMSIGWNPYFSNKEKTIEPWLLHEFTEDFYGEELRLVIVGYIRPEGNFPSLESLIAKIHEDRRVAERALDLPMYSSHKNDSYLISS >CAK8532715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498225009:498225566:1 gene:gene-LATHSAT_LOCUS2393 transcript:rna-LATHSAT_LOCUS2393 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIFASNSSFYFLKQSPILKQKIFPNFHPKGLFNIPLTTLKTQASAKGFSNTRPTASSKTNKTKDDVIMTMKKNPKNNDDDEITEVVMYRIIGRILFSVLVPMGIGLGFLHLYGELKDREIWNAPLWIPFLTTLITFGASALGIAYGVLSTSLDEEREGSLLGFQELEKNWVEMWQQENVSDD >CAK8561579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310017541:310018110:1 gene:gene-LATHSAT_LOCUS15157 transcript:rna-LATHSAT_LOCUS15157 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKFVGCYKIALKGKKSGTSETNVMADAHAIFAQGQGTTFNLEYAWRLLKDEAKWRIVEESIGSSAKITKTYASEASSENPDTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNARQDAKNKRAITMDRLAQAKENELELRVVQMMMKDTSTMNDSQRDIHEKYCNKMKKIWNVVSITYVKWSLVPL >CAK8563895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637682548:637682935:1 gene:gene-LATHSAT_LOCUS17256 transcript:rna-LATHSAT_LOCUS17256 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWKKTITTPFKKACTFFKDQPTRDHKKSQTEQERSVMDLQGEVMACGYEDVQVMWSILDRTKSTATEQNAT >CAK8534830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:773357149:773357421:-1 gene:gene-LATHSAT_LOCUS4340 transcript:rna-LATHSAT_LOCUS4340 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMNNRTIHHDDKPFPGCLGRTVNLFDLTTATTVNGNKLLTDKPHRDHATSLSRSQSDVSRIASPSFADQIEDRPIVSNLTRASSNKK >CAK8562960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562022594:562026789:1 gene:gene-LATHSAT_LOCUS16420 transcript:rna-LATHSAT_LOCUS16420 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLRREEPPPDLTDFMNDMFFGTADTHQKTYDLTGGVGVSMDEEEEDDGFDDSTRSNSARLTQEWLQEARRVVASSPSRSESPGRLLGSPRFASPSKSLLPSSDRTDALSRTRSARRYRTVEGISDEILSKTAKHTRNKSDTFSSQPSTHGDESPATAVHKWFSNILKPNHNNNNNNTNNNSNSNNNTPPPSPDSLPPRQPLSRKSRFKTEPSAAPHPQGIQPPNYNSRRTFKTSAPSPENPIRRPTPTSDSLPLSPPRNLVESAYRRTISSSTCSSEKIVPVKRFTKEEEEATEDYSLNGFLKEQRNLFQRFSEGEIPANVKIKIVLSGASNSTTSMVAAICHAWLLGYRQRENDDGRKEHVVVVPVMNVKRGAMCNLKQAAWLFHHAALDATSLLFIDEVDMESLLVTGKLSVLVVGKDILNATNEVGSQCTVLTDNYCEDAYDLLQNSVLKKLLLAGILLDTQNLKASTSVSMTRDAEAVQLLLVGSAPNYRYALFDQLMQDQQSSSFVEALNHNYWKLPDESDQNSEGNMEHKVRERKSSSTSDRETTMLSSRTNSTDAKVKLATPVLQSSSPATSSNAEKEAARGKNKFFLARWFGFGSR >CAK8539027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501995863:501996741:-1 gene:gene-LATHSAT_LOCUS8165 transcript:rna-LATHSAT_LOCUS8165-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFCNCRYHLTDSVKEGGLPFNNAFGMTVFEFHGTNPRFNNLFNKGMSDSSSIIMKKILETYSGFKSLDSVVDVGGGIGTVTNMIVSKYPNIKAINFDLPHVINVAPSYPGVEHVGGDMFVGVPKADAIFMKWLCHDWNDEQCLKILKNCYDSLPIAGKVIVVESIVPVVPNSSLASKNVLQMDVIMLCHSSGGKERTKKEFEALAKGAGFQGFQIACCAFNMYVMEFLKNA >CAK8539026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501995863:502007239:-1 gene:gene-LATHSAT_LOCUS8165 transcript:rna-LATHSAT_LOCUS8165 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSDEEAFLFAMELSCASSVPRVLKSALELGIIEVIAKAGPDAYLSSSQIVSQIPCIKNPDAPSMLDRLLRLLASYKILTCSVKQVDGDCNEERLYGLHPLAKYFVKNNNEDEDGASMISFFLMEHDQVLQAMWYHLTDSVKEGGLPFNNAFGMTVFEFHGTNPRFNNLFNKGMSDSSSIIMKKILETYSGFKSLDSVVDVGGGIGTVTNMIVSKYPNIKAINFDLPHVINVAPSYPGVEHVGGDMFVGVPKADAIFMKWLCHDWNDEQCLKILKNCYDSLPIAGKVIVVESIVPVVPNSSLASKNVLQMDVIMLCHSSGGKERTKKEFEALAKGAGFQGFQIACCAFNMYVMEFLKNA >CAK8536295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927541635:927544410:1 gene:gene-LATHSAT_LOCUS5679 transcript:rna-LATHSAT_LOCUS5679 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLHKHCFSNNIIKRELGFSHPSSFTHRFYASQVLVKNLNLYAKLEGHEGCVNAVEFNSAGDVIVSGSDDRQVMFWNWESKSKLFDYHSGHEGNIFQTKITPFSDDSRIVTSAGDGQVRLGLLQEDGTVITTMLGKHDGSVYKLAVEPGSPHIFYSCGEDGFVQHFDLRSSSATKLFCCSSSMGNKKQPPRKIGLNSIVIDSRIPYYFAVGGSDQYARVYDIRKCQWDAAKDSDQPVNTFCPHHLIGSSNIHITGLAYSKSSELLVSYNDDLIYLFEKNAGFDSLPLSSASEDPKNLQETRVYSGHRNAQTVKGVNFFGPNDEYVLSGSDCGHIFIWKKKDAKLVRLMVGDQHVVNQLEPHPHIPFLATCGIEKNVKIWAPIGSGAPPLPPNAKEIIEANRQGREDRSRVTLTPDVIMHVLRLQRRQTLAYIERSHNNSTDIVSDEEDEEKYLLGLLDGDLSSEEDSSANSRDCNIS >CAK8532897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546199404:546200303:1 gene:gene-LATHSAT_LOCUS2559 transcript:rna-LATHSAT_LOCUS2559 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKRLIVKLSYPPGSRKRDSDSCDTDENKRRKIQDFVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNPTQPKDNNVVENKKMIKNPTPSSQPKDNKMIKNRTPLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMADKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRSKTHKSTRY >CAK8575536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:156521911:156525737:1 gene:gene-LATHSAT_LOCUS27793 transcript:rna-LATHSAT_LOCUS27793 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSSFSFSTVTQSSQRKLTFSSTRILSSDSDAVRFRLSLASQYVGIRTSNSISRMVVRCSSSVSDPPTVSETKLNFLKAYKRPIPSIYNSVLQELIVQQHLMRYKKSYRYDPVFALGFVTVYDQLMEGYPSDEDRDAIFQAYINALKEDPEQYRVDAQKLEEWARAQNSTSLIEFSSREGEVEGILKDIAERAGGKGDFSYSRFFAVGLFRLLELANAMEPTILEKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLKEYIDREKKKREERTEPQKANEAIPK >CAK8541334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:124011202:124012766:-1 gene:gene-LATHSAT_LOCUS10263 transcript:rna-LATHSAT_LOCUS10263 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDLNGCSAQNGARAKRAPVPGKATILALGKAFPSQVLPQECLVEGYIRDTKCEDVYIKEKLERLCKNTTVKTRYTVMSKEILDKHPELAIEGVPTIRQKLEIANPAVVEMATRACKACIKEWGRSPQDITHIVYVSSSEIRLPGGDLYLANELGLNTDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRAPNKARPYDLVGAALFGDGAAAAIIGTNPVLGQESPFMELNYAVQKFLPDTQNVIDGRITEEGINFKLGRDLPQKIEDNIEEFCNKIMAKCDVKNFNELFWAVHPGGPAILNKLENTLKLKSDKLECSRKALMDYGNVSSNTIFYVMEYMRDYLKEDGSEEWGLGLAFGPGITFEGILLRSL >CAK8561271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132786031:132791541:-1 gene:gene-LATHSAT_LOCUS14879 transcript:rna-LATHSAT_LOCUS14879 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGGPAFRFTQPEVTEMEAILSEHNNAMPARDVLQALADKFSESPDRKGKITVQNKQVWNWFQNKRYAIRAKTSKTPAKLNITPMPRVDLSPGRIMAQPTASHIPAPSASVQGTAKLAPENSVMEFEAKSGRDGAWYDVANFLSYRHLESSDPEVLVRFSGFGKEEDEWINVRKYVRPRSLPCESSECVAVLAGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVTLRKVCRRPETDYRLHQLHAVNDAAPADHQKISLDHPANVHGMRVTNSSEMVQKQQQIANIHIVTPVLQTNVSVPPQSMNVDPVKIETKPDIQAGNPVTLGTATFTSGVITTDSITTSSVPEVSTQNPAEEK >CAK8579327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691810918:691812562:1 gene:gene-LATHSAT_LOCUS31280 transcript:rna-LATHSAT_LOCUS31280 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGYGLKLMQRVPLQLPREMEGWISRSGIASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYTTRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRHILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSQ >CAK8532400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257112591:257115095:-1 gene:gene-LATHSAT_LOCUS2110 transcript:rna-LATHSAT_LOCUS2110 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSTVKHPDEHSESIDCTFASRYVREPVPKFKLSESSIPKDAAYQIINDELMLDGKPRLNLASFVTTWMEPECDKLIMDSLNKNYVDMDEYPVTTELQNRCVNIIAHLFNAPIGKEESAVGVGTVGSSEAIMLAGLAFKRKWQTKRKSEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPLKAVEMVDENTICVAAILGSTLTGEFEDVKLLNELLTIKNKETGWDTPIHVDAASGGFVAPFLYPDLLWDFRLPLVKSINVSGHKYGLVYPGIGWVVWRNQDDLPDDLVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKHVMQNCLANTKILKEGIEKTGKFKIVSKDVGVPLVAFSLKDSSRHTVFEIADHLRKYGWIVPAYTMPADAQHIAVLRVVIREDFSRSLAERLISDICKVVTLLETLPSSISSKSAHVAVIASETNEEVKKDITETQTEIASYWKKLAKAKRVGTC >CAK8569962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18239666:18241702:-1 gene:gene-LATHSAT_LOCUS22757 transcript:rna-LATHSAT_LOCUS22757 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSPSSCSSSNIINLSKTIILILSLLLFFGLPKTNSLFFNITNFDDPTVANNISYQGDGKSTNGSIDLNKVSYLFRVGRAIYSQPLHLWDKNTKTLTDFTTSFTFTIDKVNDTSYGDGFVFFIAPLGYQIPPNSAGGVFGLFNATTNSNSLMNYVVAVEFDTFVGATDPPMRHVGIDDNSLTSVAFGKFDIDKNLGKVCYVLIDYSSDKKMLEVFWSFHGRIVKGDGNGNSSLSYQIDFMQKLPEYVNIGFSASTGLSTESNIIHSWEFSSNLKSDSSEDVLLEGNGGKGSLKTVVIVVAVLVPVILVFLIASVVGWLIVKRKRKNVDDGLDEYGIPVSAKFDLDKATIPRRFEYSELVAATNGFADDRMLGRGGYGQVFKGALSYLGRVVAVKRIFADFENSERVFINEVRIISRLIHRNLVQFIGWCHEQGEFLLVFEYMPNGSLDTHLFGDKKSLAWEVRYKIALGVANALRYLHDDAEQCVLHRDIKSANVLLDTDFSTKLGDFGMAKLVDPMLRTQRTGVVGTYGYLAPEYINGGRASKESDMYSFGIVALELATGRRVFQDGEFHVPLMNWVWGLYVEGNLMSAADERLNMEFDVSEMKSLLIVGLWCTHSNDKERPKAYEVIKVLQNEMALPELPLDMHDRAPPIVAFKPPSNAPSLSPNMTNSLVAVGR >CAK8539455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513024676:513025584:1 gene:gene-LATHSAT_LOCUS8547 transcript:rna-LATHSAT_LOCUS8547 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSASSNLRSALSHCVQQVRSYDYHHYLCLLELPPSLRKAAFTLRALNVETARAMDVASDPRIGLMRLVWWQEAIDKIFANKLIEHPTALAMSHVISETKISKTWLKRSVEARINDARREATDIPETMEELEKYAEDTVSTMLYLTLQSGGIISTAADHAASHIGKASGILLLLKSLPYHAGHNRHFSYIPRAIASKHGLIVKQDGQERWLDSREGLCEAVYEMASVANAHLQKARKLSKSVPAEALPVLLPSVPAQVLLDSLRRVQFDVFDPRLARGVLGIPPLWYQLQLKWTSWRRKY >CAK8566664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446763884:446766588:1 gene:gene-LATHSAT_LOCUS19779 transcript:rna-LATHSAT_LOCUS19779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLDLSETTEKIIAEYIWIGGSGLDLRCKARTLPGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNHILVMCDAYTPAGEPIPTNKRHAAAKVFSHPDVVAEETWYGIEQEYTLLQKDINWPLGWPAGGYPGPQGPYYCSVGADKAFGRDIVEAHYKACLFAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEVAGVVLTFDPKPIKGDWNGAGAHTNYSTKSMREDGGYEIIKKAIEKLGKRHPEHIAAYGEGNERRLTGKHETADIHTFSWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIADTTILWKP >CAK8570352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38671815:38675964:-1 gene:gene-LATHSAT_LOCUS23105 transcript:rna-LATHSAT_LOCUS23105 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCKLGFLPQPFHTATNPNTNSPSSLRFSLKLKGQPKLRSVVKMSATEVTNPTIAYDSFTVKPPLHPTYDLKGIIKLALAEDAGDRGDVTCLATIPFDMEVEAYFLAKEDGVIAGIALAEMIFNEVNPSLKVEWSKNDGDFVHKGLQFGKVHGRAHNIVVAERVVLNFMQRMSGIATLTKAMANAASPAYILETRKTAPCLRLLDKWAVLIGGGRNHRMGLFDMVMIKDNHISTAGSVTNALKAVDLYLKQNSLQLEVEVETRTLEEVEEVLQYASQTKTSLTRIMLDNMVVPLPNGDVDISMLKEAVRLVDGRFETEASGNVTIDTVHKIGQSGVTYISSGSLTHSVKALDISLKIDTDLALKVGRRTGRA >CAK8563040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:568698293:568706313:-1 gene:gene-LATHSAT_LOCUS16488 transcript:rna-LATHSAT_LOCUS16488 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLKTNYRCVPALQQFYTGGPFAVSSDGSFIVCACGDSIKIVDSANASIRSTLEGDSEQVTALALGPNDKILFSSSHSRQIRVWDLSTLKCVRSWKGHDGPVMCMACDASGGLLATGGADRKVLVWNVDAGYCSHFYKGHGGVVSCVMFHPDPEKQLLFSGSDDGGDNATVRVWDISNAKRKTCIAILDNHRSAVTSIAVSEDGWTLLSAGRDKIVTLWDLHDYSSKKTVITNEAVESVCPIGAGSPFASSLDSYRKNSKKHSGSQATHFVTVGERGIVRIWSSEGAVCLFEQKASDVTNNTDEDGSRRGFTSAVMLGSNQGLLCVTADQQFLFYSLNWIEELLQLNLTKRLVGYNEEVVDMKFVGDDEKFLALATNLEQVRVYDLASMSCSYVLSGHTEIVLCLDTCVSSSGRNLIVTGSKDNTVRLWDSESTSCIGVGKGHMGAVGAIAFSKKKRDFFVSGSSDHTLKVWSMDGLLDNLTAPINLKAKAVVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVVTASGDKTIRIWAISDGSCLKTFEGHTSSVLRALFVTRGTQIVSCGADGLVKLWTVKSNECVATYDHHEDKVWALAVGSKTELLATGGSDAVVNLWLDSTAADKEEAFRKEEEGVLKGQELENALSDADYTKAVQIAFELRRPHKLLELFAELCRKKGAEDHVDRALKAFGNEELRLLFNYIREWNTKPKLCYVSQFVLFRVFNIFPPTDIVQIKGIGELLEGLIPYSQRHFGRIDRLVRSTFLLDFILSGMSVIEPETHQTESKDEFPLRSEIDAPDQENGKEQKDYTIENNTASKKRKSHKSRHENGIEEKDQILENDTASKKRKSNKSKHGSQKKVKDVAYNKIEPIQLQA >CAK8567431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513647689:513649248:-1 gene:gene-LATHSAT_LOCUS20485 transcript:rna-LATHSAT_LOCUS20485 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHEFVKAYSSHLKRSGKMELPEWTDLVKTAKFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFQRIYGGSQRNGSRPPHFCKSSGSIARNILQQLQNMNIIEMDTKGGRKITSNGRRDLDQVAGRIVIAP >CAK8538933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499749511:499750068:1 gene:gene-LATHSAT_LOCUS8078 transcript:rna-LATHSAT_LOCUS8078 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGAPNAGNSELSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQRFREIEGEKTVAARDKDVAPSSSSSSVFEYGAPQVGMIMHHQHQNQQHQGHVYGGGYHQVPGGTGYPNAGSNAGRPR >CAK8533505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627841480:627842184:1 gene:gene-LATHSAT_LOCUS3128 transcript:rna-LATHSAT_LOCUS3128 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISLCKSVDQPPNPSMANSIDFGSKSRQSNNFQRNRRNYNSSSSNSLQIPPCDRSRSAIVDVVIFIAVVLSCGYLFFPYIEFLVINSIQITSIVLVLIKEEFSIAPSIYISIGLSVACAVFATWGVVVCTTNKCGNRNCKGLKKAAEFDIQLETEDCVKNSPSFGKDIGVCKKGLFELPRDHHKELEAELKKMAPINGRAVLVLRARCGCSVGRLEVPGPKKHRKIKK >CAK8542266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:495564967:495569521:-1 gene:gene-LATHSAT_LOCUS11115 transcript:rna-LATHSAT_LOCUS11115 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNNRSKGSPFPLLGFVLLCLLAPLVFFFASSLYTASSDHNDVSDVTSQQASKWREQKALRDLTSLFPKEVLDVIMSSTNDMGPLSLENFRNNLSASWRVVGLKTSNSTYQLNQPETHLSQEMQKEKEGRSSVALPQWNDSPGKLARRQLIEKRMAKRAAELVKQDDEVIVKLEDFAIERSKSVDSAVLGKYNIWRKENENENDSTVRLMRDQIIMARVYLSIAKMKNKLDLYQELLTRLKESQRALGEATSDADLHESEHEKMKAMGQILSKAKDKLYDCKLVTGKLRAMLQTADEQVRGLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPKTENLVNPSLYHYALFSDNVLAASVVVNSAVLNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIFVENVDEFKWLNSSYCPVLKQLESATMKEYYFKAGHPTTTGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWAVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKVWKKKDITGIYHRWQNLNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYSPSIDRTEIENAAVVHYNGNMKPWLEIAMTKYRPYWTKYVKYNHPYLKNCKLSEWS >CAK8532166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214288189:214291109:1 gene:gene-LATHSAT_LOCUS1897 transcript:rna-LATHSAT_LOCUS1897 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGGGEVRRLHIIYFLSHMGGHAEHPHLIRVLHLARNGVFLRDIKRWLGELRGKDLPESYAWSYKRRYKSGYVWQDLMDDDLITPISDNEYVLKGSQIHTTPFETQSINSINEKKNGSDIAEQVEEDNKQTQQKKSSLSEEESGIRQETDTRKNNLNHVSSEISQDSLVFSSDRSSVTDDDSSSKVEEEKLLGSGKGSCLSEKNHEKFESFTFPSLYHNLMSKKGKKDGHNNKTETTTTPPDSTLSTPTSSTSSQSSFTKIRSNSTRVSSVFRNLIGCGTVATNDAAFVSLNPAPKLVPKETKYVLGKRGEICRGDKLGGSARCFSTPWNCHDQNGHYEPRKSCDGGEISRGRKKLGELMSQTSHKPFGGPVCSQCGKSFKPEKMHKHMKSCKGMKGMGTSTIAEETQLRRSTSSCKDYLLQN >CAK8569895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14565723:14566202:1 gene:gene-LATHSAT_LOCUS22697 transcript:rna-LATHSAT_LOCUS22697 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLMGLDAPVLHALHQLMDLSDDTSSDKTSHNAPTRSYVKDAKAMAATPADVKEYQNSYVFVIDMPGLKSGDIKVQVEDDNVLVISGERKREEEKEGAKYLRMERRVGKFMRKFVLPENANTDAVSAVCQDGVLSVTVEKLPPPQPKKPKTIEVKVA >CAK8575813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330371082:330372707:-1 gene:gene-LATHSAT_LOCUS28047 transcript:rna-LATHSAT_LOCUS28047 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNPLLAATGECLIVSFGEMLIDFVPTASGVSLAEAPGFLKAPGGAPANVAIAVSRLGGKSAFVGKLGDDEFGHMLAGILKENNVRADGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLTPEDLNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGCLLSYDPNLRLPLWPSPEEARKQILSIWDQADLIKVSDVELEFLTGSDKIDDASALSLWHPNLKLLLVTLGENGSRYYTKNFKGSVDAFHVNTVDTTGAGDAFVGALLGKIVDDQSILEDEARLREVLKFANACGAITTTKKGAIPALPTEADVLSLINKA >CAK8577125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538862727:538866182:1 gene:gene-LATHSAT_LOCUS29257 transcript:rna-LATHSAT_LOCUS29257 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTDGAKERQRYIEGKVYRRRVFRGTKKNPNVGDTVASATTTAKDDNAPTTTVTNDTEDNKNVNNNEAKSNVLAQPLVPQLAVLEDGDLAQPEGNSRLEDGNTAQPPESSRLKDGNTAQPLESSRLEDGNTAQLPESSRLEDGSSPQQLSEDQNLVGQRVISRTGDSNSPQQQLEEQNSAQPQASLTTGDGNSPQRQLEEQNSAQPQASLRTGDGNSPQQLFEDGNTAQAQENSRLEDGSSPQQQLEDQNLVGQQVSSRTGDGNSPQQQLKEQSLHQPQASLRTAGDGNSPQQQFEDHSLAQPQVSSRTGDGNSPQLQFEDDNLAQAHVSSRAGDRNSPWPQSSTHKEVNSPQPQENSRLDDGNTSQLDVSSGLEDGSLPHPELISKLEDRASLQQDNSILEDENLSQPQVNLRFEEGSSPQPLVNSSLEDQNLAQPPSPPVSDHLHSHQQPEPFNINIRREDDRSSSPIHSHREISDDLQSHQQAEPSNHNVQQEDDGPSSPIYGHGAVPSTGYRHSENVTVEPSQEDRFKINLALKSKQEKQEIRWKLESELGVVRNLVKRIEVKQGHVGAYGNSNVVLGGGISNGGGAKRAHSEVASAGVSRQPTRPLHQLSFPMFHNSQGVGENVEKEKRMPKANQFYHNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMGPGLRMGSKFFKSCSSLLEKLMKHKHGWVFNSPVDVEGLGLHDYFTIITHPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFDNAMTYNPEGQDVHVMAEQLSKVFEDRWAIIESDYNREMRFGMEYGAPSPLPRRAPMFTPPPLDMRRILDRSESLARTPRSMNNTPSSRTPAPKKPKAKDPNKRDMTFDEKQKLSTNLQGLPPEKLDAIVHIIKRRNLALNQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRRAEVARARAEALQNSIQRSQPPAMIEISREPQADERNVPPSLPMQGGSQADNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >CAK8577126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538862727:538866182:1 gene:gene-LATHSAT_LOCUS29257 transcript:rna-LATHSAT_LOCUS29257-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTDGAKERQRYIEGKVYRRRVFRGTKKNPNVGDTVASATTTAKDDNAPTTTVTNDTEDNKNVNNNEAKSNVLAQPLVPQLAVLEDGDLAQPEGNSRLEDGNTAQPPESSRLKDGNTAQPLESSRLEDGNTAQLPESSRLEDGSSPQQLSEDQNLVGQRVISRTGDSNSPQQQLEEQNSAQPQASLTTGDGNSPQRQLEEQNSAQPQASLRTGDGNSPQQLFEDGNTAQAQENSRLEDGSSPQQQLEDQNLVGQQVSSRTGDGNSPQQQLKEQSLHQPQASLRTAGDGNSPQQQFEDHSLAQPQVSSRTGDGNSPQLQFEDDNLAQAHVSSRAGDRNSPWPQSSTHKEVNSPQPQENSRLDDGNTSQLDVSSGLEDGSLPHPELISKLEDRASLQQDNSILEDENLSQPQVNLRFEEGSSPQPLVNSSLEDQNLAQPPSPPVSDHLHSHQQPEPFNINIRREDDRSSSPIHSHREISDDLQSHQQAEPSNHNVQQEDDGPSSPIYGHGAVPSTGYRHSENVTVEPSQEDRFKINLALKSKQEKQEIRWKLESELGVVRNLVKRIEVKQGHVGVYGNSNAVLGGGISNGGGAKRAHSEVASAGVSRQPTRPLHQLSFPMFHNSQGVGENVEKEKRMPKANQFYHNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMGPGLRMGSKFFKSCSSLLEKLMKHKHGWVFNSPVDVEGLGLHDYFTIITHPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFDNAMTYNPEGQDVHVMAEQLSKVFEDRWAIIESDYNREMRFGMEYGAPSPLPRRAPMFTPPPLDMRRILDRSESLARTPRSMNNTPSSRTPAPKKPKAKDPNKRDMTFDEKQKLSTNLQGLPPEKLDAIVHIIKRRNLALNQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRRAEVARARAEALQNSIQRSQPPAMIEISREPQADERNVPPSLPMQGGSQADNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >CAK8560348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15244428:15245622:-1 gene:gene-LATHSAT_LOCUS14028 transcript:rna-LATHSAT_LOCUS14028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKKHDVFISFRGQDTRASFTSHLYGALTREKIKTYIDYALQKGDRFRGELVKTIQDSTVSLVIFSENYASSKWCLDELVEILECRKNHGQVVIPVFYRVDPSHVRHQKGSYERAFAKYEREVRNNESHQDKVLAWRAALVEAANISGYDSTTFRTESEVIQNIVRDVWEKLTMVYPKVLKEKVSEITFSQAIEARKEYPKMSASHKKYDVFISFRGEDTRETFTSHLHYALQKEKIETYIDYKLQKGDQVWGELVKAIQNSTLSLVVFSENYASSTWCLNELVEILECRKNHGQVVIPVFYRVDPSHVRHQKESYEKAFAKYEIEDRNNESHQDKVLAWRAALVEAANISGYDSTTFR >CAK8569489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2591897:2592862:1 gene:gene-LATHSAT_LOCUS22334 transcript:rna-LATHSAT_LOCUS22334 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFFHNANATTLHSLNLTSSLLFPNNHNLSSKPCFQSSIKTNTPNANHAPNKKVIILWDLDNKPPRGPPYDAALSLKTLAERFGDVVSISAHTKRHSFFNLPKWNPNQNSNPNSILCRVCGHECKSISDLEIHFRRVHLYRREKLQEKLRSVKLSRSRVGVVRRIHPYNEAAGNVVAPRVGFGMASELRRAGVFVKVVKVGVKGNAADLWLEREMMNGEIGWLVLVSDDRDFAEMLRKVREVNLKTVVIGDYWDRNLGKNADLWLPWIVVENGKVDGMGLNFNGKKKTITEGLDDELEGDENLGYEYVTEEEQLDDERF >CAK8542757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543624432:543626558:1 gene:gene-LATHSAT_LOCUS11566 transcript:rna-LATHSAT_LOCUS11566 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHVLHMKGGVGETSYSNNSLLQRKVIMKVKTILEENMILVMSNKSVKGCWKIADLGCSSGPNTLLSISNIMNIIHKINMKLNHGKPVFQIYLNDVFENDFNTIFKLLPDFYQQEKEKNSGECFISATPGNFYGRLFPNNYIDIFHSSYSLHWLSKAPKNLVKNGEPLNKGNIYISKTSPPCVYEAYFEQFEKDFSCFLKSRFEELALDGMMVVTLMGRESIGAITSVEEVIGMVLNEMVQEDLVEENKLDMFNFPTFHPTEEEVRQVIEAEGSFTLQIIKTFKMAWDADLQIDNVNYVVDRKMRGEFISKYHRAVFEPLLIGKFGENIMDALFSRFAKLLTRLIEFETLEFTNIVLFLTKDS >CAK8542012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455432101:455434778:-1 gene:gene-LATHSAT_LOCUS10889 transcript:rna-LATHSAT_LOCUS10889 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILGKVGSYWFSRKANKELNSVGNDFSSLSSSIEGGTKWFVNKLKGKMQKPLTELLKEYDLPIGIFPRDATNYEFNEETRKLIVYIPQVCEVGYRDSSVLRFTTSVSGYLEKGKLTEIEGMKTKVLVWVKVTAISSEGPKLYFTAGMKKTRKREAYEVSRDGVIIDKF >CAK8575520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:149294225:149294617:1 gene:gene-LATHSAT_LOCUS27777 transcript:rna-LATHSAT_LOCUS27777 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIVGDQMLRIALTKVQQQTKANAGSSGHQHPVRMPTVTSSGTKFNDPHALAQLHQRSMNATADHSHNTSAIQVKSEPTYLTMDISAKKSQEHDVRVVQPNQLPSSGSNVVSQQIERSSVHIQVLNKQL >CAK8532871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:540145839:540152327:1 gene:gene-LATHSAT_LOCUS2533 transcript:rna-LATHSAT_LOCUS2533 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSQNNYTGGWNNNNNNIPSTNYSQGLIPHGSGPRAFTDNWHIPHQTPIYYADNQHIPHQTPTYYPDNRYIPQQAPTQFVDNRNLPHQAPTQFVDNGNLPHQTPTDNRHIPHPMPTHYADNRHMPHQTPTCYPDNRYIPHQAPTQFVDNRNLPHQTPTDNRHLPHPTPTYYADNRHIPHPTLTHYANNTHLPHPMPTHYADNTHLLHQRPEHYADKRDLPHPTPTHYADSMHLPSQMPAHYADKRHLPHQTVEHCTDNKHLPSQTPAHYADKRHLPHQTVEHCTDNKHLPSQTLAHYADERHLPHQTVEHCTDNKYLPSQMPAHYADQRHLPHQTVDCTDNKHMPHQSLVHYEDKMCLPHQKTKDLADKMHLPNQNPEDCAVNKCLPHKTPDHNADKTKLPQQTSEAYADKRKLPHQTSEHSSGKKLLPQQTPKDSADKKLLPQQTPKHYADKKLLPQQTPLPRTPKDSADKKLLPQQTPKGSADKKLLPQQTPKDSADKKLLPQQTPKDSADMKLLSQQTPKDSADKKLLPQQTPEDCANKKCLPPLLYCEICDLILNPKSLESHNKGKRHRRRLLELSEQATKHKTSSGEKIGHSRSSQISKEAYVDNKCLPHRMSENCAAKKNLTQQTPEVYADKKCLLQQTSKDFANKRPLPHQKPKADADNMCLPQQRAKDFANKRALPHQKPEAYGDNECLPHQMSEHYADKNNSSQQAPKDYANKKCLAPRIHCEICDIKFGPKDLKGHNNGKKHKKRLSKLREKSMKHNTSNGEEIRHIQSSQVNPVVQPKKVPADASKRKHGDHTGAKDCDFKVENVKNETSSFKKTRVPVEISKRKVMDNTDAKGHGFKHEIEGATGVKYMKMNDGTGRFVKSSKIEVNAVSNSVKSLVQTPEITPPSGHVASSEIATVLAERSSFELQSQHVSVSLSQESKGKKHYNFQNTTVEKNDQPQSTPVELNASTSSNVIAETEYVSSDFAVTATPQDPVASHVFTPAAAAGSSCEPKNHGDLLREVTEAKKHHEVQKCVEIVAEDKFSDSGAIVIALPQGLMTSQVLTPSPASRSRFEPPLQINSQIEVEEGTKLHEVQNHSVETNDQPHSISMEFHVTASSDINTLIEGSCSDSIAIVIAPPQSPIANEVPPPVAVIQTKMSDRKVHNEIQNHIVDSNNQQHSISRELHDIDGSMTNNQTEIVNSDSTANFFYREPLSSLLPGAAGSSFEPVESQHPAEESDIELPPPVLMEIDGALEDSVETRTEDGSSRVEMEMDVDLSPESGKTKLLKVSVCLTCGDEGFEEAIVYCSKCGDYAMHRYCLAGPVIFTDKVTWFCEDCEKMVVQADHHNSETLESEKDEVVFDPEPIADPIWSSGSLQVFNKSFDKISGLMAHLSTLACPKVLEEARHLPDVLYANLLQRSAMWPESFKKFGTNNLSIGLYFFPENESVERYYDKLVDEMISKDLAIRVMVEKTELLIFPSTLLPRQYKRFHSKYYLWGTFRRKHASSTMKDT >CAK8535597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865533415:865534749:1 gene:gene-LATHSAT_LOCUS5040 transcript:rna-LATHSAT_LOCUS5040 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSLLTFIFICFSCLMVISLGITNGFSIELVHRDSFKSPLYNPTQTKVQRSFNVVHRSINRANYFYNKLFSTENKLETYMPYDDSNGEYLISYSIGTPSFKVFGIIDTASNLIWLQCKPCHTCYNQTSPIFNPSKSSSYKNISCSSRTCKSMEDTSCSDDVCQYILDYGHGYDTHGDLSVETLTLESATDSFVSFPEIVIGCGHNNGDPTYNGPNSGVIGFGSGDMSLIKQLGSSIGGKFSYCLVDQYNSKSIRSSKLNFGDEAIVTGDNVVSTPIVKMIGNRQKDYYYLTVKAFSVGNKRIKYRGFKREGTNASTNNIIIDSGTTVSILPHHFYYRLESAVKKVVKLERFRVREDPSSLCYNTTSKQQKLPLITTHFKGADVKLDSKGAFYSLHDGVECFAFRPFKNGLGIFGNMAQINYLIGYDLNKNIVSFKPTDCANY >CAK8535723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:877014174:877016888:-1 gene:gene-LATHSAT_LOCUS5152 transcript:rna-LATHSAT_LOCUS5152 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDYGFTFTSEEMLVNDNLGYPKAYAKLCRDRGFSPYSRGPPFTFLPYALHDDEVERSSFLDEMFPIIDPKAKPTTKPRIFVSILWNQLSHLGNAGFDPAVIRVDAYGNVLYYHADSASPLAWDIDHWFPCSRGGLTVLSNLRIVQRQVFRRKKNKLEFLVPWWDFQLGISVNQFLSIFASSKSDFRHRGFSFLFSEGENHELNDTQIVDSHSFPQHFIGLSEEVGLAPAAIVESRRDPYDALALRQLDHNKKTRPMSSAIEATRKSKGNVPKENEDPNFVRNPYQAIVMARDSLKQKEEASKMQMEMKKLDNEVSEMKLKNEEEKLVIQDLELALIKRKRKAEKCRRLAEAQSSYRTMLEKMIRDTMHQSVIYKEQVRLNTAASNALTARLEAQRSICDNAEKEFYKKYKQKDDIEKQLRPEFEQGRKRFRMDDSAFEEERENNKTVFYLPGVRPRTPFHKELRVLLEEEQRASEAGLSANEEELKIAANNDELEQKLEEHTEPFVALYEEKLIEQRLQKLEISEEKRNPGVSFRGFHEKKVDEDDEMRNQRGKGNVEKWLQLLLENGQGEGMGAQEEETNESAFGKTEDIIEQLNQKFPQKELKTVKKELQVLQDKSKEGDKIEETNDGFDKTKIGKNWKEEQQKLEKRLVRSESAKVLRRIPSSPSLFQGMKSSIKSIKKAVKL >CAK8577487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568235873:568237123:-1 gene:gene-LATHSAT_LOCUS29591 transcript:rna-LATHSAT_LOCUS29591 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKLAALLSSLISQLLLIILLIFPPTSLHLNSTTNSNSNSTTFSLIHHFLFSQQTAVTTTLLSRKRKRPKHHHHHHHHHPTPNPDWFPNSFLMSSSTFEWLTGLLEPLLECRDPAYLFPLNLSAGVRLGIGLFRLANGSDYSQISSQFNVPVSVAKFCVKQLCRVLCTNFRFWLSFPNANDLRSISQSFESLSGLPNCSGVIFCSRFEIAPSSSVSLSQQRQQQQPSSIAAQIVVDSTCRILSIAAGFFGHKTNSVILKASSLFNDIDDGMLLNASPVNGVNQYFIGDSGYPLLPWLMVPFGDSVAVSGSVEESFNAAHELMRIPALRTDASLRKWGVLSRPIREEIKMAVAYIGACSILHNSLLMREDFSALVCDFEHQRKNGEPFVLEDGRVTEEALAMRMTLATMAKKISS >CAK8567730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539574620:539575009:-1 gene:gene-LATHSAT_LOCUS20753 transcript:rna-LATHSAT_LOCUS20753 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSAVIMVICVLPSMLLVAKDITSPVIRLPSEASIEGKNECSGTVAASSSSSWCPVKCFRTNPVCGVDGVTYWCGCAEAACAGVKVGKMGFCEVGNGGSDPLSAQAFLLLHIVWLIVLAFSVLFGLF >CAK8566267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398510325:398515550:1 gene:gene-LATHSAT_LOCUS19411 transcript:rna-LATHSAT_LOCUS19411 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNPSATASLLLATTARVFMASSAVDYTLLVLCGKSLAENEAAIAIKANNTLKLPDKGKLSIILHSELNKSVMQMQQKSFQFSSFVNSLSTNQFGRFLMWSPELSSTHDVVSHNFCELPIGTVCVADIQNKGRGRSKNVWESPLGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAIKDICDRNGLPCIDVKIKWPNDLYLNGFKVGGILCTSTYKSKKFNISAGIGLNVNNEKPTTSLNSVLRELSVGAYQFQREDVLAAFFNKFEKFFDLFVNQGFQTLEELYYKTWLHSGQRVVVQEKNEDKVVEHVVTIQGLTSSGYLLAVGDDNQMCELHPDGNSFDFLKGLVRRKLE >CAK8566268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398510388:398515550:1 gene:gene-LATHSAT_LOCUS19411 transcript:rna-LATHSAT_LOCUS19411-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVDYTLLVLCGKSLAENEAAIAIKANNTLKLPDKGKLSIILHSELNKSVMQMQQKSFQFSSFVNSLSTNQFGRFLMWSPELSSTHDVVSHNFCELPIGTVCVADIQNKGRGRSKNVWESPLGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAIKDICDRNGLPCIDVKIKWPNDLYLNGFKVGGILCTSTYKSKKFNISAGIGLNVNNEKPTTSLNSVLRELSVGAYQFQREDVLAAFFNKFEKFFDLFVNQGFQTLEELYYKTWLHSGQRVVVQEKNEDKVVEHVVTIQGLTSSGYLLAVGDDNQMCELHPDGNSFDFLKGLVRRKLE >CAK8532821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:521647993:521648839:1 gene:gene-LATHSAT_LOCUS2485 transcript:rna-LATHSAT_LOCUS2485 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFHHEDKSGYVEEVDYRKEEKHHKHLEHLGELGTAAAGVYALHEKHESKKDPEHAHKHKIEEEIAAAAAVGSGGFTYHEHHEKKETKKEDEEAHGKKHHHIF >CAK8539428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512599470:512600678:1 gene:gene-LATHSAT_LOCUS8525 transcript:rna-LATHSAT_LOCUS8525 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSQDDGQSEAASSSLSLTASQLPFDLSSLSLTAPHLPFDLVAEILCRLPVKQLLQLCCVCKLWNSLISFDSKFARKQLSLSASHRDSHHLFLSSTRCSCEFLLCHSPISSFFTSASNIVPVKQFSPTLTGVLNNGRRRAAISACDGLLCFGIDKSLAVLYNPSTRKSKILPPLENSKETCFLNSYTLVYDRVTSNYKIVAIVTLCDSKIEVNIHVLGTDYWTRIPDFPCSSLIRKPGIFVNDTVNWFASENNLAWVIVSLDLEKESYQKFSPPFYKDGDSGTEHSIALGAFKGCLSILSHICKEKISNIWIMKEYGNEKSWTKLFSIPHSEEGMFYCYVKVLYISEDDKLLMEFYNMGEYGFVVYDSINNTFSIPKMQNNIHDHKVVPQFYVQSLISPL >CAK8566266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398501052:398510097:-1 gene:gene-LATHSAT_LOCUS19410 transcript:rna-LATHSAT_LOCUS19410 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHKALKDHSLTVSTVHGKGRSLVTTRDFYPGEVILSQEPYVCVSTHKRCDACFRTTNLSKCSRCQVVWYCGTPCQKSEWRLHRLECEALSRLDDRKRKSVTPSIRLMLKLYLRRKLQDEKIIPSTAMDNYKLVEALVAHMSDITEEQLVLYAQMANLVHLILQWPENIKQIAEIFSKFACNAHTICDSELRPLGTGLYPVVSIINHSCLPNSVLVFEGRIASVRALQHLPKGTEVLISYIETAGSTVTRQKALKEQYLFTCVCPLCSKVGRYDDVRKNAILEGYRCKNETCDGFLLRTTDGKAFQCQECGLIRDKEEIKKIATEIKLLSEEASKPSSSANYQETISIHKMIEKLQTKLYHPFSINLMQTRETILKSLMKLEHWREALAYCKLTIPIYQRVYPAVHPLLGLQYYTCGKLEWYLEDTEEAVISLTKAVDILRITHGTNTPFVKELLMMLEEARAELTYKSSSK >CAK8563373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598011627:598012286:1 gene:gene-LATHSAT_LOCUS16793 transcript:rna-LATHSAT_LOCUS16793 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNVAANSSLDRTSLAYLDQRMAMAKRCSHQGVMAGAKAAVVATIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFKRPSNA >CAK8565020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25666503:25667438:-1 gene:gene-LATHSAT_LOCUS18261 transcript:rna-LATHSAT_LOCUS18261 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAQHRCVFVGNIPYDATEEQLIEICQEVGPVISFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYDINGRQLRVDFAENDKGNDRNKDQGRGGPGMTPIVDPQKQVGIPAVQGESAQAAQHQPIGLHFAVTVAAVMTAALGGAQTGTQSNQSGLQNQSALTNDALTLHLSKMSRSQLTEMISEVKGMAIHNKELSRQLLLSRPRLPKALFQAQIMLGMVTPLMLQMENLRQGSDQTSQLINEGHIGQTPQTLAQTVVGLPPYGQSKLQFGLTPYLQEGQANPLPHNPLAPKSINRKSKAYAAA >CAK8566514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:430762932:430764314:1 gene:gene-LATHSAT_LOCUS19638 transcript:rna-LATHSAT_LOCUS19638 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHWKLNMPNSDMPSKSPKLSISDKSSSSPRNSLPLLQPPSITNDISAAAPPLCAAYDYYLRLPDLHKLWESREFPNWNNEPVLKPALQALEITFRFISTVLSDPRPYTNRSEWNRRLESIATQQIEIIAMLCEDEEQNPETRGTVPTAYLSSGDSKSRSYSETSLLPRLATWYKSKDVAQRILLSVECQMMRCSYTLGLGEPNLAGKPSLRYDAVCKPNEVHTLKTTPYDDRIENYENHAVHATHQIVESWIHVSRKLLERISDAIEGRRLEKASEDCYAVERIWKLLSDIEDVHLMMDPADFLKLKNQLSMKSSSYETAAFCMRSKELVNVTKMCRDLRHRVPEILEVEADPKGGPRIQEAAMKLYVAEKMSGFEKVHLLQAMQAIEVSMKRFFYGYKQVLTVVMGSSEANGNRVGLSCDGGDSLTHMFLEPTYFPSLDAAKTFLGYFWDNDNKWV >CAK8560676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35510153:35511367:1 gene:gene-LATHSAT_LOCUS14334 transcript:rna-LATHSAT_LOCUS14334 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSCFDSDSKSDDDANKNENLELLLPEDLKIQIFTLVPLTYLINSVRYVCKPWAAIIAGPRFAEACQRFDIRFKPGLYVENRKSKSSSYFLEFKDDVNGQFERIDLGTPKKMGHVIGTCDGILLLLNTARQVFAVNPFLKCWLRIPPFPFSRHNQGFPCQCTITRVPRTAKFKLFHADILEISGAYSCVFYVLRIGIDSSWKEIARKEAFPMFRRLYFSSRPLYSGGNDLYWITYNEVILMDIDKETIVGEYPVPFITMDPYERSITMYSSVPFEMFLHMGERLSRIVSIDSHRTYQIYILDFDSENWSLYHEMGPFDYMSASGHNLKPRSASFRLWINDQIIFQVGLHKTETGSMSSRKSIHFGYNVKTKQLTKIEDIEVGDFDVWLHTISLVSLPTTLHS >CAK8564949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18411761:18413619:1 gene:gene-LATHSAT_LOCUS18198 transcript:rna-LATHSAT_LOCUS18198-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVFWVISLISLQFLLANCYSTKSLVPALYVFGDSTVDAGNNNNLNTVAKANTFPYGIDFNNCSTGRFSNGKTFADLIAIKLGLPMPPPYIGVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDLPRNFQSKTKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFLIIGIGPIGCIPGLVIRKPHTQDCNELINQVVKLFTNKLPRKLQELKLKAKLSGSIFTILDSFNLFMKIQNSPENFGLKNIWDSCVGEGGKPCGNRKEYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8564948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18411761:18421977:1 gene:gene-LATHSAT_LOCUS18198 transcript:rna-LATHSAT_LOCUS18198 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVFWVISLISLQFLLANCYSTKSLVPALYVFGDSTVDAGNNNNLNTVAKANTFPYGIDFNNCSTGRFSNGKTFADLIAIKLGLPMPPPYIGVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDLPRNFQSKTKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFVIIGIGPIGCIPGLVIRKPHTQDCNELINQVVKLFTNKLPRKLQELKLKAKLSGSIFTILDSFNLFMKIQNSPENFGLKNIWDSCVGEGGKPCGNRKEYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8537102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:95074514:95084654:-1 gene:gene-LATHSAT_LOCUS6416 transcript:rna-LATHSAT_LOCUS6416 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKVQLKRIENKINRQVTFSKRRTGLLKKANEISVLCDAEVALIVFSHNGKLFEYSTDSCMEQILERHERYGYAEKLLVASDTTDTQENWTVEYTRLKAKIDLLERNHRHYMGEGLATMSLKELQCLEQQLDAGLKNIRTHRTKVMYEAISELQKKEKGIQEQNNMLSKEIKEKEKAVAQEAAAAAAAAQWEEQPNYRVNTSFFLHHTLAGLNMGGNYREGDQELMRNGLDLTLEPVYY >CAK8565933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:355265241:355268335:1 gene:gene-LATHSAT_LOCUS19106 transcript:rna-LATHSAT_LOCUS19106 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPKHSPRTPTYLLPCVIALSFFSLTGLLLYKVDDVVSRTGTVVGHNLEPTPWHVFPTKPFDEETRQSRAYKIIQCSYFTCRNAASGGSGQVNYAAGDAKANAANCPDFFKAIRRDLEPWMKTRISEGHLAEAQKYAAFRVVIVGGKMFVDWYYACVQSRAMFTVWGLLQLLKKYPGLVPDVDLMFDCMDKPSINKTEHNSMPLPLFRYCTTKEHFDIPFPDWSFWGWSEINIRPWQEEFPNIKQGSQAVSWNDRKRLAYWKGNPDVASPVRTELLNCNDSNAWGAEILRQDWVAAARGGFKESKLSKQCNHRYKIYAEGYAWSVSLKYILSCGSVTLIITPQYEDFFTRGLIPLQNFIPIDPLDLCPSIKRAVDWGNTHPREAEAVGKRGQDYMETLNMDRVYDYMFHLISEYSKLLNFKPTPPPSALEVCTESVLCFADEKQTLFLNSTTGSPSQTPPCTLKYD >CAK8568494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611724954:611725850:1 gene:gene-LATHSAT_LOCUS21446 transcript:rna-LATHSAT_LOCUS21446 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHNFFFFITSMVVPRGTAAPLLLKWFVSRDVPTGAPFSNGTIIPILIPSFPLLVYLHSRKFIRSMDGAKSGVLVRASRPILLPDIIGRSSSETRAGNASFRFVPVLHFLLIESKGDFSYLESFCGVLCLLFFRTLFSLPRDRSAKRERARRRIHQRLRSNPNGNEQQRNDKMGCSGHPHLERRVEGFGPVAFPVPPSSGGACVGGVPPEPEIGLEALALPTSRQLMVVGHDYHQKAPMKMNISHFGVCICMLGVLLSCDPAAYVRPVAHASYLFGAGGVNSDSIRVFNPAAEMLS >CAK8568495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611724993:611725850:1 gene:gene-LATHSAT_LOCUS21446 transcript:rna-LATHSAT_LOCUS21446-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPRGTAAPLLLKWFVSRDVPTGAPFSNGTIIPILIPSFPLLVYLHSRKFIRSMDGAKSGVLVRASRPILLPDIIGRSSSETRAGNASFRFVPVLHFLLIESKGDFSYLESFCGVLCLLFFRTLFSLPRDRSAKRERARRRIHQRLRSNPNGNEQQRNDKMGCSGHPHLERRVEGFGPVAFPVPPSSGGACVGGVPPEPEIGLEALALPTSRQLMVVGHDYHQKAPMKMNISHFGVCICMLGVLLSCDPAAYVRPVAHASYLFGAGGVNSDSIRVFNPAAEMLS >CAK8537784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:432620768:432621809:1 gene:gene-LATHSAT_LOCUS7038 transcript:rna-LATHSAT_LOCUS7038 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNVGHSDHPAVSALNCPPFLAVELCREQMGLHPCDKRRTVSEYRHMFPGIDFSLIETDEDTWWKPEREKKEEVIGRGLKFLEWLCTRKEKEIAIVTHSSFLFNTLSAFGNDCHPNIKTEMCKHFANCELRSMVIVDKGMIGSNNSTTNYPGKIPHGLDLPSDATD >CAK8542332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502433562:502437795:1 gene:gene-LATHSAT_LOCUS11178 transcript:rna-LATHSAT_LOCUS11178 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRPDGRTPNQLRPLACSHSVLHRAHGSATWTQGETKLLAAVYGPKAGAKKNENPEKASIEVIWKPSTGHVGQAEREYEMILKKTLESICIRTVYPNTTTSVIVQAIHDDGALLPCAINAACAALVDAGIPLRHLAVAICCSVTDNNCIILDPSKQEEKKAKAFAYLVFPNTTVSVVQEKSLQAGNDPTAHGIITSVTHGAMSVDNYLHCLERGRATTQRLSEFLRKNLEPKSIREASKAG >CAK8567568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525927702:525932516:-1 gene:gene-LATHSAT_LOCUS20609 transcript:rna-LATHSAT_LOCUS20609 gene_biotype:protein_coding transcript_biotype:protein_coding MAALISKRLLRSYGSLHSLRRCFDSPNAEFDSLHKPNDICSSLCGERVISAQRLLTGSMMQRFCTASFTPGHDEDAFPSDLLSKKPLAKSERDVGICQDLLIPVTNFHNEDKGYMVLAGDVFDLPIRKDIVHRVVLWQRAKSQQGTHSTKTISEVSGTGRKPWNQKGTGRARHGSLRGPQFRGGAIMHGPKPRSHAFKLNKKVRRLGLKIALSNRAAEGKLIVLEDLEVPTHKTKNFLNYFNQLEDTKKLLIVDGGPINEKLKLATQNLHYVNLLPSIGLNVYSILLHDTLVMSKDAVNRIVERMHTPISTKSKPQ >CAK8559922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1229960:1231108:1 gene:gene-LATHSAT_LOCUS13655 transcript:rna-LATHSAT_LOCUS13655 gene_biotype:protein_coding transcript_biotype:protein_coding MDISISIDMSNNNIRNFDLNECPVEDFSVENDYSEVIVEHFDCNIGEKDVLEGDIISSIEKENLISSNQNVGINEFLEEVDNDGASNETNILPFVGQNFLSEEEAFVFYKRYVYQHGFSIRKGRFIKQNGIISRRDFFCHREGKVPLKIIEPSKEQRKRETSKCECKAHLRILLQKSHDIFPSEWRVTKFVVEHNHDLLTQSELRFLSAYRTILENDYERIFLLKEGGLSVRQIMCVMELEKNVKHGYLPFMEKDIRNLFLKATKIFERSDVMDLLKYCEDTKKSCSKFQYAYTLDEERRLEHIFWSPASYFDWYKKYGDVVVFDTTYKVNSYEMPFGIFVGMNGHGKTILFRCALLQNETTSTFCWLMKVIFILTFFSLYS >CAK8562927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557855654:557856350:1 gene:gene-LATHSAT_LOCUS16387 transcript:rna-LATHSAT_LOCUS16387 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDKGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTNTAVHNATPRKPDPAFQDDEFPQKSKWFCCG >CAK8541802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:402514720:402515358:-1 gene:gene-LATHSAT_LOCUS10697 transcript:rna-LATHSAT_LOCUS10697 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLDDVSCLLHLPIMGVLWSPQDISEGLAVEWVVDYLEVSQRVAQQQARDCRGSYYSLEWVYDRFIEHRAASKWAYATRAYLLIYLRDASMFSCKQLDGYPTLLQCWIHEYFSTLGKKRRELDTS >CAK8567334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505847901:505856963:-1 gene:gene-LATHSAT_LOCUS20398 transcript:rna-LATHSAT_LOCUS20398 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMTWRFTANNGTSLAANEERNGDGKAQDSEAPTSHSVPKMGLRERSSSGMEDADGTLASIAQCIEQLRRSSSSMQEKEYSLKKLLELIDMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLSLLKSSSEEGQVAAAKTIFAVSQGDAKDHVGSKIFSTEGVVPVLWEQLQKGLKGGSVVDSLLTGALKNLYSGTERFWNATIQSGGVDILLKLLATGQSSTLANVCFLLACMMMEDASFCSKLLTADATKQLLKLLGPGNDAPVRAEAAGAIKSLSAQCQDARKEIANCNGIPALINATIAPSKEFMQGEYAQAIQENAMSALANISGGLSYVISSLSKSLESCSSPTQTADTLGALASALMIYDDKAENTKASDPLAVEQTLLEQFKPRSPFLVQERTIEALASLYGNPILSLKLANSDAKRLLVGLITMAAKEVQDELLKALLTLCNSECSLWRALQGREGVQQLISLLGLSSEQQQECAVALLCLLSDENVESKWAITAAGGIPPLVQILESGSAKAKEDSATILRNLCDHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVSLSDLLRDGSAASDAINTMIKLLSSTKEETQAKSASALSGIFETRKDVRESNIAVKTLWSAMKLLNVESRSILVESSRCLAAIFLSIKENREVAANARDALFSLVALSSSSVLEVAELATCAVANLLLDSEIAEKAIVEEVIFPATRVLRDGTRSGKIHAARAISRLLHSREVDYAVNDCVNRAGTVLALVSFLDSAINEPVATTEALEALAILSRLKETAGLSKPAWMTLAEFPKSISPIVLSIADSTPVLQDKAIEILSRLCKDQPSVLGEIVATASGCISSIAKRIINSSSTNLKVKIGGAAILICAARENHQRLVEDLNLSNLCADLVQSLVDILISSQATLVNQDNDKKEVISICRHTKDANDGISTNSTTIISGANVAIWLLSVLASHDEKARIAIMEAGAIEILTDRIENFPSQYSQIDYKEDSSMWSCALLLAILFQDRDIIRAHATMKSIPVLVNLLKSEESANKYFAAQSIASLVCNGSRGTLLSVANSGAPGGLISLLGCADLDIQDLLELSNEFSLVPYPDQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGIMTQLATDCPSNQIAMAESGAIEALTKYLSLGPQDAIEEAATDLLGILFSSAEIRKHESAFGAVNQLIAVLRLGGRAARYSAAKALESLFSADNIRNAENARHAIKPLVEMLNTGSEREQHAAISALVKLLSENPSRVLVVADIEKNAIDVLCRILSLDCPMDFKGDAAELCCVLFGNTKIRSTVAAARCVEPLVSLLVSEFSPAHDSVVRALDRLVGNETLAELVVAHGAVIPLVGLLFGRNFVLHEAISRALVKLGKDRPNCKNEMVKAGVIESILDILPEAPDYLCAAFTELLRILTNNASIAKGPSAAKVVEPLFFLLTRQEFGSDGQHSALQVLVNILEHPQCRADYTLTSHHAIEPLIPLLDSQKVEVQQLVAELLSHLLLEEHLQKDPVTQQIIGPLVRVLGSGIQILQQRALKALVSIAIVWPNEIAKEGGVIEISKVILQADPSIPHTLWESAASVLASILQFSSEFYLEIPVAVLVRLLQSGSETTVSSALSALLVLESDDGTTAVAEAMAETGAVEALLELLRSHQCEDTAARLLEVLLNNVKIRETKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARTADAVAACRALVNVLEDQPTEEMKVVAICALQNLVMHSRSNKRAVAEAGGVQVILDLIGSSDPETSVQAAMFIKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNDEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQFLIQSGPPRFQEKAEFLLQCLPGTLVVIIKCGNNMKQSVGNPSVYCKLTLGNTPPQQTKVVSTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKSGPSRNLEIEFQWSNK >CAK8542499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520512784:520514709:-1 gene:gene-LATHSAT_LOCUS11337 transcript:rna-LATHSAT_LOCUS11337-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGQIHPDFCNLLYLNKVDFSHNNFTCPLPVCFGNLLNLRVIDLSHNRFHGGIPDSFMRLKLLTELVLNENPLLGGFLPLWIGNFSANLERVNLGFCSFSGGIPESLLYLKSLKYLDLENNLLSGNLVVFQQPMVILNLASNQFTGTLPCFAASVQSLTVLNLSNNSIMGGLPACIASFQALTHLNLSRNHLKYRIYSKIVFSEKLVVLDLSNNDLSGPIPSKIAETTEKLGLVFLDLSHNQFSGEIPLKITELKSLQALFLSHNLLSGEIPSRIGNLTYLQVIDLSHNSLSGTIPFSIVGCFQLYALILNNNNLSGIIQPEFDALDILRILDISNNRFSGAIPLTLAGCKSLEIVDFSSNDLSGSLNDAITKWMNLRYLSLARNKFDGNLPSWLFTFQALETMDLSHNKFSGFIPDVNWKSSLLFNTRDVTVAVKEEPLVEARRVEPRVSVGVSDSNQLSFTYDLSSMFGIDLSNNLLHGEIPRGLFGLAGLEYLNLSGNFLNGQLPGLQKMQSLKAIDLSHNSLSGHIPGNISSLQDLTILNLSYNCFSGYVPEKQGYGRFPGAFAGNPDLCLESLSGICDDGRIPSNQDNYFKEDKMDGPISVGIFFISAFVSFDFGVVILFCSARTRKYILQIKA >CAK8542498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520512784:520514819:-1 gene:gene-LATHSAT_LOCUS11337 transcript:rna-LATHSAT_LOCUS11337 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARVYPTGHNRFHGGIPDSFMRLKLLTELVLNENPLLGGFLPLWIGNFSANLERVNLGFCSFSGGIPESLLYLKSLKYLDLENNLLSGNLVVFQQPMVILNLASNQFTGTLPCFAASVQSLTVLNLSNNSIMGGLPACIASFQALTHLNLSRNHLKYRIYSKIVFSEKLVVLDLSNNDLSGPIPSKIAETTEKLGLVFLDLSHNQFSGEIPLKITELKSLQALFLSHNLLSGEIPSRIGNLTYLQVIDLSHNSLSGTIPFSIVGCFQLYALILNNNNLSGIIQPEFDALDILRILDISNNRFSGAIPLTLAGCKSLEIVDFSSNDLSGSLNDAITKWMNLRYLSLARNKFDGNLPSWLFTFQALETMDLSHNKFSGFIPDVNWKSSLLFNTRDVTVAVKEEPLVEARRVEPRVSVGVSDSNQLSFTYDLSSMFGIDLSNNLLHGEIPRGLFGLAGLEYLNLSGNFLNGQLPGLQKMQSLKAIDLSHNSLSGHIPGNISSLQDLTILNLSYNCFSGYVPEKQGYGRFPGAFAGNPDLCLESLSGICDDGRIPSNQDNYFKEDKMDGPISVGIFFISAFVSFDFGVVILFCSARTRKYILQIKA >CAK8544277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675314798:675315448:-1 gene:gene-LATHSAT_LOCUS12970 transcript:rna-LATHSAT_LOCUS12970 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEIKAYNSWIVRGILKQCDSMEVIRNEWEQTINAQKFKASVFYKVLIDDGTRVLWGKLIKFNKARPRTVFCLWQACHGKLATKDRLKRFGMIEDNSYKLCQAEGETLNHLFFSCQETKHIWKEVLKWFNIPHEPQPWDAELIWINNITKGKGWKGEVLKMLVAETIYNIWGYRNDKTFGNTVDNTTTITNIIDHVIYRGWNNSRIRKHLVSFMM >CAK8541895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:424596415:424598568:-1 gene:gene-LATHSAT_LOCUS10784 transcript:rna-LATHSAT_LOCUS10784 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRLLHPSQSSFSIPTTFRPFHFSSTPQPNNPNPPYSLSQSLSHFHRTLQQYPNSPPSYSSCNTLIDNLRKTKQYHLVISVHSKMVSVSVFPCFTSLSALIESFVNIQKPGFALGVLSLIIKRGFHVNVYNMNLLLKGFCQTGGNDCDKALDLFCMMKRNCVLPDSVSYNTVINGLCKDKRLVEARGLFEEMKIGDCKPNLVTFSALIHGFCKNGDVEESFGLLEEMEKMGLVADVFVYSDLISGFCNRGDVERGKELFNEMLKKNVSPNVVTYSCLMHALCKKKRWQEASKMLDDMTACKVRPDVVAYTILADGLCKNGRASDAIKVLDLMVQNGEEPNNVTYNAIINGLCKEGRVDEALGILETMAKKGKKPDVVTYNTLLKGLCGVGKIDEAMDLLNLLLSKEFHIKPDVFAFNLVIQGLCKEQRLHDAKRVYSTMVERGFQGNIVTYNSLIDGYLSAGKLTKALELWKYAVDLGFSSNSMIYTILINGLCKMQMLSIAKGLFNKKRASGTRPTVSEYNTLMASLCKESSLEQARFLFQEMRNVNHDLDVVSFNIIIDGTLKAGDVKSAKELLLEMLNMDMVPDYITFSTLINRFLKLGKLEEATSLYDRMVSCGHVPDAVLFDSLLKGYSIKGKTEKVISMLQEMADKDVVLDSKLTSTILACLCNIPKDIDIKKILPKFSQHSSLGASVKCNELLMKLNKIHPELQLFLA >CAK8531116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82102980:82104269:1 gene:gene-LATHSAT_LOCUS928 transcript:rna-LATHSAT_LOCUS928 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQVSSRLSSSISSSSSSQTTINSSFNVPKLPKIISIAPKTRPSRKVFEELNGQLTHTIIQQNDHHYSSNNSSLSPSSKTKTITQLYAILESVSDRIEMHQNIAEQRNNWNTLLLNSINMITLTASAMAGIAAISGSGAPLLALKLSSALLFSASTGMLIIMNKIQPSQLAEEQRNATRLFKELKSHIETTIALGDPTEKYIKDAIKNVLALDRAYPLPLLGVMIEKFPQKYEPANWWPAKSSPRRSNKQNNEIKQKGWKKNGWNEGLESEVKDVLQVMKKKDMEDYKRLGNLVLKVNKTLAIAGPLLTGIAAVGSTFVGQGSWTSVVPVMAGALATAVNSLEHGGQVGMVSELYRNCGGFFQMMENSIEENILEEDEDQRENGELFEIKLALKLGRSLSQLRDLARKSAYSRVEGTTVDEFASKLF >CAK8579547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706873877:706877446:1 gene:gene-LATHSAT_LOCUS31485 transcript:rna-LATHSAT_LOCUS31485 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALLGKTFAAKCKPLLKLTKNRIEVIRRKRRATEKFLKKDVADLLHSGLDINAYGRAEGLLVELMLSSCYGFVEKSCELLLKHLSVMQKISGCPEECRVAVSSLMFAAARFSDLPELRDLRKIFQERYGNSIECFVNKEFAANLNSKSSTLEKKVCLMHEIASEFSINWDSKSFELRMSKPSAFVQDRSAIICNNLFDHDKSSFNKDFNQKDVKYDVMLENHKEDVIPNIDYHDLQHQSTVLGKGFKPINGCEVLVQKDGRANSLTETEEVTATKTDTGYWKEGSMLKPIGRSFQEKTLEQFEDGSKLHDNLENTTPPRKSQDTTTPTRIGSRFRSNAKQPCADDKSERKIQNDDVHMQKPCFSNVIPPPYVKHISKHQNRTSGFNIVSSHTDSDGFFTCPSVHENSDAAPMSERIQIGSNKHDLNWQASRHKRLSKQSPKKEIYFPEDDKEIPMVKPKSTRRKHSRSRSRHYDAPNVDTELVRKTRSRSKRRGESRRGQRNLFEDERHQKAEEERVIDKLLIHYSNKPAILVPEIVRKKSNRHNEHKIDNSTRELMQNGSGDGSDETPEMVTLALRSVSLPREQTRLVEAKKEFVRAASFQPNRSYDTRHVHPNLPDYDDLATRLASLRGS >CAK8533104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577968375:577970128:-1 gene:gene-LATHSAT_LOCUS2753 transcript:rna-LATHSAT_LOCUS2753 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNPSKGIGGSSSSYGNAGMQSPSMSTNPVFSQAQAQAQAQIAAGFQGQFPQSQAHAIVQAQSKAQAQAQAHAQAAAVAHAQLQAHLQAQGLTLNQNQVGGLGNLGVSSSSMSTPAKRGPLKPPMRPVGFLPQNNFSPLRPMELTPAARRKKQKLPEKQMQERVAAILPESALYTQLLEFESRVDSALSRKKVDIQEALKNPPCIQKTLRIYVFNTFANQVRTIPKKPNAEPPTWTLKIVGRILEDGVDPDQPGVVQKQSPMYPKFSTFFKKVIISLDQRQYPDNNIIVWENSRSPASQEGFEVKRKGDKEFTANIRLEMNYAPEKFKLSTALTEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFHCDQALQKVFGEEKVKFTTVSQKISQHLFPPQPIMLEHQIKLSGNSPAGSGCYDVTVDVPFPIQRELSALLANVEKNKEIETCDEAICGIIRKIHEHRRRRAFFLGFSQSPVEFIDALIESQSRDLKTAAGEPSRSAEKERKSDFFNQPWVEDAVIRYLNRKPAAGSDAPGST >CAK8543075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574207463:574209437:-1 gene:gene-LATHSAT_LOCUS11862 transcript:rna-LATHSAT_LOCUS11862 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKSTTPKLDKQEDYKGSFLSLPQEILDFILKKLSPKDLFMVSHVCTHLRNNSRSDYLWNQHVEQKWSKLEGEDFHNEWKCHTQKIRNEESSFLHQNQSKSCGNISGDYPYQRLHSYLKSNRALDDLIKNHPQMALYICLETGRFWFPVQVYKATKKTLYCHDALASYDSRTDTFRARSTNAGQRLVERDIEWERLRMPPPKTFLVDYYEYSNLNDLKPGDHIEIQKRRRKAFPYHDWAYASICHLKSCDREINQCSCQDNDLLEMMIHKRGSIPKYSISRRMTHPIAWEDFNFLNGIRKLTNEEVEKWNNFAYML >CAK8578667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645638161:645638364:1 gene:gene-LATHSAT_LOCUS30657 transcript:rna-LATHSAT_LOCUS30657 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIEEFCYRMTGTMKEWYHNLGAFKQDELHRLETIASVLGVLHREFIGDMEIFYRKNR >CAK8572030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502865750:502865995:1 gene:gene-LATHSAT_LOCUS24639 transcript:rna-LATHSAT_LOCUS24639 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDRLYPGRRRLKPNFEEGVKGFITWAFSQECCRSEGGVRCPCLKCGCRPIISDPEEVERHLKRRGFIENY >CAK8563441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604169752:604170837:-1 gene:gene-LATHSAT_LOCUS16853 transcript:rna-LATHSAT_LOCUS16853 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTRATSRRKIPKFFYLLLWLLVPICLFGIYVHGQKVAYFFRPLWDNPPAPFTIIPHYYAENVSMGHLCKLHGWSLRSQPRRIFDAIIFSNELDLLEIRWHELYPYVTKFVILESNTTFTGIPKPLFFDENKERFAFAKQKVVHGMNPGRVAVRGSNEDPFVLESKQRGAINALLRRAGISNGDILLMSDTDEIPSPHALKLLQWCEGIPPIMHLDLRNYMYSFEFPTDYNWKPSANIYGPRTYYRHSRQTDFLLSEAGWHCSFCFRRISEFVFKMKGYSHAERVRWKSFLSHSRIQEVICKGADLFDMLPEEYTFQDMIKRLGPIPRSASAVNLPAYLIENADKFKFLLPGGCLRTPE >CAK8534759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:764638704:764642868:-1 gene:gene-LATHSAT_LOCUS4274 transcript:rna-LATHSAT_LOCUS4274 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKEKMEGGRRGRACVVVLGDIGRSPRMQYHALSLANQASLEVDIVAYGGSEPHTELLANPSIHIHLMKQWSTARQSLPKILQPFMLLLKPLFQIFTLLWYLCIKIPSPDIFIVQNPPSVPTLVAVKWASWLRKSSFVIDWHNFGYTLLGLSLGRNSRFVSLYKWFENRYGKMADASLCVTKAMQHELAQNWGINATVLYDQPPDFFHPTSPEERHKLFCRLNEHFYHPLGVRDCVSNGSSLTSSQIQNESVFTTEVGSDIYLKPNRPALVVSSTSWTPDEDFGILLEAALMYDRRVAAILNEDDSLDEEVMWKEISDGKQCLYPRLLFVITGKGPEKAKYEAKIKTLKLKRVAFRTMWLTADDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVSVDKNGLLFSSSSELADELLTLFKGFPVACDSLKALKSGALDTGSSSRWVTEWEEHAKPLITEVISRF >CAK8534428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725646735:725650281:-1 gene:gene-LATHSAT_LOCUS3975 transcript:rna-LATHSAT_LOCUS3975 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSTWPWENFGIFKYVLYGPFAGKVLYEMLYENEKDFKFSWCLCLLILSGLRGFLYVLWSSYSNMLFLTRNRQILKQGIDFKQVDREWDWDNFLILQTILCYMAYYMFPFLQNLPSWNMKGAIAALIFHVGISEPLYYLVHRKFHGNYLFTNYHSLHHSSPVPHSFTAGNGTVLEHLFLSLVIGIPILGASFMGYGSISLIYGYILIFDFLRCLGHCNVEIVPYCIFKTFSFIRYLIYTPTYHSLHHTEMDSNFCLFMPLFDALGNTVNTKSWELHESLSKGNCSRVPDFVFLAHIVDVTSSMHVQFGLRSFASLPFTTRLFLIPLFPIPVISLLAMWLWSKTFLLSFYYLKDRLHQTWVVPRCGFQYFLPFATEGINKHIEKAILTADKIGVKVISLAALNKNESLNGGGKLFVDKYPNLRVRVVHGNTLTAAVILDEIPKDAKEVFLTGATSKLGRAIALYLCRNKVKVLMLTLSTDRFQKIQKEAPQEYQSYLVQVTKYQAAQHCKTWIVGKWITPREQNWAPSGTHFHQFVVPPIFGFRRDCTYGDLAAMRLPEDVEGLGCCEYTMDRGVVHACHAGGVVHSLEGWTHHEVGAIDVDRIDVVWKAALKHGLTPLSLGSTAKPN >CAK8570244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31437958:31438930:1 gene:gene-LATHSAT_LOCUS23013 transcript:rna-LATHSAT_LOCUS23013 gene_biotype:protein_coding transcript_biotype:protein_coding MITCLFFLFLLLPTLTYSNSQPCLSSSCGKITNISHPFRLKQDPEHCGNKRYELDCVNNVTVLSLYDGYYLVESINYKNYTIRVVDPNIQPTNCSSLPGFFLSRNNFTNFDSMNWRIPKENNSYAYDLTRWGYKSIDYPDPHPGYYIYDLSRPVIFMKCTSPPSKVVDEYYVDGTSGLDQHTYVIVGDPRFEILEPQCRVKFVTFTSFWGGSIRSTDSVIANVSYIDVHKGLRYGFEIFNCPCDECFLNDTINEIECFHDPTGKASSYINKYDQLIKGWDSMTSTNTSAHL >CAK8578186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611516189:611517589:1 gene:gene-LATHSAT_LOCUS30221 transcript:rna-LATHSAT_LOCUS30221 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSRKSTTTGHSIYELGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTIIDAPGHRDFIKNMITGTSQADCAILIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIMKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFVELITKIDRRSGKEIEKEPKFLKNGDAGMVKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVTVSIIHTLCSLTCNVFVHLDFRRRVLRLTGEYGRDCEMVKSIGEV >CAK8535258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831018065:831018494:1 gene:gene-LATHSAT_LOCUS4731 transcript:rna-LATHSAT_LOCUS4731 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTDIPCLGVRFTWFSGCGKVMSRLDIFLLSDNLIPMWNITAQWIGFWDISDHCPIWMKSKCAKIELVGRGDYRLCEKLMRLKERLKWWNKNVFGWIDLGVENDVKMLWIVPS >CAK8570272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33256485:33265847:-1 gene:gene-LATHSAT_LOCUS23035 transcript:rna-LATHSAT_LOCUS23035 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCKAFLTSSTQRGIARKMCSVRTLSSHREDLEMKDLLDYIDSLKNYEKSGVPTGAGTDSNDGFDLGRMRRLMERFGNPQSNFKAVHIAGTKGKGSTAAYISNILRTEGYSVGCYTSPHIQTIRERILLGRSGDPVSAKLLNNLFHRIKQDLDQAIKEENGCISHFEVFTAMAFILFADEKVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGTLESIAMAKAGIIKQGCPLVLGGSFLPHIEQIIREKAVTTDSPVVSAYDAGNSLAVKSFSILNRKPCQICDLEIQTVKDLKLSCKLRDLKLQMLGDHQLQNAATATCVALCLRSLGWMISDESIRCGLESTYLLGRSQLLTSEDSKVLGLTGATILLDGAHTKESAKALMNTIKMAFPKARLAFVVAMACDKDHAGFAREILSGAYAETVILTEAAIAGAVTRTTPASLLRDSWIKASEELGVGICHEGMTEYSELLKEQPVSSDSNLNDGKTILATESSLKDCLRVANEIVNRRNDEKGVIVITGSLHIVSSVLASLSFSC >CAK8579315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691223032:691225068:1 gene:gene-LATHSAT_LOCUS31269 transcript:rna-LATHSAT_LOCUS31269 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAAEVPTKKSRGSRKALKEKTPSASDANVIAGESKGKAASKKQQQPKQQWFEQDLLEMQEKLQQLRLEKEKTDELLKEKDEILKQKDEELENRGKEHEKLQIELKKLQKLKEFKPTMNLPMVNKEQEKKDKKKKKKKKKNGCSETKRPTHAYMLWVKDQWHEVKKANPEAEFKDISNILSAKWKTASAEERKPYEEKYLAEKEAYLRVITKEKREIEAMKLLEEEQKQKTAMELLEQYMQFKQETEKEIKNKKKEKDPSKPKHPMSAYFLFTNDRRAAILAENKGVLEVAKITGEEWKNMTEEQKRPYEEIAKKNKEKYAQEMEAYKQRKEEEAANMMKDEEEHMKLQKHEALQMLKKKEKTENMIKETKLNRQKKKQSKDSDPNRPKRPASSYFLFSKEARKSIMEERPEIGEGMLRTLISLKWKDMSEEDKQLWSGKASEAMDAYKKEMEEYNKSIVAKLLEKKNTDES >CAK8530499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25390123:25391634:-1 gene:gene-LATHSAT_LOCUS357 transcript:rna-LATHSAT_LOCUS357 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGLYTDRINSRRGIRQGDPLSPLLFVIIMEYLSRLLLKMQRNPKFNHHAKCERLQITHLTFADDLLLFSRGDYVSVEILYLTLNKFLDSTGLKINPSKSRMYFGNVSATVKCDILQLTSYNEGSFPFRYLGIQVTSKRLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYTITTYWMQCIWFPKGVINKINAICRSFLWTGGNTSSRKSPVAWDNVCKPHVQSGLNVMNLEMWNSMFVIKLLWNIYAKSDDLWVRWLHAYYLRHEDILTRMVKGSDSGIFKAILIQRDNLMTIQSTWDAMLQLGKFHGGKVYQSLLPLTPNVPWAKLILHNRARPRAIITLWMICHGKLATKSRLFRFGMISNNKCAFCIEEEIIDHLFFCCVELKQIWSGVLQWVGIQHTPTRWQEEMQWALSNYGGKGWKSDLVRLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLIPHIARFILP >CAK8560384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16858966:16859784:-1 gene:gene-LATHSAT_LOCUS14061 transcript:rna-LATHSAT_LOCUS14061 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSAIATPIIQRDYVLKHFPTTVSSTYNSVDFIIGFATEDYNQNGEGKGDFHSTWDLATFSPEKVKELKKNYPDVRVVISIGGYIGTYSPFNPIEKKDVWISTAVYSLKKIIHIYDDKYHRNMIDGIDIHYGNVKSDDFSYCIGEVIKSLKTDPQLTIKVVSITAGEYTQSDYLKLYVENQEYIDIVQYLFTNWRYCKEDLLDFYNKLIASYTPAQVLPGYLNPSFSGDKAKETVMYLVKQYLAPGFFTYPSYDSPSPFSSEEDASKNI >CAK8570416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44026516:44027094:1 gene:gene-LATHSAT_LOCUS23164 transcript:rna-LATHSAT_LOCUS23164 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSLFHRKKKLLNKTTSPSPTTVTENTTFIPRTPSHQSHAHFIEDLEHVFRKFDVNNDGKISSSELGSIMGNLGQPATEEELNNMIREVDADRDGYINLEEFIELNTKDIDANEILENLKDAFSIFDIDKNGSISAEELHNVMVSIGDQCSLIECQKMIACVDSDGDGMIDFEEFKRMMMGSMGRTNRQT >CAK8543688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631675698:631675919:1 gene:gene-LATHSAT_LOCUS12431 transcript:rna-LATHSAT_LOCUS12431 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTLSNGRGLTPNFEKGVKGFITWAFAQECCRREGRVRRPCLKCECRPIISDPEEVERHLKRKCFIKNYWV >CAK8566650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445305511:445305861:1 gene:gene-LATHSAT_LOCUS19766 transcript:rna-LATHSAT_LOCUS19766 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDILVIWNKFTWFKSNGSCRSRIDRFLLTEGLISKWNVNVQRVGDRDISDHRPIWIQNIKVNWGPKPFKVFKCWFNHPTFDEFVASTWNNFHIVGSPLFILAEKLEKLGEWLR >CAK8563770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630178855:630179079:-1 gene:gene-LATHSAT_LOCUS17146 transcript:rna-LATHSAT_LOCUS17146 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGFADLMDGRDRSRAMSTRPEPNPIFNSPLPIQAPSGCLLNGDNQKLLGVTNNTNIKLKGDGNGAFTLGDFK >CAK8562709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530535984:530539912:1 gene:gene-LATHSAT_LOCUS16183 transcript:rna-LATHSAT_LOCUS16183 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSYTLDSVSKSQELLSTIQSANSPSEITSVCTSIETFLHTHSPDQSRHFFSLAFPTLICNIFGFENPRAASPSSSGWINIPELDKTLFSLLSPSGTLATSISAVDRLSLVKYLFPAERLPRWSRSISDKHNLSDLCPSIFSSSSSSSQIQLNVFQYFFFWFAYYPVSKGNSNNSDQISVKTTTAKFRLENWKSSIPGFSASKQNISNKKPYYDLYTRLLYAYLRANVPTSDLSSHQPYRSSILHYGSSHDATVVDRAEFVVNTLIHFWLVDNDFSPFPVSVCKPLGVAFPFREMPPTPGLSKVVKLFVRYLGLSTVTAFRENGDSGSSYSPSVRWRTMEVSKNKDLGYACWNQCLQRPLYRFLLRTLLFCPMASSVKNVSQVFSLWIRYLEPWNIKMGKFKKLDEMNGEKLEFSVPENGSGGGFTPSWQDYVLSNYLYYTSLVMHFIGFAHRFLHSDVEIVIQMVLKVLDTLTSSKELIDLLKNIDTLFHSKQAGLSKPMLNNLYRYVPIIREQLQDWEDGLCETDVDGSFLHDNWNKDLRLFADGEDGGQQLLQLFILRAEAELQAMSGDNVTRSLKCIDSLKEKLGCLFDGRAIKPSSISPERIQHQQSRDEIFKPRRAGNRMCTDVKYKGDWMRRPISSDEIAWLAKVLVWLSDWLNENLGLNQSEISLRLNETDNSKSSSTCSYVEVSPDVTHICSPSEALKAFLCTICSWFLFVGAACLGFMRKNGLRVNLRILASKKVVMVFVLYAVFNVLKKFF >CAK8564887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14929975:14930925:-1 gene:gene-LATHSAT_LOCUS18143 transcript:rna-LATHSAT_LOCUS18143 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALIPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENLIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8530973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67352411:67352961:-1 gene:gene-LATHSAT_LOCUS796 transcript:rna-LATHSAT_LOCUS796 gene_biotype:protein_coding transcript_biotype:protein_coding MICSLGVSERGSRSQKHHDVTIQGFQKIIEELDLLDLKDSNEDLGNSTSEVISKASSNEIFVCDPPVATTKGRPRTFRMKGSLELCNKSAFVCSYCKNKVHNKRKCSSLNQIRCDIINGQFSNVDGKDSTADFIQRYYLCSFI >CAK8567526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:521376261:521378024:1 gene:gene-LATHSAT_LOCUS20572 transcript:rna-LATHSAT_LOCUS20572 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCCKDCASGVTEPVKSKKKEASVNAKTSTSREKDDKIVFFADCNHFAFDLEDLLRASAEVFGKGVFGTTYRAALDDATTVVVKRLRDVAVGKKEFEQQMEVVGKLKHENVDAIKAYYYSKDEKLVLSDYYQQGSVSSMLHVKRGEGSTSLDWDSRLRIAVGTARGIAHIHAQRGNLVHGNIKASNIFLNSQGYGCVSDIGLATLMSSVPLADTSVTGYRAPEVTDTRKATQSSDVYSFGVLLLELLTGKSPLYASDGEQVVDLVRWVKSVVKEEWTAEVFDVELWKYSNVEEEMVEMLQIGINCAVRKPYQRPQMSEVVKMMEGICPESRSEVSTPTICAT >CAK8560951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:62343238:62343609:1 gene:gene-LATHSAT_LOCUS14586 transcript:rna-LATHSAT_LOCUS14586 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMRSKREKSLRAIRREIVQPFYDEKEAAKLSAQEAALATPKLQVPVRPNTTMEISTSTVDNTNTMDVDMTDENKSKVSLKPSGRIGKKLKKKFKMAKGRIWLLKQLSRSWFLLEVKKENL >CAK8563217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583396166:583396571:-1 gene:gene-LATHSAT_LOCUS16650 transcript:rna-LATHSAT_LOCUS16650 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIALDPDDTRKMSRRFIVGGLAGNLYLNSKKWLGYRDQVLHSGEGSIHALKWRANLVAWADDAGVKVYDTAKDRRITFIEAKIDTANAQKPNFQHLHYFW >CAK8574142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665572206:665576207:1 gene:gene-LATHSAT_LOCUS26515 transcript:rna-LATHSAT_LOCUS26515 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANPEMVVYCFDTLVAHYNGDQPPPPSFEDGQHPLFVTWKKVVNGGEPRLRGCIGSLEARGLIKGFRDYALTSALRDRRFPPIEARELPHLECTVSILTNYETANDYLDWEVGKHGIIIEFSDPDYNTRRSATYLPEVAAHEGWTVIEAIDSLIRKAGCNSRITESLRKSIHLTRYQSTLFTMHYGEYVSYVKQARGEAPSIVGAKLPS >CAK8565162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:53211242:53211436:1 gene:gene-LATHSAT_LOCUS18397 transcript:rna-LATHSAT_LOCUS18397 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISSFFSCFGISSNSLSQVFDYDKKLSQSKSSSSEKSKSKEIIKGAPLVVYHFPVNSNLSLL >CAK8568939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:657418940:657424763:1 gene:gene-LATHSAT_LOCUS21846 transcript:rna-LATHSAT_LOCUS21846 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRQPHRSKTRPSSSSVRSKPRPKDRVPLTKLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHAWASIIWLCGPLSGLIVQPLVGHLSDRCTSRFGRRRPFILGGAIAIALSVLIIGHAADLGWKFGDTKEHRRSAVAFFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYATGSYSGWYKVFPFTLTPACNISCANLKSAFFLDIGFMLITTYISIMGANEVPLGSIGALDAEAEGESGGSAEEAFLWELFGTFKYFSKPIWIVLSVTALTWVGWFPFLLFDTDWMGREIYGGEPNEGTYYDSGVRMGALGLLLNSVVLGVTSLLMEKLCRKRGAGFVWGIANILMAFCFIAMLVLTYVANDIGYLGKNLPPTSIVIAALTIFTVLGFPLAITYSVPYALISTHIQPLGLGQGLSMGVLNLAIVFPQMIVSLGSGPWDQLFGGGNSPAFAVAAIAALVSGIIAVFAIPRTGTQKPRNPV >CAK8535137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816890851:816895891:-1 gene:gene-LATHSAT_LOCUS4614 transcript:rna-LATHSAT_LOCUS4614 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGKETRSLALTPTWSVATVLTIFVAVSLLVERSINRLSNWLRKTNRKPLLEALEKMKEELMLLGFISLLLTATSGMIANICIPSKFYNSAFAPCTRSEIDKEIEENGSEGRKLLTAFSYPRLIRRMLNGINRSSCKEGYEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRVWEDEALMDRRNYLADITRQLTMRRQSTFVKTHTSNPLAIWMTCFYRQFGRSVVRADYLTLRKGFIMNHNLSSKYDFHSYMVRSMEEEFQKIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPISLVLLVGTKLQQVIATLALENAGIAGFFSEAKLRPRDELFWFKKPELLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIRNHLLVYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARKKRRHGMFPDDSTVHTDTSTVLSIEEDDHRIIDDSLQDIATGTEVELQPVTAVTTTPSPIANETSSRAVTPLLRPSASVSSSVPFSARQEPVSRSSSMPNGR >CAK8572564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546928089:546929133:-1 gene:gene-LATHSAT_LOCUS25117 transcript:rna-LATHSAT_LOCUS25117 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKYQPSQASKKLKLSQSSQSSKQFILQFPNHIGSYIDDVVNVVLDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNINHWVQVNMKEGFPFPPVTVVWKKFRSPAATSWMIGFAGRLQH >CAK8570923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:172402572:172403009:-1 gene:gene-LATHSAT_LOCUS23632 transcript:rna-LATHSAT_LOCUS23632 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENKEACVFALQHWHLTHSVDYWVYQSDNERYIIKYKKQNCNLKCRASLRKRNSKWVIGKLSGPHTCTTTSMAQDHRKLSSEMISDNIRELVNRDASLKVKVIIAHILEKYRYIISYRKAWITECKAIESLYGNWEASYNYLP >CAK8562412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486396969:486397199:1 gene:gene-LATHSAT_LOCUS15909 transcript:rna-LATHSAT_LOCUS15909 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQRQQELARRRSNYRQKKDKGKQVQTYNTSNMRIIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISSPG >CAK8538833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497354769:497355242:-1 gene:gene-LATHSAT_LOCUS7989 transcript:rna-LATHSAT_LOCUS7989 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLAMQAIFVTLLLVLLIKIEGDSSSISIPNGEVNKNSMVNIVAKKVGMNDLEPIDCTTRPLICNAGEIVPRSVCCRNRCVDLTSDKYNCGLCGLVCPFNFQCCNRLCVNTYFSPFNCGACGRVCPIGSFCFLGTCAFENPSLSLAPLNHPTIPKE >CAK8544200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671732909:671733804:1 gene:gene-LATHSAT_LOCUS12900 transcript:rna-LATHSAT_LOCUS12900 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGPKVQKLCGVALESLDSMLSRRILPPLPNPTIQDASLLAPNMVKFEDITATSLTVLLCLEDYMGEHNAGYTVWNHKADDLNYPLDPTCTTLLPNRKLGIRDLLPATECSLKFVSNDLSKSLMCEVQVSTEHYEDEVPNCSATERSQSPVTNCSSFSNP >CAK8538296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475713594:475716694:-1 gene:gene-LATHSAT_LOCUS7510 transcript:rna-LATHSAT_LOCUS7510 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHMMFLLFQMVIYSMTLVSIALSLSSNSNTDKLALLSLKEKLTNGVPNSLPSWNHSLHFCEWQGVTCGRRHKRVTVLHLENQTLGGTLGPSVGNLTFLRILILFNSELHGEIPKHVGRLKRLQLLDLTNNNIGGEIPTELANCTNIKVIILRYNKLTGRIPTELGSNIQLSELGLRKNNLVGTIPSSLGNISSLQEISLTENYLEGSIPYSLGKLSSLKSLYLAINNLSGEIPSSLYNLSNIQVFSLAANKLLGSLPSNIDIVFPNIKEFFVGGNQLSGTFPASISNLTELQSFEISWNVFNGPIPLTLGRLKKLEKFSISVNNFGSGGPHDLDFLASLSNCTKLSTLEIYKNRFGGKLSVLIGNLSIHLIRFDVRYNQIYGVIPERIGQLIGLTYLSIGNNFLEGTIPNSIGKLKNLVVLDLENNKISGNIFTSIGNLTILSGLYLSGNKLKGRIPLTLKHCTQLDTLSISDNNLSGDIPNQTFVYLKNLVNLDLSNNSLTGFIPLEFGSLKHISMLYLYQNKLSGEVPNELGGCFTLTELRLWENSFHGDITSFLRSLSSLEVLDMSNNNFSSTIPFELENFTLLNTLDLSFNNLYGEVPTKGVFSNVTSISLIGNKNLCGGIPQLKLPKCIRASSKKHKMSLKRKLIIISVIGGVLISFLAFITVHFLMRKPKKLPSSPSLQNKSFRVTYGDLHEATNGFSSSNLVGRGSFGSVYRGSLLNFERPIALKVLNLETRGAAKSFVAECNALGKMKHRNLVKILTCCSSVDYQGEEFKAIIFEFMPNGSLENFLHDNEGSGKHTLILSKRVDIALDVAHALDYLHHDEEQVVVHCDVKPSNVLLDKDMVAHLGDFGLARLIHGSTSKDQVDSSTIKGTIGYVPPEYGAGGPVTPEGDIYSYGIMLLEMLTGKRSTDDMFYENLSLHKLCKMKTPEGILDIVDSRLIMPFVENNIKECLVMFAKIGITCSEEVPTQRMLTKHVIQNLLKIKDKLSC >CAK8565120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:42221518:42221721:-1 gene:gene-LATHSAT_LOCUS18357 transcript:rna-LATHSAT_LOCUS18357 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTFKFLFVFVVLVAMTSAQDLGPSPASTPAPSPDAGAAGYVTSSMAMIGVSVVLSMFAILKH >CAK8561035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79520247:79523220:-1 gene:gene-LATHSAT_LOCUS14661 transcript:rna-LATHSAT_LOCUS14661 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKKENGGIFQVEPKPNHGFTSKAVDLLEKVIVKLFHDSSRPHHWLSGNFAPVQDETPPVKDLTVQGYLPDCLNGEYVRVGPNPKFSSVAGYHWFDGDGMIHGLRIKDGKASYVSRFVKTSRFKQEEYFKGSKFLKIGDLKGLFGVLMVSIQMLRAKLKILDVSYGHGTANTALVYHHQKLLALSEGDKPYAIKVFEDGDLQTLGMLDYDKRLGHNFTAHPKVDPFTGEMFTFGYSHTAPYVTYRVISKDGFMQDPVPITISDPVLMHDFAITEKYSIFMDLPLYFRPKEMVKNNTLIFSFDSTKKARFGVLPRYAKDEKHIRWFELPNCFIFHNANAWEEEDEIVLITCRMENPNLDMVIGAVKEKLYNISNELYEMRFNMKTGEASQKKLSASAVDFPRVNESYTGRKQRYVYGTALDRMAKVTGIIKFDLHAEPDSGKTKLEVGGNVQGLYDLGPGRFGSEAVYVPRVPGTDSEEDDGYLIFFVHDENTGKSFVHIIDAKTMSAEPVAVVELPQRVPYGFHAFFVTEEQLQEQAKF >CAK8564054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648325954:648326385:1 gene:gene-LATHSAT_LOCUS17397 transcript:rna-LATHSAT_LOCUS17397 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWHKLRRTFTLLSTRIKLRKSETRMVAGCRGGGMVKFSGGDMGGGAVGGLLNLRDEVEMCGYKDVEVMWNMLSLSLTHEPMKTAKGTKPKFPRRSSCKQRLNSRFLFWTNHNP >CAK8542053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:461595979:461596313:-1 gene:gene-LATHSAT_LOCUS10927 transcript:rna-LATHSAT_LOCUS10927 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGDGNNEIFPIAYAIVEAETKASWEWFIYLLLEDLYEIKHRAYAFISDEQKGLVPAVQSVSEHVEQILCVKHFYSNWKKNIQDLN >CAK8579772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721862041:721870619:1 gene:gene-LATHSAT_LOCUS31690 transcript:rna-LATHSAT_LOCUS31690 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLDKLVKFVEEEAGHLIEGPLVLKLNPAGLHYVQSRLEALHEVESLLVGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPVRDPTPLSFLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRITEIKDSPKWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLHHCTKLKHLDLGFNHLRTFAPFTQVSCHIVKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEFLAGLLYLKSLWLEGNPLCCARWYRAHVFSFFVYPERLKLDEKEINATDFWTRQIIIASMHKQPASFGIYVPAKDEAIVEGGNNRRRKVYRVASIESEGEITSICSDPESQSRVNETQNNEDPNLFDDEAEIADLMNKVEHMKKERSILWLREFRDWMNISSDKSAEIGKKGRGKSHHQKDNFFRNSANQEQHGEVSRYASDSILASGDESSLNILEYDSSFADMSAWFHRQQYFDCQGSLGNASGASLSDLGGVDLERFKAFFSLQGINTPLSQSKNSHSDTIATHGADLRTENVNLSPLTTIDDVNGSRSSSICPTSPPHFQEDLLHRRHNLVEEILQLSADSFSVASSDSNTSCSVVDCTEFEPPVPVVDSPPCKNDVNGSVDGHISLNQHEEEYCSPRQGIIHAGKNDICSVGSSSDQTSKQCSIDFAAGAGDGDGESALSASQQTDLFEKRKIRKKAKKRVISIFEDNVDINACGHGQEQMHQGQVSGNLRQESGVDDFTEFSWRSCSTLDNDDFIIAYFNTNIADSEAKEVCSHCIRCNCILQMETNYKEREVAVLLSNHKKLYVLLINVASDGSGALLRVLSRHKMEEVREVLVGMGLQMLRVNFEGGKTYLFVTRSIEMSRELLCTIHVFDSCDGNARCSLRSLEQVQVELFSHQICGGSNFSIYQYAMVLICCKNGNEESWLSRSLFVIGGYVLLCIEDIKQLYSFSSDAAVSPYYRIDSCCSIADITEMVIGVGDTFCVTLGLKCSLTDFYPSTRMNLVTISHGDTAPGSLKLKLRWFSKDNLLQFVSLVKAFHAEKTGSPMVVRCT >CAK8544505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689155636:689156544:-1 gene:gene-LATHSAT_LOCUS13177 transcript:rna-LATHSAT_LOCUS13177 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVFYPDGEFVSEKIIFYRGGVQSTVHEQNIEKWGLSEVMNIILDWGYERNKFRVWSKLEGISVCYFEINKDHRVIDVATYVVGNSTKGHLYVEHNVKDIKVKVVEPQCIDVTVRNESSYEYADESDNDARNVKFNDNEEDKTTGLNDEFEVVEVDMPKEGSNRIDINGKSYRIKMCGSKLPMKKKKLTPKKKMKVKVKVMSPAKKSKDVSEEKDHKPNDDGEDQYVSDELGSSDPDTSEDEKLPKYEKFRKERLGKDYENPIKSKVVVNKLQTREKVRIADIMQDMRKNYSIGITPGRA >CAK8568727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636068708:636070076:1 gene:gene-LATHSAT_LOCUS21659 transcript:rna-LATHSAT_LOCUS21659 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSSSIQKDQHSMGYLTNSDANSENYSKSLDFGRNIKGNPIFNIPCLFVGLGPKGFLDSDSVRSPTSPLDTKVLSNFGNHVRNQRSSLFEGNQRSWDCCKVGLSIVESLEDCNCFRFCGKTLQSLDSKNNSLSPRMMIKTIPICETCIDSFESSKSLPKDFCTRNESVIHKGECESNVLFEIGETSLELDEPFGRTRSCSMDSSKSLKATDSDFDDFDMKDVAVQISVSPHFIGGSENSNAIAPAESKPNTLSEFSSDEILKSLSASEIELSEDYTCVISHGPNPKTTHIFCDCVLETHPDLCIKNHFKNEEHVKEKVATPIGKGLQTPNQYPSRAFLSFCHHCDKKLEEGKDIYIYRGEKAFCSLTCREMAIMIDEELEKSHPPCENSPKPKPGEQIFETGIPIII >CAK8543750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636064988:636065401:-1 gene:gene-LATHSAT_LOCUS12489 transcript:rna-LATHSAT_LOCUS12489 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPAASPAEKKPKAEKKISKEGGSDKKKKKTKKSIETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8539607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518051847:518052251:-1 gene:gene-LATHSAT_LOCUS8688 transcript:rna-LATHSAT_LOCUS8688 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEIDALWKRFRSIDVAGKKTIKIRTCEIAYPTTTRMCSPPEKIKTKGGVKTKGNKPVGYDVYCDPPYHEYVDQENSSSQKYSNRSCSQLSQISKKKPSDRFIVQFLDHIRPFIDDTVDIKSDGNSGFRVIAS >CAK8562046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430847996:430860931:-1 gene:gene-LATHSAT_LOCUS15579 transcript:rna-LATHSAT_LOCUS15579 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNDKLKDDSEGEKSKDGVSGPKKGSRYVPSFIPPPLASKGKEPERKKEEEKPKEKEKGKSRNIDHFMEELKQEQEMRERRNQDREQWRDGRLGEHSISSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVDGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGNTVILSGPSGPPVTSVPSQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFSFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPALPITKSPEHDKESGSTHAAGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKGAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRPGNSGVIPFHSICGDAPEIEQKITSEDAVVGDKTDQDAALSMGRGAATKELMSLPLAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYPLNQASSGKYSSSQRETSADPEPMGISGLNHYGNEDMQLQGKGSAPLVPTLSIPQPELKAFAKREKNDLILPASKWAREDDESDDEQGKGGKNLGLSYSSSGSENVGDGHLKADESEAAADSSVPAHAYSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIEKKVLMHRKRLQVEYGISDSSEDGQGSRRTSSERRDRHDVSRKRHRSHSPSGSPRQKPSSKDRDRERDLDRERERKRDKNYDFDSDRGRDRLREKSGSRERDDYDKDRGRDRDRDRRRRAK >CAK8570465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48652396:48652641:-1 gene:gene-LATHSAT_LOCUS23211 transcript:rna-LATHSAT_LOCUS23211 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSWYYRGLGSPQAVRALLRLIHVGNPDLVFIMESRLKEMRVGPSNSKVIWSVVMVCIAVAMVGRDLVDLPCCGRKKFS >CAK8567934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557353218:557354719:-1 gene:gene-LATHSAT_LOCUS20942 transcript:rna-LATHSAT_LOCUS20942 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVSNENQGSEEMGFCEMLGKQFSDDFNFIGNVSSKKLDAKFGDPNGFSSKGGSISKLSNSVMETNGWDSLIDLKLGHFGDHGHGGSILSSCESSTPPKRVRVHSLNAYCQVYGCNKDLSSCKEYHKRHKVCEVHSKTVVVIVNGIEQRFCQQSSRLFHLLSEFDDGKRSCRKRLAGHNERRRKPQAGVHSANSMRLFQPCGDIRFHGTKPPQASFICPEVFSNGFSRLIKSEHETGFRPLSFVPVVNGHHQPRSSLASYNDKQFPFLHENVATSITGSSMFSENNNHYVHFVGNTSLGREDFNAFHVASTVRGLSGILIYWLLWSVRNRSIFGLF >CAK8543753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636220408:636224229:1 gene:gene-LATHSAT_LOCUS12492 transcript:rna-LATHSAT_LOCUS12492 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEPQTIKINQPDQGAGAAQAAQKSACCGS >CAK8539658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520227830:520229821:-1 gene:gene-LATHSAT_LOCUS8736 transcript:rna-LATHSAT_LOCUS8736 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAVKENWRWKTKKFGLRSVFLLCIFFFLAGFSGSRFFHHSVALDSLVLEKSTEEEVEHRVLHGGESGDDFITSIPFQILSWSPRLLYFPNFASAKQCEIIVEIAKVGLTPSQVLLHEGQTEENTQEIRTSSGVFINATQDKTGVLEVIEEKIARASKLPRTHGETFNILRYKIGQKYDSHYDAFTASEYGPVDYQRAASFLLYLTDVQEGGETVFPFENGLNMDGSYRYKDCIGLKIKPRKGDGILFYSLFPNGTIDPTSLHGSCPVIKGEKWVATKWIWNQILA >CAK8531496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116127848:116128474:-1 gene:gene-LATHSAT_LOCUS1284 transcript:rna-LATHSAT_LOCUS1284 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATDSPRFPFLNSHPTPSTRTQLKPIFLSPFSPKPSKTTYANNIVSAALSSSFNGRPGPPSKGHSFYKELQFDNTTEDDFELELELERNPLDEGSSKETDGSIPLDENDDKGSGKSENEMREDDLIRVRDDGEGDEGVDLRKDDKVEKFGGNFRLRKGKQVIRQSNLLAKQVISIQSALSLGFVSQFWVDTTSVSK >CAK8561652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:339809736:339811808:-1 gene:gene-LATHSAT_LOCUS15223 transcript:rna-LATHSAT_LOCUS15223 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQSLIRTSPITLPCLKATHCLAIKSGSISDLYTANNLITAYSKCAQLPLAHQLFDEMPHRDTVSWNAIISCYANTGDLDYTWKLLNNMRISGHIIDSHTFGSILKGVARARSLDLGKQIHSVMIKMRFNENVFSGSALLDMYAKCGRVDDALVVFRYMPECNFVSWNTLIAGYSRVGDLEMAFWLLRCQELEGVGIDDGTVAPLLTLLDGVEFCGLVMQLHCKIMKHGLEDFNIVTNALIMVYSECYCLQDAERMFDGAAMYRDIVTWNSMLAAYLLHKKEDLAFEVFIDMQSFGFEPDDYSYTGVISHCFVKQHKSRGESLHGLVIKRGLQVSVPVSNALIAMYLGFDNRGVEGASKIFFSMDVKDCCTWNSVLAGYVQVGWSEEALRLFVQMRYLFVEIDDYTFSAVIRCCSDLATLQLGQQVHVLSLKAGFDTNKYVGSSLIFMYSKCGVIDDARKSFETTFSDNAIVWNSIIFGYAQHGQGNIALELFNLMRERKMKPDHITFVAVLTACSHNGLVEEGRKIIQSMESDFGIPLRMEHYACAVDLYGRAGHLEEAKALVETMPFEPDAMVLRTLLGACRSCDDIELASHVAKTLLQLEPEDHSTYVLLSDLYGRLKMWDEKASVTRLMRERRVKKVPGWSWIEVKNEVHAFNAEDHSHPQGEEIYKLLLELKEGTKLFDNFVG >CAK8573335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604259440:604260183:-1 gene:gene-LATHSAT_LOCUS25796 transcript:rna-LATHSAT_LOCUS25796 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELGLKITRTKDDSTSISDFQFAKDRAGQVFLSKETDSTFTLTAHLKGYKKENIDININKDGTKISVSGEKEVQEMQMIPFKKEVKTKWFRKKFKIPNGVILDKIKAKYNEEEGVLTIVMPKTAKGELCGVGIEEVKEEEGANSIVSEPEQTVAEELKVVDHKSEEENAPVKKRRSKKPWHPCPPLVFGGSTLLASIIFLVLHYMRVRKS >CAK8560036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5631619:5632173:1 gene:gene-LATHSAT_LOCUS13753 transcript:rna-LATHSAT_LOCUS13753 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSFLFLSLTFFLCSFNPFVDANNLIQQTCKNCSKNDPNISYKFCTTTFQSDHRSQCAQNLEELGLIAIKLTRHNVTNTSDYIERLLKKKNNDPFIKECLEDCLEVYSDAITTFREAIRDYKAKRYEDCNFKLSSIIDASTTCDDGFKQKKDVISPLTKRNKNVFQLSAIALSIVNMLNMDT >CAK8561251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:129059999:129061984:1 gene:gene-LATHSAT_LOCUS14860 transcript:rna-LATHSAT_LOCUS14860 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPFNMTLNFPTLFFKSLPFAKSLEPELLNATIALVAAGLTAAYIYYRKKPKGSLDPNNFKEFKLVKKTQISPNAARFKFALPTPKSILGLPVGKNILVRGRDSEGEEVRRSYTPITLDSDIGYFELVVKMYPNGKMSHHFRQMKEGDSLAVKGPKGRFSYKVGQVRAFGMIAGGSGITPMFQLIRAILENKKDKTKVYLIYANVTVDDILLKEELDHFANTFPHRFHVYHVLNKPPNEWNGGVGYILKEMIESHCPAPASDIQILRCGPPPMNKAIATHLAALGYTSNMQFEF >CAK8538619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489335939:489336577:1 gene:gene-LATHSAT_LOCUS7800 transcript:rna-LATHSAT_LOCUS7800 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVASQDLWIWNAFFGIAGSNNDINVLNQSNVFNDILEGRAANVQYTINGTPYNMGYYLADDIYPEWATFVKTISMPQGEKRKLFAQHQESARNDVERAFGVLQSRFAIIRGPVRAWHMETLKHTIYACIILHNMIVEDERHTYRGDFDYSYDNVGNNNSTTETFNGPHPNLATRLQRRATLREKQVHRQLQGDLVEHIWERFGHEDDEN >CAK8538620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489336347:489336577:1 gene:gene-LATHSAT_LOCUS7800 transcript:rna-LATHSAT_LOCUS7800-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYRGDFDYSYDNVGNNNSTTETFNGPHPNLATRLQRRATLREKQVHRQLQGDLVEHIWERFGHEDDEN >CAK8538895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499127306:499129658:1 gene:gene-LATHSAT_LOCUS8044 transcript:rna-LATHSAT_LOCUS8044 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQTLFSEISFVTSFLLLLLVIFKLVKKWSCKKSIVNLPPGPWTLPLIGNLHQIISSSLPQRIFKKLAEKYGPLMHLKLGEIPYIVVSSPEMAKEITKTHDLNFCSRPMLLLTSVLTYNATDVVFSPYGEHWRQLRKICVIELLSVKRAQSFRCIREEECSILVKSISESEASVVNLNKMMYSTTSAILTRAAFGKKCRHQEVLRLKIDEAIGLVGGFCIADLYPSIKILERVSWAKTKMEKLHRELDEIMQDIINDHRISQREASKDEDLVDILLKIQQENDESQYTFTDDSIKSVIQDLFIGGTETPSGTVLWGMSEMVKNRKIMEEAQSEVRRVFDKKGYVDESEIHKCIYLKSVIKETLRLHPSLPLLIPRESREKCQINGYDIPAKARVMVNAWAIGRDPKFWVEAESFKPERFLDIKIDFKGTNFEYIPFGAGRRICPGMAFGLPNVELPLANLLYHFDWKLPNGMKNEELDMTEKLGITAGRKSDLCLIPFTRCL >CAK8530982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67809517:67809813:1 gene:gene-LATHSAT_LOCUS804 transcript:rna-LATHSAT_LOCUS804 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTGNNSQYTRSFMFQPPPTIDVVESSNVESESPIGSTTDSQVPGNFTQDGLENITFTEEGERSTQKKQRLKFLEEEDKLLIQTWLKFPKIQ >CAK8572853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567540391:567542404:-1 gene:gene-LATHSAT_LOCUS25368 transcript:rna-LATHSAT_LOCUS25368 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGGAYNHLMGQRPNLPPVLPPPRFPIPGGQPLMPGFRPLMPRPLPIPGAPGYPPAPTTMPQMMPPPGAPQIPGQLNTLPRPPSLVPPPAVPGSTVPPPSNGAPSMASSAMYQASAPPPSSGGYDNHNANAQAPEANQ >CAK8562803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538479544:538480140:-1 gene:gene-LATHSAT_LOCUS16269 transcript:rna-LATHSAT_LOCUS16269 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPRRNFSGKTPLSSCRKLHHNSLPEKPSTNVKRRYVSTSLTSKMQTNDSILRPSKLLLKVTIDNSLGAIQVLMLSEDTVEDLIKAALVFYEKEKRRPILKNYDPKSYNLHYSKFTFQSLKRDEKLLGLESRNFFLCSKPHVSSCAQKENMAMDSAFPWMILVPFLL >CAK8540753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19106392:19109306:1 gene:gene-LATHSAT_LOCUS9725 transcript:rna-LATHSAT_LOCUS9725 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCALLKPNHHQQSPSSSKKLRSTSRREKKKLDDASIREQAIAAALLYKQHQQNLQFDRSSSLRYPNGVSKRNNGSNSLPRSSSSRARSLTDPLLQPHQLLNQGVKVDDLETNHFVLVHGGGFGAWCWYKTIALLEESGYKVAAIDLAGSGVHSFDTNNITSLSQYVKPLIDFLEKLPESQKVILVGHDFGGACISYAMELFPHKISKAVFIAAAMPTNGQSTLDIISKQAGSNDLMPQAQIFLYANGNDCPPTAFDLDKSLLRDLLFNQSPAKDVALASVSMRSVPFAPVLEKLSLSDTKYGTVRRFYIKTLEDNAIPTSLQENMINAGPPEKVFHLKGADHSPFFSKPQALHKLLVEISTIL >CAK8530750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47051095:47052387:-1 gene:gene-LATHSAT_LOCUS593 transcript:rna-LATHSAT_LOCUS593 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLFILFLFFSTTITASSSPSLPLPPTPSPTSSPPSTPSTPSSSKSTLDPKQITALQSLNIPTSKDPCSQPSFHNATLCDSSKPFRHLISLTLSNCSSYVSLSFTALKSLSTLTSLNLINCPISPIRFPPQLISSLRTFTCINSLRRISGVWLSQLQNLTDLTVSNVQVKASGPYVILGHMNYLKTLTVSHANLTGFLPGHIHSNLTHVDFSGNQLKGNIPISITMLDSLQSLNLSSNKLSGEIPSSIGDLISLKNLSLASNSFSGSIPDSFSALPGLLHLDLSSNQLNGSIPKFISEMKSIKYLNLANNNLHGVVPFNLTFIKGLEVFKLGGNSNLCYNHSVLSSKLKLGVSPCDKYGKPVSPPASKDSSADDSSDDDYDDSDDDGSSKHKKDNHHGPNKFVLGVAIALSSIVFLIVFLIVCSKCCR >CAK8578696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647642417:647642945:-1 gene:gene-LATHSAT_LOCUS30686 transcript:rna-LATHSAT_LOCUS30686 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGSALVLLVCFFVLNSEFAHAATFTVGGNGGWTFNTVAWPNGKRFRAGDTLVFNYSPGAHNVVAVNKAAYDNCSTPRGAKVYRSGKDQIRLARGQNYFICNYNGHCQSGMKIAVNAA >CAK8573636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626665912:626666471:-1 gene:gene-LATHSAT_LOCUS26065 transcript:rna-LATHSAT_LOCUS26065 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAFTSPGAKLDNRFNNGFGPPTIRIQGQACHRIGNLLPPEGHPPKFAQLYIYDTENEVTNRMDGLRNKNNILPETIQKLSDMLYTHNTHAKSFLIARQWLNHNNVHNLKLKLISTRSIDGRLYNQPTVSEVAALIVGDIDTAEERDIIVQAKGGQL >CAK8564926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15702995:15705817:1 gene:gene-LATHSAT_LOCUS18176 transcript:rna-LATHSAT_LOCUS18176 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSFVLEELCQFVKEERTLVTGVERDFIDIKDELENIQAFLKDADTKADDDGGGGGSNGVKTWVKQVREASFRIEDVIDEYNMYEAQRVNHSIFRSALQMIPGLTKTMNPHHQIASEIQDIMLSLGKIKERSTRFEFLSESGSGSSSRGTKAPRIGDPRMAPYFIEETQVVGFESPRDELVSCLVGGNNELMLVSVVGMGGLGKTTLAKHVFDNQLVKRNFDCRSFITVSQSYTIRELLTDMVKKFCKDNNEPIPKGLLKMDDETLITHVRQYLESKRYLVFFDDVWKENFSDEIEHALISNNKGSRIIVTTRMMHVAEYFKKSFPVHVHKLQPLPPNKAWELFCNKAFRGQCPTELEDVTEEIVQKCGGLPLAIVAIGGLLSTKAKTIFEWEKVSRNLRMELDRNVHLTSLVKILSLSYDDLPYHLKSCMLYFGIYPEDYAIYRKRLTRQWMAEGFVRHEDRRTLEEVAEEYLTELIQRSLVNVFKVGLNGRVKSCQVHDLLREVIIRKIKDLSFCHLCHKDDEQVTVGITRRFSIAAISNNDLRNTSSSGIRAIFVFHKGEFSKHFIHGLSAKFKLLKVLDFQNTLLKSIPDNLGNLFHLRYLNLSFTKVTALPKSIGKLVNLETLDLRQSRVRELPKEISKLTKLTLLPVYYRKYEGPYSILNFTTGVQMQEGIGCLISLEKLYFLEADHGGIDLIQELKKLKQLRKLGIRRVRREYGNVLCATIQEMKHLESLNITAITEEEIIDLDSVSTPPNLKVLNLKSRLTKLPKWIPNLKYLVKLRLGLSNFKDDPLESLKNLPNLLRLNLWDDAFSGERLHFQKGGFYKLIELDLTRLNRLKSVSIDKEALLGLEDFRFNNNPQLKVVPQDLQNLKNLQLLELIDMPAELVDSIDLDKGGPYQWIINHIPLVLIRQKVGSSYHDYELRPIPTQLNI >CAK8530995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68552119:68552759:-1 gene:gene-LATHSAT_LOCUS817 transcript:rna-LATHSAT_LOCUS817 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTPIEKSEEEWRAVLSPEQFRILRQKGTELKGTGEYNKFYEEGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGFPGAINRFPDPDGRRTEITCAACGGHLGHVFKGEGFKVPTDERHCVNSVSVKFIPGNAAASI >CAK8578525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634951262:634952065:-1 gene:gene-LATHSAT_LOCUS30529 transcript:rna-LATHSAT_LOCUS30529 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQPRKRLIIKLNYPPGSRKHDSDSCGRDENKRRKIEDSVKPIVTCYWVDSNYRTKSSALSQPKNNDNVVEDKKMIKNQVSKTTALSLPEDNDNVVEDKKMIKNKVFKTRALSQPKDNDNVVEDKKVIKNQVSNTIMPNNIVVENKKQVSKTEIAFNGRKESSRGEPMECVKRRQCWLILKRMMVDRDGWNLKDPPNITMIDKSESKSKAIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPSRNHIYRIAKKFSENFEHK >CAK8563707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626414811:626415204:-1 gene:gene-LATHSAT_LOCUS17087 transcript:rna-LATHSAT_LOCUS17087 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGVVLEEAESTKYNNHHNNNTLQVINKTTMMLCTTSNPFKVSSFLQQCFLCNKKLLSEKDIYMYKGDKAFCSVDCRCKQILTDEEEAIQKQKCS >CAK8562069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:435747520:435747981:1 gene:gene-LATHSAT_LOCUS15598 transcript:rna-LATHSAT_LOCUS15598 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDFNVRTLLATVVIFLLASSVLIIETEGAGECGKTPIGSAAASLSPCLDASRNVRAKVSPACCTKVGALLSTSPKCLCSVLLSPLAKQAKINLAIAITIPKRCNIRNRPAGKKCGKYTLP >CAK8544981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715804133:715815679:1 gene:gene-LATHSAT_LOCUS13618 transcript:rna-LATHSAT_LOCUS13618 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSSGEEVVVKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAIVKGSALGQALDLDIPPPRPKRKPSNPYPRKTNVGTPTLNSGAKYGKPLIAVASSHGKQAMDFETEPLPEKHKDEERPTTAKENNDENCSKVFTILKEAPCSSVSSAIKSSISMSVPQTNCCILREFTPSVKNVITQDETYESLPTTENENRMLELDDGKHTQKTDGTCKTSKLESSSPKSVQAKKSDDLTSALTDEMQSNQNYPRHITVHVVDGNLGTSTQDSTFQPIGGINGKPNLFTNSAASNTNDSQNNMARSSIHQSFTSCPPFSQHNHDDYQSFLNMSSTFSSLIVSTLLQHPAAHAAASFAATFWPYANVESSADSPACSQGGFPSRQIGSPPSVAAIAAATVAAATAWWTAHGLLPLCAPLHTAFACPPASATVVPSMNISEVPPKTEQGDVTLQNPPLQDHMPDPEDSEALQAQHSASKSPAVSSSESEESGDAKLNTSSKATMNLDINQPISENLNSNQMEGRKPVDRSSCGSNTTSGSEETDALEKDGKEKEDPKTPDADHLATDPSSRRYRSISNILDSWKEVSEEGRLAFRALFSREVLPQSFSPPPDLINKDHQMDNMKDNEEKGHHKDHLDSKKCSSNCDRLQQNLPFVQNNSEEEGLLTLGLGQGKLKTRRTGFKPYKRCLVEAKENRTGTACNQVEETGPKRIRLEGGSST >CAK8561367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:170450840:170452871:-1 gene:gene-LATHSAT_LOCUS14967 transcript:rna-LATHSAT_LOCUS14967 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVGTMNHESKTNSTITIKGILSLLMESVGENSKRVISLGIGDPTLSTCFPNTKVAEEAVADSLHSAKFHGYAPTPGLLQARSAIAKYLSDDLPYELSSDDVFITCGCTQAIDVSVALLARPGANILLPRPGFPIYELSAAFRQVEVRHYDLLPEKGWEVDLDAIEALADQNTVAIVIINPGNPCGNVYSYHHLEKIAKTAKRLGTIVIADEVYGHLAFRDNPFVPMGVFGSIVPVLTLGSLSKRWIVPGWRLGWFVTNDPSGTFRKPKVVERIKKYFDLLGGPATFIQAAVPRIITQTEEVFFKKTIDSLRLTADICCQEMEDIPCISFPCKPQGSMAMMVKLNLSLMENISDDIDFCFKLAKEESVIILPGTAVGLKDWIRITFAADPSSLREGMKRIKAFSQRHASEQ >CAK8544958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714473694:714480345:1 gene:gene-LATHSAT_LOCUS13595 transcript:rna-LATHSAT_LOCUS13595 gene_biotype:protein_coding transcript_biotype:protein_coding MLNINLFKFCSFLRVLGYLMILLFAAIVSLTYYAVVFVTWGPLLFPSFSFLAFFVLLIFHTLLVLLTWSYSMAVLKDPGSVPQNWRPHQQFLQVEVDDHRNLHVAVPPPSGELDEAAETTAISSAGYCTRCQSARPPRCHHCSICQRCVLKMDHHCIWVVNCVGARNYKYFLLFLLYTFLETTLVCLALIPNFIRFFGGTNSTTTHKLSPGAFSAIFLTSILNLAFALSLLCFVVMHLSLLLSNTTSVEVHEKKKGIRWRYDLGRKTNFEQVFGTKKALWLLPLFSKEDLDNIPALMGIEFPTRSDVDV >CAK8544957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714473694:714480345:1 gene:gene-LATHSAT_LOCUS13595 transcript:rna-LATHSAT_LOCUS13595-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNINLFKFCSFLRVLGYLMILLFAAIVSLTYYAVVFVTWGPLLFPSFSFLAFFVLLIFHTLLVLLTWSYSMAVLKDPVPPPSGELDEAAETTAISSAGYCTRCQSARPPRCHHCSICQRCVLKMDHHCIWVVNCVGARNYKYFLLFLLYTFLETTLVCLALIPNFIRFFGGTNSTTTHKLSPGAFSAIFLTSILNLAFALSLLCFVVMHLSLLLSNTTSVEVHEKKKGIRWRYDLGRKTNFEQVFGTKKALWLLPLFSKEDLDNIPALMGIEFPTRSDVDV >CAK8576399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470034829:470037614:1 gene:gene-LATHSAT_LOCUS28588 transcript:rna-LATHSAT_LOCUS28588 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDKALKDCESMIQKSLKSPTVRFLREHLEKAGCPVQDNFFKAIKCDQFHAGGYIPGEGIVVCGNRTQIQDEVTQAITHELIHAFDDCRGANLDWTDCAHHACSEIRAGHLSGDCHYKRELLRGFMTVRGHEQECVKRRVLTSLSSNPFCSGSTAKDSMEAVWDVCYNDTAPFDRAP >CAK8539327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509532904:509533610:-1 gene:gene-LATHSAT_LOCUS8436 transcript:rna-LATHSAT_LOCUS8436 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWLLQLHKDVPKAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSPIDQNIQKEYTSLLSFTVTDINSTVTKLMALGAELDGPIKYEIHGKVAALRCIDGHVLGLYEPL >CAK8540143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541752510:541752776:1 gene:gene-LATHSAT_LOCUS9176 transcript:rna-LATHSAT_LOCUS9176 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWHALECILEEIGVRKQFITWIMLIVSTVSYRFNVDGCYTENIETRRGIRQGDPLYPFFFVIIMEYLNRSFIKMQKNPNFNHHFQM >CAK8530842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54990827:54992913:1 gene:gene-LATHSAT_LOCUS678 transcript:rna-LATHSAT_LOCUS678 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHDCSSDWSLYKHIDLTKASALNEATPGSVKSVFKAWENRLNTSGEHLESNEGDPELLVFIPFTSDVKLKSISIVGGAEGTSPSKMRAFINRDGIDFSDAQSMQAIQEWDLAENMQGVLEYQTRYSKFQSVGNITLHFPDNFGGDTTKIHYIGLKGEATQLKRDVVATIVYELMPNPSDHKTRAESGGGLSHVE >CAK8532429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260107719:260109365:-1 gene:gene-LATHSAT_LOCUS2135 transcript:rna-LATHSAT_LOCUS2135 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTQVLCMNGSLEETSYANNSLIQREAISLSTSSRVKAITNLYCSLCPRSFAIADLGCSSGPNTLLVISDVIKVVEKLCQQLNHESPEYKVFLNDLPENDFNNIFKSLDTFTQKLRNEMEIEMGSCYFFGVPGSFYGRIFPNKSLHFVHSSYSLHWLSKVPKGVDNNSGNIYVTSTSPSNVLKAYHEQFHIDFSHFLKCRAEEIVEGGCMILTFLGRESDDLLSKGVCYGWELLTTALNDMVMQGIIEEEKLNTFNIPNYYPSPSEVKLEVETEGSFSINQLEVSEVNVSALDSDLCESVAKGTRAVIEPLLINHFGEGVTREAFDRYRKILACGMSKERIKMTNLTVTLNRKS >CAK8542407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510291042:510291578:1 gene:gene-LATHSAT_LOCUS11248 transcript:rna-LATHSAT_LOCUS11248 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPIGYPEVSVPNLFLHLLSLLAFLRSLAISFLSLLHLSDLLDTGFSSATLTESHFNRPTLSATLIREFLPVVSFRELAGDSKPVGCAVCLEEFSDEEEIRCMANCRHIFHRTCVDRWIDHDQKTCPLCRTHFVPYQKMEDYNQRLWAACESECEDDDDVSLFSQQDDYHYIATASI >CAK8530894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60200082:60200420:-1 gene:gene-LATHSAT_LOCUS725 transcript:rna-LATHSAT_LOCUS725 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLICSNNICGSYDRAGIKNMCGKCFMESHKEDFQRFFKHENEKYPKSEEFHETHTLEGHKQNSPKSYKHEDKECPKSEEIESQVSDEFNVCATLATITLNDSTNMKMDSK >CAK8543685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631632524:631636067:-1 gene:gene-LATHSAT_LOCUS12429 transcript:rna-LATHSAT_LOCUS12429 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEEGSGLNLKPMDAEQLREQAHIMVDFIADYYKTIQNFPVLSQVQPGYLGKLLPDSAPTYPESLQHVLNDVQEKILPGVTHWQSPNYFAYFPANSSIAGFLGEMLSAALNIVGFSWISSPAATELETIVLDWLAKALLLPHHFFSTGQGGGVIQGTASEAVLVVLLAARDKILRTLGRTALPKLVAYGSDQTHSSLQKACQIGGIDPQLCRLLKTDSSTNFALAPDVLSEAISNDIASGLIPFFLCANVGTTSSTAVDPLPALAKVTRTNNIWFHVDAAYAGSACICPEYRHFIDGVEEVDSFNMNAHKWFLTNFDCSLLWVKERSALIQSLSTNPEFLKNKASEGNTVIDYKDWQIPLGRRFRSLKLWMVLRLYGLEGLQTHIRNHIAMAAYFEELVVQDTRFKVVAPRTFSLVCFRLLPPRNSEDNGNKLNRDLLDLVNSTGGVFITHTALSGEYILRFAVGAPLTEVRHVKAAWQILQEKATALLESL >CAK8531156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85421959:85423339:-1 gene:gene-LATHSAT_LOCUS966 transcript:rna-LATHSAT_LOCUS966 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRLIAIALYCLAIVFGGLTFSSDAQLDPNFYIQTCPQLQSIVTQILSKVAKKDPRMPASLIRLHFHDCFVQGCDASVLLNKTDTIVTEQEAFPNINSLRGLDVINQIKTAVESACPNKVSCADIITLSAGISSVLTGGPGWLVPLGRRDSLTANKALANQNLPGPSFSLTELKSAFADQGLTTLDLVSLSGAHSFGRSRCFLFSDRLFNFNSTGKPDPTLDPAYLKVLQKQCPQNGPGDNRVNFDPTTPDILDKNFYNNLQVKKGLLQSDQELFSTPGADTIGIVNNFASNQNAFFENFKNSMIKMGNIGVLTGKKGEIRKQCNFVNQKKKSSELDITSVTSTESSEGDMVSSM >CAK8571594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446013469:446015919:1 gene:gene-LATHSAT_LOCUS24239 transcript:rna-LATHSAT_LOCUS24239 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKLGSKSHDIFRRDGQTWHCTTGLISDVTIEVGETSFFLHKFPLLSRSGLLKKLIADSSHEDGTISVLQLHDVPGGDKTFEIITKFCYGVKLEITSINVVGLRCASEYLQMNENYGEGNLVEVTETFLNEVFGSWSDSIKALQTCEEVKPYAEELHIISRCIDSLTIKACSEDILCSETKSQASIDEWWYEDLCMLSLPLYKRLIISVELKGMKPENIVASLIYYLRRFIPLMNRQSSFNDKTSVSQGTTPSRSSEANQRELLEEIVGLIPNKKFVAPSKYLLRLLRTAMILRASSSCIENLEKRIGYQLDQVELVDILIPNMGYSVETLYDIDCIQRIIDHFMSMYQPATASTTPLCVNEEGPLIGGVDALRPMTIVANLLDSYLAEVALDVNLKLPKFQALASSVPDYARPLDDGLYHAIDVYLKAHPWLIDSEREQFCRLMNCQKLSLEASTHAAQNERVPLRVIVQVLFFEQLRLRTSISSWLFVSDNLETSENGNLGLKGSNGNGQVDTTHGENLRDRVSELEKECSSIRNELKKLGKTKRGWSIFPRIFFRKRSP >CAK8531107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81458960:81461454:1 gene:gene-LATHSAT_LOCUS919 transcript:rna-LATHSAT_LOCUS919 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTSSSSAAANSTSLFSRIGSSSDTKVLQIGSLRISERAHVSSGVFNLPSRRSSVRPVNAESQRNGSVVPLAATIVAPEILEKEEEDFEQLAKNLENASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRLFDAVEKRYGIHIEYMFPDAVEVQGLVRTKGLFSFYEDGHQECCRIRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGSGSLVKWNPVANVNGIDIWTFLRTMDVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDDAAQNNGNGNGVAHENGSATVADIFNSENVVSLSRAGIENLAKLQDRKEPWIVVLYAPWCRFCQAMEESYVDLAENLAGSGVKVGKFRADGDEKEYAKSELGLGSFPTILFFPKHSSRPIKYPSEKRDVESLTAFVNALR >CAK8567421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513475154:513482515:1 gene:gene-LATHSAT_LOCUS20476 transcript:rna-LATHSAT_LOCUS20476-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGMSSLGDTKCAEGIRCLKRKAISNEVPISNGNGVAEEARHLKGEAMSDGVVFAIGNGVADVAGVLKSEVSNSGFNGNGVFEEDRHSKSEAMNNGVVFANGDGVMDVARVLGSKMLSNGMNGNVVFEEDQHLKSEAMNDGVVYANGNSITDVVGDLKSEVSNSGVNGNGVLKSELIINEVPIADEFDSGDGGSGGLTCLRTYKRRKYDKSSSKGKAQVDCRKYVETASHIADQAVKEPFDATFGNTADDCAHRHRGNDVLKHLYQSLGNVDGGIEGCIGQALIHHPQRSCAPTVMQGTSKIDRDGHEFSSHFDHLSHRPQTEANGHAHVMQSGSSSEPHGDGVTEMCQRVLHNILSSEEFNSLRKILLENFQGIKLESVFDFSVTNSRMKQKTYEQSPALFSSDIQQVWSKLQYVSHEISALSKSLSNMSTTFYSELVGISAQSTFEDEKQVESDSNVKPEQMEECTTYKICCCSCCGERADGTDCLVCDSCEKVYHLSCIEPAVKEIPHKSWYCANCTTSGFGSPHENCVVCTRLNHRMTPKKIVGDESLIINEETLDEFEENSNCSYDGVQVSKGEKKTRDCKVCGNEVIDGEKIRICGHTYCPSNYYHVRCLTSKQLNSYGHWWYCPSCLCQVCLIDKNDDQIVLCDGCDHAYHIYCMKPPLASIPNGKWFCKKCDAGIKAISQAKKAYENNKLRAGENVSKPKANNENNCNNKYVEELESGGGMDMLLTAANSLNFEDNMTKNQFE >CAK8567420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513474803:513482515:1 gene:gene-LATHSAT_LOCUS20476 transcript:rna-LATHSAT_LOCUS20476 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEEGMRNPDDTEGTEGVVHGLKLRAVSDEVPISNGDGVSEETRHLKSEPMNGDCYFGIGTEEGIKSLGNCEHAEEVQCLKCKAISDQVPISNGNIVTEEARHLSSQAMNDECCFDMGTEKGMSSLGDTKCAEGIRCLKRKAISNEVPISNGNGVAEEARHLKGEAMSDGVVFAIGNGVADVAGVLKSEVSNSGFNGNGVFEEDRHSKSEAMNNGVVFANGDGVMDVARVLGSKMLSNGMNGNVVFEEDQHLKSEAMNDGVVYANGNSITDVVGDLKSEVSNSGVNGNGVLKSELIINEVPIADEFDSGDGGSGGLTCLRTYKRRKYDKSSSKGKAQVDCRKYVETASHIADQAVKEPFDATFGNTADDCAHRHRGNDVLKHLYQSLGNVDGGIEGCIGQALIHHPQRSCAPTVMGTSKIDRDGHEFSSHFDHLSHRPQTEANGHAHVMQSGSSSEPHGDGVTEMCQRVLHNILSSEEFNSLRKILLENFQGIKLESVFDFSVTNSRMKQKTYEQSPALFSSDIQQVWSKLQYVSHEISALSKSLSNMSTTFYSELVGISAQSTFEDEKQVESDSNVKPEQMEECTTYKICCCSCCGERADGTDCLVCDSCEKVYHLSCIEPAVKEIPHKSWYCANCTTSGFGSPHENCVVCTRLNHRMTPKKIVGDESLIINEETLDEFEENSNCSYDGVQVSKGEKKTRDCKVCGNEVIDGEKIRICGHTYCPSNYYHVRCLTSKQLNSYGHWWYCPSCLCQVCLIDKNDDQIVLCDGCDHAYHIYCMKPPLASIPNGKWFCKKCDAGIKAISQAKKAYENNKLRAGENVSKPKANNENNCNNKYVEELESGGGMDMLLTAANSLNFEDNMTKNQFE >CAK8560531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23814030:23818376:1 gene:gene-LATHSAT_LOCUS14195 transcript:rna-LATHSAT_LOCUS14195 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKQSLAGISNSGNNNKKLILTLFTSVLIITAIVAIVATTTATKNSNKTNKITSSSLSLSHHSHTIIKSACTTTLYPELCFSAISSEPNITHKITNHKDVISVSLNITKRAVEHNFFTVENLLKLKNLTEREKTALHDCLETIDETLDELKEAEEDLVLYPAKKTVYQHADDLKTLISAAITNQVTCLDGFSHDGADKRVRKVLEEGQVHVEHMCSNALAMTKNMTDTDIAEFEQNNTVLKSERNGRKLLEEENGVKWPEWISAGDRRLLQGGAVKADVVVAADGSGNFKTVSEAVAAAPLKSSKRYVIKIKSGVYKENVEVPKKKINIMFLGDGKTTTIISGDRNVVDGSTTFHSATVAIVGANFLARDITFQNTAGPAKHQAVALRVGADLSAFYNCDIIAYQDTLYVHNNRQFFVNCFISGTVDFIFGNAAVVFQNCDIHARRPNSGQKNMVTAQGRVDPNQNTGIVIQKCRIGATKDLEGVKSSFPTYLGRPWKEYSRTVIMQSVIGDVIEPVGWHEWNGNFALDTLVYREYLNTGPGSGTSKRVTWKGFKVISNVAEAQSFTAGNFIGGSSWLGSTGFPFSLGL >CAK8564156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654666663:654667178:1 gene:gene-LATHSAT_LOCUS17485 transcript:rna-LATHSAT_LOCUS17485 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRLLNPTQLSQIIRTQNNPLKALEIFNIAKSKYPKHSHNGPVYATMIAILGSSSRLKEMSDLIDQMKQDSCECKDSVFVSAIKTYAKQGLVDEAISLYKKIPQFNCVNWTQSFNTLLEIMVNEGKLEDAYGLFVESSCGWEVNSRVRALNLLMYALCRKSRSDSAL >CAK8572787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563686455:563687054:-1 gene:gene-LATHSAT_LOCUS25313 transcript:rna-LATHSAT_LOCUS25313 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKMSYLILLLAFLFLSFLANTSQLAFAKGTDNVKEACKVTRYQKLCMRSLAPFSNSAGRSPSKWARAGVSVTIAEAKNVQAYLSSFKRREHLRGRNKVALLDCVETFADAIDDLHRSLNVLRRLSRNTFSTQMGDLNTWISAALTNEDTCLDGFQGENDRKTKSLQNKVRKVYYVTSNTLALVNKLATTGLGSISDP >CAK8539873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529744328:529745034:-1 gene:gene-LATHSAT_LOCUS8931 transcript:rna-LATHSAT_LOCUS8931 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIVCDQEDDILDGTPYLVSVQTDSVAEGLICNQDDHQGLECNQEDEGLGCNQKHVGDSEDDSILNVNFEDFGEDSIGIAKEIVVDKDEGKGKMKDKLKGKGNGKGKDKGKGNRKGKSKLGRPKKQRGLSDIEEYDNDELPHDYDSEDDEILKDDFPSFKLPKIMDDYKWELGTYFATREDFKKAVKTYAIHSGRNLKFKKNDNMRM >CAK8534111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695986115:695987376:1 gene:gene-LATHSAT_LOCUS3680 transcript:rna-LATHSAT_LOCUS3680-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLVKDVVPFNFLTPMRIIRNAISEWGGSPAPVVVSKGANTMDVGRAVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLAVVVVVFNNGGVYGGDRRSPEEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTPDELKSALSESFSARKPIVINVVIDPYAGSEGGRMQHKN >CAK8534110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695985890:695987376:1 gene:gene-LATHSAT_LOCUS3680 transcript:rna-LATHSAT_LOCUS3680 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYDVIANGCDGYVAGCGLRFGIELLSFPPFCNLHTKCSVNYLNQVYFPFSFLFLTHPWVDAISKKSKENASKMEAQLVKDVVPFNFLTPMRIIRNAISEWGGSPAPVVVSKGANTMDVGRAVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLAVVVVVFNNGGVYGGDRRSPEEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTPDELKSALSESFSARKPIVINVVIDPYAGSEGGRMQHKN >CAK8561575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:308136939:308139744:1 gene:gene-LATHSAT_LOCUS15153 transcript:rna-LATHSAT_LOCUS15153 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSMMNEGSFPNASGNTTPFSLAEIWQFPPAINGGGGGLGLRRPHFGNGLGQFGEFGTGSNRDVDGPDQRVVLNHGVVGGGKKRRDSEDVDSPKCVSTSNGGANAVNDGEGKRSKALGNRIDDGKVEGEASSGKPAEQSSKPPPSDPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRLNTGIEAFPPKDYGQQTYDLAGMPFVSQATREPSRGSSPEWLHMQVGGGFERTS >CAK8573916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646704170:646706475:-1 gene:gene-LATHSAT_LOCUS26311 transcript:rna-LATHSAT_LOCUS26311 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLPPASCAGRFTAVPLSASSISVPRIHRRLPIPNSSPSLPLKLTASSPFFSSFISHRPWKLPFTVTASSQPSPPFTPSNEESDKAKLDQVSKRLEKTARHFKRLGSLGFWGQLVCTIVSAVILSFSVIVTGKVTSPATFYATAGGIAAAFISVFWSFGYIRLSERLRRTANDPTKAPPRSDVVKSLQNGIVLNLLGMGAAILGMQATVGFLVAKALTSSANPYYQGIAPGSSPVLALDVFLVQASANTILSHFLGLLFSLELLRSVTLPPSEALPFLKFA >CAK8532913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549198089:549199982:-1 gene:gene-LATHSAT_LOCUS2574 transcript:rna-LATHSAT_LOCUS2574 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSHDSRKDKKSQKSKKKSTQQDTDVKTEEVVQVSVPQIEDVKLSELENEQNKQAISLAFATAVAAGKAVAAAQAAAEVVRLTSMPLYPAKTMEELAAIKIQTVFRGYLARRALHALRGLVRLKTMIQGQSVKRQAGSTLRCMQTLARLQSQVRERRVRMSEENQARQRQLQQKHEKEFMKSQTSVGEEWDDSLQSKEQIDAKFLQRQEAAFKRERALAYSFSHQQTWKSSSKSINQTFMDPNNPHWGWSWLERWMATRPWEVESLIMNHRPSPVGQKLRRQSTQNSPSTSKTKPSSSKGETIDDSRSMFSVHSERYRRYSSIAGSSVRDDASVESSPVFPSYMALTSSAKAKSKLMQKTSPSASSVSARKRLSFPASPVGSRRYSGPPKVEI >CAK8532912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549198089:549199982:-1 gene:gene-LATHSAT_LOCUS2574 transcript:rna-LATHSAT_LOCUS2574-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSHDSRKDKKSQKSKKKSTQQDTDVKTEEVVQVSVPQIEDVKLSELENEQNKQAISLAFATAVAAGKAVAAAQAAAEVVRLTSMPLYPAKTMEELAAIKIQTVFRGYLARRALHALRGLVRLKTMIQGQSVKRQAGSTLRCMQTLARLQSQVRERRVRMSEENQARQRQLQQKHEKEFMKSQTSQVGEEWDDSLQSKEQIDAKFLQRQEAAFKRERALAYSFSHQQTWKSSSKSINQTFMDPNNPHWGWSWLERWMATRPWEVESLIMNHRPSPVGQKLRRQSTQNSPSTSKTKPSSSKGETIDDSRSMFSVHSERYRRYSSIAGSSVRDDASVESSPVFPSYMALTSSAKAKSKLMQKTSPSASSVSARKRLSFPASPVGSRRYSGPPKVEI >CAK8539542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515443372:515444557:1 gene:gene-LATHSAT_LOCUS8625 transcript:rna-LATHSAT_LOCUS8625 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLFLNTKNNQDAEYDFSLQQQQQQQQQPDHQSNMILFNTPGANSRKRGRESSHNIMNPFSLQSHSPRLIDITQLHNQPQQQQIVSTGLGLSFGDQQQQQQQRLQLQQQQQHPQQHGYHTSHFLSLLSHGLASQIKQQKDEIDQFIQAQGEELQRTLEEKRQRNYRAIIKTAEETVARRLREKEIEIEKATRRNIELESRAAQLRAESQIWQAKAKAQEATAISLQTQLHQAMMSTGAENRGEEGCALSCALGVEGHAEDAESGYIDPERAVSGPKCRGCGKRVASVVVLPCRHLCVCTECDARFRVCPVCLTVKNSTVEIYLS >CAK8539184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506293709:506300181:-1 gene:gene-LATHSAT_LOCUS8304 transcript:rna-LATHSAT_LOCUS8304 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFIRRNSNVFARALTGNYKEAFSPYFQFKFECSGSALNRLHSYSRSSGSGSGSGSMRNDITDMTCPHTWYPQARRKRRRVFLHVGPTNSGKTHQALEQLQSSASGIYCGPLRLLAWEVAKRLNKANVLCDLITGQEKEEVEGANHKAVTVEMADVSADYKCAVIDEIQMLGSKTRGYSFTRALLGLAADELHLCGDPAAVPLIQEILDITGDEIEVQYYERLSPLVPLKFPLRSLSDVRKGDCIVTFSRRDIYMLKKKIEREGKHLCSVVYGSLPPETRTRQASMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMQKFDGFEMRDLTVPEIKQIAGRAGRYGSNFPLGEVTCMYAEDLPLLHSSLDAPSPILERAGLLPSFDLLYMYSQLHPQAGFYQVLEHFIDNAKLSEKYFFVNCEEVLQVAAVIDEFPLGLRDKYLFCISPVDMDDEISFQGLTQFAGNYAKKGLVRLREIFTPGTLRVPKTPSALKDLESIHKVLDLYVWLSFRLEDSFPDQELASSQKAICSMLIEEFLDRYGWQKPMAGRLPLRKMSSSLLSQNLRRYL >CAK8571215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:339417468:339421526:-1 gene:gene-LATHSAT_LOCUS23899 transcript:rna-LATHSAT_LOCUS23899 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDVESSSEWSREQDKAFENALATYPEDASDRWEKIAADVPGKTMEEITQHYELLVDDVGQIEAGCVPIPSYNSSSEGSTSHASDEGVGGKKGGHSGHNNNESNHGTKASRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSTPQGPITGQTNGSSGNSANKSVKQAVPSTAGIPGAGIYAAPTIGQPIGGPLVSAVGTPVNLSGSPHMAYGIRAPVPGTVVPGAPMNMVPMTYPMPHTSGPHR >CAK8566893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470392896:470395503:-1 gene:gene-LATHSAT_LOCUS19992 transcript:rna-LATHSAT_LOCUS19992 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQYIGSSSSSSSKVASLKRFDVFISFRGEDTRKTFTSHLYEALNKKVLTFIDNIELEKGDEISSALNKAIEESDASVVIFSKDYASSKWCLNELVKILECKRDRRQIVIPVFYDIEPSDVRNQTGTYRQSFEKHERDLRHNKDKLQKWKDALTEAANLSGWNSQNYGIESNFVKDIVEDVLKKLYGRYPFEVNRELVGIDTKYEKMESLLKIGSNDVRILVLWGMGGIGKTTLAKHVYGRLCSQFERTCFIENIREESTKYGLKSVRNKLFSTLLEFPLNAPYAETPIFKNRLAHERSLIVLDDVATLEQAENVNIVHKYLGEGSRVIITTRDMQICSQFDECEIYEFEEMNADESLQLFCWNAFGEKCPKDGYDNLSERAILFCRGNPLALKVLGANFRTKKSKEAWESELEKLKKIPNKRIHDVLKLSFDDLDSTQQAIFLDIACFSKYSCRYAYIDDKDYKTAVWNACEYFAESGLQVLKDKALIYFEMERFITMHDLLIEMGKEIVMKESVKDPGRRSRLWDQKDVYDVLKYNKGTEVVEAIEFNIYELGDLYLNSDSFKSMINLRHLYINIDHQSRLHFLEGLEWLSDKLRCLEWHKFPLESLPSTFYAKFLVKLKMQHSKLKKLWDGIQRLDNLMILDLDYSEDLIEIPDLSRAPNLQIVSLSYCKSLCQLHPSIFSTPKLTNLKLNGCEKIGSLKNNVHLKSLQTLDLSDSSLAEFSVTSKEIMSGVYGALLYMDFLH >CAK8566370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414757621:414758431:-1 gene:gene-LATHSAT_LOCUS19503 transcript:rna-LATHSAT_LOCUS19503 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMSTNHYCQVFSDYIFALMLPRIKNVLERQIEISRFYMARLAGEVIYEVRHVNMTRDKFTVDLKQKECSCRSYMLTDTPCYHAIACIQSRAEDLTNYIPTMYRKKTYQACYRPIIYSTNGDDLWEETPYLNILPPPSRITLGKPKRKRNKYDDEKTKDTTTISRKWFPNKCSVCGKSGHNKASYPIAPKPTTPSKPATSKPVPSQPTPSQTQQTIKLQTILSPRRTQHTISQSQL >CAK8542702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538991167:538993800:-1 gene:gene-LATHSAT_LOCUS11517 transcript:rna-LATHSAT_LOCUS11517 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYMNPSEPIEARIKNLLSLMTLKEKIGQMTQIERSVTTPSAIQDFTIGSVYCAPPNSATSDKQVSSDWADMVDGFQKLALKSRLGIPIIYGTDAVHGNNNVYGTTIFPHNVALGATRDSDLVERIGAATSLELRASGTHFTCAPCVAVCKDPRWGRCYESYSEDTEIVRKMTSLVSGLQGQPPQTHPRGYPFVAGRNKVMACAKHFVGDGGTEKGVNEGNTVLSYQDLERIHMSPYVDCIDQGVSSIMISYSSWNGVKLHGHRFLINEILKENLGFKGFVISDWEGIDELCQPYGSDYRYCISTAINAGIDMVMVPLRYEKFMEELMSLVQTGEVPISRIDDAVERILRVKFIAQLFEFPLTDRSLLDTVGCKIHRDLAHEAVRKSLVLLKNGRDPSKPFLPLNKSAKRILIAGTHANDIGYQCGGWTCTKYGSSGQITIGTTILDAVKEAVGHETEVIYEKFPSTEFIECNEFSFAIVAIGEAPYAECGGDNSELVIPFNGAGIVDLVSDKIPTLVILISGRPLVLEQSFLEKIEALVAAWLPGTGGKGITDVIFGDHDFKGKLPMTWLRRVEQLDQPVEGVNSCDDPLFPLGYGLAYSKSSHD >CAK8579618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713025390:713029141:-1 gene:gene-LATHSAT_LOCUS31549 transcript:rna-LATHSAT_LOCUS31549 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIFMQSWTGTIIGPPGTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMTCVNQESGAVEPNLFPMLSNWKRESTMEDILLQLKKEMMSPQNRKLAQPPEGNEDGRIDQKGLVLRCCIV >CAK8562474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498509384:498509617:-1 gene:gene-LATHSAT_LOCUS15966 transcript:rna-LATHSAT_LOCUS15966 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIQQTKGKGVRAAVIKMAVSETIYEIWNARNNNILGKKTEINTIGQKISDTLVYRGWNTKKLKKYIATLMIEGG >CAK8532134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211174133:211176103:1 gene:gene-LATHSAT_LOCUS1867 transcript:rna-LATHSAT_LOCUS1867 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGPGGSGPTAAAAAAAAQKQKTLLQKVEGDIANIVDNFSHLVNVARVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRKVEFNQLAEKTDYTLSRIGEEAAASLKELESHYSSSTQKTIQDLQQP >CAK8533982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679186549:679188218:1 gene:gene-LATHSAT_LOCUS3562 transcript:rna-LATHSAT_LOCUS3562 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSVLVLVLLGSIIKAYSEISQISLKEISNINCQGPYIGIVVPNAYELKPLLQSSSFLPHNKFPYLDFAGKHFRIGELEKKKVVVVMSGEGMLNAGLATQLLLTLFNVEGVLHYGIAGNVNSKFQVGDVTIPQYWAHTGLWHWQRFGEDIGDFGREFDYLKFSNYNNYTKHSKSPENLLNKVWYQPEQIFPVDGTPEVKQRAFWVPVDKNYFEIARKLKNVELNSCVNKTCLPRKPMVVRVKNGVSANVFVDNKAYRNHLKAKFDATPTDMESAAVALVCFQHKIPFIVIRALSDLAGGGSALTNEASIFLSLASQNAFDVLVKFISLL >CAK8579423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697526947:697535673:1 gene:gene-LATHSAT_LOCUS31371 transcript:rna-LATHSAT_LOCUS31371 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGPIVPLYGKLHRIEKVDALPSSRYDMVDTGDGKKKRKCEYLQESLTTGRTVNSVLLNDGPLLGREFDSLPSGPKNYTHACQQDHESVKRRKASYSALQNHPNCNMKAPVKKHGMGKGLMTVWRATNHDTEDLPVGFGFSDRETPISINRSQKAVTVNGKPRNKLPNRKPTFQGKRKHFVQTREGESNQYVTQNQPPIENCELALDNSISEEGVDQISMLIDDEELERRELQEGTNLLVCSNELAAYGMLGDSLCPDVLVKFPPGAVKMKKPIHLQPWDSSPELVKKLFKVFHFIYTYAVVVDVCPFTLDEFVQAFHDKDSMLLGKIHVALLTRLLSDIEVELSNGFCPHLNKSCNFLALLHSVESQEYSLNVWKRSLNPLTWIEILRQVLVAAGFGSKQGALRRGVLGKELDIFVNYGLCPGTLKCELFKILSEQGKNGCKISELKKSLQIAELNLGSTTEELESLIYSTLSSDITLFEKISSSAYRLRMSTITKDTDDCQSDAEDSGSVDDELSESDTCSSGDDFEINSVNPNKRKFKRDNSSKVKHNRLKVYTEIDESHTGEVWLLGLMDSEYSDLKIEEKLNALAALTGLLSSGSSITVRDPVNVIADYSSSIQLHGSGAKIKRSIVKKPGSLLNPIEQIQCIKEVHLSSHSGSVDSSLLASKFHMQEASFEKRKGSANSHPIQSVFLGSDRRYNRYWLFLGPCNASDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLERRQTSLRRSMCRVKVNNIGMGCMSHSDQSELDRVTEDSCSPVSDVDNLNLTETARDSLPSPGAVVIEAGKKAEEQRQKWIRIQEFDSWIWNSFYLDLNVVKYGKRSYLDSLARCRSCHDLYWRDERHCKICHMTFELDFDLEEKYAIHIAMCREKEDSSTFPNHKVLLSQIQSLKAAIYAIESVMPEDALVGAWRKSAHNLWIKRLRRTSTLVELLQVLADFVGAINKGWLFQCKFPDGVVEETITSFASMPHTSSALALWLVKLDAIIDPYLDRVQTQKKQGIGKRGS >CAK8562693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:527394194:527395075:1 gene:gene-LATHSAT_LOCUS16168 transcript:rna-LATHSAT_LOCUS16168 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEEVSALKSIKLHLLGEFSPLPSPISEPWSFDFDFQFQTNQTNSSSFDSSISYLTNLIESETQIPVFEFASKTQPIEPASPEALISHPQRTVEKKPQLNRKPSLKIALPNKTEWIQFGNPDPNPNPEVVVQKPEVVEKQHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVNAMAAEAAAAEKSDENKKRCREEEEDDVVEVKPVVKKEKTEEFDVNCIKEMPLTPSTWTGFWDVDVKGTFSVPPLSPLSSFCFSPLVVV >CAK8537233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:169827196:169827979:1 gene:gene-LATHSAT_LOCUS6538 transcript:rna-LATHSAT_LOCUS6538 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGGG >CAK8566299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:401172382:401173499:-1 gene:gene-LATHSAT_LOCUS19438 transcript:rna-LATHSAT_LOCUS19438 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNSVNGFYSFLTRGIDDLERVFLTNNFMSIQFLQRTLSLLRSLHTQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGIENYYSASSNITSSLDSHIHITPQISRQIVRAISVCRREAVGLEEENRALMETRIQPLSLRFDERVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSDLVFGGYEEYEYEGCLFLGSAFMISTARLQQRVAAEMGRMNGGPGMLLHEFRRSKVVMEDLRGELERRGLQGGGVMEWENEDGVRERVENLRGSFGVLRSGADNLVAQLDDFFDEIVEGRKKLLDFCSHR >CAK8566509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429869366:429874223:-1 gene:gene-LATHSAT_LOCUS19633 transcript:rna-LATHSAT_LOCUS19633-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEASSSSTKAPRESVVVDCGKRRSSCGYCRSPRHNSISHGMLAESLTVDDYQALLDQGWRRSGCFLYKPEMEKTCCPSYTIRLKAADFVPSKEQLRVSRRMQRFLDGTLDVKRVDAMEESNTSKNSGSLVHNNPSSSMSEESLSVGNKEVDEVEKSLHYLSDQIDNVVQMFTENGEFSSGIQLPKASVRMVSQGKKKLLTNGSEDLLYSSNIAFQVAASINRAQSRDKDCNDSKPSRDSQKEKEFSPKIIAEKLVVALDSTVKLSGLSTKACNGHINFYASSKQVSQNGTVQNATIPKKSGMKLDKGGNCLISPQHCQFKKRKLEIRLNRTSFDPKEYALYRKYQSKVHNDKPQNYTESSYRRFLVDTPLLYVSHTGDSTVPLCGFGSFHQQYLVDGQLVAVGVIDILPNCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKDNQVYCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVSFDIARPLLDRKRYAVLSDASILPNGESSPLHVTDVQDAMLIQLDDNGQDDANDVPMNEDEEMVEFESESSDDEPDLQTNCEAENCEFSKVLLEIKGSRVRYKNLQSVLGPEQQRYLESQLQKYRRVVGAVLSERMVYSLG >CAK8566508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429869366:429874226:-1 gene:gene-LATHSAT_LOCUS19633 transcript:rna-LATHSAT_LOCUS19633 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNEASSSSTKAPRESVVVDCGKRRSSCGYCRSPRHNSISHGMLAESLTVDDYQALLDQGWRRSGCFLYKPEMEKTCCPSYTIRLKAADFVPSKEQLRVSRRMQRFLDGTLDVKRVDAMEESNTSKNSGSLVHNNPSSSMSEESLSVGNKEVDEVEKSLHYLSDQIDNVVQMFTENGEFSSGIQLPKASVRMVSQGKKKLLTNGSEDLLYSSNIAFQVAASINRAQSRDKDCNDSKPSRDSQKEKEFSPKIIAEKLVVALDSTVKLSGLSTKACNGHINFYASSKQVSQNGTVQNATIPKKSGMKLDKGGNCLISPQHCQFKKRKLEIRLNRTSFDPKEYALYRKYQSKVHNDKPQNYTESSYRRFLVDTPLLYVSHTGDSTVPLCGFGSFHQQYLVDGQLVAVGVIDILPNCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKDNQVYCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVSFDIARPLLDRKRYAVLSDASILPNGESSPLHVTDVQDAMLIQLDDNGQDDANDVPMNEDEEMVEFESESSDDEPDLQTNCEAENCEFSKVLLEIKGSRVRYKNLQSVLGPEQQRYLESQLQKYRRVVGAVLSERMVYSLG >CAK8579242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686992352:686997669:1 gene:gene-LATHSAT_LOCUS31204 transcript:rna-LATHSAT_LOCUS31204 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVHRLTMAAIANSLSLKHTLAIRFPQSTRTRLSSTSRTFHRCYASYANENREYVIVGGGNAAGYAARTFVEHGVADGRLCIVSKEAVAPYERPALTKAYLFPPDKKPARLPGFHTCVGSGGERQTPEWYKEKGIETLYEDPVENIDIEKQTLTTSSGKLLKYGSLIIATGCTSSRFPEKIGGNLDGVHYIRDVADADALVSSLEKAKKIVVVGGGYIGMEIAAAAVAWKLDTTIIFPDDHFLQRLFTPSLARRYEELYQKNGVKILKGASIKNLEASSNGNGRVAAVKLEDGSIVEADTVIIGIGAKPAVGPFERLGLNTDVGGIQVDGQFRTSIPGIFAVGDVAAFPLKIYNRVARVEHVDHARRSAQHCVKALLSAQTSTYDYLPYFYSRVFEYEGSTRKVWWQFFGDNVGETVEIGNFDPKIATFWIESGKLKGVLLESGSAEEFQLLPELARRQPSIDKAKLENATSVEEALDIARGSLQNVV >CAK8579243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686992472:686997669:1 gene:gene-LATHSAT_LOCUS31204 transcript:rna-LATHSAT_LOCUS31204-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIANSLSLKHTLAIRFPQSTRTRLSSTSRTFHRCYASYANENREYVIVGGGNAAGYAARTFVEHGVADGRLCIVSKEAVAPYERPALTKAYLFPPDKKPARLPGFHTCVGSGGERQTPEWYKEKGIETLYEDPVENIDIEKQTLTTSSGKLLKYGSLIIATGCTSSRFPEKIGGNLDGVHYIRDVADADALVSSLEKAKKIVVVGGGYIGMEIAAAAVAWKLDTTIIFPDDHFLQRLFTPSLARRYEELYQKNGVKILKGASIKNLEASSNGNGRVAAVKLEDGSIVEADTVIIGIGAKPAVGPFERLGLNTDVGGIQVDGQFRTSIPGIFAVGDVAAFPLKIYNRVARVEHVDHARRSAQHCVKALLSAQTSTYDYLPYFYSRVFEYEGSTRKVWWQFFGDNVGETVEIGNFDPKIATFWIESGKLKGVLLESGSAEEFQLLPELARRQPSIDKAKLENATSVEEALDIARGSLQNVV >CAK8540870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27308242:27309327:-1 gene:gene-LATHSAT_LOCUS9830 transcript:rna-LATHSAT_LOCUS9830 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNSAIGFEGYEKRLEITFFENGIFSDPAGLGLRALSKDQLDEILNPAECTIVDSLSNDYVDSYVLSESSLFVYPYKLIIKTCGTTKLLLSIPAILKLADGLNIAVKSVRYTRGSFIFPGAQSFPHRSFSEEVAVLDSYFGNLGSGSKAYMMGDADKSQIWHIYSASAKLEASPEAVYGLEMCMTGLDKEKASVFFKTRTSTAALMTKNSGIRKILPKSDICDFEFDPCGYSMNGIEGNAISTIHVTPEDGFSYASFEAVGYEYEEKSLNEVVERVIACFYPAEFSIALHIDINGEKLDKFPLEVKGYNCGERSNDVVGEGGAVVYRSFVRNDGCASPKSTLKCCWSEDESEEEEVKEI >CAK8566195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:389866108:389868825:1 gene:gene-LATHSAT_LOCUS19349 transcript:rna-LATHSAT_LOCUS19349 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDVMIKRVPLLCLQIAYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQFGILAVETFANLGIMYYVFLSGLEMNADTILRSRKKGTSIAIAGIVTPMLFGIGFLALQQKLIDKKDVFAQTPKEYHGEAYLFWCLTLSVTSFPVLARILASLKLLYTKLGKDALTAAMLTDAYGWVMFTLLIPYSSRGGKPYLSVISTLLFIIFCFVVVRPILTTIIEHKTSTNMWRKSLLLDVLTGVFICSYITDCLGTHPIVGAFVFGLILPHGKFADMVLEMSADFVSGILCPVYFAGFGFRLNLPLLLKHKNAGLMLLIMLLLSIPKVLSSLIVTFFFGMPARDGVAIGLLLNTKGIMAVILLNVAWDKRILDPYTFMVMMLAIIVMTVMVSPLINAIYKPKFRFMQSQLRTVQKMRFDMELRIVACVHNVKHANNMIHVIEATNATRLSPIHVSVTHLVQLTRHGTTILVSQMNHSNNTVGGAEATNYGSQLEFESITNAFEKLVEQYNGVRFDMSSVVSSYTTIHEDIYNVAEEKRASLILLPFHKEFSTIEDAPEIIHNEHCEINKNVLQQAPCSVGILVDRGLGSLLETKLRIINIFIGGPDDREALSIAWRMAGHQGTQLHVVRINFLGKAAEETKQKMEKSKSRHEMLSTVIDNVMQKELDEECIISFRHKAVNNNDLIVYSEKEVHSNTGEEIPMLLNDIDKLGYDLYIVGQGSGKNSLVFSRLLEWCDHPELGVIGDILASNSFGTQSSVLIVQQYLVGRKRVVKKCHEVKSGTENL >CAK8536659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6160446:6162637:1 gene:gene-LATHSAT_LOCUS5999 transcript:rna-LATHSAT_LOCUS5999 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKLNLVKRLKRAYLLKLLVSQYCHTILATLQVDRLYGWKTCRNAPIRKAMLQQSKDVQNEFNRRLDQILSNESSHQTIARGVILDTSKNHISVSFSKLLKILGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETAEAFLGKTIRDAVVTVPGE >CAK8570345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38140225:38142178:-1 gene:gene-LATHSAT_LOCUS23099 transcript:rna-LATHSAT_LOCUS23099 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTCCEKVNAWLRNSKVYLLIISLQFGSAGMYVLTMDALNKGMSHYVFVVYRNVIATVALAPFAFFLERKIRPKMTVRIFSEIMALGFVEIILDQCFTFLGMKLTSASFASAVMNSVPSITFVLAILFRLERMKLREIGCQAKVIGTVVSLGGAFLMALYKGPVLQIAGSSAATQMHQPENVNDPTGSHWLLGALFLLIGCAGFSAFYILQAITLRKYPAEMSLATWVCFIGALQSSVVTIFVERHSPEAWALGLDSRLFASVYAGIVTSAIQFYVQGSVIKTMGPVFVTAFNPLRMIIVTGLACMFLAEKLHLGSIVGGVVVVTGLYLVVWGKSKEQKSIMLEEESPQKIGIQGQQQLPITVSKIDDNVDVNKAQSVTIEDPTSIDRQ >CAK8562789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537562385:537563455:1 gene:gene-LATHSAT_LOCUS16256 transcript:rna-LATHSAT_LOCUS16256 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLLSLDTNDQLLDIPATPPSDQRNNNHNNNIIGSNNFLTTSFIIASWYFSNIGVLLLNKYLLSFYGYRFPIFLTMLHMLSCAAYSYAAINLLQIVPFQQIHSKKQFLKILALSAIFCFSVVCGNTSLRYLPISFNQAIGATTPFFTAIFAFLITCKKETAEVYLALLPVVLGIVISTNSEPMFHLFGFLVCVGSTAGRALKSVVQGIILTSDSEKLHSMNLLLYMAPLAALILLPFSLYIEGNVFVITLEKARSDPFIVFLLVGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITVMGVVFYSEAKKRSKGASH >CAK8561206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117350495:117351019:1 gene:gene-LATHSAT_LOCUS14816 transcript:rna-LATHSAT_LOCUS14816 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFGGWIYKNSPIPITKKPDLTDPVLRAKLAKGMDHNYYGKPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLAVLLMVSVPAGLLTVPFWENVNKFQNSFWRLVATTVFLIGTVLALWLGIGATLPIEKSLTLGIF >CAK8577899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596488077:596490191:1 gene:gene-LATHSAT_LOCUS29963 transcript:rna-LATHSAT_LOCUS29963 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAGANQRIARISAHLHPSNFQEGGDVAINKANCRAKGGAPGFKVAILGAAGGIGQPLSLLLKMNPLVSVLHLYDVVNTPGVTADVSHMDTGAVVRGFLGQAQLENALTGMDLVVIPAGVPRKPGMTRDDLFKINAGIVRTLCEGVAKSCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGVDPREVDVPVVGGHAGVTILPLLSQVKPPSSFSAEEAEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFANSCLHGLKGEAGVVECAFVDSQVTDLPFFATKVRLGRGGAEEIYQLGPLNEYERIGLEKAKSELAGSIQKGVEFIKK >CAK8577900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596488077:596490191:1 gene:gene-LATHSAT_LOCUS29963 transcript:rna-LATHSAT_LOCUS29963-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAGANQRIARISAHLHPSNFQEGGDVAINKANCRAKGGAPGFKVAILGAAGGIGQPLSLLLKMNPLVSVLHLYDVVNTPGVTADVSHMDTGAVVRGFLGQAQLENALTGMDLVVIPAGVPRKPGMTRDDLFKINAGIVRTLCEGVAKSCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFAEVLGVDPREVDVPVVGGHAGVTILPLLSQVKPPSSFSAEEAEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFANSCLHGLKGEAGVVECAFVDSQVTDLPFFATKVRLGRGGAEEIYQLGPLNEYERIGLEKAKSELAGSIQKGVEFIKK >CAK8566329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407219458:407220768:-1 gene:gene-LATHSAT_LOCUS19464 transcript:rna-LATHSAT_LOCUS19464-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQSHPLYPFLLLNNRDEYHNRATKEVSWWEECDVVGGRDEIGGGTWLACTSQGRVAFLTNVLELHVHPEAKTRGDLPLMFLKQSNKNPKEFAESLKTEAQYYNGFNLIVADITSKSMVYISNRPKGKPITIQEVPPGLHVLSNAKLNSPWHKSQRLRIRFKEHLEENGEGEINVKEVIKKLMKDKVKADKTMLPNICSLDWEFNLSSIFVQVETPLGLYGTRSSAALTVRSSGKVCFYEHYLDDDNVWKEHVIDFNIQKK >CAK8566328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407219458:407220768:-1 gene:gene-LATHSAT_LOCUS19464 transcript:rna-LATHSAT_LOCUS19464 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQSHPLYPFLLLNNRDEYHNRATKEVSWWEECDVVGGRDEIGGGTWLACTSQGRVAFLTNVLELHVHPEAKTRGDLPLMFLKSNKNPKEFAESLKTEAQYYNGFNLIVADITSKSMVYISNRPKGKPITIQEVPPGLHVLSNAKLNSPWHKSQRLRIRFKEHLEENGEGEINVKEVIKKLMKDKVKADKTMLPNICSLDWEFNLSSIFVQVETPLGLYGTRSSAALTVRSSGKVCFYEHYLDDDNVWKEHVIDFNIQKK >CAK8572737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559312178:559314290:-1 gene:gene-LATHSAT_LOCUS25266 transcript:rna-LATHSAT_LOCUS25266 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSNLGGSPSSSSPSGKRTRDPEEEVYVDNLRSHKRYLSEIMASSLNGLTVGDSLPDNLMESPARSETAFSLRDDISLQYSPMSEDSDDSRFCETPVHSCLTHLDSRPSSPVSPCRYQRQQNSFSSAPSTSLSASSHGFPVSAVTCSQPRQRSSDSDGRFPSSPSDICHSADLRRAALLRSVQMRTHPPGSASLDLPFGSGQEPAPNIDSDERPCSYMKSLVDERDYQIEECSSIGVPETEFNHDNKPCRVLNMNPKAADSGG >CAK8575677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:246780288:246780524:-1 gene:gene-LATHSAT_LOCUS27919 transcript:rna-LATHSAT_LOCUS27919 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFILSSPPKIIFEFLQAVIRLFLKIHGETIRKHLHLQEKATKWAPQFRAWYGRGVDKLFQSTRYIIAFLSNSQIHV >CAK8572149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514988285:514989748:-1 gene:gene-LATHSAT_LOCUS24745 transcript:rna-LATHSAT_LOCUS24745 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLLFKVKTAISNSFDSVPPKLLKKKTTSLKKPKRYVAVLAFEIAGVMSKLLHLYQSLSDVTIVRIRNDAVTLEGVRKIISNDESFLLGLACAEFTEALRLVANSVTRLSQCCEDHSLRDFHRAFLEFADSGRDTNGWVLSGPKEIEAKFRKMERYVMLTAALHREMEELSVLENGFRKALNLNHHHRRNSSSEGNEGSLGVGKEQKIYELQQKICWQKQEVKDLKDRCLWSRSFDGVVLLLVRFCFTVLARIKVVFGIGHSVPCLSPSLSTSATVYPSDQNPNSCHEYVSGSLEISEVEEIKERFRSGFFESNSKLLKPPPSTLGASALAFHYANLIIVLEKMIKTPHLIGLDARDDLYGMLPSSIRSGLRTRLKGIGFCASDPVLAGEWKDALGRILGWLSPLAHNMIKWQSERSFEQHNLVPKTNVLLLQTLFFANKDKTEAAITELLVGLNYIWRFEREMTAKALFECANFNGFIKSAKS >CAK8544796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706070342:706076668:1 gene:gene-LATHSAT_LOCUS13446 transcript:rna-LATHSAT_LOCUS13446 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDQNAGEFEAPEIVETEQNENETVVDVPIVEPEKKWPGWPGESVFRMLVPAQKVGGIIGRKGEFIKKIVEETRARVKILDGPPGTSERAVMVSAKDEPGSALPPAVDGLLRAHKRLIDGSESEAAHAPSGAPVKISTKLLVPASQAGSLIGKQGGTVKSIQEASNCIVRVLGAEDLPVFALQDDRVVEVVGESAGVHKAVEMIASHLKKFLVDRSIIPIFEMNMQMANNTHHIEHMPVPPPHQSWGPPQGFPPNAGGGPGFGATQFMPPPRQVDNYYPPAEMPIPLDKQPHHGISAYGRDASIGVHTSSNTQSAPSMVTQITQQMQIPLSYADAVIGTAGTNISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAAAAAPAQQQTGGPAADQGYNSYPAHGSVYASPPSNPGHAGGYGSVYGANYGY >CAK8570604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:70666832:70667775:1 gene:gene-LATHSAT_LOCUS23341 transcript:rna-LATHSAT_LOCUS23341 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWLELPRDLTLNILRRLNTLDIITGASLVCPLWWNFCKEPYIWRTIHMQNFYRSRYDRTHWVKMFQYAVDRSCGQLEDVYIYMLGNDELLEYIADRASNLRRVRIESCDELSDKGIIEAVKKLPLIEELIVTRNNNLSKHFLEVVGSYCPLLKTLTYGRNLYQQNESMSIDQTEEAVAIAKTMPGLRSLTISGLGLTSVGVVAIFDGCPLLESLDMQHCFFMRSEGSLSQSFREMYWVGKFEGKFEQIKKFIPPIDYWCYVCDFDSDDCHFDFYYDSNFYFD >CAK8541722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:369511329:369517147:1 gene:gene-LATHSAT_LOCUS10618 transcript:rna-LATHSAT_LOCUS10618 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSGFKDKRWSLQGMTALVTGGTRGIGYAIFEELAEFGAVVHICSRNEDDINKCLEEWKNKGFNVTGSVCDLLFRDQREKLMETVASVFNGKLNILVNNAATFTPKPIMDYTDGDVATIMSTNFVSGYHLCQLAHPLLKESGYGSIVFISSIAGLKAFDSCSAYAASKGAMNQFTKNVALEWAKDNIRANVVAPGPVKTLLLENAMRLSTRVDNITEDIVSQTPIGRMGESKDISGVVAFFCLPTSSHITGQIIVADGGFTM >CAK8576961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528192844:528195401:1 gene:gene-LATHSAT_LOCUS29114 transcript:rna-LATHSAT_LOCUS29114 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPAHMQNICAEYDDTTSVFGNAIQSHIGDEIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALTWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8574924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15908055:15910672:1 gene:gene-LATHSAT_LOCUS27222 transcript:rna-LATHSAT_LOCUS27222 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSILHHPHLLLLPLFHPPKNSLSNFTTFQHKIKASTTQLQSQTPKRHNRKNNATQNDTAEPQNAEDELEDYDDDIDFPYEDPPLVCCFGAAQREFLPTVRVQKFPMHPDIYSEWKMLQWKPPEFARAPGGPCSNVAVAHVRLGGRAALLGKVGKDEFGEEIVLGLNKERVQTRGMKFDSGFRTGCSYMKVKFDGGKMRMETVKEAAEDSLRSDELNLSVLKEARIFHFNAEVLTTPSMESTLYKAIKWFKKFGGLVFFDLNLPLPLWRSRDETRQIIKRAWEEANIIEVSRTELEFLLDEEYYERKRNYKSQYYAEDYEQTKNRQEYYHYTAEEVSPLWHDNLKFLFVADGTFRIHYYTPSFDGVVVGTEDVLVTPYTCDRTGSGDAIVAAIMRKITTCPEMFENQDILERQLRFVIAAGIISQWTIGGVRGFPTESAAQNLKEQVYVPSLW >CAK8540663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15423301:15423924:-1 gene:gene-LATHSAT_LOCUS9647 transcript:rna-LATHSAT_LOCUS9647 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRQIEYLIAEEKASQNDDIDINSTSTSTLFGLLDFFLYSTFIVGVQKFFLSLSSFRLFFLFCKLCSGDSGVKGEKQISEGDESSVSRDSVEIKRDEVETVMAKMGIFCSEESEELEEKYGCKELSELFEENEPSFEEVKMAFDVFDENRDGFIDAMELKRVMGILGFKEGSEVENCHKMIKNFDENQDGRIDFIEFVKIMENRLC >CAK8532167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214291702:214294577:-1 gene:gene-LATHSAT_LOCUS1898 transcript:rna-LATHSAT_LOCUS1898 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLSKLLQNAGNRVTGEHRQALLQVTEIIPRLSEDTWQPNTGYFLKLSDSLHSAYVSVSDSDAELIRSDKLQLGQFVYVTRFDSDDSSSPVPLVCGLNPLPKRRPCVGNPTDLVSSDFLSFLTTSKPNNNVKKKKMNKGSLSGSVSGSVLRSHSNGNKKNNVVVEMRRLSLDSSTRRVWDHSVVSKTKPSSPSSSSRFKFNKSTSTSPNVIDKRVSLKTDSHSPLKKSLTSIVSPLKNKNENLYPKTTGTPPRKSAAAVPKLDCVGNGTVPSQLVKVPLNFKTWYDKSGSWDNLPQPISNLGKQVVTHRNVAFLAAVRSLEEASAADTVLQCMRLFSELCESRQTLSAGLLVKQFLELHLSLQRVKLAFDSLLSTPPETKPSSQNTIQSLVEDACKVPTCKNATFWIQAALDTNFSKFNLYKTQEKSEALNGERCLYVIIGNEDSQAKMNTEESTVQNKKSRITHANPLQNSTGKKLSSSKRNLLVDKNKDAEKQEQSKDCELKAAASLAEKLIVASREWFLNYLEESISNEFGLKNEGSSEVACLLGQLRKVNHWLDNLDSKDKVDHRIDKLRTSLYRFLLEHVNSAVASS >CAK8536297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:928017195:928018106:-1 gene:gene-LATHSAT_LOCUS5681 transcript:rna-LATHSAT_LOCUS5681 gene_biotype:protein_coding transcript_biotype:protein_coding MFTENRFRPRILRDVSKIDLTTNVLGFDISMPIMIPPTTMQKMAHPEGEYATARAASDSGTIMTLSAWATSSVDEIASTGPGICFFQLYVLKDRNVVTQLVRRAESAGFKAIALTVDTPILGCKEADIKNRFTMPPHLILKNYEGLDLGKLDKVCCEFLDR >CAK8561742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:371299278:371300039:1 gene:gene-LATHSAT_LOCUS15303 transcript:rna-LATHSAT_LOCUS15303 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKVLSVFFFVLLGFGICSAARTLLTFGVDHGIGGGYHGDIGVSGGGGYGGGGGGGGGHGGVDGYGGGAGGGEGGGAGGGGYGGDGVVGGGGGGSGGGGGGGEVEGGGYGGGAGKGGGEGYGGGASHGGGYAGGGGGGSGGGGGAGGGGAGGGYGGGEGGGAGGGYSGEHGGGYGGGGGSGGGGGGGAGGAHGGGYGGGEGAGGGYGGGAAGGGGAGGGSGGGGGGGGAHGGGYGGGAGGGEGGGHGGYYP >CAK8533129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581208032:581208939:1 gene:gene-LATHSAT_LOCUS2777 transcript:rna-LATHSAT_LOCUS2777 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSGLRLAGKVAIITGGASGIGKETAHLFAKQGARIVVIADIQDKLGIQVAESIGNDKCIYIHCDIRIEDDVKNLVQSTVDTYGQVDIIHCNAGIISPSDQTLLEFDVSQANGVFATNAIGTALCVKHAARAMVEGKIKGSIVCTASISASYGVTTGTDYSMSKNAVVGLMRSASVQLAKHGIRVNSVSPNGLATPLTEKLLDADAKTVEEIFSKFSMLKGVVLRTNHVADAVLFLASNESAFVTGLDLRVDGNYITSDAVI >CAK8537056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:71157622:71160974:1 gene:gene-LATHSAT_LOCUS6373 transcript:rna-LATHSAT_LOCUS6373 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDTNTLSYWLNWRFFLSALFILFSMGLGSFLIWKYEEFNKSRNERVVEEGRRESVGLLYEDEAWNTCVKGINPKWLLAYRIVSFLVFLGLLIANVAVDGGGIFYFYTQWTFTLVTVYFAVASCFSFYCIYFNHTKFEGNTLDAERGSYVAPTLDGIADVPILSKSSYASRETINQNTAGVWGYIIQIIFQTCAGASVLTDSVFWLVLYPFMTPKDFRLDIFTVSMHSVNAVLLLGETSLNSMSFPVFRFAYFILWTATFVIFQWIIHAVVSLWWPYPFLDLSSPFAPLWYLAVGIMHIPCYAIFALIVKLKHLWLSKLCPGCCQFVR >CAK8542304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500696468:500699234:-1 gene:gene-LATHSAT_LOCUS11151 transcript:rna-LATHSAT_LOCUS11151 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQHFAIFTTASLPWLTGTAVNPLFRAAYLYKAGERNVTLLIPWLSLKDQKIVYPNNMTFDSPEEQEKYIRQWLEDRLGFASGFSIKFYPGKFSRDKRSILAVGDISEIIPDEDADIAVLEEPEHLTWYHHGKRWKTKFRLVIGIIHTNYLEYVKREKNGKLQAFLLKYLNNWVVGIYCHKVIRLSAATQDYPGSIVCNVHGVNPKFLEIGKKKRNQQQNEDNVFTKGAYFIGKMIWSKGYKELLQLLNDHQKELSALELDLFGSGEDSDEVQKAAKKLEMTVRVHPGRDHADDLFHDFKLFLNPSTTDVVCTTTAEALAMGKIVVCADHCSNEFFKQFPNCWTYTNHKEFVQLTLKALAEKPDQLTDDQIHDLSWEAATERFLKAADLDKPSDRKLMSRSTSNYLSTSLGLQQTVEDASAYVHHVASGFEISRRIFGAIPDSLQPDEQLRKELGFAKTSRK >CAK8534884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:786525806:786526129:-1 gene:gene-LATHSAT_LOCUS4387 transcript:rna-LATHSAT_LOCUS4387-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLDCSERYLILLNGHVAMISSYAIEVRVSIAILIELGVKESWTKLFDFIPSSNMYYPIGASKNGDLLYKHEDQFLNIDLNKDIKDVLGEKESRTHMLVYKKDILY >CAK8534883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:786525806:786526471:-1 gene:gene-LATHSAT_LOCUS4387 transcript:rna-LATHSAT_LOCUS4387 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEFRCYKEFHINTIIHGFGYDQIKDDYKIIQYVKGRGYWKDRLPYSLREIYSLKNKSWKRLYLDDMPTRRGMWDPLSFISVCHWCRYTRDETYVVSFYFNTETHVTTLLPKNMQDLDCSERYLILLNGHVAMISSYAIEVRVSIAILIELGVKESWTKLFDFIPSSNMYYPIGASKNGDLLYKHEDQFLNIDLNKDIKDVLGEKESRTHMLVYKKDILY >CAK8577358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556855543:556856092:1 gene:gene-LATHSAT_LOCUS29472 transcript:rna-LATHSAT_LOCUS29472 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKEKFDKYWSEYSVTLAFENVLDPTSKLEFLNFCFKKLEPSGYEDKEYHQEKIQNISNDRLEIYLDEKCLDDILQYEKLNSVQFPQLAIMACEILSISITTVPRSYFA >CAK8532543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:287836713:287840638:1 gene:gene-LATHSAT_LOCUS2238 transcript:rna-LATHSAT_LOCUS2238 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTTSSVETNGLTKEHHKETSSLVVSFGEMLIDFVPTVGGVSLAEAPAFQKAPGGAPANVAVGISRLGGSSAFIGKVGADEFGYMLADILKQNNVDTSGMRFDANARTALAFVTLRSDGEREFLFFRNPSADMLLQESELDRNLLKQARIFHYGSISLIDDPCKSAHIAAMSIAKSSGCILSYDPNLRLALWPSAEAARNGIMSIWDQADLIKISEDEITFLTGGDDPYDDDVVLKKLFHPNLKLLIVTEGSKGCRYYTKEFKGKVEGVKVKPVDTTGAGDAFVSGILYTIASNQTIFKDEKKLRKALYFANVCGAITVTERGAIPSLPTKEAVLQFLLEAAVI >CAK8578591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640515722:640519467:-1 gene:gene-LATHSAT_LOCUS30588 transcript:rna-LATHSAT_LOCUS30588 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLLSILVLLLKIYATKSCSGISRKTQELYAIVFLARYLDLFTDFISVYNTFMKVVFIASSLAIVWCMRVHPMVRRSYDKEIDTFRYYFLVAASFVLALVLHEKFTFQEVFWAFSIYLEAVAILPQLVMLQRSGNVDNLTGQYVFFLGAYRGFYILNWIYRYLTEPRFTRWIACVSGVVQTALYADFFYYYFISWKNNSKLKLPE >CAK8532507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:273922065:273922286:-1 gene:gene-LATHSAT_LOCUS2205 transcript:rna-LATHSAT_LOCUS2205 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMHCAPQPKGNDSPKVSEAGKNSKSTTYQQQDKVTSLEVTKHSQGSSVSKINSDSRCAILTFLSVEPDGT >CAK8540738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18234536:18235948:1 gene:gene-LATHSAT_LOCUS9712 transcript:rna-LATHSAT_LOCUS9712 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNNNHLKIHQHFKVVPPSSSQATKISLTYFDIFWLRFHPVERVFFYTLPNSQSHPSFFFQEIVPNLKSSLSLTLQHFLPLAGKVIWPCDSSKPFIQFNPNDDDGVSLLIAESDLDFNHVIENSPQEASLSRSLIPYLESTDTFASIISIQITVFPKCGFSIGITTHHAVLDGKSSTMFIKAWAYLCNKTIETLEESPTLIPELEPLFNREIIKDQNELQAKFTENLNEMTTKMFPNEKPNQRSMKIFEFEPKLEDSLRATFKLAREDLDKIMQRVLSKWEIFDTNESKPKNLSSFVLTCAYSLVCIAKAIHEVEKEKEKFGFVFTVDCRARLEPPIPNNYFGNCVWGIFIDTQPLDFIKEDGDFLVAKSMHEKIKMINQNGFDKFSPRPNEGIQVIGSAGSNRFGVYEIDFGWGRPEKVEIVSIDRNITIGLAESKDGNGGVEVGVVLKKDVMNIFSNLFLEGLCRN >CAK8575884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:348866288:348869250:-1 gene:gene-LATHSAT_LOCUS28109 transcript:rna-LATHSAT_LOCUS28109 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRTSSQGSSPESPSRSTKVLSIECFRETSKSDERIGDMLQTGDIVEELRLGGSANSMIRFKSPFKNGKSGVNKILQDAYKKKQSSILVRVRRGIDEFAELQGCIVPNDLSGNKKCYVLRSITDPDYLVGFLDRTEAECFQLQATRTSRMVNELTQTRIQDGFVSYPWERRMQELLSVPNSSNFLSILLLPKVSDRVASRYNDVEDTLARANAWLNAAQGSGVPIVFMNIQTESLLTKISGETASSTVNTGSLSDLCNIANASLYGFEDYHGVDIGVVRAIRLWYAPMGGEFSIEIKLRDDDSKLGFSISRTEEGFIFISSSITDEGKESSPATRSGLSKLYKLATETSRLLVVSRLSNQRVLPWMVSSTGAIRCYDTVSLSQKLSLHRHTKVPILLHVFLWDRTMATSSVESAKSKPLSPSVLPLPSKVQSREGSDSGDINTSDSSQPMQLEKDTAGDVSFRFQDFSLSSTNWV >CAK8571247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354277468:354291737:-1 gene:gene-LATHSAT_LOCUS23925 transcript:rna-LATHSAT_LOCUS23925 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNLFFWLVICFPSNIALLASNFYQVLILSDLEADYINPFDASSRINYFILPEFIGQGLLCALCLFTGHWLMFLLNLPLTCYHAMLYVKREHLIDVTEVFTVLNAEKKVRIAKLALYLIVLIVTIFRLTLIGVYYLGLEHDDDLAYLW >CAK8576118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408909625:408909858:1 gene:gene-LATHSAT_LOCUS28332 transcript:rna-LATHSAT_LOCUS28332 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLLKLALLLHVATTTVERSFSAMNFMKNQLRNRMSYEFLNDCLITYIESDIFDSVENEKILQHFQNMKTHREQL >CAK8565741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:318030820:318040058:1 gene:gene-LATHSAT_LOCUS18928 transcript:rna-LATHSAT_LOCUS18928 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVTEVAKTDSNGGSVAAKTLDEVVAKKDLETDGGKVFESNGLKEIEEDKKGDDVEKVKEDDKDDIVEDGKVDKKDDVVGELKEDKKDNEADEVNEKKDDAVEEVKDDKKEDGAEEVKEETKNDGVEEVNEEKKNDGVEEVKNDKKDDALEEEKEDRKVDGVKEVKDDAVEEVKDDAVEEVKEVKKVDEVEEVKEDKKVDEVEEVKDEDVEEEKEDKKVDEMEDVKDEDVEEEKEDKKADEVEEVKDEDVEEVKDEDVEEVKEDTKDGEVEEVKEAAIDGGVEEIEEDKGIDGDEEVKVEMKDNGGVDVKEDMEVDSVKEVVEAKKDDDDIQEIEEDDKNVAESDNEKMDVDTDVKETTEDKEEKEKIEAEKEEADLMETEGSIEEKEEGDGEEKTEAEIEEKEEGDENEESEAETVEKEEGDEKEKTEAETEEEGEEENDKDSIDKSKEDKAEGSKGEKGSRKRVRGKVNEEKVKEKRKELKQLEPKTPTSDRPVRERKSVERLVAVIDKDTSKELHIEKGRGTPLKDIPNVAFKLSRRKNDDSLKLLHTILFGRRGKAVQVKSNISRFSGFVWHDNEEKQMLKVKEKLDKCFKEKLLEFCDVFDITLNKSTIKKEDIITKLIDFLLAPHATTTVLLAEKESSKKRKRVVKRGTSRPRTSTSRGSSAKRQKKNESSLGVEKKSTTDTEDESEGEEKNEENEDETDNDIPEKSEDEISEKFEREDKSDSGSESDDVKKKRKHSKSSSSKKESSGRSKIEKTTVTNKSRSPPKRAPKKSSNTQPKSDNDIDESPKVFSRKKKNEQGGKQKISTPTPTKSSSKSKEKTEKVAKGRGKKKEKSSGPSDNQLRNAICEILKEVDFNTATFTDILKLLAKQFDVDLNPKKAAIKLMIQEELTKLAEEADDEDEDEEEDTEKDEGRSTGQET >CAK8564329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668394571:668397059:-1 gene:gene-LATHSAT_LOCUS17644 transcript:rna-LATHSAT_LOCUS17644 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKIFPSQHRQLLTDLINCTHNKDILRGRTFHARILKNGSLSSIYIANTLFNLYAKSNHLSQALILFNSIDDSHKDVVSWNSLINAFSQHHSPASYSFAIYLFRRMMRTNNVTPNAHTLAGVFSAASNLSDVTAGRQAHSVAVKTACLDDVYVGSSLLNMYCKTGFVFDARKLFDRMPNRNTVSWATMISGYASIGVSDKAVEVFELMRCEEEIENEFALTSVLSALTNYEFVGVGRQVHSLAVKNGLLTIVSVANALVTMYAKCDSLDDAVRTFEFSGDKNSITWSAMVTGYAQSGDSDKALMLFNRMHSSGMLPSEFTLVGVVNACSDLCAVVEGKQMHGFAFKLGFGLQLYVLSALVDMYAKCGNLADARKGFECIQQPDVVLWTSIITGYVQNGDYEGGLNLYGKMQMERVIPNELTMASVLRACSSLAALDQGKQMHARIIKYGFKLEVPIGSALSAMYAKCGSLADGYLIFWRMSARDVISWNAMISGLSQNGHGNKALELFQKMLLEGIEPDSVTFVNLLSACSHMGLVDKGWDYFKMMFDEFNIAPTVEHYACMVDILSRAGKLNEAKEFIESATVDHGLCLWRILLGACKNHRNYEIGTYAGEKLMELGSPESSAYVLLSSIYTALGEWENVERVRRMMKARGVNKEPGCSWIELKSLVHVFVVGDNMHPQIDEIRSELRLLTKLMKDEGYEPLSDSLPETVLDNLTDQEGSDEIQLSVCGGL >CAK8540684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15901992:15928332:-1 gene:gene-LATHSAT_LOCUS9666 transcript:rna-LATHSAT_LOCUS9666 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERENSIVETHTPQTDDSDALLAMVLGANMVFPAVLNAAIELKLFEIIGDEFKSPIEIASKLPAQHSDLPNRLERMLRLLASYSLLSASTRTNDDGSKVRVYGVTGSGKYFVNDENDGGYMGSFTSFMCHRALLGMWLNFKEAVIDPEVDLFKKVNGMNKYEYFATDPQISQLFNKSMTDTSKVHIKRILDVYKGFEGVSTLVDVGGSSGQSLKMIIAKYPSIKAINFDLPQVIDNAPPIPGIKHVGGSMFDSIPQGDAIILKAVCHNWSDEKCIELLSNCHKALPPNGKVIIIELAQPEDPEPTNAFRMIAAIDNIMFINPGGRERTPKEYESLGKQSGFSKLQVVCRALSIIEIMELYK >CAK8540685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15926799:15928332:-1 gene:gene-LATHSAT_LOCUS9666 transcript:rna-LATHSAT_LOCUS9666-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERENSIVETHTPQTDDSDALLAMVLGANMVFPAVLNAAIELKLFEIIGDEFKSAIEIASELPAQHSDLPNRLERMLRLLASYSLLSVSTRTNGDGSKVRVYGVTGSGKYFVNDENDGGYLGSFTSFMCHRALLGMWLNFKEAVIDPEVDLFKKVNGMNKYEYFGTDPQINQLFNRSMTDTSKVHIKRILDVYKGFEGVSTLVDVGGSSGQSLKMIIAKYPSIKAINFDLPQVIDNAPPITGVEHVRGSMFDSIPQGDAIILKAVCHNWSDEKCIEILSNCHKALPPNGKVIIIELAQPEDPEPTNAFRMIATIDNIMFIDPGGRERTPKEYESLGKQSGFSKLQVVCRALSIIEIMELYK >CAK8567354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508310616:508312113:-1 gene:gene-LATHSAT_LOCUS20418 transcript:rna-LATHSAT_LOCUS20418 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTMLLMSSVSSSYSVPLNKDPLLQLQIQRLKPRFSDASFNPLSSNSKSFSSRTFTTLALFKSKTKAPAKVVPKQKPKVEDGVFGTSGGFGFTKQNELFVGRVAMIGFAASILGEGVTGKGILSQLNLETGIPIYEAEPLLLFFIIFTLLGAIGALGDRGKFVDDEPTTGGVIPPGRGFRQALGLSEGGPLFGFTKSNELFVGRLAQLGFAFSLIGEIITGKGALAQLNIETGVPINEIEPLVLFNVAFFFIAALNPGTGKFVTDEEED >CAK8562472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498311937:498313222:1 gene:gene-LATHSAT_LOCUS15964 transcript:rna-LATHSAT_LOCUS15964 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYMENDSIHNGKEVEGTDVNKAEGNTLGTSSSGLTKKRGKTLCRKIHGRKFKDRQEITLNKEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKVVFAITNGAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVNHQNAENVAQLK >CAK8579076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672783287:672784087:1 gene:gene-LATHSAT_LOCUS31048 transcript:rna-LATHSAT_LOCUS31048 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKHFHFSLHLILPPSHYFLRRSHSICWLPKRNFSVFNIWFAVEDGPTVRKNSRHKKKELWKVVVRIHHKWSIVSNNKQQMELIVVVSQGDDIHVVVPTMLVDIFSDKLIVGHTYTISNFKIHANDIVFKPSSHRYMVKFIGGTSVNDVDKHEIPAKSANFTSFSDIMTGQYRKDLLLGEP >CAK8530556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30130054:30133478:1 gene:gene-LATHSAT_LOCUS414 transcript:rna-LATHSAT_LOCUS414 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLSFSGVRTEVLLEIENCEKQLWTLIHSKGILHSDAQDLYRKIRASYERVMLNDYTYAELQDVEYSLWKLHYKHIDEFRKIVKKSSGDAESNKSGMQQTGVVQRSNGDPFKRFKLFLSEASEFYQNLILKLRKHYGVSEEALFRKKGWISTSVEPEVKLKCKYLCHRCLVCMGDLARYKEQCENPDTQNPNWSIAATHYLEATRIWPNSGNPQNQLAVLATYIGDEILALYHCVRSLAVKEPFPDAWNNLILLFEKNRSSPLKCVPSEVCFDFLQRFGSIGEETKAHVKDDDSNYIEVEGESNHFTNTKLWSLMVRMISFLFITSSFDEFSIVLASTIGELDKILKLEDKELKTVLESYSQMDLARRGPFRAIQVVCIIIFSLKNLMDKLQQEDSKDENVRQLMQMGFSAGFAVMGHFVERCLKASSLSHGPLLPSVLVFVEWFSSVLDEAEVCTTDQKCRRAISYFFDVFVELLNKLDDNRKETKKLLDSVPLWEDFELRGFVPIVCAQFSLDFCGNWEHRDNFESGMELRAERIKKAAMKIASRSNTSQKWITYDELASRFCVARSDECHGKEKAELGKSNSNSTKGEEANQKTSKGTGEKCKRMTENNPSSSIVNGKPSIVEEEEVILFRPLTRYNSAPLSPSISTDEHISQKENDDKNLPSDDCLRRATSLLMAQNPAHTQSDPWEYHGSSLKFRSDKSLKQQELSSRESNAHTYLEAPISAGPPSLNAWVLDEGSLSNSRRNGAKGLMEHRLEPIEEIASSSLAGLSINKNESTVVSSENESSNFNASSTTYSLPIPSAPFLPENVAWFTDAQAQPSLSAPKFSENSPQTSGYPDWSSSYGPPGYDPRYPVFISGYPPPGRMTSSEWLRWYRENHKPEKSNNYTQPMYTNTPGPGNHANLLYQDNTYRFDQFDRWGNPLPYNNLYTYIESPGPPPLQPGFLGAGEYKASVYNNYQKPVPFGCGAATELRNEPQSLLESLKEKEWRLQRDSNLRGPTFTGNQNSWPETFASRTIP >CAK8535042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806667818:806670305:1 gene:gene-LATHSAT_LOCUS4525 transcript:rna-LATHSAT_LOCUS4525 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTKMAQEQEDQSRTNCINGGRSTKRPKQKKVPQRGLGVAQLEKILEEQHMKDGVVISPSKNPSTSSSSPTTISSYLPLPINNFNHSNVKDGAFILPSQNSTSSSSSSPSSTTISNYLPLPITNYNHMNQNSSPSHLPLPPLDFRSPMSLQHLDGKGSGTVPLNNSGVFGNVPKFWSSRELDFEKESFGMEHGLPFVPSLPFDSNPIWPLPNWVQRSQFHHQPSSQVVNNSSGTSSTRVPQLSIEPPSNQNSTSNGTPVRPAEKMMTGMKRPYPFSLDYSQTPLNYKFPSIGQVSTNGRTSCESESGFHLDAANSTSRESQSCSASNSDPKSKKKDKGIKEFDGSFLTLAPPTPPTSCAVSPSKPLELNNEESPEKNIEDQFSIPPGYKLVKQQKQQPTYSFIPASKETQNGQTSSRTQNGHQVEGTIDLNLKL >CAK8579344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692693286:692709105:1 gene:gene-LATHSAT_LOCUS31296 transcript:rna-LATHSAT_LOCUS31296 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLTSLVFSIFIIFHLQTSKAKQTICQTLSCGDIDITFPFGLKQSSNQIQDPRCSYYPNSTFQLSCNNNQTQTILNLPNTDNLIINNIDYKSQTIQVNDPKGCLPKRYLQNNFNLSGSPFKLNPEIYTIYNLTFLRCPSNVSSELPLTPISCLTEDEEHDSDMSVVVLWGEAIEDTTMAETCEVVSKAFVPLPWMDLTVWPFWPDINSDVELVWNKPRCEKCAVSGQVCGFSDDEKNGLQVECFPGPSNGGLSTSAKYCISIGLGIPGLVSLFIIFGFICRKMRTTPPHNQSSNHPTITVSLQPLPSFVTGLDGATIEKYPKILIGESGRLLKPNDNTCSICLSEYEPKETLRSIPECNHYFHAACIDEWLKMNGTCPICRNSPEVYSSISPYFSSFLLSPNSTSLTSS >CAK8564078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650031380:650031665:-1 gene:gene-LATHSAT_LOCUS17418 transcript:rna-LATHSAT_LOCUS17418 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >CAK8575673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:245111198:245111653:1 gene:gene-LATHSAT_LOCUS27916 transcript:rna-LATHSAT_LOCUS27916 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSRYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVWFVREIPTDFSISF >CAK8533545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634519846:634540364:-1 gene:gene-LATHSAT_LOCUS3166 transcript:rna-LATHSAT_LOCUS3166 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCFGCYQVDQSNVAIKEQFGKFVDVLEPGCHCLPWCFGYQIAGGLSLRVQQLDVKCETKTKDNVFVNVVASVQYRAVADKASDAFYKLTNTREQIQSYVFDVIRASVPKLELDAVFEQKNDIAKAVENELEKAMSTYGYEIVQTLIIDIEPDVNVKRAMNEINAAARMRLAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLQFSENVPGTSAKDVMDMVLVTQYFDTLKEIGASSKSSSVFIPHGPGAVKDIAVQIRDGLLQGNAANL >CAK8531530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121534299:121535349:1 gene:gene-LATHSAT_LOCUS1314 transcript:rna-LATHSAT_LOCUS1314 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYISIVVLIASFIASSTANSEILISDVNLLEFPLNLEYLEAEFFLFGSTGHGLDKFAPELAEGGPPPIGAKLAKFNDTVIRDIIYQFALQEVGHLRAIKNTVIGFPRPLLDLSQSSFAKVIDKAFGRPLRPSFDPYANDINYLIASYLIPYVGLTGYVGANPLLQNASSRQLVAGLLGVESGQDAVIRGLLYERHAWKVMPYRVTVAEFTDRISKLRNILGNEGVKDEGLDDTFSSGSILAGDKYSLAYSRTPKEILRIVYGSGNETVPGGFYPKGGNGHIARSYLK >CAK8564228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659837324:659843694:-1 gene:gene-LATHSAT_LOCUS17551 transcript:rna-LATHSAT_LOCUS17551 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCSSISEARWLAVTLTITTLSAITISAYIFKRKFNALKSKVHELESSLKSSSDQCTSERRGRIKAQQLLRKELTRPKSENLNLTYYPMIPIGTIQSCFSTRNGTPRQPLLVPLARACLVFNTSRVPPASLEGLSEYSHCWIIYVFHLNTNLEKMWKHPSKSGIKAKVKVPRLNGGRVGVFGTRSPHRPCPIGLTVAKVEAVQGDMVLLSGVDLVDGTPVLDVKPYIPFCDSIQDAAVPNWITGDNLLSVASVSFSEEFTSSLENCWIMAEKKSLYASPDEFQSLLKQVLSWDIRSLSQRNRPHNAIPKQEKDQLSGDTSDVDEHQDETAIVHEREQNSLNSSEVVYHLFLEGLNVHYRITDDGNVIVEKVSTSTVFDKKINPCNYLTWKDRMQ >CAK8539322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509389771:509391770:-1 gene:gene-LATHSAT_LOCUS8431 transcript:rna-LATHSAT_LOCUS8431 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYIFLFSLCFIGFLVCNAEPVEDKKALLEFVTKLPPSTPLNWNLNSSVCSNWNGVTCSLDESRIIAIRLPGLGFNGTIPVNTISRIKGLQKLSLGSNFITGYFPSDFVNLKNLSFLYLQFNDFIGPLPDFGVWNNLSVVDLSNNRFTGEIPDVNLPLLEQLNLSNNNLQGVVPVSLRRFPNSAFVGNNVSLASCSTNCTKSEKHGRAGGTLVLGSIVVGGFLCLAAFTVFIYVLCSKKKDGDVFDAKLEKGGKMSPEKVVSRNQDANNKLFFFEGCNYAFDLEDLLRASAEVLGKGTFGAAYKAVLEDATTVVVKRLKEVAVGKKDFEQHMDVVGSLKHENVVELKAYYYSKDEKLVVYDYYSQGSISALLHGKRGEEKVALDWNSRIKIALGAARGLARIHSENGGKLIHGNIKSSNIFLNAKQYGCVSDLGLATIMSSVCQPISRAAGYRAPEVTDTRKATQASDVYSFGVVLLELLTGKSPIHTTRGDEIVHLVRWVHSVVREEWTAEVFDLELMRCPNIEEEMVEMLQIAMSCVVRMHDQRPTMSQIVKMIENVKQIDAENRPSSENQVESATQHKLSQGDSPPPIKGDE >CAK8567264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498902649:498905260:1 gene:gene-LATHSAT_LOCUS20330 transcript:rna-LATHSAT_LOCUS20330 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTRSSTGRKPSEIMRLIVSTFIGVVFGFFLGVSFPTLSLTKFNLPSGLLPSIDLSYIEDRYSGRQAWSFMNNNNKRASPNRSSSGTSKIWVPSNPRGAESLPPGIVEAESDFYLRRLWGKPSEDLTFKPKYLVTFTVGYDMKKNIDAAVKKFSENFTILLFHYDGRTNEWDEFEWSKKAIHVSGRKQTKWWYAKRFLHPDIVASYDYIFIWDEDLGVEHFNAEEYLKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDKEVHKVTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFAVRRCVEPAHEKIGVVDAQWIVHQGVPTLGNQGKTETGKAPWQGVRERCRREWTMFQSRLANAEHAYFKYASNKMLNSTAH >CAK8572006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501456346:501457321:1 gene:gene-LATHSAT_LOCUS24615 transcript:rna-LATHSAT_LOCUS24615 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLVCCLVFICFLVPVTVAADWNILNQKTRNGLKISLKNYCESWRMNVELHNIRDFQVVPEECTEYIGKYVRSTQYSVDSERTTEECLVYLSTSCNLKKDGQDAWIFDIDDTLLSTVPFYKNNLGKKINVTALEEWMSKGKAPALDYSLRLFNEIKSRGIQIILISGRREYLRSATIDNLVNVGYYGWTSLILRDPANELASVAEYKSQVRKDLSSTNGYRIWGILGDQFSSFEGNPSGIRVFKLPNPMYYVA >CAK8541055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:49997573:49997896:-1 gene:gene-LATHSAT_LOCUS10006 transcript:rna-LATHSAT_LOCUS10006 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRKRNRGLLKGKLSPLFRTSKPSTTTLEFTTTNVKPNHSSPSPASHVVVVHKEYAKPSPKVSIVVTDCWSDNFPTDESVDMKASIYISMVQQRLKDEQKQVHEN >CAK8579216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684215886:684221122:-1 gene:gene-LATHSAT_LOCUS31180 transcript:rna-LATHSAT_LOCUS31180 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANNADFANFLSWYSQAGTPIVKVNTSYNAEGHTFSLKISQEIPPTPGQSVKEPTLIPIAVGLLDSTGKDIPLSSIYHNGALQSVSSNDQSVSTTVLRVTKKEEEFVFTDILERPVPSLLRGYSAPIRLQSDLTDDDLFFLLANDSDEFNRWEAGQILARKLMLNLVDDFQNNKPLVLNSNFVGGFKRILTDSSLDKEFVAKAITLPGEGEIMDLMEVADPDAVHTVRSFIRKQLASELKSEFLSTVENNKSSEEYVFDHSNMSRRALKNVALAYLASLEDQEFTDLALQEYTTATNMTEQFAALASIAQNPGKNRDDVLADFYDKWQNDYLVVNKWFALQAVSDIPGNVENVRKLLKHPAFDFRNPNKVYSLIGGFCGSPVNFHAKDGSGYEFLGDIVLQLDKINPQVASRMVSAFSRWKRYDETRQKLAKAQLEKIMSTNGLSENVFEIASKSLAA >CAK8543278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594974090:594975026:-1 gene:gene-LATHSAT_LOCUS12045 transcript:rna-LATHSAT_LOCUS12045 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMCPPPEKIKTKGGVKKKDKKLRQSQPSQTSKKLKSSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNRIRSYIDDVVNVVSNGNCGFRVIASLHGYGEDGWPVVRRDLGLEIIHNERSILYANLFTDQLTVMKESLMIDEFGPQPPHKWLTLPNMGYVIANRYNGVLVCLGIECWTFFPMTTSFSPNVAIYCIGFINRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPTHYEL >CAK8565123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:42483301:42483741:-1 gene:gene-LATHSAT_LOCUS18360 transcript:rna-LATHSAT_LOCUS18360 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVATEIHVVGLPPLGKKRKPNANGPRKSSPAWDHFIKLPNETEPVAACKHCHKKYLCDPKSHGTSNMLAHTKICTKRPQNDPTQTALSFASGEGGGLVAASQQFNLAACRKAIALFVILDEHSFRVVEGGI >CAK8534117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696321773:696322513:-1 gene:gene-LATHSAT_LOCUS3685 transcript:rna-LATHSAT_LOCUS3685 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFSEFIVELKLTDLLVFGSYCNILIKKFTALKSDIRSWNRNVFGWLDLKIEEYVSNLNTLELDSNLDSTSHDEDLNKERLRNQEEMWKNLRLKESMLAQKSHLNWLQDGDQNSKFFHDSLKSRYRSNCLSAIKMGDGIEEDPEAIKSEVVKYFKER >CAK8575757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:309394760:309394921:1 gene:gene-LATHSAT_LOCUS27993 transcript:rna-LATHSAT_LOCUS27993 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGFMRKPDKTAALKELKSHVAMFGTWVAVVRLTPYILHFLNRESEELKLEL >CAK8563896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637769017:637769544:-1 gene:gene-LATHSAT_LOCUS17257 transcript:rna-LATHSAT_LOCUS17257 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRTLLSLHSSRNAIIKRKSISNSPFPTIIFKRLPVSSITGHRNLHSPSPELGNSLIDPSLRTHVNSNAVQQNNDDDETTNEFLSRFAWITRKKVKESFPESDKNTVDAMLLVIVERVASEMEKDAGATASFSPFDSVDFSEDLWRTVWEVSNKVLVDMNKERMKDGKRERWKL >CAK8575785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:324012827:324013204:1 gene:gene-LATHSAT_LOCUS28020 transcript:rna-LATHSAT_LOCUS28020 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIWWSILGAAIPVVIAGQAFRVKKKNAEEEKLKSARGRERSSDEIFVCERVCTSKRMLKKVGSFSKDPIPDTCVTVCGVSDLDACADACARTVCVNQHQVPNWNDICLRRCQTECLKLSSQSS >CAK8573882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643978914:643979498:-1 gene:gene-LATHSAT_LOCUS26282 transcript:rna-LATHSAT_LOCUS26282 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGDETTLTATTKEQPGEVMDRDKNNDDGSEHDNNPKEWLNLSLGGTSLSTAEDSDSQSRPAKVFSCNFCMRKFFSSQALGGHQNAHKRERGAARRYQSQSQRTVALMSLSMSNPMFRSLGVQAHSLVHKPCRGGTMGAPSFQEACAAGLGMACTPFASEYHTDQLWPGSFRMVSQQSQPPQESHKLDLDLRL >CAK8576019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385598084:385599001:-1 gene:gene-LATHSAT_LOCUS28238 transcript:rna-LATHSAT_LOCUS28238 gene_biotype:protein_coding transcript_biotype:protein_coding MARFETLATTLNLKAIIFMVLILFLTITSTTSSRILSVLETPEEPITNSASPPVSSTILPPLPQAAATTGTDVPDQHHTISFFLHDILGGSNPTARAVTGVVTNPALNAQVAFAKPNGANLPLNSGVPQNNNNNGILNNNNLPFLTGLSGNTGNVFNNNNNNNNGNNNFPVTNMNQIPQGMTVQELMFGTMTVFDDELTEGEELGSGLVGKAQGFYIASSVEGTSQVMAFTAKFEENGYEDSLSFFGVHRTTQVSQSQLAIIGGTGKYMNANGIAIIKTFPVTNSQQHNTDGLETLLHLTAYLSY >CAK8575450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121670246:121671151:1 gene:gene-LATHSAT_LOCUS27712 transcript:rna-LATHSAT_LOCUS27712 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLNQKCYHYKTTLSQPSSLLTHLRLMSNLPENTIYSGPTPQNQRVTLSQLRQKHRNSQPITLVTAYDYPSAVHLDMAAIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRSVSRGAKTPLLVGDLPFGTYECSSKQTVDTAARILKEGRMDAIKLEGGSPLRIVAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASAIKVVETTLALQEAGCFAVVLECVPAPVAAATTTTLQIPTIGIGAGPYCSGQVLVYHDLLGMLQHPHHAKVTPNFLNSTLVSEMSSIKRYLSTRKM >CAK8543534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617220615:617231789:-1 gene:gene-LATHSAT_LOCUS12285 transcript:rna-LATHSAT_LOCUS12285 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFHQRQRTLLLCLLSLSVVAPVIFVSHRLQLVTRDGRREFPDDLSSVKYRTDPVKLNAIEQVDTEELEEPKQVVYKENDFGSKNSDDSEKNNDSEDLEELEEPKQVVNKENDFGSKNSDDSEKNNDSEDLEELEEPKQVVYKENDFGSKNSDDSEKNNDAEDLEELEEPKQVVYKENDFGSKNSDDSEKNNDSEDLEELEEAKPVVYKENDFSSKNSDDSEKNNDSEDLEELEEAKQVVYKENDFGSKNSDDTEKNIDSEDLEELEEPKQVVYKENDFGSKNSDDTEKNIDSEDLEELEEPKQVVYKENDFGSKNSDDSKKNNDSEDSEELEEAKQVVYQENDFASKNSDDAERNDDSVDPRIEGSKNNYLEKKEFDYDDETQDQEAQQKGLSSRDGDQEDSEELEEAKQVGYKGNDFGSTVRDPSEKNIDPLESRIEGSRNNFLEKKEFDHDETEDQEAQRKGLSSTGGDQKKFNTTIMNNQNMQTPFQRKKVENIIKVIEKQSGLTVSQHRHISHHRSRKVTNQTILEIKDQIIRARAYLGLSPPSSTSHLVKELRMRIREMGRAVGEATKDSDLSKRVLQKMRHMEGSLSKANLAFPDCSAMAAKLRAMNDNAEEQVRSQQHEVTHLVHLAARTTPKGLHCLSMQLTADYFSRRPEDRKLPNENKIHDPELYHYAVFSDNVLACGVVVNSTVSNSKEPEKIVLHIVTNSLNFPSISMWFLLNPPGKATIHIQNIDSFEWFSKYNTFKKQNSSDPRYTSELNYLRFYLPDIFPTLNKILLFDHDVVVQRDLSTLWNIDMKEKVIAGVGTCQEGETSFRRMDMFINFSNPYIAKRFDVNACTWAFGMNLFNLQQWRRHNLTGVYHKYLQKGSNMPLFSTGSLPLGWLTFYNKTMVLDRRWHIVGLGYDSNIDTNRIEQAAVIHFDGIRKPWMDIGLARYKSYWLKFIKFDLPLLQRCNIQA >CAK8561132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:100485105:100486412:-1 gene:gene-LATHSAT_LOCUS14748 transcript:rna-LATHSAT_LOCUS14748 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRVISKDIIKAPKPYNQNIDLTPWDLSFLLLAPGKKGLLYHHPVEENHILRLRQTLSSVLVFFPPLAGRLEIIEYKDNTASCSIACNNAGVLFVHATTENTCVADILESTYVPPIVDLFFPFIGVRNHEGTSQPLVAVQVTELVDGIFIGFTFNHVVADGKSSGDFINSWAEISRGCCDQISKLPSFERWFPDDVQRPIRFPFSVESHKNESDKLNFSSLHDEKFNLSERLYHFTNEKIMELKFKANAMIGTNKISSLQALLTHLWCCVIRSKQLDPQEEVHCRVLIGARLRLLPLLPEDYFGNAGKVGGVTMKAGELLEEGALGKGAWEIHKMISSQSNEKFKDHYESWLRNPILPNPDGISNNSSLAIGSSPRFDFYGNDFGWGKPVGVRYGNKLNGMVSVFEGIEEGSIDLQVCLPHKILEAMGNTIIS >CAK8544895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710770989:710774100:1 gene:gene-LATHSAT_LOCUS13540 transcript:rna-LATHSAT_LOCUS13540 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSTLRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKHKGYVSGSLSDEGKVGEGSGKLEKGLVDSNVVDVKSSNGPSRDNGEGKSEDADEKGVLENGDEVSEAMERKQTEPKSQIGSENGFGRNSNLQNGERTEMEGFRRKMRGEMESVRFASSKYPDEGPSNGYSVFSSGYKESWRDHKEKDGANRVQHLEQDRAELLRKLDELKHQLSKSSEVVNQKEKVLLEERMIPPDPHRQFGGSDPWFPDGSSGLNRTSRQFFGLDKHMAGPPHRDYNHDPYPYIGGHETAMPNFHPSMHNPNQYGDPFASQMTTRGPHHQFSQQPFHPYFPGRYVDPNPDSYELYAHNSNNAMLHPLSCSCFHCYDNKRRGSVPGPPASFVNSRFPDAPNDRVLYHHHDVPGAFGSHAHNSRASIPAVRFGENQLHTRWPSDFNSELGGFVRNRPRKVMLASSSRRCHPVAGGSPFITCHNCFELLQLPKKALVLVRNHHQKVRCGVCSSEISISIINKKLAILPHSETKGAPSRIDDRYNEVLNNRVSHARGLANRSRANFSSDDYSGYDFLSVDKDTLSAVALNSNKSQEMPSFHSSSPSTSEDENSSEAMTASREAVKSILRPTADSLSPPSESPPQEYIDHSNSNRTVNRFGKGNRSSRSEQDKAKMEKITSRQNSLKETAVATEMDVLDYSNTGVSQDSRDASREHDHPRSNKGGESFFANIIKKSFRDFSRSSQTDDRGKINVTVNGKPLSDRVVKKAEKLAGPIQPGNYWYDVRAGFWGIIGGPCLGIIPPFIEEFNHPLPEKCSGGNTGVFVNGRELHQKDLDLLSGRGLPPDGDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKVKHGFGMKAPRAAQ >CAK8568489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611620820:611624512:-1 gene:gene-LATHSAT_LOCUS21441 transcript:rna-LATHSAT_LOCUS21441 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRVEIVSGKGCSRLFSSSFTSVRGLRPLDQMSPVSASPQLSSTAPFAGLVICVTGLSKEARNQVMEATERLGGQYSPNLHPQCTHLVHNFGGCKFEHALKHGAKNDLFVVTLGWFVDSVKKNVRLTESLYSLKRYGDNNMYLDDFRLLPGYTNTGNCLPARIHETTHSRRAEEFLRFFGKESNRNLDSTLSGCSIYVDPYISSELQSKVVESASREGASLVEQWFVGCNVSHVVTEGTSIQRYLGYSNNLITPLWILKTAKEKQVQRLVNMSVDLARQVGLMLEDVHNGLSGKEVVKTKVHNELQGGKSEIGYEERQQIVNSAKNGVRSRRGRRMQTCQTPIRPITPNNLLDSICWSISEATSTASIYTDSFSAEDPSENHTSIFFDAKGDAKDSDTSFSNSTRPLTESEKSELVFKNHFLTILFPVDRFSEIGPSSRTFFNNNGFTCLQVLDHIHAFYQENMSSHEIEVAIHTDSRHADKLRSVYSSKETAERGYAMFKRTEFLGSRISFEMLKRVSGDNNSNVYELLLRA >CAK8570054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22543403:22545226:1 gene:gene-LATHSAT_LOCUS22840 transcript:rna-LATHSAT_LOCUS22840-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASINTFTPVPLAAGIRNPTNNFRRRRPNSVSFVSTSPESPPEIELEFIAPKPDGEGKFPVDKAKAISGEKLMRSIMLDNKIELYATYGKLMNCGGGGSCGTCIVEIIEGKDLLNERTNTELKYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >CAK8570055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22543403:22545226:1 gene:gene-LATHSAT_LOCUS22840 transcript:rna-LATHSAT_LOCUS22840 gene_biotype:protein_coding transcript_biotype:protein_coding MASINTFTPVPLAAGIRNPTNNFRRRRPNSVSFVSTSPESPPEIELEFIAPKPDGEGKFPVDKAKAISGEKLMRSIMLDNKIELYATYGKLMNCGGGGSCGTCIVEIIEGKDLLNERTNTELKYLKKQKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >CAK8579523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705419483:705421495:-1 gene:gene-LATHSAT_LOCUS31464 transcript:rna-LATHSAT_LOCUS31464 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVINVVVIFFILFLAVEAKPKRHEHYRYRHKFPKNPIFSRFPFFDLPQNDDDNNNNDETPILKDPIPPVKGVVKKLDFAIGSIGHWEIISQDSGVSAMHVNLLPTNKLIIYDAKVYRTSRIRLPEGEPCDLYKDFKTQEEKIDCFAHSVEYDIETNQVRPLKLKGGDPWCSSGALAPDGTLVSTGGFADGVKTIRHYGGGCQDCEWREYDGTLVDERWYATQQLLPNGEFILIGGRKSFSYEFIPLQEGQKAKQSYFFPYLYETSDFDENNLYPFVHLSPDGNLFIFSNNRSLLLNPTTNKIVRTFPILPGGSRNYPASGQSALLPIDLNAETIKAEVIVCGGNSPDAFFLAESKKIFLPALQDCSRLKISEPFPQWDTEMMPSRRTMGDALILPNGQLLFINGAQLGTSAWWDADAPNYTPVLYEPEKPKGLRFKVMKASQIARMYHSTSSVLPNGKIWVGGSNTHDTYKDKVKYPTETRIEAFSPPYLEEAFARYRPQINEVASEKVLKYGDLFETEFSVEDGLGLTVNDIKVTMYPPPFTTHGYSMGQRLVVLKIDEFTQQEQGKYRVKMAAPPSGAIAPPGYYLLFVVHRGIPSTGMWVHIQ >CAK8532717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498514371:498515136:1 gene:gene-LATHSAT_LOCUS2395 transcript:rna-LATHSAT_LOCUS2395 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYLHTNGDAGGERKNPYETMSNHVNTNGEQMAITASSMIRSHSIFGDLHGVQLDPIAADILRKELEQEIFARLRITLMEAPSSDEVESYVILQECLEMRKIYVFQDAVAPWEK >CAK8532823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:521849877:521856433:1 gene:gene-LATHSAT_LOCUS2487 transcript:rna-LATHSAT_LOCUS2487 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLKITKIKDDTDSISEYQFMKDAGPVFHSRETNTMFILIANLKGYKKNNIDIKISKDGSKISIIGKKPIQEMVMMGWVMQRKVVDVKGFNKVFKIPHGVNLDKIKANYNEEEWMMNIVMPKLVKGICGLKIEEFKEQEKSEIDHVSSSVGETRQKGSKDCEFQDMEGSENDTNKITTQKEVRGSKLTFEDGNDEVNRNIRENEKCKLRIEDSKIKDTRKHGGKETYEALKTLENMEKMLNETKKDVNEEAIEKEVGDTKLRIENENGEIAREKDGKEEYDVTKKSERDQGVGVFISNKFEDMSKDKEIEDQKIDSRNVIKRHDDVNRDIIGGTIKEEEKEISNLRSEDGKVKDIGKCILGNNVDTNQRVFEESMIQQSEESKQKESKEHFEESEKENNTKPFEAIKVLEVEHNVVGGCIDKNEFEESRIPQMEKTKSTKGKIIGGEYEKLPFKANQDFQKEMIKAKMKTKDGDQKWVLEKLGGREEFDYGMVIIKKEFPKKLPRSSLKESGGSNVESMQETKNVKEEMMNKEVECFMEKGEGEKIEMMHVKQKKGNNTRETMQEEIEEGRKGIKGSCQEQFSGIKGNKEFDFENKDEQKQVIKKELVEKECLIVEGGEYEEITKEIVQDEEDKNKHGIVKLKGEGCTKIHGEPNKPFDAENANIGTFDGRKTQKLKEIEQTKDEDVNEEGENIQKYMKKVVRDKYEKVKNEENEGFDQNKTEKKDERFSQEETSKGISKASNASKEVFPNKMLDSLVDTRDEPKNTRIEKTKGIKESNTELFEAMNVSKLEPFEANVDDHKNFIKPKRETKNDEPKGKKPNKEGLDAKINIDEEFPKNIPTSNHEESEGLNDLKMQETKDVKEYVVNRKGKEIEYFREKGEGDRLKMMDVEAKKVSNNEGTMQEEIEKIENGIKGGGQQQAAKIEGSKGFNVEKEEEEDESKKISRSKEQDKESISHNIVDSDQRVFEEALIQQNGESKQKENGGPKCNSKERLKEVLKESSMEQNELREPRVPQMEKAKSTKERKKGGMDSEKTPFELNDVYKVVFRDAIQKEVIKINQELPKSSFDENEGLNVQKIQESKNVKEEMVDKEIEYFEEKGESERFKRTHVKTKKGNTKETMHEEIEKNENEFKESDQQHVKDNIIKEMNEVSKNVTKELKQKVGKIDESREFNDANEEEQIEVSKKSMVESESLMKKVEEKESKERTKAKIVQYEDDKIEFGIVKLKGEGPRKIHADGRSFQEKEESKNVNEKGENPEKFGNKVNNKIQNQEDEEDLDFKKGVTKKKDEGYLRNDVSERRSQDIKDAQEDFPMKMLDSQIDTREELKDRMIEKAKGLKENVKVVPFVKKVKFEEEEFKKRTKAIVQDEEDKIEYGIVKLKGEGSTNITHKSQDKEEIEDVNEKEFVKKVNEKIQNQEDEGFKKNTTKEKDDCFLQETSKERSKTSKAANEPFDVRTKIEIRNQKLESIDELIEKENVGIGIVDGRQTQKFQEMKKTQDAKNEKTKKKVSGNKYEKKQNEEIVGFKKKVTKEKDECYLEGEMSKGISKESMDEKDDIFPMMLDSAADSEEGLTDRKIEKTKGVKEESEKVEQMEANEGLRNDTEEPNFGESMTMEELQELRNLEREFQSVVSMGFKEFDFENAKDKKQKTKVLDTKFADTTFESKETYESRDESVNQEVFSPKKPKEEGENRTCNIVGETFQEKTDESEKKIDDREQENAARDDAKVEAKEKDMFKECKTTQNVDDEKCKIDDEIEKSGIEKDDKKKRIHETMKTAPEKEHSVKFEVGEKEEEESFDERLKNDIAEMKTNRDYDQHEYGAEEIKAPKTTTYEEPKTSKLQRKRINQQSKERKEAHEVTRSPKMNNEIPKEEERNTNVPESTISKEEQTQITTTHLKEKVAQQSKVPNSLSTQQLEVEGEEKTKKNELEQCINKDEEEKHEIEEIDEEEKDYEQVHEEQKQGEEKKNNAKISKKLLVPLAIAGSALLATIVFIFVKHRRSRKM >CAK8578047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603780645:603781229:-1 gene:gene-LATHSAT_LOCUS30091 transcript:rna-LATHSAT_LOCUS30091 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPVRRGLKPHFKVGVFAFLTYAFAQECCRSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILLEMNREASNSQTHIGVEIGRETSSSQSHLQDQEQFNLIDDMVGDALGVNVTYDEPLDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSILSMCVRLLAAKSN >CAK8573787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636719654:636719902:1 gene:gene-LATHSAT_LOCUS26192 transcript:rna-LATHSAT_LOCUS26192 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIHIGLLCIQEYVVDRPTMATVAHMLNSHSLTLSIPSKPAYFMGSGPKSLPNMQLLLDDASVKRSNESINHVSITEPYPR >CAK8578075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605212962:605214485:1 gene:gene-LATHSAT_LOCUS30117 transcript:rna-LATHSAT_LOCUS30117 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGELVEFASGVKGIALNLENENVGIVVFGSDTSIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDKIAQYERDILSTIKQELLQSLKGGLTGERKIEPDAFLKEKALSLI >CAK8576126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:410361878:410363053:1 gene:gene-LATHSAT_LOCUS28340 transcript:rna-LATHSAT_LOCUS28340 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSAASDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTQSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNSTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAAIPADDDFNDDLVAQFMNPNNDAGPSTQTQNAEVDRRRSTRNVQAPACGTHQRLRRPGRN >CAK8532692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:483326083:483326756:1 gene:gene-LATHSAT_LOCUS2374 transcript:rna-LATHSAT_LOCUS2374 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQTKQGQHDSRKCKVLIPTQQNHETKERVHQIAAKRIKMETKRNWRRLNLFLLKICIDLNSSPRSDLMQFQVHVPFMNFLFWKVGSRNVGSSTLFL >CAK8530290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12072671:12073823:-1 gene:gene-LATHSAT_LOCUS167 transcript:rna-LATHSAT_LOCUS167 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLKVVFLLLVLGIVNTSVHGQGTSVGFYSSTCSQAESIVKSTVASHVNSDSTVAPGLLRMHFHDCFVQGCDASVLVAGSGTERTAFPNLGLRGYEVIDDAKTQLETACPGVVSCADILALAARDSVVLSGGLSWQVPTGRRDGRVSQASDVNNLPGPGDSVDVQKQKFAAKGLNTQDLVTLVGGHTIGTTACQFFSNRLRNFTTNGAADPSIDPSFLSQLQTLCPQNSGATNRVALDNGSQNKFDNSYYANLRNGRGILQSDQALWNDDSTKTFVQRYLGIRGLLGLTFNVEFGRSMVKMSNIGVKTGTDGEIRKKCSAFN >CAK8575315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:76910701:76913148:-1 gene:gene-LATHSAT_LOCUS27588 transcript:rna-LATHSAT_LOCUS27588 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNNSNPTPPPPSPPPLTPPQPLTDSSLPEPEPEQKPELPVTNQKELPDPPPQLTESETDSKGNQSQDVVAPEPSPEPETEQQPQQNIQQKKIPVNLVSFKEESNKVSDLSDSERTSLDQLKHLLTESIKPEQQVSIYGVPLLQDERTDVILLKFLRARDFKAKDSHTMITNTLQWRKDFNIDALLEEDLGDDLDKVVFMHGFSREAHPVCYNVYGEFQNKELYDKTFGNEERRNRFLRWRIQFLEKSIRKLDFYQGGVNTVFQVNDLKNSPGPAKRELRIATKQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMINPFLTQRTKSKFVFAGPSKSPDTLFKYISPEQVPVQYGGLSVDFCDCNPDFSINDPTTEIPVKPTTKQTVEIAIYEKCIIVWELRVVGWEVSYSAEFKPDAEDRYAVIIQKATKMSPSDEPVVSNSFKVSELGKLFLTVDNPTLKKKRLLYRFKIKPYSD >CAK8540529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10077670:10078845:-1 gene:gene-LATHSAT_LOCUS9527 transcript:rna-LATHSAT_LOCUS9527 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKENSFCKQIPNLFSSFVDTFVDFSVSGFFLLPPPSSPPPPLPTRLPSPQRLIAIGDLHGDLKKSKEALRIAGIIDASDRYTGGSSTVVQVGDILDRGGDEIKILYLMEKLKREAARCGGRMITMNGNHEIMNVEGDFRFATRSGLKEFRVWLYWFREGNKMKSLCKGLEPVKDPLDGVQVAFRGARKKYHDGLRARVAALRPNGPISTRFFSQNVTVLVVGDSIFVHGGLLQEHVDYGLEKINREASDWFKGLYDQRFSPEHCRGKNAVVWLRKFSDGNCDCSSLEHVLSTIPGAKRMIMGHTIQTGGINGVCGNKAIRIDVGMSKGCGGGLPEVLEIDRIAGVRILTSNTLYQGIQENVDVVMEEEGFGTLVNNQHDRPRQVEVKA >CAK8575141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:38207782:38208921:1 gene:gene-LATHSAT_LOCUS27428 transcript:rna-LATHSAT_LOCUS27428 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNDGIDELNMEEVGDTICRTKKRRLKVAILRVNQRKLKLVNSLYRLKYYNQERLPTTLKDQDDSFIPSIPCHAIFVFLDTILLGVLQVEYQNKKESPLEVHTVHMQTFLISICIYCMLIGIKIYMKTRGGRRDRILSFALLLFGLLSTTSLLSILLPQQLLWIMFFIWGSISIILARCWLKILVCRIREVMMVLIFKIKGSAIGSNNTTNSNPNPVEQIEVDHN >CAK8573202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593413487:593413931:-1 gene:gene-LATHSAT_LOCUS25677 transcript:rna-LATHSAT_LOCUS25677 gene_biotype:protein_coding transcript_biotype:protein_coding MYFINQDDIERFRDVGALEDNVGSFLSNDRRDGVNLYGAIKQSPTEQQKESSKCFTFAEFGCIRTRNTVTCCHFSSDGKLLASFGDDNKVILWNMDTLGT >CAK8533108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:578473042:578483218:-1 gene:gene-LATHSAT_LOCUS2756 transcript:rna-LATHSAT_LOCUS2756 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKKSAARVSEDHDELVRVPLQAILLADSFTTKFRPITLERPKVLLPLVNVPMINYTLTWLESAGVEEVFVFCCAHAKQVINYLEKSEWFSQPNFTVTTIESQNSVSAGDALRVIYERNVIHGDFVLISGDTVSNMSLTQALLEHKERKKKDSNAVMTMVIKRSKTNPAIRQSRLGTDEIFMAIDPNNKQLLSYEDKADYSKGTLHLENSLLADNPSLSLHHDKQDCYIDICSPEVLTLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFVHEIHSDYAARIDNFRSYATVSKDIIHRWTYPLVPDVMNFGNTTTKLERQGIYRGSEISQSQSAVVGPFSVIGSSTKIGNNTKILNSIVGGGCKIGSNVHIEGCYIWDNVTIEDGCELRHAIVCDGVIMKSGSVLEPGVILSFKVIVGQEFVVPPYSQVSLLQQPIEEDSDEELEYADSTSVITNTVDKSDGEFPSELSDTHFNSTSELGVGGVGYVWPKCEGEEEWRHSVAPITEDKILEAVKAMEDELELIHDGNTLPPSGELIPNSNDSDDDDNDDSRDDFDKEVEATFLRAVHENIQDVHLTLEINSLKLSYNKITADCAGALFYAMMKYAVDMPHSSADSLIQNVNAILTKWKNVLKSYLNDMDEQIEVILKFEEMCLESAKEFAPLFTKILHYLYNEDIVEEDAILSWEDEKKDADESDKVFVNQAQKLIQWLKEAPEEDDDEEE >CAK8578530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635576594:635580842:-1 gene:gene-LATHSAT_LOCUS30534 transcript:rna-LATHSAT_LOCUS30534 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGNLLSRTRKLVKGLAKAEPVWLKAMEQAPPATFPRPEGKIPTITLPEDVYVKRFYKKYPESKYHDPIKFNAIDPPPSRLFALRVLELKEQGIVEEEAMEVADMEYLAEKKAKKKAYARLKQIARLQGKRLPPNPYPCPIKEIQAEEKKYVRDRFFNPKILEIVKQKKEESMQRFGRGVDSEDFGPRKINSPE >CAK8578531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635578653:635580842:-1 gene:gene-LATHSAT_LOCUS30534 transcript:rna-LATHSAT_LOCUS30534-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGNLLSRTRKLVKGLAKAEPVWLKAMEQAPPATFPRPEGKIPTITLPEDVYVKRFYKKYPESKYHDPIKFNAIDPPPSRLFALRVLELKEQGIVEEEAMEVADMEYLAEKKAKKKAYARLKQIARLQGKRLPPNPYPCPIKEIQAEEKKYVRDRFFNPKILEIVKQKKEESMQRFGRGDW >CAK8538537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486493229:486496825:1 gene:gene-LATHSAT_LOCUS7725 transcript:rna-LATHSAT_LOCUS7725 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFTETTPDENDVANEVPKGKKKGKHDKPKPWDDDPNIDHWKVEKFDPSWNEGGMLEVSSFSTLFPQYREKYLQEAWPLVKSSLKEFAISAELNLVEGSMTVSTTRKTKDPYIIVKARDLIRLLSRSVPAPQAIKILDDEMQCDIIKISGMVRNKERFVKRRQHLVGPNSSTLKALEILSGCYILVQGNTVSVMGSYKGLKQVRRIVEECMLNKMHPVYNIKILMMKKELEKDPALAQENWDRFLPKFNKKNVKQKKVSAKKKKPYTPFPPPQQPSKVDIELETGEYFMSDKKKSKKKWQERQEKQAEKTSESKRKREESFIPPKESAKPVDNSEDANNNVTEMAMSLKEKTKKFGKRKSEENINAETYIIGSSEQPSRKKSKQQRS >CAK8569664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7512107:7513005:-1 gene:gene-LATHSAT_LOCUS22491 transcript:rna-LATHSAT_LOCUS22491 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENEVIGVHSVESWKEQIQKGTESKKLIVVDFTASWCGPCRFIAPILAEIAKKTPEVIFLKVDIDELKSVAEEWSIEAMPTFLFLKEGKEVDKVVGAKKEELQLAITKHATTVATA >CAK8563586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618933652:618933967:1 gene:gene-LATHSAT_LOCUS16981 transcript:rna-LATHSAT_LOCUS16981 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYIFFVSVRWTQRRMNYDANPCFHLQRYRNALDHMQEKDFIWRSYIQFPVPNLRDSRFGVLRFLLSISILLKCIKQIG >CAK8532561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:295288747:295289979:1 gene:gene-LATHSAT_LOCUS2253 transcript:rna-LATHSAT_LOCUS2253 gene_biotype:protein_coding transcript_biotype:protein_coding MWYKSALKHLKLDRLKKYEYCLPYFYQPFKEDVFEQSTEVPIIYPVEPKPVFCEFDWELDKLEEFTDKLIEAEELSADQKDAFKEFVKEKVREAKRANREAREAQKKAITEMSEEAKAAFDAMRFYKFYPVQSPDASPVFESDSVLNSLNI >CAK8543639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628576318:628578224:-1 gene:gene-LATHSAT_LOCUS12385 transcript:rna-LATHSAT_LOCUS12385 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGANFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGHSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8576906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523431598:523443240:-1 gene:gene-LATHSAT_LOCUS29063 transcript:rna-LATHSAT_LOCUS29063 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLIRSTLRTKSASALVCAAIPRCFQENLLQAPLWYRSSSTESSLATDNSTADVKPGPGFKGHDMLAPFTAGWQATDLNPLVIEKSEGCYVYDINGNKYLDALASLWCTALGGSEQRLVDVATAQLKKLPFYHSFWNRTTKPSLDLAKELLEFFTARKMGKAFFVNSGSEANDTQVKIVWYYNNALGRPNKKKFIARNKAYHGSTMIAASLSGLPAMHKNFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLEELILKEGPETIAAFIAEPVMGAGGVMLPPATYFEKIQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSLAKALSSAYMPIGAVLVSPEIAEVIQSQSNKLGTFSHGFTYSGSPVPCVVAHEALKIYKERNIVDMVNKISPKFQDGLKAYSDSPIIGEIRGAGLILGTEFTDNKSPNDPFPPEWGVGAYFGAQCEKHGMLVRVAGDNIMICPPLIISPEEVDELISIYGKALRETEKRVQELKSQRK >CAK8570856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:131678698:131679222:1 gene:gene-LATHSAT_LOCUS23568 transcript:rna-LATHSAT_LOCUS23568 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSNFDLALCYEIVNTDIVFFEHKLNEEASQVANDAVGSIRTIASFYSEEKVMELYKQKCEGPIKTGIRRGIINGFGFGVSFLMLYAVYACSFYAGARLVDDGKSSFSDVFRVFFALSMAAIGISQSGSLVPDLTKAKSAAASIFM >CAK8562066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:434769735:434779745:1 gene:gene-LATHSAT_LOCUS15596 transcript:rna-LATHSAT_LOCUS15596-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIEFFPLNTGAKIPSVGLGTWQSDPGLVGQAVAAAIKAGYRHIDCAQVYGNEKEIGSVLKKLFDDGVVKREDLWITSKLWNTDHAPEDVPLALDRTLADLQLDYVDLYLIHWPAPMKKGSVGFKPENLVQPNITSTWRAMESLYDSGKARAIGVSNFSTKKLGDLLEVARVPPAVNQVECHPSWRQGKLKDFCNLKGVHLTGYSPLGSPGTTWLKSDVLNHPTLKVIAEKLGKTPAQVALRWGLQMGHSVLPKSTHETRIKENFDVFDWSIPADLLAKFSEIEQARLLRGASFVHETYGGYRSVEELWDGEI >CAK8562065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:434769735:434779745:1 gene:gene-LATHSAT_LOCUS15596 transcript:rna-LATHSAT_LOCUS15596 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIEFFPLNTGAKIPSVGLGTWQSDPGLVGQAVAAAIKAGYRHIDCAQVYGNEKEIGSVLKKLFDDGVVKREDLWITSKLWNTDHAPEDVPLALDRTLADLQLDYVDLYLIHWPAPMKKGSVGFKPENLVQPNITSTWRAMESLYDSGKARAIGVSNFSTKKLGDLLEVARVPPAVNQVECHPSWRQGKLKDFCNLKGVHLTGYSPLGSPGTTWLKSDVLNHPTLKVIAEKLGKTPAQVALRWGLQMGHSVLPKSTHETRIKENFDVFDWSIPADLLAKFSEIEQARLLRGASFVHETYGGYRSVEELWDGEI >CAK8562067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:434777223:434779745:1 gene:gene-LATHSAT_LOCUS15596 transcript:rna-LATHSAT_LOCUS15596-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIEFFPLNTGAKIPSVGLGTWQSDPGLVGQAVAAAIKAGYRHIDCAQVYGNEKEIGSVLKKLFDDGVVKREDLWITSKLWNTDHAPEDVPLALDRTLADLQLDYVDLYLIHWPAPMKKGSVGFKPENLVQPNITSTWRAMESLYDSGKARAIGVSNFSTKKLGDLLEVARVPPAVNQVECHPSWRQGKLKDFCNLKGVHLTGYSPLGSPGTTWLKSDVLNHPTLKVIAEKLGKTPAQVALRWGLQMGHSVLPKSTHETRIKENFDVFDWSIPADLLAKFSEIEQARLLRGASFVHETYGGYRSVEELWDGEI >CAK8560849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49853502:49855726:1 gene:gene-LATHSAT_LOCUS14493 transcript:rna-LATHSAT_LOCUS14493 gene_biotype:protein_coding transcript_biotype:protein_coding MNKENERYLKLFRRNSNIQNKSLFSREAKVAAVTTTVVALFCLVATICYFAASNNVSYYALSYLSSIHTNKNQNQNQKQEWIYPLRCTKGNKTETQTCPRDYFPTKHNPTNRNSRVCPSYFRWIHEDLKPWKDKGITKEMLEGARGETYFKIVVVDGKMYVEKYKNSFQTRDVFTMWGVLQLLRLYPGKLPDLELMFNCGDRPLISLEKFQDPNASTPPLFGYCSDHSNLDIVFPDWSFWGWPEINVKPWNGLLKDIKEGNKRIKWKDRVPYAYWKGNPNVAPTRKNLLTCNVTSENDWNAHLYIQDWIRETNEGFKESNIGNQCTHRYKIYIEGIGWSVSEKYILACDSMTLYVRANYHDFFIRGMVPLQHYWPIRDNTKCTSLKFAVEWGNNHTDKAQAIGEAASRFIQEDLNMNNVYDYTFHLLNEYAKLLRFKPSIPQGAVEFCSETMACDVNGIERKFMEESMVMFPSDSNPCTIPPPYDSLTLQEFLERKVNSTRQVEIWEDEYWMTKNKGQ >CAK8569790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11467681:11470689:1 gene:gene-LATHSAT_LOCUS22600 transcript:rna-LATHSAT_LOCUS22600 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMMNDSNNTSDDGNNHNWLGFSLSPYHMKMELTSSDPSHHPTHQYYHHHDNNQPSSSLLVPSNFYMSPSHLNINNTSSLCYYGVGSDNSGSFHSSLPMMPLKSDGSLCTMEEALSTSQSQVMLPTSSPKLEDFLGGATMETHHHEYEGHERETMALLSLDSIYYNNNAENETNREHSSLDISSYYSGFTCHGMYQTPLMEQQQQEEETKEENMYCFKNFVAPRDYPIEQQHNNNNGGSIGDDGCVGTVNGYGELQSLTLSMSPGSQSSCVTVPTQISPSGNNDSVVVEAKKRGHGKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEVKAARAYDLAALKYWGPSTHINFPLENYRSELEEMNNMNRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNRDLYLGTFSTQEEAAESYDVAAIKFRGLNAVTNFEISRYNVEKIMSSNTLLSGEQAKRTTARINDSEEPKSEAKDCNNNVVSVSSPILNNQEVEAVNKNENNWNQSPQQESHTCDQKTDFSMSLQDIIGIDSVDDSSNKMIRTHFSNSSSLVTSLSSSRECSPDNKSNGPTMSFPKPPIGSKMVSPIATRVGSWFPSGGSISMSHLPVFAAWSDI >CAK8566314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:405549926:405550513:-1 gene:gene-LATHSAT_LOCUS19451 transcript:rna-LATHSAT_LOCUS19451 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSHSPPCAACKIQRRKCTRDCIFKPYFPSNNPQKFLYVHRVFGASNVAKILNEVNESQREDTVSSLAYEAEARLRDPVYGCVGLISSLQNKLKSVQNELNDAKKNLASYIGPQAYLPSPPSPPLPPAMVNPFYTQQLRPDVGFTYNGDNSFQAQSFHHPMMVSSQQLPQQFHNGFCSKKVFKTERRNL >CAK8568746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638537755:638538601:-1 gene:gene-LATHSAT_LOCUS21677 transcript:rna-LATHSAT_LOCUS21677 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVGPTFTIDVPSSPPPSDQTDGRRRLFMNEDEVPTTFRISRPEKFFGGGSPESSSSIGTPDDSDNDEEVQSQMKTRNGLGSLDSLEDSLPIKRGLSSHFEGKSKSFTDLSQVSNLTELRKQESPFNKRRRVLIASKFSRKSSFYSWSNPKSMPLLPVDEDDDDAYDHEYYEDYEEEEKTRKVSSSSSSSSLGEDKDKKQEDQVQIGHGRMHESYAAEMRLRLRSFKSRSFSLADLQEHEDEDDEDDEDD >CAK8577450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564705312:564708079:-1 gene:gene-LATHSAT_LOCUS29557 transcript:rna-LATHSAT_LOCUS29557 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFAGDNTPELLCLVHDITGMCTGGGGDSSSLTADVMFRKDCTDLVRRISLLTYLFEEIRELNKVNCSASSSSGTVSDSEDSWSSDLVVVLQSAKRLLSVAKNFSSNSSSDEAAKTIIFQFQCVTWKLEKLLSSLPYDDLDISEEVKEQVDLVRSQLRRATDKYGFMISKMPCDGLSQPLAQEINQVFGKSMSGLHKQNSCPEYLSELGSILKSNEGKSCSAYGAGSRLERTRSIHASYEVSLSNIAAPESQQISGSKNLPEVKKPEAIVIPEDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLQHLTLTPNYVLRSLVSQWCIDHNIEQPTGLTNGKIKKSDGSFRDVTGDIAAIEALVRKLSCRSTEECRAAVAEIRSLSKRSTDNRILIAEVGAIPILVNLLTSEDVMTQENAVTSILNLSIYENNKGLIMLAGAIPSIVQVLRAGTMEARENAAATLFSLSLADENKIIIGASGAISALVELLQNGSPRGKKDAATALFNLCIYQGNKGRAIRAGIITALLKMLTDSSKSMVDEALTIMSVLASHQEAKVSIVKASTIPVLIDLLRTGLPRNKENAAAILLALCKRDTDNLSCISRLGAVIPLSELARTGTERAKRKATSLLEHLRKLQQL >CAK8575529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:153613865:153614529:1 gene:gene-LATHSAT_LOCUS27786 transcript:rna-LATHSAT_LOCUS27786 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVHGEQNGSSSHFLPQPPKLNRKRVAVLPSLEPFPSARRPNEEGQEEDKKTRSVLLVSQLVAFKGCPLSAGCWSSLLRGSCLSFTSLLSACAKEQENGCAKVDARPKQATAFEPLSEKALVKRASALDLEVHASSCWERG >CAK8572781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562701700:562703412:-1 gene:gene-LATHSAT_LOCUS25308 transcript:rna-LATHSAT_LOCUS25308 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTKAISYTHPSLHLFHPNVESFVWNNLIRFRVRLNSKLQHSPLSLYLRMHSHDVTPDLHTFPFLLQSFNAHSHLSHGLQLHAQIFLFGLSDDPFVQTSLINMYSLCGCVPFARQVFDEIPQPDLPSWNTIIHANARVGMIHIARKLFDCMPQRNVISWSCMIHGYVSCGEYKAALSLFRKLQVMEGRRIRPNEFTLTSVLSACARLGALEHGKWVHAYIDKSRIKVDVVLGTALIDMYAKCGNIERAKCIFDNMGHEGKDVMAWSAIIAAMAMHGLSKECLELFEKMINDAVWPNAVTFVGVLCACVHGGLVSEGNQYFKRMTEDYGVYPLIQHYGCMVDLYSRAGQIGDAWDIVKSMPMEPDVMIWGALLSGARIHDDIETCEISIKKLIELDPKNSGAYVLLSNVYAKLGKWKEVRHLRDLMEMRGVKKVPGCSLVEIDGVLHEFSAGDDSHPETENIYRMVDEIIKRLEKHGYVRNTNEVLLDLDEEGKEFALSLHSEKLALAYCILRTSPGTTIRIVKNLRICMDCHVVMKMASREFNREIVVRDCNRFHYFKNGLCSCKDYW >CAK8561372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:174866041:174868235:1 gene:gene-LATHSAT_LOCUS14972 transcript:rna-LATHSAT_LOCUS14972 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLPFLPEVQTADRKVPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFLSQLLHRKYAGNFIVDLLGKWKESEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >CAK8561215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:118213188:118216121:-1 gene:gene-LATHSAT_LOCUS14824 transcript:rna-LATHSAT_LOCUS14824 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPLLKLFLLFSLFAISFSHEPQNLHVFPRPLIVEYSELDDDVKLRCTSWRFAGEANNLSPWKTVPKECAEQVKEYMTGKGYVYDLEIASKEAGEFARSVQLQDDGFDAWVFDIDETLLSNLPYYAAHGYGLEVFDHKKFDDWVEKGVAPALEPSLKLYEDVLNMGYKVILLTGRSELHRDVTVDNLINAGFRDWHQLILRSSDDKGKLAVVYKSDKRNEMEKDGYRILGNSGDQWSDLLGSSVSVRSFKLPNPMYYIA >CAK8562865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550399485:550402750:-1 gene:gene-LATHSAT_LOCUS16328 transcript:rna-LATHSAT_LOCUS16328 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLDSMAASIGVSVAVLRFLLCFVATIPFSFFWRFVPGRLPKHFYSAAVGVFLSYLSFGFSSNLHFLVPMFLGYASMVVYRPRCGIITFFFGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCSINYNDGILKEEGLRDAQKKNRLIELPSVVEYIGFCLCCGSHFAGPVFEMKDYLDWTEGKGIWKFEAKGPKPPSPYGATIRALLQAGFCMGLYLNLVPYFPLSKFTDPSYHEWGFWKKLGYQYMSGQTARWKYYFIWSISEASIIISGLGFSGWTDSSPPKPRWDRAKNVDILGVELAKSAVVIPAVWNIQVSTWLRHYVYERLIQSGKKPGFLQLLATQTVSAIWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAAPSTIKNILVFSNFAFTILVLSYSCVGFMVLSLHETLASYGSVYFIGTALPVVIILLGKVIKPGKPARSKPRKEE >CAK8564507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678916791:678920990:1 gene:gene-LATHSAT_LOCUS17808 transcript:rna-LATHSAT_LOCUS17808 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSIVILLLCITVVTPILLYTDRLTTFNYSSAHREFVEDVTTFAVGGVGSSHLNLLPEETSTILKEPIGVVYSENSSNSNNLLRGERVVSRVLSATNEEVRVKGENSIKLVTDGEDAIDAEDNDEKLTRSSNASNQASEVILTKQGKQHIESSSKVNNIKHSDDTPPDARVRKLKDQLIQAKVYLSLQAVRNIPHLTRELRLRVKEVSRTIGEASQDSNLPRNANERMNAMEQSLMKARQIQDDCTTSVKKLRAMLHSSEDQLRVHKKQTLFLTQLTAKTLPKGLHCLPLRLTTEYYSLNSSQQQFPNQEKLEDPGLYHYAIFSDNILATAVVVNSTAAHAKNSSKHVFHIVTDRLNYAAMRMWFLANPPGKAAVQVQNIEDFAWLNSSYSPVLKQLSSPSMIDYYFRAHRASSDSNLKFRNPKYLSMLNHLRFYLPEIFPNLKKVLFLDDDVIVQKDLTGLWSINLKGNVNGAVETCTESFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNVFDLVEWKKQNITEVYHNWQKLNHNRQLWKLGTLPPGLITFWKRTFPLNRSWHVLGLGYNPNVNLKDIERAAVIHYNGNMKPWLEISIPKFRGYWSKYVDYNIVYLRECNINP >CAK8539795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525565822:525569346:1 gene:gene-LATHSAT_LOCUS8857 transcript:rna-LATHSAT_LOCUS8857 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSKRSRSEIQNLQPFTPIDDHQSKMSQYELSREERIRENRERMGKLGIFDISLSLKLKSTPSSRRTPYNPKSPVSRNPSGPSRRSSRLQNVAPISYSEAPLKGEVGEKKTRVVIREGSQPEVYTEEHEKLLGNTERTWELFVDGIGKDGKRIYDSVQGKTCHQCRQKTLGLRTRCCECNMVQGQFCGDCLYMRYGEHVLEALADPTWKCPVCRGICNCSLCRNAKGWAPTGYLYRKVVQLGYKSVAHYLIHTRRAEAVEKNDETSNPVSDTDVKKIDEVSNTISAKRSLPFSDADNNENKLGPIQSPTETDGDEVAAKRSLIFPDEQVQLEKVGGSSDTVKLIADQLEEKVEGSSDTVKVLASSSKPSPDSVAGRLRSRIKKP >CAK8571254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:355215243:355217799:1 gene:gene-LATHSAT_LOCUS23932 transcript:rna-LATHSAT_LOCUS23932 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVQEPAIVISDPSQIEVKLFNRWSFDDVQLSDVSLSDYIGVVASKHATYVPHTAGRYSVKRFRKAQCPIVERITNSLMMHGRNNGKKLKAVKIIRHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTMAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >CAK8576512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486506700:486507224:-1 gene:gene-LATHSAT_LOCUS28694 transcript:rna-LATHSAT_LOCUS28694 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKVTHAQSQSLDHKTLTPPPTTIDDSSVQIQNLKNLNTVLLKETTDHRNRTQSLLHSNQAAMEVEAQISEVVEERDETKYELDLQKEKVNDLVLSLKNEKRNMEKIRLEVGHLLEEKLERERRVEELEKGKDLAVKKSVESEKVIEELKKKSVLSFFLFSLFLSFIRLPFF >CAK8536746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12725965:12728671:1 gene:gene-LATHSAT_LOCUS6080 transcript:rna-LATHSAT_LOCUS6080 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVVFVDQLERPVPVDPVEQPEQLPSEPSLSPVASDADAASGSSGSDSPVDQEEDDRGEEEPLIQTVECRICQEEDSVSNLETPCACRGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPPRPNPEETTIEIGGGWTLSGSPLDLRDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSAALILMALLLLRHALSVTDGDSSDDDPSNFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLNSGQRRGLQFAIAPVPTVQQEQV >CAK8561650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:338095214:338095662:1 gene:gene-LATHSAT_LOCUS15221 transcript:rna-LATHSAT_LOCUS15221 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSNEIDLVAHKEEYQRLAFTATFFAGITQATLGIFRLGFLTDFLSHATIVGFMGGAVITIGLQQLKGFLGIKSKMFTTKTDIVSVLHSVFSSSKHGV >CAK8568783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640681287:640681634:1 gene:gene-LATHSAT_LOCUS21708 transcript:rna-LATHSAT_LOCUS21708 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFPRLLSTASNPTLLRTGSEHLARSVSNSVTRLLSSSSTQHHNHNTVRDQPHTPTPESLKQSQKEQQQQEEQEVDDGDEIDLNKETGEVGGPKGPEPTRYGDWERNGRCSDF >CAK8538467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483796546:483796975:-1 gene:gene-LATHSAT_LOCUS7659 transcript:rna-LATHSAT_LOCUS7659 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESVEISSSSSHSRRRRIQCYCGFDSPLAIAWTSENSSRRFYGCGLFKLQGRKGCSFFDWYDDKIPKWSKEVINSLLKKVNELKKKDSLTKKSDDDMNNKNKLLIMLLILS >CAK8538227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471895630:471896514:-1 gene:gene-LATHSAT_LOCUS7450 transcript:rna-LATHSAT_LOCUS7450 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKTCLYLLLLLCSSSLVVSLPQNNPYLTSTTLFLQNYHKMVQNFKVFMYPPNTNQFNFGTEIESLFYSSLLNSSYLTQHPQQAHLFFFPFSSEISTRSLTRLISRIRNDFPYWNRSLGADHFYLSCAGIPNKNDRNIVELKKNAVQISCFPTRRHRFVPHKDLTLPPISNLHAPDKSGGGDFCFVDYGNDMGEAMRLGCVPVVVVTEGGVNDMPFMDLLRWKEMAVFVKSGVKNDTDTWKKREENMKRLGVEGSKHLQWNRPPQPLDAFNTIMYQLWLRRHSVRYESIQSN >CAK8578561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638243131:638243442:1 gene:gene-LATHSAT_LOCUS30561 transcript:rna-LATHSAT_LOCUS30561 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEVFVVVAIVKTEAECILNIRFSCRSKRVKTKVERWLMSLKREGGLCVEGGSSEKQRGSGRLKWYWPCYSGRQKFKQRSGRLIGEQKGWWKMSLWLSREG >CAK8563275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588793416:588805809:1 gene:gene-LATHSAT_LOCUS16703 transcript:rna-LATHSAT_LOCUS16703 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNKARSFAEEASKRSQDLSLGAHKFTEIIAETTKGIAAQAQASVHLAESSFNKDVDVDLNLESFGITDELREFIKGITVATFRDFPLQDDTELSDVPAVSNIRQDLTEWQEKHAKLVLSTVKEISLLRYELCPRVMKERKFWRIYFILMNNHIAPYENRSMEDAKLKSSERVKDQIVMKPLEVELTSNQEVNEMKKETKTSNSSRHQDLDAFLLGDTEDSDDDPDDDDGGFDDDLDKLGESLEDEKEKA >CAK8570855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:131220982:131225139:1 gene:gene-LATHSAT_LOCUS23567 transcript:rna-LATHSAT_LOCUS23567 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVEQHIQNQTTNTNRVTNGNISVAKTLSIVQPHSLLPKPTPPLATSNPNHPNDVVPSSTFKTFFRQRSNNLSSAISRTISSLKNSIDEKNDNKSNNDVTEFKLSGLKVVVEAKNGLSLGKVRITLFSKSNCRDCSAVRSFFRERGLKFVEINIDVYTEREKELNQRTGNTNVPKIFFNQKLIGGLVELNAMRKNEGGELENKLIEIGNGKFSGDGIPVPPEYGFDEMVEEKVLAEEEMVKVVRVLRQRLPIQDRLMKMKIVRNCFAGSELVELLVRHHGYAHDEAVEVGNQLCQKHFINPVFGEINFEEENFFYRFLEHEAFIPKCFNFRGATNDSEPKNAATVCDRLTKIMFAILESYASEDRRRVDYVAISKSEEFRRYVNMTQDLQRVDLLELSENEKLAFFLNVYNAMVIHAMIRVGCQEGVINRRSFSDFQYLIGGHPYSLATIINGILRSNRRSPYSLVKPFGTRDIRLEVAVVKMNPLIHFGLCNGTKSSPKVRFFSPCRVAEELRCAAREFFECDGIEVDLEKRTLHLSPIFKWYSADFGHERNVVKWIMNYLDANKTGLLTHLLDDGGPVHISYKNYDWSINS >CAK8576754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511262648:511263855:-1 gene:gene-LATHSAT_LOCUS28923 transcript:rna-LATHSAT_LOCUS28923 gene_biotype:protein_coding transcript_biotype:protein_coding MKDADVSKQIQQMIRFIRQEAEEKANEISVAAEEEFNIEKLQLLEAEKRKIRQEYERKAKQIDVRRKIEYSMQLNASRIKVLQAQDEVVSSMKDAAKKGLVRISSDKKAYRKLIKEIIIQGLLRMRESSLLLRCRETDRKLVESLLEEAKKEYSEKAKVQPPTIVLDDRVYLPPQPKNNAADSHEIFCSGGVVLASDDGKIVLESTLDARLDVIFRQKLPEIRKRLLA >CAK8562248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:461408305:461409779:1 gene:gene-LATHSAT_LOCUS15761 transcript:rna-LATHSAT_LOCUS15761 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQNAKNILLVLCLAVCVGMCQSDIEEDAKVAAGDIKEGATTAYNEAAEKTESFAKWAYDKLSGQFGTKEEADKIHQNEKFSFSDVASEISNSFKKAAPETSNSAEKAASESNRKAKGAYKETQKQTNKAGDAIGDAANAAKDKAKDGYGEAKKTTNKASDKVEDTADAAKNKTKDAYGETKKHAEKASDKVGDTAKDAYGETKKHAEKASDKVGDAAGSAKNKTKDVYDDAKKHAEKASDKVGDTAKHAYGETKKHAEKASDKVVDAADAAKNKTKDVYGDAKKHAEKASDKVGDTAKHAYGETKKHAEKASDKVGDAAGAAKNKTKDVYDDAKKHAEKASDKVGDTAKDAYGETKKHAEKASDKVGDAAGAAKNKTKDVYDDAKKHAKKASNVADDTVDDAKDNAKAAYN >CAK8560295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13330039:13340215:1 gene:gene-LATHSAT_LOCUS13981 transcript:rna-LATHSAT_LOCUS13981 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSVKWQKELFKDVEIDTSQPPYVFKVQLFDLTGVPPERQKIMVKGGLLKDDADWERLGVKEGQKLMMMGTADEIVKTPEKGTVFVEDLPEEEQVATVGHTAGLFNLGNTCYMNSTLQCLHSVPELKSALIDYSHSGRNNDVDQSSHLLTVATRDLFSELDKSVKPVAPMQFWMVLRKKYPQFGQLHNGVFMQQDAEECWTQILYTLSQSLRSPGSSESTDAVKALFGVELKSRIYCQESNEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASAALGRSAVYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDDLKKKLEAPRQFLRDEEGKKVGLKVNEKSSVRKESDVKMSDAEGSSNGGGEPSVAPMEEGEKETQMTGVYDLVAVLTHKGRSADSGHYVGWVKQENGKWIEFDDDNPKPRIQDDITRLSGGGDWHMAYIIMYKARVVSL >CAK8540793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21779862:21780242:1 gene:gene-LATHSAT_LOCUS9763 transcript:rna-LATHSAT_LOCUS9763 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMCLSDSDYTFEELETSEDSSSDYSDEGIKEKYPSFVMPKKLLDYKWVLGTMFTINEDFKEAIANYVVNNGRDFHFIKNYKTMVIVGCKEGCELVALCSKFLNKDAWHLIKLIDTHSCKIGSLM >CAK8562357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476867173:476871053:-1 gene:gene-LATHSAT_LOCUS15859 transcript:rna-LATHSAT_LOCUS15859 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVFQLNELEIEHFEIREVLRCILHTIIFHRALGLVRPKDVDLELFDITYVQCGEVEVEMKIEEKIEQFICWVEKHPNKKSQICLSFYEVKNKQASWFSNRIERLYWEQWYINLNVAQHLKSHSSKSHHSKVADPREGASEDRNARSAALEVSLRGVLLQIIKFVDEKKDHVPPIPNLEGAISFPYEITIPSSSDSAFGMDIIKRMLQTGHPTMLS >CAK8539491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514086306:514096953:-1 gene:gene-LATHSAT_LOCUS8580 transcript:rna-LATHSAT_LOCUS8580-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFESHNLHLAGEGSTKFPPVLRPPYALPKFDFDESLQANSRFDSLVETEVFLGIESNEDNQWIDAFSRGGSSIEFNSTAAGSCSISRYGNVWSEATSSESVEMLLKSVGQGEYIPRQTVIQESDACDELACLAKQMDSNPKPDDRNEFTDNVTDLQPPGDTHISFSGSEKPAGIEHSQTGISQSHEGELSIEGSSGILESNDMFQNIDLPMSEHSPTFFTNDKFNITNQRETQTVADDSDHCETHDSSALLVETNITDLSIQNMVDEKQSPQQTESNNQNSESSMMKEEAVVDTLTLDQNAVDVDAHHPDRSIFAITPQDSLEGGSVVKELDAELSSLEDSMGTRTVAVSDMQKEERRSEDAKASENSVSFKNTVMDDQSISDTHTSPMVSAKDDLVSVGQVVEVSNYSYDVYPILQQTISDTRTSPMVSVKDDPVSVGQVVEVSNSSYEVCHNLQQTMDVTEKETYSVSNVLKENESSNIGGDRMDTGILSSKSEASMFPAEENIISVVSEGNNESRVGDFSGFSLVTSSTNSSIVSLSTQIRVNNESDKQSDLDKFGQDVSVNDEGNTNVRSDSSQMHSDVAKSHLCDKGVVSSPLSLSSLESELTTSTVSIDAKPVNISASQVISENISLTSCEIMNNPPPSESVSIHGPTGDNDPQRVTTVESSSAEVKEENAMKITEETGISALVGSSEQETAPYPPVKEIEKLHPSGTSGHLISHSMLDVGTHGAAILGEPQRTIDEKVTQECTKEVSVPPVLCESLETQSAGVTISVINNNKEILQETYDKSSPKELGDILLGNKDSISSPLPDSRVELPETGSFPASGICSPSNTFRSPSQTEKEENQGKASASTFRSPSQTDKEENQGKASANQNPAESVLKNSGIHNTLSTAQVLKRDTVSKDERSPSPEINVVVDLSKINTADLDTDVSKRQSALVITTNNPSIALAESPSTSGPGSSKTKTVANVSHGSPQISDGEVAFSASKATPKRKARQSSNKAAGKESARRGGRVKNASPAIQSEKGDISSKVSHSPSPGFKLMHSTEVQQYGHADSNSAKTFSLVNTSTSSSLPDLNTSASSPVLFHQPFTDLQQVQLRAQIFVYGALIQGTIPEEAHMISAYGVTDGGRNIWENVWRACMERQHSQKSHHVTPETPLHSRSVARTPDMSVKQSELQGKVTSSPLGRASSKATATIANPLMPLSSPLWSLPTLSCDSLQSSALARGSVVDYSQALTPLHPYQSPPPRNFLGHSTSWISQAPLRGPWIGSPTPAPDNSTNISASPTSDTVKLGSVKGSSLPPSSSITNVTPGPPASSARLQSIFVGTASQLDANNVTVPPSQHSSDPKPKKRKKAVPSEDLGQKYLQSLTPVVGSRASTSVAVATPVGNAPISSVEKSVVSVSPLADQPKNDPSVEKRILSDEFLLKVKEARVHAEEASADSAAAVNHSLELWNQLDKHKSSGFMSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAAFQAKLMADEALISSGCENSSQSNKVFLSESTSNLGQATPASILKGDNGPNSPGSFIGAAKEAIRRRVEAASAATKKAENMDAILKAAELAAEAVSQAGKIVTMGDPLPLIELIEAGPEGCWKASRESSREVGLLKEMTKDLVNIDIVRDVTETSHEQNRDISSSGISSSIMINENNSRGQKARNVSDLVKPVDMVPGSEPEIQAPSLTVRNESENLEETSFKEGSLVEVFKDEEGYKAAWFIANILSLKNGKAYICYTSLAAVEGPLKEWVSLECEGDKPPRIRTARPLTTLQPEGTRKRRRAAMGDYAWSVGDRVDAWIQESWREGVITEKNKKDETTLTVHIPASGETLVLRAWHLRPSLIWKDGQWVEFSKGAANSMQKGDTPHEKRPKLGSSDAVDVKGKEKMSKKVDVAESTNPDDLELLNLTENEKVFDIGKNTRSALQKEESKVIFGVPKPGKKRKFMEVSKHYVAHGSSRNDSAKDANSSIPQGSELRGWRNSSKNDTKEKFGADSKPKTKFGKPQGALGRVIPPRNPSVSNTKMAKDSSNHLKNASESEGQVERAPSTTDGATQVPIVFSSLPTSTDTLRTKRTLTSRASKGKLALAGDKLRKGGGEKASIDKPTKSTSESDVHEPRRSNRRIQPTSRLLEGLQSSLIASKIPSISHNRNIPKGNNHQG >CAK8539493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514086306:514096953:-1 gene:gene-LATHSAT_LOCUS8580 transcript:rna-LATHSAT_LOCUS8580 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFESHNLHLAGEGSTKFPPVLRPPYALPKFDFDESLQANSRFDSLVETEVFLGIESNEDNQWIDAFSRGGSSIEFNSTAAGSCSISRYGNVWSEATSSESVEMLLKSVGQGEYIPRQTVIQESDACDELACLAKQMDSNPKPDDRNEFTDNVTDLQPPGDTHISFSGSEKPAGIEHSQTGISQSHEGELSIEGSSGILESNDMFQNIDLPMSEHSPTFFTNDKFNITNQRETQTVADDSDHCETHDSSALLVETNITDLSIQNMVDEKQSPQQTESNNQNSESSMMKEEAVVDTLTLDQNAVDVDAHHPDRSIFAITPQDSLEGGSVVKELDAELSSLEDSMGTRTVAVSDMQKEERRSEDAKASENSVSFKNTVMDDQSISDTHTSPMVSAKDDLVSVGQVVEVVEVSNSSYEVCHNLQQTMDVTEKETYSVSNVLKENESSNIGGDRMDTGILSSKSEASMFPAEENIISVVSEGNNESRVGDFSGFSLVTSSTNSSIVSLSTQIRVNNESDKQSDLDKFGQDVSVNDEGNTNVRSDSSQMHSDVAKSHLCDKGVVSSPLSLSSLESELTTSTVSIDAKPVNISASQVISENISLTSCEIMNNPPPSESVSIHGPTGDNDPQRVTTVESSSAEVKEENAMKITEETGISALVGSSEQETAPYPPVKEIEKLHPSGTSGHLISHSMLDVGTHGAAILGEPQRTIDEKVTQECTKEVSVPPVLCESLETQSAGVTISVINNNKEILQETYDKSSPKELGDILLGNKDSISSPLPDSRVELPETGSFPASGICSPSNTFRSPSQTEKEENQGKASASTFRSPSQTDKEENQGKASANQNPAESVLKNSGIHNTLSTAQVLKRDTVSKDERSPSPEINVVVDLSKINTADLDTDVSKRQSALVITTNNPSIALAESPSTSGPGSSKTKTVANVSHGSPQISDGEVAFSASKATPKRKARQSSNKAAGKESARRGGRVKNASPAIQSEKDSNSAKTFSLVNTSTSSSLPDLNTSASSPVLFHQPFTDLQQVQLRAQIFVYGALIQGTIPEEAHMISAYGVTDGGRNIWENVWRACMERQHSQKSHHVTPETPLHSRSVARTPDMSVKQSELQGKVTSSPLGRASSKATATIANPLMPLSSPLWSLPTLSCDSLQSSALARGSVVDYSQALTPLHPYQSPPPRNFLGHSTSWISQAPLRGPWIGSPTPAPDNSTNISASPTSDTVKLGSVKGSSLPPSSSITNVTPGPPASSARLQSIFVGTASQLDANNVTVPPSQHSSDPKPKKRKKAVPSEDLGQKYLQSLTPVVGSRASTSVAVATPVGNAPISSVEKSVVSVSPLADQPKNDPSVEKRILSDEFLLKVKEARVHAEEASADSAAAVNHSLELWNQLDKHKSSGFMSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAAFQAKLMADEALISSGCENSSQSNKVFLSESTSNLGQATPASILKGDNGPNSPGSFIGAAKEAIRRRVEAASAATKKAENMDAILKAAELAAEAVSQAGKIVTMGDPLPLIELIEAGPEGCWKASRESSREVGLLKEMTKDLVNIDIVRDVTETSHEQNRDISSSGISSSIMINENNSRGQKARNVSDLVKPVDMVPGSEPEIQAPSLTVRNESENLEETSFKEGSLVEVFKDEEGYKAAWFIANILSLKNGKAYICYTSLAAVEGPLKEWVSLECEGDKPPRIRTARPLTTLQPEGTRKRRRAAMGDYAWSVGDRVDAWIQESWREGVITEKNKKDETTLTVHIPASGETLVLRAWHLRPSLIWKDGQWVEFSKGAANSMQKGDTPHEKRPKLGSSDAVDVKGKEKMSKKVDVAESTNPDDLELLNLTENEKVFDIGKNTRSALQKEESKVIFGVPKPGKKRKFMEVSKHYVAHGSSRNDSAKDANSSIPQGSELRGWRNSSKNDTKEKFGADSKPKTKFGKPQGALGRVIPPRNPSVSNTKMAKDSSNHLKNASESEGQVERAPSTTDGATQVPIVFSSLPTSTDTLRTKRTLTSRASKGKLALAGDKLRKGGGEKASIDKPTKSTSESDVHEPRRSNRRIQPTSRLLEGLQSSLIASKIPSISHNRNIPKGNNHQG >CAK8539492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514086306:514096953:-1 gene:gene-LATHSAT_LOCUS8580 transcript:rna-LATHSAT_LOCUS8580-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFESHNLHLAGEGSTKFPPVLRPPYALPKFDFDESLQANSRFDSLVETEVFLGIESNEDNQWIDAFSRGGSSIEFNSTAAGSCSISRYGNVWSEATSSESVEMLLKSVGQGEYIPRQTVIQESDACDELACLAKQMDSNPKPDDRNEFTDNVTDLQPPGDTHISFSGSEKPAGIEHSQTGISQSHEGELSIEGSSGILESNDMFQNIDLPMSEHSPTFFTNDKFNITNQRETQTVADDSDHCETHDSSALLVETNITDLSIQNMVDEKQSPQQTESNNQNSESSMMKEEAVVDTLTLDQNAVDVDAHHPDRSIFAITPQDSLEGGSVVKELDAELSSLEDSMGTRTVAVSDMQKEERRSEDAKASENSVSFKNTVMDDQSISDTHTSPMVSAKDDLVSVGQVVEVVEVSNSSYEVCHNLQQTMDVTEKETYSVSNVLKENESSNIGGDRMDTGILSSKSEASMFPAEENIISVVSEGNNESRVGDFSGFSLVTSSTNSSIVSLSTQIRVNNESDKQSDLDKFGQDVSVNDEGNTNVRSDSSQMHSDVAKSHLCDKGVVSSPLSLSSLESELTTSTVSIDAKPVNISASQVISENISLTSCEIMNNPPPSESVSIHGPTGDNDPQRVTTVESSSAEVKEENAMKITEETGISALVGSSEQETAPYPPVKEIEKLHPSGTSGHLISHSMLDVGTHGAAILGEPQRTIDEKVTQECTKEVSVPPVLCESLETQSAGVTISVINNNKEILQETYDKSSPKELGDILLGNKDSISSPLPDSRVELPETGSFPASGICSPSNTFRSPSQTEKEENQGKASASTFRSPSQTDKEENQGKASANQNPAESVLKNSGIHNTLSTAQVLKRDTVSKDERSPSPEINVVVDLSKINTADLDTDVSKRQSALVITTNNPSIALAESPSTSGPGSSKTKTVANVSHGSPQISDGEVAFSASKATPKRKARQSSNKAAGKESARRGGRVKNASPAIQSEKGDISSKVSHSPSPGFKLMHSTEVQQYGHADSNSAKTFSLVNTSTSSSLPDLNTSASSPVLFHQPFTDLQQVQLRAQIFVYGALIQGTIPEEAHMISAYGVTDGGRNIWENVWRACMERQHSQKSHHVTPETPLHSRSVARTPDMSVKQSELQGKVTSSPLGRASSKATATIANPLMPLSSPLWSLPTLSCDSLQSSALARGSVVDYSQALTPLHPYQSPPPRNFLGHSTSWISQAPLRGPWIGSPTPAPDNSTNISASPTSDTVKLGSVKGSSLPPSSSITNVTPGPPASSARLQSIFVGTASQLDANNVTVPPSQHSSDPKPKKRKKAVPSEDLGQKYLQSLTPVVGSRASTSVAVATPVGNAPISSVEKSVVSVSPLADQPKNDPSVEKRILSDEFLLKVKEARVHAEEASADSAAAVNHSLELWNQLDKHKSSGFMSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAAFQAKLMADEALISSGCENSSQSNKVFLSESTSNLGQATPASILKGDNGPNSPGSFIGAAKEAIRRRVEAASAATKKAENMDAILKAAELAAEAVSQAGKIVTMGDPLPLIELIEAGPEGCWKASRESSREVGLLKEMTKDLVNIDIVRDVTETSHEQNRDISSSGISSSIMINENNSRGQKARNVSDLVKPVDMVPGSEPEIQAPSLTVRNESENLEETSFKEGSLVEVFKDEEGYKAAWFIANILSLKNGKAYICYTSLAAVEGPLKEWVSLECEGDKPPRIRTARPLTTLQPEGTRKRRRAAMGDYAWSVGDRVDAWIQESWREGVITEKNKKDETTLTVHIPASGETLVLRAWHLRPSLIWKDGQWVEFSKGAANSMQKGDTPHEKRPKLGSSDAVDVKGKEKMSKKVDVAESTNPDDLELLNLTENEKVFDIGKNTRSALQKEESKVIFGVPKPGKKRKFMEVSKHYVAHGSSRNDSAKDANSSIPQGSELRGWRNSSKNDTKEKFGADSKPKTKFGKPQGALGRVIPPRNPSVSNTKMAKDSSNHLKNASESEGQVERAPSTTDGATQVPIVFSSLPTSTDTLRTKRTLTSRASKGKLALAGDKLRKGGGEKASIDKPTKSTSESDVHEPRRSNRRIQPTSRLLEGLQSSLIASKIPSISHNRNIPKGNNHQG >CAK8532984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:560397287:560399322:-1 gene:gene-LATHSAT_LOCUS2637 transcript:rna-LATHSAT_LOCUS2637 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLETQNPQLRKDPIYNRWVIFSPARSKRPTDFKSKSPSNPNPNTTCPFCIGNEHQCAPEIFRVPPDDPNWKIRVIQNLYPALSRDLVDPVDVPSGSVLDGFGFHDVVIETPVHSVQLCDLSREEIGEVFVAYTRRIQQLVNRRSIKYVQVFKNHGAAAGASMTHSHSQMIAMPVIPPTVSTRLGSMKDFYDQTGKCSICEIQRDDLLIDSSTYFFSLVPYAASFPFEIWIVPRYHSAHFHELDAQKAVDLGGLLKQMLRKISLQLNDPPFNFMIHTSPLHGDESELVYTHWFIQIVPQLIGTAGFELATGCYINPVFPEDAAKVLREVNVPESE >CAK8544966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715111565:715114097:-1 gene:gene-LATHSAT_LOCUS13603 transcript:rna-LATHSAT_LOCUS13603 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIHNHVALFSFIIIFHLVSSTLGDQNSNNNYIIHMNLSAMPKPFSNQQSWYLATLSSLLDITSNQVTTNNDNLNYISSKKLTYTYTNVMNGFSAILSPLELEALKTIPGYISSIKDFPVKPDTTHSPQFIGLNPISGTWPTTRYGKNVIIGLIDSGIWPESESLKDDDMPNIPSRWKGQCENGTQFDSSLCNKKLIGARFFNKGLLANNPNITISMNSTRDIDGHGTHTSTTAAGSKVEGASYFGYASGSAIGVAPQAHVSMYKVLWEEGAYTSDTIAAIDSAITDGVDVLSLSLGFDNAPLYEDPVAIATFAAMEKNIFVSTSAGNRGPVLETLHNGIPWVITVAAGTLDREFHGDLTLGNGAVVTGLSLYPGKFSSEKFPMIFMNSCDDLKKLIKARNKIVVCEDKNRTLGAQTDNLNRAKVVGGVFISNSNEDITYFIQTKFPSIFLNPINGELVKDYIKCNPNNPKTSMKFNTTILGTKPAPSVDSYSSRGPSHSCPFVSKPDVTAPGTLILASWPQNVPATKLQTQSNLFNNFNLLSGTSMSCPHIAGVAALLKEAHPNWSPAAIRSAIMTTSNMLDNTKELIKDIGNDNKPASPLALGSGHVNPNRALDPGLVYDAAKQDYVNLLCALNFTHKNIMAITRSSSIHCSNSSLDLNYPSFIAFFNNATVHESKAITQEFQRTVTNVGEEQSIYVANITPIEGFHVSVVPNKLVFKEKNEKVTYKLRIEGLRMEENNKVVFGYLSWTDSKHVVRSPIVVTSINSELTPP >CAK8539362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510165969:510166742:-1 gene:gene-LATHSAT_LOCUS8465 transcript:rna-LATHSAT_LOCUS8465 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDIYTFDISFNLPNIATYDPFIHVLHEDFNNSPHPFSFSSSITNNHLVPLTNLEDYHNNTLMDSSNFQWQELNSSENSFFTGQIRRASSAGDSQTEEANLLKVGRYSVEERKQKISKYRAKRKQRKFNKIIKYECRKTLADNRTRIRGRFARNDETSEIPKTPSSKIKEYEDELWVDLIEGLNEDHTACFYLS >CAK8563885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636860092:636872857:-1 gene:gene-LATHSAT_LOCUS17247 transcript:rna-LATHSAT_LOCUS17247 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEDWDFSAVDLDSLERDAYQKIAQLRSHHPPPPSNPSPASHFQRVDALPQASRTLPPSLKPVTNNTNKNEQSKELIKASVKFFLHSSGNIAAKFQYDQVIVAAFRRIPKSTWNAKERLWLFPLSSLSEAEKVLREISSYNVQVENLDPLVQRAIAAATSVPDLRDRYDKIPDYVETKLLPFQRDGIRFILQHGCRAFLADEMGLGKTLQAIAVAACVQDSWPVLVLTPSALRLQWASMIQQWLNIPSSDILVVLSQSGGSNRGGFNIVSPPSAKNSIHLDGLFNIISYDMVPKLQNTLMGSDFKVVIADESHFLKNAQAKRTTASLPVIKKAKYAILLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGVFGLYQGASNHEELHNLMKATSMIRRLKNDVLSELPVKRRQQVFLDLADKDMKQINILFRELERVKLKIKAANSQEEVESLKFAEKNLINKIYTDSAEAKIPAVLDYVGTVIEAGCKFLIFAHHLSMIDAIHEFLLKKKVGCIRIDGGTPSGSRQQLVTEFQEKDSIKAAVLSIKAGGVGLTLTAASTVLFAELSWTPGDLIQAEDRVHRIGQVSSVNIYYLLANDTVDDIMWDVVQSKLDNLGQMLDGHENTLKVSDDPPPLRSPAKQKTLDNFIRRCDSSTSGLEHQSSPKRPRY >CAK8573725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633150568:633152912:1 gene:gene-LATHSAT_LOCUS26134 transcript:rna-LATHSAT_LOCUS26134 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPRFDKATTSMISQCQLLAFFLIFNFINSLSHPLDPLSPNEINKTRHIIQQSYLGDIPNIIYHFVDVEEPNKNNVLKWLSSTTTKEKPIIIPRQAKVVVRAKGETHELVVDLTKGSIVSDEIFKGHGYPPFAFNELFKASKLPLKYPKFIESITKRGLNLSEISCVPFTIGWYGEEITRRALKVSCFYRGESVNIWARPIEGITLLVDVDSMKIVLYNDRYKVPMPKAEGTNFQSTTKNRPKIFATCNKSNIGFTIKDHEVKWGNWKFHVGFNARAGMIISTASMYDEEKQKFRSVMYRGHVSETFVPYMDPTLEWYFKTFMDVGEFGFGRAADSLQPKVDCPGNAVFMDGFMAGPNGEVQQVPRAICIFERYSGNVAWRHMEINNPTKLIRDGEADISLVVRMIATVGNYDYVLDWEFLKSGSIKVGVALTGVLEMKAVSYTDKSQIKERVFGTLVAKNTIANYHDHLITYYIDLDIDDNANSFINAKLQKVKANGFGTPRKSYWTVIKEPAKREAEARIRLGSDPTELLIINPNKMTKLGNEVGYRLISGQPVSSLLSDDDYPERRASYTKYQVWVTAYNRSERWAGGFYGDRSRGDDGLAVWSRKNREIENKDIVMWHTIGLHHVPYQEDFPVMPSVHGGFELRPANFFESNPLV >CAK8573726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633150568:633152912:1 gene:gene-LATHSAT_LOCUS26134 transcript:rna-LATHSAT_LOCUS26134-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPRFDKATTSMISQCQLLAFFLIFNFINSLSHPLDPLSPNEINKTRHIIQQSYLGDIPNIIYHFVDVEEPNKNNVLKWLSSTTTKEKPIIIPRQAKVVVRAKGETHELVVDLTKGSIVSDEIFKGHGYPPFAFNELFKASKLPLKYPKFIESITKRGLNLSEISCVPFTIGWYGEEITRRALKVSCFYRGESVNIWARPIEGITLLVDVDSMKIVLYNDRYKVPMPKAEDHEVKWGNWKFHVGFNARAGMIISTASMYDEEKQKFRSVMYRGHVSETFVPYMDPTLEWYFKTFMDVGEFGFGRAADSLQPKVDCPGNAVFMDGFMAGPNGEVQQVPRAICIFERYSGNVAWRHMEINNPTKLIRDGEADISLVVRMIATVGNYDYVLDWEFLKSGSIKVGVALTGVLEMKAVSYTDKSQIKERVFGTLVAKNTIANYHDHLITYYIDLDIDDNANSFINAKLQKVKANGFGTPRKSYWTVIKEPAKREAEARIRLGSDPTELLIINPNKMTKLGNEVGYRLISGQPVSSLLSDDDYPERRASYTKYQVWVTAYNRSERWAGGFYGDRSRGDDGLAVWSRKNREIENKDIVMWHTIGLHHVPYQEDFPVMPSVHGGFELRPANFFESNPLV >CAK8576477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481966050:481968711:-1 gene:gene-LATHSAT_LOCUS28660 transcript:rna-LATHSAT_LOCUS28660 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRREREGPLMRNNPHSLRKSKIVTAVVIGVLIGCVLAFFFPNGFFVSSSIASNPRRPVVRSKTQEKSAECESSDRVNMLKSEFVAVSDKNAELKKQVRELTERLRQAEQGEDQAQKQFLALGKQEKAGPFGTVKGLRTNPTVLPDESVNPRLAKILEKLAVKREIIVALANNNVKEMLEVWFTNIKRVGIPNYLVVALDDEISKFCESNQVPFYKRDPDDGIDTIGKDGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFNHLYRDSDVESMSDGHNNMTAYGFNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVATRLSNEKAWDQAVFNEELFYPSHPGYDGLHAARRTMDMYLFMNSKILFKTVRNDAHLSKLKPVIVHVNYHPDKLPRMKAVVEYYVNGKQDALKPFPEGSD >CAK8537903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445904375:445906986:-1 gene:gene-LATHSAT_LOCUS7153 transcript:rna-LATHSAT_LOCUS7153 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTVNSTINAITTNGTIWICEEASKNPRSRGIFFSDNPFSYTLPVLFVQISLVSTLTAILQFLLEPMGETRFFAQMLAGMMLGPSVIGQSAFLQKWLFPPKTFYISQTIAFFGGMMFMFLIGVKIDVSLVAKSGKKAWAIGILSFLIPLLFSVIIFYFAKNTIPPDHPLYSSLLSITFIFSSGSFHVTTIHLADLKLLNSEMGRLAISSSMVSGTISLALVSMVLTQKKIFNIDTTYQLMGTSLLFMITLIVCVLRPIMCWMIRQTPEGRQMKESHILLVFLMLFGCAFFSEVIGQHVLILPIIFGIAVPEGPPLGTALTDRLDTLVSNIFLPLYFLYSGSRFNMFLIEGDTFVIVQLLAIGGFLGKVIGTILPSIFWKMPMTDSISLGLLMSAQGITHLFYLQAGLNITIDEQSYGNALIALLWLTGVTTPIVKFLYDPSKKYLCLNRRGTIEQYSQDIELRLMSCIHSQETTPSMINLLEISNPSLEYPICFYVLHLIQLRGRSTPVFIDHQWTNKKNQLHKKDSEHIINAFRSYEQQKSNNVIVKLYTSISPYETMHDEICMQVAEKRVCLLIVPFHRQWKSNGITESTHPIRALNRQLLMTAPCSVGILIERGALSISNPQTNVTFYSVGIVFIEGNDDREALAYAMRMANNPIVRVTLVRLMEPRKKNKNLMNRDPDGDLIHKFKVDCIQIKRHDYKEEVVRDSVEMINVLRSLEGCYDLILVGRRHATESSLFIGLTDWNEYPELGPIGDILVSSDSTFDGSVMVIQQQKRSGIGYHDLNLDYGALPKQEQLTIMEVPRDRKVWPIV >CAK8538540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486739397:486746122:1 gene:gene-LATHSAT_LOCUS7728 transcript:rna-LATHSAT_LOCUS7728 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLQPQIILLKEGTDTSQGKPQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGAVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLREAKPFIEDGVHSQNLIRSYRTACNLAIEKIKELAVSIEGKSLEEKKNLLGKCAATTLSSKLIGGEKEFFASMVVDAVVAIGTDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFHDPKILLLNVELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDRCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLKRVAAATGGTVQTSVNNIIDEVLGTCETFEEKQVGNERFNIFNGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALASGEGAPFGVDIATGGIADSFANFVWEPAVVKINAINAATEAACLVLSVDETVKNPKSESAQGEAAASAMGGRGRGGGFRGRGRGMRR >CAK8537862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442581966:442582742:-1 gene:gene-LATHSAT_LOCUS7115 transcript:rna-LATHSAT_LOCUS7115 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKKSVPLPPLSLVVSTPVSQSVLRSHNVSKNLSTEENVGIKYLGETSDAPEDGSIAKKSNEIELEKPRSTPTPEEGRKLWADVLKDNRNPAKGRVMKFIPPQVVEGKIEVQIEEDDVSSEIKFWESSLILYAMGADLSMNAVKNFMTRTWNFVQLPDMYFNDEGHFILRFKSFTDRDEVMLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPICVKLPQLPMVLWGDTSLNKIGSALGKPIMTDECTANRL >CAK8571406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:400793612:400795213:-1 gene:gene-LATHSAT_LOCUS24073 transcript:rna-LATHSAT_LOCUS24073 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETVNMTWLGGIWPKSGSDEKDGVGIMAFEVAGLMSKVVNFWHSLSDNEVMNLREWILNSVGVKMLVSDDEYFLMELTWNEILNNFQSLTQSVARLGKRCKDPIYQSYESFVHNPFENYAQWSGWEYRWKKMERKVKKMERFVASMSLLSQEIEVLAECEQTLRRMKMNRYRDVVNKTKLVEFEKKVMWQKNQVQNVRDLSPWSRSYDYVVRLLARSLFTILERIILVFGNSHLPIENQQNDSSISMNNSINRLGRNHSFPSLNVMQSSVHPSETNLNEHSSGPIGRKSKSKSKKKKKEQQVLHSQDSYERLLPLEGKQLKYIGSFKGCILVRNDSHGCSTRKNIDVNTKSILDKPSLFLRSRVYSKLSLKEKLKPVPSTLGDAGLALHYANVIVLIEKIVSSPRTNKINLRMRDDLYDKLPTTIRTALRGKLKWYATVNGDNLEVEWNVVITQILEWLAPLANNMVKWHCERNFEKEHTCLKANVLLVQTLYYANQTKTEAAIVELLVGLHYVCRVDRKGYRLLKKELVV >CAK8562010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424979661:424983182:1 gene:gene-LATHSAT_LOCUS15548 transcript:rna-LATHSAT_LOCUS15548 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNNEGKAVDRSRLVPMSIIFVVLCGLSFYLGVLFGSENDKFVSIISQRSMDFPKGSLSSSKNSLKINYTSFPECGIDYQDFTPCTDPRRWKKYGSYRYILLERHCPPVFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINEQKSNQHWLKKEGEKFFFPGGGTMFPNGVGKYVDLMEDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHQAQVQFALERGLPAILGVLALHRLPFPSNSFDMAHCSRCLIPWTDYGGVYLLEIHRILRPGGFWVLSGPPINYERRWRGWDTTIEEQRSNYEKLQELLTSLCFKLYNKKDDIAVWQKSPDSNCYKNLTRDTYPPKCDDSVEADSAWYTPIRTCFIVPDPKFKKLGLKSISKWPDRLHSTPERVSMVYHGSPYTFKNEYSKWKKRITHYKKLIPEFGTDKIRNVMDMNTEFGGFAAALIDDPVWVMNVVSSYGPNTLPAIYDRGLIGTFHDWCEAFSTYPRTYDLLHLAGLFTEESHRCEMKYVLLEMDRILRPDGYAIIRESNYFLEAITTIANGMRWECRKEDTEQRGDTEKILICKKKLWYSSVKVSR >CAK8562011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424979664:424983182:1 gene:gene-LATHSAT_LOCUS15548 transcript:rna-LATHSAT_LOCUS15548-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNEGKAVDRSRLVPMSIIFVVLCGLSFYLGVLFGSENDKFVSIISQRSMDFPKGSLSSSKNSLKINYTSFPECGIDYQDFTPCTDPRRWKKYGSYRYILLERHCPPVFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINEQKSNQHWLKKEGEKFFFPGGGTMFPNGVGKYVDLMEDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHQAQVQFALERGLPAILGVLALHRLPFPSNSFDMAHCSRCLIPWTDYGGVYLLEIHRILRPGGFWVLSGPPINYERRWRGWDTTIEEQRSNYEKLQELLTSLCFKLYNKKDDIAVWQKSPDSNCYKNLTRDTYPPKCDDSVEADSAWYTPIRTCFIVPDPKFKKLGLKSISKWPDRLHSTPERVSMVYHGSPYTFKNEYSKWKKRITHYKKLIPEFGTDKIRNVMDMNTEFGGFAAALIDDPVWVMNVVSSYGPNTLPAIYDRGLIGTFHDWCEAFSTYPRTYDLLHLAGLFTEESHRCEMKYVLLEMDRILRPDGYAIIRESNYFLEAITTIANGMRWECRKEDTEQRGDTEKILICKKKLWYSSVKVSR >CAK8565634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296002850:296009241:-1 gene:gene-LATHSAT_LOCUS18829 transcript:rna-LATHSAT_LOCUS18829 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSIPLNIFFFHQTQTTPTSSFLHHQTVTFSRRKTTLKTACSGSQQNPQQQQSQQKKKPFNTNDTDSDGEKGYDPVGFLVKRGISHKTFAQFLRERYKALKDLKDEILKRHMNFKEMASGYELLGMHRHPEHRVDYMEWAPGARYCAIAADFNGWSATENCAREHYFGHDDYGYWFIILDDKLREGEEPDELYFQQYNYVDDYDKGDSGVTIEELFKKTNDEYWQPGEDRYIKNHFEVPAKLYEQMFGPNGPQTMEELGDIPDAETRYKEWAAKNGPSPYAVIDDGKNYDIFNVKVDPEWKERIRALKPPIEYWLETRKGRKAWLKKYIPGIPHGSKYRVYFNTSNGPLERVPAWATYVQPDLDGRQAYAIHWEPPPEEAYKWKNKSPKVPKSLRIYEAHIGISGSEPKISSFNDFADKTLPYIKEAGYNAIQLIGVVEHKDYFTVGYRVTNFYATSSRYGTPDDFKRLVDEAHGLGLLVFMEIVHSYAAADEMVGLSLFDGSNDCYFHSGKRGQHKFWGTRMFKYGDVDVLHFLLSNLTWWIEEYQIDGFQFHSLSSMIYTHNGFASFTGDLEEYSNQYVDKDALLYLIMANEILHVLYPNIVTIAEDATYYPGLCEPTSQGGLGFDYYVNLSAPEMWSTFLETVPDREWSMTKIVNTLVSKKEYADKMLLYAENHNQSISGRRSLAEVLFGEIDEHSKQYKESLLRGSSLHKMIRLITLTIGGRAYMNFMGNEFGHPKRVEFPMSSNDYSYSLANRQWDLLEKDGVHRDLFTFDKDMMKLDKNERVLSRGFPSFHHVNDSSMVISYIRGPLVFIYNFHPTDSYDSYTVGVEEAGEYELILNTDEIKYGGQGILKENQYFQRTISRRVDGHRNCIEVQLPSRTAQVYKLKRILRI >CAK8573345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604630982:604633299:-1 gene:gene-LATHSAT_LOCUS25804 transcript:rna-LATHSAT_LOCUS25804 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPENHLNNDDITEKEASQLAALLKEMKEGLDNVKRKIQTLTAKVKAQSSTVDGFSYLDAKNLLLLNYCQSLVYYLLRKAKGYSIEEHPVVRSIVEIRLFLEKIRPIDKKMQYQIQKVIKASESAASNANEKVPAASNKSEEDASKYRPNPGNLISKIQPTAQDGDGDDVYHPPKLVPTSMDIDKSSKQERNAIRRDREILKHAQQSDFIRRMVNDMEERPEEIHDFMGTSREVDKFARKFDERSRQEEEIFNRLPMTKQERKKEKYMKKATNGMQGLTENLFDEIKGLPFEDDTGEQTMGFKKGPRRNGKHGKLKKRKRKH >CAK8579186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682522573:682523382:1 gene:gene-LATHSAT_LOCUS31152 transcript:rna-LATHSAT_LOCUS31152 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYILEYEFNLIRRAIHQNHFIISMDTAFPDVIHSPKIDHRRLQPSDYYRYLKANVDDLKLIQVGLTLSDSKGNLPDFGSNNTYIWEFNFSDFDINHDLCNQDSVDMLRRQGINFERNICHGVDSMRFADLMLLSILVFNKSIIWVTFSSAYDFGYLVKNLTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMMKFCNSLYGGLEQVATILNVNRAVGKSHQAASDSLLMWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8540985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38970996:38979484:-1 gene:gene-LATHSAT_LOCUS9939 transcript:rna-LATHSAT_LOCUS9939 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFASHSYSDLPSAAMPSASGSSRPIRVIPMQHPNVASSSSLPPNVALSQWASKLRRMTALEWLEFFLPCYRWIRIYKWREYFQLDLMAGITVGVMLVPQSMSYAKLAGLQPIYGLYSGFVPIFMYAIFGSSRQLAVGPVALVSLLVSNVLGSVADTSSELYTELAILLALMVGILECVMGLLRLGWLIRFISHSVISGFTSASAIVIGLSQAKYFLGYDITRSSKIIPLVKSIIAGADKFSWPPFVMGSVMLAILLVMKHLGKSRKYLRFLRAAGPLTAVVLGTVFVKIFHPPSISLVGEIPQGLPKFSVPRAFEYAESLIPTAFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVSNVLGSFFSAYPTTGSFSRSAVNHESGAKSGVSGIVSGIIITCTLLFLTPLFESIPQSALAAIVISAVIGLVDYDEAIFLWRVDKKDFLLWTITSTMTLFLGIEIGVLVGVGASLAFVIHESANPHIAVLGRLPGTTVYRNVKQYPEAYTYNGIVIVRIDAPIYFANISYLKDRLREYEVVVDSSTRRGPEVERISFVILEMAPVTYVDSSAVQALKDLYQEYKLRDIQIAISNPNPDILLTLSKSGLVELIGKEWYFVRVHDAVQVCLQHVQSLKPGYESSQSSRSSSSEDKPSIFSQLFKQKESRATTDLESGNGRPPLAPIRDSQSEPLLPKDR >CAK8560881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51847380:51847691:-1 gene:gene-LATHSAT_LOCUS14521 transcript:rna-LATHSAT_LOCUS14521 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKDTYQASYRPVIYPTNSENLWEETLYPNILPPPLRRATERSKRRRNKDIYEKRKDTTTISRKELSNKCSMCGKSGHNKSSCPTSSTSRQLEQSQTQPIQP >CAK8562868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550994371:550998215:-1 gene:gene-LATHSAT_LOCUS16331 transcript:rna-LATHSAT_LOCUS16331 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQEIIDTFISITGVSPPVALQKLQEHGGSLNEAVNAFFSEGDRNLMTSSATFPQGDFMDIDDDPRDDGILRPPSLLSAARNLHPFSLLDPTIGRSIFDTHLSSSNQTPFVTHPREVREIPIEVKDGSQSTPQAGRTPIVEDVTGTVHAQGSDIHGSVVIVDDDDDDTPPAPTHTLPDSSVIPSAPNFENLPDSSNDIEEEMIRAAIEASKREAEENYSNHELGRQADLSESGPNPRQTFLEDPELAHAVSLSLKTAEQEKARRVQGDVGAPTAGPSKAPAVELGEVSSNGRLQAGSLSFKDEDEDEDVDVEEMPLVRNRSRNVSLGSTAPGKDADFIGSSTLPSTTLRESSSPPPQNEVSFPSDEWGGISSVEHDEAVMLEAAMFGGIPEGSGYRHAYAPHEFMQNRGFNPQPAPVAYRPPSPSLETQRLIREQQDDEYLASLQADREKELKAIEEAEAAREEERRKAEESQRKLQEEQELETHLAAKEASLPPEPASGDDNAVNLLVKMPDGSRRGRRFLRSDKLQSLFDFIDIGRQVKPSSYRLVRPYPRRAFGVGENAVTLEELGLTNKQEALFLELV >CAK8544955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714451185:714451505:1 gene:gene-LATHSAT_LOCUS13593 transcript:rna-LATHSAT_LOCUS13593 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEKSVKGFLVHGKKQESRGVRKGFLAIKVGQGEEDQERFVVPVIYFNHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRNIRGLIDREKSLHHNHHGGCFGF >CAK8541326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:121135486:121138533:-1 gene:gene-LATHSAT_LOCUS10255 transcript:rna-LATHSAT_LOCUS10255 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSFEYEQGVMEFLELAESNAKKNLAPPKSDAEKSLHLLFLCPCVRCANHEPKLNKKEIMDHLICHGICQSYTQWIWHGEVVAKSNVSQRDNVSAEMDDRLEDMMRDIGQDSFKKAHAYDTLCSDKDKPLYPGCTNFTRLSVVLKLFNLKANNGWTDKSFSELLELLTQMLPEGNVMPNRYYEAKKILCPMGLEYEKIHACPNDCILYRKEYVNYNHCPKCKASRYKKNAGDSSDDEAVKKVPPAKVVWYLPIISRFKRLFANANDAKNLRWHAEERKCDGKICHVADSLQWKKIDVLFPNFGKESRNLRLGLSTDGMNLFGNLSTNHTCWPVLLMIYNLSPRLCMKRKYIMLSMMISGPKQPGNDIDVYLSPLIDDLKVLWEEGVDVFDAYSGEQFNMCAMLFCTINDFPAYGNLSGYKVKGHRACPICEKDTCYHQLVKGKKTVYLGHRKFLDRYHPYRRLRKAFNGEQEHGVAPKPLTGEEVYQRQQGINVVFGKYQKQYTVKNIWKKRSVFFNLPYWSSLDVRHCIDMMHVEKNVCDSLIGTLLNIQGKTKDGYNARLDLSLMGIREELIGLKSHDCHVLMQQLLPVAIRGILPNNVRKTITRLCLFFNAICCKAIDPLKLENLENESAVILCQLEMYFPPSFFDIMVHLIVHLVREIRLCGPIYLRWMYPIERYMKILKGYTKNPHRLEASIVERYIAEEAIEFCSNYLSEVDAVGVPKSRHDGRCEGVGTQGLKVKRLSIDVVLRAHLYILNNTDEVQPYLSAHKSIIKKKYPKMNERWLLKEHNKSFSEWFKEKISNEDSASNTIKWLSYEPKCNIITWSGYDINKTCFCTKSKDDRSTTQNSRVMIMAESMHFSSAKDENPVMASTPYFGVIEEIWEVDYVVFKVPIFKCKWIDINSGVRIDEFGVTSVDLSKLAYADEPFIMASQAKQVFYVTDPSNKRWSVVIQGKVHDSDENQDANLDISETPPFSMNVPTFVEENEEDDVHAIRIDHEEGIWED >CAK8535118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815173889:815178778:1 gene:gene-LATHSAT_LOCUS4595 transcript:rna-LATHSAT_LOCUS4595 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPENLPIPETILYTALSPLPLAADDSLDSDNHGQYSVFRNEISLNTPQVNSVYSTAQDFFSLDVAGEAEDALPEPVTPVEPKTPVLGPEPTLESGWFRGNCKFRSPMLQLHKEIVDFCEFLSPTPEEKAKRDSAIESVFEVIKHIWPHCQVEVFGSFRTGLYLPTSDIDVVILKSGLPNPQIGLNAISKSLSQRSMAKKIQVIGKARVPIIKFVEKRSGLSFDISFDIDNGPKAAEYIQEAVAKWPQLRPLCLILKVFLQQRELNEVYSGGIGSYALLTMLMAVLRNVRQSQTSTEHNLGLLLVHFFDFYGRKLNTSDVGVSCNGAGTFFRKISKGFYNKGKPFLLGIEDPQTPENDIGKSSFNYFQVRSAFLMAFTTLTNPKVILSLGPNRSILGTIIRPDPVLMERKGGSNGEITFNSLLPGAGEPVQQQFGENDMLCNWQLDYEEEPLPRGDGDNTIAEPSAHSSRKKRKSASKDENNSEKKPKENGHSRKIKNEENGALTENGVHKKHKKKRGKHFRFRSEASY >CAK8538942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499888183:499891990:-1 gene:gene-LATHSAT_LOCUS8087 transcript:rna-LATHSAT_LOCUS8087 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSTGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLADTDSRSEPQTIKINQQDPAANGGQAATKSACCG >CAK8576693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:506768192:506768494:-1 gene:gene-LATHSAT_LOCUS28866 transcript:rna-LATHSAT_LOCUS28866 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLPLMMQAKKHILCRTLSRGKKVLSANSNIPKGYLAVYVGEEKKKRFVVPISYLHQPAFQQFLCKAEEEFGFNHPMGGLTIPCREEVFVNVTSQLEP >CAK8571689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462013324:462014280:-1 gene:gene-LATHSAT_LOCUS24328 transcript:rna-LATHSAT_LOCUS24328 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKTYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTIHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLINWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTQGDLEINFTSKRITRIPRSLSARHSVSEFYTAPRQLPRPSTSQIREEIEAVENIRLGENRIPRGIYQKPHTPRVESPAQSDMDFQL >CAK8575063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25511151:25511375:1 gene:gene-LATHSAT_LOCUS27354 transcript:rna-LATHSAT_LOCUS27354 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYARIEDEKVEIERKKIDAKIKKSENGEERLKMNDLQTLSKDTSNMDTRQLKAHEMLCDMIREKYGFN >CAK8578039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603380654:603382684:-1 gene:gene-LATHSAT_LOCUS30083 transcript:rna-LATHSAT_LOCUS30083-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLHTPFTHIPYNHYSLFRSNSVVDYASTFPHYLSKEPIPKLTFASSSSSLLPTVVDNGSHNIFISSNEQDSKEENEFVDEKETARRTRIGLANKGKVPWNKGRKHTSETRELIRIRTSEAMRDPKVKKKKAQQIHSHHSEQTKAKMSDTQRRLWKERQKSKRARELFFLLWKQNIANAAMEGGSGQEELGWDSYDKIKEQLELHRISQTEGKEKEELMAIAGSEKFFQSWMENIAKAAKEGGSGEKELDWDSYEKIKEEIVLLYQLQRKSEKARAKEIAKMKAEKEAQIKAIKKVMLARKKRDLREGTKVRKKKKSQPCKNTVHDKHALEVTLKFELGSKLTKNHVSNNISNEVAEKGDIMNSNFATQCKLDLELIIKEKMKKKVSLAEQIQAAKLIKEKLN >CAK8578038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603380654:603382696:-1 gene:gene-LATHSAT_LOCUS30083 transcript:rna-LATHSAT_LOCUS30083 gene_biotype:protein_coding transcript_biotype:protein_coding MFISMSVLHTPFTHIPYNHYSLFRSNSVVDYASTFPHYLSKEPIPKLTFASSSSSLLPTVVDNGSHNIFISSNEQDSKEENEFVDEKETARRTRIGLANKGKVPWNKGRKHTSETRELIRIRTSEAMRDPKVKKKKAQQIHSHHSEQTKAKMSDTQRRLWKERQKSKRARELFFLLWKQNIANAAMEGGSGQEELGWDSYDKIKEQLELHRISQTEGKEKEELMAIAGSEKFFQSWMENIAKAAKEGGSGEKELDWDSYEKIKEEIVLLYQLQRKSEKARAKEIAKMKAEKEAQIKAIKKVMLARKKRDLREGTKVRKKKKSQPCKNTVHDKHALEVTLKFELGSKLTKNHVSNNISNEVAEKGDIMNSNFATQCKLDLELIIKEKMKKKVSLAEQIQAAKLIKEKLN >CAK8536411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939140576:939140923:1 gene:gene-LATHSAT_LOCUS5782 transcript:rna-LATHSAT_LOCUS5782 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEEIVHKLASLLLVFLGGMYIVLFLMGKGGHSHSHNQPMKKMAVAGLILVPALSPCTTTLPVFLAVGKSSFMMVLAIIVLLFSTISMITSLVALSFYGASQLKFHWVER >CAK8534128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697619364:697619879:-1 gene:gene-LATHSAT_LOCUS3696 transcript:rna-LATHSAT_LOCUS3696 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKEDAIKANTHAITVFDRHRQTFSVQEIMDHNEGRPNLSYAVSLNRCWCDCGKYQAFRLPCSHVIAECAHARQDAYGYLSDVYKVINVMNAYNEGFAVLPIKDYWPPYQGDIVWHNDDMRRKKKGRPNSKHIRTEMDTTDKMIRLCSICRQPGQNRKKCPYVGGTSAS >CAK8544618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696011072:696011281:-1 gene:gene-LATHSAT_LOCUS13277 transcript:rna-LATHSAT_LOCUS13277 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVHPQKQWISYVTQSGRLMHVMMTKIHPVGKVYHFRAKRQMAESLGQIAKFRRRFGLENPEAPEKK >CAK8538834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497404374:497406422:-1 gene:gene-LATHSAT_LOCUS7990 transcript:rna-LATHSAT_LOCUS7990 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKIKRVPTVLSNYQKEEGSEAPRSVGGCGRNCLKACCIQDAKLPLYAFKKTDKVGGKDLVVEKCQEELPFAFLDSLVLGEWEDRVQRGLFRYDVTACETKVIPGEYGFVAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFHPNAPIDVDNSPSFVAINVSPIEYGHVLLIPRIFECLPQRIDHESLLLALHMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPIEKAPTKKIATLNGGVKMSELLKYPVRGLVFEGGDTLEDLSKVVSDACISLQNNNIPYNVLISDCGTQVFLLPQCYAEKQALGEVNAELLDTQVNPAVWEISGHMVLKRKKDFDEASEANAWRLLAEVSLSEERFQEVNALIFEAIAFTEESDDNPQYLPKDDGVNSVDPSRYPAVVAGSQECVVLQ >CAK8578493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632593415:632595532:1 gene:gene-LATHSAT_LOCUS30499 transcript:rna-LATHSAT_LOCUS30499 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRGLNNVGKIREIRSRLQELKPAIIILIGTRVKEAKAKAVREKLMIYNKHIDNYKDHTNGRIWIHWDCNRVEVRLIQSSSQFIHCGVYDNCGGFKYWLTVVYAHNQLNKRRSLWKEIEHLSINIQGPWCVVGDYNNVTKAQDRLGGNVVTEKEYEDLIKMMWNIDLSEMDSIGDHFTWSNKQAASPIYYRIDRVLGNTDWFMNNMEIMLKILPPNISDHAMLYLEKKQEQRKPFKHFKFSNCLTDLPDYDTVIKKSWDTHIRGSPMYVLWHKLKRLQHELKQFSKPLSDIKRKLIAARANLKETQGKLTEDRLNITLVEQAKKRTEEVISMNELEWKILQQREKIDWIRKGDGNNHYFYAAIKGRQHTNCLTNLRTKDGRHLTTKPDIEEEVIKFYRNLMGKEVDCINHIDIEAMRMGKQLNMEQREHLTRPISEVDITKALKGIGDLKTPGMDGYGAKFFKAGWEIVKTDVIAAVQEYFETGRIYKPFNSVVVSLIPKDHTACEIKDYIPIAMCTTFYKIISKILTGRLIAVLHSVVSHNQAAFVPGQNIHNHIMLVTELIKGYNRKGGTHMIMLQIDLQKAYDMVNWTALKCIMKEMGFSNKFIHWIMLGITTLSYKFNIMGEYTESLQAKRGIRQGDPLSPMLFVLMMEYMNRLLMKMQKDPNFNYHAKCEKLQIKISHSQMMCCCFVEVMKYLCK >CAK8561902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:407675294:407676533:1 gene:gene-LATHSAT_LOCUS15450 transcript:rna-LATHSAT_LOCUS15450 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVLWIPQDISEALAVEWAVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWAAAALVTLYRYLGDASMFSCKHLGGYPTLLHCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGALKVDDLRPILDELTPADVIWLPFENHRVWRQFDELCLYRGCLRWGDTIVPYMPDRCMRYFGYRKYVPHPPLDSRMAGDIDVDWISYHQSVQNVIRSKAPTTTPYEIDDGYLEWYYRVSHPRLVPPSVDATTEMPVPGYEAGPSDPIVARMSSFIHRYLQQTGAEEDDPQFADLFEALHLGLSQ >CAK8567605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528349225:528349641:1 gene:gene-LATHSAT_LOCUS20642 transcript:rna-LATHSAT_LOCUS20642 gene_biotype:protein_coding transcript_biotype:protein_coding MSARNKKMNIIHIDTEEGRVEGVLEVKGCIKRYFEKSYKEDIDAHMVLTGIDFKDLLVEDNVMLEKSFSLEELKDAIWDCDGDKTPGPNGFSLEFFKKGWDFLNEDLMNFLNEFYISGKLLKALTGSFLALFPKVEIP >CAK8540959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35597707:35598417:-1 gene:gene-LATHSAT_LOCUS9913 transcript:rna-LATHSAT_LOCUS9913 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYSKMQFDKRKPSTPSSDTLQWVLNGYYQPPSTPEWGSNSHNQPPSKVVLTLPHSVESERNITDSATKIQRVTRGFLVRKTIKKMLKMKVELEEIKKKVNDEETVKMIKEVQMERRRIDESLTSLLRRLDSVRVFDCSPLREFRKSLINKAIFLDEFVDQIQMVVKCEDEDEEILRRMMDENREMVRRMMDEKEKQSSVLTSLTQRVEQLEEAFTSDAFDMSFAIFFFLIFFL >CAK8540816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23382124:23382896:-1 gene:gene-LATHSAT_LOCUS9783 transcript:rna-LATHSAT_LOCUS9783 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQMVYYLHRRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKIAQALTDGVIPNEYGYLALG >CAK8544574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693443785:693452334:-1 gene:gene-LATHSAT_LOCUS13238 transcript:rna-LATHSAT_LOCUS13238 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRELVFLILQFLEEEKFKDSVHRLEKESGFYFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFATFNEELYKEITQLLTLTNFRENEQLSKYGDTKTARGIMLLELKKLIEANPLFRDKLIFPALKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHSCSPSNGPLAQTPVNLPVSAVAKPAAYTSLGVGAHGPFPPAAATTNANALAGWMANASVSSSVQAAVVTASTIPVPQNQVSILKRPRTPSTTPGMVEYQNTADHELLMKRLRPTPSVEEVSYPSARQASWSLDDLPRTVAMSLHQGSSVTSMDFHPSHQTLLLVGSNNGEISLWEIGLRERLVSKPFKIWDISACSLPFQAAMVKETPISIRRVTWSLDGSFVGVAFAKHLIHIYAFNGSNELAPRIEIDAHIGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDLTGRRLFNFEGHEAPVYSVCPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGTRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDGQIKFWDMDNVNLLTSTDAEGGLQGLPHLRFNKEGNLLAVSTADNGFKILANAGGLRSLRTNETPAFEALRSPIESTTNKVSGSSAVNVGPVSCKVERSSPARPSQILNGVDPTGRNVEKPRTVEDVIDRTKSWQLSEIVDPVHCRLVTLPDSQDTSNKVVRLLYTNSGAGILALGSNGVQKLWKWSRNEQNPSGKASASAVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMSPPPASTYLAFHPMDNNIIAIGMEDSTINIYNVRVDEVKSRLKGHQKRISGLAFSTNLGILVSSGADANLCVWSIDTWEKRKSVPIQLPVGKAPVGETRVQFHSDQLRLLVSHETQLAIYDASKMERIRQWVPQDVLPAPISSATYSCNSQLIYATFCDGNTGVFDADSLKLRCRIAPSTYFTPATLNGSQAVYPYVVAAHPLEPNQFALGLTDGSVKVVEPNESEGKWGSSPPMDNGMMNGRTASSTTSNHTPDQGTQR >CAK8571238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:349077677:349078054:-1 gene:gene-LATHSAT_LOCUS23916 transcript:rna-LATHSAT_LOCUS23916 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCTRSRMKLQKAMVEDRKQKRTYCEFATEVISTSSASSVESTELVVSDLKKDEDTEKNVICCTPKAKRFRIPQVLTCPPAPKKRRVTTSTGVCLSINRSPITLFSSPDIDHFFFSALKNVSV >CAK8544609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695350579:695351281:-1 gene:gene-LATHSAT_LOCUS13269 transcript:rna-LATHSAT_LOCUS13269 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGSIELLPPAANNCYVLKFGGKKMIPVMEHDLAGLSMSPDIKFTVSQIKCYMHQLLSRLEHCHNRNVLHRDIKGSNLLVDNERVLRIADFGLASFFDLKHKQPMTSRVVTLWYRSSELLLGATDYDVGVDLWSAGCILAELLAGRPIMPSRTEVEQLHKIF >CAK8541518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:214745495:214746951:1 gene:gene-LATHSAT_LOCUS10434 transcript:rna-LATHSAT_LOCUS10434 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFLLLLVLSISTISSSYAFSSSKNATSIYEVLHNHGLPMGIFPKGVTQFNVGEDGKFWVHLDQACNAKFENELHYDRNVSGSLSYGKIDGLTGLEAQDLFLWLPVKSIRVDVPSSGLIYFDVGAAHKQFSLSLFETPPECVAVRDDSEGRRLGRLRYKLDQVTSGKDVL >CAK8535499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854519084:854521698:1 gene:gene-LATHSAT_LOCUS4955 transcript:rna-LATHSAT_LOCUS4955 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNNKNTSPSKAIPFQVNLDSDRQNRPIIRELDFFSQNNNNTNHVSTSTPPNPYIHDHYTPSSPFEMKVNTSLNLLTTNRSSDESVVEADIPTSSEDTRANLELVNLQAELEKKVLENQQLKSMFDEATMNYNTLNMHLMSLMQKGKRKVEDCNEEELEEEKPNENGCVLVPRQFIDLGLASNDFDAVDEPRSQDQSKSLANNIDEGFKDEELVIDHEKDEFDPGNDRNDSPSKQVLAANNSPQTSVEQAEATMRKVRVSVRVRSEANMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAISCPVRKQVQRCAEDKSVLVTTFEGNHNHALPPAAIEMARQTSSAARMLLSGPMPSPDGLMNPNFLTRTVFPSSSSIATISASAPFPTITLDLTKSPNNPLHNPLQFPNPNLSNQFQLPFPQTLFNQSKFAGLQMSQEIAETSTSPQISQNLVDKVTAIAKDPNFPAVLAAALTSIIGSLPNNNNTTVDNGDNTAANNNNGNVTSSNNSNESNKTNNPSSSGK >CAK8570495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:51795723:51799843:1 gene:gene-LATHSAT_LOCUS23239 transcript:rna-LATHSAT_LOCUS23239-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVEGFEFEQSHGKERVRVARVWKSKDGQQHLVVEWRVSINLLSDCVNSYIRDDNSDIVATDTMKNTVYAKAKECSEILSMEDFAILLAKHFTSFYKQVTTAIVKIVEKPWERVSVDGQPHQHGFKLGSEKHTTEAIVRKSGSLQLTSGIEGLSVLKTTKSGFEGFIRDKYTVLPDTRERMLATEITALWRFSYESINSVPQKPLYFTDKYLAVKRVLLDTFFGPPKEGVYSPSVQSTLYQMAKATLNRFPDIASIQLKMPNIHFIPVNLSNKDGQIVKFNDDVYLPTDEPHGSIEASLNRNRSRM >CAK8570496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:51795723:51799843:1 gene:gene-LATHSAT_LOCUS23239 transcript:rna-LATHSAT_LOCUS23239 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVEGFEFEQSHGKERVRVARVWKSKDGQQHLVVEWRVSINLLSDCVNSYIRDDNSDIVATDTMKNTVYAKAKECSEILSMEDFAILLAKHFTSFYKQVTTAIVKIVEKPWERVSVDGQPHQHGFKLGSEKHTTEAIVRKSGSLQLTSGIEGLSVLKTTKFPGLLHAMNMQADFLLDLLTCEQSGFEGFIRDKYTVLPDTRERMLATEITALWRFSYESINSVPQKPLYFTDKYLAVKRVLLDTFFGPPKEGVYSPSVQSTLYQMAKATLNRFPDIASIQLKMPNIHFIPVNLSNKDGQIVKFNDDVYLPTDEPHGSIEASLNRNRSRM >CAK8540074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538354222:538355070:-1 gene:gene-LATHSAT_LOCUS9112 transcript:rna-LATHSAT_LOCUS9112 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNHVRCNSFPSQSHPNSTRIEQELSKIKTWETTSTSTSDSITIGLSLLEGLYMEDFLNMTSTQKAISQHQGENFVEELLDGSVKILDICGITRDTVLDIKENVKTLHSSLRRRKGDSSIETSVAEYNFFTKRMKKNVTKLIASLKQMESKFGASTLLNQDQEVVSVIRVVREVIVMNMSIFQSILSFLASKSKATKWLKMAKLMHKRTISSEEENLNELQRVDASVRTLLREGSDVAKMQAARESFEALESTIEGIEKGLESVFRSLVKTRVCLLNMTQ >CAK8543034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568514377:568515770:-1 gene:gene-LATHSAT_LOCUS11821 transcript:rna-LATHSAT_LOCUS11821 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKAKPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRAAELFPIFRKPVGGSSYCTLTPIEKLQAHRHILTNCPIVDDYLKHFRSITQNQMKHNQRSAAEIDKKVHKEFAHWFRIRICNNLDNIHGPDKDVLISLAYGPFDKVKRFNAFNVNGCKFRTVERDNLLKTQNSCVFGLFGMQSYSSNSDTQMRFGGVPYYGRLIDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSREHKDNEPYFQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8533244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:596269796:596271989:-1 gene:gene-LATHSAT_LOCUS2889 transcript:rna-LATHSAT_LOCUS2889 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNSWIPKAYGTSTNHSSVFSDERKYANTTVDVDAVSMIDFFTHDQIIEHVNSLKMEPLQVTTEDGAGIDANTCQLCERKTLYFEPVPVYCICCHLLIKRKNAYFGRKDEEFDAERCFCSTCYNTSKGGCIAFNGTYVSKENLEKKKNDVVEESWVECNKCKRWQHQICALYNNQKDLDCSAEYICALCRLKEIENGVHVPFQKATVYGAKDLPRTTLSDHLEMRLFKRLMKGSENLEKVLAAESISIREVLSIGEQFKVRKQFLDIIPKKNYPAEFSQRSRVILLFQKIDGADICIFGMYVQEFGSECGNPNRRCVYISYLDSVKYFRPEKVIVEGGALRTFVYHEILIGYLDFCKKRGFSTCYIWACPPSSKRNDYILYCHPIEQKIPDKDKLRSWYHSMLKKATEEKIIVGLTNIYDQFFVPTGKGAPK >CAK8574178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667828600:667829565:-1 gene:gene-LATHSAT_LOCUS26549 transcript:rna-LATHSAT_LOCUS26549 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPEIGLDVPYTFGLIGDLGQSYDSNKTLSHYELNPKNGQTVLFVGDLSYAENFPYDVNLRRDTWGRFVERSVAYQPWIWTVGNHEIDFAPEIGETEPFKPYSNRYYTPYKASQSTSPFWYSIKRASAHIIVLASYSAYGKYTPQNQWFEQELLKVNRTETPWLIVVMHAPWYNSYNYHYMEGETMRVMYEPWFVEYKVDVVFAGHVHAYERTERVSNIAYNVVNGICTPVKDLSAPVYITIGDGGNVGRLATNMTEPQPEYSAFRESSFGHAIFDIKNRTHAHFSWHRNQDGYSVEADSLWLFNRFWHPLDDSTPHVSH >CAK8572778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562351906:562365802:1 gene:gene-LATHSAT_LOCUS25305 transcript:rna-LATHSAT_LOCUS25305 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGYTGDLIIDRRDNLSAVTPISDECTFNLAPDISFIDPSDRELIERIITLGFYYRELERFSAKSRNLNWIRSENAKLLENKEKPSVYRRAIANGMVEILSVYTSSILHIEQLLLSETMPILATVTQGLNKFFTLLPPLYELILEIERGDIRGGQLLNLLHKKCHCGVPELQTCIQRLLWHGHQVMYNQLASWMVYGILEDRHGEFFISRQEDRTVENSSPHIEISERLARLSTAEASLTDWHMGFHISLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFRSRDDVTPQVPKSFPKIHGFAGRFNFQRESIINTGMGGEDLLPQSEADKIENMLIDLKESSEFHKRSFECAADSIQAIAASHLWQLVVVRADLNGHLRALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLASLKTIGEEDKYFSKVSLRMPSFGITVKSSQLDLPKATSAAVDGISGASLSEMSVDGWDGIALQYSVDWPLHLFFTQEVLSKYLKVFQYLLRLKRTQMELEKLWASVMHQYHSIFAKSKNKDQDKSPMTQQRDQRFRSMWRVREHMAFLIRNLQFYIQVDVIESQWNILQSHIQDSHDFTELVGFHQEYLSALISQTFLDIGSVSRILDGIMKLCLQFCWNIENQDNFSNTSELDHIAEEFNKKSNSLYTILRSSRLAGSQRTPFLRRFLLRLNLNSFFESTAKGVMNVVRPRPTLPGLS >CAK8535063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809746767:809747369:-1 gene:gene-LATHSAT_LOCUS4546 transcript:rna-LATHSAT_LOCUS4546 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDNRLTALPGPNPTIQKEIRFRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDTAAREFRGAKAKTNFPTPLEIMNINNTTRSPSQSSTLESPSPPPLDLSLKPFSSSSGVTMAIPVARPVLFFDAFARADTSLSVGRREMCGFDRPMADFRRAAVQSDSDSSVVEYDGVPRQRLLDLDLNVPPPPEVA >CAK8561307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:143416312:143417613:-1 gene:gene-LATHSAT_LOCUS14914 transcript:rna-LATHSAT_LOCUS14914 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKREALKAIENAKKRFAHRDFVGAKSYALKAKTLCPGLEGISQLVTNFEVYIASQVSCNGELDWYSIMGLKPSTNIEAVKKQYKKMAGLLHHDNNKCVGDDGAFHLVSEAWSRLSGSYDMKRNAQVGVGHGFNHNGLSSARASDGNQETFWTICTSCKVQYEYLRKYINKKLSCKNCRGIFIAVETAPANGSFPYCPWSYGSSSGYGSHSYDGVSYPPSNGTYFNGNGVTGYHSAHGYEYVSNVPYQLNSAGYVNQNGSSTTCEANGNVKRGRPKVNSEADMKHCMTKTLVNINSVVSFSQNEPQEVKPSRPEKKRKVLGASLRNGHEGKGSKCASESTLANGNGSVGHGQKLSSTCEVPTKQYSMAPAFDARKLLIEKARTEIRKKLEEMKLASEAAVAANERKNLKLMLIRSKEVHTLKQVLMFLIIN >CAK8537575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:400493404:400496503:1 gene:gene-LATHSAT_LOCUS6860 transcript:rna-LATHSAT_LOCUS6860 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSLRAGSSYGGAVPFRSSDGLSTRPGAASEEIQLRIDPMDLDDELTGLHHQVRRLKHVAEEIGTEMKYQKDFLEQLQMTMIKAQAGVKNNLRRLNKNIIQSGSNHIVHVVVFALICFTIVYFWSKISRK >CAK8570871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:140258880:140264561:-1 gene:gene-LATHSAT_LOCUS23583 transcript:rna-LATHSAT_LOCUS23583 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEFNPFGRPYNFMVPQDQTPNQPMPPHWSRTMQAYYNPGSTPNPFYNPLAAGSYLYQQYMWPNQASNALLHYDALNDPSRLSNKPQDATLAFSEMVCRNMGEGKPDFNKLFTGNSQPLSLITGDLREHGNTSSAPKNARDGISMSVPSGSKRSPGEEQESTNNLFPSSLTLKPSMIIGDENPSGLTQNLETLVKESDADADTQLKNMEGDDIRKERKRQSNRESAKRSRIRKQQECEELSKKIDTLKDENSVLTQRLATLSEECQELTNENNSIQEELIKRYGSESIADLLRMKPVFGGFPKSV >CAK8563788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631144011:631148105:-1 gene:gene-LATHSAT_LOCUS17163 transcript:rna-LATHSAT_LOCUS17163 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSEERDEAVKKKIQELEEGHAFMKQEMSKLKLSDGREQQHHRQRSHSVSPQRSRLGSWNKGSCSFKHSSPLRKETRASALVNFTEKHYLNILHSLGHSLHILDLNSRIIYWNRSAENLYGYTADEVLGQDGIDLLVDPRDWGIACDTVNCVMKGERWSGQFLVKNKKGERFLAVTSNTPFYDDGSLVGIICVSSDSRPFLEMRAPFYGVKNAELDYVSARPKSSITNHLGFDSQQPLQTALASKISNLASKVSNRVKSRIWTGENNVDHEGGNGESQGFSEHREDANSSGASTPRGDLPQTPVGIFYRVEEKLEGKTSRDSGDESEGKPVHKVLTSKAEAWVQKKTLSWPWRTNDPEESEARNVRAAEPWRQNDQENESVNQKNLYSSLKQESQVGESNRLTNNTASIPRSSSYNVISTSSASSSGSAGSGATNSKMDVDSDCLDYEILWEDLTIREQIGQGCCGTVYHALWYGSDVAVKVFSKQEYSDDVIQSFRQEVSVMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLCRLLHKTTPKLDWRRRVHMALDIARGVNYLHHYNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDTLNSMQVIGAVGFMNQRPEIPKDVDPGWASLIEICWHSDPTCRPTFPELLERLRELQRRYVIEFQAARSAGGESIQRKES >CAK8568222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583357327:583363093:-1 gene:gene-LATHSAT_LOCUS21201 transcript:rna-LATHSAT_LOCUS21201-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDSDSITYLKQQEAAEIDETLMGPLGFSVDQLMELAGLSVATSIYEVYRPGEYSRVLVLCGPGNNGGDGLVAARHLHHFGYKPFVLYPKHTPKPLYAGLVTQLEALSIPFLSIEDLPSDFSKDFDILLDAMFGFSFHGSPRPPFDDLIKRLVSLSNHNQTGQKRPVIVSVDIPSGWHVEEGDVNDIGIKPDMLISLTAPKLCAKKFGGPHHFLGGRFVPPAIAEKYKLILPPYPGTSTCVRIGKPPQIDISALRENYISPEFLEDQVEANPFNQFRKWFDDALAASLKEPNAMALSTVGKDGKPSSRIVLLKGFDKDGFVWFTNYESQKGRALSENPHASLLFYWDGLNRQVRVEGYVEKISDEESEQYFHSRPRGSQIGAIVSKQSSVVPGRHVLHEQYKELEQKYADGSVIPKPKNWGGYRLTPHLFEFWQGQKSRLHDRLRYVPHDIDGQKLWKIERLAP >CAK8568221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583357327:583363303:-1 gene:gene-LATHSAT_LOCUS21201 transcript:rna-LATHSAT_LOCUS21201 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRRSMTFTCLYHFTHSTSLIHHNYNSLIIPTFSTLYNHKPLFHFFQGILRPTTIRSFSTTITSSFKTMASFDSDSITYLKQQEAAEIDETLMGPLGFSVDQLMELAGLSVATSIYEVYRPGEYSRVLVLCGPGNNGGDGLVAARHLHHFGYKPFVLYPKHTPKPLYAGLVTQLEALSIPFLSIEDLPSDFSKDFDILLDAMFGFSFHGSPRPPFDDLIKRLVSLSNHNQTGQKRPVIVSVDIPSGWHVEEGDVNDIGIKPDMLISLTAPKLCAKKFGGPHHFLGGRFVPPAIAEKYKLILPPYPGTSTCVRIGKPPQIDISALRENYISPEFLEDQVEANPFNQFRKWFDDALAASLKEPNAMALSTVGKDGKPSSRIVLLKGFDKDGFVWFTNYESQKGRALSENPHASLLFYWDGLNRQVRVEGYVEKISDEESEQYFHSRPRGSQIGAIVSKQSSVVPGRHVLHEQYKELEQKYADGSVIPKPKNWGGYRLTPHLFEFWQGQKSRLHDRLRYVPHDIDGQKLWKIERLAP >CAK8576385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469058329:469058796:1 gene:gene-LATHSAT_LOCUS28575 transcript:rna-LATHSAT_LOCUS28575 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTVNISPLCMFQPASRVQSPSATVVKCPPSFGSTRSVSSSFGLKSSSTYRTTAMAAYKIKLIGPDGEENEFEASDDVYILDAAENAGVELPYSCRAGACSTCAGKVVSGSVDQSDGSFLDDTQLKEGFVLTCVSYPTADCVIETHKEGELY >CAK8577890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596061229:596063708:1 gene:gene-LATHSAT_LOCUS29955 transcript:rna-LATHSAT_LOCUS29955 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNQNQRFYTLRFDGACRGNPGPAGAGAVLFGEDGSVLYNFRQGLGNTTNNVAEYCALILGLQQAIMKGCKNIKVQGDSQLVISQFQGSYTINNPRLRSLCNEALELSNNFRSFEIEHISRAYNTLADAQANRAINLQEGQVEED >CAK8566924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473047960:473050098:-1 gene:gene-LATHSAT_LOCUS20020 transcript:rna-LATHSAT_LOCUS20020 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLRKAIGAVKDQTSISLAKVTNAANLEVIILKATTHDKNPIEERYVNEIVNVVSSNKAYAAACAQCIGKRIGKTRNWVVALKSLMIVLRIFQDGDPYFPREVFHSMKRGAKILNLSSFKDDSNSSPWDYTAFIRTFALYLDERLDCFLTGKLQRRFNYNNRFYEKNQRDEPGIKDMKPTLILDRISYWQRLLDRAMGTRPTGSAKNSRLVQISLYAVVQESFDLYKDINDGLGVVLDNFFNLPLSACVTAFNACVKSYKQFDELAAFYIFCASIGIGRSYEYPCVQKVSEELMETLQAFLKDQASFHTNNNGSKHLILSAHKNSNAGSCSSQDELGVERCGTLERFFETGSEFGSQCTSLEDLMCATDAAGSSRGSMEHDAYSEVSDEDEKPSSQYDDEFVSANGSASLRSFTMDRNSRSSFDIISVDDMNQDQVQQNQTNEGGSNLIDSSKDCWEIVLAKTVTNETSSSSPKLDNGFDPFFSFFDQALVPAPQQKYNPFLDDIGTLAPLAATTTNSDFDDVFNVSLAPTFKASTTSQIFNAHDPFASSFSNSATDLDLIFGDINQNNTTAAPTFQAQSSLQMIVAPTFEAKDPFKNVSTPTFEAQNSNVNYIVESPSYTVENQNACIVPFDSCNSFNNSTVAPTFSANGGNETTLATEVEDDPFGPWPSTAMTNDQTSNVSPMQDQTLFRLQQLWLEQQNKIIARRMT >CAK8576018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385596285:385597070:1 gene:gene-LATHSAT_LOCUS28237 transcript:rna-LATHSAT_LOCUS28237 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFILISFSLKNIIVLHLSLLSINLRYIKTEENHAPQLTFFMHDILGGSNPSERIMNGIIVNTQQTTNLPFSKPNNRILPTKGSIPIFDTSISTNGFPTSTPMIKNIDKNKVVIDTSTNSNSLPYVTRNRIPLGATLENLLFGRITVIDDEITKGYELNLDVIGKVQGFHLVSSLEGSSQTMAFVALFGDEGHEDDDAISFFGVHRMATHESYIAVVGGTGKYENARGYAKIETIQLHYDQHNSISNGMETVFQITVFL >CAK8530538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28281136:28282692:1 gene:gene-LATHSAT_LOCUS396 transcript:rna-LATHSAT_LOCUS396 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQNLNQIAKHAQFQLIRNPNPQLLNQNLALLAKSHEDDGNAFFNLYNQMLSFSDSSSHNYYTFTHALKACTYFHAISKGLEIHARLIKSGHISDRFIHNSLLHFYLSSNDVVSATRVFLSIPSPDVVSWTSLISGLSKCGFESKAIDAFSSMNVKPNVLTLVSAFSACSTLGALRFGKAIHAYGMKLLIDGNIVFYNAALDLYAKCGSLLNAHNVFVKMSERDVISWTTLLMGYARGGHCDEAVEVFKQMVVSGEAEPNEATVVTVLSACASVGSLSLGCWVHSYIDKRIDLGIDGNIGNALVNMYVKCGDVKMGLKVFNMVVRKDVISWGTVICGLAMNGYGKQAVQMFSQMLVHGVLPDDVTFIGLLSACSHVGLVSDGMMLFKAMRDSYGIVPQMRHYGCIVDMYGRAGMFEEAVAFIRGMPVEAEGPIWGSLLQACKIHGNEEMFEWVRGQLGDKNVGVGTLALLSNLYASSERWEDANRVRKTMRGPGLKKVAGFSWVEPEVKLDSSLCVA >CAK8539467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513430810:513432058:-1 gene:gene-LATHSAT_LOCUS8558 transcript:rna-LATHSAT_LOCUS8558 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSDIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKQKFQRMCDKSMIKRRYMYLTQEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFCGPSDTHLDSLVGQALFGDGAAALIVGSDPIPEIERPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPAIVSKNIDKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATRQVLSEYGNMSSACVLFILDEMRNKSIQNGFKTTGEGLEWGVLFGFGPGLTIETVVLHSVAISDA >CAK8536300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:928983020:928983397:-1 gene:gene-LATHSAT_LOCUS5684 transcript:rna-LATHSAT_LOCUS5684 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDGICRLCNTEEETMNHLFFCCKQTGYIWKEVLHWFNIDHEPQPWETELTWINNMTKGKGWRVAVLKMVVAETIHYTIWRYRNSITFGNNVDNTTLGTNIIDNVIYRGWQNLRIRKHLVSLMM >CAK8535740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878892022:878892492:-1 gene:gene-LATHSAT_LOCUS5168 transcript:rna-LATHSAT_LOCUS5168 gene_biotype:protein_coding transcript_biotype:protein_coding MFINSKAKTLAIYAFTKNKTLQKRLSETASGSIVFNDAILQYVADTLSFGVVGECGFGKYHGKFSFDLFSHYKDVARRSYYTDFWFRFPPWNLTKFQLLEEAYNLNYIGILFVVLGLKRSKRSLYMDCN >CAK8564456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675498432:675498773:-1 gene:gene-LATHSAT_LOCUS17761 transcript:rna-LATHSAT_LOCUS17761 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFAYVSITRCCFLLLYLVAETFELALAVQTKERASSQIQVFLLSFNLCFEEKRWRLYSKSNNLQSGSRTPKQKVSTSLV >CAK8578326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620451226:620451540:1 gene:gene-LATHSAT_LOCUS30347 transcript:rna-LATHSAT_LOCUS30347 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWKKIPLSKEEDEGNRNAATTNGPGTKKNKWIRKPTNRKVNPSQAKKLELEIGKHKLVDVMVVDGTAEGYGSGEKKMKSQAFVMDTIEEPEVVLEAQRCLPQ >CAK8569832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13069314:13070973:-1 gene:gene-LATHSAT_LOCUS22641 transcript:rna-LATHSAT_LOCUS22641 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSTNNVLWCFTLTIFIVILFNPKLSYAKQSKLMNLNMNMIDRCWRSNPDWRRHRQQIATCSIGYVGKMTNNIGKELIHYKVTDPNDDPINPKFGTLRYGASVIQGKVWITFQKDMNIRLVKPLLISSFTTIDGRGVNIHIANNACLMIFKATNIIIHSIRIHHCKAQSPGMVMGPNGKVIHLGPVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSSNVTISNNWFRKQDKVMLLGHDDGYMRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWVQYAIGGSMGPSLKSEANLFIAPKVGSKEVTWRNVGQTNGNQWEFHSVRDEFKNGASFAITEGGRVPKPNYNKEQSFKVVDVKYIRPLTRSSGALQCSKTSIC >CAK8579754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720881213:720881903:-1 gene:gene-LATHSAT_LOCUS31674 transcript:rna-LATHSAT_LOCUS31674 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGLLRIRIKRGVNLAVRDVNTSDPYAVVKMGKQKLKTRVIKKDINPEWNEDLTLSITDPIIPFKLTVYDHDTFSKDDNMGDAEFDISPFIEALKMNIDDLPSGTIITRIQPCRTNCLAEESCITYTDGQIVQDVVLRLRHVECGEVEIQLQWIALPGSKGL >CAK8542380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507160724:507165225:1 gene:gene-LATHSAT_LOCUS11223 transcript:rna-LATHSAT_LOCUS11223 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTTPVPATIQRTGSMSSVREVGGEIEEEPNPAVVDEAIYVAVSKDVKESKVNLIWAIQNSGGKRICLLFVHVPATMIPLMGAKFPASSLKEQEVRAYREIERQNMHKTLDEYLRICHRMGVRAEKLHIEMDNIERGIIELISQHGIRKLIMGAASDRKYVRRMMDLKSKKAIHVCEQAPASCHIQFICKGHLIHTRDRSLNERSLEVASPLVQQVPNSLRSLRSQSVTLGQIPMTDSFGSIQELFRRVRSANDGQRASITTDSSPNDTLGFSTPSNRRGTYVSSDESDRLSRMSPSGLSTCSDSAVEPTLTPNSVAESSENALDLTFSRMVIKEDLHRLSPPSVLQDGGVNDTLYDQLEQAMSEANNATRHAYQETFRRGKAEKDAIEAIRRAKTSESLYIEELNLRKMAEEELKKEKEELERMTSQRDKVKEELQLAVDLKSSLESQLASSEVMIQELEKKIISAVELLQSYKNERDELQIQRDNALREAEGLRKKQGEGSSSHASQLFSEFSFSEIEEATSNFDPSQKIGEGGYGNIYKGVLRHTEVAIKILHANSMQGPLEFQQEVDVLSKLRHPNLITLIGACPESWSLVYEYLPNGSLEDRLACKDNTHPLSWQTRIRLAAELCSALIFLHSSKPHSIVHGDLKPSNIILDANLVSKLSDFGICRILSNLENSTNNNTLFWRTDPKGTFVYMDPEFLASGELTPKSDVYSFGIILLRLLTGRPALGITKEVKYALDTGKLTSLLDPLAGDWPFVQAEQLARLALRCCEMSRKSRPDLHSDVWRILDAMRASSGGTNSFGLSSEGPHQPPSYFICPIFQEVMRDPHVAADGFTYEAEAIRGWLDSGHDTSPMTNSTLAHQSLVPNRALRSAIQDWLQSH >CAK8542381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507160724:507165225:1 gene:gene-LATHSAT_LOCUS11223 transcript:rna-LATHSAT_LOCUS11223-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTTPVPATIQRTGSMSSVREVGGEIEEEPNPAVVDEAIYVAVSKDVKESKVNLIWAIQNSGGKRICLLFVHVPATMIPLMGAKFPASSLKEQEVRAYREIERQNMHKTLDEYLRICHRMGVRAEKLHIEMDNIERGIIELISQHGIRKLIMGAASDRKYVRRMMDLKSKKAIHVCEQAPASCHIQFICKGHLIHTRDRSLNERSLEVASPLVQQVPNSLRSLRSQSVTLGQIPMTDSFGSIQELFRRVRSANDGQRASITTDSSPNDTLGFSTPSNRRGTYVSSDESDRLSRMSPSGLSTCSDSAVEPTLTPNSVAESSENALDLTFSRMVIKEDLHRLSPPSVLDGGVNDTLYDQLEQAMSEANNATRHAYQETFRRGKAEKDAIEAIRRAKTSESLYIEELNLRKMAEEELKKEKEELERMTSQRDKVKEELQLAVDLKSSLESQLASSEVMIQELEKKIISAVELLQSYKNERDELQIQRDNALREAEGLRKKQGEGSSSHASQLFSEFSFSEIEEATSNFDPSQKIGEGGYGNIYKGVLRHTEVAIKILHANSMQGPLEFQQEVDVLSKLRHPNLITLIGACPESWSLVYEYLPNGSLEDRLACKDNTHPLSWQTRIRLAAELCSALIFLHSSKPHSIVHGDLKPSNIILDANLVSKLSDFGICRILSNLENSTNNNTLFWRTDPKGTFVYMDPEFLASGELTPKSDVYSFGIILLRLLTGRPALGITKEVKYALDTGKLTSLLDPLAGDWPFVQAEQLARLALRCCEMSRKSRPDLHSDVWRILDAMRASSGGTNSFGLSSEGPHQPPSYFICPIFQEVMRDPHVAADGFTYEAEAIRGWLDSGHDTSPMTNSTLAHQSLVPNRALRSAIQDWLQSH >CAK8532862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:538611373:538612179:-1 gene:gene-LATHSAT_LOCUS2525 transcript:rna-LATHSAT_LOCUS2525 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMASITLTIALTLLSLTLPSQANNYIYSSPPPPVHSPPPPYHYSSPPPPVHSPPPPYHYSSPPPPPEKPYKYPSPPPPVYKYKSPPPPVYKSPPPPYKYSSPPPPPYKYKSPPPPVYSPPIYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPIYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPIYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPHYVYSSPPPPYHY >CAK8540943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34034770:34039786:1 gene:gene-LATHSAT_LOCUS9897 transcript:rna-LATHSAT_LOCUS9897 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTARSFLQAVATEEVATPLRVVQMEGLVILKIIKHCKDHSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSYQTVELIETFMNYQENIRRCVCIIYDPSRSDQGVLALKALKLSDSFMELYRSNNFTGDKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDYDRLQLSTSSLMERNMEFLIECMDDLSLEQQKFQFHYRSLSRQQAQQQAWLQKRRAENMSRRAAGEEPLPEEDPANPIFKPLPEPSRLESFLITNQISNYCNQINGVAGQSFNRLYLMKALHEE >CAK8568625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625104564:625108203:1 gene:gene-LATHSAT_LOCUS21566 transcript:rna-LATHSAT_LOCUS21566-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGFSVVDGFEAVDHNGVDSNVDSLVTEIEESVLVLNGDFENFDDDLCMEELKEGLSGKIEGNNVSILKDEDVEIGDEMEKSRTGNGLVKNKKVKQPSVKGVHRGLVRKNRVGKDEEVVAASSVSNGTSTLDSHPRHAVKSRALNVKQTRLSKHPGKSDAASEAPMEKTRPQLIKKEPLDNLPGNAELSSPTAEDGKPRRMGTMPTYGFSFKCNERAERRKEFYSKLEERIHAKEVEESNMQAKTKESQEAEIRRLRKKLAFKATPMPSFYQEPPPSRVELKKIPTTRAKSPKLGRKKTSTSSELEGNTISSAQQCRLNLDEKLSQNNPAKGISPVHPKKPQRKSLPSRLTLETISTVRTPSKAVNDEKASLSSVTSDVTALSIATNEEKLEAAAAIEENNAALSDETSETPSLNIEPGEVESHANGDIVIADKPHLTLVQEPIAAEY >CAK8568624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625104564:625119271:1 gene:gene-LATHSAT_LOCUS21566 transcript:rna-LATHSAT_LOCUS21566 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGFSVVDGFEAVDHNGVDSNVDSLVTEIEESVLVLNGDFENFDDDLCMEELKEGLSGKIEGNNVSILKDEDVEIGDEMEKSRTGNGLVKNKKVKQPSVKGVHRGLVRKNRVGKDEEVVAASSVSNGTSTLDYHPRHAVKSRALNDKQTRLSKHPGKSDAASSEAPMEKTRPQLIKKEPLDNLPGNAELSSPTAEDGKPRRMGTMPTYGFSFKCNERAERRKEFYSKLEERIHAKEVEESNMQAKTKESQEAEIRRLRKKLAFKATPMPSFYQEPPPSRVELKKIPTTRAKSPKLGRKKTSTSSELEGNTISSAQQCRLSLDEKLSQNNPTKGISPVHPKKPQRKSLPSRLTPETISTVRTPSKAVNDEKASLSSVTSDVTALSIATNEEKLEAAAAIEENNAALSDETSETPSLNIEPGEVESHANGDIVIADKPHLTLVQEPIAAEY >CAK8578226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613364075:613379828:1 gene:gene-LATHSAT_LOCUS30256 transcript:rna-LATHSAT_LOCUS30256 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRTLEVPPKIRCSINCVTAVPLENIQEPLKSFTWEFDKGDFHHWVDLFNHFDSFFEKYIKPRKDLQIDDDFLDSDPPFPREAVLQILRVIRIILDNCTNKHFYSSYEQHLSSLLASTDPDVVEATLDTLATFLKKTIGKYSIRDTSLNSKLFALAQGWGGKEEGLGLITSAVPNGCDPISNELGCALHFEFYAVTESESDIKGAEPLIEGLQIIHLSDVHKCVQTDLELLHKLVTEYKVPSSLRFSLLTRLRFARAFGSFGSRQQYTCIRLYAFIVLIQASGDADDLVSFFNAEPGFINELVSLLSYEDAVLEKIRVLCLHSLAALCQDRSRQPSVLTALTSGGHRGILPSLMQKSVDFVINDTSKLSVHFAEALISLVTVLVSSSSGCSAMREAGFIPTLLPLLKDINPQHLHLVEKAVRILEAFMDFSNPAAALFRDLGGLDDTISRLKIEVSHVENGGKQPDENFESSTSAHMVGGSSVCLDDMQPLYSEPLISYHRKLLMKALLRAISLGTYAPGNTFRIFGSEENVLPQCLCIIFRRAKVFGGGVFSLAATVLSDLIQKDPTCFPVLDAAGLPSAFLDAIMDDVLNSSEAIACIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSRTYLRALTGDTPASLSSGLDELMRHASSLRGPGVDMLVEILETISKIGSATDPPSLSPDPCSSSSTPMEMDGGDKNLILPDSKDSSKPDNTEQIDEPSPDASAMNIESYLPDCVNNVARLLETVLQNADTCRIFVEKKGIEAILQLFTLSLMPPSISVGQTISVAFKNFSPQHYVSLARDVCSFLREHLKSTNEVLDSVGGTQIALVESAKQSKVLKYLSSLEGILALSVFLLKGTTAVVSELSTSDADVLKDIGRTYKEIIWQISLCNDSKTDEKKTNDQEPDISQAPSSTLVERESDDDTNMQTVRYTNPVFARTGSHSLWGGERDFASVVRSGEGLHRRTRQGISRMRGGRTARRLEALNIDSEPTSSAPEASSSQDLKKKSPDDLALEILNKLASTMRSFFTALVKGFTSPNRRRADSGSLGSASKALGTVLATNFLEALSFSGHSTYAGLETSLSVKCRYLGKVVDDMAALIFDSRRRSCYTAMVNNFYVHGTFKELLTTYEATSQLLWTLPYSFPSSDIDLGKKGEGVKLSHSTWLLYTLQSYCRLLEYFVNSSMLLSPTSASQAELLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFYSCSPGFIASIISLVTHVYSGVGDVKRSRNNILGSTNQRFMPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELAQALALSLGSSSEATKVDNIEKTIDVPIEEGHMKKPPVDDILAASVRLFQSSDSVAFQLSDLLVTLCNRNKGEDRPKVISYLLQQLKLCPLDFSTNNCALGMLAHVITLLLFEDGSTRDVAAQNGIISTIIDILTNLKGRSELGKELPLSKCMSALLLILDQLLQSRPKTENIEAGTQTGFMPDSSGEHCSLQFPNTVEQEEKKIDGREKEPGMAFENALGKSTGFATIDESHKLLDISCDLIKQHVPAVVMQAVLQLCARLTKTHALAMKFLENGGLTALFSLPRNSFFPGYDTVVSAIVRHLLEDPQTLQSAMELEIRQTLSGNRHSGRVSPRSFLTSLAPVISRDPTVFMKAAAAACQLETSGGRTIVVLSKEKEKEKSKSSSTEATNECLRISESRPQDGTGKYLKSHKKVPVNLTLVVDQLLEIVLKYPPMKSREDFEGGSTSMDIDEPTMKVKGKSKVAETVILGSESAELVKVTFVLKLLSDIVLMYGHAVSVILRRDSEMCQFRGSNQPSGHNGIIHHVLHCLLPLSADKSAGPDDWRGKLSEKASWFLVVLCGRSGEGRKRVTNELVKEVMSFSNFESNSMKSSLSPDKRLFTFVDLVYSILSKNSSSGSLPGSGYSPDIAKSLIDGGIIKCLTSILQVVDLDHPDAPKFATLVLKGLECLSRAANASEQIFKSDGAEKRRSTGLNERSDDQMTTPSVVEIVAHDPNGGSQETLRETIDNAHHQRTSQGDHHADNPNQSGEQDMRIEEGVIAQNPQVELGMDFMHEEMGDGGVLRNPEQIEMTFHVENRLDDDMGDEDDGMGDDRDNGDDDEDDDGDGGDEDEDIAEDGGGMMSMADTDAEDHDDTDLGDEYNDEMIDEDDDDFHENRVIEVRWREALDGLDHLQILGQPGTAGVIDVASDPFDGVNVDDLFRLQSFERRRQTGRSSFERPASEIIGFQHPLFVRPPQSGDFVSMWSTGGNSASRDSETLSSGNLDVAHFYMFDGPTPPYDHMPSSLFGEHLGSVAPPPLTDYSLGMGSSHLHGRRVLGNGRWTDDGQPQGGAQAAAIAQAVETHFLAQMVTIDPASNPTDRQIRNSEEQEKQSDALPSHDEPSLNVGADSTSQQFAGLEQENGAETIVQQINLSVDGATCEEERNVDFGGQDTGEESLHTNEPMSVQPLSLNIMPNGLDCTVNEINVAPSDNVAIPQEFVNSSIESSADVQGGRADNELTNNQNVPVIPLVCNGTSNVAGQPTNLELPDSGLVTPNPSDPASSVNANVDVDMGGVDAERNQSGQPTVFEDRRDALLSTQNTGVTPDDTQADQTSANNEASGTSTIDPTFLEALPEDLRAEVLASQQAQSIQPSVYAPPSGEDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGHPVDMDNASIIATFPADLREEVLLTSSEAVLSALPAPLLAEAQILRDRAMSHYQARSLFGSSHRLNNRRNGLGFVRRPVMDRGVGVTIDRRSALTDSLKVKEIEGEPLLNANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLLYLLLDMIKPETEVSVSRAVTLNSQRLYGCHSNTVYGRSQLLDAGLPPLVFRRILEILTYLATNHSAVAKMLFHYDQSIADSSNSSITHMNGKGKEKVIEGGPSSKPSETHVGDVPLVLFLKLLNRPLFLRSTAHLEQVMGLIQVVVETAAAKLASLSQSEKEIADTQNLSVNEAEKDPPLVELGSIKQDKHSDTKPCHSNGKKNVDMYNIFLQLPQSDLKNVCSILGREGLSDKMYMLAGEVLKKLASIVPSHRKFFILELSESSHSLTGSAVSELVTLQQTNMLGLSAGSMAGAAILRVLQALSSLISLNTSENMDMESDLDLDQHGDKAIIWNLNTALEPLWQELSNCISAAEMQLGQSSFSPNMSNINIAENLHGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESIMQHDHDNATAREVKESAGCSASVSGKFCGDSQRKLDGAVTFTRFAEKHRRLANAFIRQNPSLLEKSLSMMLKAPRLIDFDNKRAHFRSRIRQQHDHQLSGPLRISVRRAYILEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHLLTNAIRPQINSFLEGFNEMVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASNVVQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQDRLLLAIHEASEGFGFG >CAK8578225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613364075:613379828:1 gene:gene-LATHSAT_LOCUS30256 transcript:rna-LATHSAT_LOCUS30256-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRTLEVPPKIRCSINCVTAVPLENIQEPLKSFTWEFDKGDFHHWVDLFNHFDSFFEKYIKPRKDLQIDDDFLDSDPPFPREAVLQILRVIRIILDNCTNKHFYSSYEQHLSSLLASTDPDVVEATLDTLATFLKKTIGKYSIRDTSLNSKLFALAQGWGGKEEGLGLITSAVPNGCDPISNELGCALHFEFYAVTESESDIKGAEPLIEGLQIIHLSDVHKCVQTDLELLHKLVTEYKVPSSLRFSLLTRLRFARAFGSFGSRQQYTCIRLYAFIVLIQASGDADDLVSFFNAEPGFINELVSLLSYEDAVLEKIRVLCLHSLAALCQDRSRQPSVLTALTSGGHRGILPSLMQKSVDFVINDTSKLSVHFAEALISLVTVLVSSSSGCSAMREAGFIPTLLPLLKDINPQHLHLVEKAVRILEAFMDFSNPAAALFRDLGGLDDTISRLKIEVSHVENGGKQPDENFESSTSAHMVGGSSVCLDDMQPLYSEPLISYHRKLLMKALLRAISLGTYAPGNTFRIFGSEENVLPQCLCIIFRRAKVFGGGVFSLAATVLSDLIQKDPTCFPVLDAAGLPSAFLDAIMDDVLNSSEAIACIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSRTYLRALTGDTPASLSSGLDELMRHASSLRGPGVDMLVEILETISKIGSATDPPSLSPDPCSSSSTPMEMDGGDKNLILPDSKDSSKPDNTEQIDEPSPDASAMNIESYLPDCVNNVARLLETVLQNADTCRIFVEKKGIEAILQLFTLSLMPPSISVGQTISVAFKNFSPQHYVSLARDVCSFLREHLKSTNEVLDSVGGTQIALVESAKQSKVLKYLSSLEGILALSVFLLKGTTAVVSELSTSDADVLKDIGRTYKEIIWQISLCNDSKTDEKKTNDQEPDISQAPSSTLVERESDDDTNMQTVRYTNPVFARTGSHSLWGGERDFASVVRSGEGLHRRTRQGISRMRGGRTARRLEALNIDSEPTSSAPEASSSQDLKKKSPDDLALEILNKLASTMRSFFTALVKGFTSPNRRRADSGSLGSASKALGTVLATNFLEALSFSGHSTYAGLETSLSVKCRYLGKVVDDMAALIFDSRRRSCYTAMVNNFYVHGTFKELLTTYEATSQLLWTLPYSFPSSDIDLGKKGEGVKLSHSTWLLYTLQSYCRLLEYFVNSSMLLSPTSASQAELLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFYSCSPGFIASIISLVTHVYSGVGDVKRSRNNILGSTNQRFMPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELAQALALSLGSSSEATKVDNIEKTIDVPIEEGHMKKPPVDDILAASVRLFQSSDSVAFQLSDLLVTLCNRNKGEDRPKVISYLLQQLKLCPLDFSTNNCALGMLAHVITLLLFEDGSTRDVAAQNGIISTIIDILTNLKGRSELGKELPLSKCMSALLLILDQLLQSRPKTENIEAGTQTGFMPDSSGEHCSLQFPNTVEQEEKKIDGREKEPGMAFENALGKSTGFATIDESHKLLDISCDLIKQHVPAVVMQAVLQLCARLTKTHALAMKFLENGGLTALFSLPRNSFFPGYDTVVSAIVRHLLEDPQTLQSAMELEIRQTLSGNRHSGRVSPRSFLTSLAPVISRDPTVFMKAAAAACQLETSGGRTIVVLSKEKEKEKSKSSSTEATNECLRISESRPQDGTGKYLKSHKKVPVNLTLVVDQLLEIVLKYPPMKSREDFEGGSTSMDIDEPTMKVKGKSKVAETVILGSESAELVKVTFVLKLLSDIVLMYGHAVSVILRRDSEMCQFRGSNQPSGHNGIIHHVLHCLLPLSADKSAGPDDWRGKLSEKASWFLVVLCGRSGEGRKRVTNELVKEVMSFSNFESNSMKSSLSPDKRLFTFVDLVYSILSKNSSSGSLPGSGYSPDIAKSLIDGGIIKCLTSILQVVDLDHPDAPKFATLVLKGLECLSRAANASEQIFKSDGAEKRRSTGLNERSDDQMTTPSVVEIVAHDPNGGSQETLRETIDNAHHQRTSQGDHHADNPNQSGEQDMRIEEGVIAQNPQVELGMDFMHEEMGDGGVLRNPEQIEMTFHVENRLDDDMGDEDDGMGDDRDNGDDDEDDDGDGGDEDEDIAEDGGGMMSMADTDAEDHDDTDLGDEYNDEMIDEDDDDFHENRVIEVRWREALDGLDHLQILGQPGTAGVIDVASDPFDGVNVDDLFRLQSFERRRQTGRSSFERPASEIIGFQHPLFVRPPQSGDFVSMWSTGGNSASRDSETLSSGNLDVAHFYMFDGPTPPYDHMPSSLFGEHLGSVAPPPLTDYSLGMGSSHLHGRRVLGNGRWTDDGQPQGGAQAAAIAQAVETHFLAQMVTIDPASNPTDRQIRNSEEQEKQSDALPSHDEPSLNVGADSTSQQFAGLEQENGAETIVQQINLSVDGATCEEERNVDFGGQDTGEESLHTNEPMSVQPLSLNIMPNGLDCTVNEINVAPSDNVAIPQEFVNSSIESSADVQGGRADNELTNNQNVPVIPLVCNGTSNVAGQPTNLELPDSGLVTPNPSDPASSVNANVDVDMGGVDAERNQSGQPTVFEDRRDALLSTQNTGVTPDDTQADQTSANNEASGTSTIDPTFLEALPEDLRAEVLASQQAQSIQPSVYAPPSGEDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGHPVDMDNASIIATFPADLREEVLLTSSEAVLSALPAPLLAEAQILRDRAMSHYQARSLFGSSHRLNNRRNGLGFVRRPVMDRGVGVTIDRRSALTDSLKVKEIEGEPLLNANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLLYLLLDMIKPETEVSVSRAVTLNSQRLYGCHSNTVYGRSQLLDGLPPLVFRRILEILTYLATNHSAVAKMLFHYDQSIADSSNSSITHMNGKGKEKVIEGGPSSKPSETHVGDVPLVLFLKLLNRPLFLRSTAHLEQVMGLIQVVVETAAAKLASLSQSEKEIADTQNLSVNEAEKDPPLVELGSIKQDKHSDTKPCHSNGKKNVDMYNIFLQLPQSDLKNVCSILGREGLSDKMYMLAGEVLKKLASIVPSHRKFFILELSESSHSLTGSAVSELVTLQQTNMLGLSAGSMAGAAILRVLQALSSLISLNTSENMDMESDLDLDQHGDKAIIWNLNTALEPLWQELSNCISAAEMQLGQSSFSPNMSNINIAENLHGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESIMQHDHDNATAREVKESAGCSASVSGKFCGDSQRKLDGAVTFTRFAEKHRRLANAFIRQNPSLLEKSLSMMLKAPRLIDFDNKRAHFRSRIRQQHDHQLSGPLRISVRRAYILEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHLLTNAIRPQINSFLEGFNEMVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASNVVQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQDRLLLAIHEASEGFGFG >CAK8563071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:571168166:571168615:-1 gene:gene-LATHSAT_LOCUS16516 transcript:rna-LATHSAT_LOCUS16516 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNLFVLGLLLLVCLSNLMQVSSDHEIEMEEEDEELHLPNELLTVRDGNRRLMQDIDCGGLCSSRCSVHSRPNLCNRACGTCCVRCKCVPPGTSGNRELCGACYTDMTTHGNKTKCP >CAK8540856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25718441:25722371:-1 gene:gene-LATHSAT_LOCUS9819 transcript:rna-LATHSAT_LOCUS9819 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPTRVFINFLCFVFFLFWSCSCSNALLSSKGVNFEVQALMDIKEFLVDPHGVLDNWDGDAVDPCSWTMVTCSNENLVIGLGTPSQSLSGTLSPSIGNLTNLQIVLLQNNNITGSIPLELGKLPKLQTLDLSNNFFRGEIPPSLGHLKSLQYLRFNNNSLVGECPESLANMTQLSFLDLSYNNLSGPVPRILAKSFSIVGNPLVCATGNEPNCHGMTLMPISMNLNNTNLDVLPSSKPKSHKMAIVFGLSLGCLCMIVIGFGLVLWWRHKHNQQPFFDVKDRHHEEVYLGNLKRFPFRELQVATHNFSNKNLVGKGGFGNVYKGILSDGTAVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTSSERLLVYPYMCNGSVASRLKGKPVLDWGTRKNIALGAARGLLYLHEQCDPKIIHRDVKAANILLDNYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLELLVDKDLKTNYDKIELEEMVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAERWEASQRTDTSKWKHHESSLSDRYSDLTDDSVLLVQAMELSGPR >CAK8570583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63564804:63566645:1 gene:gene-LATHSAT_LOCUS23321 transcript:rna-LATHSAT_LOCUS23321 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLKVQKFFQVFHNSYNSSPKVGPNLKDGASLFSCLSDIISLFNFGASKKNYLKSAFARFKYQQICWEDSVLTKHVDYNNMNLLKNEIEDFEEENVDEKCSPLKLIDDSCFISLELNDFFESCLPKLLQGCKKVLLYSTLKHGISLRTMIRKTARLNTPALLVAGDMEGAVFGALLDCPLIPTTKPKYQGTHQTFVFTNIYGHPRVFRPTGVNRYYYLCSNDSLALGGGGDGFALRLDGDLLTGTSGPCETFGNRCLAHSPEFELKNVELWGFTHA >CAK8578807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655602877:655604295:-1 gene:gene-LATHSAT_LOCUS30791 transcript:rna-LATHSAT_LOCUS30791 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQMHLCSTKVLLLSLLLFLFASLCSCSWRSEPQFQRRTMLEDQKQHQSDKKISKTSLQSTKNQTKLINANSVTSKNLTKTIKSNNLNSSKNQTKTIKTSNISSKSLNSNNLNSSKNQTKTMKSNDTSTKTLKSNNFNPSKNKTNVTSKTGSSKSTPPNTNSLKKLNSTTKSKKLNSTSTSTKKPLDLVKAINKTTKSTTTDKNNQPPKTQTHDTNKKPKTQTPPSRTLEEQDEEDDDFASFSEFKDLPNKFHRTLIPDLERISTTSKAYITNANNEMTKGFKPYVGKKYAPTIAAIVSTAFVLLPLLLVSLLCNRIKTYFSLQKILIFIQIYLSIYFTILCISTLVSGTEPLKFLFSTSQSTYICFQVLQTLGYVFYLLLLVMYLVFVFSTECGLGSKLLGLAQIFVGFSVGLHYYVTVFHRVVLRQPPKSNWKIHGIYAASFLLICLFTMADRRKKAYVENDGEEGKKN >CAK8561999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424532752:424536989:1 gene:gene-LATHSAT_LOCUS15537 transcript:rna-LATHSAT_LOCUS15537 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETFRDDSQQNHFSRLKDQVRLVKRKNCERYEVAPIKDKLSFENGFFMVLHACQLLAQKNDGVILIGVGGPSGAGKTVLADKIFNSMPGIAVISMDNYNDSSRIVDGNFDDPRLTDYETLLRNVCDLKAGKPVEVPVYDFKSSSRTGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSVTGGIHLDLIKRVIRDIQRAGQEPQEIIHQISETVYPMYKAYIEPDLQTAHIKIANKFNPFFGFQSPTYILKSTRNVSVDQIKAVLSQDFEETTEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDDPFVISPRITFAVSVRLLGGLMALGYTIATILKRSSHILSDDEVCVKLDWLEQLNRHYVQVQGTDRLAVSYIAQQMGLEGSYIPRTYIEQIQLEKLVMAMPDDLIRRKLNLTEDLVSSPKAAHFRTSSDGTSMRHKRIRSDMSQSFTNQKDENQAKVTGFVPNHQGLHEKNSSDSEMKLENQGTITQLSEQIASLHDRMGEFTNRIEELNSKLTTSENCPNQQNMVLQSESCDGSAPTSYFVTCLSNGSLIGSIMPNSSFPSQLTLSNGSLTGSTMPNSSFPSQLTKDAPLRDEISGIARVQRQIMNQLDNLNNIFCENLEEKPHQTRTNWKSASSSSSDSGGRQIIAAVAIGCLSIFVMKGLLTGN >CAK8541772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392211549:392212327:-1 gene:gene-LATHSAT_LOCUS10667 transcript:rna-LATHSAT_LOCUS10667 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNEEVKLFGMVESPFVTRVEIALKLKGVEYTYELEKKGNLSDTLIKYNPVYKKVPVLVHNHKSISESLVIVEYIDETWKQNPILPSDPYKKVQARFWSKFIDDKSVDPLRKVMNTIDEKEREKGIEEIEEAFQILENELKDKFFGGDEIGIVDITAVVIARLPIIQEAFGLNLLTSDKFPKIYKWSQDFNNHPIVKETLPTRETLLAFYKGLYETLIASK >CAK8532393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255886549:255887556:1 gene:gene-LATHSAT_LOCUS2103 transcript:rna-LATHSAT_LOCUS2103 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSQSHLPWKVRFSMSILSAISDITGRSNGTINRRLLAFIDWKVPLIPKFKSINGISSSDVMVNSTHNLWFRLFIPSSTTATAISSFPIIIFFHGGGFAYMSPSSIPYHILCRLFCCSFPAIVVSVNYRLTPEHRFPSQYEDGLEILKFLDNNSDVLGKSADITKCFLAGDSAGGNLIHHVAVRVSLEKFRVLKIIGMISMQPFFGGEERTESEIRLKGVPVCSMEKSDWYWKMFLPDGSNRDHESSNVSGPNAMDISKVNYPNTILLVGGFDPLIDWQKRYYEWLRNSGKEVELIEYENMIHAFYYFPDLPETSQFISKVKDFMIKQMVKMN >CAK8533204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590625462:590630737:1 gene:gene-LATHSAT_LOCUS2849 transcript:rna-LATHSAT_LOCUS2849 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPQPPSYTVVVSETSTGNDSPATKLSIPEVPMKDNVDIVKLKTRRGNEIAAVYVKYHRPTCTMLYSHGNAADLGQMFELFVELSNRLRLNVMGYDYSGYGQSTGKPSEYNTYADIEAAYKCLKEQYGVKDEQLILYGQSVGSGPTLDLASRISELRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGMVKCPVLVIHGTADEVVDVSHGKQLWELCKVKYEPLWVSGGGHCNLELYPEFIKHLKKYVQTVGKPKATTANGSEKDTVETENQGNKASKESETGTSSTSELSTEIPEASRNSLDSRLKKSKKPDKPEKSRMSTDHVDRFRRRKGLVW >CAK8574642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4669311:4673242:-1 gene:gene-LATHSAT_LOCUS26969 transcript:rna-LATHSAT_LOCUS26969 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIHLHGTLHATIYEVDKLNAGGGGGGFLSTIRQNIEETVGIGKGVTKLYATIDLEKARVGRTRIIENEHTNPKWYESFHIYCAHLASNIIFTVKDDNPIGATLIGRAYVPVEEVLGGEEIDRWVEILDKEKNPIPEGSKIHVKLQYFDVTKDRSWARGIHSTKFPGVPYTFFSQRQGCKVSLYQDAHVPDNFVPKISLSGGKNYEPHRCWEDIFDAITNAKHFIYITGWSVYTEISLVRDSRRPKAGGDVTLGELLKKKSSEGVRVLMLVWDDRTSVNVLKKDGLMATHDEETAKFFEGTDVHCVLCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSELPRGGSDKRRVVSFVGGLDLCDGRYDTAFHSLFRTLDTAHHDDFHQPNFPGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVSLRELEDCIIPPSPVMFPDDPETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFAWSAEDIKPEEIGALHVIPKELSLKIMSKIEAEEKFTVYIVVPMWPEGVPESASVQAILDWQRRTMEMMYKDIVQALRAKGIEEDPRKYLTFFCLGNREVKKQGEYEPSEQPEPDSDYERAQQARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPHHLAIRQPARGQVHGFRMSLWYEHLGMLHESFLHPESEECITKVNQIADKYWDLYSNDSLEHDLPGHLLRYPIGVSSEGDVTELPGCEFFPDTKARILGAKADYLPPILTT >CAK8574885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13520264:13522628:1 gene:gene-LATHSAT_LOCUS27184 transcript:rna-LATHSAT_LOCUS27184 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSETMNHLRKKLPLPIIITTICILLFTIVLYAERLSFLSSSSIFKFKTCPRKHTKSKSYDRRIEDVVINGTWIDDRFDFDPEECNVANGKWIFNHSIKPLYSDMSCPYIDKQFSCVKNGRNDSHYLHWEWQPEDCTLPRFNPEIALKKLQGKRLLFVGDSLQRNQWESFVCLVQGIIPEKKKSMKRGRVHSVFKAKEYNASIEFYWAPFLVESNTDINIIGDPKKRIIKVDEIIERAKNWTGVDILVFNSYVWWMSGLRIKALWGSFSNGEEGYEELDTSIAYNLGLRTWANWVDSTINPNKTRVFFTTMSPAHTKSVDWGNKDGIKCFNETKPVKKKNHWGSGSNKGMMSVVAKVIKTMKVPVTVINITQISEYRIDGHSSVYTETGGKLLTEDERRNPLNADCIHWCLPGVPDTWNQIFFAML >CAK8560177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9705221:9708836:1 gene:gene-LATHSAT_LOCUS13875 transcript:rna-LATHSAT_LOCUS13875 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNNHKEPWDWHGEDYCLQNTIPNFDISEELWNDVPQNGEDLSYMFDAETTPVKACGDLAYNVNNGDSNSSYIQKEQLEDGRETSQVKRRRMLQFDSQESDNSLSNMEMPAPCFKNGKDDSMKDIFPEVSQWMSGAPEYTLENIDLEATEGWLTEYLNDAEMQFSPEDLNFLGADDVHIDVAELCNITPSHEQHEQNMVPPNVTGVSKNVVFKGKKSFIRTPTKLASTVAYPFAFIKPSGAHGDVTLKEINQRILTPPSKSKQSSDDPSAYPKSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >CAK8537882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444531356:444532531:1 gene:gene-LATHSAT_LOCUS7133 transcript:rna-LATHSAT_LOCUS7133 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLNKIDLSGNHLVTDKSLLSLCQHCRSLEEISFFTCFKITQFRIASAFRMRPSLASISFNIEKKRIHGPGLTLVPINVDLIDSLASLKRLNVVDLSNSFISDEFLISLANSAGNFLKKLILHDCCNFTFSGISYVLSKCQYIQCLDLRKADFLTDQCIKKLSMFLLNLTSINLSGCFQLTNSTFFILTKYCQLLNEIKMERTYIGVDGEEDFNSMTDFSINLQVKVVYFGDNVLLNDVSLSKFSSICHNLQFLDLSACEGISEECVVEVMKRCSKIRHLNLSYTGIEKFQINFEILQLEVLNFSGLRIQDETLFIIWKLCIGLLSLDIQNCCYITTKGVREVIENCKGLKELNLKNCQLVDDDFVFMQTLTKPSLRTIITPSGVNVYY >CAK8543878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646146545:646150620:-1 gene:gene-LATHSAT_LOCUS12602 transcript:rna-LATHSAT_LOCUS12602 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPESSDAKGTKRDFSTAILERKKAPNRLVVDEAVNDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCEEPKIRMNKVVRNNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETDPHEYCVVAPDTEIFCEGEPIKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLEKIAKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFATALGSSNPSALRETVVEVPNCSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPISKDVDIRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERKRSENPEAMEEDVDDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADSGSSGAAAAGASDPFTSAGGADDDDLYS >CAK8570270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:32979247:32980050:1 gene:gene-LATHSAT_LOCUS23033 transcript:rna-LATHSAT_LOCUS23033 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGRPKTTVPPSPPPESLSSLKTPQSDSRTTTPPNPSSKTPETGAKTDKEMEATLGNAIKETPTEVTKTQPEERKLWVDIINDNRNPAKGLTMEYVAPKVVNRTIEIDIEQEDIETEIRFWDNALILYVVGDDLSMNTVKNFMQRTWNFVKIPDLYYHDDGYFLLIFNSQKDKETVMMKGPYTIRHIPMILKEWHPGFNLKRDLLRTLPIWVKLPQLPLHLWGAKSLSKIGSAIGKPLVTDECTTNKLRVSYARILIEVDITQQ >CAK8533335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:604028744:604028974:1 gene:gene-LATHSAT_LOCUS2973 transcript:rna-LATHSAT_LOCUS2973 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPG >CAK8578476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631558117:631559435:-1 gene:gene-LATHSAT_LOCUS30483 transcript:rna-LATHSAT_LOCUS30483 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKMRAMESLLKNMYMQQNLHLSEEEVNDKMREALHNDNISTSRSSTSTYASSHQKVRNEDDEQDDDLQDDDDLQDDDNLHYDQGRSSI >CAK8578450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630058787:630060217:1 gene:gene-LATHSAT_LOCUS30462 transcript:rna-LATHSAT_LOCUS30462 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRHRILLVPFPIQGHLNPAFELAKRLITLGAHVTISTTTHMHNRITNKPTFPNLSYLPFSDGYDDGFKGTTTEDYLLFHAEFKRRGSEFVANTLLSNSQQGTPFTCLVHSLLLEWVAQAARGFHLPTALLWVQPATVFDILYYYFHGFSDSIKNPSRSIELPGLPLLLSSRDLPSFLLESCPSAQTLMLSLFEQQFKELDIETNPITILVNSFEALEPDALRAVDHLNMISIGPLIPSAFLDEKDPTANTSFGGQTHIFQPSNDYVEWLDSETEKSVVYVSFGSMSVLSKKQTEEIARALLDCGFPFLWVIREGKEGEKEEELSCREELEEKGKIVKWCSQVEVLSHPSLGCFLTHCGWNSSLESLVSGVPMVAFPQWTDQMTNAKLVEDVWKTGVRVDHEVKVDGVVGGDGIRRCLEVVMGSGEKGKELRRNAEKWKRLAREAVKEGGSSHKNVRSFLDWVGERMHACVVEK >CAK8564517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679434549:679437291:-1 gene:gene-LATHSAT_LOCUS17816 transcript:rna-LATHSAT_LOCUS17816 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQTQDDNNIQFQVNASDRGQNAPLVFSREAPFIRKDSYNAKKLKSTNSHLPGTSHNKLCRQDRLELGRLLQTAVSSHNWDFAESLILVADPQILNDALCITLDSIWFLTTELELHGITLFIRKIIANGAYDFTRATLRTSFLASCVSACQTRTMTLVDTITVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGIHSNLQEDTDNVTLTSAVETQLRLSAFKTFLDLAGNRLTGKDFSEAFDAACFPLTLFSSSFDPGWAFGMSAAVIQGLLAMLVEGGADNVNQCFLEASRFGSTELVRVLLQIAQRNNLDVDVDLALGFASHYGKIGTMECLVEEGNAVAFLGPLMRAAERGCMQVVEWFVQRGCRDMELCLALTAATSSCQVHIASYLLPHVPQQVLAALSVEILKAAGERSGGSLEGVSFLLQSDFLGDPAATYAVADIIAKLEDEAVAPELKAFLKEHWSEGAYIEGLRLGKEHYMNLVRIIKWGESPICLRDLPAPLNVAIAYLPLYRECVKAGGCLFSQRLRGQLVEAARRLGGNRLFDETTNGRDLLVILERHLPQFLLAPNSIA >CAK8543898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648322122:648325083:-1 gene:gene-LATHSAT_LOCUS12621 transcript:rna-LATHSAT_LOCUS12621 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKGVKSFLDFSYTTGRPQGREISCPCAHCVNCKWERRHVVRDHLIVVGFVKGYDVWVNHGEDIPSPMKIKEDTKEQENSLDDIGGLLYDTFRNVVEAEESSEAHNEDARKFYKLVNEAKQELYPGCESFSTLSFIIRLYLLKCLHGWSNASFTSLLELLKEAIPELNIPKSFNKTKAMISDLGLDYKKIHACPNDCMLYWKEHENDNSCNICKASRWKEFPQVESESSEHAKYDHKVPAKVLRHFPLIPRFQRLFMCSKTAKEMRWHEEERSKDGKLRHPADGQAWRDFDRLHHDFASEPRNIRLGLSSDGFNPLRTMSLSHSTWPVMMVVYNYPPWLSMKSEYTMLSLLIPGPQSPGNDIDVYLQPLIEELKELWELGVYTYDASKNQTFKIRAAVLWTISDYPGYAMLSGWSTKGKFACACCNHNTESSYLKNSHKMCYMGHRVFLPMSHAWRLNKRSFNGKKELRSAPSLLKGTDILETLKDFQNEFGKTKKKKKDGPWKKKSIFFELPYWAENTLRHNLDVMHIEKNIFDNIIGTLLDIPRKTKDHVNARYDLKYMGIRKKLHPKEIGGGRAEIAKSCFSMSAREKTIFCGVLKAAKLPDGTASNISKCVQVSNKKVFGYKSHDAHFMLHYLLQVAVRGTMPNAVAEPLIHLGSFFRSLCKKVIREQDLNFLEAEIADILCQLEMIFPPSFFDIMVHLPIHLVNEVRLGGPVQFRWMYPTERNLCKLKSYVRNRAHPEGSIAEAYLAEEALTFCSRYLHDNVDTRLNRKSRNYDNSDLCDVDLSDYFSCIGRSLCGKKNGKPFFLDSTTKSQAHRYLLFNCDEVNTFIREHDDIVNSQTKGRRWVKAKTQSHDFSEWFKTRALKDDVSIQLKYFSRGPCDTTKRFSGYLINGYRFHTMKRDARRKTQNSGVTLVSLTPSFASSKDENPRMKAVTY >CAK8579785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722539763:722541470:-1 gene:gene-LATHSAT_LOCUS31701 transcript:rna-LATHSAT_LOCUS31701 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLVLPPAPPSPKDDAMQLHRAFKGFRCDTSTVINILAHRDATQRASLQHEYTSMYSHDLLKRISSKLSGRLENALLLWMHCPAGRDAVILKQTLTVNKNLEAATEIICSRTPSQLQCLRHIYYNKFSIYLERDIQTNTSSYHKKILLAYVSTPRHESPEVDKEMAENDAVVLYKAGEKRLGTDGKTFVRIISERSAAQLATINQFYRSKYGHSLKKAIKKQTSGSFGRALLTIVQCAENPAKYFAKVLRRGMKGLGRDDKRLTRVIVTRCEIDLHYIKAEYLYKYKKTLNDAVHSKTSGHYRAFLLSLLNPFQ >CAK8536518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:949274966:949276815:-1 gene:gene-LATHSAT_LOCUS5878 transcript:rna-LATHSAT_LOCUS5878 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPGCDKTGLRKGTWTPEEDKKLIAYVTRYGCWNWQQLPKFAGLERCGKSCRLRWLNYLRPDIKRGNFTQQEEDTIIKLHQKLGNRWIRIAANLPGRTDNEIKNHWHTVLKKRFTKNNKSESDTKNETRNWKDTNSDNPTMEEQNKRGPNITTALSSIPSSSETIDTPTTREISYEKYLFDELPLMDEYMDVLNDNFWTEPYIIDNSYVPPNQEDTLLPVWCEHEYFSFGAMENKLLQ >CAK8534971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798460240:798460461:1 gene:gene-LATHSAT_LOCUS4461 transcript:rna-LATHSAT_LOCUS4461 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDLSVVGNRFTWFSFNGRCKTRLDRFLISEGLLKEWKLDVKYVGDRDISGHRPIWVKSSNSNWGPKLFKF >CAK8570334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37518157:37519334:-1 gene:gene-LATHSAT_LOCUS23090 transcript:rna-LATHSAT_LOCUS23090 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERSKPLHNFSLPPMKWGTQRVLKCVNPDPSPLNRNSPQSDPNRKNHETVVRERIMGDLTVAATKLKVAIFEENAEAHASLVVGASNASAIRPWNLRTRRAACKAPPSPSPIPPPPPRDEGRRFFDVGSSSPSSPVMMMMKKKKMVMVNEKVKFSVSLSKEEVEQDFCFLAGTRPPRRPKKRPRIVQRQLNTLLPGMWLSEVTAESYKVSEVPE >CAK8560109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7984874:7990791:1 gene:gene-LATHSAT_LOCUS13821 transcript:rna-LATHSAT_LOCUS13821 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKQHVEEIRRTKFSIGGEPNPLTEDLHHAVKNLSAELYAKDVHFLMELVQNAEDNSYNDGVKPRLEFVITSDDITATGAPATLLIFNNEKGFSPKNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTAQPDIFSNEYQIRFNEKPCPHCSLGYIVPEWVEEKPTLAEIKKIYGNDSLPTTTIVLPLKPDKVNPVKQQLSSVHPEVLLFLTKIRQLSVREVNEIPTQKNTVTAVSISSEVDFVTMKNMNAESYTLHLSAEENSDDEMECSYYMWKQKFPVRLENMVERRTDVEEWVVTLAFPNQERLHRGKSSPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLDNKWNQGILECVPSAFMDAFKTLVIGSDQAPVSSLAQMFKFLPVESSPFEKFNHVRDKIKAKLVEENIIPIETYTEQKHFYKPGEVHRLLPEFWDILNKARDEGVYLLNLSSHDGRKILSSSFDTSEYDQVLNFLGVKLVDVDWYAKCIQSSNLVDGVSEKLYLQLLLFVAKNWSSKFKGTSINSIPLIKYVALDGSPSSFSLHECTQPHAGAKRVVITDSSEFDACSWLIDWNKEFACAANRFFMPESTQKAILHFSQKQTLMEWLVNQVYVTDLSVYAFAKVLCNSVKNNRKHAIAYAHFLYHSFSKAHLSKREVDTLCNSMPLIDNYGSITEIRKGVLVPANVSKWADLIVSNPWRNEDYVELGKEYLNASFCAGQFTDSGELISFLTTHVGASDIPHISPPNAGFSAVDTPLTKDNAFLLLEWIWNLRYKGVHLPKRFLECIKEGSWLKNTVNGYSPPSKSFLIRSPLGKILQTGSVLVDIPLIDVRFYGDRINRYEEELRTIGVMSSCEEACNFIGKELMSRASSFTLSKNHVLLMLNFMRYLRESLLPLDKFVNSIKDGPWLKTSWGFRSPEGSVLNDSEWKVASEISNIPFIDQSYFGYEIYNYKEELKLLGVIVGLSDNYETVIKHLKSPSNLASLTAEALLLTMHCIRLLNDSSKLSTSLKETSCLKTNMGFKKPSECFLYDQVWGCILDVFSGLPVIDHKFYGEKIFSYKAQLRKIGVAVDFEDAVKIFASLFEQKASQTSFDKENVMSFLSCCRKLKGTDYRFPPDFSTIIRNQKWLYTKVGCYMCPKKCILYGPEWKSISSITCLPFIDDSDKFYGTTIYEYKSELKNVGVVTELKNGVRFVPECLNFPSNPSTITPESVFSLLECIRSLLSEHKLSIDDEFKKRLSRNWLKTHAGYRPPESCLLFDSKWSSFFNPTDGPFIDANFYGPKITSFQKELNAVGVIIDLEKGCALLASHLDSLSNTDNIVKIYGYLSEYNWKPEKEDDKKIWISNATEGGKWVNSEECVIHDSDKLFSLKFYVLENIYDKKILPFLTFPMEVRTKPSLDDYVDLWNDWERSSEEFSYDKCRKFWMFIMKHLGTNTEKKLFDRLIKLPVTTSSLEIFLVDKKDAFIPDNLHLKKLFEQEKIFVWYPQQNFGPSSIAKLYDIYRKIGARNISESLYKEESSLVNDDGVEMVRVDRNNIFNLKGLVKLILGFLAGSSLKMEPDKRHEAVQGLLNLSFLKTMVPVTVSYSLSLSSGYIVVKKDDKMVRWNRQSSKFFIQKMDEPQRNALKYATYLSETISEGVLSENHDFVPALSELITLGFVLKFKNEDIEFLMESKNLQIYCEDEKFLSSAFPSD >CAK8577129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539056269:539057782:-1 gene:gene-LATHSAT_LOCUS29260 transcript:rna-LATHSAT_LOCUS29260 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTSSFFDDQTVFFTTKLLRWTICSLIPIALFLTYFYHVPIQPFSPSPNSDFTHSNITSHSSPSSSYAEKKRAYQNQCDYSKGDWIKDTSSPLYNDTTCGMIKEGRNCIKHGRPDSDYLYWRWKPTECYLPRFEPRTFLQLIRNKNIAFVGDSMARNQLESLLCMLSTVSIPNLVYQYGDDNQFSKWYFSSYNVSISLYWSPFLVQGIEKSSTRPNNELYLDHVDEKWAKDMNQMDMIVLSIGHWFLLPAVYHEGDSILGCHYCPGLNYTDIGFYDVLRKSLRTTLNSIIDRRENNKGKEIDVVVTTFMPHHFEGEWDKAGACPKTKPYRNGEKEVEGMNGEMRKVEIEEVAAAKAKGSEDGRFRFEVLDITELALLRPDGHPGPYMNSFPFFNGVQEHVQNDCVHWCLPGPIDTWNEIFLEMIKKWGKETRSED >CAK8570876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:143530612:143530830:1 gene:gene-LATHSAT_LOCUS23588 transcript:rna-LATHSAT_LOCUS23588 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLIKELIWMISILEPSKYNFFPAMTKIVGTLGPKSRSVDVISSCLKAGMSVAHFDFSWGGAEYHQET >CAK8579205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683407048:683407341:-1 gene:gene-LATHSAT_LOCUS31170 transcript:rna-LATHSAT_LOCUS31170 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEDYIVFDEAPNATIHLDVDVQLQDLQQKNNLTPSNKDDKRGEIVEVLDEIYALFDTIKLKRIWKKNLQFMKLMEFLPNKRKKKDDVFVVSYMPP >CAK8578783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654391258:654396784:1 gene:gene-LATHSAT_LOCUS30767 transcript:rna-LATHSAT_LOCUS30767-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISMASTLTHTLSLTPSTSRSYLSQTKHSFRYPTIINLPSFNINHRVLSKFKVSASSSSSFQALIFDCDGVILESEHLHREAYNDAFLHFNVHSPSNSPQPLNWDIQFYDQLQNQIGGGKPKMRWYFKEHGWPSSTLFDIPPANDEERAKLIDTLQDWKTERYKDIIKSGSVKPRPGVLRLMDEAKDAGKLLAVCSAATKSSVILCLENLIGIERFQSLDCFLAGDDVKEKKPDPSIYLTASKKLGVSEKNCLVVEDSVIGLQAATKAGMSCVVTYTSSTADQDFKEAVAIYPDLSNISLKDLELLFQDIVAAK >CAK8578782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654391246:654396784:1 gene:gene-LATHSAT_LOCUS30767 transcript:rna-LATHSAT_LOCUS30767 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVMNISMASTLTHTLSLTPSTSRSYLSQTKHSFRYPTIINLPSFNINHRVLSKFKVSASSSSSFQALIFDCDGVILESEHLHREAYNDAFLHFNVHSPSNSPQPLNWDIQFYDQLQNQIGGGKPKMRWYFKEHGWPSSTLFDIPPANDEERAKLIDTLQDWKTERYKDIIKSGSVKPRPGVLRLMDEAKDAGKLLAVCSAATKSSVILCLENLIGIERFQSLDCFLAGDDVKEKKPDPSIYLTASKKLGVSEKNCLVVEDSVIGLQAATKAGMSCVVTYTSSTADQDFKEAVAIYPDLSNISLKDLELLFQDIVAAK >CAK8531720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143604024:143604287:1 gene:gene-LATHSAT_LOCUS1491 transcript:rna-LATHSAT_LOCUS1491 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKSQKLKVYFRRYQVRFKRRRKGKTDYHARIRLINQDKNKYNTPKYQFFIRFTNKDIVARIASASIAGDIVPDAAYSRAATLRS >CAK8536192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915922620:915923824:1 gene:gene-LATHSAT_LOCUS5584 transcript:rna-LATHSAT_LOCUS5584 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQKNKTNDQTQKQENNETKNSNNNKKEDETKPTTVILKVDMHCDGCASKIVKCIRGFEALKLNLLVEGFEKMNVDKETGKFTINGTVDAAKLKDKLVSKTKKKVDVISPILKNDKENKDNKNKPEEKKPKEPPVTTTVLKMELHCQGCIEKIRKTVSKTKGVYDVKIDKEKETVTVKGTMDVKVMVEKMKKKFKRKVEVVPPKKEKEKENEKEKEKNKGENDGGKKNKQKGEGGNNKVDENGGKGKMEVTTNSNGHGYGYGYGLLGFDYGYNNSNGEGEILQLPAPPQMFSDENPNACCIM >CAK8536191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915922620:915923824:1 gene:gene-LATHSAT_LOCUS5584 transcript:rna-LATHSAT_LOCUS5584-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQKNKTNDQTQKQENNETKNSNNNKKEDETKPTTVILKVDMHCDGCASKIVKCIRGFEGFEKMNVDKETGKFTINGTVDAAKLKDKLVSKTKKKVDVISPILKNDKENKDNKNKPEEKKPKEPPVTTTVLKMELHCQGCIEKIRKTVSKTKGVYDVKIDKEKETVTVKGTMDVKVMVEKMKKKFKRKVEVVPPKKEKEKENEKEKEKNKGENDGGKKNKQKGEGGNNKVDENGGKGKMEVTTNSNGHGYGYGYGLLGFDYGYNNSNGEGEILQLPAPPQMFSDENPNACCIM >CAK8562902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555068639:555068916:1 gene:gene-LATHSAT_LOCUS16364 transcript:rna-LATHSAT_LOCUS16364 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHIDASVSATEPVGYPGGPYDTSLLVKYEHHIARHIWFGEERGLKKELKVAGHGLKLIQRVPL >CAK8540239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546604217:546607528:1 gene:gene-LATHSAT_LOCUS9265 transcript:rna-LATHSAT_LOCUS9265 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSQCPPFEFSSKYYHVSENGGGCVRQTSFFEGKPLLNQGVGYSIILGFGAFFALFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVMLFGIMAIEIKRKAPYAHTVCEIVKARWGTSAHIVFLFFCFMTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHIVLVIFVYLVYTSSSELGSPSVVYNRLLEVASKSRACQDPISHHGQSCGPVSGNHKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATALGLGALALDLPLNESEAGRGLVPPATAVALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNASGKKILKVSRGVVLGFGCFMGMLAVILNKAGVSLGWMYLAMGVLIGSAVIPIAFMLLWRKANAIGAILGTIFGCVLGIITWLSVTKIEYGKINLDTSGRNAPMLAGNLVSILTGGGVHAVCSMLWPQNYDWSTTKQITVVEKEKTDLPVEEFKEEKLIKAKVWIVKWGVGFTVLIVILWPILSLPAGEFSKGYFYFWAVIAIGWGTIGSAVIIALPIIESWDTIQTVIQGIFTNDRLMEKMDELNFKLHTIIQSVPEAERLYLLGKEKAKKSEASEQQSFSLPN >CAK8568889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651633021:651635112:1 gene:gene-LATHSAT_LOCUS21798 transcript:rna-LATHSAT_LOCUS21798 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRPRNRSVFTGFTNSEIEKMEKVLRETKGQSLTQDFYQKLTKSFNYSSGRAGKPLVKWTEIESWFQTRLQELPQVPENELISPQGLQCKEGKIVRDTSELEFEARSSKDEAWYDVEAFLAHRFLSTGEAEVKVRFVGFGAEEDEWVNLKSSVRERSVPFENSECSGLKTGDPVLCFQERRDQAIYYDAHILEIQRRMHDIRGCRCHILIRYDHDSTEERVRLRRLCHRPRS >CAK8576665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:503684255:503684530:1 gene:gene-LATHSAT_LOCUS28838 transcript:rna-LATHSAT_LOCUS28838 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRITSIIRRASFSKTRGSTKGFEVPKGYLAVYVGEKMRRFVIPISCLNQPMFQELLNEAEEEFGYDHPMGGLTIPCSEDEFLNLTSHLY >CAK8575333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:83523263:83523724:-1 gene:gene-LATHSAT_LOCUS27604 transcript:rna-LATHSAT_LOCUS27604 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELLKFPKIEDLDQEMEFQLFAETYQLVEPSIKEKDAVYELLTYSSKLYISSGLIWKNSLDIQEQIIFIGNIPLMNSLGTFIVNGIYRIVVNQILQSPGIYYRSKLDHNGISVYTATIISD >CAK8570889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:150213470:150231111:1 gene:gene-LATHSAT_LOCUS23601 transcript:rna-LATHSAT_LOCUS23601 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAFTSICFNRAHSTNKNLLKQQNVKSRIKCSIKSGIETSQKNVRVGVLGASGYTGSEILRLLANHPHFEVALMTADRKAGQAISSVFPHLGTQNLPDLIAIKDADFSDVDAVFCCLPHGTTQEIIKGLPKHLKIVDLSADFRLRDVSEYEEWYGQPHRAPDLQKEAIYGLTEVLREEIKNARLVANPGCYPTSIQLPLVPLIKANLIQTSNIIVDAKSGVSGAGRSAKENLLFTEVTEGMNSYGVTRHRHAPEIEQGLADAAGSKVTISFTPHLIPMSRGMQSTIYVEMAPGVKTEDLYHQLKLSYQEEKFVVLLENGVIPRTHSVKGSNYCLINVFPDRIPGRAIIISVIDNVVKGASGQALQNLNLIMGFPENLGLHYLPLFP >CAK8568462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608034561:608035718:1 gene:gene-LATHSAT_LOCUS21417 transcript:rna-LATHSAT_LOCUS21417 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFENTRREKRFQFHNQAEIETPSFSSIILDKIYRSIDEEERKSSDQKFYTETTVNKMTKINAKCNRFDEDELEPNLRLRIGTQIERKLHHDRDQDVMFFSSASSSSDSSSGLLSSSSDTESIYRAKSGNPCFAHSRPKPVKTSVPPERSIARDEDVFIKSKSRAVKLYNNLKKVKQPISPGGKLTSFLNSLFVNTKKSKSSASSYEDVNAVRKGKSEQTSNCSSASSFSRSCLSKNTSTSRDKPRNGAKRTVRFCPVSVIVDEDNRVCGNKCLHGGEGSNLTALSVPTAWKIGRSVSKKKEQDALNMNERVEEVLRDFHLNRKLLRDFSMRKNEKDDDDVASSSSSDLFELDHLVSMGNDRYYISEDLPVFETTHVGSNRSIQ >CAK8577474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567041093:567041524:-1 gene:gene-LATHSAT_LOCUS29578 transcript:rna-LATHSAT_LOCUS29578 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEQVQCFGRKKNAVAVTYCKRGRGLIKINGAPIELVEPEILRFKAFEPILLLGKSRFAGVDMRIRVKGGGKTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >CAK8530192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5002853:5004061:-1 gene:gene-LATHSAT_LOCUS80 transcript:rna-LATHSAT_LOCUS80 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSNYIPNDLIISILSKLPLKSLKRFECVQKSWTLLLKDSHFVSAFRKTFMSKTLFGFDDTSYLMRYYMFGPPNVRSYFYFLFGERLENKVKMDYSLPFVDHGQDFIVVGSCSINGILGIVSQNEGFALWNPTIDEYKVIPPSPAESVPYRNFSWLIHGFGYDHVKNDYKVLRRIFFYQLNRHDCECLGLDEENVPWKDVSYEPVWEIYSLRSDSWRKVNINIPMTIPYIFMIPNNDDRIDRCYTKGMCHSLYKVSEYIFQTCLMSFDVCNEVVFTTPMPSYMNDNMDPNWNYKHLMILIKGFIALSSHHGETNTIHISILNEIGVKESWTKVFILGPLACVAYPFAGGKNGDLFLRKENGELACFDLDTQMINELGVEAYKSHIIVYNKSLLSIRSIHD >CAK8532101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:203586877:203593409:-1 gene:gene-LATHSAT_LOCUS1837 transcript:rna-LATHSAT_LOCUS1837 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFRRCTTSKTEPDFPENSKTSSPTAGKHVYGAMLPVFLNDLRSNHHKELVEITLELENESVVLRNIAPISSAPNVSPSSSHTVARDGVSSTGGGVTRSLSITSRIKNKFPWLRSMSLRSSTSSSESVTAVEEDPMVARNARRMRAELERTRSSAQRGLKGLRFISKSGEACEELWKKVEKRFGFLAKDGLLDREEFGECIGMEDSKEFAVGIFDALARKERKALSKITKEELRQFWLQISNQSFDARLQIFFDMADSNEDGRITREEVQELIMLSASANNLSKLKEQAEGYAALIMEELDPENLGYIELWQLEMLLLEKDRYMTYSRQQSSASVNWSQNMSSLRPKNKINKIRKTLQCLALEYWRRGWILLLWLITIASLFTWKIYQYKNRSSFEIMSYCLPVAKGAAETLKFNMALILLPVCRNTLTWLRSTKVRKFVPFDDNINFHKMIAFAIVIGITVHAGNHLACDFPLLVNSSPEKFSIVSSDFNNKKPTYKSLLISIEGVTGITMVTLMVISFTLATSQFRRNAVNLPSPINRLTGFNAFWYSHHLLGIVYILLFIHGSFLNLTHKWYQKTTWMYISVPLLLYIAERTLRTRRSQHYAVKVLKVSVLPGNVFSLIMSKPNGFKYKSGQYIFLQCPKISPFEWHPFSITSAPGDDYLSVHIRTVGDWTQELKLLFTEDDQSAPVNSRATFGELIQMDQIGQPKLLVDGPYGAPAQDYQNFDVLLLIGLGIGATPFISILRDLLSDTKTIDEQTDSNTETTKSDESFNSFTSSNVTPGRHKRLQRITNAYFYWVTREPGSFEWFKGVMDEVAEMDHKGLIELHNYLTSVYEEGDARSTLITMIQALNHAKHGVDILSGTQVRTHFARPNWKEVFTKIASKHSNSTVGVFYCGMPVLAKELKKLSLELSHKTTTRFEFHKEYF >CAK8561698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:358174610:358174828:1 gene:gene-LATHSAT_LOCUS15263 transcript:rna-LATHSAT_LOCUS15263 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKLRQNPIMQHSLYHHPALITPPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVSEPLLQELFFKCWRA >CAK8533769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658176980:658179878:-1 gene:gene-LATHSAT_LOCUS3368 transcript:rna-LATHSAT_LOCUS3368 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVCPVQKCLPENYYQASQLVSKLGLKVKKIDCCKNGCMLYYKDDSKLSECKFCNAPRFISRKTGMGKYKDIPAKRVFYFPIIPRLQRLYASTESATEMRWNQMNKNSSNVLRHPSDGKAWKHFDDVYPDFAREPRNVRLGLCSDGFTPYIQASASPYSCWLIIVTSYNLPPEMCMTKPYLFLACLIPGPHNPKLKIDVYLQPLIDDLCLLWSNGVLTYDISIKQNFIMKACLIWTINDFPAYGMLSGWGTQGKLACPHCMEHTDAFTLKSGHKNSWFDCHRRFLPTNHSFRRIKRSFIKNRVVKDDPPPVSTGQDIWAVISNFPKVTEIGWEEKWKEFEGYGVDHNWKKRSIFWDLPYWKDNLLRHNLDVMHIEKNVFDNIFNTVMNFKDKTKDNEKAREDLAKLCFRGDLELQPLTNGKRGKPKASYTLTKTEVKLVCKWPKELKMPDGYASNLSRCANVEKGTVHGMKSHDCHVFMECLLPISFRSLSDLVWKPLTELSRFFKDLCCNTLRMDDLVKLDENISVIICKLERIFPPGFFDSMEHLPIHLSKEAMLGGPVQYRWMYPFERFMGVSKRAVTNKARVEGFICTDYIHRETNYFCSHYFNSFSLLPNTNICNNPRSDHDGILPTMSLLHSGGRPSGKSGKHYLSDKEWKSSHVHVLINCDEVKPYLDIFLESHSISIEDLSGQIHTEFPIWLKKYVNEKTNGVTNKDIISLSHSPSSLEISWNMYFVNGYKYHTEEWSKGKKTVNCGVHVKGLAEGGKDDFYGIIKHIYELDYFGLKEKIPLFYCEWFDPTKNTGTKVHPQYKTVDIKMDKRYRPYDPFILAQNARQVYYVPYPEMCRDMRGWCATITTKPRGHVMIDNIDDEMPYQSDGMLPVLPTIEIESISCLRDSTQVDVFEEIFDT >CAK8562004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424787291:424787581:1 gene:gene-LATHSAT_LOCUS15542 transcript:rna-LATHSAT_LOCUS15542 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRKAFFGLVFKEGSTYNVQKALNVKGYFMITATPIWANLFLMEESSLGDINTMIKEDEAWVKKWFDDIHPWLLADIDNERLTWMKCYGLSCHA >CAK8564011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645995330:645998004:1 gene:gene-LATHSAT_LOCUS17359 transcript:rna-LATHSAT_LOCUS17359 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGNFGLGLKLSVPATDQVAFAKFLTESGTFKDGDLLVNRDGVRIVSQSEVEAPPPIKATDNQLSLADIDIVKVVGKGNGGVVQLVQHKWTNQFFALKTIQMNIEEPVRKQIAKELKINQAAQCPYVVVCYQSFYDNGVISIILEYMDGGSLADLLKKVKTIPEPRLSAICKQVLKGLAYLHHERHIIHRDLKPSNLLINHTGEVKITDFGVSAIMESTSGQANTFIGTYNYMSPERINGGQGGYNYKSDIWSLGLILLECAMGRFPYMPPDQSERWESIFELIETIVDKPPPSAPSEQFSPEFCSFISACLQKDPGNRLSAQELLELPFISMYDDQHVDLSAYFSKAGSPLATLL >CAK8570199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28401244:28405867:1 gene:gene-LATHSAT_LOCUS22972 transcript:rna-LATHSAT_LOCUS22972 gene_biotype:protein_coding transcript_biotype:protein_coding MDGECNSLEKSILTVQSASKIMSEKRVNTNPGDKHEPPRKRVKMKDLGSLVHSSEKAIGKENIVQSSFDNVEMSQTTKVPLTVDTDVSKEEQDGRSVLGSPRLLDLNKIVGFGEKLGKDKELLSEKQEREQRGNIVNPKGVNVDLNAEDDTSSVNVERVSFQKEHGHFKSKDLSQSGSSTGPPKEKDPMKIWTEMKRNGFISSAHGGIPVPKKRGRKSKNEILEQKMELAKREQINRFTKIAAPSGLLNDLNPGIINHVRNRKQVHSIIEALVTEKHENRSKQEAHRMSGAIDMTKRDLECVNEDGTFHGSAGGRQARKSQTTKNDSSWILEGKDCDHDTYSLEKAGLKDCISNASHVTEDDILSLKLSSSMKASMSSTSMSNEESSNATAVSSLSLKAATVASQWLELLHQDIKGRLSTLRRSRRRVRSVITTELPFLMSKEFADNQGYDPCGMNIPAGLPTSKIAELHQARWTALFDHMDEALSEEEKQLESWLNQIKDKQLLCDQGLQHVNWSKASGLQQSATSENHSRSSQVDNSEKELAVNAAAASIYSTCNFLLSELSCI >CAK8530696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41477317:41477655:1 gene:gene-LATHSAT_LOCUS541 transcript:rna-LATHSAT_LOCUS541 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVYFNKRCYVDDPFVLASQVHQCFYIQYPFDANKHYVMKSILRDFFNMNEQSNSNPHQPYTSDLSDHAVNLASSDEICEVEFVRNDIPPTIVDNFVPAPNVIESDDDSNL >CAK8533071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574855621:574857809:1 gene:gene-LATHSAT_LOCUS2722 transcript:rna-LATHSAT_LOCUS2722 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKVLGLHKTASKEEIKSAFKKLAFQFHPDKHSQSPKAVKDNATARFKQVSEAYEILMDDRKRAEYNYRWRSGTGGRSGYAGGGGNSNYYSQYGYGYGRSGNSYEYKSRSGFNGGGGFASKFELAIRILTARSSLLNLGFAAAILGVMIVVDTSGESLWKMQNSGKSFEEAMNTIDKAKTYKENNVNERP >CAK8561157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:107511794:107513695:1 gene:gene-LATHSAT_LOCUS14770 transcript:rna-LATHSAT_LOCUS14770 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMKSKSKSKVAKAAKEQQKTSPKTSGSTNEESGVLTNAGDPILETVQTLKSSLVDSSAVANDNNQFSKVNDADDHSSSPQGTVSEYDSVSNNGSCSGESEDIKEKAANSTMRLDIIPGCDNDRRDKIRLKNERKHQRQRERRAHELHDRCGAYLMSRKLDNLVQKLVAMGFSSERATLALKLNDGKLEESISWLFEGSEAKDTTNRVSESNLKIDISEELGQIYNMEVKYNCSKQEIERVVVACEGDLQKAENSLKSQKQESPMNQSENSAQNDNLMRTHGLQPALASIQQRGNESDFNYYNVGGADSMFQDLENRNPQSSHMNHQNELTQKRWGVNVTASNPSNVLPMSQSMQAMSPFVKMEAQPSAYRNEGRMIHQGVGREPVVMMQHSQFADAKQNYLNSMNSMSSGTSGWYVNGAPAYENTRSNGNLLLQNHGMGTVGADRLQQLCQAPYKEYSHVLGPLDSSISSGGMGGFYKPMVASSPSPTMPSHSQHNGSWNTAASSPALTVPPSLGLFCGHQNPSARAFNSHSHVDWNTGGLVQEFDYNNIDWSLDCPSSSRSGDVWLGLSSLLRNNTTGNRMPSSYMAGSRNVGTARETSSSAGLRDWTSPFAGKDIFSVPRKFVTFPPM >CAK8530430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20648917:20649306:-1 gene:gene-LATHSAT_LOCUS296 transcript:rna-LATHSAT_LOCUS296 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSPESPKHQPNDRILVALSEILSLLTKQAGRLKGKAKAAMIRDGEWRIDLKAPKSVLKFGKKTTKKKQQEEEECYGIWQKEILMGIKCEPLDYSGVIYYDINGKRTTEFVLRSTCASPTPNYLMHR >CAK8538008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457736429:457737420:1 gene:gene-LATHSAT_LOCUS7251 transcript:rna-LATHSAT_LOCUS7251 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHLTALSLFCLAFVGITATSPGEDYWQSIWPNTPLPKTFSDLLIPNGKTNSLPIKTEELNQYSTLFFEHDLHPGKTFHLGNTHPVGNVIRPFTKSKQGITDSIWLANKDEQSLEDFCYSPTAIAEHKHCVSTLKAMIDQVISHFGTTKIKAISSNFAQDQNQYVVEEVRKVGENAVMCHRLNFKNVVFNCHQVKKTTAYVVSLVGQDGTKTNALTVCHHDTRGMNAELLYEALEVTPGSVPVCHFIGNKAAAWVPNHTVDNRC >CAK8540583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11419889:11423990:1 gene:gene-LATHSAT_LOCUS9575 transcript:rna-LATHSAT_LOCUS9575-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPSFKISKTILAILSLVLLSNIPSQAQSYLFPKQKSIQYNISTLQIGEATTTKPYESILEFLNSSRFPNRDSFPRGFLFGTGSSALQIEGGCREGGRGLGIFLDVEKFPSKIEHYKRYKEDVQHLKKLGINSYRMSISWSRVMPDGTLKGGINQEGINFYNNLIDELLKNGIEPFVGIMHFDYPLALKQKYGGFLNRSIVKYYKDYSELLFKTYGDRVKHWITINEAEVTAIFQFMLNIDNVSTDEPCKNTKICTQTYTLLHNFLRSHATTSKLYKTKFQAQQGGEIGFALSSGRYIPYSSKSEDVVAAQRLMDFYWGWLLDPVFYGDYPTIMKEYVGNRLPRFTKKEKHMLKGSADFVGINYYTSRFARHESNRTKIMYDNYDALAVSEASNIEGKILGYKDQYGWNNVYPEGLYNFLVYIKEKYKNPKIYITENGIASSKIPEPLKDEHRIAYIAAHLNATKAAIDDGINVQGYFLWAAFDTFEFQAGYTGNWGLYHIDFNDSLKRIPTDAAKWYTKYLTSDLRH >CAK8540582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11419889:11423990:1 gene:gene-LATHSAT_LOCUS9575 transcript:rna-LATHSAT_LOCUS9575 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPSFKISKTILAILSLVLLSNIPSQAQSYLFPKQKSIQYNISTLQIGEATTTKPYESILEFLNSSRFPNRDSFPRGFLFGTGSSALQIEGGCREGGRGLGIWDDIVEQNKGIFLDVEKFPSKIEHYKRYKEDVQHLKKLGINSYRMSISWSRVMPDGTLKGGINQEGINFYNNLIDELLKNGIEPFVGIMHFDYPLALKQKYGGFLNRSIVKYYKDYSELLFKTYGDRVKHWITINEAEVTAIFQFMLNIDNVSTDEPCKNTKICTQTYTLLHNFLRSHATTSKLYKTKFQAQQGGEIGFALSSGRYIPYSSKSEDVVAAQRLMDFYWGWLLDPVFYGDYPTIMKEYVGNRLPRFTKKEKHMLKGSADFVGINYYTSRFARHESNRTKIMYDNYDALAVSEASNIEGKILGYKDQYGWNNVYPEGLYNFLVYIKEKYKNPKIYITENGIASSKIPEPLKDEHRIAYIAAHLNATKAAIDDGINVQGYFLWAAFDTFEFQAGYTGNWGLYHIDFNDSLKRIPTDAAKWYTKYLTSDLRH >CAK8564612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2507298:2510985:1 gene:gene-LATHSAT_LOCUS17900 transcript:rna-LATHSAT_LOCUS17900 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIVGETLVSASMEALLQKLISTDFPDTEVDLSLLIKLKKTLARVQPLLHYYFQDTKWLDSLIDLAYQVDTLFHQINTKEAPDQTLTLATKVRNMFSSPFKHFHEVIHSKILDLTERLKPLILRGERDGLEFSNRTHVWDGAPTNSVLEHDSSIYGRDADIQKLKHLLLSTDSDGDSKIRVISIVGMGGIGKTFLAKHLYNDPQVKDKFELKVWAYISKDFDAVRVFETILKSITSQSISNGNLSSQILKSHIAKGEYTIYQNLLLVSLQQISNTSKFLLVLDDVWDTNSINWISLMDTFNAGEMGSKIIITTRDETVARSMQMILSVHYLRPLQNEDLWYLLVRYAFGPCNDQHVSYLEEIGRKIAKKCDGLPLAAVALGAVLYGKLFSNDWNSVLKSNIWESTIPELWIAEDLVGSFTGQERWKVGEEYFDVLVSKSLIQRRSTENKEENFEMHNLVHDLATMASSPYCIRLGEHYLHVMVQNLSYNRGLYDSFDKFNKLYGLKYLRTFLALPLQKQSPRCLLSNQVIHDLLPTMKQLRLLSLSNYKNITEVPKSIGDLLYLQYLNLSHTNIERLPSETCNLYNLQFLLLGGCKRLTELPEDMGKLVNLHHLDVSDTSLKKMPVQIAKLENLHTLSDFVVSKHNDGLKVADLGKFPNLHGKLSISQLQNVNDPFEVDQANTKMKEHIKELALEWDYGSTFPDSQIKSAVLEHLQPSTNLESLTIKGCGGISFPNWLGDFSFRNMVYLKISNCHDCLWLPPLGQLENLKELFIEGMPLVQTIGTEFYGSDSSSFQPFPSLEILHFEEMQEWDEWNLTGGTFIKFPSLKTLSLRKCPKLIVGNIVDKFPSLTELELRECPLLVQSMHLSDHVFQQLIFPLSSLQQLTIDGIPSSMSFPEDGLPKTLKFLIINNCENLEFLAHEYLSNYTSLEELKISYSCNSMTSFTLGALPVLKRLFIEGCKNLKSILIVEDVSLKSLSFLRSIKIWDCTELESFPPGGLATPNLVYFAIWKCEKLPLLPEAMYNLTNLQEMEIDNLPNLQSFVIDDLPYALRELTVGSVGGIMWNVEPTWEHLTCLLVLRINGGDGTVNTLIRSLLPASLVTLCIHGLNDTSNHGKWLQHLNSLQNLEIVNAPKLKSLPKGLPSSLSVLSITRCPLLVATLRRKRGKEWRKIAHIPSIIIDDELIT >CAK8572827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566162475:566164873:1 gene:gene-LATHSAT_LOCUS25347 transcript:rna-LATHSAT_LOCUS25347-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGRSPTRNGSNPLSQQHEWRSPSAEAALGESMWHLSLGGGGESYPERHGVPNCAYYMRTGFCGYGGRCRFNHPRDRAAVAAAVRATGDYPERLGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNIYGYPLRPGENECSYYLKTGQCKFGVTCKFHHPQPAGTSLPASAPQFYQQVQSPTVPLPEQFGGASTSLRVARPPVLPGSYVQGAYGPVLLSPGVVPFPGWSPYSAPVSPVLSPGAQPAVGPTSLYGVSQLSSSTSAFARPYTPLPSSPGPAGSSLQEKVFPERPGEPLCQYYLRNGDCKFGLACRYHHPQDHVAARPVLSPIGLPLRPEGSRF >CAK8572825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566162475:566165930:1 gene:gene-LATHSAT_LOCUS25347 transcript:rna-LATHSAT_LOCUS25347 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGRSPTRNGSNPLSQQHEWRSPSAEAALGESMWHLSLGGGGESYPERHGVPNCAYYMRTGFCGYGGRCRFNHPRDRAAVAAAVRATGDYPERLGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNIYGYPLRPGENECSYYLKTGQCKFGVTCKFHHPQPAGTSLPASAPQFYQQVQSPTVPLPEQFGGASTSLRVARPPVLPGSYVQGAYGPVLLSPGVVPFPGWSPYSAPVSPVLSPGAQPAVGPTSLYGVSQLSSSTSAFARPYTPLPSSPGPAGSSLQEKVFPERPGEPLCQYYLRNGDCKFGLACRYHHPQDHVAARPVLSPIGLPLRPGVQPCSFYLQNGHCKFGSTCKFDHPVAVGSMRYSPSASSLIDVPVAPYPVGSLLSSLVVPSTTPSEFRPELKAGSKKESSISSRMHPSGTSVGLIFSQGGSVSLSDAAHLSSQSSVSLNGSRSSRQSGEIH >CAK8572826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566162475:566164886:1 gene:gene-LATHSAT_LOCUS25347 transcript:rna-LATHSAT_LOCUS25347-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGRSPTRNGSNPLSQQHEWRSPSAEAALGESMWHLSLGGGGESYPERHGVPNCAYYMRTGFCGYGGRCRFNHPRDRAAVAAAVRATGDYPERLGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNIYGYPLRPGENECSYYLKTGQCKFGVTCKFHHPQPAGTSLPASAPQFYQQVQSPTVPLPEQFGGASTSLRVARPPVLPGSYVQGAYGPVLLSPGVVPFPGWSPYSAPVSPVLSPGAQPAVGPTSLYGVSQLSSSTSAFARPYTPLPSSPGPAGSSLQEKVFPERPGEPLCQYYLRNGDCKFGLACRYHHPQDHVAARPVLSPIGLPLRPDLDFDNLA >CAK8531982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186375666:186375875:1 gene:gene-LATHSAT_LOCUS1728 transcript:rna-LATHSAT_LOCUS1728 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHPSGDWMGRGARALDNLRTATGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8568736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:637162504:637166297:1 gene:gene-LATHSAT_LOCUS21668 transcript:rna-LATHSAT_LOCUS21668 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQSEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDADSIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGPLVAPTPRKKEEESPLAKITRDSAKITVEQVHGLMSQVIKDTLFNSVHQANKSRTETSGPEPMIES >CAK8541274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:101701940:101706866:1 gene:gene-LATHSAT_LOCUS10206 transcript:rna-LATHSAT_LOCUS10206 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAIQPCSSWTRGSIPISKPIQRRRFRARPKLTRLKIVAAVVQATPSTTVPSIRNGTNPVLTLPFNRADDLQAEARAMGRAVNATLYSPELLASKYGSQPIKVVQRALEIVTSLGSFGLKLFFEQRNGMLEKNKRARAAELKTIFTQLGPTFVKLGQGLSTRPDICPPEYLEELSELQDGLPTFPDDEAFACIERELGVSLDSIFSSISPSPIAAASLGQVYKARLKYSGKLVAIKVQRPSIEEAIGLDFYLIRGLGFFINKYVDIITTDVVALIDEFARRVFQELNYVQEGQNARRFKKLYADRPDICVPDIFWDYTSAKVLAMDWVDGVKLSEQEAIERQGLNVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPDGRLAFLDFGMMSETPEDARSAIIGHVVHLVNRDYEAMARDYYALDFLSPDVDVSPIVPALRDFFDDALNYTVSELNFKTLVDGLGNVLYQFPFNVPAYYALILRSLTVLEGLALNADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDEKFRWSRLEDLLIQGRMDNDFSAKEALQPVLKVLLSPDGEVIRALVIKEAVRVSEAFTLSSISDTYKSVPDFMRTLVFNGNANGPLMMSESEMQSMIELRNQVVRIWGLLQSSNDFDPALLLPIFQILQQPEVRRLGGGVMDGITQRFLARFLQQVLRVPATASA >CAK8565615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292943422:292945779:1 gene:gene-LATHSAT_LOCUS18812 transcript:rna-LATHSAT_LOCUS18812 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHVRRLTTLTPTINLSILETSLRRCQLLNQFKEILSQMILTGFITDTYAASRLINFSTHSNFIPFHYSLKIFNHLHNPNTFIWNTIMRSHLELHNSPQQAIHFYKLFLFQNTPPDHYTYPILLRSCAARVSEHEGKQIHDHVVKFGFDFDVYVRNTLINLYAVCGNMVSARQVFEESLVLDLVSWNTLLAGYVNVGDVGEAEFVYDRMPERNTIASNSMIVLFGRKGCVEKARRLFDRIEGKDMVSWSAMISCYEQNGMCEEALVLFANMNACGVMVDEVVVVSAISACTSLSVVWMGRSVHGLAAKVGIQDYVSLQNALIHLYSSCGEILDAHKLFNGGVLLDMVSWNSMVSGYLMCGLIEDAKALFDSMVEKDVVSWSAMISGYAQHGCFTEAVALFQEMQLLGIRPDETALVSVISACTHMAALDLGKWIHAYISKNKFQVNVILGTTLIDMYMKCGCVENALEVFYAMKEKGVSTWNALILGLAMNGLVEKSLNMFEDMKKTKTLPNEITFMGVLGACRHMGLVDEGRRYFSSMTQEHKIEPNVKHYGCVVDLLGRAGLLKEAEELIESMPMTPDVATWGALLGACRKHLNNEMGERLGRKLIQLQPDHDGFHVLLSNIYASKGNWGDVLEIRGNMVHHGVVKIPGCSVIEANGIVHEFLAGDKTHPQINDIEHMLDVVAAKLKIEGYAPITSEVSLEIDEEEKETALFSHSEKLAVAFGLITIPPHAPIRIIKNLRICNDCHTVVKLISKAFDREIFVRDRHRFHHFKHGSCSCLDFW >CAK8563532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:613318136:613322133:1 gene:gene-LATHSAT_LOCUS16931 transcript:rna-LATHSAT_LOCUS16931 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGPYTGGGVDKGRARHYKGRVTVHVIIACIVAATGGSLFGYDVGISGGVASMDDFLQSFFPAVYAHKLEAHENNYCKYNNQGISAFTSTLYISGFIASLVAAPVTRKFGRRASIIIGGINFLIGSALNAAAVNLEMLIIGRILQGVGIGFGNQAIPLYLSEMAPTQFRGALNMMFQVATTFGIFTANMINYGTQQIQPWGWRLALGLAAIPTLLMTVGGIFIPETPNSLVERGSKEQGRKLLEKMRGTDEVDAEFQDMLDAGELANSIKHPYWNILKKRYRPELVMAICMPAFQILTGINSILFYAPMLFQSMGFGRAASLYSSALTGVVLALSTFISIATVDRLGRRPLLISGGIQMIVCQVIAALILGVKFGENQELSKSYSISVVVVLSLFVLAFGWSWGPLGWTVPSEIFPLEVRSAGQSITVAVNLLFTFIIAQAFLSLLCSFKYGIFLFFAGWISIMTIFVVLFLPETKGIPIEEMSIIWRKHWFWKRILLDDADRLSQDSGTELIHLDV >CAK8538555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487142035:487145003:1 gene:gene-LATHSAT_LOCUS7741 transcript:rna-LATHSAT_LOCUS7741 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEEDKALQLCRVRKKFVRQALDGRCSLAAAHISYVQSLKIVGTALQKFTEPEPHTESSLYTNATPEALALTDKAMSQSSPSTSQHIDAAEMQNLYPTPSPPSSSTKFHANHMKLSSFSSKKVEEKPPVPVIETVTSSGIARNGNGMSETEAFEDSSLPDGTPQWDFFGLFNPVDHQFSFHDAKGMPHHDDGEELPELEDDEAKVSSSSSRDSDDEFDEEPAAETLVQRFENLNRANSNNHVQASVSPMKGDSVSEVESVNEENGNSPYLSSSKKAPMVALASLETNKPMEKESHSENKVTPKNFFSSMKDIEILFIRASESGIEVPRMLEANKFHFRPIFPGKENGSLASLFCKACFSCGEDPTSQVPEEPAQNAMKYITWHRTASSRSSSSKNPLDANSRENLEERTNNLFDSSCMISGSHASTLDRLHAWERKLYDEVKASEIVRKEYDTKCKILRNLESKAEKTSTVDKTRAAVKDLHSRIRVAIHRIDSISKRIEELRDRELQPQLEELIDGLTRMWEVMHECHKLQFQVMSASYNNSHARITMHSELRRQITSYLENELHFLSSSFTKWIEAQKSYLEAINGWIHKCVPLQQKSFKRKRRPQSEILIQYGPPIYATCDVWLKKLGTLPVKDVVDSIKSLAADTARFLPYQDKNQGKEAHSHIGGESADGLLRDDVSEDWISGFDRFRASLIRFLGQLNSLSGSSVKMYKELKQAIHDAKNNYHRLNSHSQNGHSNSHPQSQHGRQNSESQDEQSTSQSQTVE >CAK8560991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:69297993:69301053:1 gene:gene-LATHSAT_LOCUS14621 transcript:rna-LATHSAT_LOCUS14621 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYGGKIGGSDQRGGSGSSGGGPCGACKFLRRKCVKGCIFAPYFDSEQGTVHFAAVHKVFGASNASKLLMRIPVHKRLDAVVTLCYEALARARDPVYGCVAHIFALQQQVMSLQAELTYVQATLATMQRVPISLSPSLPNPQNSSPTLHSSTDHLGTNADMLSASNYMSMHIDPHQPISASLDLSNFFNQSDQQQLEDDELQALAREFVSRYLPGVRFQPSNSN >CAK8578162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610501294:610501545:1 gene:gene-LATHSAT_LOCUS30200 transcript:rna-LATHSAT_LOCUS30200 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRNIFGGNDGGNNGTVDAFNNSCGRQDFDEADFKTGAKVTNGGYTTHRNNGTKHAFNNSFGGTQKFGKAKFDTGARIGN >CAK8567992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561790000:561790266:-1 gene:gene-LATHSAT_LOCUS20995 transcript:rna-LATHSAT_LOCUS20995 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQNEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQDQ >CAK8576316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:457169284:457170184:1 gene:gene-LATHSAT_LOCUS28514 transcript:rna-LATHSAT_LOCUS28514 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLKIVLIYSILNIVLSDGLPPSIQLSQSPSPNPFHIDHEVLKPYSPYQDLGKSRKRSPPPPPSPPPPPPSFDHFMLAQTWPPTFCILNANCISPLPQKFTIHGMWPGKRGVVIRDCKEENTPNLNDLHLIKKNLDIDWPSLVKDVSIVFANGALWLKEWKHHETYSIQMFEFSAYFEETLKVYHRNNIKEILEKDGIKQGGNYPKQKILNAIQTEIKFMPQIRCEQIKNLDYLSEIRLCLTATPELEYKNCDTPYSGCHNNDIYF >CAK8562495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500989234:500990394:-1 gene:gene-LATHSAT_LOCUS15987 transcript:rna-LATHSAT_LOCUS15987 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNLFTIAFICIIVAGVGGQSPSAAPTTTPAATTPVSSPVAAPPKPASPAPVASPKSSPPASSPKAAAPALATNPPASSPPPAVTPVSTPPAPVPVSSPPTPAPVSSPPAVTPVVAPTTPAVPAPAPSKAKKGKKSNAPAPSPSLLGPPAPPSEAPGPSGDASSPGPASTSNDESGAETIKSLKMLGGLALSWIAVVLIF >CAK8530896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60484596:60487511:1 gene:gene-LATHSAT_LOCUS726 transcript:rna-LATHSAT_LOCUS726 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANCWRLTVNEKKFIESALLSELRVDGRGPLDYRKLNIKFGRGDGSAEVQLGETRVMGFVSGQLVQPYRDRPNEGTLSVFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAIDTESLCILSAKLVWAIRIDIHILDNAGNLVDAANIAALASLMTFRRPECSLGGEDGQQVVVHPPEVREPLPLIIHHLPIAVTFGFFSNENLVVLDPTYREEAVMTGRMTTTLNANGDVCAIQKPGGQGVSQRVIMHCLKLAHVKAGDITAKIKDAVEKHSTERALQKIKRHSSSVAVDVCGTTARLAPKQNQSDADKDGSHLAQLKLKDEANFMECDATSSEQIQNNKKDGSSKNFIGGPSSWDPYSECVNSDLLKASLASHAGQSTPSKQKNSRLETKAEEPVQEIKADSEPIDTALTVGKNNEVKTLKVAVKPKNKRKKKAYSNNGN >CAK8530895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60484596:60487511:1 gene:gene-LATHSAT_LOCUS726 transcript:rna-LATHSAT_LOCUS726-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANCWRLTVNEKKFIESALLSELRVDGRGPLDYRKLNIKFGRGDGSAEVQLGETRVMGFVSGQLVQPYRDRPNEGTLSVFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAIDTESLCILSAKLVWAIRIDIHILDNAGNLVDAANIAALASLMTFRRPECSLGGEDGQQVVVHPPEVREPLPLIIHHLPIAVTFGFFSNENLVVLDPTYREEAVMTGRMTTTLNANGDVCAIQKPGGQGVSQRVIMHCLKLAHVKAGDITAKIKDAVEKHSTERALQKIKRHSSSVAVDVCGTTARLAPKQNQSDADKDGSHLAQLKLKDEANFMECDATSSEQIQNNKKDGSSKNFIGGPSSWDPYSECVNSDLLKASLASHGQSTPSKQKNSRLETKAEEPVQEIKADSEPIDTALTVGKNNEVKTLKVAVKPKNKRKKKAYSNNGN >CAK8543908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648917616:648924110:-1 gene:gene-LATHSAT_LOCUS12631 transcript:rna-LATHSAT_LOCUS12631 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAIRGCEDRRLQTKYNNATSVIQRALALYPIEEVAFSFNGGKDSTVLLHLLRAGYFLHKVGQNSAAANGDVKDFPIRIIYFESPSAFPEINSFTYDTAATYGLQIDTIRLDFKSGLETLLKDKPIRAIFLGVRMGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVKYCSLYDQGYTSIGSIYDTVPNSLLSIINSSNKFKPAYLLSDGRLERAGRVKRKSSAGGQLTADSNGLDVHKNSTLTASIIAVGDEILFGIVEDQLGPYLCRKLGSVGWSVLQCSVVHNNIDSVAEEVEQQKSRTDLVFIYGGVGPLHSDVTLAGIAKAFDVRLAPDEEFEEYLRHIIGDQCIGDRNEMAQLPEGITELLHHDNLAVPLMKCQNVIILNATNVSEMEKQWDCLVELTKSSDLLTLLEPFISKHMTTNLSDVEVAQPLSKLCLEFPDLYIGCYRKARYGSLIISFKGKDQARLELARKALQNKFKSGAFLEIKKDD >CAK8561548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:288598245:288599087:-1 gene:gene-LATHSAT_LOCUS15129 transcript:rna-LATHSAT_LOCUS15129 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNPSWCEMTSELLPFQTPQDRPDLLTRIFHSKFEQLKDDVINKGVLGKVKSYMYVTEFQKRGFPHVHMLLVLESNDKLRGPEEYDSTVRAEIPKLECEPQLHESVLKHMIYGPCGVMNRKSPCMKDGHCKKRYPKQFLDETRQGTDSYPEYRRRFDEPISLGRGRSIDNRWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYKYVYKGPDHAAMEVHKGSYMDEVQQYVDARWICAPEALWNFASLFTDYILLLKDYRSTCRTAIKCAFMIISELWIC >CAK8537931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448226162:448226350:-1 gene:gene-LATHSAT_LOCUS7179 transcript:rna-LATHSAT_LOCUS7179 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEEKETIETQLLFDMVMMVFSSGKERTKKEWAKLFSSAGFSDYKITPVLGLKSVIEIYP >CAK8560661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34885259:34888171:-1 gene:gene-LATHSAT_LOCUS14319 transcript:rna-LATHSAT_LOCUS14319 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPQTLQFLSECFLNTLSPAPEPRRRAESSLSEAANSPNFGLVVLRLVAEPAVDEQIRQSAAVNFKNHLRLRWSLEDSSILETEKEQIKTLIVPLMLAATPKIQSQLSEALAIIGNHDFPRAWPTLLPELVASLKNASQANDYTSINGILGTADSIFGKFRTQSHSNDLWIDLKYCLDNFAAPLLEIFLKTASLIDAAATAAPPPPAANLRQLFESQKLCCSLFYSLNFQELPEFFEDHMREWMTEFRKYLTTSYPSLEGSGPDGLALVDELRASVCENISHYMKKYEEEFKGFLNDFALAVWTLLGNVSQSTSRDQLAVTAIKFLTTVSTSVHHTLFAADGIIPQICQGIVIPNVRLREDDEELFEMNHIEYIRRDMEGSDVDTRRRIACELLKGIATHYGDAVKSIVSGQIQSLLSSFAANPAGNWKDKDCAIFLVVSLSTKKAGTSYVSPDLVNVQSFFESVIVPELQSQDVNGYPMLKAGALKFFTMFRTQISKHVALNFLPDLVRFLAADSNVVHSYAASCIEKLLLVKDEGGIARYSSADISPIFPMLMNNLFSALKLPESEENQYVMKCITRVLGVADIQLDVARVCIEGLGSLLSEVCKNPKNPIFNHYLFESVAILVKRASERDPSLVSVFETSLFPRLEIILSNDVTEFFPYTFQLLALLVELNRPPIPPIYMQIFEILLSPDSWKRASNVPALVRLLQAFLQKAPNEISQGDRLTKVLGIFDTLIQSSSTSEQGFYVLNTVIESLPYDVIKPYISHIWAAIFRELQKRRPIKLIKSLLIFISLFLVKHGSSNVIDTMNAVQPDIFSAILTQFWIPNLKHIIGKIELKLTAVASTRLICESPVLLDPAASVSWGKMVDSIVTLLSRQEQDRVEDETDMPDIAEKVGYTDTFVRLHNAGKNVEDPLQDIRDPREFFVASLSQLCARSPGRYSKVISENVDPENQTALLQLCNTYKLSLV >CAK8569729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9412389:9414620:1 gene:gene-LATHSAT_LOCUS22546 transcript:rna-LATHSAT_LOCUS22546 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHTQTVSGWAAHDSSGKITPYTFKRRENGVTDVTIKILYCGICHTDIHHAKDDWGITMYPVVPGHEITGVITKVGSDVSGFKEGERVGVGCLAASCLDCDFCKTDQENYCEKLQFVYNGIFWDGSITYGGYSQMLVVDYRYVVHIPENLPMDAAAPLLCAGITVFSPLKDHNLVSTPGKRIGVVGLGGLGHMAVKFGKAFGHHVTVISTSPSKENEAKKRLGADDFIISTNPEQLQAAKRSLDFILDTVSADHALLPILELLKVTGTLFLVGAPDKPLQLPAFPLIFGKRSIKGGIIGGIKETQEMLDVCGKLNITCDIELIKADTINEAFARLVKNDVRYRFVIDIADAFATTKDI >CAK8563486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609022213:609024784:-1 gene:gene-LATHSAT_LOCUS16887 transcript:rna-LATHSAT_LOCUS16887 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHTSFVDDEGVDRACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAVINLGLENVPVPGESGFPFPGLFVIPQSNNEAELFRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNVITR >CAK8579545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706591881:706592780:1 gene:gene-LATHSAT_LOCUS31483 transcript:rna-LATHSAT_LOCUS31483 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNRLKMRISRMFRSSFGSCKTRQHVSDVMEKPLFAPLPSKTLLPFHPISKPKPSPKTIDDMSFNLPHSHSHSLPRRKISTCSSSPFLCGANSNNNIHIKSSPPPVSRNTIFDDERNNLGFYEKTNASSKTVTNKTKKKKKKKRIKNKKKTHTQKKNRTFPFNSCAKDTNFDSYWWYSTDEDDETDTLFSSKSLSSDSSKSRRRKTSRRRTDRSSDMGVLPLNGKVKDTFAVVKRSSDPYSDFRTSMVEMIVEKQIFSPTDLENLLHCFLSLNSYHHHKIIVEVYTEIWEALFSHSL >CAK8578510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634140934:634143462:-1 gene:gene-LATHSAT_LOCUS30515 transcript:rna-LATHSAT_LOCUS30515 gene_biotype:protein_coding transcript_biotype:protein_coding METTIPVKTMIPSTSMIQNHAFKTKTTTRLNTTRRISTQLKHLRFSIHSKFSDTNFQDFGSYARPSGLLPASEVKVYTKTSLESILSSIKEDRSKSLFRLKLVTSNLYGSSITDFNAGVLLCFIDEDGKSILQRLPVSLMTDLSAESGDRLYFQRGSVDEFIFEGPKIARLEALWVGVESGQWRLGNISLITISSEWQPSLPEEVSQYTGFQYDFPVEDVLLGEGTDLSMLELRPNLVTQLEGTDPLSLFNKGLYDTTLLLNPKISKEESMKEYSNLKFSLLFYDAVLTFFGTSIAFFSSGENTGFAFLVGGIGGFLYLLLLQRYVDGLPGSELITSNKKGTDALFKGLKGPIVSVALALAFAVFVVKYSSGDYIDVTLTPKDIIVGMIGFLACKVSVVLSAFKPIAPKLHE >CAK8538509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485490599:485491751:-1 gene:gene-LATHSAT_LOCUS7698 transcript:rna-LATHSAT_LOCUS7698 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRIDGIPIPIDVVHVHWRKLSMEVKLGEDVNDGSEVDMSSAIDELWKRFKSLDVVGKRVLKSRVFELAFPTMTSMCPPLEKIKIKGGVKKKDKKPVRYDVCRDPSYHEYVDHASQSSQMQSQPSQTSKKLKLSQTSQKKSQTSQASKKLKLSQSSQSSKQFIIQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWPMVRRDLGLEIIHNETSSLYANLFTDLLAVVREYLMIEEFGPQPPHKWLTLPDMGYVITNCYNIVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNRKEGFPLPPVTVDWKKFRSPAATSWMLGFAGRLQHWQQLMPILPTNTL >CAK8577118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538161188:538161898:-1 gene:gene-LATHSAT_LOCUS29251 transcript:rna-LATHSAT_LOCUS29251 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIEGDSNQREDVEVDHGDGDESLWVPVIGMCFTSLEEVKTYYQEYALKKGFRWRIRSSKKGDDGELHYLILSCSREGSNISKISCTLKTLPSRAKNCPAKICIKLKQDGLWYITQFEENHSHETSPTKARLFKANKKMNLHVPRTIQINDDAGVRINKTFQSLIKDEGGHENIPFCEKDVRNYINKERRAIGKEGDGKALISYFCKMREQNTNFFYDIDLDDDFHVRNVF >CAK8542585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528019994:528021844:-1 gene:gene-LATHSAT_LOCUS11413 transcript:rna-LATHSAT_LOCUS11413 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRRYNDSDFGKFTLLIFFLIGAISFSAVSLFLTLVFRENVASVVTMQDEVFVEKSDVEDLLSEEEGKCCRGVEHLELWGEAVTWGNDFRVNSSEDCCRACKNMCKGGGQRCLCNSWVFCGDRDACGSRFGECWLKQQKDALNPDRMNLGTRDNVMWTSGFVFDKGEGVVGLETDYGILRIKLLPECAPQSVSYILELLALSHCVGCQFYRAESRGRFWDAKGNHIKKATFGPPFALVQGTLESHEFMFNDIPKEEHCPGIKRGSVAWVGSGPEFFISLANHKEWRNQYTVFGYVLSEDLQILEKISRLPTKSDVWSDIPVSILENPVLLRFRKIT >CAK8542586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528019994:528021838:-1 gene:gene-LATHSAT_LOCUS11413 transcript:rna-LATHSAT_LOCUS11413-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYNDSDFGKFTLLIFFLIGAISFSAVSLFLTLVFRENVASVVTMQDEVFVEKSDVEDLLSEEEGKCCRGVEHLELWGEAVTWGNDFRVNSSEDCCRACKNMCKGGGQRCLCNSWVFCGDRDACGSRFGECWLKQQKDALNPDRMNLGTRDNVMWTSGFVFDKGEGVVGLETDYGILRIKLLPECAPQSVSYILELLALSHCVGCQFYRAESRGRFWDAKGNHIKKATFGPPFALVQGTLESHEFMFNDIPKEEHCPGIKRGSVAWVGSGPEFFISLANHKEWRNQYTVFGYVLSEDLQILEKISRLPTKSDVWSDIPVSILENPVLLRFRKIT >CAK8541715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:363345729:363347738:1 gene:gene-LATHSAT_LOCUS10611 transcript:rna-LATHSAT_LOCUS10611 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHLQLFISVFLFLSVPLLSQLDHLMYAGFKDVDPNNLTLNGIAEIEKNGIIKLTNETSKLMGHAFYSQPIQLKNSTTGKAFSFSSCFAIAIVPQYPKLGGHGMAFAIAPTKDLKALPIQYLGLLNSNDIGNFSNHLFAVEFDTVQDFEFGDINDNHIGIDINSLQSNASVNASYYSDDSRLQYLNLKSGKPILVWVDYDSSRNLISVTLSPSSTKPKKPTLSFTMDLSPIFHDTMYLGFSASTGLLSSSHYVLGWSFKINGPAPFLELSSLPQLPQPKKKQTSLITGVSVTASVIVLCSIAISIYLFRKIMNADVIEAWELEIGPHRYSYQELKKATKGFKEKELLGQGGFGRVYKGTLPKSKVQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVPLLGWCRRRGDLLLVYDFMANGSLDKYLFEDSEIVLSWEQRFKIIKGVASGLLFLHEGYEQVVIHRDVKASNVLLDCELNGRLGDFGLARLYEHGANPGTTRVVGTLGYLAPELPRTGKASTSSDVFAFGALLLEVACGRRPIEPKALQEELVLVDWVWERLKEGRILEVVDPKMNGDFVESEVMMVLKLGLICSNDVPSMRPNMRQVVRILDGEVELPNEMRKPGGIESHEGFDEYLHSLGTSSFDNTNSSSHVVNKDNSISYANSPL >CAK8565062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32371566:32373530:1 gene:gene-LATHSAT_LOCUS18303 transcript:rna-LATHSAT_LOCUS18303-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQQGGGGKSPQCSQSVLPEEEADQIVNSGGGEVALKKGIEADQTVNSGGGEVALKKGPWTKEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGSFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIMSKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSAAVPDVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMTFEPPSFQNSQTQQPTWTDMDVPPLPSFEYVDTPVQAPPIESCPPVADSPDCCHLIDPIDYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8565063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32371566:32373530:1 gene:gene-LATHSAT_LOCUS18303 transcript:rna-LATHSAT_LOCUS18303 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQQGGGGKSPQCSQSVLPEEEADQIVNSGGGEVALKKGIEADQTVNSGGGEVALKKGIEADQTVNSGGGEVALKKGIEADQTVNAGGGEVALKKGIEADQTVNVGGGEVALKKGIEADQTVNAGGGEVALKKGIEADQTVNALKKGSTSTRKRKVSSLSSGSDVGKRSKLSRSILPEEEADQRGEVALKKGPWTKEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGSFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIMSKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSAAVPDVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMTFEPPSFQNSQTQQPTWTDMDVPPLPSFEYVDTPVQAPPIESCPPVADSPDCCHLIDPIDYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8531733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144370937:144372097:-1 gene:gene-LATHSAT_LOCUS1501 transcript:rna-LATHSAT_LOCUS1501 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNTIFNSHSHIPKLPIHFPFHFSHNFKLCATTSHSESDSDSFTVSYLINTVGFPRETALKASKRLRLKSLEKPNSVFTFFRDHGFPDTSIKKILIKEPWLLATNTHKSILPKFEFFLSKGASPSEIVTLLTASPRILQSSLENRIIPLFEFYKRFLKSDKATVCCMIRSSVPLSYNLTTDNVKLMIDYGVCDSSIARVFLTKATILGTIDLINTLEEVKGLGFDPSTSNFGTALIAKKYMSKAHWDEKVDVFKKWGWSDEAVVQAFRKHPSLMLTSIDKINLVMSFWVDRMGWDSLALTKKPQIFGYSLQKRVVPRALVLQYLMMKGLRKRNASLVTPFRWSEKQFLGKYVVCFKGESDYLLKLYEENMNLANTKENIDMPFTK >CAK8535392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845910534:845911826:-1 gene:gene-LATHSAT_LOCUS4857 transcript:rna-LATHSAT_LOCUS4857 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCVTASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8532599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:315192743:315193370:1 gene:gene-LATHSAT_LOCUS2287 transcript:rna-LATHSAT_LOCUS2287 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDEKTKQKAIEAAADIYGVDSIVADIKEQKLTVIGSMDTVKIVKKLKKVGKVDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKDEKK >CAK8563805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632112127:632113423:1 gene:gene-LATHSAT_LOCUS17180 transcript:rna-LATHSAT_LOCUS17180 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLVTLLCVMILNLTSVFATTVNEAYGGDVNTECPLGTDIYQYSCPQAEAIIFSWVEQAVSSDPRMAASLLRLHFHDCFVNGCDASVLLDDTHNFVGEKTAAPNLNSLRGFEVINEIKSELELVCPQTVSCADILATAARDSVLLSGGPAWEVQMGRKDSITASKAGANNNIPGPNSTVDVLVSKFENVGLTLQDMVALSGAHTIGKARCSTFSSRLQSSSISDGPYVDVEFVASLQQLCSGQGNSNRIAHLDLTTPATFDNQYYVNLLSGEGLLPSDQTLVSGNDQTRQIVETYVENPYAFFEDFKISMIRMGSLGSDAQSNGQIRRDCRTIN >CAK8532208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221248615:221249112:-1 gene:gene-LATHSAT_LOCUS1939 transcript:rna-LATHSAT_LOCUS1939 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTTCFVCWNLNTGFNCNNHLHNTRVSRSPFPLFCKMPHRILSPQQRRHYHKRTTSQPSIDGALKPNQRLNSDLNNKLSFNSLHNLNGPVLLHDNNSTPSALNVNGAEQTEQLFGGQLEDLLTMIKSAETNILLINQARVRALEDLQKFLAEKKALQGDISR >CAK8537004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:53777674:53778229:-1 gene:gene-LATHSAT_LOCUS6324 transcript:rna-LATHSAT_LOCUS6324 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGKLEVDIELKSNADKYWQTIRDSTTIFPKAFPHDYKSIDVLEGDGKAPGSVRHFHYAEGSLLAKSSKEKIEAADDEKKTVTYSIIEGDLLQYYAKFLGHITVIPIGEGCEVKWTAEYVKTKTDIPDPTIVKDFAVKNFLEVDDYVQTLA >CAK8571473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:426832073:426832499:-1 gene:gene-LATHSAT_LOCUS24136 transcript:rna-LATHSAT_LOCUS24136 gene_biotype:protein_coding transcript_biotype:protein_coding MLERNTFLFGAVDLVESSATETVNQLQNLQKARIQVAYKKLFDSTQIETYVRMDL >CAK8563903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638098371:638101031:-1 gene:gene-LATHSAT_LOCUS17261 transcript:rna-LATHSAT_LOCUS17261-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTNKSFVGMKVLCVFVLIGFVIVEGLENGNVTEMQFVRGRGFYNRPLMVGLTLINGAAAKGAVCLDGSLPAYHFHRGYGSGSNSWLIHLEGGGWCGTIRNCIYSKKTRHGSSYFMEKQIPFIGILSNKAEENPDFYNWNRVKVRYCDGASFSGDSQHEAAGLYFRGQRIWQAAMEDLMSKGMRYAKQALLSGCSAGGLSAILHCDEFRELFPRTTRVKCFSDGGLFLDSVDVAGHRSLRNLFGSVVNLHGVHKSLPRSCTSRRNPILCFFPQRLIASVRTPLFLLNAAYDTWQIQASLAPPSADYRWNWFECRKNYARCSWPQIQFLQGFRNQMLRVTRRFSWSRQNGLFINSCFAHCQSERQDTWFARGSPHIGNKGIADSVGNWFFNRVGVQAIGCAYPCDKTCHNLVFS >CAK8563902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638098371:638101037:-1 gene:gene-LATHSAT_LOCUS17261 transcript:rna-LATHSAT_LOCUS17261 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCQTNKSFVGMKVLCVFVLIGFVIVEGLENGNVTEMQFVRGRGFYNRPLMVGLTLINGAAAKGAVCLDGSLPAYHFHRGYGSGSNSWLIHLEGGGWCGTIRNCIYSKKTRHGSSYFMEKQIPFIGILSNKAEENPDFYNWNRVKVRYCDGASFSGDSQHEAAGLYFRGQRIWQAAMEDLMSKGMRYAKQALLSGCSAGGLSAILHCDEFRELFPRTTRVKCFSDGGLFLDSVDVAGHRSLRNLFGSVVNLHGVHKSLPRSCTSRRNPILCFFPQRLIASVRTPLFLLNAAYDTWQIQASLAPPSADYRWNWFECRKNYARCSWPQIQFLQGFRNQMLRVTRRFSWSRQNGLFINSCFAHCQSERQDTWFARGSPHIGNKGIADSVGNWFFNRVGVQAIGCAYPCDKTCHNLVFS >CAK8566191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:389184491:389185413:-1 gene:gene-LATHSAT_LOCUS19345 transcript:rna-LATHSAT_LOCUS19345 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANANALRWIDTIPVEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTCHLPITALVRATYYRLGSLFAERGSKWSAVLNSGETFIDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIVACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8575710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:263474104:263475060:1 gene:gene-LATHSAT_LOCUS27949 transcript:rna-LATHSAT_LOCUS27949 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLVDECRGVLHVYSDGSIVRSATPAFNIPVHDDGTVLWKDVVFDSAHDLKLRLYKPANSVKGSNLPVFYYIHGGGFCIGSRTWPNCQNYCFKLASQLQAVVVSPDYRLAPENRLPCAFEDGFTAVKWLQQQAVSSNPDSWLNDVADFSRVFISGDSAGGTMAHDLAVRLEFGSTELEPVRVRGYVLLAPFFGGTVREKSEAEGPKDAFLNLELIDRFWRLSVPIGENTDHPFVNPFGPDSKRFEEIDLDPILVVAGGNDLLKDRAEEYANRLKDLGKNIEYVEFEGQHHGFFTFDPDSEPSKKLTLVIKGFIEKY >CAK8566066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:375843077:375844069:1 gene:gene-LATHSAT_LOCUS19233 transcript:rna-LATHSAT_LOCUS19233 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSIEDYSWHAKEINGHDLALVDGAHAAACEEMATTMSSVEAAAYKGLQQCIETVMAEGEEEQKHIGKIVEFFQTTDRKNYLWVQWFYRIQDTVVKDAGDYHDKRRLFYSSIMNDNLIDSIIEKVNVRYIKHKNWDLVAQLVYDCVYALYAELG >CAK8543169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584972662:584973549:-1 gene:gene-LATHSAT_LOCUS11945 transcript:rna-LATHSAT_LOCUS11945 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRRNQGIHNDQVGQRRSSSYGGNHQVGHRRSSSYGGNPPLYNRYSTVPLWEEKFYATVGQVPWRRLLESHSNVMKWEDSAVKQAFYDAKFRFCAEINGYRWDDIPLLDPDMYIDEVDWDARVDPELYLDLEREEEARHILMEKRQQESEIVDNPFDHGWEIKPTGWGDEDENVTKPQEASYGAEGWIFNNHANNETNSWEQNDYHFADLQNKYQEKYDEYDRRKNAYRHGNQYKMNRGRRNRGKRGGRRENITYVAKAATPRSQ >CAK8531773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150446892:150450510:1 gene:gene-LATHSAT_LOCUS1539 transcript:rna-LATHSAT_LOCUS1539 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSMFHLFVLFFFLTYAQGRFVVEKNSITVLSPHKLRGKKDGAIGNFGIPNYGGYILGSLVYPDKGSHGCQVFEGDKPFKFRSNRPTIVLLDRGECYFALKVWHAQLAGAAAVLVTDSIDETLITMDSPEESTDADGYIEKIVIPSVLIEKSFGDSLKDSLSNKDEVLLRIDWRESVPHPDNRVEYEFWTNSNDECGPRCDEQMSFVKNFKGHAQILERGGYTLFTPHYITWFCPKPFVESSQCKSQCINHGRYCAPDPEKDFGEGYEGKDVVYENLRQLCVHRVANESNRSWVWWDYVTDFHVRCSMKEKKYSKDCAEFVMKSLDLPIEKIKKCVGDPEADVENEVLKIEQTAQIGGGSRGDVTILPTLILNNVQYRGKLERTSVLKAICAGFKETTEPQVCLSGDIETNECLGRRNGGCWQDKRANVTACKDTFRGRVCECPVVEGVQYKGDGYTTCEAFGPARCSINNGGCWSETRNKITFSACSESKVNGCHCPDGFVGDGIKCEDIDECNEKSACQCDGCSCKDTWGGYDCKCKGNLLYIKEQDICIERNGSKFGKVLAFVVIAVVAIAGLAGYVFYKYRLRSYMDSEIMAIMSQYMPLDQQNNVVHAESEPLRQGNV >CAK8538285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475182908:475184227:-1 gene:gene-LATHSAT_LOCUS7500 transcript:rna-LATHSAT_LOCUS7500 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLEWKSNMLNSNLPPKSPKLSLPTLHLPLPLSPNDISTASPTVCTAYDNYLRLSHFKTLWASSSFPNWTNEPIVKPALQALEITFRFILTVLSDPRPYINKREWARRVESLAKAQIHLIAMLCEEEEQNPNTRGKTPVSDVSNITQNKFRTYSEESLLPKLATWQNSKQIAQRILSTVETEMMTCPYTLGLGEPNINGKPILRYDDVCKPNFIHSLETTPFDHIQNHENRTLHATHQIVESWTRSARVLLKRVNESIDNKRFENAASEIYAVERIWKILSEVEDLHLMMDPADFLKLKKQLGMRTLNETLPFCFRSKELVEMTKMCRDLKGKVPEILEVEVDPTGGPGVMEEAMKVYSEKKTGFEKVHVLQAMQGLESAMKRFFYAYKQVLTVVMGSSESKLESLSQIFLEPTCFPSLDAAKTFLGYYWENSENITW >CAK8575789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:326054349:326057103:1 gene:gene-LATHSAT_LOCUS28024 transcript:rna-LATHSAT_LOCUS28024 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRTDLFSILQDVKRQGKRNKLKRRWLLGLPITKRERNKLKENLNRYLPELLRKDDIFYESVRTHVERAFGAHYIERENSIPQDDMDLNRIPNLKMLILSCLDNLTTKGLYSLAMILNGDTAKYERTRGSLKKIIKHSLSSDLSSERCNDQQLETRKELFRLLNNPQHFRHRCELLPGSQSQFYHAAAVKVLCGLEKLPSQTLIAMRRKLKGIKAPMPQLQPCKHGWGRCHLIKLVDKICRKMLLKLDEGNELQKPLAKAMSVADLSLKLMFGFGSTFLEELYRFSPEVKSLQSDILNAIWSVEKKEVVPLKVLRDLQLLIEPNATITNKSLRTAFVNLLTEFLFECSDMDITPKSLLQILDVINKCSNKSTRDVTVKKKRIEEEVDCILSVSAQTNQIIQDLLPDCEFDQDFMDAYMEQSEESDDSESDTDSDKDDDDNQLFDTRQFKNGSFRTTDSSYKGESVGDFIPFEIHPSTTMTEERLNVDSEKLQPMDCDTINLESKVCNTKDINQCQEKSTEQFLTPMARKNYDSSVVSPDKESGENIIKRHEFHESYTKVDPRDTSNICEEMEPPPTKHIARKNQYLATQDACDKTAMLAYNLIGHLFEEFAIAEGLNLDLSKRSYLNCDKQIEEAKETNEQSSSKKRRRGPAIVRVIEELVPSFPDSSMERLKILMDL >CAK8572065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506576245:506578911:-1 gene:gene-LATHSAT_LOCUS24671 transcript:rna-LATHSAT_LOCUS24671 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALSYVAPNGGKIQQNGTSQQQQKSQLPNPFELDDYHILNKVYLTHVNDDEKYDKDLLFNLVSTIISASSAQISATNHTQISFKPDFPALKRISCQMIMTRGTAQCAHQTTMWILQHLRGFSWDAKALITLAAFTLEYGEFMHLYRIQSSDTLGNSLKQLNQVQFRKVPSDITDIVVFLLQVFQHIIQWATWSAMGYDLEEVHSLSDAMQEIPLVVYWTVATVVACSGNLVGISEHILSDYRKRLSGVIMRLKNHLENSKVQIERIDDYYRRLKESENIKGVVGFLRLLIQGNGSDQIPLIYKGNIQVKTGLEVFKKNYVLLFISGLDSIGDEILLLNSVYNRLQDNPQEVIKGFKKEDFKIFWIPIVDMWDEVAKNQFRNLKESMKWYVLEHFSELPGLGIIKSRLNYVDNKPIVSVINPQGEIMNENAMEIIFQWGFDAFPFRKIDGDDLFKKWAWFWNLMKKVDINIEDMKRDSYIFIYGGNDPKWIQDFTRAIGNIQKNQSIKNVDINIDYYQLGKQNPAKIPYFWIGIDGRKQNKICHDRVDCEIQETVKSLLCLKQDPLGWVLLSKGYHVTLLGHGEPMYQTVADFEKWKDNVVEKESFDIAFKEYYNTKLKEISSSASCAVNSSDVLATITCPNPTCRRVMEVTSVNYKCCHRDDPNSCCI >CAK8572066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506576245:506578911:-1 gene:gene-LATHSAT_LOCUS24671 transcript:rna-LATHSAT_LOCUS24671-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALSYVAPNGGKIQQNGTSQQQQKSQLPNPFELDDYHILNKVYLTHVNDDEKYDKDLLFNLVSTIISASSAQISATNHVLNTQISFKPDFPALKRISCQMIMTRGTAQCAHQTTMWILQHLRGFSWDAKALITLAAFTLEYGEFMHLYRIQSSDTLGNSLKQLNQVQFRKVPSDITDIVVFLLQVFQHIIQWATWSAMGYDLEEVHSLSDAMQEIPLVVYWTVATVVACSGNLVGISEHILSDYRKRLSGVIMRLKNHLENSKVQIERIDDYYRRLKESENIKGVVGFLRLLIQGNGSDQIPLIYKGNIQVKTGLEVFKKNYVLLFISGLDSIGDEILLLNSVYNRLQDNPQEVIKGFKKEDFKIFWIPIVDMWDEVAKNQFRNLKESMKWYVLEHFSELPGLGIIKSRLNYVDNKPIVSVINPQGEIMNENAMEIIFQWGFDAFPFRKIDGDDLFKKWAWFWNLMKKVDINIEDMKRDSYIFIYGGNDPKWIQDFTRAIGNIQKNQSIKNVDINIDYYQLGKQNPAKIPYFWIGIDGRKQNKICHDRVDCEIQETVKSLLCLKQDPLGWVLLSKGYHVTLLGHGEPMYQTVADFEKWKDNVVEKESFDIAFKEYYNTKLKEISSSASCAVNSSDVLATITCPNPTCRRVMEVTSVNYKCCHRDDPNSCCI >CAK8568184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580247209:580257310:-1 gene:gene-LATHSAT_LOCUS21172 transcript:rna-LATHSAT_LOCUS21172 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDSAQSVRVAVNIRPLITSELLIGCTDCISVVPGEPQVQIGSHSFTYDYVYGSTGQSSSAIYYECVAPLVDALFNGYNATVLAYGQTGSGKTYTMGTDYNGEGSSGGIIPKVMETIFKRVETMKNSTEFFIRVSFIEIFKEEVYDLLDPNFSKGEVTSAAKITVPNRVPIQIRETLHGGITLSGVAEPEVKTKEEMASYLSSGSLSRATGSTNMNSQSSRSHAIFTITMEQKKGDDILCAKFHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADSNAEETLNTLKYSNRARNIQNKATINRDPVAAQVQTMRNQIEQLQAELLFYRGDASGPFDEIQILKHKISLLEASNAELKQELKRQQVTSESLVQRSLDAQIERDQLILKIESVRNGKSWDEVDSNSNQEYDLVKSYVSKIQTLERELLRLKSPKSMSSSHLVECTDYDDDEYGLKDALFNHDDMEDDEKELEHSSLQEKLDKELKELDRKLEQKEAEMKLYSNSDTSVIRHHYEKKLLEMEQEKKILQKEIEKLKFNLTNISSTSDDGAQKLKQDYLQKLNSLEAQGSELKKKQEAQAQLLKQKQKTDEATKQLQDEIQRIKAQKVHLQNKIKQESEQFRLWKVSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASLATKRLKELLESRRASSRETMGLGGGSGPGVQALMKAIEHELEVTVRVHEVRSEYEHQIQERAKMAEEMTKLKEAAELMRQNKTSDSPISMSSGARNSRIFALENMLSTSSATLVSMASQLSEAEESGRVFSGKGRWNQVRSLADAKNLMNYLFDIASSSRCSLRDKEVICREKDMEIRDLKEKLVRLSCSLRQLEMINAELTHKLKLQCAALKRYSEYPGNSEYSDLNVGGHNYDLRKQELQQSTLLQEDMDISDETESDDDDAEEPDDEWKESGKLRAGKRKSKSGHSEDFKGNLNNRLGGAHGEATSDICCSCSKSSACKTINCECKTVGNSCGSSCSCLAIKCDNRASFLNESHEPIQSEALLVTQGAELLQEALADRPAEANNDQGPRKPLSDIGNTQVKSNAHGNQKKKWQKATIILIPDQSPPPLQPQKSKVTKFKSKKQIYINNVNEQNDAANTPLKLPRSKPEYAASAPKAERSFVEPDSPKKISRSTRKASPSNGGGPLWDRNVSGKSDESVSKEPEVFEDRRRPRQKKTQEEKENSRR >CAK8568183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580247209:580257310:-1 gene:gene-LATHSAT_LOCUS21172 transcript:rna-LATHSAT_LOCUS21172-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDSAQSVRVAVNIRPLITSELLIGCTDCISVVPGEPQVQIGSHSFTYDYVYGSTGQSSSAIYYECVAPLVDALFNGYNATVLAYGQTGSGKTYTMGTDYNGEGSSGGIIPKVMETIFKRVETMKNSTEFFIRVSFIEIFKEEVYDLLDPNFSKGEVTSAAKITVPNRVPIQIRETLHGGITLSGVAEPEVKTKEEMASYLSSGSLSRATGSTNMNSQSSRSHAIFTITMEQKKGDDILCAKFHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADSNAEETLNTLKYSNRARNIQNKATINRDPVAAQVQTMRNQIEQLQAELLFYRGDASGPFDEIQILKHKISLLEASNAELKQELKRQQVTSESLVQRSLDAQIERDQLILKIESVRNGKSWDEVDSNSNQEYDLVKSYVSKIQTLERELLRLKSPKSMSSSHLVECTDYDDDEYGLKDALFNHGDEFPSDCNAKAGELPDDMEDDEKELEHSSLQEKLDKELKELDRKLEQKEAEMKLYSNSDTSVIRHHYEKKLLEMEQEKKILQKEIEKLKFNLTNISSTSDDGAQKLKQDYLQKLNSLEAQGSELKKKQEAQAQLLKQKQKTDEATKQLQDEIQRIKAQKVHLQNKIKQESEQFRLWKVSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASLATKRLKELLESRRASSRETMGLGGGSGPGVQALMKAIEHELEVTVRVHEVRSEYEHQIQERAKMAEEMTKLKEAAELMRQNKTSDSPISMSSGARNSRIFALENMLSTSSATLVSMASQLSEAEESGRVFSGKGRWNQVRSLADAKNLMNYLFDIASSSRCSLRDKEVICREKDMEIRDLKEKLVRLSCSLRQLEMINAELTHKLKLQCAALKRYSEYPGNSEYSDLNVGGHNYDLRKQELQQSTLLQEDMDISDETESDDDDAEEPDDEWKESGKLRAGKRKSKSGHSEDFKGNLNNRLGGAHGEATSDICCSCSKSSACKTINCECKTVGNSCGSSCSCLAIKCDNRASFLNESHEPIQSEALLVTQGAELLQEALADRPAEANNDQGPRKPLSDIGNTQVKSNAHGNQKKKWQKATIILIPDQSPPPLQPQKSKVTKFKSKKQIYINNVNEQNDAANTPLKLPRSKPEYAASAPKAERSFVEPDSPKKISRSTRKASPSNGGGPLWDRNVSGKSDESVSKEPEVFEDRRRPRQKKTQEEKENSRR >CAK8540955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35327559:35330116:1 gene:gene-LATHSAT_LOCUS9909 transcript:rna-LATHSAT_LOCUS9909 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTTAAEPFQGSPAAALPWIDSKSPTPMEAMGAVDLVVPMNLANEAFTSGGWEDVVGLEESQRRHLQRLHAKGVLWKPPETEDSSDQRSVVFRLSYGGEVSSDGNCLFTASQKAMAVEDVDSRELRRRTVRRFLEDFGSVSFEEGEAIDDAIRHMYSPDLRFGWGIHVVQEVKLLAKKEDRFSLDSAINELIHLGMQREMAAESIYKERCIPVNDGPTWAKYMLISGSPEDEYDIITLQYTEEGLLSVDENREGRAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCLFFLPHRPRSEIAELPFFLFMKGTGWCGAGADHYEPLIAHPSAYVSQEKVAVVL >CAK8532112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:206625522:206634063:1 gene:gene-LATHSAT_LOCUS1848 transcript:rna-LATHSAT_LOCUS1848 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKKNHKDKPVRRKEEKPEEPELPKYRDRAKERREDQNPDYEQTELGFHAVAPPGTVDITSSDAHKLSIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIKKPDAGDDGDGKPRVPKEDQQVSIRTATAKSVYQWIVKPQTISKTNEMFLPGRMTFIYNMEGGYHHDIPTTLHRSKADCPVPEEMVTVNVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDAKGKFLAVGNGYDKEDKLAKVEGVGTKNQTEREYILPPPPPLKKNPIISRENQGPAVAREEDDDIFVGEGVNYEIPAPPSPVSEDMEESPRNKERPQYFTEPVYGPVPPSMMDQGWQETNGYDVMQTQAMVGGYQGEWQEYQYAEQLPYPDQYIQQNMQTYDVQADLNVPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREQDAREKDPNFISESYSECYPGYQEYNREVVDSDEEADLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNDLHKINKILSRKKMDKEGNGEAGQYEDEPTPGKKLRV >CAK8537713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422546847:422552640:1 gene:gene-LATHSAT_LOCUS6977 transcript:rna-LATHSAT_LOCUS6977 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIVFGGATALKLPTLSQKHRGVVAKFYSPKLNLTRSVFQKRIQYHNGSTSFRSLLRHRVSSNDAQFNSAPENNIQQQPSFTEFITSERVKVVAMLALALALCNADRVVMSVAMVPLSLANGWGRAFSGIIQSSFLWGYLISPIGGGILVDKYGGKVVMAWGVTLWSLATFLTPWAAETSLLTLLAVRALLGVAEGVALPSMNNMVARWFPQTERARAVGISMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRSPQISKYELEYILNKRQQSFPVEANKVKVIPPFRRLLSKMPTWSLIVANAMHSWGFFVVLSWMPIYFNSVYRVDLRQAAWFSAVPWVVMAIMTYLAGFWSDMMIQRGTSVTLTRKIMQSIGFVGPGVSLIGLATARNPSTASAWLTLAFGSKSFGHSGFLVNFQDIAPQYSGVLHGMANTAGTLAAIIGTVGAGIFVELVGSFRGFLLLTSLLYFLAALFYCLFSTGERVNFDDPVG >CAK8537714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422546847:422552640:1 gene:gene-LATHSAT_LOCUS6977 transcript:rna-LATHSAT_LOCUS6977-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIVFGGATALKLPTLSQKHRGVVAKFYSPKLNLTRSVFQKRIQYHNGSTSFRSLLRHRVSSNDAQFNSAPENNIQQQPSFTEFITSERVKVVAMLALALALCNADRVVMSVAMVPLSLANGWGRAFSGIIQSSFLWGYLISPIGGGILVDKYGGKVVMAWGVTLWSLATFLTPWAAETSLLTLLAVRALLGVAEGVALPSMNNMVARWFPQTERARAVGISMAGFQLGCAIGLTLSPILMSQGATSSTPDRSPQISKYELEYILNKRQQSFPVEANKVKVIPPFRRLLSKMPTWSLIVANAMHSWGFFVVLSWMPIYFNSVYRVDLRQAAWFSAVPWVVMAIMTYLAGFWSDMMIQRGTSVTLTRKIMQSIGFVGPGVSLIGLATARNPSTASAWLTLAFGSKSFGHSGFLVNFQDIAPQYSGVLHGMANTAGTLAAIIGTVGAGIFVELVGSFRGFLLLTSLLYFLAALFYCLFSTGERVNFDDPVG >CAK8571331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:382986527:382989860:-1 gene:gene-LATHSAT_LOCUS24003 transcript:rna-LATHSAT_LOCUS24003 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGNCSLQQGLTTDAANIVKQAITLAKRRGHAQVTPLHVANTMLSVTNGLLRTACLQSHSHPLQCKALELCFNVALNRLPATNSSPMLGSHHSQSQYPSFANALVAAFKRAQAHQRRGSIENQQQPLLTVKIELEQLIISILDDPSVSRVMREAGFNSTQVKTNVEQAVSLENTSSIKENNNQTLSSQSQEKVANKALVSDSTRVEDINSVVDNLKMNQRKSVVVVGECVTNLEGVVKGVMEKFDKGEVDESLKGVKFISLSLCDFGNVSRVEVEEKVEELKGLAKKNFHGKGYVLYLGDLRYLFDYKKQQGIRGYYSSIDHMIMEIGKLVNGVGENGKFWLMCIATFQAYMRCKNGQPSLETIWNLHPITIPAGTLKFSLITNSGLENESSNEKAENRTSWLLHERVGDDQQIIQKQQETAFFAEPSTKNESEVRRSLQRMNSCKSDSSSSSLPAWLQQYKNENKGIIYNDQENCVQVGELCKKWNNSMCGSIQKQTYHFDDKILTLSSASPSSSTSGFSYEQQQYPNVSQRDRELDRDRHFWISQGGSKFNEPSNPNSTSSSELMEMEQLNKFKELNLENMRTLCNALEKKVPWQKDIIPEITSTVLQCRSGLIKRKGNMRNIYHDPKEETWLFFQGLDLEGKEKIAKELAKLVFGSYNNFTSISLSSFASTRGESSDESRNKRSRDEASCSFIERFGDAMSNNPHRVFLVEDIEQVDYCSQVGFKRAIEKGRVLDSNGEEVGFCDAIIILSCESFTSRSRVCSPIQRSSQEDKDDDDDVNVVALEETSSYVSLDLNISIDDNYEEDDRSVDEIGLLESVDRNILFKIQEL >CAK8543437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607943771:607944553:-1 gene:gene-LATHSAT_LOCUS12194 transcript:rna-LATHSAT_LOCUS12194 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPQSAPLQDRVAIVTGSSRGIGKDIALHLASLGAKLVINYTSNSGLADSVAAQINANQATSRAITVRADVSDPDGVKSLFDSAEKAFNSPVHILVNSAGIADGKLPSIANTTDESFDRVMNVNAKGAFLCAREAANRLKRGGGGRIILLTTSLAAAFKPGYGAYTASKAAVEAMTKILAKELKGTGITANCVAPGPVATELFLEGKTEETVKMIAEGNPFGRIGETKDISPVVGFLATDSSEWINGQIIRVNGGFV >CAK8562949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560671240:560671617:1 gene:gene-LATHSAT_LOCUS16409 transcript:rna-LATHSAT_LOCUS16409 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLKLLDDNNYVSMYQMCEDGVTVRDIFWTHPDSIKLFNMFPIVLIIGSMYKTNKYKLPLLEMVGVTSTKKTYYVGFAILESKKEENVTWALEVFRTMFKDLEEMPKVNVTDYDTSLMVQLQR >CAK8575360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:93888042:93888751:-1 gene:gene-LATHSAT_LOCUS27630 transcript:rna-LATHSAT_LOCUS27630 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLGIGLGITLVALGILIVRCLSRRKCAPSDLQNQSKRKSFNDEIDPYRNQDWENITVYFKIPLFSYKELEEATNNFHQANQLGSGGFGIVYYGRLQDGREIAVKRLYEHNWRRVEQFTNEIEILARTRHTNLVSLYGCTSHHSDKLLLVYEYVPNHTI >CAK8535858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886063379:886067415:1 gene:gene-LATHSAT_LOCUS5278 transcript:rna-LATHSAT_LOCUS5278 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTRCNFLGNSGLGGCGNICGGQKRGYGPWRVTCMSPSNDRNGSVVMDTPLKEIKNEPVVEDVDNNPISGGGPRDVYGEDKATEDHSVTPWSVSVASGYTLLRDPHFNKGLAFTEKERDAHYLRGLLPPTTIPQETQVKKMIQHIRQYQVPLQRYTAMMDLQERNERLFYKLLIEHVEELLPIVYTPTVGEACQKYGDIFMRPQGLYISLNEKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDELYIGLKRRRAIGQEYSELIHEFMTAVKQTYGEKVLIQFEDFANHNAFDLLEKYRSTHLVFNDDIQGTASVVLAGLVAALKLVGGNLADHRFLFLGAGEAGTGIAELIALEISKQTNGPLEEIRKNIWLVDSKGLIVKSRKESLQHFKKPWAHEHEPVTSLLDAVNKIKPTVLIGTSGQGKTFTKEVVEAMASLNEKPVILALSNPTSQSECTAEEAYLWSRGRAIFASGSPFPPFEYKGKVFVPGQSNNAYIFPGFGLGLIMSGTIRVHDDLLLAASEALAEQVTEENFEKGLIFPPFTNIRKISAHIAAKVAAKAYELGLATRLPQPKDLEKFAESCMYTPAYRTYR >CAK8578208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612591635:612591922:1 gene:gene-LATHSAT_LOCUS30241 transcript:rna-LATHSAT_LOCUS30241 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSYSSSSGKEIMTSDTQNKKKISQRGVTMLTKVTKVHKSDNKFPIVFDLYYRVVDGEYSSLFKSYVAFLGCSKVSILIDDWKQAPEAIKDSI >CAK8542462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517104608:517105621:1 gene:gene-LATHSAT_LOCUS11301 transcript:rna-LATHSAT_LOCUS11301 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDKAKNYVAEKIGEMPKPTASITDVDFKRVTRESVEYLAKVNVSNPYSTSIPICEINYSFKSAAREIASGIIPDPGSLKASDTTLLEVLVKVPHNILISLAKDIGADWDIDYQLDIGLIIDLPVIGNFTIPLCQKGEIKLPSLSDMFV >CAK8561779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:381873286:381875208:1 gene:gene-LATHSAT_LOCUS15338 transcript:rna-LATHSAT_LOCUS15338 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHALSLRIRSNECIKSLLSSCKTLQQALQIHAHMAVTGRHNNLFLSTTLFTFYASFPSSQSLRHSHTLFSQITNPDLFLWNAIIKAYSVYLHSPPQHPFSLFKSMLSCSISPDSFTFPFLLKSCANLLISASPKMGLQVHCHVVKNGYDSDVYVNNAMLNFYCAFGNVDDAGKVFEEIPVRNCVSFNAIINGFARAGFVNCCFRVFGEMRGFYVKPDEYTFVALLSACSLLEDYRIGREVHGLVYRELGYFGDSVLLVNKLVDMYAKCGCLVMAERVVSGIKDGKGVVEAWTSLVSAYALRGEVEVARRLFDQMSERDVVSWTAMISGYSHVGCFREALEMFVKLEGLGMKPDEVAVVAALSASARLGALELGRRIHHQYAGENWTCGLNGGFTSAVVDMYAKCGSIDTALDVFRKISDDVKTTFLYNSIISGLAHHGLGEHALTLFDEMGLLGLKPDKITFVAVLSACGHCGLVDAGKKLFESMLTVYGVNPEMEHYGCIVDLLGRAGRLDEAHRLILKMPFKANAVVWRALLSACKVHGDFALARLATYELLELERDHGASYVMLSNMLADMDQHDEAAGLRNAIDNVVIQKPSGLSYVELNGILHKFVAGDKSQTEAKTAELVLGDINIGLNSE >CAK8576429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475413675:475414070:-1 gene:gene-LATHSAT_LOCUS28617 transcript:rna-LATHSAT_LOCUS28617 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIPNKIILIQAAIAEDQNNKVKYQGSQQMSMGILKNFFQKKYPNESEEEIMVRTMDHMKNQFFSTFPTKTSKDDNSSMKTSSSMGSIDSNNFDCLAGEAQANDPTPEDFWDAMIQSMAQKAKDKAKR >CAK8564161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654734873:654739551:1 gene:gene-LATHSAT_LOCUS17490 transcript:rna-LATHSAT_LOCUS17490 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSGEELHTPDSFLVIFNGFILGKHRRPRRFATAMRKLRRACLIGEFVSIYVNEKQCCVYLASDGGRVCRPLVIADKGISRVKAYHMKELKDGVCTFRDFLRKGLIEYLDVNEENNAMIALYEGGATLETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLRRMDTLLYLLVYPQRPLLTTKSIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYVAVRQKYQNGTQDRILRPNRAADSGGNMQILDDDGIAAPGEIIRPNDIMVNKQSPIDKQTHGSIANLPDSAYRSSHSTFSKCHGGEVVDRVVLCNDKDSNMCIKLLVRHTRRPE >CAK8541048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47910983:47911879:-1 gene:gene-LATHSAT_LOCUS10000 transcript:rna-LATHSAT_LOCUS10000 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLISKQYNKKKHPNAPNSNNSYYLFVIAITAAAFILFVFYSRQTDPRHICSAVGSLTPAQTIIADEFSTSSIPLVAIFHYATASDVPQQTKNEIRKPFDVLQSLGPCNFLVFGIGHDALMWDSFNPRGITLFLEEEAKWTISSLRRFPILRVYTVRYNTRLIEANALLSSYKKNCGTVGEGGHPLKDDRRCRLALGDFPNEVYDRDWDVIMIDAPRGYFPSAPGRMAVIYSAAVMARGRKTAGVTHVFLHDVDRPVEKLYAKEFLCMKYRVAGVKKLWHFVIPPAINVTDTANGFC >CAK8567505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519352682:519354903:1 gene:gene-LATHSAT_LOCUS20552 transcript:rna-LATHSAT_LOCUS20552 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCWLVGLWIVLGYVCLLSTTFGVEGNPIEDLVERLPGQPKVNFRQYAGYVDIDLKHGRSLFYYFVEADHHHPHKKPLTLWLNGGPGCSSIGGGAFSELGPFYPNGDGRGLRKNSKSWNKVSNLLFVESPAGVGWSYSNTSSDYTIGDDATANDMLLFMLKWYEKFPSYKSKELFLTGESYAGHYIPQLTNVLIDYNNHSTSFKFNIKGVAIGNPLLNFNRDTQATYDYLWSHGMVSDEIHLTLKNDCDFNATYDNLSKSCKDAMIDVQGIVSDYVDNYDVILDVCYPSIAQQELRLKKMATKTSLNVDVCMDYEVDFYLNLPNVQKALHANRTNLSYPWSQCSDVLDYNALDHDINILPILKRIVQNHISLWVYSGDQDSIVPLLGTRRFIRELANDLKLKITDPYRVWFHKGQVGGWVTEYGNLLTFATVRGAGHMVPYTQPSRALHLFSSFVSGRRLSNTTQPSIID >CAK8564685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7051548:7054381:-1 gene:gene-LATHSAT_LOCUS17963 transcript:rna-LATHSAT_LOCUS17963 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLQRPTCSLPIPILSSSSSSSSSSLVISPSNLPLFLHLPTPTMSTVATTTTPLSGTISFPNNNNTILLKHSSRYSYLRTSFSPTLTLTPTKTLPWLLLNRSLNSNSSLHHSSSPQHDQGTTGEGDSSSSSSSSTTAFKHNRRQKVSSSPSSSNPDLLAIPGVGPRNFKKLVQKGIQGVAQLKQLYKDKFFGKSSDQMVEYLQSSVGIIHKNHAESITTFIKKSVDEEIDDNSSGKQSSQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEVVPEPIGKWQDVGPEHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSAGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSTLPGLIPDGFIYLRASPDTCHQRMKSRKREEEGGVSLEYLRDLHEKHESWLFPSQSGNHGVLSINKLPRHVDNSLHPDIRDRVFYLEGDHMHSSIQKVPALILDCEPNIDFTKDIEAKREYARQVAKFFEFVKKQQVLSEAVAGGKNSQGHPQVLLPHEGDLWLPGGKPFHQTPLDFRRAMSIMSGSG >CAK8537658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417001686:417013254:-1 gene:gene-LATHSAT_LOCUS6924 transcript:rna-LATHSAT_LOCUS6924 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDEQVEISFTRIWDPSLKGKQSPLNIDKRYVMLRNSSGFYSYAIFEHLKEWPAFNIPQIRIVYKLRKDKFHYMAVGDDRQRFMPLPDDRLPGRGEELVPPEAVLLVNPVEQEFKGEVDDKYQYSSENIDLKVHGWTSSKSETNQAIGFWVIIPSNEFRSGGLAKQNLTSHVGPISLAMFLSAHYAGEDIVLKLQPNEPWKKVFGPTFVYLNTILDHPQDSLELWKDAKYQMNKEVQSWPYDFPASIDFQKSSARGSVSGTILVRDRFVSDKDIITQGAYIGLAPPGDVGSWQRECKGYQFWCRANKDGYFSIENIRSGNYNLYAWVPGFIGEYWNNIIIKIIPG >CAK8559906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:481913:482980:-1 gene:gene-LATHSAT_LOCUS13642 transcript:rna-LATHSAT_LOCUS13642 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKPVSSVGDNMSAAAAPRKPRILLATSGSVAAVKFANLCQCFCEWAEVRAVATNPSLYFIDRTAIPKDVILYTDNDEWSSWKKLGDSVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIARAWDYSKPFFVAPAMNTFMWNNPFTEKHLIAIDELGISLIPPVTKRLACGDYGNGAMAEPSTIYSTVRLFYESKAQQGTISLSPPL >CAK8575029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22631606:22633690:1 gene:gene-LATHSAT_LOCUS27322 transcript:rna-LATHSAT_LOCUS27322 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEEGWPFGLNLLNPRNVLVRNGEFSGSISFSTLFTSSSIRSTDSSSYLDSESTGSLFHDRKSITLGSLLGDSTFLELSRRSTRGSEMKPSKENKNNHKLKPWLFSLCTKLTTDAVSPNDVPSLGQYLVAERRARRTYRRNQCAFVDRHNVFSPVQESNSPSVGSQVALSSPGSSSEDSGREANRALRQTNRYGTPIPFSSLCR >CAK8540950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34920297:34921545:1 gene:gene-LATHSAT_LOCUS9904 transcript:rna-LATHSAT_LOCUS9904 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWPSISESAKDLVKKMFVRDPSKRMTTHEVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVFVENLSEEEISGLKELFKMIDTDNTGQITFEKLKAELKMFDANLNEFEIFDLLNAANVDNSGTIDYGEFIAATLHLNKVGREDNLVTTFSYFDKDGSGYITQDELQKVCKEFGMKDVHLEKMIQEADQNNDGQTDYTEFVAMMLRGNADLGNNGSKCRSTNFNIGLNGGVYN >CAK8533968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676664453:676665435:-1 gene:gene-LATHSAT_LOCUS3550 transcript:rna-LATHSAT_LOCUS3550 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAVVAAEPVVAAPGIPGEPMDIMTAVQLVLRKSRAYGGLARGLHESAKVIEKHTAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPNAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKSN >CAK8562001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:424658460:424658873:1 gene:gene-LATHSAT_LOCUS15539 transcript:rna-LATHSAT_LOCUS15539 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNMLAADCVVISCCCQCLMLQIIMLLLRKMVRKTREYGKRIFCQRKGVQREMGSYKDVILRIHEKSFRSQDDDVHNCGCCIVEVEKVMEELCEKGEFGFGSFWGRKEPWGDPKVVNDQIDVSSLRYQIVDLRQI >CAK8535721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876961165:876963637:1 gene:gene-LATHSAT_LOCUS5150 transcript:rna-LATHSAT_LOCUS5150 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLQSKGFLSLPINPKNRFLNPSHGLKHRFFSPNPKILGGVSLTNNGLHKLNSSILKVNTFDQKVKNLFICKAEAAASAGGDGEAVFDESETEKPNFLGVEVTTLKKIIPLGMMFFCILFNYTILRDTKDVLVVTARGSSAEIIPFLKTWVNLPMAIGFMLLYTKMANVLSKQALFYSVIVPFIAFFGAFGFVLYPLSNYIHPEAFADHLLNILGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGLANQITTVDEAKRFYPLFGLGANVALVFSGRTVKYFSNLRKTLGPGVDGWAVSLKAMMSIVVGMGFVICVLYWWVNNYVPLPARSKKKKEKPKMGTMESLKFLMSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLVSQFIFDKYGWGVAAKITPTVLLMTGVGFFSLILFGDPLAPVIGKLGLTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLSAAKSLDTQFTALRREEELEKEMERAGSVKIPEVGAQNEDGTGR >CAK8578173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611061549:611066968:1 gene:gene-LATHSAT_LOCUS30211 transcript:rna-LATHSAT_LOCUS30211 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEEVRQAHKREFADFLDQDIGKSIYMDEIKALINHKRRRLIVNISDLHNYQDLGNRILRNPSEYMQSFCDAVTDAVRAIDPKYLKEGEHILVGLEGPFVSRRVTPRDLLSEFIGSMVCVEGIITKCSLVRPKVVKSVHFCPTTGSFTSRDYRDITSNLGLPTGSVYPTRDENGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIAEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVALLNKEANAPIYSTEDLKNIKKIAERDDTFDLLGNSLAPSIHGHSWIKKAVILLMLSGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRQISEHVLRMHRFRSAIDGGEAAHDGSARYGREEEADTESSVFVKYNRMLHGKKADRGRKRDTLTIKFLKKYIHYAKHRIQPDLTDEASDQIATAYAELRNANSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVTKSDVDAALNILNFAIYHKELTEMDEREEEREKEREREQERKRKADTENNGPDRGSKSKRDSTSDAMEVDDTSAAEPAVGLTPERIEAFNSLFGQHMRANRLDQISIADIEDVINRGADSTYSSADILLLLEKLQEDNRLMIVAGMVHMIS >CAK8567484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517829335:517829790:-1 gene:gene-LATHSAT_LOCUS20531 transcript:rna-LATHSAT_LOCUS20531 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKVGESVKVDPHQFEARIHPSQVALGESKKDKANEHVVLCLKVGDQKLVLGTLNREKIPQTTLELVLDKEFELSHSSKTSSVHFCGYKAYYPDNEYSDEDEFSESYEEEIPLAQPIENRKTETKADDLKVSEAKKATAWCTCQG >CAK8562016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426339728:426340841:1 gene:gene-LATHSAT_LOCUS15553 transcript:rna-LATHSAT_LOCUS15553 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRGRDGRIPRRLGRGESPRMAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVSYHIWFGEERGSKKELKVVGHGLKLIERVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMLFSEMTITLDDVSFLLHLPLRGVFWSPQDISEALAVEWVVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKMFMLVEARYLSLFIDLCRLRENKVPAQQKSRHLSLFIPQSRKGNDRKNLRKKGKTRSSQPLSGFGSRLRKGKVLAPLTSVVLDGILACCVYLDGLVLFYLHIKAG >CAK8570887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:149614244:149615142:1 gene:gene-LATHSAT_LOCUS23599 transcript:rna-LATHSAT_LOCUS23599 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQESAVWSAKVPIINFTTVEMHNSDRAKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPTQPHFQPPTQPHFQPTHTITAI >CAK8567834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549205564:549209716:-1 gene:gene-LATHSAT_LOCUS20854 transcript:rna-LATHSAT_LOCUS20854 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGSQEPVQFDKITTRIKELSHGLNPEHCDPVLVSQKVCASVYKGVTTSYLDELAAETAAGMAVIHPDYATLAVRVSVSNLHKNTNNSFSETIKILYNNFDETSGFNAPLIAYDVYAIIIENAARLDSEIIYDRDFDYDYFGFKTLEKSYLFKIQGKVVERPQHMLMRVAVGIYKRDIESVVKTYHMMSQLWFTHASTTLLNAGTPNPQLNSCFILCMKDDTIEGIYNTLKECAVISKSAGGTGISIHNIRARGSYIFGTNGTSNGIVPMLHVYNDTVRHFDDGTGKRKGAFAVYLEPWHADIFDLLDLRKNHGKEENRSPDLYYALWVPDLFMERVQSNGEWSLFCPNQSPGLADCWGKEFEELYHRYEREGKATMVVKAQLLWFEILKAQIETGTPYMLFKDTCNRKSNHQNLGTIKSSSLCAEIMAYSSQDETAACSLASIALPQCVREKGVPMKSHPSKLVGSMGSGNRYFDFDKLAEITAIVTTNLDKVIHVKYYPVKNAILSNLRHRPLGIGVQGLADTFILLGMPFSSPAAQLLNMDIFETIYYHALKTSSNLAAKEGPYLTYSGSPLSKGILQPDMWGVTPSNRWDWEELRETISKTGVRNSLLVAPMPTASTSQILGNNVCFEPYTSYIYNRQALSGEFVSVNKHLLHDLTEMGLWSPTIKNKIIHGNGSVQNIPEIPEELKSIYKTIWEIRNTTLVDMAAARGCYIDQSQSLNIHMAEPTIEKLTTLHFYAWSKCLKTGMCYLQTQAAEDSLKFAVDTSAFKETSNVEYDDNDAKLAEMLVSLSLCEDYFARGI >CAK8530614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35684975:35685450:-1 gene:gene-LATHSAT_LOCUS466 transcript:rna-LATHSAT_LOCUS466 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVIITGKAPWFMFRPRLCRGRVVVVALKVFDYLMRRELAIKRVLEDGDEKCMREYGLFGTKRGDTIFTQCWTSVSVKIRGLVILMHGLNEHSGRYSNCLSLSCVSFC >CAK8562290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:467666095:467667750:1 gene:gene-LATHSAT_LOCUS15798 transcript:rna-LATHSAT_LOCUS15798 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPPPAVSSSSSSSPKLTTTQNTVLTTTPNPTTTTTTTLCYEPRSVLELCRSPSPEKKPTQQEQEQEHLEVEEDHAALPNLDWWDSIMKDLGLQDDSSTPIIPLLKNTNTAHENSNPTPCIPEIYPNPSQDQFDQTQDFTSLSDIYSNNQNLPYNYPNTNTNLDHLLHDFNNNQHTNNNNNNSNWDFIEELIRAADCFDNNHLQLAQAILERLNQRLRSPSGKPLHRAAFHFKDALQSLLSGSNRTNPPRLSSMVEIVQTIRTFKAFSGISPIPMFSIFTTNQALLEALHGSSFMHVVDFEIGLGIQYASLMKEIAEKAVNGSPLLRITAVVPEEYAVESRLIRENLNQFAHDLGIRVQVDFVPLRTFETVSFKAVRFVDGEKTAILLTPAIFCRLGSDGTAAFLSDVRRITPGVVVFVDGEGWTEAAAAASFRRGVVNSLEFYSMMLESLDASVAAGGGGEWARRIEMLLLRPKIIAAVEAAGRRTTPWREAFYGAGMRPVQLSQFADFQAECLLAKVQIRGFHVAKRQAELVLFWHERAMVATSAWRC >CAK8579775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722253281:722256231:-1 gene:gene-LATHSAT_LOCUS31693 transcript:rna-LATHSAT_LOCUS31693 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKVGELKEFIEACKSNPCLLHTPSLSFFKSYLLSLGANIPPQPKQEPLVVHHHHIIESHVEFDNTDVVKPDDDPPQKMGNPSAQVTEHHRDAAQLAKSKALDALSQGYFDQALDLLTQAILLNPHSAILYATRASVFIKLKKPNAAIRDADAALKINPDSGKGYKIRGLSRAMLGLWKEALSDLHVASKIDYDEEIGVALKKVEPNVHKMEEHRRKYERLRKQKEQKKAPPKKQPQDEAQDKDALSALKDGKVIGIHSIGELETKLSAASKTSRLAILYFTATWCGPCRHISPLYTSLAEKYRRVVFLKVDIDEAVDVAARWKISSVPTFFFVKNGKEVDTLVGADKNTLERKIAQHAGPL >CAK8537531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:389173820:389173993:-1 gene:gene-LATHSAT_LOCUS6820 transcript:rna-LATHSAT_LOCUS6820 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKSKLRNKFNDVCFNDLMVCYTERKIFKSLDDVDIIRTFIVKKSRKGHLPRNFI >CAK8569702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8712668:8713180:-1 gene:gene-LATHSAT_LOCUS22523 transcript:rna-LATHSAT_LOCUS22523 gene_biotype:protein_coding transcript_biotype:protein_coding MADETIKSSSHNVIQFDRERFCFMVAENINQRDGRPLGTFRVDLRRGWCDCGRFQAFHLPCSHVIATCASIRQDHNMHIPDVFKVLSVFKVYNQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIITEINDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8561805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386619589:386637459:1 gene:gene-LATHSAT_LOCUS15360 transcript:rna-LATHSAT_LOCUS15360 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRNRWSWDVTGFEPWKSSSPSTSVPAEQDDRKPNPPLGRRYSISSSSIIPQPRNTAASKLQRLKDRVKLARDDYLQLRQEASELQEYSNAKLDRVTRYLGVLAEKTRKLDQVALENEARISPLINERKRLFNDLLTSKGSIRIFCRARPLFEDEGHSVVDFPDEYTIRVNTGDESLANSKKDYEFDKVYGPHVGQAELFSDVQPLVQSALDGYNVSIFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLANLDTTSTSQYKFSATVCELYNEQTRDLLLESGKDMPKLCFGSAENFVELVQEKVNNPLEFSTVLKTAFRNRGNDLLKINVSHLIVTIHIFYNNSITGENSYSKLYLVDLAGSEGSITEDDSGERVTDLLHVMKSLSALGDVLSSLTSKKDIIPYENSMLTKLLADSLGGSSKTLTIVNVCPSVSNLSETLMSLNFSARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIHDLKQESLGLKQALKDANDQCILLFNEVQKAWKVSSALQTDLKSEHILLSDKYKIEKEESTQLRNQVAQLLQVEQDKKLQIQQQDSTIQSLQVKIRTLETELREALGTSKSSSTLVSEPESAALSDSRSTGDGTVVTKKLEEELKKRDALIERLHEENEKLFDRLTVKASVVGSPVLAPSFQPSSPLSRESVNIQPQNKIRNGTSNNTVANSMNALSSPLASAAKNDGTVALVKSGSEIVKTTPAGEYLTAALNDFDPDQYEGHAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDSVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGRSRSSSRGNSPGRSPVQYVDEQIQGFKVNLKPEKKSKFSSVVLKIRGIDQEIWRQQVTGGKLREITDEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAESFDFLSVSGNDATGGSTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLFEYSKRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPILNPSTAAEDARLASLISLDGILKQIKNITRQSSVNILSKSKKRAMLASLDELKEQMPSLLEIDHPCAQSQIADACGMVESIPEEDDRIQDQSHVHKPSTDLGTGSEIDVAQWNVLQFNTGTATPFIIKCGANSNSELVIKAEARVQEPKGGEIVRVTPRPSVLESLNLDEMKQIFSELPEALSLLALARTADGTRARYSRLYKTLASKVPSLRDLINELEKGGALKT >CAK8561804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386619589:386637459:1 gene:gene-LATHSAT_LOCUS15360 transcript:rna-LATHSAT_LOCUS15360-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRNRWSWDVTGFEPWKSSSPSTSVPAEQDDRKPNPPLGRRYSISSSSIIPQPRNTAASKLQRLKDRVKLARDDYLQLRQEASELQEYSNAKLDRVTRYLGVLAEKTRKLDQVALENEARISPLINERKRLFNDLLTSKGSIRIFCRARPLFEDEGHSVVDFPDEYTIRVNTGDESLANSKKDYEFDKVYGPHVGQAELFSDVQPLVQSALDGYNVSIFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLANLDTTSTSQYKFSATVCELYNEQTRDLLLESGKDMPKLCFGSAENFVELVQEKVNNPLEFSTVLKTAFRNRGNDLLKINVSHLIVTIHIFYNNSITGENSYSKLYLVDLAGSEGSITEDDSGERVTDLLHVMKSLSALGDVLSSLTSKKDIIPYENSMLTKLLADSLGGSSKTLTIVNVCPSVSNLSETLMSLNFSARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIHDLKQESLGLKQALKDANDQCILLFNEVQKAWKVSSALQTDLKSEHILLSDKYKIEKEESTQLRNQVAQLLQVEQDKKLQIQQQDSTIQSLQVKIRTLETELREALGTSKSSSTLVSEPESAALSDSRSTGDGTVVTKKLEEELKKRDALIERLHEENEKLFDRLTVKASVVGSPVPSSPLSRESVNIQPQNKIRNGTSNNTVANSMNALSSPLASAAKNDGTVALVKSGSEIVKTTPAGEYLTAALNDFDPDQYEGHAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDSVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGRSRSSSRGNSPGRSPVQYVDEQIQGFKVNLKPEKKSKFSSVVLKIRGIDQEIWRQQVTGGKLREITDEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAESFDFLSVSGNDATGGSTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLFEYSKRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPILNPSTAAEDARLASLISLDGILKQIKNITRQSSVNILSKSKKRAMLASLDELKEQMPSLLEIDHPCAQSQIADACGMVESIPEEDDRIQDQSHVHKPSTDLGTGSEIDVAQWNVLQFNTGTATPFIIKCGANSNSELVIKAEARVQEPKGGEIVRVTPRPSVLESLNLDEMKQIFSELPEALSLLALARTADGTRARYSRLYKTLASKVPSLRDLINELEKGGALKT >CAK8577435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563633006:563633325:-1 gene:gene-LATHSAT_LOCUS29543 transcript:rna-LATHSAT_LOCUS29543 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNDLNKVWEIKSLNRIGQDEATEILQKVAKQVQPIMHKRKWKVNLLSEFCPVDPSLLGMNIGPGAEVKLRLTRPNRD >CAK8542285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498140236:498143083:-1 gene:gene-LATHSAT_LOCUS11132 transcript:rna-LATHSAT_LOCUS11132 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNFPSWKRTNTRQWRLLDLISIAFFSLLFLFFVLFYTTLGGRRVVGPSTVDPQERIRLVVAIEEGMPNGKIIEACPASEADHMPCEDPRRNSQLSREMNYYRERHCPLPEETALCLIPPPKGYRIPVLWPESMHKIWHSNMPHNKIADRKGHQGWMKREGQHFLFPGGGTMFPDGAEQYIEKLSQYIPIKGGVLRTALDMGCGVASFGGYLLAQNILAMSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFLEVDRLLRPGGYLVISGPPVRWAKQEKEWSDLQAVAKALCYQQITVDGNTAIWKKPTGDLCLPNENEFGLELCDDSGDLSQAWYFKLKKCVSSTSSIKGDYAIGTIPKWPKRLTAAPSRSALLKTGVDVYEADTKQWARRVAHYKNSLNIKLGTPLIRNVMDMNALSGGFAAALKSDPVWVMNVVPAQNPLTLDAIFDRGLIGVYHDWCEPFSTYPRTYDLIHVVSIESLIKDPASGKSRCNIVDLMVEIDRILRPEGTIVVSDAPEVIDKLARIAHSVRWKPTIYDKRPDSHDREKILVLTKTFWKL >CAK8567483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517828923:517829269:-1 gene:gene-LATHSAT_LOCUS20530 transcript:rna-LATHSAT_LOCUS20530 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMIESSDDLDDSSDEETPVKKTDGKKAGHTSTPHPKKAGKTPNTDAKSPKSGGHLSCSSCSKTFNSETGLTQHTKAKHGAQSS >CAK8573487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615712189:615714112:-1 gene:gene-LATHSAT_LOCUS25932 transcript:rna-LATHSAT_LOCUS25932 gene_biotype:protein_coding transcript_biotype:protein_coding MSICCGLPLVECVYCLACARWAWKRCLHTAGHDSQTWGVATTEEFEPVPRLCRYILSVYEDNLRNPLWAPSGGYGINPDWVLIRKTYKDTHGRAPPYILYLDHDHADIVLAIRGLNLAKESDYAVLLDNKLGKRKFDGGYVHNGLLKAAGMVLDAECDILRELVEKYPDYTLTFAGHSLGSGVAAMLSMVVVQNRDRLGNIERKRVRCYAIAPARCMSLNLAVRYADIINSVVLQDDFLPRTATPLEDIFKSLLCLPCLLCLKCMRDTCISEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQRALNLMLEKDNTMEAPAKQIMERQETMARHSQEYKAALQRAKTLHVPHAFNPPSQYGTFDDEGEESSRKSEAEFSVSSTNKSKAGESWDVLIERLFEKDEHGKMVFKR >CAK8562371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479500482:479502850:1 gene:gene-LATHSAT_LOCUS15873 transcript:rna-LATHSAT_LOCUS15873 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWRSAAGHLRSVEANRTSSLSSLRRSHYHTIQAIPRESTGSKVAARDRMQGRIPAVVFFQNLLDKTPDSRSTSRKHLLTVEKKQIKAVLNSIEAPFFCSTRFPLQIRAGSGSTHLLESGTVLPVKIHKDKESGNILNLVFVWAEDGTNLKVDVPVVFKGEDVCPGLQKGGILNKIRPSLTYLCPSEHIPSKIEVDISNLDIEDRIFMRDIEVHPSLKLLSKNENMPICKIVSTSVGNQEPVVESAFDETNAETSK >CAK8567270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499448635:499449648:1 gene:gene-LATHSAT_LOCUS20336 transcript:rna-LATHSAT_LOCUS20336 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDVPAMYSLLANSMSADHRLRAPAEEALAQSESRPGFCSCLLELITANDLASQVDVRLMATVYFKNSINRYWRQRRDSSGISNEEKTHLRQKLLMHLREESDQIALMLAVLISKIARIDYPKEWPDIFLILSQQLQSADVLASHRIFMILFRTLKELSTKRLTADQRNFAEISSQFFDYSWRLWQSDVQTLLHGFSALSQNYNSNADDQHRELHLTCERWLLCSKIIRQLIISGFQSDSKCFQEVRPVKEVSPVLLSAIQSFLPYYSSFQKQYPIFWDFVKRASTKLMKILVAIQGRHPYSFGDKFVLSSVMDFCLNRITDPEPNLLPFNVW >CAK8535051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808264723:808265115:1 gene:gene-LATHSAT_LOCUS4534 transcript:rna-LATHSAT_LOCUS4534 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSMSICNWPLSLLRDPEKAVRKFIWCGDIVNNKLVAVAWSNTCQPSSEGGLGIRSLSRLNAGFNLKLAWDLLHSTISWASLFRSRVMCKNNYIKHYAFSSIWSSAKQELATVLENSSWCIGNGKSVS >CAK8530504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25825354:25825647:-1 gene:gene-LATHSAT_LOCUS362 transcript:rna-LATHSAT_LOCUS362 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFQRKYPNESEDEIMVRILDHMKNQLFSTFSTKASKDEDSSIKTSSSMESMDSFNFDGLAGEGQTDEAIAEDLWDAMIQSMKVKGKAKN >CAK8564936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17317615:17318074:-1 gene:gene-LATHSAT_LOCUS18186 transcript:rna-LATHSAT_LOCUS18186 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKQGHGTNPPQEHTNEPLPRTYNQLLGTNNPPKTSWPELVGVTAEEAERKIKEEMPGAEIQVVPHDSFVTADYRIQRVRLYVDESNKVVRVPAIG >CAK8536729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11565414:11566103:-1 gene:gene-LATHSAT_LOCUS6063 transcript:rna-LATHSAT_LOCUS6063 gene_biotype:protein_coding transcript_biotype:protein_coding MASESELSYIFERMIRNGDMSLLLPFIEGLSESSTRRNRDNPNQESESNEQDSNRQRIILVNPSTQRMIIIDGVSSLETLFQELESTRKKGQPPASKESIEAMKRVEIEESDHGECVVCLEEFEVGGVVREMPCEHRFHGDCIEKWLGIHGSCPVCRYQMPGGEKDEGEKIDEEEEEGGERGRVGAEVWVSFSINRRRENHDQNQTTSPGDSNDSSSSPRDGHEIRNSS >CAK8534595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746465137:746467963:1 gene:gene-LATHSAT_LOCUS4128 transcript:rna-LATHSAT_LOCUS4128 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEELEREIEEITLKEKEQGAHTQSELQFTCFTQLVNDANLHFQITRFPKQIFVWIGYNSAKLGSVYAAASTRPNNVVSVTSILGGNSDNTGSGIARRLVLKTGLNIIMACNIPKNSPMVEIEAEKILIQKLISLGYTKSRLEGTSL >CAK8530677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39377793:39379185:-1 gene:gene-LATHSAT_LOCUS523 transcript:rna-LATHSAT_LOCUS523 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNSNISIANSSNSSIAKRWKQLSGEDHWKGLLDPLDIDLRRYIIHYGEMAQATYDAFNSDKISKFAGSCRYAKKDFFPKVYLENGNPFKYSVTKYIYATSGILVPEAFIVKSSSEDVWCKESNWIGYVAVATDEGKEALGRRDIVVAWRGTVQTLEWVNDLNFVLVPAPKVFGINNVVDSKVHQGWYSIYTSEDPQSPFNKTSAKDQVLTEVKRLVEKYKNEETSITITGHSLGAAIATLNALDIVTNGYNKPSDPTIKASPVTAIIFASPRVGDKKFQKVFSSCKDLSILRIGNELDIVPNYPLVLYSDVGEELKIDTTKSMYLKSSVNPLSKHNLEIYLHGVAGTQGSKGGFKLEVNRDIALVNKSRDALKDEYLVPVSWKVVENKGMVQQLDGSWKLVDHEDDAYF >CAK8530617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35884992:35886695:-1 gene:gene-LATHSAT_LOCUS469 transcript:rna-LATHSAT_LOCUS469 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKTKPPPKKRMDKLDTSFSCPFCNHGSSVECRIDMKNLIGEASCSICQENFSTTITALSEPIDVYSEWIDECELVNNQEDDGA >CAK8578056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604353899:604358781:-1 gene:gene-LATHSAT_LOCUS30100 transcript:rna-LATHSAT_LOCUS30100 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSKNQIFAVHGIAGAGSIALATAFTYPLDTIKVLIQVGSSAGKELNANQVVTRVISVSGNAGMFGGFGWLAFGRVFGLGARFGVYEILTAVCKDGREDNYVTASEALLAGMVAGGTETFISSPFELIKLRVQVASASYVPSSNFALEEGARKPLIARLLNGCYPDKRSLNQYVGLMSTLTTKNTNITGALLEHPWAMTGSGRPPSVCNVKRPSDIISLEGWSTLWRGLRSGIARDSVFGGIFFSSWQFLHQAMLDWKAVGMNPPPRLNEEVGPLSPWAVSLAAGFSGSVAAAASHGFDTARSRSQCTVLPKYVSMERKLLKWKRPGNKFERFTGIHPSDRSVLFRGLGLRMARSGIASFMIVGSYLFAVDHLASSLT >CAK8532663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:460905547:460906572:1 gene:gene-LATHSAT_LOCUS2346 transcript:rna-LATHSAT_LOCUS2346 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNAGSTAVATTTTATARPSSSSGTLDSHHHHHNTNLTFSSSYSHSKSNSLSNGFRRTSAAPPPSRIITVPDTVFPNWQPSERVSRMNPDQIEEVRLRLNLDVTVSSDSPAAPGPIESFNDMCLHPSIMKDIAYHEYTRPTSIQAQAMTIALSGRDLLGCAETGSGKTAAFTIPMIQHCLVQPPIRRGDGPLALVLAPTRELAQQIEKEVQAFSKSLESLKTAIVVGGTNIEKQGSELRAGVEIAVATPRRFIDHLQQGNTSFSRIPYVVLDEADRMFDMGFEPQIRKIMRSLPEKHQTLLFSTMMPVEIEALAKEYLASPVQVKVEKKRGITS >CAK8541459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:181558984:181561453:1 gene:gene-LATHSAT_LOCUS10379 transcript:rna-LATHSAT_LOCUS10379 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSFFILFFLISIFLTTVSSLKCSTQKLTGTKTYLNCLDLPILNSYLHYTHDPKNSTLSVVFIASPPNPAGWISWGINPTGIGMAGAQVIVAFKNKTAMTMKTLDLKSYSVIIPGKLSFDVWDMKAEEDGGGLMKIFATVKVPVNSNAVNHVWQVGPTVTAGRLGTHEFNPPNLNSKGRLSLNGAEVFDLPVDSATKKKNIHGLLNTVSWGILFPFGVIMARYVKTFPSADPAWFYLHVGCQLSAYVLGLAGWATGMKLGSESEGITFSVHRNIGITVFCLATIQVLALFLRPNKDHKYRFYWNIYHHSFGYTIIILGILNIFRGFEILGPDHKWKSSYVTLIIALAIIALVLEAITWSVVIKKKSKNNNKTHDGYNGQTRV >CAK8577076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535509994:535513983:-1 gene:gene-LATHSAT_LOCUS29217 transcript:rna-LATHSAT_LOCUS29217 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNRISRRTGILSNHLRDDPPTATTLLQHNACLCYSPPELSESNHVTFDKTEMRKLLDGHNLEDRDWLFGLMIQSRLFNRREIDGRVFVSPDYNQSMEQQREMTMKRISYLLDRGVFRGWLTGDGPQEELRKLALHEVIGMYDHSLAVKLGVHMFLWGGAVKFLGTKRHHDKWLRATENYELKGCFAMSELGHGSNVRGIETITTYDPNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGSNQGVHAFIAQIRDSDGNICPKIRIAECGHKIGLNGVDNGRIWFNNVRIPRENLLNSVADVSPSGDYLSAIKNADQRFGAFLAPLTSGRVTIAVSAVYISKISLAIAIRYALTRRAFSITPNGPEVLLLDYPSHQQRLLPLLAKIYAMSFASIELKMMYVNRTPESNKAIHIVSSAYKATFTWNNMRTLQECREACGGQGVKTENRIGQFKGEFDVHSTFEGDNNVLMQQISKALLAEYIACQTKNKPFKGLGLEHMNKSCPVIPSQLTSSTIKSSEFQIDLFHLRERDLLKRFAEEVSEYQSNGNSKESAVVLSYQLAEDLGRAFSERAILKTFIEAESALPAGSLKDVLSLLRSLYALISVDEDAAFLRYGYLSTENASAVRKEVPKLCAELRPHALALVNSFGIPDAFLSPMAYNWVESNSWSSAQH >CAK8540016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536072566:536073438:1 gene:gene-LATHSAT_LOCUS9058 transcript:rna-LATHSAT_LOCUS9058 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSAFLLLLLHFLFALCSSGAKVVTIDVQTAKNLIQTGHVYLDVRTVEEFVKGHVDTAKIVNIPYMVDTSKDRPGRVKNPDFLKEVSSAFNKEDHVVVGCQSGVRSLYATSDLLADGFKNVKDVGGGYMDWVRNKFPVNIAVAKEEL >CAK8540017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536072566:536073438:1 gene:gene-LATHSAT_LOCUS9058 transcript:rna-LATHSAT_LOCUS9058-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSAFLLLLLHFLFALCSSGAKVVTIDVQTAKNLIQTGHVYLDVRTVEEFVKGHVDTAKIVNIPYMVDTSKGRVKNPDFLKEVSSAFNKEDHVVVGCQSGVRSLYATSDLLADGFKNVKDVGGGYMDWVRNKFPVNIAVAKEEL >CAK8542878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555210509:555211546:1 gene:gene-LATHSAT_LOCUS11679 transcript:rna-LATHSAT_LOCUS11679 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGESENALSVVGPRPMEWSTVPYNAPRAPGANGKQRTSSLESPIMLLTGHQSAVYTMKFNPTGSVLASGSHDKEIFLWNVHGDCKNFMVLKGHKNAVLDLHWTSDGTQIVSASPDKTLRLWDTETGKQIKKMVEHLSYVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGSIQTFPDKYQITAVSFSDASDKIYTGGIDNDVKIWDLRKGEVTMTLQGHQDMITSMQLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKILEGHQHNFEKTLLKCGWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNECVFHPNEPIVGSCSSDKQIYLGEI >CAK8559961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2559446:2567089:1 gene:gene-LATHSAT_LOCUS13689 transcript:rna-LATHSAT_LOCUS13689 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLDNEETVRRCKERRRFMKDAVYARHYLAAAHSEYCNSLRLTGSALCTFAAGEPLAVSDDIPAVFVNAPKQNMYHPPPPPMPPPPAVTVTTSKLPNVSESRHRKLPRKLPHILSESSVCSTPRSEFPNWFFPTAHQTLSTASETSSVWNWENFHPPPSPPSSEYFRQRSQPPNHNPECSNFEVPGFDSSSESFHNTFHSKVHLQNLEIKTTNADPQNQQQRNLSDAEDTASERSEPEPDRSETEREEVRCSDWEGCYGSTSSSDREEVIAGLRSSVKPESVADGDVPAREDKKSGEEVIEEKVMMKHKDLKEIVDVIKENFEKAAVAGDKLSAMLQISRAQLDRSFSQLKRIVYHSSNLLSRVNSSWTSKPPLAVKYRFDAGSLERPGGPKSLCSTLDRLLAWENKLCEEVKGRESVKIEHERKLSALQNQECKGGNDETKVDRTKATITRLQSLIIVTSQGVSTTSAAINDLRDSDLVPQLVELCHGIIYMWRSMHQYHETQSNIVQQVRGLVNRSSKGHSTSESHKQATRDLELALSAWHSSFIRIIKFQRDFILSIHGWFKLNLIPVTNDNNNIKSIEPSVAFSFCDEWKLALDRVPDTVASEAIKSFINVVHVISVKQSQELKIKKKTDTAYKELEKKSSSLRNIEKKFYNSYSMVGIGIIPNSVDHIGQGLDARDPLAEKKLELEACQRRVEDEKMKHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFIEALESVCDRSYAIK >CAK8541817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:407361926:407362951:1 gene:gene-LATHSAT_LOCUS10711 transcript:rna-LATHSAT_LOCUS10711 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFPFSNTDTYAFKIHVNSDFFHFKDKMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDEDVKAMFRCHMMFGQLPIIEVYVRLQHNPETLPTQETQSHWYGMSQTSDDEPTQNNLPFIPYEEVGESSDDDIYEEIRMQDVFGDNGDEDNEDEDIVLPSTQPIRAQPVSLYNPPRHMQNIDFEDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCVDYWVYQSDNERYVIQCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHIL >CAK8538048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460287544:460287702:-1 gene:gene-LATHSAT_LOCUS7288 transcript:rna-LATHSAT_LOCUS7288 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKNRMRNRMGDDWLNNFLVTYIERHIFIDFENEKIILHFQNMKNHREQL >CAK8575890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:350204410:350206577:-1 gene:gene-LATHSAT_LOCUS28115 transcript:rna-LATHSAT_LOCUS28115 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLQHTSGTHDSSFPYDSLLPPSTVINHSNYLNFNGSDSNPHFLISRQIRSHTSTTPLSHELTQQHMEMSLQQDHSFASSCIASEDLESLKPLETCWSQQSSLWSPLYSNQGSPEGSLSPTEPANSHDSDCNHMVNMLEKMRFEDNGSSDFQTDLQTHVGVGSSHEYLLNQQIRANQLSRLRQEQILSLKLKLIAYRENHGYVSSPQFQKNGKGVDVGYGMVHSQRSGSLNRGTGSEKLPRFQEATGSKGPSCGTGVFLPRGGVSTTFESQSKRPGKGCSTVLIPERVVQALQLHFEQIAATSGPKSPAFPPLHDVLVSTNRDGMYSLQKRQSRKKQTQVQNEMILPREWTY >CAK8536648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4219272:4220478:1 gene:gene-LATHSAT_LOCUS5990 transcript:rna-LATHSAT_LOCUS5990 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLKLPSDDSLLSQIKDGFNEGKDLIVSVMSAMGEEQICGLKDVGPK >CAK8571926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494250833:494251490:-1 gene:gene-LATHSAT_LOCUS24547 transcript:rna-LATHSAT_LOCUS24547 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQSQRPQTNQFPEQPPAKPRDAALMQGTENQALDPGLVLDKNAITIGEALEASAVTRAGDKPLDQSDAAAIQAAEMRATGKNQTEPGGLGAMAQSAATRNTRTMPHQKTTLADVVSGAREKLGADKAVTREDAEGVIGAELRNKDDIRTTPGGVAGSMAAAATLNQKTLEFLQYYS >CAK8533674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648838554:648840122:1 gene:gene-LATHSAT_LOCUS3279 transcript:rna-LATHSAT_LOCUS3279 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHTNTNKKWGGAKKESKWKKMIFFNTSKTTQNPPQEFLCPISGSLMSDPVIVSSGHSFDRTSVQACKNLNFTPQLQDGTTPNFTTLIPNLNLKSSILKWTQTQSQSKFQTQTKQNLTTTESLVRTLISSKKHQHPENDVVSEKNDQEKETLQISILTPRKTSYSSSEESIATATSSSSTTPKFQSLCYSSPSSSEIEPSTTPEEEEFVTKLRNPETIIVEEALISLRKITKTKEESRVQLCTNRILCSLRSLILFKNDVVRVNALASLVNLSLEKVNKVKIVRSGIVPPLIEVLKFGSSESQEHASCVLFSLALDDDNKTAIGVLGALLPLLHALKSESEKTRHDSSLALCHLSLVKSNRVKMIKLGFVSVLLGMVKSGYMMDRVLLILGNLGFGSDGRAAMLDAGVVECLVGLLGGAGLDSESIRERCVGVLYVLSHGGLRFKAVAKKIRVAEMLQKMEKMKSEKANEKVRRILEIMSEKEVEEEEVDWEELLDSGFSGRTMSQLSNGLDESNADSNTF >CAK8532343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248321620:248322417:-1 gene:gene-LATHSAT_LOCUS2061 transcript:rna-LATHSAT_LOCUS2061 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDEHNETRNMNAFYASLESSETTSPSHLVLFSPSESIKKAIQSLQDLFSKDFSLLLHPGRSIEIKDILKYLLTFPQSEEFCTTTKIEIKKMLQCFERWSLEYHDASGLSANAKRELSKASEVMNDLEANVKEFHETKKEETCLCNKLVILEERKRKLEEEIKMVNVEIEKSKRKRDEVGRRKMELYEKGREVKAKRDEFLINIPRLKTEQQLATITRTNIEAEWVKLRQKLTLLVASSSLLSSSPSSTSTSTSSSPQPPSHT >CAK8565299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:110936060:110937926:-1 gene:gene-LATHSAT_LOCUS18523 transcript:rna-LATHSAT_LOCUS18523 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDFAIVIVAVSILGIGLERCDSKMVQFIFGDSLSDVGNNIYLSKSLAQASLPWYGIDMGNGLPNGRFSNGRTVADIIGDNMGLPRPPAFLDPSLTEDVILENGVNYASGGGGILNETGSFFVQRFSLYKQIELFQGTQELIRSKIGKMEAEKFFQEARYVVALGSNDFINNYLMPLYSDSWTYNDETFMDYLVGTLQDQLKVLHGLGARQVMVFGLGPMGCIPLQRVLSTSGNCQEKTNKLALNFNKASSKVVNDLGKQLPNSSYRFGDAYDVVNNVISNPSKYGFENADSPCCSFGRIRPALTCIPASKLCKDRSKYVFWDEYHPSDKANEMIATELIKKIAFKRVDETQSPSPSPELAPSPTAHD >CAK8578430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629078720:629080625:-1 gene:gene-LATHSAT_LOCUS30442 transcript:rna-LATHSAT_LOCUS30442 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPLVFSTSFSSKLHLTSHTNKSHLHHRPLNSFPSSSSSYSKSKLNFSLSKPLIFSQKLVARASSEEHATEVRDSGLVGEDAATFDLEKQKLSSWVYFTAILGVVLFVLNLIWIDDSTGFGKVFVDTISGISDSHEVVMLVLILIFAGVHSGLASFRDSGEKLIGERAYRVLFAGTSLPLALTMIVYFINHRYDGLQLWQVQDVPGVHQLVWLSNFISFFFLYPSTFNLLEVAAVDKPKLHLYETGIMRITRHPQMVGQVMWCLGHTIWIGNSVAVAASFALIAHHLFGVWNGDRKLSERYGEEFEIVKGRTSVVPFAAILDGRQKLPKDFYKEFIRLPYFTITAITLGAYFAHPLMRAASFNLHW >CAK8536351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:933121782:933122161:-1 gene:gene-LATHSAT_LOCUS5728 transcript:rna-LATHSAT_LOCUS5728 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNGDCRPLGFLLGLPFAFLCLIISIIGIIVWIVGLTLTCICPCCLCLTVIVELALELVKAPLHVMEWFTSKIPC >CAK8566365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414104233:414106820:1 gene:gene-LATHSAT_LOCUS19499 transcript:rna-LATHSAT_LOCUS19499 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMERTNGDGGSSIRRRSLSLSSHRSHHTDNDVDCESVSEAGDIGDRALPSRRFSESNSFHSENGSTVITNSSVRPLPQELSSTPPLSTDATVGSHDSKLEPPKGLPQLLDYVSCMAHLAVFGILGVLTRYLLQKLFGPGVANVTSNHTILYLDLPSNMIGSFLMGWFGVEFKKDISHMSEHLAIGITTGYLGSLTTFSGWNQKMLELSASGHWVISVLGFLIGLSLVAVSIAFGVETAKGFRCLLIRLNITSPENGISKINYKVNSYHRQLTVMVLFLVILGILWGVSGALVKAKFKHGGSAAELWFACMVGPIGVWIRWFLARLNGRGLGKKGLFQWIPFGTLIANVSAACVMAALSTIKNAVNTRDCDTVVAGIQFGVMGCLSTVSTFAAEFNAMRKTNHPWRAYVYAAITICVSFSLGILIYCIPYWTKGFDIDS >CAK8566366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414104242:414106820:1 gene:gene-LATHSAT_LOCUS19499 transcript:rna-LATHSAT_LOCUS19499-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTNGDGGSSIRRRSLSLSSHRSHHTDNDVDCESVSEAGDIGDRALPSRRFSESNSFHSENGSTVITNSSVRPLPQELSSTPPLSTDATVGSHDSKLEPPKGLPQLLDYVSCMAHLAVFGILGVLTRYLLQKLFGPGVANVTSNHTILYLDLPSNMIGSFLMGWFGVEFKKDISHMSEHLAIGITTGYLGSLTTFSGWNQKMLELSASGHWVISVLGFLIGLSLVAVSIAFGVETAKGFRCLLIRLNITSPENGISKINYKVNSYHRQLTVMVLFLVILGILWGVSGALVKAKFKHGGSAAELWFACMVGPIGVWIRWFLARLNGRGLGKKGLFQWIPFGTLIANVSAACVMAALSTIKNAVNTRDCDTVVAGIQFGVMGCLSTVSTFAAEFNAMRKTNHPWRAYVYAAITICVSFSLGILIYCIPYWTKGFDIDS >CAK8532291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:240022646:240027133:1 gene:gene-LATHSAT_LOCUS2015 transcript:rna-LATHSAT_LOCUS2015 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNSPLKRSDSIADSMPDALKQSRFHMKKFFSRLIASGKRLVRQNHIMEDVETTIEDKNERKKLLEGLLGYILSCTQEAAIVPPYVVFAVRPNPGFWEYVKVNADDLQVDGIEASDYLQYKETIFDAKWASDENALEIDFGAIDFTTPHMALSSSIGNGLDFTNRVLSSRLSESSHYENPLLNYLLSLNHQGENLMIKDSLNTIPKLQKALKIAEAYVSAHHKDTPYQNFENRFREWGFDKGWGNTAGRVKETMKMLSEVLEAADPIKFEALFSRLPNMFNIVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEELLQKIELQGLNVKPQILVVTRLIPNAKGTTCNQELEPIVNTKHSHILRVPFLTEKGILSNWVSRFDIYPYLERFAQDSTTKILELMDGKPDLIIGNYTDGNLVSSLMASKLGVTQATIAHALEKTKYEDSDVKWKIFDEKYHFSSQFTADMISMNSADFIITSTYQEIAGSKDRPGQYETHTAFTMPGLCRVVSGINVFDPKFNIAAPGADQSVYFPFTEKKQRLTTFQPAIEELLYSKDENEEHIGFLEDKKKPIIFSMARLDKVKNISGLVEWYAKNKKLRSLVNLVIVGGFFDPSKSKDREETEEIKKMHFLMKEHRLHGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPIFATNQGGPAEIIVDGVSGFHIDPHNGDESVNKISEFFEKCKTSPDYWNIISKAGLQRINECYTWKIYAKKVLNMGSIYGFWRRLNKEQKLAKERYIQMYYNLQFRNLARKVAIPREVAQEYLPMSTTQAKKAEAIAQSIHNKAEAKGEAAQTQILAAPSKSDSEPTPKELAYKESGDLYSGLRWLLPGIAFMFIIHYLSKYLEHLFIRE >CAK8576306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:451852821:451855286:-1 gene:gene-LATHSAT_LOCUS28504 transcript:rna-LATHSAT_LOCUS28504 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNLSLSSIQNESETTNNPIVTEVVGVDAGSDTGEKSEPKVISSDTVRVKRARGRPKKNEVGGNKPLVSASVSVSESPQFAIGSEVKRGRGRPRGSGKLQILASIGGCVAESAGGSMTPHVLTVNPGEDVVGKIFSFFQKGPRGAVCVLSAAGSVSTVILRQPSVSGGSLRYEGHFQILSLSGSCTFTSGAGGGAERKLGTLSISLAKPDGVVFGGGVGSSMIAATPIQLILATFKQNISNQIKRKYSSPNMATNQDSSSDNNLKVPRVTLEEEPSCLRATATKKTNGVAETDDNVKESKSITNGDGVIDLEGAC >CAK8543862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645208108:645208687:-1 gene:gene-LATHSAT_LOCUS12587 transcript:rna-LATHSAT_LOCUS12587 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVILILSISMVLLSSVAMATDHIVGDDKGWTVDFNYTQWAQNIVFRVGDNLVFNYNPSFHNVFKVNGTLFQNCTFPPENEAFSTGKDIIPLKTEGRKWYVCGKGDHCAARQMKFVITVLPEGAPAPSSPPPSSTGHYVVSSVFGVIMTAVVPIATIFV >CAK8532317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246102992:246107026:-1 gene:gene-LATHSAT_LOCUS2038 transcript:rna-LATHSAT_LOCUS2038 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNENEDRVIDHGFEFEQQQQQQHQKGTRLSREDEASVEKVFKHLLVPTWQNQLTLRAFVVSFFLSVLFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSDMLRQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSKHVADQSSDTSDFKNPKLGWIIAFLFVVSFLGLFSVVPLRKVMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRMLGRFFSLSFLWGFFQWFYTASDGCGFQAFPSLGLKAFDNKFYFDFSALYIGVGMICPYIINISVLVGGILSWGVMWPLIKTREGHWYKVGLGESNLQGIQGYRVFIAIALILGDGLYNFVKVLTHTLMGLYNQIRNKRKASALPIADQDSTSSPEPELSYDDQRRKQLFLKDQIPRWFAIGGYVAIASISTATLPHIIPQLKWYYIIVIYLIAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGASHGGVLASLAACGVMMNIVATASDLMQDFKTGYLTLASPRSMFVSQIVGTAMGCVISPCVFWIFYKAFPDLGTHKSQYPAPYAIVYRNMAILGVQGFGSLPNNCLLLCYIFFGAAIVINLIKDLVGKVGRFIPLPMAMAIPFYLGPYFAIDMCVGSLILFVWEKVDKAKADAFAPAVASGLICGDGIWTLPASVLALFGVKPPICMKFLSRATNSRVDTFLGN >CAK8570841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126952389:126952604:1 gene:gene-LATHSAT_LOCUS23556 transcript:rna-LATHSAT_LOCUS23556 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDRMISPPIIATEFVTDPAAPASGPGAGDNEGLRSCAEAAAAAKIKTKRKEKNFIAEDDDAIAKLRKF >CAK8533797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661116724:661118598:1 gene:gene-LATHSAT_LOCUS3394 transcript:rna-LATHSAT_LOCUS3394 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDEEWELCCDDGLVFKRKRGRFHPPPESSSALEEKRLENLRRERKKQTLLKLKSKFEKEIVHWENLSNTLRSLQISSSTQLQQQQEKQQILDQTPSLPSTSSSTQSSLLDDLLFQVEAQEAIIRDISNLCDVAEAICFKQEQSLFDLQIWSTPLDLMQVLSYED >CAK8574822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10594571:10597346:1 gene:gene-LATHSAT_LOCUS27125 transcript:rna-LATHSAT_LOCUS27125 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMFSKVGSYWFNQKANKELNSVGDDINSISNSIEGGTKWLVNKIKGKMQKPLPELLKEYDLPIGIFPRDATNYEFTEETGKLVVFVPQVCEVGYKDSTVLRFFTSVSGYLEKGKLTDIDGMKTKIIIWVKVTTILSEGAKLYVTAGMKKTRSREAYDVTRDGVPVDKF >CAK8532083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200967650:200968132:-1 gene:gene-LATHSAT_LOCUS1820 transcript:rna-LATHSAT_LOCUS1820 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSANTMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8544900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711066187:711068314:-1 gene:gene-LATHSAT_LOCUS13544 transcript:rna-LATHSAT_LOCUS13544-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIQLHLSQTHKLRLQKAMESLSSKVNFDDTVTIADSIPVNFEEGVLKGHGTADLDGEVVSTLCGTVERVNKLVYVRSLSSRYKPEVGDIVIGRVVEVAQKHWKLDINCSQNAYLMISAMNVLDGVQRRRTSRDELNMRCIFAEDDYICAEVRDFTHGDIHLQTRSQKYGKLRSGQLLTVTPYLVKRQKQHFHHLEQYGIDLIIGHNGFIWVGVGEHVEIRDDMVEDQVNQSDAHVLVPNKNSASLEEQEKNYTPLETRKYICRAANAVRVLSTLGFIIALENIKGVIDLSVSSNLDIIDMLGSEFCVMVAEKEAERRNSDKGKL >CAK8544899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711066187:711068326:-1 gene:gene-LATHSAT_LOCUS13544 transcript:rna-LATHSAT_LOCUS13544 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMRGIQLHLSQTHKLRLQKAMESLSSKVNFDDTVTIADSIPVNFEEGVLKGHGTADLDGEVVSTLCGTVERVNKLVYVRSLSSRYKPEVGDIVIGRVVEVAQKHWKLDINCSQNAYLMISAMNVLDGVQRRRTSRDELNMRCIFAEDDYICAEVRDFTHGDIHLQTRSQKYGKLRSGQLLTVTPYLVKRQKQHFHHLEQYGIDLIIGHNGFIWVGVGEHVEIRDDMVEDQVNQSDAHVLVPNKNSASLEEQEKNYTPLETRKYICRAANAVRVLSTLGFIIALENIKGVIDLSVSSNLDIIDMLGSEFCVMVAEKEAERRNSDKGKL >CAK8576266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440259844:440262128:1 gene:gene-LATHSAT_LOCUS28469 transcript:rna-LATHSAT_LOCUS28469 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSSLSSTPLQHSFAANLKTSSLFPNKNSGFMVFAQKKAKKIRKIILKEDMVDVGKKGELLDVRAGFFRNFLLPNGKAQLVTPKVLKQMKIEEGRIEAEKQRVKEVAQQLALIFETAGAFIVKRKGGKGKQIFGSVTAQDLADIIKAQLQREVDKRIVNLPEIRETGEYIAELKLHPDVTAKVKVNVIAK >CAK8542037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458034796:458036292:1 gene:gene-LATHSAT_LOCUS10911 transcript:rna-LATHSAT_LOCUS10911 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQSHNQLHVIFLPFPSPGHMIPMVDTARLFAKHGVNVTIIATHANASTFQKTIDSDFDSGHSIKTRLIQFPSAQVGLPEGVENLKHGTSSEILGRISHGIFMLQDPVEGLFQDLQPDCIVTDMMYAWTAEAAAKLGIPRIHYYSSSYFSNCAFHFIIKYRPHDNLVSDTHKFTIPGLPHTIEMTPPQLPDWLRERNPATAYFEPMFKSEEISYGTLYNSFHELESDYEKLSKTTIGIKSWSVGPVSAWANKDDEKKANRGQIEELGKESAELLNWLNSKQNESVLYVSFGSLTVLVHAQLVEIAHALENSGHTFIWVVRKNDRDEGENSFLQDFENRMKESNKGYIIWNWAPQLLILDHPSTGGIVTHCGWNSILESLNSGLPMITWPMFAEQFYNEKLIVDVLKIGVPVGAKENKSWNSIVVEAVVKREKIVKAVKILMGSDQESKEMRIRAKKLGDAAKKTIEEGGDSYNNLIQLIDELKSLKKSKALGEKED >CAK8530507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26314948:26319090:1 gene:gene-LATHSAT_LOCUS365 transcript:rna-LATHSAT_LOCUS365 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTILDYALFQLTPTRTRFELVVFCGGVREKIASGLFEPFVSHLKFVKDEILKGGYSIRLLPPTNTAFWFSKSTFERFVRFVSTPAVLERFVSLEKEIQQIESQFQANALSMSVTIPEEGTLPQTNGNTRRLSDSTKSNDVLEGVDVKEEENSKISLQRVLDSRIALLRKEQAMAYTRSLVAGFDIDNIDDLVYFANAFGASRLREACNNFKELWKKKHADDLWIQEVAAMQSSLPPGLSFSGSSGIILANDITVHDQNNKNNSSTDSIPSSDENAFLETSNSANLNKKEDANLPHMANVHMPMHMPWPYNVPPYMYNLQNPGQQMPPYQGYPPYLQNNMHWPSNMGVNQKPLATKREKSRYKKGSEEYEEQETESSDPDSGSESDSDKQKDSNSSLKDDKRKKHRRKSSGTVVIRNINYITPKRRNGNDSGGSDDSSLEDDVVFDEETIKQKVGVALESLQKVHKGEKRGSRKKSAAKHNVTKSSDDAEEDASEGGNKNENWNAFQSLLKIDSDTEVDGEQTQSIDVQDEHFVSNNSEGRMSSAASSAPNMDFNEVPKNREVANDSFIVTQRNGGNEGGSKLNGYVENFGPITKIKENIGEDILLSHISREPRNELGDPLNTFAADSSLQAKGRGSDDWFIVDNNLESTRSRDSSVMPIVFDSSHAEKRSERNIIDDSFMIHGDQLVDNNLSDSQWKTDMSMVENLTSPNKVESDTKEKNAVSKIEEPNDLCVVLRRDSSGLDSVGASRTMDYEIDFSYSEPDRRTSVDDSSVNANNNNLPSSPKKTNAVKTKVSRLPAKGKPEIIPRSRKPSVPSRPIVQKSQREKEDEIRKNLEEKASERQRRIAERTASSGVVRAVPGKTDKNKTQAVKETKRISSVKVRGV >CAK8544344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679233659:679236726:-1 gene:gene-LATHSAT_LOCUS13032 transcript:rna-LATHSAT_LOCUS13032-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSSRTVYVGNLPGDIREREVEDLFMKYGHITHIDLKVPPRPPGYAFVEFDDAQDAEDAIRGRDGYDFDGHRLRVEAAHGGRGTGNSSSRDRHSSQSNGRGGRGVSRRSEYRVLVSGLPSSASWQDLKDHMRKAGDVCFSQVFHDGRGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSKSYVRVREYDSRRDSRSPSRGPSHSRGRSYSRSRSRSRSDSPVRSPSKSPKGKSSQRSPAKSPARSVSRSRSRSRSRSLSGYGNCGILEIGN >CAK8544343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679232543:679236726:-1 gene:gene-LATHSAT_LOCUS13032 transcript:rna-LATHSAT_LOCUS13032 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSSRTVYVGNLPGDIREREVEDLFMKYGHITHIDLKVPPRPPGYAFVEFDDAQDAEDAIRGRDGYDFDGHRLRVEAAHGGRGTGNSSSRDRHSSQSNGRGGRGVSRRSEYRVLVSGLPSSASWQDLKDHMRKAGDVCFSQVFHDGRGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSKSYVRVREYDSRRDSRSPSRGPSHSRGRSYSRSRSRSRSDSPVRSPSKSPKGKSSQRSPAKSPARSVSRSRSRSRSRSLSGSRSRSRSPLPLRNKSPIKRSASRSPSRSRSPSRSRSKSLSR >CAK8540700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16487224:16487640:-1 gene:gene-LATHSAT_LOCUS9679 transcript:rna-LATHSAT_LOCUS9679 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDLSAVGRKFTWFNTSGTSMSRLDRFLLSESLIRLWNLNAQYVGDRTFSDHCPIFLKTIDLNWGPKPFKFFSSWLKHENFLPFVEKAWKSYTGQWKEYVHLQGKTEVIENRSESLEQTDFQNPRFESFGCSERDK >CAK8540549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10574904:10575131:1 gene:gene-LATHSAT_LOCUS9546 transcript:rna-LATHSAT_LOCUS9546 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSRAIELMHILPQLRDTILSFFYFGLAWVLPIYFCVCLRMYKPNHMEEATIWFDKELGREVEDIVVGSGPFF >CAK8535998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:896981081:896989748:1 gene:gene-LATHSAT_LOCUS5407 transcript:rna-LATHSAT_LOCUS5407 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVIPACGSLCVFCPSLRARSRQPVKRYKKLISEILPRNQVAEINDRKIGKLCEYASRNPLRIPKIAENLEQRCFKDLRNESFGSVKVILCIYRKLLSSCKEQIPLFATSLLGIIRTLLEQTRVDEVRILGCNTLVDFINFQTDGTYMFNLEGFIPKLCQLAQEAGDDDRALLLRSAGLQALSSMVKFMGEQSHLSMDFDKIISAVLENCLDLQSKFNLARVEKLNSQSQNQMVQGSLKEEDCISPMLSVTAGFETESKLDTAKNPAYWSMVCLYNIAKLAKEATTVRRVLEPLFHHFDTENHWSSEKGVAYCVLMYLQSLLAESGNNSHLLLSILVKHLDHKNVAKQPILQIDIITTTTQVAQNVKQQASVAIIGAISDLIKHLRKCLQNSAEASDIENDAYKFNTKFQSAIEMCILQLSNKVGDAGPILDLMAVVLENISSSTIIARTTISAVHQTAKLITSVPNVSYHMKAFPDALFHQLLLTMAHPDRETQIGAHSIFSTVLMPSVFTTWLDQKNIAKKVESDSLSIRHESYSGAEHLNGKLVEEKDLRSLRLSGHQVSLLLSSIWVQALSAENGPANYEAMAHTYSIALLFTCSKASSYMALVRCFQLAFSLRSISLDQEGGLPPSRRRSLLTLASHMLIFSVRAGNFPDLIPKVKASLTEAPVDPFLELVDDTLLRAVCIKSDKINYGSEEDEVAAMKSLSTVQLDDRQLKETVISYFMTKFSKLPEDELSSIKNQLLLGFSPDDAYPSGPPLFMETPRPGSPLAQIEFLDFDEIMAPEDSMDEETGPELSGSQSDRRTSLSTHFPDVLGVNQLLESVFETARQVASISTSSTPLPYDQMKNQCEALVTGKQEKMSAIQSFKHQQETKAIVLSSENEVEVSCQPVTALEYSKGDLKLVTQAQFQAQDQVRFLSHDTRQQHSLRLPPSSPYDKFLKAAGC >CAK8565943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357017466:357017798:-1 gene:gene-LATHSAT_LOCUS19116 transcript:rna-LATHSAT_LOCUS19116 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >CAK8565532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:262337375:262358104:1 gene:gene-LATHSAT_LOCUS18738 transcript:rna-LATHSAT_LOCUS18738 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQIQHSHSQPEQHFDIHTTKTGDKPRGQVHRDRAVHVWIFAETTQQLLLQRRATCKDSWPHLWHISTASHISAGDSSLVTARRNLEEELGVTLPKDAFELIFAFLQECVTNDGKFINNAYNDVFLVTTIDPIPLEALTLQETEVSAVKYIAYDEYKRLLAKEDSDYVPYDVHGQYGQLFDLIEKRYKENTVARSLTLQKQLCRYAPVSLNVELTGLTDSDKEALVYIVKAASVIDEIFYLQSWYSNPALRDWLKEHADTSELNKLKWSYYQINKSPWSCLDDGEAFLTTADSAIRLLSKATKTVSEWKGLEYRAAFPILKPAGANFYPPDMDKMEFNLWNESLAKDQKTKATSFFTVIKRHSEFILDSGLSNDKVASSKDLYIVPYSQEYKSLLTKAAKLLHKAGDITNSTSLKKLLHSKADAFLSNDYYESDIAWMDLDSKLDITIGPYETYEDKLFGYKATFEAYIGIRDDEATAQLKLFGDNLQLLEQNLPMDNAYKSKYVNAAPIRVIQLIYNSGDVKGPQVQAFNLPNDERIVKDRGTSMVMLKNISEAKFNHILLPIANACIAKEQQELIDFESFFTHIICHECCHGIGPHTITLPNGKESTVRLELQEFNSALEEAKADIVGLWALRFLISQDMLSESLLKSMYVSFLAGCFRSARFGLEEAHGKGQALQFNWLYEKGAFVSHSEDTFSVDFSKIEGAVEGLSREILTIQAQGDKEAAGLFLKKYGVITEPLKVALEKLENIQVPVDVSPTFPFAEKILK >CAK8537878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444500861:444501121:-1 gene:gene-LATHSAT_LOCUS7129 transcript:rna-LATHSAT_LOCUS7129 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDRCWECLIKFLNNHEDDKYNRYLNSLSLVSKQFLSITNRLRFSAAIGSKILSFIHFLFHRFPNITSLNYHQILGFSI >CAK8577334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555896599:555899453:-1 gene:gene-LATHSAT_LOCUS29448 transcript:rna-LATHSAT_LOCUS29448 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGGADVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNPDDQELFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASRLFLLPNQQSSESSERLVGLSDDVGAGFISGHSTQPATSSELNVDRSVDLPAQEETGNLGVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMSVANVRTIDPPQR >CAK8577333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555896599:555899453:-1 gene:gene-LATHSAT_LOCUS29448 transcript:rna-LATHSAT_LOCUS29448-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGGSGGGGGGSGGGGGGGGGGADVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNPDDQELFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASRLFLLPNQQSSESSERLVGLSDDVGAGFISGHSTQPATSSELNVDRSVDLPAQEETGNLGVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMSVANVRTIDPPQR >CAK8535354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842708897:842710786:-1 gene:gene-LATHSAT_LOCUS4820 transcript:rna-LATHSAT_LOCUS4820 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLQHSLSKFIYYNSSNRTPTTRRQHKHFRPCQCVATHVNNQHFVVSNGNDSLDICRVVNGMWQTKGEWGNIDKDEAVESMLKYADAGFTTFNMADIYGPAEDLYGMFINRVSRERPPQFLEKVRGLTKWVPQPVKMTSSFVRDSINVSRKRMDVDSLDMLQFHWWDYSNSGYLDALKHLTDLKEEGKIKTLALTNFDTERLQIILENEIPIVSNQVQHSIVDMRPQQRMAELCHLTGVKLITYGTVMGGLLSEKFLDVDNPFAGPAINTPSLQKYKKMVDAWGGWGLFQGLLQTLKQVASKHGVSIATVAVKYILDQPAVAGSMVGVRLGLSEHIQDCNAIFSLVLDADDVNSIREASGKGNDLLKVIGDCGNEYRQT >CAK8543585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623649606:623651216:-1 gene:gene-LATHSAT_LOCUS12333 transcript:rna-LATHSAT_LOCUS12333 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQIHVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGTLPPNVSAAVNGVAFIGTLSGQLFFGWLGDKLGRKKVYGMTLMIMFICSIGSGLSLGHTPESVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGIFAIIMAAIFKAKFDSPSYAVDPLGSTVPEADYVWRIIVMVGALPAALTYYWRMKMPETARYTALVAKDMAQAASDMSKVLQVEIQAEPKKQDHSKVKPYGLFSKEFLSRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNALEEVYKIARAQTLIALCSTVPGYWFTVALIDRIGRFAIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVLYSLTFFFANFGPNATTFVIPAEIFPARFRSTCHGISSASGKLGAIVGAFGFLYLAQNKDKSKADAGYPAGIGVKNSLIVLGVVNILGFLFTFLVPEANGKSLEEMSGENEEEEIESKDVEKSQNSTVKNI >CAK8538274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474469509:474469865:-1 gene:gene-LATHSAT_LOCUS7489 transcript:rna-LATHSAT_LOCUS7489 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEKNTSNNVDVETVAESLGAVILSVVRVPQKTIANKDGVQKRKLTRRKTTKDVKTDGGKTVKSKLAKRQIMDVVITKGPIEEGVLGEKKRKQNAARSECYNILPEVVLDDQHRLPQ >CAK8564951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18428906:18430763:1 gene:gene-LATHSAT_LOCUS18200 transcript:rna-LATHSAT_LOCUS18200 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVFWVISLISLQFLLANCYSTKSLVPALYVFGDSTVDAGNNNNLNTVAKANTFPYGIDFNNCSTGRFSNGKTFADLIAIKLGLPMPPPYIGVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDLPRNFQSKTKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFVIIGIGPIGCIPGLVIRKPHTQDCNELINQVVKLFTNKLPRKLQELKLKAKLSGSIFTILDSFNLFMKIQNSPENFGLKNIWDSCVGEGGKPCGNRKEYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8544235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673613887:673629885:-1 gene:gene-LATHSAT_LOCUS12934 transcript:rna-LATHSAT_LOCUS12934 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPPPSEASTRRPKRGRPPKQTYREMDLDDDMEERESSHEDYSEPRPIPKPKRNRINEAAPSASLKPTDQTLIEAIKGNGKLIPHVVKLWVESYEKDPRSALVELLTMLFEACGVKYHAKSDLMHEIAVDDVVVDLVNSAKRGEVEDYLNSKKKEFKSFKENLESFWDNLVRECQHGPLFDQVLFDKCMDYIIALSCTPPRVYRQVASLMGLSLVTSYITIANMLGVQRETTRRQLDAEKKKLTEGPRMESLNKRFSEMHEKITLLEEMMRKIFTGLFVHRYRDIDPNIRMSCIESLGAWILSYPSLFLQDLYLKYLGWTLNDKIAGVRKASIRALQNLYDMDDNIQTLGLFTERFSGRMIELADDIDVAVAVQAIGLVKQLFRHQLIPEDDLGPLYDLLIDDPPEIRHAIGALVYDHLIAQKFNSSQSRSIGENDNSSEIHLNRMLRILDEFPPDPILTIYVIDDVWDYMKAMKDWKCIISMLLDENSSISDKSATNLVRLLCASVKKAVGERIVPTIDNRKQYHSKAQKEVFENNKQDITVVMMTSYPSLLRKFISDKAKVSLLVEIVLYMNLEFYSLKRQEQNFKIVLQLMKEAFFKHGDMDPLRACMKAINFCCIESRGELQDFARNKLKELEDEIISKLKSAIKEVVDGGDEYSLLVNLRRLYELQLSRYVPIDTIYEDIVMVLRDFRNMEDEVVGFLLQNMYFHLIWCLQSIIDGKSVSAASMTSLLSKRDTLLQELVYFVVNLATDSNGGKSGSELARRVCTLLAETWCLHRPAMFCKTKLERLGYQPNAYEVQKFWELCQLQFNDSDEVEEDDVNKDVTKDYSEETNRYSVIIAACKLITSNVVPKDYLAPEIISHFVMHGTRVAEIVKYLITFLKTSEDDLAAIFLEALKKAYLRPAVDNAENHNISSVNSFSGCKKLAAQLSGTFIGAARIKHRSDILKLVKDGIEYAFVDAPKYLSFLEAAVIHFVPKLPGSDLLEIMKDVERRTENVNKDENPSGWRPYCIFVEALREKCAKNEVFQDEKEGVTVKRRGRPRKVQPMVGKKLFNEHSSSEDEDSISASEQDAQDERGRQEEEVEGTPLIHSTRLSSKLRALRVSREESKGQTNTGNSVRAVDNISASRASGASN >CAK8534413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724510474:724518651:1 gene:gene-LATHSAT_LOCUS3961 transcript:rna-LATHSAT_LOCUS3961 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVFSSATKISSLFPTYRQTSPCRRIGSWSLKCNNSLQSEIYTRIASTSTGPIPPSHLIQVATTAAQTGAQVVMDAVNKPRNITYKGLTDLVTETDKMSEASILEVVKKNFEDHLILGEEGGIIGEVASDYLWCIDPLDGTTNFAHGYPSFAVSVGVLYRGRPTAATVVEFVGGPMCWNTRIFTATAGGGAFCNGQRIQVSATNQVERSLLVTGFGYDHDEAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGTVSRMDGGKFCVFDRSVLVSNGALHGKLLERIGPATEKLKSKGIDFSLWYKPEDYTADV >CAK8577253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549028458:549041824:-1 gene:gene-LATHSAT_LOCUS29375 transcript:rna-LATHSAT_LOCUS29375 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDSHSSLFNLGILDTLTQDELHEILDSCNAFCSATQSLLGGAGDLSYGAQFVSHVHTLCKHGLESLVRDHFLRVLEETFEQNGASRFWRHFVPYADFVDLNKNDDINIDEDEIESVLYNALEEISLEKQYQEKCLLILVHALQSFKDQMSEEKHDFEAERNYLTSKYQWIVSSVLMATLPRIFPVILHWYFKRRLEELSTIMDGEFTDDVSQNKDGMDLDEKGKTCNEDGEMDVDECYSNRRFSENSRLVKNIGKVVLDLRSLGFTSMAEDAYASAIFLLLKAKVYNVAGDDFRSSILQSIQSWIQAVPLQFLHALLVYLGDSVSYESTSSGLKSPLAPQPSSFCPGIDTPSESLVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRRDTIKCIVTMLTDGTGGSSSASGNPGDSLLEELNRDEEIQENFGVDDDFNTDDRQAWINATRWQPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVHEYRTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRVNTNIKATISQPSQSSVEVEDNAISMDKIAATIISSNFWPPIQDEPLNLPEPVDQLLSDYAKRFSEIKTPRKLQWKKSLGTVKLELQFEDRVVQFTVAPVLASIIMKFQDQTSWTSKNLAAAIGIPVDVLNKRINFWISKGIIAESPDQVYTIMENMGETSKNGGGNGIPQDLLGGDEEEDGSVASVENQLLKEMTVYEKFILGMLTNFGNMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGVYFLKK >CAK8577254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549028458:549041818:-1 gene:gene-LATHSAT_LOCUS29375 transcript:rna-LATHSAT_LOCUS29375-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHSSLFNLGILDTLTQDELHEILDSCNAFCSATQSLLGGAGDLSYGAQFVSHVHTLCKHGLESLVRDHFLRVLEETFEQNGASRFWRHFVPYADFVDLNKNDDINIDEDEIESVLYNALEEISLEKQYQEKCLLILVHALQSFKDQMSEEKHDFEAERNYLTSKYQWIVSSVLMATLPRIFPVILHWYFKRRLEELSTIMDGEFTDDVSQNKDGMDLDEKGKTCNEDGEMDVDECYSNRRFSENSRLVKNIGKVVLDLRSLGFTSMAEDAYASAIFLLLKAKVYNVAGDDFRSSILQSIQSWIQAVPLQFLHALLVYLGDSVSYESTSSGLKSPLAPQPSSFCPGIDTPSESLVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRRDTIKCIVTMLTDGTGGSSSASGNPGDSLLEELNRDEEIQENFGVDDDFNTDDRQAWINATRWQPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVHEYRTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRVNTNIKATISQPSQSSVEVEDNAISMDKIAATIISSNFWPPIQDEPLNLPEPVDQLLSDYAKRFSEIKTPRKLQWKKSLGTVKLELQFEDRVVQFTVAPVLASIIMKFQDQTSWTSKNLAAAIGIPVDVLNKRINFWISKGIIAESPDQVYTIMENMGETSKNGGGNGIPQDLLGGDEEEDGSVASVENQLLKEMTVYEKFILGMLTNFGNMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGVYFLKK >CAK8543257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593255145:593255507:-1 gene:gene-LATHSAT_LOCUS12027 transcript:rna-LATHSAT_LOCUS12027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFEIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >CAK8579804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:723684318:723685398:-1 gene:gene-LATHSAT_LOCUS31718 transcript:rna-LATHSAT_LOCUS31718 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFVGCHVATAPSPKRSRTRASHEQQLQEIRSRTRASHEQQLQEIRVCTNRACRKQGSFQTLETLSGIAPPNLTVKSSGCLGKCGAGPNLVFLPDGIIVGHCGTAARCLEVMFGGRDDSKTSLDALALRKRADVEFENKNFGEAEVLLSKAIDLKPFGGLHVTFKCRSSVRLELGNYSGALQDAKEALLLAPNYSEAYICQGDAFLAFNNFDLAEHSYLAALHIDPSIRRSKSFKARITNLQEKLACLSTS >CAK8534371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720808926:720814194:-1 gene:gene-LATHSAT_LOCUS3921 transcript:rna-LATHSAT_LOCUS3921 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVKIWNPVEIAEQAKNDYASQIFPSLLTIDPSLSLPQMTPLVINLCKDMFKDWSNLDDSCFIIDKISGGITNLLLKVSVQQGDSVNAIITIRLYGPNTEHIIDRHRELQAIKYITAEGFGAEWLGIFGNGIVQSFINAHTLTPSDMRDPKLVPKIAKQLKRFHHVEIPGSKEPQLWHDIWKFFEKASVLEFDDSEKQKTYKTVSFREVQDEINELQVLCDRLKSPVVFSHNDLLSGNIMVNQEEDKLYLIDYEYASYNYRGYDIGNHFAEYAGFECDYSLYPNMNEQYHFFRHYLQPDRPQEVSENDLKTLYVETNTYALASHLFWSLWGLIQAKMSPIDFDYLSYFFDRYKEYKRQKEKFVLLALSYLSECRN >CAK8539617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518339477:518339863:-1 gene:gene-LATHSAT_LOCUS8697 transcript:rna-LATHSAT_LOCUS8697 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSTTSYHTSKSQSSSLLNSVRKPQAKPWKKTSVIAPQPSTAIRVYEVDVMNFRKLVQQLTGAPEFKPRRHHHQQFVNNTSITPIVSTDWNKGVQFHEESSDKTKQQGLLELNLSSPSSYSWYSSM >CAK8577373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558269258:558282784:1 gene:gene-LATHSAT_LOCUS29487 transcript:rna-LATHSAT_LOCUS29487 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEEDDFPSIESIIPQSKVDSLYQSQTEKGIRKLCCELLDLKDAVENLCGNMHSKYLAFLRISEEAVEVKHELIDLQKHISAQGILVQDLMTGVCHELDKWNQSSKDVDEIQDEPELLEPLFNEGNDQKTLFLEKIDVLLAEHKFEEALEALDAEEKNFAELKVSGNNSSDEGSSYKSALMERKAVLEDQLIGIAEQPSVSFPELKKALDGLIKLGKGPVAHQLMLKFYGSHLQKKIEALLPSSSLCPETFPFTLSKIVFSVISLTIKESGLIFGDNPVYTNRIVQWAEWEIEYFVRLVKENAPSSETVSALRSASICIQASLKYCSILEPQGLKMSKLLLVLLRPSIEEVLESNFRRARRVVLDMAESAECLPLSPQFASSLSAVATSSSNMLVESGVRFMHVIEEILEQLTPMAIVHFGGNVLNRIIQLFDKYMDSLIKSLPGPSDDDNLPELKEAVPFRAETDSEQLAILGLAFTILDELLPNAVFSTWILQNESKEPNSGLTESVGFNSNASVELKEWRKHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNANIYLSDNREDLYLDSGPLPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVLEDNSAPLLPLGLHQLILDMHFTVEIARFAGYPSRHVHQIASAIIARAIRTFSARGINPQSALPADEWFVETAKSAINKLLLGASGSETSDIDEDHIIIHDEVVSDSDTVSSLSTMDSTESFASASMAELDSPSNLSDPDN >CAK8531783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151213413:151215188:-1 gene:gene-LATHSAT_LOCUS1549 transcript:rna-LATHSAT_LOCUS1549 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVNDDHEPQRSYWRWSKQDFFPEESFQSWHNYGSALSQTWLRFKDRVSTRSDDATESQELQKQSENEMKKCLNWWDLIWFGFGAVIGAGIFVLTGQEAHNHAGAAIVLSYVASGISAMLSVFCYTEFAVEVPAAGGSFAYLRIELGDFVAFIAAGNILLECVLGGAAVSRSWTSYFTSLVNRPKDSFRIKAHHLKDGYNLLDPIAVVVLLTAGTIAMISTRKTSLLNWIASAINTAVIIFVIIAGFLHADTSNLTPFLPYGARGVFQASAILYFAYGGFESLATMAEETKNPPKDIPIGLIGSMSVIIVIYSLMALSLSMMQKYTDIDTGAAFSIAFQKVGMNWAKYVVAFGALKGMTTVLLVGRLGQARYIVHIARSHMIPPWFALVHPKTGTPINATLLITLTSACVAFFTSLDVLSSLISVSTLFVFVLISVALLVRRYYVRGVTTKENQLKLVMFLLLIVGSSMGTSAYWGLRPKGWIGYTVTVPIWFFSTLGMSVFLTQQRKPKFWGVPLVPWLPSLSIATTVFLMGSLEYEAFVRFAICTLVMLAYYVFFGLHSTYDMAHQQEKVQHINVNHKETDRIEGP >CAK8575401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:109728739:109729155:1 gene:gene-LATHSAT_LOCUS27670 transcript:rna-LATHSAT_LOCUS27670 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTNNKILAQDDHESYNNQAKVEEMKTSSSSKFEPQPRKEKCMKKNKKVRFEIQNDEEVDRRSDGNNSRNVRRIRVVMTQEELKKMLSCKDEYENTTLEQLLGVMRLRGVKICKHDLGVDSWKPTLESIPEDRLI >CAK8576661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:502858525:502860160:-1 gene:gene-LATHSAT_LOCUS28834 transcript:rna-LATHSAT_LOCUS28834 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFMSKEQRQLMATLLVQFLRLSWIAATLPILIASIPIPKLIFLRQILLGFAKRGKTTHSSSSSSQKFTVPQRFFLHFYVVASIWTMFLLVATWVYAYRMVPLVMEPLSYPTLTSFLTGGLTIRTGSADLQQGYVAWQGVFMLLLMEAHILRRLFETIYVFNYSPSARMHIIGYFTGLFYYIAAPLSLCGDCALEVFYFSTKLVTEFIVRGKNQMPVPEIDFWLVINPLARLGWKHWVAAAVFSWGWIHQYRCHKILGSLRDSRHADEYVIPHGDWFEIVSSPHYLSEIVVYASFLVATGGSNLTIWLLFAFVVANLAFAAVETHSWYRRKFEDYPSRRYAIIPFIL >CAK8577171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542410085:542413749:-1 gene:gene-LATHSAT_LOCUS29300 transcript:rna-LATHSAT_LOCUS29300 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTLESGSSTRKTWKYDVFVSFRGEDTRNNFTDHLFGALHKKGIITFRDDAKLKKGEYISSELLQAIEGSQILIVVFSTNYASSTWCLQELAKIADCIHVSGQTVLPIFYDVTPSEVRKQSGVFEKSFQELEERFKANLEEVQRWRRALTHVANLSGWDVKDKSQYTEIGKIIKEVTCLLGNKYSNSPSDIVGVHSRVEELENLLTTDSDDDDVRVLGIWGMGGIGKTTLATALYAKISNQFDACCFIDDVSKVYGDHGPIGVQKQLLCQTLNEENLQICNLPMASNLIRTRLCRIKSLVVLDNVDEGEQLDKLDMKKELLGTGSRIIIISRNEHILREHGVDEVYRVRLLDRKCALQLFCRKAFKSDDIMSGYIYLTYDVLKYARGLPLAIKVLGSFLYGRDVTEWTSALSRLRENPRTDIMNVLRISFDGLEDTEKDIFLDIACFFQDCDDVYVKEILDIRGFHPEIGLRALVDKSFITCENQIICMHALFRELGKSIVREISPKEPRKWNRLWDYKDVHNVISKNMATENLEAIVMRCDPENDEEIQKITLRAEALAKMSHLKLLKLLQFNFSGSLNFLSSELGYLFWDKYPFTSLPSSFQPHKLVQLILGHSNIRKLWEGTKCLPNLKRIDLSQSKNLIMMPSFKEIPNLESLCLDGCVKLVKLDPSIGTLRRLSTLSLKNCINLVSISDDIFGLSSLKNLILSGCPNLFNSKVLEIQRQTEQLEMLDNKESTTQYQPTLFISKFLEPHFRYLIFRKPEDSGCLLLPSLFRLSCLQYLDLSFCNLLQIPNEIGLLHCLETLYLGGNNFVTLPPSIKELSKLRQLNLEHCKQLKYLPELPSKNVLPVGRTTYFWKAAEFNIFDCPSLMEMECCYGMAFSWMIQLLQVHMQSEIPIERITIVIPKTEIPKWFNKQNVGSSISMDPSPIIHDKNLIGVACCLTFVAHDNPTNLGDGEPFVVFGFHSKQHGQGIYSNMLTHLGKDLVTVDLEHFLLFFLSRENFIHWISRITHELDDISGIELRAFVEQPLGLHLEVKNCGYRWISKEDLEQLNPQMMYSGNLSVQPYHWAD >CAK8565457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:220109218:220110171:1 gene:gene-LATHSAT_LOCUS18674 transcript:rna-LATHSAT_LOCUS18674 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSFVGRVTLAITVIEALPTYCMMTPTIPKACLKEIQQMHRDFISDDSDESHHLHTIRWSKIAMENRYGGLGIRKLELMNQACLAKLSWCLLCGNQALWCEVLRRKYISHRFQLEPESAKDSYSSLWKQLSNLWSQLESKTYWELWNGKNVRFLDDARITLGLYLNSMMIEDIEDHNSLQKLKVIPPLSYNSEIKNVRLWSDTAGGTFLIALMYTHLSSVDINKVTDVSLEWKFLWKIKIPECIRFFIWKMCHNRLPTNQVLGHLQIWDRYFDYYRQHEESSFHALHD >CAK8541460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:182755029:182756259:-1 gene:gene-LATHSAT_LOCUS10380 transcript:rna-LATHSAT_LOCUS10380 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTKLPKVLFILFTLILAAKVIQGIETKGWISQSSQPQRDSSLKGSKNEAWKTRNSRRLMIGSTTPTCTYNECRGCRYKCRAEQVPVEGNDPINSPYHYICVCHR >CAK8579390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695324953:695326986:1 gene:gene-LATHSAT_LOCUS31339 transcript:rna-LATHSAT_LOCUS31339 gene_biotype:protein_coding transcript_biotype:protein_coding MLETIKYLIGWPGPSGFGSKSTAEQVTEPRGELRSITAIITGGTSGIGAETARVLASRGARVILPARSIKNAEETRDRIVTECPEAEIIVMKLDLSSLNSVTNFVTRFHSLGFPLHLLINNAGKFAEKHAISEDGIEMTFATNYLGHFLLTKLLMKTMVETAETTGIQGRIVNVTSAIHKWFTDDVISYLALISHNKSHFDATRAYTLSKLANVLHTTELATRLKQMDANVTVNCLHPGVVRTRLTRERDGFLTDLVFFLASKLLKTLPQAAATTCYVATHPRLFNVSGKYFMDCNEVSSSKLGSNSIEASRLWAFSELIVSKGSKAAFDQFNHLEF >CAK8567546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523853330:523855579:-1 gene:gene-LATHSAT_LOCUS20591 transcript:rna-LATHSAT_LOCUS20591 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKDEAVRAKEIAERKFSEREYIGAKKFAIKAQNLYPDLEDVPQFLTTIDIYISAENKVSGEMDWYGILGVSPFADEETVRKQYRKLALTLHPDKNKSLGAEGAFKLVSEAWSLLSDKTKRLEYNQKRSLKGFQHNTPNRGHPSAAPSSNSYYNFKKNASSNARTGNNNVRVPATSVPPPQKKAETFWTICNRCRTHYEYLRVYLNHTLLCPNCNEAFVAVERGPPPNVFKPSNLPSSHQQHQNSRRHPASNNSNHLWGSHSRMAGFGSTDGSSSVAAQAASVVQKASEKVKREGVPSIAEWERIQMSKRADGSMKKRRTDDMRANGHPGYMANHMAMGHGAAGLGSFSEPGKVNMEKERIYGFSGLANKHYSTRELSMYELRNMLVDKARNEIRKKLEEWKLKAEARIINKNKENKRHKNTHNDKTTGSEKYRESNVNGNKHDSLPVTSDDTVKRQAYVTINVPDPDFHNFDLDRAESSFAEDQVWAAYDDDDGMPRYYARIHKVISIKPFRMRISWLNSRNNTELGPIEWVGSGFYKTCGDFRTGKHEVTESLNSFSHKVRWTKGNRGVVRIFPGRGEVWALYRNWSTDWNEHTPDEVIHKYDMVEVLDDFSEEQGISVTPLVKFPGFRTVFRRHQDQSEVRRIPKEEMFRFSHQVPNHLLSGQEAHNAPIGCRELDPAATPLDLLQIETEANESAGERMLQNLVEQKCSNEDDKQKMGW >CAK8567547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523853456:523855579:-1 gene:gene-LATHSAT_LOCUS20591 transcript:rna-LATHSAT_LOCUS20591-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKDEAVRAKEIAERKFSEREYIGAKKFAIKAQNLYPDLEDVPQFLTTIDIYISAENKVSGEMDWYGILGVSPFADEETVRKQYRKLALTLHPDKNKSLGAEGAFKLVSEAWSLLSDKTKRLEYNQKRSLKGFQHNTPNRGHPSAAPSSNSYYNFKKNASSNARTGNNNVRVPATSVPPPQKKAETFWTICNRCRTHYEYLRVYLNHTLLCPNCNEAFVAVERGPPPNVFKPSNLPSSHQQHQNSRRHPASNNSNHLWGSHSRMAGFGSTDGSSSVAAQAASVVQKASEKVKREGVPSIAEWERIQMSKRADGSMKKRRTDDMRANGHPGYMANHMAMGHGAAGLGSFSEPGKVNMEKERIYGFSGLANKHYSTRELSMYELRNMLVDKARNEIRKKLEEWKLKAEARIINKNKENKRHKNTHNDKTTGSEKYRESNVNGNKHDSLPVTSDDTVKRQAYVTINVPDPDFHNFDLDRAESSFAEDQVWAAYDDDDGMPRYYARIHKVISIKPFRMRISWLNSRNNTELGPIEWVGSGFYKTCGDFRTGKHEVTESLNSFSHKVRWTKGNRGVVRIFPGRGEVWALYRNWSTDWNEHTPDEVIHKYDMVEVLDDFSEEQGISVTPLVKFPGFRTVFRRHQDQSEVRRIPKEEMFRFSHQVPNHLLSGQEAHNAPIGCRELDPAATPLDLLQIETEANESAGNVKKP >CAK8530344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15809483:15811806:-1 gene:gene-LATHSAT_LOCUS214 transcript:rna-LATHSAT_LOCUS214 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEDEEIGNVTDAAVPILTRTSSNNTRPPRRRADPFLIVCRCFSLITAIAVILCIAVNVLSAIRSFRNPNSIFDGIFRCYAVIIAVFVVLAETEWSFIMKFWQILEYWAARGMLQIFVAVMTRAFPDYNGERKDLVILQNIACYLLISCGLVYVVSGILCVGFLKRHLQKKEITREQAAKDLAELERRREELETLLVTE >CAK8543467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610006631:610007601:-1 gene:gene-LATHSAT_LOCUS12223 transcript:rna-LATHSAT_LOCUS12223 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGRIKLKSWQQAAVAFGSAVGALLNPRRADLIAALGETTGKPAFERVLQRMKSSPEGRAVLLERPRVVSANVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFDLPTNLIGESALKVIEFEQMYLPMCMMSVIGGTARFNEKQRKLFFQHYFPWAVRAGTQCNDLMCVYYEQHFHEDLEDVRRKLGIVPVPTLP >CAK8540747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18716954:18718105:1 gene:gene-LATHSAT_LOCUS9721 transcript:rna-LATHSAT_LOCUS9721 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSGKTGKQLEQTNLTTRRRKVGGSDEFAQSIAKIAVAQVCESKGFQGFQQSALETLSDVTARYIMNIGKSANCYANLAGRNECNIFDVVQGLEDVGLMQGFTGASDIDHCLEDSGVIREIVQFVNEVEPVMFAHPIPPFPFVKERVLPLSFLQKGEEPPDEHIPAWLPAFPDPETYSQSTMVNGRGTEPGVSKFEHERENGKADRPLLNSQQKMVSNMFENTTMIDPAIAKAKTVAAESNPFLAAPLRIEDKEVASAAPPAKFFNNIVVSDIPIVENFIEKEPISVLETFAPAIEAINNTCGDSKEDRTKFPVKEKPTVRFKVGTKNKLFGRSIGFIPPKDEHKKTLPWFAMEDEKDDRKRRAEKILRESLENPDQLVQL >CAK8566029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369025229:369027254:-1 gene:gene-LATHSAT_LOCUS19197 transcript:rna-LATHSAT_LOCUS19197 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLQWLSLVGIIWLQVINGTNTNFPAYSSQLKQLLSISQVELNNLAFASDAGKLFGWFSGLAAIYLPLWLVLIIGSFLGLIGYGVQYLFITNQISYLSYWHVFFLTFLAGNSICWINTVSYVVAMRNFLSNRHVAVGLTTSYQGLSAKIYTSIVDVVSSHNKAKTFLFLNSIVPVAVCLILAPIVKEIEVKNSKHSTVVFALMFVITIGTGIFAVISSLQIFTNKISSLGVLMGLLVSLLLPLLLPICVKIKELVDSLHKKRESLRIYHFTMEENIDKESNMKEGEDSCVMEEIGVKLMLKRMNFWLYFFVYFFGATVGLVFLNNLGQIAESRGSSATSYLVSLSSSFGFFGRLIPSLIDYFSREKRTISRPALMVGVMAPTAGAFFLLLNKTDIALYISTAVIGVSTGAITSAAISTTTELFGTKNFSVNHNVVVANIPIGSFLFGYSAALIYRKQGNGDGKCMGMECFSNAFIIWGSFCCLGTFLALILYFRTRKFYSQNH >CAK8572361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531993943:531999602:-1 gene:gene-LATHSAT_LOCUS24934 transcript:rna-LATHSAT_LOCUS24934 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFHCPATRLGDSSAFFLPTRSTRFIKIHTHKPPLRCSLDSNVSDMSINAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRSFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLMKKVGADNNLLVKDHTHTFVNKGGQIGELDFRFPVGAPLHGIRAFLTTNQLNTYDKARNAVALALSPVVRALVDPDGALRDIRNLDSISFSDWFMSKGGTRTSIQKMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIQKYITDRGGRFHLRWGCREILYDKSADGSTYVTGLSMSKATEKKIVEADAYVAACDVPGIKRLIPPEWREKELFNNIYELVGVPVVTVQLRYNGWVTELQDLELSRQLRKATGLDNLLYTPDADFSCFADLALASPEDYYIEGQGSLLQCVLTPGDPYMPLPNEEIISRVAKQVTSLFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRQTSAYICDAGEELVALKKELVTQSKDDIKFTNTKDELSLV >CAK8534046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:687486467:687489402:-1 gene:gene-LATHSAT_LOCUS3620 transcript:rna-LATHSAT_LOCUS3620 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNYLKELECKMAHDYQKKDKMATSILVQGPIIVGAGPSGLAAAACLKQKGIPSLILERANCLASMWQLKTYDRLKLHLPKQFCQLPLMPFPKGLPSYPTKQQFLSYLKAYANHFDINPIFGKQVVNAEFDIACGVWRVKTQEIIMNKCVVIEYVSQWLIVASGENAEEVVPSIEGMDQFQGPILHTSLYKSGSMFCGKNVLVVGCGNSGMEVCLDLSNHNAHPSLVVRDTVHILPQQIFGKSTFGLSMWLLKWFSVHFVDQFLLLMSYLILGDTSQYGMQRPKIGPLELKNLYGKTPVLDVGTVAQIKTGKIKVCKGIKRLAHNAVEFVDGKVENFDAVILATGYRSNVPSWLKGSDMFSEKDGFPRKPFPNGWKGEKGLYAVGFTKRGLLGSSIDAKRIAEDIEHSWKALKAKPLA >CAK8542841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552861770:552864275:1 gene:gene-LATHSAT_LOCUS11645 transcript:rna-LATHSAT_LOCUS11645 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSNATNIFWQDCQLGKPERQKLLNQKGCVVWITGLSGSGKSTLACSLSSELHSKGKLSYILDGDNLRHGLNKDLGFKPEDRTENIRRTGEVAKLFADAGLICVASLISPYRRDRDTCRAMLPDANFIEVYMNMPLALCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKEDNGVCPTPNVMAGQVVSYLEEKGFLHC >CAK8560336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14703116:14703661:1 gene:gene-LATHSAT_LOCUS14018 transcript:rna-LATHSAT_LOCUS14018 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYGTIPTSPTPPKLEYITRGKQRIKAGLGTRRSWKTMFDIRSLGIPGRGAPEAISRLRDNISYFRMNYAMVMLFILFLSLLWHPYSLIVFVLLMAAWLFLYFLRDQPVIIWGRLVDDRLVVVVMAFVTVALLLLTHATVNIIAAVSVAVVVVVVHAVFRRTEDLFFEEEEQVIVSVAS >CAK8574020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654475637:654476201:-1 gene:gene-LATHSAT_LOCUS26412 transcript:rna-LATHSAT_LOCUS26412 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSVYRSLQDIFPHVDSRLLRAVAIEHPKDADIAAEIVLTEIIPSISKKLLPAAPSEDMSPRVVVNLEAA >CAK8574021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654475637:654475911:-1 gene:gene-LATHSAT_LOCUS26412 transcript:rna-LATHSAT_LOCUS26412-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLFCLEQVDSRLLRAVAIEHPKDADIAAEIVLTEIIPSISKKLLPAAPSEDMSPRVVVNLEAA >CAK8577214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544874905:544878688:-1 gene:gene-LATHSAT_LOCUS29341 transcript:rna-LATHSAT_LOCUS29341 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPINGRIRQAFTVVNGGHDVGLTSTPPSIAGSDYGVIEFTREDVEALLNEKAKKKERFNYKERCENMVDYIKRLKVCIKWFQDIEMSYSIEQEKLKNSLEMTQQKSVEIELLLKIKEEEMNLIITEMRKNCTSLQEKLIKEEVEKSAAVDSLVKEREARLDVERSHTTLSEDIGRAQREIQSANQKISSLNEMYKRLQEYITSLQQYNGKLHTELSSVEDELKRVEKEKAAAMENITMLSAQLTLSISSQEEATKQKDDLASEVASLRGELHQVRDDRDRHLSQAQTLNADLVRLKESRENSCVELDSLTLKANELEEKSSLKENQIKALQEQLATAEKKLQVSDISVFETRTEFIGQQKFVDELQRRLEDAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLHDENRSTEGKIFSYPTSTEASGRQIDLAQNGQKHSFTFDKVFIPEVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQTKQSQQPQGWKYEMQVSMLEIYNETIRDLMSIRSSSENGTPGKQYTIKHDASGNTQVSDLTVVDVHSAKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINRSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVSESLCSLRFASRVNACEIGTPRRQTNGRATDARLSYF >CAK8539168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505892362:505894490:1 gene:gene-LATHSAT_LOCUS8288 transcript:rna-LATHSAT_LOCUS8288 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKISEKLSEMNLRPAAADEAGEGLPYAPENWPEPGDIWGWKSGKRLQTNGLCFQDRYLYLPLRLSQTENGGSKRKQRHIFASKLSVERYIKTTFPDADVNKFFASFTWRIPAAQPSTNGGNVVPIAAVPLQQIPQAAGEYDFDDGRTTNILKCKAGNQMCDSLNFEVAIKYSPAMSCDICCSESGFCRGCSCILCCKAVSTAHGGYSYIKCPVNAGAGICGHVAHLECALRSSLAGTVGKSIGLDAEYHCRRCDGRTDLVSHVERFVQICKSITVDLDDEIKKKVLDLGACLLRGSTKPAAKKLLNTVELAITKLKCVSNGESTKKKRKRDDNPMAHSAGLPHRGIDPMEVTMNAIRSDARLREEFNDYQSRSLKLEAEIDEVLVGLRNSQELELMVAKEKLKAQKLNLKNLYEQLDNVVSELECPNLSQSEPLYRAIREIKEQIRREMVKFEDMKKVASGFGMTSKDILKEHFGLQMAD >CAK8538362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478939417:478942050:1 gene:gene-LATHSAT_LOCUS7574 transcript:rna-LATHSAT_LOCUS7574 gene_biotype:protein_coding transcript_biotype:protein_coding MNFESGTIKFLCQSPHNYESSDIWHSANPLHNPRSLFLLQYSLLSIVSQFIFLCIQPLGQSSIVAQILGGVLLGPSFLGHKKIMISNALFPPKGSMVIETTAAFGIMFFFFIVGVRMDPATLWKTEKKAMVISSFVFVFTLVIPTSVSVLMTSYVPMDTSLSRSLPYIAFSQSFTAFMVVSIILTELKILNTDIGRLAMSVALFSDIVGFSLAIIVFSIAQYKHRGVSKFLGIFLSLAGLVLSIIFVMRPIIIGISKKLRSGKHVSEWLFVCIMVFVLIAGFLGEVIGQHYVMGPLLLGLALPEGPPIGTSLIAKIEAFTYAFFYPIYLTISGMKTNLFKIDFKSMWIVCFVVIVSVGIKIGAVMFSGYFTNVPLRDCFVIGLILNSRGVAELLVYNLWRGSKLLTEQEFSLVVFSVIAINAIITPLIKILYDPSKQYHPIIRSSIQHTSGELDIFRIMVCVYRNENIPTMMNLLEVSHASENSHVRVIALILVELLGRSRPLLVAHQPHNILRCTLSQSTQVNHAFYQYIEHNKGYATAELFTSISNFETMNDDVCRIAIDRIANILILPFHKRWEIDGSVAVTNKSIQYMNIKVLNTAPCSVGVLVDRGANNTKQLFSNPTTPLPYQVGVFFLGGDDDLEALAYSSRMCRHDNVRVTVIRFLQYGLENSTEKRREGDVIGEYRNLNKGNRRFKTVDEVIKDGIEMSKRIRKWIDSFDLVMVGKEHAKSGLLQGYEEWSECPELGVIGDMLASSDFETKTSVLVVQQQRIIPRKFSKVKVFPMTNEKEKISF >CAK8561507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:259080176:259082638:1 gene:gene-LATHSAT_LOCUS15093 transcript:rna-LATHSAT_LOCUS15093 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAADNRTRSSVQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEITKNNAECDVVPNLSFDSHHAGEVSQIDESDSKTKVFKPCAARYTDYTPCQDQRRAMTFPRENMNYRERHCPPEEEKLHCMIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLASVVPIDDGTVRTALDTGCGVASWGAYLWSRNVVAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKPWQRPKEELEEEQRKIEEVAKKLCWEKKSEKAETAIWQKMTDSELCRSRQDDSNVEFCESSDPNDVWYKKMEACVTPTPKVSGGELKPFPNRLYAIPPRVSSGSIPGVSSETYQDDNKTWKKHVNAYKKINSLLDSGRYRNIMDMNAGLGSFAAAIHSSKSWVMNVVPTIAEKSTLGVVFERGLIGIYHDWCEAFSTYPRTYDLIHANRLFSLYQDKCNTEDILLEMDRILRPEGAVIIRDEVDVLIKVKKLIGGMRWNFKLVDHEDGPLVPEKVLIAVKQYWVTDGNSTSTQ >CAK8572101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510077731:510078714:1 gene:gene-LATHSAT_LOCUS24701 transcript:rna-LATHSAT_LOCUS24701 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGKLVVIVDVIDQTRALVDAPDMERIPINFKRLSLTDIKIDIKRVPKKKDLIKAMEAADVKNKWEKSSWGRKLIVRKRRAALNDFDRFKIMLAKIKRAASVRQELAKLKKTAA >CAK8567003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478890580:478892986:-1 gene:gene-LATHSAT_LOCUS20094 transcript:rna-LATHSAT_LOCUS20094 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAIGVLKVPPSSSSSSSSSSSSKAIARNLSFTSSQLCGDKIFTVSGTRRSSGRNPFIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNLGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLVLAGDHLYRMDYERFIQAHRESDADITVAALPMDEARATAFGLMKIDEEGRIIEFSEKPKGEQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKHVMLDLLRDKFPGANDFGSEVIPGATELGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADITDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGGVPIGIGKNSHIKRAIIDKNARIGDDVKIVNSDNVQEAARETEGYFIKSGIVTVIKDALIPSGTVI >CAK8572529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544077128:544082395:-1 gene:gene-LATHSAT_LOCUS25086 transcript:rna-LATHSAT_LOCUS25086 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISRKIFPACGSMCVCCPALRSRSRQPVKRYRKLLADIFPKSPDELPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKLVKIVAESFIKLLSICKVQITYFAVDVLNVISELLDYSKDETIQTLGCQSLTRFIYCQVDSTYTHNIEKLVKKVCMLSQEPGETLENCSLRASSLQCLSAMVWFMTEFSHIFADFDEIVHATLDNYEWCRRSEEADRTEAHHNWVEEVVRSESRTGSFVGNDNRSSCLIIQPRPEVKDPSLLTREEIEKPEIWAQICIQRLVELAKESTTMRRVLDPMFVYFDSRQHWAPQDGFALMVLSSMTYFMENTGNQRFILASVIHHLDHKNVMNDPQLKSYVVQVATSLAMQIRSGRRLAEIGFVGDLCRHLRKSFQASSEFVGEQELNLNISLQSSIENCLLEIANGVIDAQPLFDLMAITLENIPSGVVGRATIGSLIVLARALTSALANLRLQQGFPESLLMQLLKVMLHSDAEARIGAHLIFSVLLLPNSFHTHEASSLRSRYLDQRSKKNSHTAAASASITALLEKLRRGKDSTNADHGNVVHDDKERDTVVEEWKQGRGLKTSPNFYKLSSIIDRATGSTSLTDTEPYVMKLSEDQMGQLLSAFWIQANLPDNLPSNIEAIAHSFILTLIVLRIKNLKDKDNLVIRFFQLPLSLWNMLLENNGVLPPARQRSIFVLSAGMLIFACKIYQIHDLNDVFASIAKSEVDPFLGISDDNQVYAKTHMDLREYGSAADNQLAMSTLSELRNKVSEYERTIKNVLVHNLTNFIELDADNLAVLLSEPFKPDEDFVFGPQSIFDQNQLTCHSQESLSIDEDFPSNSGGEDDTISEASVSDLSRFISKMPVSPPQPHVISIGQLLESALEVASQVAGTTVSTLPLPYNAMVSQCESLGTCARKKLSNWLTVENLYTQSPDQSFLAMARNSNAALEKEAYEGENAQVAASALPRDPMKLPPASPFDNFLKAAGC >CAK8569707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8769392:8769994:1 gene:gene-LATHSAT_LOCUS22527 transcript:rna-LATHSAT_LOCUS22527 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNFDITTRQKSVFGCLQTTHAQDFLLAIPIDGLEKDMSPVEYHVILKYLLMIHLFPIDEVFPVCCNTCLDTFGEHTIYCKELPGFKYRHDFIMDILFDIFKSERVYMKKERSMNFLTDPHEGRLTLRPIILVSSCQGYGHKEDVSTNFSLTISLLIPQEEHDCLDLLLDCEEEFDVSYFSGDLKISKLYLVVTQLARE >CAK8574605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3594356:3598060:1 gene:gene-LATHSAT_LOCUS26934 transcript:rna-LATHSAT_LOCUS26934 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNWTPNQGSADWRTQLDPESRQRIVHKIMDTLKKRLPVSGTEGLHELRKIAQRFEDKIYTSATSKSDYLRKISIKMLTLETKSQSTIACNMTSNEGGPSNNPPDQGLVLQSQVLNLGQQHSNPHQQLLPQTIQNHVAPQPNLPSVSTLSQTPSQNISLCSNIQQTGQSSASNSIGQNFNIQGMFPGYQRQIPGRQQVLPPQQQQQNLLQPNQLNTSQQPLMQTSSAPNQLNTSQKPLMQTSSAMQPSTMQSFLSSLQQNQQSNNVQQSTQSRHQQHSQIIRQQQQQLQNSIAQQQQTAMLQQLLINQQNNLTNIHQLSGNNVSGLQQQKFGTDSGNQGIQTNHHSAHMLQQPKFSMQPQLQHNASKLLPSQSQQSQPQASLQQLMSQIHSQLAQMQQQMGLQQQPSSSQRDMQQKLQASGAFHQQQSVLDQQKQLYQSQRALPETSTTSVDSTTQTEQLSEGDWQEELYQKLQTMKEDYLPDLNEIFQEIVMRLQQYDSTPQQPKSDHIEKLRVYRLILERVILFLQLPKNSIRLSFKEKLGACEKHIVNLINSFRPRKGISSLQPGQLLPTHTSFMPQSQSQVTSVQSHENLMISQMQPSNLQGSAASLQHNSMFGLSTTQQNMLNTIQPSNNVDAGQGNSMNSLQKIPLISLQQNSVIRTHGTSTSLLLAEFSGLDDAYFNAFTTTFGKDFGKEFGKELLKETRSKKIEKLKKEVESVTMKMMLLILVLICFWFSFVLFYKSL >CAK8572856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567626852:567629521:-1 gene:gene-LATHSAT_LOCUS25371 transcript:rna-LATHSAT_LOCUS25371 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVMVTRGGGCGGGSKRTRAARAAEEEEQQNQLSLVALLLAAIRKSMVACRVERPDEVISTVHQMEIGWPTDVQHITHVTFDRFNGFLGLPVEFEVEIPGRVPSASVSVFGVSAESMQCSYDSKGNSVPTILMLMQDRLYSQGGLKAEGIFRINPENSKEEHVRNQLNSGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESFELVKQLKPTESALLSWAIDLMADVVQEEEYNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLREREDTATGGYSPMSFRTSFRRSEDEYDSQRETATCGYSPMSFRSSYRPSEDEYDSQLEIEASGELKGTKSDFNDHTHYRNSSEEELEAESLSEIEECFLKQLDENANTKEFSEESAEYLQECVSSKSCCDYSAEPSLSITDSKTVPLCLSSDKEKINADVMIPLLGWTDTDDVEMVDKFTDSVSPVPLLASS >CAK8570764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:110509883:110521827:-1 gene:gene-LATHSAT_LOCUS23491 transcript:rna-LATHSAT_LOCUS23491 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKDNNTNNTNGYHHQPPPPPPEMVHNHINRDRARRVSRRSRVSTSNSLVRVSDTVQPQHLQQSNRSPCTDYDMAYFHSYAHLGIHQEMIKDRVRTDTYREAIMRHQSLIAGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIALQANEVVKANNLSDVVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSSASLYIAPVTHTDRYSDSVDFWRNVYGIDMSAMLSLAKQCAFEEPSVETITGENILTWPHMIKYIDCYSVTINELETVTSKFKFNSMMRAPLHGFAFWFDVEFSVPTVESSTSVIENNQVNGSLRKRRTNPSEALVLSTAPEDPPTHWQQTLIYFYDPIELEQDQLIEGLVTLTQSKENARFMNIHLEYTSGNRSYVKESVMR >CAK8530523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27498340:27502000:-1 gene:gene-LATHSAT_LOCUS381 transcript:rna-LATHSAT_LOCUS381 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDAFTDDLNVNPSSTHHFATSDQDDTYSGFGGYSNFSADGDVTVDHAAETSPDIFGFSDPNPGYSPSPFESVSVENGNDNGNGYGESDGVFVSDGPILPPPGEMESEEGYALREWRRQNAIELEEKEKKEKEARLKILEEAEEYKVAFYEKRKLNVETNKVQNREREKLFVANQEKFHKEADKAYWKTIAELIPREVASIEKKRGKKDQDKKPSITVIQGPKPGKPTDLSRMRQILLKLKHTPPPHMIPPPPPPAPAKDAKVGKDGKETLPKATPTAEGASGSQPKDITSNGPAEEPKEEASATEEQPAA >CAK8540596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11946027:11949140:1 gene:gene-LATHSAT_LOCUS9588 transcript:rna-LATHSAT_LOCUS9588 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCIMFLILFACQMLVTIALVLSSNTDKLALLALKEKLTNGVSDSLPSWNESLHVCEWEGVTCGRRHMRVIILDLRNQNLGGTLGPSLGNLTFLRNLTLKNITLHGTIPKQIGRLKRLEILQLGDNNLQGEIPLEFANCTNLQGLALQINDLSGNLPSNMHLVFPNLKEFFIAGNQISGNLPSSISNLTKLQKLDISFNAFNGPIPLTLGQLNKLEWFDIANNSFGSGGARDLDFLSSLTNCTQLSALILFQNNFGGELPDLIGNFSSNLSILHMGENQIYGVIPERIGQLTGLTYLNIGINFMEGTIPSSIGKLENLVKLIFQSNNLHGNIPTSIGNLTMLIDLYLNDNKLEGNIPFSLRHCTQLKYLSIFRNKLSGDIPNNTFIYLEGLVSILLENNFLTGPIPLEFGSLKHLSTLALNSNKLSGELPKDLGDCSTLTILSLGGNSFRGDIPAFLGKLSSLEILNISYNNFSSKIPVELEKLTHLNTLDLSFNNLAGEVPTGGVFSNFTSISLVGNKNLCGGVPELKLPACLPLKKHKRFLKRKIVFISVIGGILISFIAFIIVHFLTRKLKRLPSHSLRNGNLRVTYRELHEATNGFSSSNLVGSGSFGSVYKCSHVYFERPIAVKVLNLEARGAAKSFTSECKALGKMKHRNLVKILTCCSSVDYNGEDFKAIVFEFMPNGSLESMLHDNERHLNDNLSLEKRMDIALDVAYALDYLHNDTDQVIVHCDIKPSNVLLDEDMVAHLGDFGLARIIHGAAWNSSKDEVVSSSTIKGTIGYVPPEYGASGSVSPYGDIYSYGILLLEMLTGKRPTDNMFSEGQSLHEFCKTKIPEGILEIVDSRILMPFVEDQTGIVENKIRTCLVLFAGIGVACSEEFPTHRMSIKDVIVKLKEIKPKFPR >CAK8562837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:546467409:546469020:-1 gene:gene-LATHSAT_LOCUS16302 transcript:rna-LATHSAT_LOCUS16302 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYGGDSTILIVALLIITAITWYIYIFFFKSKYQNLPPGPSGLPFFGNLLSLQPELHTYFAGLARIHGPIFKLRLGSKLGIVVTSPSIAREVLKEHDAIFANRDVPAAARVASYGCSDMVWIPNGAEWRMLRKVGVVKMLSNTTLDSVYELRRGEVRRTVEYIHDRVGSTVNVGEQVFLTVLNVITNMMWGAGVEGEERDSLGAEFRDVVAEMTHLLGKPNLSDFFPALARFDLQGVVKDMKALVPRFDRIFEKMISERVKKEEEGEVNESKDFLQFLLNLKDEGDSKTPFTRTHIKALLMDMVVGGSETTSNTIEFAMAEMMNKPEVLRKVQEELETVIGKDNLVEEFHIHKLPYLHAVMKETLRLHPGVPLLVPHCPSETTNVGGYTVPKGSRVFVNIWEIHRNPSVWENPLEFDPTRFLDGKWDYSGNNFDYFPFGSGRRFCAGLPMAERTVLYFTATLVHLFDWTIPQGEILEISEKFGIVLKKKKPLLAIPTPRLSNLDLYKEN >CAK8563369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597669735:597672479:-1 gene:gene-LATHSAT_LOCUS16789 transcript:rna-LATHSAT_LOCUS16789 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVIAKNPIHLFNFHSSCFLYRSRVPSILLPSSSTKWIRGIKTLRLRATSESTSSVYGGWDELRSSEVSGEYESLRNFLVSIGIDDRKNAFVFLTGIVCAMAISRVRVSSVLILPASALVFAAGYTVGFFRNGVFSLVEVGVGGSNKRKEKDEKLKSLDELFDEIDNGINSLKSDVQNSIKSKKIKIGDLYGYVEATDKIKLSSLNVRNIVKTLIDNEEKLNGVVVENHKTVRRKKQVGEAAYQMLQYIGGLFQENLASSSSTKVRENVEKTLDQTRGNSALPPVEDRPLNLVDDGKVNGKLDSSQDLFTNSVSDMDRNGRRISTNSEKDDFGVRDNRKYADKFHDKEEFSQQNKGLRFTNNRSFSLKMDSSSVTDMWESHESRLDSERFKVRMKRVQSETSFVREQLHNQGRETLRSSLDKRDSGSDRSQYKEDRDSVNYDVDHLLSDDLSESENEFNAPPSTKISDDIMFDKYLGEATDLLKQAKEFVKGVYDGEQAEIMLYRSANLLSKAVDLKPMSLLAVGQLGNTYLLHGELKLKISRELRTLLSGNVQPSSAKYSRILKELRNKITSKEEAMQLLIEVCEECEELLVNAGRKYRMALSIDSNDVRALYNWGLALSFRGQLVADIGPGSAFEAEKVFLAAIDKFDAMLLKGNVYAPDALFRWGMTLQQRSRLRPGSSKEKLKLLQQAKRLYEDALDMDSNNMQVKDALSLCVSELNYRQF >CAK8571853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483455501:483457652:1 gene:gene-LATHSAT_LOCUS24476 transcript:rna-LATHSAT_LOCUS24476 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYGISLDGEDANGCFLCDDIMPGALHRFLSRFVKIQHDGGSEASANAADKEKGEASANAADKEKGEASANAADKEKGEASANAADKEKEPASVKVSQKRKGDDVVLRSCEADAKNKDILKEEASEAIARFFYNNAIPLKLVESKELVDMYNMISRLGVGYEPPSADEIREKYLTKVGKSTDKVLEEHRAVWKTRGCTIMVDAWTDNKRTILNLFANSLKGKYFLKSIDASHMLESETPYELFNMMDDIVEEVGEENVVQIVTDNTPFYKAAGEMLMEKRTRLYWTPCVTHCIEMILEDFKKKIPIYGNTIAEGKIITTFIYSRDSIVSLLHSFTYGIDLVKTSITRCASCYLTLDCLYENKGVLRKMFQSKGWKSSEFAKTRVGKLVEDLVFDNEFWKNVLICLNGANPLIQVLRLVNSIGEPATGFIYEAMEQAKEEIRSKLSIESFMPLCKIIDERWDNQHLNPLHAAGYFLNPQYQYCIGFSDDNIITHGLHHCITRMAGSPEERTKIEIQLDDFERRTYLLGDPVAIMTAGYEIPTVWWADFGGGLPELQSLALRVLSSTCSSYGPESNQSAFKMVYPKRRNLLRQESRNDAVFVMVNSKLGEKRQARRSVELSLDDNGDDEGLDADHLEYEMISDLHGEYANGDEDQIEACWC >CAK8536183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914781786:914783200:-1 gene:gene-LATHSAT_LOCUS5576 transcript:rna-LATHSAT_LOCUS5576 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRLMLCFLGMVLMHSSCQNDATTDVYIVTLKQAPTSHYQNELKTDYNHFRHGTFRRTALHNPRHQNFTKTYKRHGSKSNIAQVHDSLLKKVFKGEKYVKLYSYHYLINGFSVLVTQQQVEKLSRRREVSNVVSDFSVRTATTHTPEFLGLPQGAWFQSGGVETAGEGITIAFVDTGIDPTHPSFLDDKSELPFHPPPRFSGTCEITPDFPSGSCNRKLVGSRHFAASAIVREMLDPTEDYASPFDRDGHGTNIASIAAGNHGILVVVDGYNFGNASGMAPQLLFTRHYTRDLEDLLQMLLQLLISSR >CAK8573449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613038001:613038625:-1 gene:gene-LATHSAT_LOCUS25896 transcript:rna-LATHSAT_LOCUS25896 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEIHDDKIKQKAMKAVSGLSGIESVSMDMKDKKLTLIGDIDPVKVVAKLRKFCHAEIVSVGPAKEEKKEEPKKKEDDKKDSTKEIVIDPFIFYGTPAYYNHQMKPQYSPYYGAVSVEEDPNSCVII >CAK8544693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699378203:699379312:-1 gene:gene-LATHSAT_LOCUS13349 transcript:rna-LATHSAT_LOCUS13349 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHSRLFILLILPLILLLSATKAQAQARNQTNDFTDPNFKQFSPSFAIIIVILIAALFLMGFFSIYIRRCSDSPSSNGGLPIINGRLGITTRGLDSSVIETFPILEYSEVKIHKIGKEVLECAVCLCEFEDTETLRLIPKCDHVFHPECIDEWLSSHTTCPVCRANLVPEPVDSVHGNPESNNESQHQDIEAQNDEVQAPVEERNAEADPVLVPPEVISLEKTLNRNRTRGSQSNRARRFPKSHSTGHSLVQPGENTDRFTLKLPFAVRKQLMNRQLQRTSSLITLPRESSSRHGYRTGEGSSKGKSSSWVDRSFKSDRWVFTRAPSFLARALSFRSPKPKVNNSDDEGTSSATAPIMPSSAVDSARR >CAK8562402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484728477:484729076:-1 gene:gene-LATHSAT_LOCUS15900 transcript:rna-LATHSAT_LOCUS15900 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPISSDNIVGTVLLPDKEQENSIIVSTLIQVLCSTNNVDVPPNQPTKRESEAATSSCGSGSLQNQYDPYTCRACKINGCLGCRLFIEKEKEEKRKKYRGVRQRAWGKWVAEIRDPKRATRVWLGTFATAENAARAYDQAAIKFHGVRAKLNFESSHCAVTDENMQSAKVQEHVGEIAAINQTKTTSLSFGSRCELD >CAK8575225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56528325:56563832:-1 gene:gene-LATHSAT_LOCUS27508 transcript:rna-LATHSAT_LOCUS27508-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKENFLKQFGEHYGYPNGPKSIDQIRATEFNRLQDLVYLDHAGATLYSELQMDSVFKDLTSNVYGNPHSQSDSSSATFEIVRDARQQVLDYCNASPKDYKCIFTSGATAALKMVGEAFPWSCNSNFMYTMENHNSVLGIREYALGQGAAAIAVDIEDIHPRLEGENFSTKISLHQAQRRKVAGLQEGEPTGDVYNLFAFPSECNFSGLRFDLDLAKIIKEDSSRILGTSVCKNGRWLVLIDAAKGSATMPPDLSKYPVDFVALSFYKLFGYPTGLGALIVKNDAAKLLKKSYFSGGTVAASIADIDFIKRREGIEELFEDGTVSFLSIASIRHGFKILNSLTVSAISRHTTSLALYTRKTLLALRHGNGSRVSILYGHHNSVEMCHEMGPIVSFNLKRPDGSWYGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHTDLISNTEAGHVCWDDNDIINGKPIGAVRVSFGYMSTYEDAKKFVDFLTSSFMSPQNHVDNGSQLKGVNGSLDTCYYLKSITIYPIKSCGGFSARSWPLSNNGSLKHDREWILKSLSGEVLTLKRVPEMGLISSFIDLSQGMLFVESPHCKERLKIRLELDFYDSTVQDIELHGQRYKVYSYNNETNAWFSEAVGRPCTLLRYSGSNHEFVLNNTKDVVSCKDTNSAVSFANEGQFLLVSEESVSDLNKRLCSDVQKGVCVTAMKVNVNRFRPNLVVSGGRPYDEDGWRDITIGNKCFKSLGGCNRCHVINLSLNAGRVQKSKEPLATLASYRRVKGRILFGILLKYASVNGEQQQGDSWLHVGQEVHPD >CAK8575226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:56528325:56563832:-1 gene:gene-LATHSAT_LOCUS27508 transcript:rna-LATHSAT_LOCUS27508 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKENFLKQFGEHYGYPNGPKSIDQIRATEFNRLQDLVYLDHAGATLYSELQMDSVFKDLTSNVYGNPHSQSDSSSATFEIVRDARQQVLDYCNASPKDYKCIFTSGATAALKMVGEAFPWSCNSNFMYTMENHNSVLGIREYALGQGAAAIAVDIEDIHPRLEGENFSTKISLHQAQRRKVAGLQEGEPTGDVYNLFAFPSECNFSGLRFDLDLAKIIKEDSSRILGTSVCKNGRWLVLIDAAKGSATMPPDLSKYPVDFVALSFYKLFGYPTGLGALIVKNDAAKLLKKSYFSGGTVAASIADIDFIKRREGIEELFEDGTVSFLSIASIRHGFKILNSLTVSAISRHTTSLALYTRKTLLALRHGNGSRVSILYGHHNSVEMCHEMGPIVSFNLKRPDGSWYGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHTDLISNTEAGHVCWDDNDIINGKPIGAVRVSFGYMSTYEDAKKFVDFLTSSFMSPQNHVDNGSQLKGSLKHDREWILKSLSGEVLTLKRVPEMGLISSFIDLSQGMLFVESPHCKERLKIRLELDFYDSTVQDIELHGQRYKVYSYNNETNAWFSEAVGRPCTLLRYSGSNHEFVLNNTKDVVSCKDTNSAVSFANEGQFLLVSEESVSDLNKRLCSDVQKGVCVTAMKVNVNRFRPNLVVSGGRPYDEDGWRDITIGNKCFKSLGGCNRCHVINLSLNAGRVQKSKEPLATLASYRRVKGRILFGILLKYASVNGEQQQGDSWLHVGQEVHPD >CAK8540332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553035679:553037304:1 gene:gene-LATHSAT_LOCUS9346 transcript:rna-LATHSAT_LOCUS9346 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPAHSSISTTAVVGGGVGGISNAAVSVDDFHFPYDHISTEERKDEAMLVLKSDLMAALDKEVKSLDEDNWKFEGPRSRIHRVSRGGGGHLHRPTELSKNWSLAPPK >CAK8571625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:451030238:451030759:-1 gene:gene-LATHSAT_LOCUS24267 transcript:rna-LATHSAT_LOCUS24267 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIIRVIQTQPRFISNWNNSISNNTSSRIFHLRRNPQHPISIMASSKDSSSTKTERVVIKGRVQGVFYRNWTVDNAKELGLKGWVRNRKDGSVEALFSGSFDAVKEMEQRCRRGPSEAIVTGLEVFPCGDEDDPGTGFQRKPTV >CAK8572138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513800485:513801129:-1 gene:gene-LATHSAT_LOCUS24734 transcript:rna-LATHSAT_LOCUS24734 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIRLARHGRIHSPFYRVVVADSKYPRDGRNLEVVGYYNPIAAKDDEKQLSIKLERVKYWLSVGAQPSERVKSLLFHSGLPLKRKGYYPEQPVDADANANEADGISPEAVLSIGLQV >CAK8568905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653129897:653134764:-1 gene:gene-LATHSAT_LOCUS21813 transcript:rna-LATHSAT_LOCUS21813-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGSPIGGSTPPPPPQQEQANKLGFTKPISLAGPTAADLNRNAELEKFLVDSGLYESKEESACRQEVLRRLDQIVKSWVKLLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASLSILVVPEDLDISDGSILHDIDEKTVRSLNGCRVADQILKLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARVCQFYPNAIPSMLVSRFFRVYSQWRWPNPVMLCSIEENEPHLPIWDPRRNHRDRFHIMPIITPAYPCMNSSYNVSTSTLRVMMEQFQHGNKICDEIELNKSQWSALFKPYVFFEAYKNYLQVDIVALDAVDLLLWRGWVESRLRQLTLKIERDTHGMLQCHPYPHEYADASKPCPHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYVYWKPGMEIYVSHIRRKQLPAFLFPDGYKRTRMLRHMSHAADKTREYTTKCYSGSSSSERCIKRKNSHETGDVKPDKPEKRTSVSPQRLECVSPESCTSKLDGTPQISIECIEGVRPDGSTMKDTDRNCGIKSSHRLLGSDTIIEVGDMQINETCIVDSTHRLKSRDLEVQNENGVNGDKALDLAFVCLERAETTSSKSLTNWEESAVKMDQQLDKACNFTRAECSDYAPSASIQNLNCEVSFFI >CAK8568904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653129130:653134764:-1 gene:gene-LATHSAT_LOCUS21813 transcript:rna-LATHSAT_LOCUS21813 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGSPIGGSTPPPPPQQEQANKLGFTKPISLAGPTAADLNRNAELEKFLVDSGLYESKEESACRQEVLRRLDQIVKSWVKLLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASLSILVVPEDLDISDGSILHDIDEKTVRSLNGCRVADQILKLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARVCQFYPNAIPSMLVSRFFRVYSQWRWPNPVMLCSIEENEPHLPIWDPRRNHRDRFHIMPIITPAYPCMNSSYNVSTSTLRVMMEQFQHGNKICDEIELNKSQWSALFKPYVFFEAYKNYLQVDIVALDAVDLLLWRGWVESRLRQLTLKIERDTHGMLQCHPYPHEYADASKPCPHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYVYWKPGMEIYVSHIRRKQLPAFLFPDGYKRTRMLRHMSHAADKTREYTTKCYSGSSSSERCIKRKNSHETGDVKPDKPEKRTSVSPQRLECVSPESCTSKLDGTPQISIECIEGVRPDGSTMKDTDRNCGIKSSHRLLGSDTIIEVGDMQINETCIVDSTHRLKSRDLEVQNENGVNGDKALDLAFVCLERAETTSSKSLTNWEESAVKMDQQLDKACNFTRAECSDYAPSASIQNLNCETDVRLRSV >CAK8534058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689748761:689748952:1 gene:gene-LATHSAT_LOCUS3632 transcript:rna-LATHSAT_LOCUS3632 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVNIFIFPILSILLMAISHMAHAQNLDLSPAPAPTSDGTAMDQNIAYFLMLLALVITYMLH >CAK8532199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:219455777:219460966:1 gene:gene-LATHSAT_LOCUS1930 transcript:rna-LATHSAT_LOCUS1930 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGNNSVCVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRHKYGYEMPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDDENGPQLYKCDPAGHYFGHKATSAGLKDQEAINFLEKKMKNDPSFTYEETVQTAISALQSVLQEDFKATEIEVGVVQKDKPEFRVLSTEEIDEHLTAISERD >CAK8566852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467319528:467323578:1 gene:gene-LATHSAT_LOCUS19955 transcript:rna-LATHSAT_LOCUS19955 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTRAEYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSISSNDAIPKSDVNREYFAEEHDRKARAGIDYESSFGKARPNDTILKLQRTTPYYKRNRAHICSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVAMKLLGKAGEMSSLEVPEDESIKTLYVGGLDARVTEQDLRDNFYAHGEIESVKMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQTAKLESDGSDQARQASVAHSGLLPRAVISQQQNQDQTQGMLYYNNPPPQQERSYYPSMDPQRMGALIPSQDGPPGGPSGSGENKPPSSEKQQMQHYAHPMMPPPHGQYHHQYYPPYGGYMQPVPPYQQYPPPPYNAAMPPSQPPAANHPYQPPSQPPAANHPHQPPSQPPAANHPHQPPSQPPAANHPHQPPSQPGSSQSGSGQAISAPETGGTSSSGSQQQ >CAK8574321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675076881:675077489:-1 gene:gene-LATHSAT_LOCUS26676 transcript:rna-LATHSAT_LOCUS26676 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNYMQIGQTKDVLLNPRVPSEISGLLLANDADTRDNVGAGGTLYYKCPGNCSFDVTCDSTTPCSSCKRPMNSLTRYVGKKVVDDNTSIKNGFVKDVVTFMVMDDLVIQPMSTISSITLVNKFNVKDISTLQEKVVEMGMDEGIKLLKASLGSKMVLTSVFIKKDI >CAK8576582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494967276:494969184:1 gene:gene-LATHSAT_LOCUS28758 transcript:rna-LATHSAT_LOCUS28758 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSTQTVVSTVSSLAATAMFLHSLLHNYLPDKLYNYFHLKLSQFKTSLSNEFTMVIEEYENLTHNKLFQSAEIYLPEVDQYIKRFKAVMRPNEQNVSIVTDRNREIVHMFKGAYFKWRLIIQAIPPTYVKRPGGRNEHHTMVKSSVRRFEVRFHKKHRNMALSEYFPKVMKEAKEILEERKTPKLFTLTNERELKRFGNMWHSVALNHPATFKTLAMDSELRNRIFDDLDLFLEREELYKSVGQPWKRGYLLYGPPGCGKSSLISAMANYINFDVYDLQLGDVLGYTELRSLLMSTQNRSILVVEDIDCTLQLHNRLGFRVPPVTLSGFLSLIDGLWSSCGEERIIVFTTNHKNKLDPALLWPGRIDVHIPLSYCSPCEFKQLALNYLMMKEHPLFFEVDKLLEKAKITPAEVGEHFLQNEDPEIAIRSLVELLEKMGRNHCESKAIKDVTADPFKSCDGFETEGC >CAK8573062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580475859:580476557:-1 gene:gene-LATHSAT_LOCUS25553 transcript:rna-LATHSAT_LOCUS25553 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPCGKEILCPCVNCYNSNWFTRNEVRNHLIAFGFQKGYDVWVRHGEKKLKLGDLNDNHMNEEEDQIDDIDGLLHERFRDVVQEENDVNVSLNEDAKKFYNLVEEAKQDLYPGCKNFSKLSFTIRLYLLKCLYGWSNVSFYALLELLREVMLSLNIPDTFNKIKGMIRDLGLDYMKIDACPNDCMIYWKDHKNDTSCHVCGAP >CAK8541373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:140807458:140809206:-1 gene:gene-LATHSAT_LOCUS10298 transcript:rna-LATHSAT_LOCUS10298 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEALIDRPRKSLPKTFWLILSLAAIISSSALIVSHLNKPISFFHLSSTPNLCEHAVDTKSCLTHVSEVVQAPTLANTKDHKLSTLISLLTKSTTHIQEAMNKANVIKRRVNNPREETALNDCEQLIDLSMDRVWDSVLTLTKNNVDSQQDAHTWLSSVLTNHATCLDGLEGTSRVVMESDIQELISRARSSLAVLVSVLPTKSNDGFIDESLNGEFPSWVTSKDRRLLESTVGDIKANVVVAKDGSGKFKTVAEAVASAPDNGKARYVIYVKRGTYKEKVEISKKKKNVMLVGDGMDATIITGSLNFIDGTTTFNSATVAAVGDGFIAQDIGFQNTAGPEKHQAVALRVGADQSVINRCKIDAFQDTLYAHSNRQFYRDSFITGTVDFIFGNAGVVFQKSKLVARKPMSNQKNMVTAQGREDPNQNTATSIQQCDVIPSSDLRPVQGSIKTYLGRPWKKYSRTVVLQSVVDGHIDPAGWAEWDAASKDFLQTLYYGEYLNSGAGAGTSKRVTWPGYHIIKTAAEASKFTVTQLIQGNVWLKNTGVAFIEGL >CAK8561621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:324453071:324455912:1 gene:gene-LATHSAT_LOCUS15196 transcript:rna-LATHSAT_LOCUS15196 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAPARTVGWRDPGYIHTSFLKELWPNKEYTYKLGHRLVNGTTVWSREYQFKSSPYPGQNSVQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTSQIIQDLKDIDVVFHIGDLCYANGYLSQWDQFTAQIEPIASRVPYMTASGNHERDWPESGSFYGTLDSGGECGVLAQTMFYVPAENREKFWYSVDYGMFRFCIAHTELDWRKGTEQYEFIEKCLASADRQKQPWLIFLAHRVLGYSSADFYVAEGTFEEPMGREDLQSLWQKYKVDIAMYGHVHNYERTCPIYQNICTDQEKHNYKGSLNGTIHVVVGGGGAALADFAPINTKWSIFKDHELGFVKLTAFDHSNLLLEYKKSSDGQVYDSFKISRDYRDILACTVDSCQSTTLAS >CAK8533879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666626700:666628275:-1 gene:gene-LATHSAT_LOCUS3467 transcript:rna-LATHSAT_LOCUS3467 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQTESTLDQVPLFAKELLAGGLAGGFAKTAVAPLERVKILFQTRRAEFHSTGLFGSVSRIAKTEGLLGFYRGNGASVARIIPYAALHYMSYEEYRRWIVHIFPNVWKGPTLDLMAGSLSGGTAVIFTYPLDLIRTKLAYQVVSPTKLNVSGIVNNEQVYRGIRDCLSKTYKDGGIRGLYRGVAPTLFGIFPYAGLKFYFYEEMKRHVPEDYKKGIMAKLTCGSVAGLLGQTFTYPLEVVRRQMQVQKHAAFDEAELKGTIRSIVLIAQKQGWKTLFSGLSINYIKVVPSAAIGFTVYDTMKLWLRVPSRDGPN >CAK8560683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35683089:35683287:-1 gene:gene-LATHSAT_LOCUS14340 transcript:rna-LATHSAT_LOCUS14340 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLMGSSSSIQ >CAK8544211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671980271:671981030:-1 gene:gene-LATHSAT_LOCUS12910 transcript:rna-LATHSAT_LOCUS12910 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKYLRRKLVNMGYTLTEARFNYYRGEIRRTTIEASNWIDNIPREKWARAFDGGQRWRHMTSNLAEVINSVLKATRNLPITALVQSTYYRMSSLFGKRGHKWTKMLSSCKVFTDGCNKGMTDEVAKANTHNVMQFDRERLCFMVQEKINYNDGRPTDTFSVDLRNCLCDCGKFQAFRLPCSHVIATCASIRQDYTIHIPKVFTVLNVFKVYKKSFLRLPHEEN >CAK8532987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561007231:561007434:1 gene:gene-LATHSAT_LOCUS2640 transcript:rna-LATHSAT_LOCUS2640 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKINQFFVVIVVVAMMMATSSVSAVEESTSSVTAPAPGPTSDATTLFVPTMIASFVALVFGLLF >CAK8531915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:175800836:175803960:-1 gene:gene-LATHSAT_LOCUS1672 transcript:rna-LATHSAT_LOCUS1672 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGNMNTKLPVFDGKNWNRWMIQMRVLFGAQDVLDLVTDGYVPVAADATDEQKNAQKEVRKKDQKALFFIHQCVDVNVFEKIADSTTAKAVWDTLVRCYGGDASVKKVKLQSLRKQYENLNMKNNEKVSEYISRVILITNEMKACGETLFEETIMEKVLRSLTSQFDYIVVAIEHSKDLSTMRIEELQSSLEAQELRLTERTSEREVEQQALKATSDRRYQKQSEAKRRSDGGQKSESSISDRQKNAQKGKEKYDKKKIQCYCCKKFGHFARDCWSNKEKKSEEANIARSSDDESVLLMASESDDMDLTDWWYMDTGCSNHLTENKKWLVDFDSEKRTKIRCADDKYLNAEGMGNVRVTLNNGKTALIQNVWYVPGIRSNLMSVGQLIEKGFSVTMKDNLLKLYDYNHKLIMESEQGRNRTFKVNVRTADSECLSATCAEKENELWHRRFGHLNFRSLKHLNSKKLVHGIPAIKKPEKSCKVCMEGKQPHLSFASEVAPRAKHALGVVHSDVCGPFPVVSIGGNKYFVLFVDEFTRMTWVSLIKFKHEVFDEFKKFRMKAENQSGQKLKILRTDGGGEYNSKEFQKFCEENGIEHEVTAPYTPQHNGLAERRNHTLLDMVRSMLKEKKLPQKLWGEAVATATYVLNRCPMKKLKEIVPIQKWTGDKQSVSHLKVFGSVCYKHVPEARRQKLDDRSKVMILIVYHSTCAYKLYCPETNRIEFSRDVIVKESKAWNWDKSQSDSDVRTSEERSELRISEVEVNSDVDSDSDSDSDSGEDSEDEGGSDDPDSDGNPDSGGNSDSEDGQDSKNMPDLEDGQSSRGSQPSKAGNSEAQDSEQVQRPQRIRSIPRRFAEFDMLQDTEVDSEGEVIQCAMLVDSEPISTEDALKQKLWLKAMKEELDAIERNKTWKLTELPKDKKAISVIWVFKQKLKLDGSIGKHKARCKICVSERSIRRRSLRITTSWICEKESGRDGVQIIQSYIWIETSAQSLESED >CAK8530881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59037408:59044324:-1 gene:gene-LATHSAT_LOCUS712 transcript:rna-LATHSAT_LOCUS712 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALWMRSAKPFLHTLRRHVAFRRTISTGNGGSATKFLETFTEEFEIGNRLVTLETGKIARFANGAVVLSMEDTKVLSTVTSSKGDTARADFLPLTVDYQEKQFAQGVIPTTYMRREGAPKERELLCARIIDRPIRPLFPPGFYHEVQVMASVLSSDGKQDPDVLAANTTSAALMLSDIPWGGPIGMIRIGRICGKFVVNPTMDELSLSDLNLIYACTKDKTLMIDVQAREISEKDLQAGLRLAHPEAVKYIEPQIRFAAKAGKSKKEYKLSMLSDKTLEKVSNLAETPIIDVFTDPSYGKFERGEALENITQDVKKVLEEEGDEESIKVLSKTVDTVRKKVVRKRIISEGSRVDGRQLDEVRPLYCEAGYVSMLHGSALFSRGETQVLCTVTLGAPTDAQRLDSLVGPPLKRFMLHYSFPPFCINEVGKRGGLNRREVGHGTLAEKALLAVLPPEDVFPYTVRVNSEVMASDGSTSMATVCGGSMALMDAGIPVREHVAGVSVGLVSEVDPCTGEIVEYRILTDILGLEDHLGDIDFKIAGTRNGVTAIQLDMKPAGIPLDIICECLEPAHKARLQIIDHMEREIKAPRTKGGISSPRLVTLKYSNDALRRLIGPMGSLKRKMELETGARMSVGDGTLTIVAKNQSVMDKILDKIDFIVGREIEVGGVYKGVVTTIKEYGAFVEFNGGQQGLLHISELSHEPVSRISDVVSIGQQITLMCIGQDVHGNIKLSLKATLHGRGGSDTNGIAEGSAASAKETANIWAPVSIASSTTQEQNSASELCIEKDEVAETKPSASQTPVILIRSAEECDEEEKSLSSNHNQTSNGPLIDDGVQLDHKSKSLSKSKPRESQDTIDSPSHSGPLPYKYAKKPKLSTQKESKSETQRKEKEGKDNLTTKDLILGKEVTAKVYQIRAHGLVLDLGGGVRGMYRFEEDSKKNFNIGDEMRVICSSFSSKGIPVMSAVDDN >CAK8574365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677503100:677513975:-1 gene:gene-LATHSAT_LOCUS26719 transcript:rna-LATHSAT_LOCUS26719 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGTHTETLAPVESHLFENRLVDASQHQTSSYATTTSGPEAVSWTVQSSTTNGVYSNPTYQYDQHPQPPGRSVQEGQSVSSVAGNTSNLGTANTPQDYNAYTSYANSSNPYGYGSSTGYSGYYNSYQQQQPNHAYSQPVGAYQNTGAPYQPISSFQSTGSYAGSATYSSTYYNPADYQTTGGYQNSNGYTNQAPVWNNGSYSSYSSHPYTSYAPDSNSSYNSGAAATSVQYQQQYTQWADYYNQTEVSCAPGTENLTVPSSSTLGCPVPAATSGYATPNNQPPQSYPQFWGQESSTPAVPSFQPAAVNSGDYDSYWKHGAQTSSQIHQTSSQIVQTNPIQPNYQSHLDLNSSYDKFQDQQKTVTSQGTNLYFPLPPPPPLPQQVNPAPLQSAPSLDTKQVSKFQIPTNPRIASNLAFEQSKTEKDSSTSSAAMKPAYIAVSLPKPTEKVSSNAAANSILKPGMFPKSLRGYVERALARCKDDKQMAACQAVMKEMITRATADCTLCTRNWDMEPLFPMPDADAVNKDNSLSSTHDFVLPKSKKSPRRSKSRWEPLAEEKPVDHPVPISNDTVKYSSWVPNEKDRKVVVENKETKEDSWRNTKFSPFLQRISIKSPQRPFKKQRLVDVSIGHENSNASSDSDKEQSLAAYYSAVNDTPEELKRREIRSKRFDLGQAQRTENNHSRKKNARAGNLYNRRASALVLSKSFDDGVSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEILEKALLMVQNSQRNYLYKCDQLKSIRQDLTVQRIHNQLTVKVYETHARLALEVGDLPEYNQCQSQLKALYAEGIEGSYMEFAAYNLLCVIMHSNNYRELLSSMARLSVDSKKDEAVKHALAVRAAVTSGNYVAFFRLYKAAPNLNTCLMDLYVEKMRYKAVTCMCRSYRPTLPVSYVSQVLGFSTAVPLNEANDEKEASALEECLEWLKAHGASIITDNNGDMMLDTKVSSTSLFVPEPDDAVSHGDANLDVNDFLAKAPL >CAK8575369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:97181487:97220391:1 gene:gene-LATHSAT_LOCUS27639 transcript:rna-LATHSAT_LOCUS27639 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSTGESSSSRVSPSLEAGVIKKLRLENFMCHSNHETVFGNHVNVITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGASNAVIHVEIQNEGEDAFKPEMYGDVIIVERRISESTSSITLKDHQGKKVFSRKADLLEIIEHFNIDVENPCVIMTQDKSREFLHSGNNKDKFKFFYKATLLQQVNDLLESISIEIITARGIVEELETAIKPIEKELNELQVKIKTMEHVEQISIQVQQLKKKLAWSWVYDADKKLEEQNVKIEKLKSRVPTCQAKIDKQLHQLEKLSENCSAKKAEIANMMTSQVKQMKESLSRSMSLAKKEVFELQRDCNRKTTNIQKMVQHLKRLELQMQDTHEQHLKNTQAEESDMEEILKRLRDELNDAKSEYDRLKEEEAMLMNSKNGQADEIRSIDVKIQDHEKKYNEIMHNIRNLKNQQSNKITAFGGNKVINLLRIIERYHQRFKMPPIGPIGAHLKLRSGNKWAVAVEHAIGRMLNSFIVTDHKDFRLLKQCAKEANYDHLQIIIYDFSTPRLTLPAHMLPNTNHPSILSILQCENHTVINVLVDLGNVERQVLVNDYNTGKVVAFEERIQNLKEVFTLDGCKMFSRGPVQTTLPPNKKQRYGRLTSSVEADIEKLSNDASNEQKYANDYKWNKREAERKLEDLDKKMNSIKRLCTSAGRTFNSTKLALEEKTKEQAAERSSTPLSSVDEIVEEISEINKKIKEEQVLLEGLEQRRQEAVGKAHDLKVNFDELCESANTELASLEKAESELMEIEREIDSAKKAKDHYDNVMKDKVLHDIKEAEAKYLELTKSREVNVEKASIICCQKELATLGGCDGKTPEQISAQLESLNHTLRRESQRYSESIDDLRMLYAKKERKIAKRQQVYRALRQKLNACQSALEFRMRKFQANATNLKHQLSWKFNGHLKKKGISGVIKVDYEQMTLSIEVQMPQDASNRAVRDTRGLSGGERSFSTLCFALALHEMTESPFRAMDEFDVFMDAVSRKISMDTLVDFAVAQGSQWILITPHDTSLVKAGNRVKKMQMAAPRS >CAK8544669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698602677:698603265:1 gene:gene-LATHSAT_LOCUS13326 transcript:rna-LATHSAT_LOCUS13326 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVEVKYLHDYVGVFANVGLTANSIVNLSGVIGTNVIALDHDLSYDTKTSKLTKFNMGLNFTKEDLVASLVLNEKANVLNVSYYHVVNPFTKIVIDVEVTHRFSIKENTFTLGTQHALDPSME >CAK8575105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30605143:30606033:1 gene:gene-LATHSAT_LOCUS27394 transcript:rna-LATHSAT_LOCUS27394 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVNKSLTKDFEVGRGLRSGDSLSLFLFVLVADVLTSMISKASERGNFEGFRDKEGLLVEIIQFSNDTLIIGEGGWKNLWNIKAILRGFKLVSGLSVSFLKSRIIGIHLSKHFLDVATNFLLCKIEDPCFNFLGIPIGYTPASSFSDLFDISNKKGDFVINMGVWLNGKWSWGDIGIPREMQNSVSKRINFLQNLLSVYQPIVGTKNFLQWIRDDCDEYSTREGYECIMDSKNQDMLETVLCRAFNSLWRLLVLTKIKSFGWRIFLKRMATKDQLKRIGVGLSLKDGNFFLFIV >CAK8536924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39937631:39938476:1 gene:gene-LATHSAT_LOCUS6248 transcript:rna-LATHSAT_LOCUS6248 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRTTDENKRRKMEDSVKPMVTCHWVDSNYRTKSSALSQPKNNDNVVEDNQVSKTRALSQPEVVEDKKMIKNQVFKTSALSQTKNNDNVVEDKKVIKNQVSNRVMPNNIDVENKKIIKNQVSKTEIGFNGGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMIDKSESKSKGIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDAWKLEDRGRSKTHNSTRYL >CAK8535307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836862251:836862898:-1 gene:gene-LATHSAT_LOCUS4776 transcript:rna-LATHSAT_LOCUS4776 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGYPTKFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFEWTILKYQQSQLATPPARAIIPGTGTSSAMPPAVTNADRHTGAEEGQPPGLVSVDSSRRRMSGPVLNTLSSANVLGQSNGSSRRVAVSSSRDAFVGAESDVRTRTAEASPGAAHRILGGQRSSPIGSSDPQRVTRAGRNASHANNYESALRGMDGLQLENDERTHY >CAK8535308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836862251:836862664:-1 gene:gene-LATHSAT_LOCUS4776 transcript:rna-LATHSAT_LOCUS4776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVTNADRHTGAEEGQPPGLVSVDSSRRRMSGPVLNTLSSANVLGQSNGSSRRVAVSSSRDAFVGAESDVRTRTAEASPGAAHRILGGQRSSPIGSSDPQRVTRAGRNASHANNYESALRGMDGLQLENDERTHY >CAK8561173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:111290930:111292977:1 gene:gene-LATHSAT_LOCUS14785 transcript:rna-LATHSAT_LOCUS14785 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKAYGKVDEIDQAMLEVRRRSRKRITIISLSTIVLIGIVFAAVFGTVAHNNAHNDDNNSDNKDQSLSSSVKAICDVTLYKDSCERSLSPLVHLGQQLRPEELFKLSIQVALAAVSKGVEYFSEHGAFDELNLNLDNRTKEALNNCKDLLDLAVDHLNSSLVYDGKSSLVDVLEDLETWLSSSGTYQQTCIDSLEEAEEALKSSITTNLKDSTELTSNSLAIITWLNKAASSVNFRRLLSLSNQNKQPNWLHPKDRKLLQVDNDLKKKADIIVARDGTGKYKTISAALKHVTDKSDKRTVIYVKKGTYYENVRVEKTKWNVMIIGDGMNVTTVSGSLNFVDGTPTFSSATFAVFGKNFIARDIGFKNTAGPEKHQAVALMTSADQAVFYKCSIDAYQDSLYVHSNRQFYRECNIYGTVDFIFGNSATVLQNCNILPKQPMQGQQNTITAQGKTDPNMNTGLSIQNCNVSPFGNLSSVKTYLGRPWKNYSTTVFMQSNLGSFISPNGWLPWIGDSAPDTIFYAEFQNVGAGASTKNRVKWKGLKNITSKQAKKFTVKSFLNGDKWIPASGATFKSSL >CAK8567365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508785889:508786942:1 gene:gene-LATHSAT_LOCUS20427 transcript:rna-LATHSAT_LOCUS20427 gene_biotype:protein_coding transcript_biotype:protein_coding MASELQLPPGFRFHPTDEELVMHYLCRKCTSQPISVPIIAEIDLYKYDPWELPGLASYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGNPKPVGIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSIRKKNSLRLDDWVLCRIYNKKGTIEKQPNGVVSRKTEQSEVEDKKPDILTRGGGLPPHPPPAGMRDYMYFDTSDSIPKLHTDSSCSEHVVSPEFASEVQSEPKWNDWEKSLEFPYNYVDATLNAGFGSHFPGNNQMSPLQDMFMYLPKTF >CAK8571818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479186314:479187048:-1 gene:gene-LATHSAT_LOCUS24446 transcript:rna-LATHSAT_LOCUS24446 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPVITVETVPEPQAEKTKKAKDSKPKKASKPRSPSSHPSYSEMIKDAIVSLKDKTGSSHYAIAKFIEEKHKQLPSNFKKLLLHNLKKNVASGKLAKVKGSFKIPLATPKPKTKPAAKVKPLKAKPAAKPKAKAVVKPNIASKVKAVTAKPKVAASKNKAAKPRERPAKVTKKTPVKKVAAVKSVKAKSIKSPVKQVSVKRGGNK >CAK8569393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698840326:698841312:-1 gene:gene-LATHSAT_LOCUS22249 transcript:rna-LATHSAT_LOCUS22249 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAKALKPSLRREFVYQQTLGEELYSFNSNTVVAGEDFSVDDLLDFSNGDVLHHEQHNEEDEEEKDSLSLSSHSLSEDSNSNSTDASYDSIFSTELLVPDDDVAGLEWVSHFVDDSLPELSLLYPVHVQTNAYPQNEPNHEKTFRFSSDKITRKTRTVKNRKPNPRAWAFSPLILRPFFPSTVFAEPPAKKQKKKAQAQVDGVEAQDESHLQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFSEYRPACSPTFSSEIHSNSHRKVLEMRKRKGMAGPESGLSAQTQIVTTC >CAK8536754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:13510215:13512965:1 gene:gene-LATHSAT_LOCUS6087 transcript:rna-LATHSAT_LOCUS6087 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLADSRTRRSVQIFIVAGLCCFFYVLGSWQQSGFGKGDGLALEITKKGVACDIVPNLSFDSHHSGEVSKIDGVDSKPKVFKPCKARYADYTPCHDQKRAMTFSRDNMIYRERHCPREEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQIASVIPIENGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSAAFDMAHCSRCLIPWGSNGGIYMMEVDRVLRPGGYWVLSGPPIHWKVHYKAWQRPKEDLEEEQRKIEEVAKLLCWEKKSEEHEIGVWQKTIDSETCRSRQEDSGVKFCESTDADDAWNKKMEACVTRNPKVHGDLKPFPQRLYAVPPKIASGSVPGISAETYHDDNKNWKKHVTAYKKINKLLDSGRYRNIMDMNAGLGSFAAAIQSPKLWVMNVIPTIADKHTLGVIYQRGLIGIYHDWCEAFSTYPRTYDLIHSNGLFSLYKDKCNIEDILLEMDRILRPEGAVIFRDEVDILIKVKKIVGGMRWNTKLVDHEDGPLVPEKILIAVKQYWVADTNATSTE >CAK8567705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537852254:537856742:1 gene:gene-LATHSAT_LOCUS20731 transcript:rna-LATHSAT_LOCUS20731 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPAQIPGNFGHELRACLRCRLVKTYDQFRESGCENCPFLQMEEDHERAVECTTPNFNGIISVMDPTRSWAARWLRIGRFVPGVYTLAVSEALSEEMQAICADKHVQYMPPKR >CAK8544497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688785249:688787953:1 gene:gene-LATHSAT_LOCUS13170 transcript:rna-LATHSAT_LOCUS13170 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEETEELKLLAEWKRKKGGFRATMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYLNRFTTCLIFGSLEVLALAMVTFQAASGHLHPNACGKSSCVKGGIGVMFYASLSLYALGIGGVRGSMTAFGADQFEEKDPNEAKALASYFNWLLLSSTVGAISGVTGVVWVSTQRAWHWGFFIITIASSIGFVTLALGKPFYRIKVPGDSPTIRIAQVIVVAFKNRKLSLPESHEELYEISDKDATVERILHTSQIRSLDKAAILQENMKPQPWKVCTVTQIEEVKILTRMLPIVASTIIMNTCLAQLQTFSVQQGNIMNLKLGSFTVPASSIPVIPLIFISILVPIYELFFVPFARKITNHPSGITQLQRVGVGLVLSVISMTVAGMVEVKRRDQSRKDPSSPISLFWLSFQYGIFGIADMFTLVGLLEFFYRESPSSMKSLSTSFTWLSMSIGYFLSSVFVNLVNAITKRITPSKQGWLHGFDLNQNNLNLFYWFLAILSCLNFFNYLYWASRYKYKSEDQNSSPTGLKSLHEMPLKVIGRTKQNWEGSIGGNTQD >CAK8561785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:384961071:384963067:-1 gene:gene-LATHSAT_LOCUS15344 transcript:rna-LATHSAT_LOCUS15344 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMDSSQTDELVHVLTTGMEMEGFVEKNPNSFQTYDCSLISRFFNDEPDPKSIEEYLRDSSTVDNFGSGLDQSESNNGTRSTGTELSGESSKDIVAVDPDSSEYTTAKKTSKYKGVSRHRWTGKFEAHLWDNNGKQEGRARKGRQGGFDDEENAGRAYDLAALKYWGPTATTNFPVSDYAKELEEMKHEGKREFITKLRRKSNGFARGTSKYRGVTRHHYHGKWQARIGRVSGNKDLYLGTFEAEEEAAEAYDIAALKYRGANAVTNFEASRYDMEAILKNPLPIARATKRLRRSRESKKNAPVISNDQPQCTNLNSSNFQSIASLPYDPFHHFHPPTNTEIGTVQSAETATNAGTILTGNATRF >CAK8561786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:384961071:384963058:-1 gene:gene-LATHSAT_LOCUS15344 transcript:rna-LATHSAT_LOCUS15344-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQTDELVHVLTTGMEMEGFVEKNPNSFQTYDCSLISRFFNDEPDPKSIEEYLRDSSTVDNFGSGLDQSESNNGTRSTGTELSGESSKDIVAVDPDSSEYTTAKKTSKYKGVSRHRWTGKFEAHLWDNNGKQEGRARKGRQGGFDDEENAGRAYDLAALKYWGPTATTNFPVSDYAKELEEMKHEGKREFITKLRRKSNGFARGTSKYRGVTRHHYHGKWQARIGRVSGNKDLYLGTFEAEEEAAEAYDIAALKYRGANAVTNFEASRYDMEAILKNPLPIARATKRLRRSRESKKNAPVISNDQPQCTNLNSSNFQSIASLPYDPFHHFHPPTNTEIGTVQSAETATNAGTILTGNATRF >CAK8530164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3896555:3897007:-1 gene:gene-LATHSAT_LOCUS53 transcript:rna-LATHSAT_LOCUS53 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPALYGTAVSTSFLRTQPMPMPMSATTTTKAFSNGFPGLKTSLKRGDLAVASYKVKLVTPDGTQEFECPSDVYILDHAEEVGIDLPYSCRAGSCSSCAGKVVGGSVDQSDGSFLDDDQVEAGFVLTCVAYPTSDVVIETHKEEELTG >CAK8560702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36978268:36978588:1 gene:gene-LATHSAT_LOCUS14357 transcript:rna-LATHSAT_LOCUS14357 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEALRAELDLVDEIRSEAALREISLKQKIVLRHDAKVIKREFQVGSLVLKRNQNNPRKGKMAANWEGPYRVRDKTSNRAYYLENLQGEELARPWNAKKLRQYYS >CAK8542324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501862525:501863853:1 gene:gene-LATHSAT_LOCUS11171 transcript:rna-LATHSAT_LOCUS11171 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNFQTLLFFCLVSFIVSLSHALNNGFSVELIHRDSEKSPFFQPNQNKYQSIINAARRSINRVNHFSNNAAKDTPRSTILPDGGGYLMTYSVGTPPFKLVGIADTGSDIAWLQCQPCETCFNQTTPIFNPTKSSTYKNIPCSSDVCQSVRGSECDAENNCEYAINYGDGSHSDGDLSVDTLTLESTTGASVSFPKTVIGCGTDNTVSFKGRSSGIVGLGGGPVSFITQLGSKIGGKFSYCLPPSSLTSDSSNVTSKLNFGDAAIVSGTGVVSTPIITKTSQEVFYFLTLESFTVGSKRVQFTSSSSSSTDAVGEGNIIIDSGTTLTLLPPDIYADIESEVAKLVKLERVDDPNNLFSLCYTLTSQEPEFPLITAHFQGADVLLRPISTFVQISEDIACFAFQSANKDLAIFGNLAQQNLLVGYDLQAKKVSFKPTDCSKE >CAK8566221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:392965031:392976801:-1 gene:gene-LATHSAT_LOCUS19374 transcript:rna-LATHSAT_LOCUS19374 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSNHSVRLLCRPKQLNKGSDPGIHYWLIGSPFLPPLTIVSILRCITTIPSSSSPDLRKESDDLLTLIPKGFQLTGALASGDETDARAAIDAARQIRKFLYGGGTVEEPPLIGAVSDLDSGDLRFFVSVSGNANSGIEPVTSIIQEQHPEKFLWENGCMLRCELPIKLPIYYPLKNPTDVEKAYIKATGAVIAKLRDPQAVYMLEALCKTCPDQPPPAIVRGVQLDFHTDLSKTMPLARSDEGSDASSLSCSYFSVNNRAAFSIENADIIQVSVLFNSLGPSSASAAPIAEYLPVLEEARLVVVDIKLDVLCYSSRDLPLRHAVSRLIIPGLIDQLNIMQNFMLPSLLVQHPQLKPYHFSPPGILHPITVFYELSFGETEMKQVEFRKSLHFKLGLPYDRPLLRIANALDFSKLKNGGSASLQKGSALLRDVHIGIPSSGVTGGTLSLVQGSYEYHHYLQDGFNDSGWGCAYRSLQTIISWFRLQNYSSIEVPSHREIQQSLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVTCKVINVRSGAELPEKCRELALHFETQSTPIMIGGGVLAYTLLGVDYNDASGDCAFLILDPHYTGTDDLKKVVNGGWCGWKKAVDNKGKNFFLHDKFYNLLLPQRPNMV >CAK8532113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:206648200:206650555:-1 gene:gene-LATHSAT_LOCUS1849 transcript:rna-LATHSAT_LOCUS1849 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAEGEQSTQAEDDYNAMLSTTDVELLKRAWRNEKAAPEILPFQSDLIARVREQIELMEETVEEKSTVGTDHLSVSLYQMDLDRTLFLLRSYLRTRILKIEKYMFHIRKTEELWNRLSKDEKIFTERCSDDLKKHLEESVLSKLPENYQSYERQSIISEEDDMIPEPRLDTFVLCRSKDYLTGIQLGDGPVDDRSKLFEMEAGVLYFICYKSIKPLVESGKIELL >CAK8576945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527372761:527376768:-1 gene:gene-LATHSAT_LOCUS29099 transcript:rna-LATHSAT_LOCUS29099 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPAKSETATEKKRSKVEIFKEQSNFIRDPLNEDISNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKMVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSEEPPEVVQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDGGEPQGFNLYVGGGMGRTHRMETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRNVVEQYYGKKFEPFRSLPEWEFKSYLGWHQQGDGGLFCGLHVDNGRIAGKMKKALREVIEKYRLNIRLTPNQNIILTDIRAAWKRPITTILSQAGLLHPRYVDLLNITAMACPAFPLCPLAITEAERGIPSILKRIRDMFEKVGLKYNESVVVRITGCPNGCARPYMAELGLVGDGPNSYQVWLGGSSNQTSIARSFMDKVKLQDLEKVLEPLFYHWKQKRQSKESFGDFTVRLGFEKLKEFIEKWEGPVVTTRHNLKLFTDKETYEAMDGLAKLQNKNAHQLAIEVVRNYIASNQNGKGE >CAK8562142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446035770:446038199:1 gene:gene-LATHSAT_LOCUS15666 transcript:rna-LATHSAT_LOCUS15666 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVGDDGNRKHYGSALCWWLTVSVVAILVVGAGVFTILTNFHHHSHSPQLSNINPKYVSSLQLALLFFDVQKSGKVENNRVWWRGDSGLRDGREENLDLSKGLYDAGDLVKFGFPMAFTATVLSWAILEYGDHMDAVKQLDYAMDSLKWITDYLVNAHPFADILYIQVGDPEVDHNCWERPENMTEKRPVIQVNSSFPGTEVAAETAAALASASLVFKEINLTYSEILLEHAQQLFTFADTYKVSYSVSVPQVGKYYNSSGYEDELLWAGTWLYHATKDPSYLDYVTEKNENEFGSLGSESWFSWDDKHAATQVLLSRVNFFGARDIPDVENLDLQKYRETAEILMCKLLPDSPTATTNRTKSGLIWVVPWNSLQHSVASAFLSVLYSDYMLTSQTETLYCSGKMYKPIDLRNFAISQAEYVLGENPMRMSYVVGYGNEYPKYIHHRGSSIPVDAKTGCRDGFKWYDSPEPNPNVAFGALVGGPFLNETYDDFRNNSMQGEPTTYSNALLVGLLSGLVATSSVAWSF >CAK8540745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18657931:18658630:1 gene:gene-LATHSAT_LOCUS9719 transcript:rna-LATHSAT_LOCUS9719 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIQFRHLPFSNLEGSTQLLLLQHYFQTYQIQNQTSKFQTFLYPLSKNIVQISSFTTHKNEPSKS >CAK8575175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:45514559:45515032:1 gene:gene-LATHSAT_LOCUS27461 transcript:rna-LATHSAT_LOCUS27461 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQDWPHDKAGKRGKVQVNSSFTLRAGRRLYLKQDSTSEKLSFGPSGNEEALAQKFTSKKWRKITPFKEFPSSLPAAKLASGDIDVTMNLNDPLNQNIDIAKNVLQELRTQIPPEIFDLSKVVCGTYMDLRLLVLRGVNGSALLFTRSFVDSNSSS >CAK8562133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:444740471:444740965:-1 gene:gene-LATHSAT_LOCUS15657 transcript:rna-LATHSAT_LOCUS15657 gene_biotype:protein_coding transcript_biotype:protein_coding MFALPISIITATTNQTPWLNIIPEYIFGIIYSGRPIANVCFKTYGYISMAQAVSFLSNFRLGHYMKISKVNVLIAVHWYNACWNYQHLCSMVAARIFQKHMS >CAK8540836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24343172:24343375:-1 gene:gene-LATHSAT_LOCUS9802 transcript:rna-LATHSAT_LOCUS9802 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIFSSCAAPKGVHVASKSFPRIKIVTSEIKIGLNEDFCVIPGMGEFGDLYFGIDDDNEQVVVSSQ >CAK8572100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:509557176:509560127:-1 gene:gene-LATHSAT_LOCUS24700 transcript:rna-LATHSAT_LOCUS24700 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFAPALEGIKSVKSEQGEILSQPFLEVCKQILPVIDKFGAAMTLVKSDIGGNISRLESKYLSSPAKFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTRAMDFLVALFRNLIEHTDWSMSQACTDSYNKTLKKWHGWLASSSFSVAMKLAPDRKKFMEVIQGGGDVNADIEKFCTTFSPFLEENHKFLARFGLDELKAS >CAK8567367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508958682:508959985:1 gene:gene-LATHSAT_LOCUS20429 transcript:rna-LATHSAT_LOCUS20429 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKKSIRCLSKSYKSFIKTWLCQNTPSSPTDGKPSRPKQTQQESVVDDKSGEHTNGIRDMGLNADALREKDIMMSSRLGCCLCRHRSFGDSRLPSNSMASTSRNNSVNRKRDSRKRSKSVESFEKFVPPPYSLNISGSLQMPPRSQSRNGSRKNGTPIMYSNSSGMLKPPPIEKTLECTLEELCYGCTKKIMITRDVLSDTGSVVKEEEQLTINVEPGWKKGTKIRFEGKGSIRPNAYQEDIVFYISEKGHQFFKREGDDLELCLEIPLIKALTGCTISVPLLGGEHMNLTVDDVIYPGYQKIVTDQGMPISGESGKRGDLRITFLVEFPTHLTDNQRSEVFGILQNSC >CAK8536996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:52251117:52251491:-1 gene:gene-LATHSAT_LOCUS6317 transcript:rna-LATHSAT_LOCUS6317 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTEDKAFGMSAHLLLGVVCIYSKKVDCTVLYKVFAAVSNHTLPEDGMQAPLHTITMPATFDLDALNLSYGTDVNGYEDHHMKSLEDITLADENPTVLENYVTIRFDEDTTFSPANTHTIS >CAK8577937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597825021:597826382:-1 gene:gene-LATHSAT_LOCUS29996 transcript:rna-LATHSAT_LOCUS29996 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITENKHIAVFPFPFSSHPLPALSLTIKLALHLPNCYFSFIGTAKNNQLLFSKTKTPNNIKHYNVSDGIPENHELVHGSEANLYIQSGQENFQRGIDLAVSETKIPITCIIADAFITPTFDLAQTLNVPWIPVWIPMSCSLSVHFHGNIIREHCTVNDSKKTLDFLPGLSVLRVEDLPHDPLMTSTEKETALTVALESLSTILPQAKAVVVSFFEELDLPLFVQHIRTKLQLMLYVPLFNLKPKTQLNDEIDESGCMSFLEVQKEKSLKVVLISFGNTVIEPTKDEIVALAEALEESGYPFIWSLKENLRSLLPNGFLERTKTRSKVLNWVPQSRILGHDSVGAFVFQGGCNSMLEGMSNGVPMIFRPYFADQGINARLAVDVWEVGVIIEGRAFTKNGLLKGLNLLLVEEEGKRFKENSLKMKNILEEADGPKGLATKDFKKLVELVSSS >CAK8560288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13170630:13174831:1 gene:gene-LATHSAT_LOCUS13975 transcript:rna-LATHSAT_LOCUS13975 gene_biotype:protein_coding transcript_biotype:protein_coding MNELENEEAVFEIGVVIPRRIIQENDKSSDCAYVLVEEFKKAGFVVERIVGIADEFIKLAAPLETLGRTAAELQIKKRTHIGMDLVFELEEVEAFVKQPDGSVFSWCERFHCYCHLIYGIVNNGNSAIKLKFDEKEIYWETGENLIQKLESENIVKQVFPLHDEKTRKKLLRTWALHWWDLTDQPIDEIYSYYGAKIAIYFAFLGMYTRWLFFLAAFGLTLQFIVLRSTKLIVLPVFFVVVILWAVMFCQFWKRKNSALLARWPLSYSVGAEPGYKIPGMRDSSLQPPMELLKIFETDRAKGKKVFQRDEWLGRFMRFRNDAFIIFSIICLQLPFELAYAHLYEVIGSDAMKFGLTAVYLFAIQYITKIGGRVSVRLIKNENNENTEKCADSLVYKVFGLYFMQTYIGIFYHALLHRNFSTLRKILIQRLLLSEVLENLVENSLPYLKYSYKKYSVRHKKKREKGESADRIQFISRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMILMFACAFPPAFAFATVNNIMEIRTDALKLLAILRRPVPRAAGTVGAWLNIFQFLILMSICTNCALLVWLYDEEGKWKVEPGLAAILIMEHVLLLIKFGFSRLVPEEPAWVRANRAKHTTQAQDLCSKKLLRTISGGERNYGEMKVKRL >CAK8544696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700061877:700065072:-1 gene:gene-LATHSAT_LOCUS13352 transcript:rna-LATHSAT_LOCUS13352 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQALDPSLPSCRYLLSAILAMEPSDCLLSHARVCGGGSITEQVQQFILDHCLSTHGDIHAPYLKNFLKKLITQVECDHGSVLDQFYELYAHYITSFKDDSLGKRDSRICKRISFLFPTDYSELQTCPYSRVLSFSLKCSINMLEGDTGCSIWPSSLFLSELILSHPELFSNKLCFEIGSGVGLVGLCLAHVKASEVILSDGDLSTLANMKLNLELNNLNVETDTLQRNEDTSTVKCLYLPWESASESQLQDIMPNVVLGADVIYDPVCLPHLVRVLTILLNRMNSNSEHENGEHYYNGATDRSDGRCKPDNYDGYNGQPKEARVAYIACVIRNIETFNYFLSLGDQANLDIVDLTDSLKPMSLLPYMQSYNQADIKLLRITFRRTNQTTVK >CAK8568984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661623285:661627113:-1 gene:gene-LATHSAT_LOCUS21884 transcript:rna-LATHSAT_LOCUS21884 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDFEPLPSPPVVERKLKRLKKASRVSENPQPSSPPRVSENPIHSSPPRVSENPLPSSSPIKLPEFENNSGLEESNGESVDEIEALVDNNVTETVMEDGVGARRVLKFDSLDDELDGKFTEKTTEENTDELEKKQHTVEDVSEKKDSKKKKKKKIEDGDRNEKKLRKESISSKRKAEKERQENLKQLRAESQRLLRETRDAAFKPVPQVQKPISSILDKIRKRKLEFLKKSNVSFDDNDGFIVDIDSEPSCPREEMTNKVEEAEVEETPATCPAVTENNLSEPDNGGSNNALDKMNVENIPSPVDVVSEPVNAFRAPVDDTQDLFSDSEMSDIKEEAVNEKLSNPSEEVFAPSMLAMNLTLDSAPIDDDVSSDEEDNNKENTVPHLQGSADVTLPSRGDPVKAFVDEEAEEEDDSDNDLQRFRDNDEDEDDDDIEELNDMIATGYEEDPIDREKRDQLHQQWLEQQDTTGMDNLLQKLNCGSRLNESTSLDDEEEDGESKETENESDDEIDDFVAQSDAVKINLKKVKQMIPQMFTDKEDAYVSSDEETEERLAKQSLSYKVEKKAQFFSPAEDENSRGIFNLIKKTNVVPDNKRKGRTPSIFDMPHIGQNTNISSKSSFIRHTSNQSMPASKKQGSNKVRSSYIFGRDDSNSRPSALISEDSSDAIVRESQAPKVVSAKFQRNTQNKYAASSSKPQESSVSLLEVLRRSSIHTERSVPSTVVQQNESVVFQAFKLTKKSIKTGDKV >CAK8569744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9654110:9655003:-1 gene:gene-LATHSAT_LOCUS22560 transcript:rna-LATHSAT_LOCUS22560 gene_biotype:protein_coding transcript_biotype:protein_coding MASITHCTNFICKPSTPPSITTLPKFLPLHSLRSSLPIFHPPSLLSHLKTRVVITKATTAPGAKKKDERVQKVHSIEEFDQALESAKDKLVVVEYATKENPESTEIYPFLVDLSRTCNDVEFIFVMGDESEKTKELCKREKVDKVPHFTFYKSKVKIHEEEAIGPDMLVGDVLYYGDNHSAVVQLHNREDVEKLIEDHKVDHKLIVLDVGLKHCGPCVKVYPTVIKLSRQMIDTVVFARMNGDENESCMQFLGDMKVIQVPTFLFIRDGEICGRYVGSGKGELIGEILRYQGVRVTY >CAK8564687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7067680:7069667:-1 gene:gene-LATHSAT_LOCUS17965 transcript:rna-LATHSAT_LOCUS17965 gene_biotype:protein_coding transcript_biotype:protein_coding MANKHFMKAILPSPIHSKQVRIPDQFISWFGNELNTLVTLTLPDGRQWDIQLSKCHTNGVFLCNKWQDFSQHYSLHYGYYLDFNYQGNSNFTVVIYDTTCLEISYPLTNTASNEYNPNNPSFYSKSIKGRYAYISADFATKYLKLNVPIKLQNSQGKQWQVSCGTHMVNSSAMRISAGFTKFAKENNLSEGVTYVFELIKKEPVLVLLVTQLHKTGRGRHVQSAKEKKVRELKHFKKAILPSPIHAKEIRIPNEFVTRFGKELGNVAEITVPDGSVWEMKLEKRNENIFLSTRWQEFAKYYSIEYGCYLSFKYEGDSKFSVVIFDATSVEICYPLKTSCTNEEQNIKCPCARKMSEVETNDNHVKSMSKHASERVDVAANEFNPENPFFRSKVYKGRYPYAPSHFAKKYLKSDVPIKLQNADGKQWEVCCAFNLPKLPGAMRIVKGYFVFHTDNNLSEGDHCVFELIKETPVVLKVTIFRVVDYAD >CAK8534516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738264715:738286233:1 gene:gene-LATHSAT_LOCUS4059 transcript:rna-LATHSAT_LOCUS4059 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAIIGAGISGLLACKYVVQIGYNPVVFEANDGIGGVWWRTIQSTKLQNPKEAYQFSDFPWDSSVKEDCPSSQQVLDYLDSYAQHFSIVPYIRFNSKVIDIDYVGESDEEMKSWELWNGDGTPFGSKGTWHITVQDAKTLSTEVHKAEFVILCIGKYSGLPNIPEFPPGKGPEIFNGKVMHSMDYSSLDNNIASELIKNKSVTVVGSGKSALDIAAECAHANGVTHPCTIIHRNAHWFLLDFYVWSISIGYFYFNRFAELLVHKPGENSLLFLLAILLSPLRWGISKLVETYLKWKLPLKEYGLVPNHSFLQEISACQIAVLPELFFDKVKEGSIVIKKSQNFSFCKEGLIVNEEPKPIETNLVILATGYKGDQKLRSIFKSPIFQNYISKSEKSSVPLYRQIIHPRIPQLAMIGYSESISNMVSNEMRSQWLAHFLDGNIELPSIKEMEKDVKVWEENMKQYAGKFYWRSCIVSCGIWYNDQLCKDMKCNPKRKKNHFAELFEPYGPEDYNGLVSK >CAK8536558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954200214:954200585:1 gene:gene-LATHSAT_LOCUS5916 transcript:rna-LATHSAT_LOCUS5916 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYSDIDFFGKIDNSNKFSLLNVQSVNDPIKFNNVTKALLSLLAYEAYMDKKMYANGELKIGESERVYGQAQCTRDLPSVDCKKCLDDAISEIPNCCDGKKGGRVVGGSCNVRYEIYPFVRE >CAK8561387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:183333600:183357579:1 gene:gene-LATHSAT_LOCUS14987 transcript:rna-LATHSAT_LOCUS14987 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVPSLVHPLKSRFFGNRHTLLTKHSFQLYGVQPKLSYSSSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLDVLSNLSKSQRVVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFDDNDIIHVNGKVDPKSDIDVINLELVFTDLDQIEKRLDKLKKSKAKDSQSKVKDEAEKAALEKISVALLDGKPARSVTLTDFEKDAIKNLCLLTMKPVIYVANVAETDLADATNNDYVVGVRNVASELKSGIVTISAQVEAELSELAIEERQEYLKSLGVSESGLGNLIRATYDLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVAYNDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVLLFRFNV >CAK8572351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531538226:531539899:1 gene:gene-LATHSAT_LOCUS24926 transcript:rna-LATHSAT_LOCUS24926 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMLGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8572352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531538307:531539899:1 gene:gene-LATHSAT_LOCUS24926 transcript:rna-LATHSAT_LOCUS24926-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMLGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8532025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194303374:194313179:-1 gene:gene-LATHSAT_LOCUS1769 transcript:rna-LATHSAT_LOCUS1769-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTKEEESGSPSWGASFFMQTTEDVAKAVAAAMNTPRPSVIYSSKNEQGGSQLQRLQHQVTKMIKGFSRPPEVKYANYNPEILTSQKRQWAANFHLQYNDHKSWKEPTKLFESMVVVGLHPNSDVQALQRQYLVRRSEGSSKLRSALGYQNQSRVEPNVEPQVLFVYPPEKQLPLKEKDLLSFCFPGGLEVNAVEKTPSMSELNEIHFGQEHLKQRDLSFVFRLQGADNSTLYGCCVLVEELVHKPSGLLSMISDKQASNSSLRRHILTTQRCYCILSRLPFFELHFGVLYSIFMQERLERLTKSVEYFNEFPEGSYEEENLEGNSERLLVNDRLTEDKLDANPRISQSNLSNSQPKNIEDDSNHQEKQMVNEEMHTLKEGADDVNIVPIHPETDGEIIKEVSRLTISEDSNMYGNALATNKQPEDRRLPNAILPLLRCNQYESSESSCSFQGSPCEDRNFRSDADDTETEEASFSGQEDLNDFQEILEWAKANNCGPLQIISEYYRFSCPTRGSTLIFHPLEHLHSLEYHRPDENALYLSGSLIDLKSSCTGMKLAEAQNCLLVAEEATALSIWAVACLCGTLRLEHVLTFFAGALLEKQIVVVCSNLGILSASILSVIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVRHKTNEVQSKLTNVILVDANRNQVKSPSLPQLPRHKELMSSLRPYHATLVGESYLGRKRPVYECTEMQIEAAKGFLSVLRSYLDSLCNNIRSHTITNVQSNDDKVSLLLRESFIDSFPYRDQPFMKLFVDTQLFSVHTDLVLSFFQNE >CAK8532024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194303374:194313491:-1 gene:gene-LATHSAT_LOCUS1769 transcript:rna-LATHSAT_LOCUS1769 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYDCGGTQYMLDSFFYAYEIVLILEGDWKEPMSGVTKEEESGSPSWGASFFMQTTEDVAKAVAAAMNTPRPSVIYSSKNEQGGSQLQRLQHQVTKMIKGFSRPPEVKYANYNPEILTSQKRQWAANFHLQYNDHKSWKEPTKLFESMVVVGLHPNSDVQALQRQYLVRRSEGSSKLRSALGYQNQSRVEPNVEPQVLFVYPPEKQLPLKEKDLLSFCFPGGLEVNAVEKTPSMSELNEIHFGQEHLKQRDLSFVFRLQGADNSTLYGCCVLVEELVHKPSGLLSMISDKQASNSSLRRHILTTQRCYCILSRLPFFELHFGVLYSIFMQERLERLTKSVEYFNEFPEGSYEEENLEGNSERLLVNDRLTEDKLDANPRISQSNLSNSQPKNIEDDSNHQEKQMVNEEMHTLKEGADDVNIVPIHPETDGEIIKEVSRLTISEDSNMYGNALATNKQPEDRRLPNAILPLLRCNQYESSESSCSFQGSPCEDRNFRSDADDTETEEASFSGQEDLNDFQEILEWAKANNCGPLQIISEYYRFSCPTRGSTLIFHPLEHLHSLEYHRPDENALYLSGSLIDLKSSCTGMKLAEAQNCLLVAEEATALSIWAVACLCGTLRLEHVLTFFAGALLEKQIVVVCSNLGILSASILSVIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVRHKTNEVQSKLTNVILVDANRNQVKSPSLPQLPRHKELMSSLRPYHATLVGESYLGRKRPVYECTEMQIEAAKGFLSVLRSYLDSLCNNIRSHTITNVQSNDDKVSLLLRESFIDSFPYRDQPFMKLFVDTQLFSVHTDLVLSFFQNE >CAK8565433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:196808834:196809079:-1 gene:gene-LATHSAT_LOCUS18650 transcript:rna-LATHSAT_LOCUS18650 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIQGSNDQHLTDSERDSLLRDNDIKTSCTAGSSSN >CAK8562704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:529063203:529064266:-1 gene:gene-LATHSAT_LOCUS16178 transcript:rna-LATHSAT_LOCUS16178 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEDLALNLTLSFFHKPHPLNLISSSDRNSNTCRNEDGRFLRGIDVNRLPSTVIEHEEEETGVSSPNSTVSSISGKRSEREDHDVDNRGNISDDEDAETARKKLRLSKNQSAILEETFKQHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCENLTDENRRLHKEVQELRALKLSPQFYMQMTQPTTLTMCPSCERVAVPSNGVDAIGQAHHRAVHMGPWASAATPAHRMFDVFRQ >CAK8530352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16169902:16172204:-1 gene:gene-LATHSAT_LOCUS221 transcript:rna-LATHSAT_LOCUS221 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKQRKKRYGILMCGEDSEYLLKRHGGCYGFFTKMLAEEGETWDLYKVVNGEFPQDDDVCFYDGFVITGSCHDAHANDPWILKLLTLIHTLNSFNKKILGICFGHQIIGRALGGKVVRSTAGWDIDVTTINLLQSSYSNKSLNLPSNLSLFQCHRDEVRDLPEEAEIIGWSEKTGIEMFRYGDNMLGIQGHPEFTIDIFFHFIDRLTHRNLIQEAFALNAKVKAKLRKPDSEAWKRLCLTFLKGRL >CAK8573132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587983580:587988466:-1 gene:gene-LATHSAT_LOCUS25614 transcript:rna-LATHSAT_LOCUS25614-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHDMDPDVVRWGLHLLDVCTFSHGGTPGVVTRCDPDLSQVEYVREGFCEHSYVEDDEAVARAYQEELSQLDSMEASGISNFENEQVRESVNAQDWLSSSSRSYNFDNQHVGSESCQNPVGESCHMKGMEESCPNERENDAHEVGLFGSSSRSGEFPTITDEMWHSLEISDESSLDGEVGKRLNQMVSIPHVPKTNEKMPSDDEEISDHQRLLDRLQLYELIESKVQGDGNCQFRALSDQLYRSPNLHKFVREQIVQQLKSDSDLYAGYVPMAYSEYLKKMSKSGEWGDHVTLQAAADWYGVKIFVITSFKDTCYIEILPQIQKSGRVIFLSFWAEVHYNSIYPEGEMPSSYIKKKKRWWNFSS >CAK8573131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587983580:587988466:-1 gene:gene-LATHSAT_LOCUS25614 transcript:rna-LATHSAT_LOCUS25614 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHDMDPDVVRWGLHLLDVCTFSHGGTPGVVTRCDPDLSQVEYVREGFCEHSYVEDDEAVARAYQEELSQLDSMEASGISNFENEQVRESVNAQDWLSSSNNQHVGSESCQNPVGESCHMKGMEESCPNERENDAHEVGLFGSSSRSGEFPTITDEMWHSLEISDESSLDGEVGKRLNQMVSIPHVPKTNEKMPSDDEEISDHQRLLDRLQLYELIESKVQGDGNCQFRALSDQLYRSPNLHKFVREQIVQQLKSDSDLYAGYVPMAYSEYLKKMSKSGEWGDHVTLQAAADWYGVKIFVITSFKDTCYIEILPQIQKSGRVIFLSFWAEVHYNSIYPEGEMPSSYIKKKKRWWNFSS >CAK8560640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33307689:33309430:1 gene:gene-LATHSAT_LOCUS14300 transcript:rna-LATHSAT_LOCUS14300 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVKQIHGYTLRNGIDNTKILIEKLLEIPNLNYAKLVLHHSQKPTVFLYNKLIQSHSSKHQHQCFSLYSQMLLHGHSPNQYTFNFLFSACTSIGSLSLGRMMHTQFIKSGFQPDVFASTALLDMYAKLGGLKLARKVFDEMTVRELPTWNAMMAGCSRDGDMESALELFLLMPYRNVVSWTTMVSGYSQNKQYEKALELFLRMEREGNVIPNEVTLASILPACSNLGALEIGKRVEAYARKNGFSKNLFVSNAVLEMYAKCGKIDVAWKVFDEMGRFKNLCSWNSMIMGLAVHGECHKAIELYDQMLREGTLPDEVTFVGLLLACTHGGMVEKGKHIFQSMARDFNIVPKLEHYGCMVDLLGRAGRLTEAYEVIQSMPMKPDSVIWGALLGACSFHGNVELAEIAAESLFAVEPGNPGNYVILSNIYASAGQWDGVARLRKVMKGSKITKTAGHSFIEEGGQLHKFIVEDRSHSESSEIFALLNGVYEMIKFNRTEYECYFDFDLINHL >CAK8533908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670406843:670407699:-1 gene:gene-LATHSAT_LOCUS3495 transcript:rna-LATHSAT_LOCUS3495 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFWFILVVSSLLLMLLGSCHGSFVGICYGRNADDLPPPDKVSQLVQDHKIKYVRIYDSNIQVLKSFANTGVELMIGIPNLDLLPFSQFQTNADTWLRNSILPYYPATKITYITVGAEITESPENISALVVPAMTNVLAALKKAGLHKKIKVSSTHSLGVLSRSFPPSPVLSIANMLIS >CAK8530444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21776184:21776387:-1 gene:gene-LATHSAT_LOCUS308 transcript:rna-LATHSAT_LOCUS308 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCWFHKFKSNKDKMQSSKNKETASVVKERLKPPTNEEASSNVTQQKVAAAKQYIENHYKKQMKNL >CAK8537202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:132149149:132152958:-1 gene:gene-LATHSAT_LOCUS6508 transcript:rna-LATHSAT_LOCUS6508 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSMQSCVVSSPLLLQASCTSQTQGRIQHFRPNIVGMQPLPYRIAKGKLRTATFQSSSSRFKCGAAMNVTCYAAGATQTVTRDARTITVTPEKVKSPRLDDNGPGLPPRDDDGNGGNGGGGGGNFSGGLALLGILGILDILKDIEGELQRKANDSRFDEA >CAK8568960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659741711:659745780:1 gene:gene-LATHSAT_LOCUS21864 transcript:rna-LATHSAT_LOCUS21864 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKHTLLILLLASLISLPIINSQVNYRKCNRTCGSSKPSPYPFGFSSGCEIRLNCTGDGGIFIGEFPIQSITSNSIIVNIKAQCNRPFERLNQLFSHKYAPTSGNVILLQNCTDNLLPCSIPETLLPIHIESESNGCSNISGSGGGKLSCYFENNTRRFVDEKKLERIGCKYFISSISTENLKKNLDSAVSLEVATIELGWWLHGDQCLCSDHANCTEIESPIDRKLGFRCGCKEGFVGDGFLAGNGCRKASSSTCNPTKYMSGKCGGTARFIVLIGGFVFGVSLMITLGSVCCYFRRHTKLRITKSTKRRFTETTNNYSIPIYPYKDIEKATNSFSEKQRLGTGAYGTVYSGKLYNDEYVAIKRIKHRDNESIEQVMNEIKLISSVSHPNLVHLLGCSIEYGEQILVYEFMPNGTLSQHLQRERGNGLSWPVRLKIATETAQAIAHLHSAISPPIYHRDIKSSNILLDRNFGSKVADFGLSRLGITEISHVSHVSTAPQGTPGYVDPQYHQDFHLSDKSDVYSFGVVLVEIITGLKAVDFSRPHNEVNLACLAVDRIRKGLLVDIIDPIIFLEPQVSHDGWTLSSIHKVAEVAFRCLAFHRDMRPCMTEVATELEQLRLNERNSFEENNSIIASIDHISSCSSSSSESEKPLSTTTLKNTVPKDKCHLKLETKPVSFKSVERLNSSSPVSVQDPDPWFSEQSSPSSSSFPSKSFDHGSDSYGQQASL >CAK8566711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454313165:454314891:1 gene:gene-LATHSAT_LOCUS19823 transcript:rna-LATHSAT_LOCUS19823 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTSATTSSKLKLSNPPSLPHTFTASASLSNSTTFRPKLTLTRLSSSFLNPSTILHLTPSQRTNRPSSSPFTVRAARGKFERKKPHLNIGTIGHVDHGKTTLTAALTMALASLGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPSVVVFLNKQDQVDDEELLELVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPALKRGDNQWVDKIYQLMDEVDKYIPIPQRQTELPFLLAIEDVFSITGRGTVATGRIERGTVKVGDVVDLVGLRETRNTIVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHSKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPVAIEQGMRFAIREGGKTVGAGVIGAIIE >CAK8536091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906238010:906239302:1 gene:gene-LATHSAT_LOCUS5491 transcript:rna-LATHSAT_LOCUS5491 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSNSRSHNVSKNLSTTEIGRIKDLGETSDARKDGSIEEKRKEVDLEKPNQTATPEEGRKLWADVLKDNRNPAKDRAMKFIPPQVVDGKIEVLIEEDDVSSEVKFWESSVILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHEGEKMQQSIEHEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNQGPIEVGNVNMDIVQTRKGNASTTADECLSIRNKDVETVMEKWTEVIKSGRDRGKKTGNAGAAGVLSYDNGFDALEILKDLIEAQNTRQ >CAK8575597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:197914610:197915138:-1 gene:gene-LATHSAT_LOCUS27848 transcript:rna-LATHSAT_LOCUS27848 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFDGGDRCEIDIVHMVVEEMNILTTVFLRYDNLKITILNNLLATKAIQNFYRSPDMGDTIEFCIHIATPLEKISLMKHRIHSYVNNKKEHWYHSPFIVLKDHEQLNMVTVAI >CAK8577433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563630356:563631899:-1 gene:gene-LATHSAT_LOCUS29542 transcript:rna-LATHSAT_LOCUS29542 gene_biotype:protein_coding transcript_biotype:protein_coding MMTELLEQVWPYGTLLELFMEVSYDVIANGCDGYVAGCGLRFGIELLSFPPFCNLHTKCSVNCLNQVYFPFSFLFLTHPWVDAISKKSKENASKMEAQLVKDVVPFNFLTPMRIIRNAISEWGGSPAHVVVSEGANTMDVGRAVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLAVVVVVFNNGGVYGGDRRSPEEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTPDELKSALSESFSARKPTVINVVIDPYAGSESGRMQHKN >CAK8577434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563631716:563632972:-1 gene:gene-LATHSAT_LOCUS29542 transcript:rna-LATHSAT_LOCUS29542-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELCHNEHGPHNAQFYNLLDEIRKECEELMVKGISGTGRRLGGFSQQPPLSSLRQTALAAAESQTRNGVLLPPGPQRLGGDSNIKSALSPIQAAAMAAERRLHDDMWCGSKSSEGRSSSGPSEKSIQTPVGEAKWQCNTCTFLNKVVVYDSVSNCCRFHHFATCTLSVR >CAK8572346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531505986:531509458:-1 gene:gene-LATHSAT_LOCUS24922 transcript:rna-LATHSAT_LOCUS24922 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTDSFSIDIDLIPLGGKECIVKTSKGSVSVLVCGDRDKPALITYPDVALNYVSCFQGLLFCPEAASLMLHNFCIYHIDAPGHELGADVISSDEPLLCVDDLADQVAEVLDFFGLREVMCLGVTAGAYILTLFAMKYKERVLGLILVSPICRGPSWTEWIYNKVLMNLLYFYGMCGLLKECLLQRYFSKELRCSIEGSESEVILTCRRLLDERQSLNVMRFLQAVNARRDLSEGLKNLQCKTLIFAGESSPFHAESIHMSTKMDSKICALVEVQACGSLVTEEHPNSMIVPIECFLMGFGFHRQPHFASSSSNGSSPASPSNHTIMAPELLSQESLGIKLKPIRTRVHVEI >CAK8573069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580577334:580578746:1 gene:gene-LATHSAT_LOCUS25559 transcript:rna-LATHSAT_LOCUS25559 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIHIAVVAGVGYSHLVPILQFSKLLVHLHPYFHVTCFIPTLGSPPTASKTILQTLPPSINCTFLPSVDPKDLSLPQGSALALQLQLTVNHSLQSIHQALKSLTLTTRFVALVVDSFAAEALDLAKEFNMLSYVYFPSGVTALSSYLYCLKLDKETSCEYRDLPDAIQIPGCVPIHGGDLVDQAQDRSSQSYKFFLQRVERFRLFDGVIVNSFLEIEKGAIEAMTEEGSENPPLYAVGPIIQMQTKYGDDADRLECLSWLNKHQPRSVLYVSFGSGGTLSQEQIYELALGLELSDHKFLWVLRAPSSSANSAYLSQNDVDPLQFLPSGFLERTKEKGLVIPSWAPQIQILSHSSISGFLTHCGWNSTLESVVHGVPLITWPLFAEQRTNAVFLSEGLKVGLRPRLNENGIVDKDQIAMLIKCLMEGEEGGEMRNRVKELKEAANSALKEDGSSTKTLSQLALKWRNLV >CAK8576850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519513515:519513903:1 gene:gene-LATHSAT_LOCUS29013 transcript:rna-LATHSAT_LOCUS29013 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHGHGGTTYKGVTIHQPKRWHTITGKGLCAVMWFWVMYRAKQDAPVVLGWRHPWEGHDDHGSGH >CAK8567645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533204403:533212076:-1 gene:gene-LATHSAT_LOCUS20681 transcript:rna-LATHSAT_LOCUS20681 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSANTKFLQELLLYAARAALSYWVLVTGLRYLDPNRESTKKALEQKKQIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFDSIGGLETIKQALFELVILPLKRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVKISNLMSKWFGDAQKLVSAVFSLADKLQPAIIFIDEVDSFLGQRRSSDHEAMLNMKTEFMALWDGFTTNKNARVMVLAATNRPSELDEAILRRLPQAFEIGFPSRGERAEILKVILKEERIENNIDFDRIAGLCTGYTGSDLFDLCKKAAYFPVRELLDNEKRGEQTHEPRPLSQIDLERALATSQKTTVAANEYERMNQQSSSRRPFPGESDYDVQAAIKEISKLMGSHMINLQLDSQDA >CAK8535157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818629224:818630077:1 gene:gene-LATHSAT_LOCUS4633 transcript:rna-LATHSAT_LOCUS4633 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLAMKSLFNYHRNTAQMLAKRLIEPCDVFLNHRSPDTKRTVATLLYDNLRMHGFNPFLDEKNMKPGDKLFDKINGGVVECKIGVAVFSPRYCESYFCLHELALLTACKKKVIPIFCDIKPSQLRVVKNGNWSEEELRRFRWALDEAKNTVGLTFNSSKGNLSEFVANASEIIMECMTEIQKEEQMRQMSAGNHFPKHMHLPIPA >CAK8539827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527639570:527641184:-1 gene:gene-LATHSAT_LOCUS8887 transcript:rna-LATHSAT_LOCUS8887 gene_biotype:protein_coding transcript_biotype:protein_coding MISQGTNYVIWRFVLTIAVVIFFIPKLSSAKKSKFIGLNMNMIDRCWRLNPEWRRQKQQIATCSIGYVGKMTNNIGKDLIQYEVTDPNDDPINPKIGTLRYGASVIQGKVWITFQKDMNIKLIKPLLVSSFTTIDGRGVNVHVANNACLMIFKTTNIIIHNIRIHHCKAQTSGMVMGPNGKVIHLGPVDGDAIRLVTASKIWIDHNTLNDCEDGLLDVTRGSSNVTISNNWFREQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMWPSLKSESNLFIAPKVGSKEVTWRQNGQTNGDKWEFHSVRDSFENGASFEVTNGGRVQRPKYRKEENFKVVDVKFVRSLT >CAK8562477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498682079:498682345:-1 gene:gene-LATHSAT_LOCUS15969 transcript:rna-LATHSAT_LOCUS15969 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSVGRPKSRVKTRRKGVERTIVAQAMVEQEVQEVIADEEDLICENAQPEKESPILKIVSPSLEIAHEKDESPTGGVQSKPWVDVI >CAK8539307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508827135:508828469:1 gene:gene-LATHSAT_LOCUS8416 transcript:rna-LATHSAT_LOCUS8416 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFTLRRLPWSPNSCSQGKVELTAAEVESLRSELVDLEEKEAQLKAQLENIDEILRSARLSGYLFIRTRWAALPGEPPTIDDTDVDDWLPRFVVLQGECLFLYLLCTDLSPQDSTLLSDIVEVNQLPSFRREDGEMRYAFCILTRHGLRYECSSSSKIQVDSWLSALQSDCKLKSDTSTSNGSIQM >CAK8573814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639568999:639570333:-1 gene:gene-LATHSAT_LOCUS26218 transcript:rna-LATHSAT_LOCUS26218 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSFESKETLKIEVPDPCSLQQLKHTISTILPSPSSSSSSSSLHLSLNRKDEIDASSPTDSLHSLGIAASDLIFYTFNPNAFSSETLPHKPAAEKPPTLAEKPAVVKTLEMVDVSDETVALVEKNNPEPDFVKKILKEALGDGGSDFKLLVFAVHAVILESGFVRVDRDSGKAIDDVSSSSMISLRYTLPEIVSKGLSHAVGLKIQTLGNFVNVYGSLCDDVGSRVHRVYLDKFRFAKPLELMLANSEPNANANASVSVSVSGNDNDGGDDEVFELWKIVKDKLALPLLIDLCDKAGLDLPPCFMRLPMELKLLIFEFLSGDDLAKVCCTCSELSYLASNDELWRKKFEEEFGHRMNGMKFFKNLFAHYRASKKKSEKPPLPFRNPRFLGYFQRRRGPVPFGMPPIWGGEYDLQPNFGVNLPPAYPPRRTFFPHCHLGEF >CAK8539042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502272493:502274008:-1 gene:gene-LATHSAT_LOCUS8179 transcript:rna-LATHSAT_LOCUS8179 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSRKIDGEGTSGIKRVEEDDDDDNYEYEPEMNFMPSQILLDMQQVPGMMRAVESPQRWMHNRYVETMVHERLKSVRIIWNHGGTNVAIAGSWNNWETTEALQNVSHHFAIVKTLPIRIYHYRFIVDGYWTHAPDLPYDFDDSGYVYNILDLQDYTQLRVQYPEDPSSPPSSYDNIFLNEDDFNKPVPELPPQLQVTTTQEAASTSDAGTVSVPSLTHVDLNHLYIHKSDDEQFVALRSTHRFQQKFVTTVMYKSLHRER >CAK8539043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502272493:502273679:-1 gene:gene-LATHSAT_LOCUS8179 transcript:rna-LATHSAT_LOCUS8179-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVESPQRWMHNRYVETMVHERLKSVRIIWNHGGTNVAIAGSWNNWETTEALQNVSHHFAIVKTLPIRIYHYRFIVDGYWTHAPDLPYDFDDSGYVYNILDLQDYTQLRVQYPEDPSSPPSSYDNIFLNEDDFNKPVPELPPQLQVTTTQEAASTSDAGTVSVPSLTHVDLNHLYIHKSDDEQFVALRSTHRFQQKFVTTVMYKSLHRER >CAK8563662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623185249:623185686:1 gene:gene-LATHSAT_LOCUS17047 transcript:rna-LATHSAT_LOCUS17047 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARFPLKACLITPYRGERYHLQEYSRNPLRNPRKLFNHRHSSLRMSIECAFGVLKKRFPILQTATEPTFGIKTQNKIILACCILHNYLMGEDSNQTLIDEVQDKLANERDVQEDHQDHREENDDMISGEPIRDNVAGSIWVDY >CAK8563207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582890524:582891615:1 gene:gene-LATHSAT_LOCUS16641 transcript:rna-LATHSAT_LOCUS16641 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHLTTVVAGKNEKVNLRKELHIHDDIAFSILSKLCLKSLKRFECVCKSWSLLLDKPNFMTMYRKVFLTKEDPYYDDASLLIYGGKFTHSEVSYLNKAFELYSVSGDRFENRVKLRWPCTSDFDFYSRVNYDIIGSGSVNGILCLRRAFSCYYYKKLFIVWNPSTEECKLIPVSLLDNISSVSYSGFGYDSRRDDYKLMCLREEFKQVQGTYSSKHYTWEIYCLRKKYWRELDLTLHHISKNSCEQLYMDGLSHWMCESVTQNETYMLSFDWSNEFFITTHIDDNFDFHLVSRHLVLLNGSIALILNLTKTTTFHILVSGELSVKESWTKMFIVDAIPFPVYPIGAGRNSVWCSEKKTTTGD >CAK8535212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826193537:826195656:1 gene:gene-LATHSAT_LOCUS4685 transcript:rna-LATHSAT_LOCUS4685 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDYDLSQKNKTVVDFIEDVTTHAYENQKKVLAEILSQNANVEYLQRHGLNGQTDSESFKKLVPIITYEDIRSDINRIANGDTSSILTANPVSVFLLSTGTSGGDRKMIPATEEDFGGRYLIFRLIMPIMNQFVPDLDKGKGMFLTLMRNESKTAGGIKTSAATIRYYTSSHFLNRSYNPLTSPNETLLCLDSYQSMYSQLLCGLCQNNEVLRVGAIFAMVLIQAIRFLEKNWSLLCHDIRTGTINPLITDISVREAVMKILKSDNNLADFIQSECSKDSWQGIITRLWPNAKYVDVTVTGTMSQYIPTLDYYCNGLPLVSTIYAASEGFFGVNLNPLCKPCDVSYTLIPTTCYYEFLPVNRSNDFVHEKEKQELVDLVDVKLDQEYELVVTTYAGLYRYKVGDILKVTGFKNNAPQFSFVGRKNVVLSIDSDNTDEVELHDAIKNAVTHLVPYDADVADYTSYGDTRIIPGHYVLYWELNFKGSTTIPDCVYEDCCLTIEESLNNYYRQCRVLEKSIGALEIKIVKQGTFEKLMDYAVSLGVSINQYKTPRCVNFAPGLELLESGVLANYFSPKYPQLDPSHK >CAK8542557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525548711:525550054:-1 gene:gene-LATHSAT_LOCUS11386 transcript:rna-LATHSAT_LOCUS11386 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEPNIIAGTISELPFYRYKRWQWWSLVALSIFFLIMGQSVAVILGRFYYDQGGKSTWMATLVQTIGFPLLLIPFFTIPSPPEASTSSVPPSVKVVALIYFVLGIVIAADNMMYSQGLLYLSASTYALICASQLAFNAVFSYFLNSQKFTVLIINSTVILTLSSSLLAVNEDADAPSGIPKGKYVIGFLCTLGASALYSLLLSLMQLTFEKVLKKETFSVVLEMQIYTSLVATCASTIGLFASGEWHTLHGEMKGFQKGEVAYVMTLVWTAIAWQVCSVGVVGLIFLVSSLYSNVISTVSLAITPIAAVIVFHDKMNGVKIISMLLALWGFTSYIYQNYLDDLKARRARAVASKPHDESSC >CAK8562560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509637065:509638324:1 gene:gene-LATHSAT_LOCUS16048 transcript:rna-LATHSAT_LOCUS16048 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKRKSGCSIGFPILKGTLFVLLLLLLSASWFKRNHLTILLMGPTITISEVIQDPPEKTFYDDPQLSYSIEKRIKQWDKKRSDWLHLHPSFAASRDRILIVTGSQSTPCKNNIGDHLLLRCFKNKVDYCRIQNCEVYYSNVLLHPKMGSYWSKIPSIRSAMMAHPEVEWIWWLDADAVITDMEFKIPLERYKDHNLVVHGWSNMVYDESENKSWTGLNAGSLLIRNCQWSMDLLHVWSQMGPLSSNYETWGRILTSIFKDKLFPVSDDQSSLIYLLSRQRRKWGVKTYLEEGYDLEGYWIASLGKFERLKDKYDEMEDEARVLRRRHSEKVSVWYGEMRERYLGGKERRPFVTHFTGCQPCSGDHNPSYEGDVCWKEMERALNFGDNQVLRNYGFVRKDLMESSVYEVPFGYPRVEE >CAK8541807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:404516671:404519665:1 gene:gene-LATHSAT_LOCUS10701 transcript:rna-LATHSAT_LOCUS10701-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGREASKLWKRVCAETTTEINLLVENWKYLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFFVLPELGQERAYISESLFTIIFLSFFLWTFHPFIFKTKKIYTVLIWCRVLSFLVAAQALRIVTFYSTQLPGPNYHCREGSPLATLPHPKSVAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVNTYQRYGTKRCIKQLGWALTIIQSFLIVASRKHYTVDVVVAWYTVNLVVFFVEKKLQEMPDRTAATLLLPLSTKDNKDGRNKEENHKLLNGNSVDPSDWRQRTQANGKILEDGHAHHADSAKNGA >CAK8541806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:404516569:404519665:1 gene:gene-LATHSAT_LOCUS10701 transcript:rna-LATHSAT_LOCUS10701 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRYSVTTSSSSSTSTTSTATSSITIYNYKKNMSLYIGREASKLWKRVCAETTTEINLLVENWKYLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFFVLPELGQERAYISESLFTIIFLSFFLWTFHPFIFKTKKIYTVLIWCRVLSFLVAAQALRIVTFYSTQLPGPNYHCREGSPLATLPHPKSVAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVNTYQRYGTKRCIKQLGWALTIIQSFLIVASRKHYTVDVVVAWYTVNLVVFFVEKKLQEMPDRTAATLLLPLSTKDNKDGRNKEENHKLLNGNSVDPSDWRQRTQANGKILEDGHAHHADSAKNGA >CAK8570367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39910752:39911540:-1 gene:gene-LATHSAT_LOCUS23120 transcript:rna-LATHSAT_LOCUS23120 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLVVVVVLLILIIFSSNKVYGDEQQLQQESCSTRCGVHNISPPFRLKNSRRKCGDKRYNLSCEDNNQLIMYNGSYGKYYVQSIHYNNFTIRILDFNLRSNNSLPPYYSLGRYNFSSEFQSPYLFYQYKNFSYTDILTKSMIYVTCLNPVEHSSGYMYGPNCMNSSSHLRYGNSFYVSGYNKTLSQLKLGDKCRIELMFLTSSWQVKGGNNNNNISCKNIRRMMFDGIELSWINSLCKDIWSVEFDQYNQPRCVSSGLH >CAK8532682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:478059392:478059880:-1 gene:gene-LATHSAT_LOCUS2364 transcript:rna-LATHSAT_LOCUS2364 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATESVEPGDKVILRVMMDKQKNKVVYGEAGKDFVEALLSFLTMPLGTVARLVAKDSNMKPVKFGSVSSLYRSLSDLNEQYLWSRNYKEMLLNPRNSMRGYCEMVKLNIDDTSTNVFMCWDQLCMSIFSNQKCSCGNYVLGSIMYNLIAMMTLIALSV >CAK8544470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686800914:686802967:-1 gene:gene-LATHSAT_LOCUS13146 transcript:rna-LATHSAT_LOCUS13146 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHHNHREFSFLTSSGDFIRNNNTDPPIKEMDLFSSSHNNNQDQDQHVNTGLNLTCASAAVSKEQNPENSETELMSTLQIELRKVQEENQKLRNVLEQITKSYSQLQAQLFIALQKQNHVDNMEANHVMESSEQKTMNDASVSDGKQACLSDHTARDVVESSSSHSSKTSEQDLSHFRKARVSIRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTVLITTYEGNHNHPLPPAATAIANTTSAAAAMLLSNSSTSSTLSKESAPFLSSFPYATISTLSSSQPFPTITLDLTNGHNLAMQHNRFPLPLQHPQQLLGINPFLFSQKLPTMALPLLQLGQRPPSSMVESVSAAISSDPNFTAALAAALSSIIGAQRGGVDNNSNNASVVPAGSPQLPQSCTTFSTS >CAK8570087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23374237:23378157:-1 gene:gene-LATHSAT_LOCUS22870 transcript:rna-LATHSAT_LOCUS22870 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAVTSGGGGGGGGEKTNDTVDSTRENGKTKRKGLISRIWNFIFRSNKDNLEKRLQSISKEESSVMTRMNKRSRSWRRASRHIIIFSVLFEVIAVAYAIMTTRTVDMNWKMRAVRVLPMFLLPAFSSAAYSTFVSFIRMCDRRDQKTLDRLRAERKEKIDDLKEKTNYYITQQLIQKYDTDPAAKAAAATVLASKLGAESGLKLHMADESKSGAPTGKTNNVELVQSGGLRNRKQGQAQSTTLGTTAPNHPDQQSVASRGTDQTQTHTQKQVVVVEHHQPQSSTKYDGGWIAQIAALLVGEDPKQSYALICGNCYMHNGLARREDFPFITYYCPHCHALNKPKHSAEPISALNSTNTRSPITDDGEADTNDSICASDSSVRNNEPVNATPEIEHTSEEVDLKGNEER >CAK8570647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:81467351:81468334:-1 gene:gene-LATHSAT_LOCUS23383 transcript:rna-LATHSAT_LOCUS23383 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNIDSPAPESSSPVYHPAVAPLSYLLGTWRGQGEGGYPTINSFSYGEELHFYHPPNKPVIGYTQKTWKLSSGEPMHSESGYWRPKPDGTIEVVIAQSNGLVEVQKGTYNTEEKVIQLQSELVGNASEVTGIQRCFRLVEGNLCYEVEMATNTVTLQPHLKATLKKL >CAK8576037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389248946:389251033:1 gene:gene-LATHSAT_LOCUS28255 transcript:rna-LATHSAT_LOCUS28255 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDVSVQSDMKLWPFKVIPGPGDKPMIVVNYKAEEKQFSAEEISSMVLMKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTLKDEKIASKLSADDKKKVEDAIEEAIQWLDGNQLAEADEFEDKMKELEGICNPIIARMYQGAGGEAGGAMDDDGPTAGSGSGAGPKIEEVD >CAK8537271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:193538582:193539458:-1 gene:gene-LATHSAT_LOCUS6571 transcript:rna-LATHSAT_LOCUS6571 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGLFLCPDASGNDIHPMYLALLNDIDKIRTYSWGSATLSHLYQSLCNNAIENLGNFTGCGVLLQAWGWSRMTNLAPIQQNNFEFPYATRWSSLGMNYENCPHYSITQYRNLIDHLGQDDFIWRPYLGLEFMKLKNTTLQCGVQRCRLSTSPPWKCTAVIVSSFSLECCKIFHVRQNASPTSITPLKSPTNGNILHGPSMQNMSVVNGSITAILFCPTLCCHTRENSLSNT >CAK8566477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:427545859:427550307:-1 gene:gene-LATHSAT_LOCUS19605 transcript:rna-LATHSAT_LOCUS19605 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSAARQCLTPEAGRALDEAVAVAKRRGHAQTTSLHAVSALLSLPSSSILREACCRSRNSAYSPRLQFKALDLCLSVSLDRAPSSHNNVSPDHEPPVSNSLMAAVKRSQANQRRHPDNFHFYHQQQQLQNQQTFSVSSVKVELQHLILSILDDPVVSRVFAEAGFRSSEIKLAILRPLPHLFRSRGPPIFLCNLTEQPRRGFGFGFPFLSGFGDEDDNFRRIGEILVRSKGRNPLLLGACGNDALRSFTEAVEKRREGVLPLELAGLRVVCIGKELESGDGEIVGLRLREIAVMAEECVGPGVIVSFGELKGLVNGEGGFGESVVEELAKLLKIHYDKFWLVGSATSYESYLKFLGRFPSVEKDWDLQILPITSVKPSESYHSPRPSLMDSFVPLGGFFSSQSDLKRPLNGSFGCVPHSHQYGENYEHEILAASKERFSVSAPDSYPSSLPQWLKTTEFGTAKALNVETKDGVLVDSSESCTPHTNLDNICQVLHQRIPEENTCPIVVGFHCTADNKNEDVDNCRSKSLDTSPTEHISLNSHVPVGVQSMATLQSRSPFPALFMTKQDNNTPKLTEMFQKVKDLETGDLRSCNMSSSSVSDGSQLSPTSVTSVTTDLGLGISSSPTSNKLKKPAVQYSIEPPKENPNRFSSSFNLDEETIMKHPSQSSSCLSYEYCRQVDARNPKIIFEALSKEVSWQDEAIRAIIRTIVSGSTKRDKDRGARGDRWMNFVGPDRLGKKKIAVSLAELLYGSRENFTFVDLSSEEVVGCNLKFRGKTNLDFIVDECCKKPLSVVFIDNVDKADIVAQTSLSQAIKTGKITDSHGREVSVNNAIFVLSFSGYENSLMQTRGPSHYYEERIMREKWVGIKIKVEHVVRDDRSQSISVTNNSTDVIPNLNFMNKRKLVGGNELHDPHFLSDMAKRPHTTSNWLLDLNLPAEEDEQKQTDDRNYEHVSTENQNLWLQDLYNQVDETVVFKPYDFDALADRLLKLVRSNFNKILGSECALQIETEVTDQLVAAAYVSDMNMEVENWVEQVLCGGFAEVQRKYNLSASSIVKLGTCPEQALSVYLPPSIILE >CAK8574194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668794268:668796301:1 gene:gene-LATHSAT_LOCUS26560 transcript:rna-LATHSAT_LOCUS26560 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLNKFFKPSSDSTPLPATGDGDDDLANWNWENNQHPIINTYTRTRRNPNPIPSPASVIEKPIVVKNKKRSYGQFHLELGQSDFLLRACSTCGIQFTPGDVEDEKLHAQFHKRYTQGIQFRGWNHERIISSDKIGRVILVLDNDPSSHRNKVQEVVKMMEIEMGSGWIAHQHCKVYLLVSLQRIVGCVVVEPIKEAFRVASCSDDGHSASARKREKKLRPTTLQFGNIVFQREVGKSVVNVSDSETLDSRAFSCESKPVAAVCGIRAIWVTPSNRRKHIASQLLDTVRKSFCTDQLERAQLAFSLPTSVGKALACSYSGIRSFLVYKAV >CAK8576252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435927518:435931194:1 gene:gene-LATHSAT_LOCUS28457 transcript:rna-LATHSAT_LOCUS28457 gene_biotype:protein_coding transcript_biotype:protein_coding MQTENHKMPKKSIGNLMRRRLSDITNSSHSQQENNNHTLILDNNCIQQLLKERANLINLLAERNKMIERNGAELQRLRGDMKKLQMQNWNLAQSNSLMLAELNLGRDKIKTLQHEIFWRAALINGKAFDIQEKEEIDSEKNVSSSQLQEGDEKEALQSPRTSNDEKQCCLNRRRIRSKSTGSSTVATKNTSKEKSKDRRRLRRHSATSKVHEHEPLENLFEIEDAQYAITQSGSNKLSTSAVKTERRISSDLRKEAPRHSFGRPLRKAAEKVPSYKEIPLNVKMRRLA >CAK8575394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106571391:106571732:-1 gene:gene-LATHSAT_LOCUS27663 transcript:rna-LATHSAT_LOCUS27663 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNNNWFTRNEVRNHLIAFGFQKGYDVWVRHGEKKPKLGDLNDNHMNEKEDQIDDIDGLLHERFRDVVQE >CAK8573444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612759936:612762587:1 gene:gene-LATHSAT_LOCUS25891 transcript:rna-LATHSAT_LOCUS25891 gene_biotype:protein_coding transcript_biotype:protein_coding MQILCSKTASHTFSLYRFQETCLRVLSFCNSNSLKEGVCIHSPIIKLGLQHDLYLNNNLLSLYAKCFGVHQARHLFDEMPYRDVVSWTTVLSSHTKNKYHFDALELFEMMIGSGMYPNEFTLSSALRSCSALGEFDCGMQIHSAVVKLGLEMNPFLGTSLIEFYTESCCSSVEGYNLLSLVRNGGDVVSWTTMISSLVETGKWGEAFEIYVKMIETGVYPNEFTFVKLLGAVSSFLGLAYGKMLHAQLIIFGAEMNLVLKTAVVDMYSKCRRMEDVIKVSNLTPEYDVCLWTTIISGFNQNLQVREAVTAFLDMGLSGIIPNNFTYSSLLNGCSSILSLHLGEQFHSRVIIIGLEDDLYVGNALVDMYMKCSCSTSNAAKAFRGIASPNVISWTSLIAGFAENGLEEESFQLFAEMQAAGVQPNSFTLSTILRACSKMRSVAPTMMLHGHIIKAKADIDIAVGNALVDAYAGGGMTDEAWSVIGMMKHRDPITYTCLSARLNQKGDHGMALKVFIHMCNDGIKMDEFSLASFLSAAAGLGTMEAGKQLHCYSVKSGFQRYNSVSNSLVHLYSKCGSVHDAYRAFEDINEPDAFSWNGLISGLASNGYITHALSAFDDMRLAGVKPDAITLLSLISACSHGDLLDLGLEYFHSMEKVYHITPKLDHFVCLVDLLGRGGRLEEAMGVIETMPFKPDSLICKTLLNACSLHGNVALGEDMARRCLELDPSDPAIYLLLANLYDNTGLSDFGEKTRRLMRERGLRRSPGQCWMEIRSRVHHFSAGDKINDEIYEKLEFVTTEFRNRGYQYQENEDKLYHSEQLAVAFGVLNVPTIAPIRIYKNSLICPHCHTFIMLSTKVVGREIIVRDRKRFHFFKDGQCSCRGHP >CAK8530437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21254675:21258776:-1 gene:gene-LATHSAT_LOCUS302 transcript:rna-LATHSAT_LOCUS302 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKEPVLDDEEVVYEDGPGCGWNTFEDEDVMQQTSSIHDDEAKKLPYVGDKEPLSSLAAEYQSGSPILLEKIKVLAEQYAAIRRTRGDGNCFFRGFMFSYLEHLLEAQDKAEIDRIKANIERSRKALQTLGYAELTFEDFFTLFLEQLEDVIQGKETSISHEELVLRSRDQSVSDYVVMFFRFVTSAAIQNRSEFFEPFIMGLTNTTVEQFCKSAVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGGVSVNHHDFIPSAGDLPSSSGSSEKKNPFITLLYRPGHYDILYPK >CAK8570113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24288589:24291032:1 gene:gene-LATHSAT_LOCUS22894 transcript:rna-LATHSAT_LOCUS22894 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKSGWRVELSHNSRTGGGGGGGGGGGRGRGGGGGSDLKCYECGEPGHFARECRNRGGSARRRSRSPPRFRRSPSYGRRSFSPRGRSPRRRSVSPRGRSYSRSPPPYRAREEVPYANGNGIRDRRRSRS >CAK8538798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496664094:496667398:1 gene:gene-LATHSAT_LOCUS7956 transcript:rna-LATHSAT_LOCUS7956 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGFDIGNENCVIAVVKQGGVDILLNDESNRETPAVVCFGEKQRFLGSTGAASALMHPKSTVSQVKRLIGRRFDDPDVQNDLKLLLVETSEGPDGGILIHLKYLKETHKFTPVQILAMLFAHLKTIAEHDLGTGVSDCVIGVPSYFTDLQRRAYLDAATIAGLKPVRLLHDCTATGLGYGVYKTDFPHGDPINVAFIDIGHCDTQVSIASFQAGKMKILSHAFDTRLGGRDFDEVLFRHFAEKFKEQYKIDVYSNARACNRLRAACEKLKKVLSANLEAPLNIECLMDEKDVSGFIKREEFESLASGLLERICIPCNEALANAGLTVDKIYCVELVGSGSRIPAITKLLTSLFKRELSRTLNASECVARGCALQCAMLSPVFRVKEYEVQDSIPFAIGLSSDEGLTCAKPNAVLFPKGQPIPSSKTLTFQGSDLVRLEAFYANPDEGPKGTSPKIGCFTIGPFHGSSGNKAEFEVTIQLNLHGILNIESSTLIKDHVEDSVTPHDHHSNSEAMNVEPVSEKGQNVNEPKKCESPHSSSDGTEKVKADKRVHVPVSESIYGGMTNAEITEAQEKEHQLTEQDKTMELTKNKRNSLESYVYDMRNKLFNVYRKFSNEEERDDVTRNLQETEEWLYGEGDDETVNAYSAKLADLKQLVDPIESRCRDEEERAQATRDLLGCIVEHRLSADSLPPQSKELIINECNKAEQWLREKMHQQDTLPKSSDPVFWSSDISNKAQDLNLICQQISRSPFPEDKEEEEKEDEKSTS >CAK8533069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574410703:574444506:-1 gene:gene-LATHSAT_LOCUS2720 transcript:rna-LATHSAT_LOCUS2720 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNAFDGKLLTEKLRKLNNSQQSIESVSRLCVSHRNRAKYIVETWNKLFNSSQKDQRVPFLNLANDILQTSKRKGNEFVNEFWKVLPSALKRVYASDESGKNSVIRLIDIWEERKVFGSRSQGLKGEIMSKSLLPSSVSNGKNSNSNPIKIAKRDAHSLRLKLAIGCLPEKIMTALHSVNEEYPNEEAALNKCNATVCKVGKLVEDVENTLSQGNQLGPTLFLDLQQQEKELKQYMVQLENAEAARATLLSQLKEALQEQESSQELVRSQLLAAQEQIERVANIRQRFVQAPETTWVPEQNIPPVQPNSIPLQPSLTQPTMSFAPLQTTEEDTKAAAAAVAARLAASTSSALMLTSVLSSLVAEEAASMNGSLNSAGYPSPPPGFYPEKRPKLEPQMPVSDVNSSDTRNSSFFATANMPSTPPTMQSISQTNQLQSAFASTLPPPPHSQMNPPTNQYVQSTGMMVGGMPYGYGANNLQPPPPPPLPPHVAMGLTRPNNQPSHSPQSQAQQAQQQQQQSSTGGFYRSPGVGFYGQSHPPPPPASR >CAK8543071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574027901:574031941:1 gene:gene-LATHSAT_LOCUS11858 transcript:rna-LATHSAT_LOCUS11858 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRENLFISNINDAAQILQNAAVQHKITHILSVLSSASISFFSEWRSTISIPVKEISKLHVSDLPSAAKSALSPDKLLYSLEYAGKDLNLVRMAVPLKDTESENLLDYLEVCIDFIDRSRKEGAVLVHCFAGVSRSASVITAYLMRSENLSLEDALESLKQSCEFVCPNDGFLEQLKMFEEMGFKVDQSSPIYKRFRLKVLGENHFAGSRIDSSKLGADPGMLVETSSEPKEATKVDNNRSPTYRCKKCRRIVALQEHVVDHTPGEGEASFGWHKRRGGNPFNKSNESECSSIFIEPLRWMKDVEEGAMEGKLCCAHCDARLGYFNWSGIQCSCGSWITPAFQLHQSRVDISPV >CAK8569693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8426067:8428477:-1 gene:gene-LATHSAT_LOCUS22516 transcript:rna-LATHSAT_LOCUS22516 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVTVTSAVGPFLSRSRRRSTTALRSSKVSLEQTKLLTKLKQECATPLPLLQHVADTMSSEMRAGLSSVDGPGLPMIPTYVHTLPTGNEKGLFYALDLGGTNFRVLRVELGGKDDRVISTQFEQVSIPHDLMFATSEELFDFIALGLAKFVAKEDGKFHFPPGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTVGRDVVVCLNEAMERQGLDMRVSALVNDTVGTLAGAEYYDNDVKVAVILGTGTNACYVEQISSIPKLQGHVASSGKMIISTEWGAFSKGLPLTVFDREMDAASINPGQQIFEKTISGMYLGEIARRVLLNMAEEDDLFGSSVSQKLSTPFILGTPDLCAMHQDDSGDLQIVGSLLYDKAGVESNLRERKAVLEVCDTIVKRGGSLAGAGIVGILQKMEEDSKGDFFGKRSVVAIDGGLYENYPQYRTYLQDSVKELLGTEKSNNVVIEHSKDGSGIGGALLAASNSMYKQD >CAK8534235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:708957879:708958163:-1 gene:gene-LATHSAT_LOCUS3795 transcript:rna-LATHSAT_LOCUS3795 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHGNFVTHILVLDGENSNKLTSQMKVIFGVQDICDMVETSVEASFENATVVQRKTHKEDDKIDCNAPFRIHQSVENYVWVNLFYETIGGRTD >CAK8563926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639543586:639545971:-1 gene:gene-LATHSAT_LOCUS17284 transcript:rna-LATHSAT_LOCUS17284 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGRIAYSSLSKLEKGKPHFGYDDMNCHGESTWSEPEPESGTTSGPLAATFTNREISYDSYKFSYEDDELFDGGYESNEEARRVNHSSMTPDVNLKNVLSGIFSILTGRNKALSVDEDQQLPSSNVSFLGSGNDGDVFLDSSVYTPSAPPLFLPNGAEYSSYKEVLEAEPPEWLPDSSTTVCMQCNAPFTALTRGRHHCRFCGGIFCRICTKGRCLLPVKFRDRNPQRVCDSCYDRLDPLQGVLINTISNAVQSAKHDVMDWTSARGWLNLPIGLSMEHEIYKASNTLRNYCQVAKSNPEKSIPLSVLKSAQGLAILTVIKAGALVSYKVGTGLVVARRHDGSWSAPSAIFSLGLGWGAQIGGELMDFIVVLHDTKAVKTFCSRMHFSLGAGCSVAAGPIGRVAEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDANLRFYGDPYLTTSDILLGLVDRPKAAQPLYTSLQDLYSSLHN >CAK8533665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:647353041:647356276:-1 gene:gene-LATHSAT_LOCUS3273 transcript:rna-LATHSAT_LOCUS3273 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTINTTVIAFLISLIPLGLILLGRGKKKEAPIAKGSWPILGHLPIFYGTQSPHRVLGALADKYGPIFTIKLGSKHALIVNNWEMAKECFTTNDMALSSRPNLVATQHMAYKGAMFGNAPYGPYWRNLRKIATLEILTNRRVKQQQHIRASEVRTSIKELFDVWSRKNSESNSSNYVLVDMKQWFTQLTFNMVLRMVVGKRYFGARTNVDEEEAQRSVKALKKMMHLFGVITVGDVIPCLKLFDLGGHVKAMNETSKELDEVLVEWLKERRHERSLGEKVDGEDKDIMDVLLSLLDGKTIEGFDCDTIIKATIMTLFTGGTDTSSVTLLWAICLLLKNPLAMKKAKEELDIHIGKERYVNESDISKLSYIQAIVKETLRLYPPAPLSIPHEFSTDCTVGGYHVKKGTRLITNLWKIHTDPNVWQNPLEFKPERFLTTHKDVDVKGKHFELLPFGSGRRICPGISFGLQMVHYSLASFLHSFDILNPTTSERVDMTEEFGITNTKATPLEVLVKPCLSFNCYEIM >CAK8563459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607614675:607618336:-1 gene:gene-LATHSAT_LOCUS16867 transcript:rna-LATHSAT_LOCUS16867-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLFKSNHPNPESSNLHPAVINSSPPPLSTAVDHGVKEDLSIIFRGVANFLAPPPSSSSSSSPPSSSGESTASPSKTLTGIRNDLVEIGGSFRSSLSLLSPRKAVTGISKLASQLLLPERDHEEADGGAVPGATEDVVRFVKEISTRPECWTEFPFPLHNADCSLSNCQREHALAIEQLVPEFVTLRMNLCSYMNVEKFWMIYFLLILPRLNQHDFECLSTPKIVEARDVLLRKLEKRKDTHAGECQSGIMDTHQEGREDSGTESITFDQNQILTEATSAIKGLEIDDTINSEKWLTDTDIDATSLTSCTKHQEDISFSDLEEYGSYSSDNKLSSHGEVFRGSSPEGSSGWVQLQENKRGGRPKAICMKGKDSEDESNDWLAVDEFH >CAK8563458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607614675:607618336:-1 gene:gene-LATHSAT_LOCUS16867 transcript:rna-LATHSAT_LOCUS16867 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLFKSNHPNPESSNLHPAVINSSPPPLSTAVDHGVKEDLSIIFRGVANFLAPPPSSSSSSSPPSSSGESTASPSKTLTGIRNDLVEIGGSFRSSLSLLSPRKAVTGISKLASQLLLPERDHEEADGGAVPGATEDVVRFVKEISTRPECWTEFPFPLHNDCSLSNCQREHALAIEQLVPEFVTLRMNLCSYMNVEKFWMIYFLLILPRLNQHDFECLSTPKIVEARDVLLRKLEKRKDTHAGECQSGIMDTHQEGREDSGTESITFDQNQILTEATSAIKGLEIDDTINSEKWLTDTDIDATSLTSCTKHQEDISFSDLEEYGSYSSDNKLSSHGEVFRGSSPEGSSGWVQLQENKRGGRPKAICMKGKDSEDESNDWLAVDEFH >CAK8570877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:143547442:143547660:1 gene:gene-LATHSAT_LOCUS23589 transcript:rna-LATHSAT_LOCUS23589 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLIKELIWMISILEPSKYNFFPAMTKIVGTLGPKSRSVDVISSCLKAGMSVARFDFSWGGAEYHQET >CAK8540779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20584052:20585762:-1 gene:gene-LATHSAT_LOCUS9749 transcript:rna-LATHSAT_LOCUS9749 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFFVIMFLIVTFGAFSEAGHHHKKPTSAVVVGTVFCDTCFQQDFSMGNRFISGASVEVECKNGNTISKPRFKKQVKTNNHGEFRIQLPFSVSKHVKRIKQCVVTLVSSNEPYCSIASTSTSSSLHLKSRKQGLHIFSAGFFSFKPLKQPNLCNQKPSSFVLDSSKRSSLPPNIDPSFPPPLRDPDTPSSGVLPLLPPIPLAPEIVTPILPPTLSPLVPSNEDESLNEKVINIDSFNFPPNPLFPPSPLVPNPFQPPSLVPNPPQPPTQTPLIPNPFQPPPLGSSSPLFPFPPTSSPPSLSFPFPPLFPPRPPSSKNVSP >CAK8565412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:174315305:174315997:-1 gene:gene-LATHSAT_LOCUS18630 transcript:rna-LATHSAT_LOCUS18630 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKNFKGKGYDKVETNLEDQQNPPQHRHKISKPFVATISIIITTTLFITLTLCFTLFFHHTESHTPLNSTDSIRSICNVTRFPDSCFIALSPYSQNLTNPTSILKLSIFASIDELTKLKLASSLKANSNERAFDDCKDLIDDAVSRLNESVSAVPDGAVTLTDVKIKDIQTWVSAALTEQQTCVDELEEVGLSLETVEKVKKMMQKSNEYTSNSLAIVAHINNLLPIH >CAK8561059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83885657:83887081:-1 gene:gene-LATHSAT_LOCUS14683 transcript:rna-LATHSAT_LOCUS14683 gene_biotype:protein_coding transcript_biotype:protein_coding MREPIVPWKFLELEDECSRVKLKAHEELMVSSSKPPKSFADAVNNVCDIPVSQLPKPCVKGDRLSIVIPEEEYLLGVESCKHNLHDRIIWPKGSTPLTVQNLKTKLLNLWKSIGKWGITSLGKGYYELSFSTLEDVRRVRSISSWNLNPDFLKLFPWTKDFNPSFLKQSSAQVWIRIHGLSQEYWRPKIIFAIASSVGIPLCTDSASNRCCFERSFGHFVRVLVDLDLNSDIHYKVLVERSGFAFFVDLEYENLLEFCKHCNSIGHSLENCKHRGDEGETGAQKQKAVEETNNGKPHNSKKHIYVQVPINKPTIIKPVDLSQIHTTVENSHDKDKQNQVNIGGSTGILEVDNTVDDVVMVDDTDKTLALVSEAENNFADAKCIGNTQRNSVEEVPETNPELQQDLMFLNASWNNMVHQEMVKQGIGDNSLNSLGVDDDGFVKVKSKSKKKMQKNNALRDNYKTRSRPGSASNTP >CAK8540031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536420909:536422669:1 gene:gene-LATHSAT_LOCUS9070 transcript:rna-LATHSAT_LOCUS9070 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCIDHPGAVPITTAQGEELRKLINAPAYIECSSKTQENVKAVFDAAIRVVLQPPKQKKKKGKAQKACSIL >CAK8565858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:344221115:344221489:1 gene:gene-LATHSAT_LOCUS19037 transcript:rna-LATHSAT_LOCUS19037 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCETSKSHAPRHSTSLQFLHQLSFSPFTPHFHIVTSLSYSLLAHAFYNPLITRQDHPIFIFIEAE >CAK8571385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395501445:395503500:-1 gene:gene-LATHSAT_LOCUS24052 transcript:rna-LATHSAT_LOCUS24052 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFHLSILSIAALALCISAEYSSVNFSYIGPNGPEKWGSLSPAYATCSNGRVQSPVDLVYTDIVLNKQLESLDRNYIPTNATLVNNQFNIEVHFEGKVGDIHINGKNYSLRQLHWHAPAEHRAHGRIHVVELHLVHLTEDNNNIAVLAILYNLGDPDPLISKIEDKLNELKTQSRAGNKKAKISLGTFDVEELNKKIHRYYRYVGSLTTPPCTEGVIWNIIGKVRTISKKQLELLKAPLSVDFFHNARPLQQLNGRKIEMYHYHPNQKEGARKP >CAK8538606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488871023:488872999:-1 gene:gene-LATHSAT_LOCUS7787 transcript:rna-LATHSAT_LOCUS7787 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNCASKEYQNGLDSFLDYAYTKGKPRVKEILCPCANCYNSNWFTRNEVRNHLIAFGFQKGYDVWVRHGEKKPKLGDLNNNHMNEEDDQIDDIDGLLHERFRDVVQEENDVNVSLNEDAKKFYNLVEEAKQDLYPGCKNFSKLSFTIRLYLLKCLYGWSNVPFDALLELLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDACPNDCMIYWKNHENDTSCHVCGAPRWNEDVKGNDHVEKNHKSHKVPSKVLRHFPLIPRLQRLLMCSKTASSLRWYDEERSKDGKLRHPADAEAWKEFDKCHSEFADEPRNIRLGLASDGFNLFRTMNLSYSTWPVVLIPYNFPPWWCMKAEYSMLSLLIPGPLSPGNNIDVYLQPLIEELKVLWDLGVETYDASLSQTFQMRAALLWTISDFLGYAMLSGWSTKGKLACPCCNYNTNSIYLNYSKKVCYMDHRVFLPENHKYRSNARNFNGSKEDRPPPELLTWEEISNKLKYVNNSFGRLQKKSNTGPWKKKSIFFELPYWKHNNLRHNLDVMHIEKNIFDSIIGTLLDIPGKTKDHKNARLDLKEMGIRNKLHPNEVDQGKKSVFAKACFSMTTKEKTTFCYVLKNAKIPDGCASNISRCVQLAGKKKLADTRATMHISCCITCFKWL >CAK8534274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712161505:712174145:1 gene:gene-LATHSAT_LOCUS3832 transcript:rna-LATHSAT_LOCUS3832-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDTSSPDASSNSPRSISSNSPRSDKKKPKSTVAQTLTSTFSSTSTAGTSGGGGGSGLGGGLIGGAASRKTPVKEGAKKKDAVKGKESEILSESRSRSGLKKLTPATVAEVKELPSTLPSPYSSTSSAAASVSPILASSLGLNRIKTRSGPLPQESFFGFRGDKGGAAAALGASNLSRPGVGKKKEVGNQNRVGFRDGLGIGGSVDNGSNSGSMSTGNGAQTMEQSPVVLPRSRLQYGESSLEAGEQASSQTQTGGLRSEDVCTPEATYDFENPKESESPRFQAILRVTSAPGKRFPGDIKSFSHELNSKGVRPFPFWKPRRLNNNLEEILVVIRAKFDKEKEEVNSELAIFAADLVGVLEKNADNHPEWQETIEDLLILARRCAMTTSGEFWLQCESIVQDLDDRRQELPPGTLKQLHTRMLFILTRCTRLLQFHKESALAEDEHFVNLRQSRVLHSTGKSIPSSVVRDSKSSGVAKTSKASTKKAYSQEQSTMGWKKGVLKPEIQLPPADDDILKNFESPGRNRMASWKKFPSPSGKSPKETVQLKDQNYGTVEPSKTSDKRLNSDIDLSGAKTSELLAVKDSHDHASKHQHKVSWGYWGDQQINNEDNSIICRICEEDVPTLHVEDHSRICAVADRCDQKGLSVNERLVRISETLEKMMESSTQKDSQQMVGSPDVAKVSNSSMTEESEVLSPKLSDWSRRGSEDMLDCFPEPDNSVFMDELKGLPLISCRTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLAGKGAYSEHDDLPQMNELADIARCAANVSLDDDRTASYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDVDSPVMDDDVILEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYMAEVVLALEYLHSLSVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGTSLLEEDESETFTSEDQRERRKKRSAVGTPDYLAPEILLGTGHGYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPEVPEEMSLEAHDLIDRLLTEDPNQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPPSDFEDSSDADSLSGSSSCLSNRHDEVGDECGGLAEFDSSSSANYSFSNFSFKNLSQLASINYDLTKGWKDDPSTNSSA >CAK8534275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712161505:712174145:1 gene:gene-LATHSAT_LOCUS3832 transcript:rna-LATHSAT_LOCUS3832 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDTSSPDASSNSPRSISSNSPRSDKKKPKSTVAQTLTSTFSSTSTAGTSGGGGGSGLGGGLIGGAASRKTPVKEGAKKKDAVKGKESEILSESRSRSGLKKLTPATVAEVKELPSTLPSPYSSTSSAAASVSPILASSLGLNRIKTRSGPLPQESFFGFRGDKGGAAAALGASNLSRPGVGKKKEVGNQNRVGFRDGLGIGGSVDNGSNSGSMSTGNGAQTMEQSPVVLPRSRLQYGESSLEAGEQASSQTQTGGLRSEDVCTPEATYDFENPKESESPRFQAILRVTSAPGKRFPGDIKSFSHELNSKGVRPFPFWKPRRLNNNLEEILVVIRAKFDKEKEEVNSELAIFAADLVGVLEKNADNHPEWQETIEDLLILARRCAMTTSGEFWLQCESIVQDLDDRRQELPPGTLKQLHTRMLFILTRCTRLLQFHKESALAEDEHFVNLRQSRVLHSTGKSIPSSVVRDSKSSGVAKTSKASTKKAYSQEQSTMGWKKGVLKPEIQLPPADDDILKNFESPGRNRMASWKKFPSPSGKSPKETVQLKDQNYGTVEPSKTSDKRLNSDIDLSGAKTSELLAVKDSHDHASKHQHKVSWGYWGDQQINNEDNSIICRICEEDVPTLHVEDHSRICAVADRCDQKGLSVNERLVRISETLEKMMESSTQKDSQQMVGSPDVAKVSNSSMTEESEVLSPKLSDWSRRGSEDMLDCFPEPDNSVFMDELKGLPLISCRTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLAGKGAYSEHDDLPQMNELADIARCAANVSLDDDRTASYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDVDSPVMDDDVILEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYMAEVVLALEYLHSLSVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGTSLLEEDESETFTSEDQRERRKKRSAVGTPDYLAPEILLGTGHGYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPEVPEEMSLEAHDLIDRLLTEDPNQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPPSDFEDSSDADSLSGSSSCLSNRHDEVQGDECGGLAEFDSSSSANYSFSNFSFKNLSQLASINYDLTKGWKDDPSTNSSA >CAK8574824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10616067:10618568:-1 gene:gene-LATHSAT_LOCUS27127 transcript:rna-LATHSAT_LOCUS27127 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGTFETIHVQDASGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTVIEEARKRQAAQNATAA >CAK8542035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457787105:457788870:-1 gene:gene-LATHSAT_LOCUS10909 transcript:rna-LATHSAT_LOCUS10909 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSHEISTRGKVESEVDEGEYDYDDENSNDHVTYQNDGSMVRFGGFSRVVSMYTQQGKKGINQDSMTVWEDYTGEQGMILCGVFDGHGPLGHKVSQFIRDNLPLKLSAAIKMAQQKANRYYDAPDADTETFDDGHNGSNRGNNISLASWEGCFLKSFDEMDEHLAKEVNTDCYCSGCTAVTLIKQGDQLIIGNLGDSRAILCTRDRDQLIPVQLTVDLKPDIPSEASRIFNCEGRVFAAEEEPDVYRIWMPDDDCPGLAMSRAFGDFCLKDYGLIAAPDVSYRKITKQDEFVVLASDGIWDVLTNSEVVNIVASAPRKSIAAKLLVKRAVRAWRYKYPGSKVDDCAVICLFLNEEPVLSHYQSTTSRKSQHHRSKRSKSQRNEDNETVAGKLDVDLHEEWKALGGLARANSISRLPRLARKMSKRQSSQRRNGS >CAK8544157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668473873:668475180:1 gene:gene-LATHSAT_LOCUS12859 transcript:rna-LATHSAT_LOCUS12859 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPPPLSPVVSTPVSQSQSVLRSNTGGKNLNTAEGAGITYFGETSGTSDAREDESIGEKRNNIDLEKPNSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVIQPETNVLSKKVEDDYKGPNEIGIVNLDIVPSRKGNANSTAAEIPAIRNKEVEKVIEKWTEVIKSGRGRGKQIGKVGAAGVSSHDNGFDALEILKDLLEVQDTGQ >CAK8542099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473334108:473335933:1 gene:gene-LATHSAT_LOCUS10970 transcript:rna-LATHSAT_LOCUS10970 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNLSLTTHNLSKPALATDSETNVCMASPIQIARPPIKRRRKNISPRNLPKITDQELQQLSGDLNSTIVPLFEKTLTASDVGRLGRMVLPKPCVEAYFPPISEPGGVYLHIEDVKGKKLMFRFRFWPNNSSRIYVLEGVHPWIQSMQLQAGDFVTFSRMDPREILIIGFRKTSNSSMQVHEVTLSNGMKREGMHLPQELVPEKKQRTCDIGSKSKRLVIDNQDALMLKLTWEEAQDFLHPSPTVNSSIVVIEDYVFEEYEEPPIISKRGIFINGMNEKWIQCDKCSEWRKLGSVENLSEQRRCSCSAPMN >CAK8561426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203983240:203983623:1 gene:gene-LATHSAT_LOCUS15020 transcript:rna-LATHSAT_LOCUS15020 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSKRSKISSTVTYSSSSNLENPIDCSEYNSATQTDRPAGQKAVKRKGKGKASPSKTPIVDLTVMERASENKLAIYGKIAEAKLAESIPVLYEILMKDKSAMDDEQRREHEEICQSIKEKFFKRS >CAK8533959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675108042:675108396:1 gene:gene-LATHSAT_LOCUS3541 transcript:rna-LATHSAT_LOCUS3541 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFEKIGDIFDKKELWKIVVKVHHKWTVVSNNKEHLELIFVDAEGKYIHVIVPTALKGTFDSVLHVNNTYTMTNFKPQPNDLVFKTSDH >CAK8542861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554193735:554196135:-1 gene:gene-LATHSAT_LOCUS11663 transcript:rna-LATHSAT_LOCUS11663 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRTVQVKQLSDLATEREIYEFFSFSGEIENIQIVREYGQPKTAFVTFKDPKALEIALLLSGATIVDQIVSISPVENYVANREMQEARAGEYSITIAPEDAALNSEEEKPGGRVYLSKAQDVVTNMLAKGSAIKQDAVNKAKAFDEKHQLTANASAKVSSFDKRVGLTEKLTVGLSVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKTSRYVTAGTSWLTGAFSKVAKAGNVAGTKTREKFNMAVSNLTTKDTSLVV >CAK8544772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705154138:705155607:-1 gene:gene-LATHSAT_LOCUS13425 transcript:rna-LATHSAT_LOCUS13425 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKVTLDAKLTVVSSRPVRSGMIHKLSGVDHGMGYHTLHLIFYYKNEDKWFESFELDSLRGSLSEVLSLYPTVTGRLALVEEDGGGWEIRCNDTGVRVIKANVDSTIEKWLSSSAVGSDEKQLLAWDDMPLDTSTWSPFQIQINSFKEGGIAIGVSCTHMIADLTFLSSFFKSWSQIYRLTPISHPPFITPIPITSSPNNNNTSPNSGPKPQTNITSATFKFSSSIIKHLTSKCPGATAFDVLAALFWTRVTLLKPLKLHKQNHSLLICRDFRKLIKPNLPVGYFGNALHFSKFSLNSEEMKNGTKLQDIASLIHMHTKDVTEKEIMSYIESFESQEGSTLKCVYGSSELTCVNMEEEETESLLYESIFGDNEKPAHVSCRVGNVGGEGLIMVMPSSEGEFARNVIVMLKEEEVDKLCKDEEMLKLQPTIILSG >CAK8564494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678138322:678140217:1 gene:gene-LATHSAT_LOCUS17795 transcript:rna-LATHSAT_LOCUS17795 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQKTLLTTFNSVSFPSKHHQPELARKITHILINSTNSNYFKPNNTPLHSMISCITPHVIYRVLSDPILQPHSCLAFFHFLKTHHSSVSLKPDLKAHLILLSRLLKARKFATMKSILNSVVTDSEFPCSVPAIVDLVDEFEPHFVEKLCDMLFRVCSDNRLFQEAIKVYDYGEEKGLVIEERSCFVLLLALKRCGEVDLCLRFFRRIVESNGIEIRVQSLTLVIDVLCRRGEVAKAKELMDEMVSKNIVKPTVFTYNTLLNAYVGRKDQKGVDEILRLMEKEQVVFSVATYCILIQWYSSSGDIGEAEKIFEEMRERNIEMDVYVYSSMISWNCRLGNMKRAFALFDEMTQRDVSPNAHTYGALIGGVCKAGQMEAAEILLQEMQSKGIDLNIIIFNTMIDGYCKRGMMDEALRLQAIMQRKGLKADVFTFNILTNGLCKLHRYDEAKCTLNSTVEKGVEPNVVTFTMFIEIYCKEGNLAEAERFFRDMEKRGEVPNIVTYNTLIDAYCKKEKVKQAHKTKSEMINKGLLPDVYTYTSLIHGECIVGRVDEALKIFNEMRIKGITGNVATYTSIISGLSKEGRADEAFKLYDEMMKMGLIPDDRVFAALVGSLHKPLIHGPKQNEYEDLK >CAK8540262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547516425:547518824:1 gene:gene-LATHSAT_LOCUS9284 transcript:rna-LATHSAT_LOCUS9284 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKLINQPNKNRSLTAAITVIVLCGLSFYLGGVFKSADTEGVGVISAIQKTLDSSQQSSGSLQIKPFNYPECGEDYQDYTPCTDPKRWRKYGTYRLTLLERHCPPIFERKECLVPPPPGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFLFPGGGTMFPNGVGEYVDLMQDLIPGIKDGSVRTAIDTGCGVASWGGDLLDRGVLTISLAPRDNHEAQVQFALERGIPAILGVISTQRLPFSSNSFDMAHCSRCLIPWTEFGGIYLFEIHRILRPGGFWVLSGPPVNYERRWRGWNTTVEEQRSDYDKLQDLLTSMCFKLYNKKDDIAVWQKARDNSCYDKLPKESYPPKCDDSFEPDSAWYTPLRACFVVPQDKYKNSGLTYMSKWPKRLNVVPERLSVVQGSSSSSFNHDNSKWKKRISHYKTLLPDLGTESIRNVMDMNTAYGGFAASLINDPLWVMNVVSSYGPNTLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHADGLFTAESHRCEMKYMLLEMDRILRPGGRVIIREATYFADAVATLGKGMRWLCHKENTEYDVEKEKIVICQKKLWQPSNSGSR >CAK8531874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168412729:168413249:1 gene:gene-LATHSAT_LOCUS1636 transcript:rna-LATHSAT_LOCUS1636 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETLTLVLVNLAGIMERAETSLLPAVYKEIDAALNADPTALGSLTLYRSLVRSFCYPLAAYLATRHNRAHVIALGAFLWVVATFFVAISSTFLQVAISRGLNGIGLAIVIPAIQSLIADSTIDSNRGTTFGWLQLKIH >CAK8574199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669210610:669211264:1 gene:gene-LATHSAT_LOCUS26564 transcript:rna-LATHSAT_LOCUS26564-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVYLVCSIALECGVEPIGEPMLSWLQPLFMDLVSLSTSKSLFTPKTLQFFFFNSLSQIYSISDQHLFLLLLVVVVFCGSPSSSSLSSSSLSW >CAK8574198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669210589:669211264:1 gene:gene-LATHSAT_LOCUS26564 transcript:rna-LATHSAT_LOCUS26564 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVPNMYQVYLVCSIALECGVEPIGEPMLSWLQPLFMDLVSLSTSKSLFTPKTLQFFFFNSLSQIYSISDQHLFLLLLVVVVFCGSPSSSSLSSSSLSW >CAK8535581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864739518:864741331:1 gene:gene-LATHSAT_LOCUS5026 transcript:rna-LATHSAT_LOCUS5026 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASAFTLREVAGRPTASGRHPFQGVDVLEVKKRGQGLKSWIRVDTSGNSQVMEVDKFTMMRRCDLPARDLRLLDPVFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDKYVLQYVIDLQRKLTTAGAGEVGDMGEVWQSDNSDMNQRRGNRNFENLCSNTSPDYLPFEFRALEVALEAACTFLDTQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMEMSFYGDPSMIGYRSADGASISAPVSPVSSPPDSRRLDKSLSMARSRHESMRSTESNTENIEELEMLLEAYFVVIDSSLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGVFGMNFEIPLFNVPSAFQWVLIITGVIGVCIFSAFVWFFKYRRLMPL >CAK8573795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:637603782:637604495:-1 gene:gene-LATHSAT_LOCUS26200 transcript:rna-LATHSAT_LOCUS26200 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADVALVVFSPGEKVFSFGHPHVDTVIDRYLSRVPPQNNGTMQFIEAHRSANVRDLNNQLTQINNKLDIEKKRGDELSQLRKATEAQFWWACPIDGMNKAQLELFKKALDELKKLVAQHADRLVIQGAPTQTIPFFVGNSSNSNMPIHHPTNPQQAQMFQQQFFQNPMMQSHLFGFNNMGGSGGYGPSGFF >CAK8543293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596389406:596393253:1 gene:gene-LATHSAT_LOCUS12059 transcript:rna-LATHSAT_LOCUS12059-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKMEEYEVIEQIGRGAFGSAFLVLHKFEKKRYVLKKIRLAKQGEKFKRTAHQEMNLIAKLNNPYIVNYKDAWVEKEDHVCIITGYCEGGDMAESIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNGEDLTSSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQSAFRAPDMAGLINKINRSSISPLPIVYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYVLRCHNASSNVLPVYPIVNSKDKTKRSPKASGGKGHNDREMGFINRLERVHPIERNVEVHTSYHPNNADVTISTSTEYNPETKMIDHAITGYIVESSTSISGSKDGSTTSESTTCSVCKEDYKIRSAREISNNGFVSKSIDDSLHEKEDIFSVATTKVEDTFWSKGFDNVKAQREDAKLDELRKSTTSSASHSSTDKDNSVDEESSSLVVHPLRVEHDSTESRDYSKKNENHDSFTEKVSHMNCLSSESNDRSNIVAVKEDDIEKLHIICSTQKDDDNVKSNGGDDSDKATDDASLDSPCQERADALESLLELCAQLLKQDKLEELAGVLKPFGKEAVSSRETAIWLTKSLISAQKFNPEN >CAK8543292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596389385:596393253:1 gene:gene-LATHSAT_LOCUS12059 transcript:rna-LATHSAT_LOCUS12059 gene_biotype:protein_coding transcript_biotype:protein_coding MEIENSEMKSKKMEEYEVIEQIGRGAFGSAFLVLHKFEKKRYVLKKIRLAKQGEKFKRTAHQEMNLIAKLNNPYIVNYKDAWVEKEDHVCIITGYCEGGDMAESIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNGEDLTSSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQSAFRAPDMAGLINKINRSSISPLPIVYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYVLRCHNASSNVLPVYPIVNSKDKTKRSPKASGGKGHNDREMGFINRLERVHPIERNVEVHTSYHPNNADVTISTSTEYNPETKMIDHAITGYIVESSTSISGSKDGSTTSESTTCSVCKEDYKIRSAREISNNGFVSKSIDDSLHEKEDIFSVATTKVEDTFWSKGFDNVKAQREDAKLDELRKSTTSSASHSSTDKDNSVDEESSSLVVHPLRVEHDSTESRDYSKKNENHDSFTEKVSHMNCLSSESNDRSNIVAVKEDDIEKLHIICSTQKDDDNVKSNGGDDSDKATDDASLDSPCQERADALESLLELCAQLLKQDKLEELAGVLKPFGKEAVSSRETAIWLTKSLISAQKFNPEN >CAK8567887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:554618470:554619741:1 gene:gene-LATHSAT_LOCUS20902 transcript:rna-LATHSAT_LOCUS20902 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKAIDLCITVPTFFKCPISLDVMKSPVSLCTGVTYDRSSIQRWLDDGNNTCPATMQILKTKDFVPNRTLHSLIQIWTDSVHRRVEPPFLPSVPSKNELLQIIAELAASPGLNPLNRLNRLSLITKAVRFGQDSDQNRAFLAKLDGFVSLMVTFLDNVDGSVEFLEQVLTALGLVLEKIEDREGFKNHVLKVKGKGKNNKCLDSMVLVLRRGSINSRIATARVLEFIALDGESQILIAENEALITELLNLSKPEKDPKLIENCLSCLVAISQPKRNKVKLVKVGGMKTLSTLLTEANTSVKVVEKALKLVESASTTSEGRKEMCDDAACVAAILNKVLKVSNVATGHAVTILWSVCYLFRDQKAQEAVTQANGLTKILLLIQGYCSPQVRQMCTDLLQIFRVNSKSCLSSYETKTSHIMPF >CAK8564751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8150036:8152220:-1 gene:gene-LATHSAT_LOCUS18023 transcript:rna-LATHSAT_LOCUS18023 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNMTLNAINQTTLPQQTLHGFEASVQSQRVDATENKSSLHFKAEFSDSPPQKDNSAPMEDQAEEEGEQRVNGDTIVDGVGGTPPKDGCNWKEYGRQRVKGSEFPRMYYKCTYSNCPVKKKVEFSHEGHITEITYMRAHNHPKLPPSRRSGIGSANPPADMQVDNPTHVEAQGSIANWKSDNLVVTSAASDIVEYDNQFTKLQTQNGIAVEGSSIFSNEEEEDDQGTHGSVSLGYDAEGDESESKRRKLESYAETSRATRVIREPRVVVQTTSEVDILDDGYRWRKYGNKVVKGNPYARGYYRCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAACSSSHVNANNASNAGQGQAPGLLQNHAHRTEPSQIHYGTGWPPLGSFNFHGRQQLAHPHGFSFGMNQHLSNLAMSGLGPSSQMPMNPFMAQQQHQQQQRAANEMAFMLPNGEPNIGANPERFLNMQNGSSSMYRDIMNHMPLGPRV >CAK8566556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:435222507:435222938:1 gene:gene-LATHSAT_LOCUS19678 transcript:rna-LATHSAT_LOCUS19678 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNFIVFIPLLISRNSATTLSPPRLILHLLHIFYLVLPMSGFSQASSRIKSHSNFKSNHGKCLCGLEAPLMTSWTDSNPRRCFYGCGMYKLVGQKRCGHFVWYDEEMTQEQKK >CAK8571486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429738512:429740932:-1 gene:gene-LATHSAT_LOCUS24148 transcript:rna-LATHSAT_LOCUS24148 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATYLRLRRYASLNSLSLLHFHNSPFSTVRCSSSSSSSSSPSSSGTTKPDKKLGDRLSSVIDAVNDRKLPPELRGQRNNVRSETDLINVVEQRIWHSMEEGQFENLPGKGKPLKLDTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRSRISQWRMSLKKVWENKCSGDQSMWDGNSEVLKSQLKEINDKVFRYNLIVPFGRQMSGLKWEKELGYLEE >CAK8530533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28172129:28172845:-1 gene:gene-LATHSAT_LOCUS391 transcript:rna-LATHSAT_LOCUS391 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLKKRDNLWILTLTGDDQNRLNPTLIDSLLSTLTNLASQSTPGSVLITTATGKFFSNGFDLPWARAAGSESAAMDRLRSMVESFKPVAAALISLPMPTIAAINGHASAAGLFLAMCHDYVMMRSDRGVLYMPEVNLALTIPDYFAVVMREKIKSSAVLRDVLLAGVKIKGKEAVKMGIVDSAHDSAESTVEAAVRLGEELAQKKWVGEVYAEIRKSLYPDSCKVLGLAPKSLISKI >CAK8562858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548842745:548844544:-1 gene:gene-LATHSAT_LOCUS16321 transcript:rna-LATHSAT_LOCUS16321 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPCSGGTCSQPCFHGCFPSPFLASDASQNNTSNSNKNDLTAATSSSLYPNTQFTNHESLPLLQDSYNDFIKSFPQFSTTFEIDQIRAQEYLHLNNNLSSICFDYTGYGLFSYSQRQKSCPAASSSSSSSSTPYLNLEQSFFDVSIKSMSLKSQILYRGQESELESRIRERIMTFMNVSETDYALVFIANEVSAFKLVADSFHFQSKGSELLTVYDHSNEALEMMIDSCKKQGVKILSAEFTWPNLGMEWRKLKKMIKCKKEKRKSGLFVFPLYSKATGSPYSYFWMSMAQENGWHVLLDLCALRPKEMDTLGLSMFKPDFMVCSFYKIFGENPSGFGCLFVKKSSLSSLKDLGNATSIGIISLTPNNTEKPNSELPESSTSNARINEEASSSSSKSEIVELQGMNKKDERMEIECRGLDHADKVGLIVISTRTRYLINWLVNALMSLQHPHDENRFSLIRIYGPRINSHRGSTVAFNIFDWKGEKIDPALVQKLADRNNISLSRSCLRNIKFLDKNREERQWALESKATEDEKMGLSKKKKSPYENGIVVLNVTLGFLTNFEDVYRLWAFVSRFLDADFVEKERWRYIALNQKTIEV >CAK8570065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22824616:22827324:-1 gene:gene-LATHSAT_LOCUS22849 transcript:rna-LATHSAT_LOCUS22849 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLIMFAALALLVEAVTSDHHPQQALPGCKSTCGDIQVPYPFGIGNSTTQDHTPCYMNSKFILTCKNNSKLIRGQNIPVLDINPLGQMVFSFAVSYFCANGSSKSTANSPFLRVPSLTISSTENKFITVGCDSYGYLNSNFKGAIYSTGCLTRCYDYDPKMVIGNNTGKCTGLGCCQVDIPPLMRNITIQSSQFPDSTPSQKHYCSYSFIAKQGSYSFSVDHLNNLPNKRFPMVVNWAVSSESCQIAQNTVNYACKENSECVDKDSDYDGYRCKCLKGFEGNPYLPGGCSDIDECATEYHGCKSKANCNNTFGNYTCFCPEGQTGDGTEGGGCQLVHNENANRIVLPTVLGAGAVIIVLFVMIIFYLINQKRKLTKLKEKFYKQNGGSILEQKLSQRQDSSSSQITHIFKEDELRKATNNFDESLIIGRGGYGTVFKGELEDNRIVAIKKSKTIDESQIEQFINEVDVVSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLSDFIHTEIGKVNNVSWKTRLKIAAEVAGALSYLHSAASIPIIHRDVKSDNILLDGTNTAKVSDFGASRLVPLDQLEVATMVQGTIGYLDPEYMQTSQLTEKSDVYSFGVVLVELLTGEKPFSFGRAEDRRSLAMHFLSCLKKDNVFEVIQDGMLNEENEREIKEVAVLAAKCLRLRGEERPSMKEVAMELEGMRLMDKHSWINEDENIEESRYLLHETSSSIYEPGDSCKPGDYGYDSLKDHVLIALDDGR >CAK8534874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779812491:779819803:1 gene:gene-LATHSAT_LOCUS4379 transcript:rna-LATHSAT_LOCUS4379 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEISILHHVGIVLFSLWLLSSFDCCHAAFYFLALIYLYLVHDRYVTRLTKKLQFEERKQSNQRRVLSDSETVRWLNHAVENIWPVCMEQIVSQKILLPIIPWFLDKYKPWTAKEAVVQHLYMGRNPPLITDIRVLRQSDDDHLVMELGMNFLTADDMSAILAVKLRKRLGFGMSTKLHITGMHVEGKVLVGVKFLKTWPFLGRLRVCFVEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFVSPEQEPWFKVDEKDPVAFAKVEIIEAADMKPSDLNGLADPYVKGHLGGYRFRTKIQRKTLAPKWLEEFRIPIITWDSNNVLVIEVRDKDRFYDDILGDCSVNINDFRDGQKYDKWLPLKNVKMGRLHLRITVLEDKEKEADTTCDQEPINIEERIDSFVNETTKKSSFSSVSSDKSLKVADDFEPIEIEGQKKTGVWVHHPGSEVSQTWEPRKGKNRRLDTEIHGELNDISDNLNNPTASGSLNNDSSSPDDSPEDKHRMKTVRKGLHKIGSVFRRSQKKYERSSSIVEDGRTPHDNIRSVNASKGVGVKFVMDDNIAGFPASQVEGGSPERSGCGPESPAKRDVKDMAKNVYKNAEKSARTLKHVLSGKTRKSKGDSVATLPERENESDSSGDEFPSVRSPIEVRTPVFSQPIAPKSGSPKSNLTEVYSVPVNTKPEKACSPEKSNEEFVKFGENEEMVADKRVVSSFAEVKNV >CAK8570049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21970374:21978489:1 gene:gene-LATHSAT_LOCUS22835 transcript:rna-LATHSAT_LOCUS22835 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKVSAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQNQPQPQQSQHQQQQNQQQQQMQMQQMLLQRQHQQQQQQQQQQQQHQQQQQQQPQHQQQQQQQQQQQGRDRAHLLNGGGGANGLVGNPSTANAIATKMYEERLKLPLQRDSLEDAAMKQRFGDQILDPNHASILKSSAATGQPSGQVLHGAAGSMSPQIQARNQQLPGSTPDIKTEINPVLNPRAGGPESLMAIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQNPQPFHQLPMLTPQHQQQLMLAQQNLASPSAGDESRRLRMLLNNRNVGVGKDGLSNPVGDVVSNVGSPLQAGGPPFPRADTDMLMKMKLAQLQHQQQQNANPQQQQLQQHSLSNQQSQSSNHNMHQQDKVGGGGGSVTGDGSMSNSFRGNDQVSKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSGSTHTPGDVISMPSLPHNGSSSKPLMMFGTDGTGTLTSPSNQLWDDKDIELQADVDRFVEDASLDDNVESFLSHDDNDPRDPVGRMDVSKGFTFSEVSSVRASTSKVVCTHFSSDGKLLASGGHDKKAVLWYTDSLKQKATLEEHSSLITDVRFSPTLPRLATSSYDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPNKEDLICSCDYDGEIRYWSINNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGTGSEGECVHELSCNGNKFHSCVFHPTYSSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAVSTVNGLVASASHDKFVKLWK >CAK8570048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21970374:21978489:1 gene:gene-LATHSAT_LOCUS22835 transcript:rna-LATHSAT_LOCUS22835-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKVSAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQNQPQPQQSQHQQQQNQQQQQMQMQQMLLQRQHQQQQQQQQQQQQHQQQQQQQPQHQQQQQQQQQQQGRDRAHLLNGGGGANGLVGNPSTANAIATKMYEERLKLPLQRDSLEDAAMKQRFGDQILDPNHASILKSSAATGQPSGQVLHGAAGSMSPQIQARNQQLPGSTPDIKTEINPVLNPRAGGPESLMAIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQNPQPFHQLPMLTPQHQQQLMLAQQNLASPSAGDESRRLRMLLNNRNVGVGKDGLSNPVGDVVSNVGSPLQAGGPPFPRADTDMLMKMKLAQLQHQQQQNANPQQQQLQQHSLSNQQSQSSNHNMHQQDKVGGGGGSVTGDGSMSNSFRGNDQVSKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSGSTHTPGDVISMPSLPHNGSSSKPLMMFGTDGTGTLTSPSNQLADVDRFVEDASLDDNVESFLSHDDNDPRDPVGRMDVSKGFTFSEVSSVRASTSKVVCTHFSSDGKLLASGGHDKKAVLWYTDSLKQKATLEEHSSLITDVRFSPTLPRLATSSYDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPNKEDLICSCDYDGEIRYWSINNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGTGSEGECVHELSCNGNKFHSCVFHPTYSSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAVSTVNGLVASASHDKFVKLWK >CAK8575863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:342617768:342619099:-1 gene:gene-LATHSAT_LOCUS28091 transcript:rna-LATHSAT_LOCUS28091 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIGARQWPRLIYAIALCTIAFSTFATADDDTPYYGGQYPYNYPQPPYTYKPPSYNNYQSPPPYAYKFPPYNYQSPPPYDNKFPPYYNKVPPSPYVDKFPPYYNKVPPSPYVDKFPPYYYKSPPSPYVDKFPPYYYKSPPLPSPSPPPPYVDKFPPYYYKSPPPPSPSPPPPYVYKSPPPPPYVYNSPPPPPYIYKSPPPPPYVYNSPPPPYVYKSPPPPPYIYPSPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYESPPPPYVYKSPPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYESPPPPYVYKSPPPPSYVYNSPPKPYIYPSPTPSYPSPTPYIYKPPPTPYNPYLYSSPPPPLY >CAK8573053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580307768:580309163:1 gene:gene-LATHSAT_LOCUS25546 transcript:rna-LATHSAT_LOCUS25546 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTPPITTFLSLLILLFMIPITTEGTKKSLYGVTDYHNSLKLFVFGDSYVDTGNFLNFLNSSAYKPPYGITFPRQPSGRFSDGRILTDYIAWSLNIKSPAPYSLRNSSDLQFGINFAYGGTGVFDTFLKGPNMSIQIDKFEELIKQNIYTKSDIDSSVALVSNAGNDYFQYLFRDGKNIKDILVFGASIINQLSSNLKRIHSVGIKKITVGLLEPIGCLPVITKPSSYDKCNETLNMLVMKHNEMLLKTVQDLNKESGSVFVTLDLYKSFVSVIETMQKNSTVENVLQPCCVPENSTYECGSVDDKGEKKYSLCDKPEGSFFWDDFHPSQNGWDAVYKMVQNPLFLI >CAK8544351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679366474:679372134:-1 gene:gene-LATHSAT_LOCUS13039 transcript:rna-LATHSAT_LOCUS13039 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNNAAAETPQNMTIYINNLNEKIKIEELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVIFEDVTSASNALRQMQGFPFYDKPMRIQYARTKSDIIAKADGTFVPREKRKRHDDKGKKRKDQNDANLAGMGINPAYSGAYGTTPALSQIPYPGGAKSMLPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMQALQSFKITPQNPMLITYAKK >CAK8544352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679366474:679372134:-1 gene:gene-LATHSAT_LOCUS13039 transcript:rna-LATHSAT_LOCUS13039-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNNAAAETPQNMTIYINNLNEKIKIEELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVIFEDVTSASNALRQMQGFPFYDKPMRIQYARTKSDIIAKADGTFVPREKRKRHDDKAGKKRKDQNDANLAGMGINPAYSGAYGTTPALSQIPYPGGAKSMLPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMQALQSFKITPQNPMLITYAKK >CAK8579513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705168303:705171020:-1 gene:gene-LATHSAT_LOCUS31455 transcript:rna-LATHSAT_LOCUS31455 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNEIQHFYTLQFHGACSGNPGPAGAGAVLFDEQGSLLYQFRQGLGYATNNVAEYHALIFGMQQALMKECKNLTVRGDSQLVIKQFQGLSRIDNPYLRSLCDEALELSNNFRLFRITYISRVHNTLADAQANLAINLQEGQVEEDRLY >CAK8540312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551486221:551499974:-1 gene:gene-LATHSAT_LOCUS9327 transcript:rna-LATHSAT_LOCUS9327 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKNQRVMMAAFNIQEALVWKEKIEYVIDQHQGAQPSNGNKYISFEYKSGMDNGKTASSSDRESQFSAQEDEDEPSSNLLRRTTIGNGPPESIFDWTREIDSDLSNQNANNQVFSRKHWRLLECQNGLRTFEELLEVDYLPRSYSRAMKAVGVVEASCEEIFELVMSMDGTRFEWDCSFQEGRLVEEVDGHTAILYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHYNCGPQPGCVRAHIESGGYNISPLKPRNGRPRTQVQHLMQIDLKGWGVSYIPSFQLHCLRQLLNSVAGLREWFAQSDERNAPPRIPVMVNMFSTSASSKKSQKTNDISVNSTSHDQNAAHRNSALLDEYSDEDEDFQIAEPEQEAFQIGRESDVRKTEALDEEPGNEIDLSSFSGNLRRDDRDNARDCWKISDGNDFRVRSKNFCYDKSKVPAGKHLLDLVAVDWFKDSKRMDHVARRHGCAAQVASEKGFFSIIINLQVPASSHYSMVFYFVTKELVPGTLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANSSDELPERLIGAVRVSHLELKSAIVPKLDPDPS >CAK8540313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551486221:551499974:-1 gene:gene-LATHSAT_LOCUS9327 transcript:rna-LATHSAT_LOCUS9327-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKNQRVMQMAAFNIQEALVWKEKIEYVIDQHQGAQPSNGNKYISFEYKSGMDNGKTASSSDRESQFSAQEDEDEPSSNLLRRTTIGNGPPESIFDWTREIDSDLSNQNANNQVFSRKHWRLLECQNGLRTFEELLEVDYLPRSYSRAMKAVGVVEASCEEIFELVMSMDGTRFEWDCSFQEGRLVEEVDGHTAILYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHYNCGPQPGCVRAHIESGGYNISPLKPRNGRPRTQVQHLMQIDLKGWGVSYIPSFQLHCLRQLLNSVAGLREWFAQSDERNAPPRIPVMVNMFSTSASSKKSQKTNDISVNSTSHDQNAAHRNSALLDEYSDEDEDFQIAEPEQEAFQIGRESDVRKTEALDEEPGNEIDLSSFSGNLRRDDRDNARDCWKISDGNDFRVRSKNFCYDKSKVPAGKHLLDLVAVDWFKDSKRMDHVARRHGCAAQVASEKGFFSIIINLQVPASSHYSMVFYFVTKELVPGTLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANSSDELPERLIGAVRVSHLELKSAIVPKLDPDPS >CAK8537082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78362338:78363911:1 gene:gene-LATHSAT_LOCUS6398 transcript:rna-LATHSAT_LOCUS6398 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKASSSPSHPLTNNFFPCSVIDAQKGDPLAFGSYWKQMNDECTVVIKGWELMSYYSDSSNMCWFMLPELKDAIERIHHLVGNAVTKDRYIVVGNGSSQLFHASLFALSPLDVPDHPVNVVAAAPYYSEYKNVINIMHSRMFQWGGDAAAYDKNEPYIEVVTSPNNPDGTLREPVVNSIAEGKLIHDVAYYWPQFTPITHEADNDVMLFTFSKCTGHAGSRIGWAIVKDIEVAKKMATFVQSSSMGVSKESQTRAAKIIGVVCDGYQNFKSSESELFFEYSKRLMKERWEKFREAVEQSMVFSVAKYPKAYCNFTKEISETYPSFAWMKCEEGGENGHDYLRKLNISSREGERFGVDSKFVRINMLGVDDDFNELVKRLSNVKIE >CAK8534458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728256443:728264914:1 gene:gene-LATHSAT_LOCUS4003 transcript:rna-LATHSAT_LOCUS4003 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGGGGSEEKGMFEYFGWVYHLGVNSIAHEFCHLRFLFIRGKYVSMYKRDPHENPGIKPIRQGVIGPTLMVEELGRRKVNNGDFYVIRFYNRLDETKKGEVACATAGEAQRWMEAFEQAKNQAEYELSVGVSARDKLNQETEINLEAHRPRMRRYASGLRKFIKIGQGPETLLRLSSKVFGSSDGLEGDTGDAFDPHQWKCVRTMSGIRIFEDITSHKNGKGVLAKSMGVIDATADNVFEVFLNTDRRKRYEWDMLMGDLELVESYDGHYDVVYGTYDPKYLTRWHSKRDFIFSRQWFHAQDGTYTILQFPAIHKKKPPRLGYRRTKINPSTWEIRNLNTPMGSNLPRCLVTHTLEIHSTNWHRWKNSQCTKFEKSIPYALLCQVAGLKEYIGANPALQQDTVTVVHSNISSISLSRAEYENAEVQDEFYDAMSSESSTSDEESDEDDDRENKDGKVKLKNISWAITDLPLKKTSALSLCKELDADVSPIQIDANDFHGSLRKGNDDNDTDCWTSPSGEGFKIRGKNYLKDNSKVVGGDPLLKLVAIDWITVDKPLDKVALHGRSMVQSEAGKKLPFILVFNLQVPAKPNYSLVLYYASERPINKDSLLAKFLDGDDMFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFKQDNFLEIDVDIGSSSVARSVVGLVLGYVTSLVVDLAILIEAKEESELPEYILGTVRLNRLRPESAVPFEV >CAK8573419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611225915:611226799:-1 gene:gene-LATHSAT_LOCUS25866 transcript:rna-LATHSAT_LOCUS25866 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTQVSILLLSFSLISLFKYSHAAGIAVYWGQDGREGSLAEACNTNNYQFVNIAFLSTFGNRQTPQLNLAGHCTPSINDCTKFSSEIQTCQGKGIKVLLSLGGARGSYSLNTSDEATQLATYLWNNFLGGTSSSRPLGDAVLDGIDFDIEAGNGQHWDELAKALNRSSAQKRVYLSAAPQCPYTDAHLDLAIKTGLFDYVWVQFYNNGQCQYSNGNIDNLVKAWNQWTTSQAKQVFLGVPANNTASGFVPSDVLKSQILPAIKSSPKYGGVMIWNKFEDGKSGYSNAIKGSV >CAK8572288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526738347:526739039:-1 gene:gene-LATHSAT_LOCUS24870 transcript:rna-LATHSAT_LOCUS24870 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRGRGRGRPRLVPPSTPIPTVTDQHTMEEENDVEDKTLTETEMVDCGSQVGEEKRATDTETLNHLPSEETNNDAEASQMKKLWVDIINENRNPAKGLTMEFVAPKIVDGEMEIQIEEEDVEKEVKFWEFALIMYVLGVDLSMNAMKQFMSKTWNFVKLPDMFYNEEGYFILRFHSFQDKDLVLMKGPYSIHNRPMMLREWKPDFSMNKDMLRTIPLWVKLPQLPLHL >CAK8533132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581857746:581858708:1 gene:gene-LATHSAT_LOCUS2780 transcript:rna-LATHSAT_LOCUS2780 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSTTTNLRLSGKIAIVTGGASGIGEATARVFANEGVRHVVIADIQDELGNQVAMSIGNERCTFIHCNVANEDEVQNLIQSTVNTYGQVDIMFSNAGIFSPTEQSVMELDMSQLDHLFAINVRGMALCVKHAARAMVEGSVRGSIVCTASIHGREGGSRSTDYTMSKHAVLGLMRAASVQLATHGIRVNSVSPNGLATPLTCKLLGMSKENAQQVYKNLARLEGVVLTPKHVADAVLFLVSNEAEFITGLDLWVDGGFVPNDLCRVVDNARPQP >CAK8538391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480956392:480956826:-1 gene:gene-LATHSAT_LOCUS7600 transcript:rna-LATHSAT_LOCUS7600 gene_biotype:protein_coding transcript_biotype:protein_coding METKLSIFLCFYALLLVFVVTITPFEDEKQYGETKEPKKDIRINGYLHNLGKGKDIRIISFLEGRKEDGAGNVVKFPGAGNVVKFPSGGRWGYGGKVINLPEVGKGGRRNIIIFPGVD >CAK8543663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630342452:630346655:1 gene:gene-LATHSAT_LOCUS12408 transcript:rna-LATHSAT_LOCUS12408-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTNLGIHESKVKPVLKKLIKLYEGNWELIEADNYTALVDAIFDVEDNQQEDNQEGEVEAEAPGPVQQVRPLKRLRLRDQDGQFSRLPTSGGSPSSAVSPVESHLPTSGGGPSSAGSPVKTRLQTSGVPESGSELQPQSPSVLSRRNAVVDKGKKPISPLDTSRRRKSISDRSPSKEQEVEPGTSPSPKIKTPHPYPFIIPKAEPVDGDADYEVPISVVFPEPLSAEEQDDNNAVASQCRNENVEDEAPVASQVPVSLPSPCDPDDITKGEEKVKIPWVNNSTNDFLPLFHYMPQNTVFQDAYLNISLSRIGNKDCCACMGNCVKSSKPCFCANKTGGDFTYTAQGVVKEEFLEECIANSRTQSFTYCKECPFETSKNDGFLEPCKGHLKRKFIKECWSKCGCGNHCGNRIVQRGITCNLQVFLTSDGKGWGLRTLEELPKGAFVCEFVGEILTVKELHERNKARKYTLPILLDAEWSSGVVKDNQALCLYAASYGNAARFINHRCLDANLIEIPVEVESPSHHYYHIAFFTSRKIEAQEELTWDYGIDFDEDDQSLELFKCKCGSRFCRILSEQIEQLDHSMLRDR >CAK8543664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630342452:630346655:1 gene:gene-LATHSAT_LOCUS12408 transcript:rna-LATHSAT_LOCUS12408 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTNLGIHESKVKPVLKKLIKLYEGNWELIEADNYTALVDAIFDVEDNQQEDNQEGEVEAEAPGPVQQVRPLKRLRLRDQDGQFSRLPTSGGSPSSAVSPVESHLPTSGGGPSSAGSPVKTRLQTSGGSPTSSGSPVKTRLQTSGGSRTSPASSPLKSRLQTSGGSPRSPASSPLKSRLQTSGGSPRSPASSPLKSPKPIPGTVPESGSELQPQSPSVLSRRNAVVDKGKKPISPLDTSRRRKSISDRSPSKEQEVEPGTSPSPKIKTPHPYPFIIPKAEPVDGDADYEVPISVVFPEPLSAEEQDDNNAVASQCRNENVEDEAPVASQVPVSLPSPCDPDDITKGEEKVKIPWVNNSTNDFLPLFHYMPQNTVFQDAYLNISLSRIGNKDCCACMGNCVKSSKPCFCANKTGGDFTYTAQGVVKEEFLEECIANSRTQSFTYCKECPFETSKNDGFLEPCKGHLKRKFIKECWSKCGCGNHCGNRIVQRGITCNLQVFLTSDGKGWGLRTLEELPKGAFVCEFVGEILTVKELHERNKARKYTLPILLDAEWSSGVVKDNQALCLYAASYGNAARFINHRCLDANLIEIPVEVESPSHHYYHIAFFTSRKIEAQEELTWDYGIDFDEDDQSLELFKCKCGSRFCRILSEQIEQLDHSMLRDR >CAK8537819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438912311:438913546:-1 gene:gene-LATHSAT_LOCUS7073 transcript:rna-LATHSAT_LOCUS7073 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEYAVKDIKNKYTNVSKNLSTAEIAGINYLGETSNAREDGSFEEKHNEIELEKPKSPPTPEKGRKLWADVLKDNRNPAKGRTMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMEADLSMNAVKNFMARSWNFVQLPDMYFNDEGYFILWFKSFTDRDEVMLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLSQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITITDPAGEKMQQSIEYEWKPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNKGPIEVGNVNIDIVHIRKGNASSTAAETIRNKDVETVMDKWAEVITSGRDRGKKKGNVRAAGVLSYDNGFEALEILKDLLEAQNTGQ >CAK8537553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:394364189:394367910:-1 gene:gene-LATHSAT_LOCUS6842 transcript:rna-LATHSAT_LOCUS6842 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEAVVFPQRTFTYGCKDYLYSLVETVARSEESCNNNYSFQTEEEQDLYGIIKKNFDSSSPSVVQNGKNQWDSNSSPETSNLPPSFIVEEGAAVPVSRRRKRRHTAIAKNEEEIETQRMTHIAVERNRRKQMNEYLAVLRSLMPRSYAKRGDQASIVGGAINFLKELEHIIQSMKGQKKTKAQQAHENVFSNSSSSSPFADFFMFPQYSTCAPQTNTCYPANPSQSRAMADIEVTLVDSHANIKILLKKQHGQIMKMVVGIQNLGLNILHLNVSTMDHLVLVSVSVKVEEGCELNTVDEIAAAVNQLSLRIQAEAVL >CAK8542895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:556262708:556268817:-1 gene:gene-LATHSAT_LOCUS11693 transcript:rna-LATHSAT_LOCUS11693 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEMKMFKEGINLILNFWWRIRALDEDRKRPYFSKRAFELRNGIYKRFVEYESKGGELSPRSIQHTLRHFMNLLFNETGEFDNTSFEVSVLLCEMYQECSKGNYDSVEVIGLANISQSRRIMGMKAHLNETNGSESGALQGEHQVKLFREQILELLEELWNSHVYVPPGHPCITTPDEPCITTPQQFADDITFWFTQGKKLITQRYLEWILVNTLSLLPISAPLVGGIVKDVAEKVMAISRKCSNSDINPSKKPRVK >CAK8537050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:67406352:67406738:-1 gene:gene-LATHSAT_LOCUS6367 transcript:rna-LATHSAT_LOCUS6367 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRQRLEKRGRATCRETCTCGSGRGPQYIVLICVGPRNSSEIIMAQKQIWSGIPLFLVLVMFFNSRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVLGSRGLILIETRGGSLPT >CAK8568138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576052236:576068755:1 gene:gene-LATHSAT_LOCUS21129 transcript:rna-LATHSAT_LOCUS21129 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQPLLCSSRTTAQPTLLASIFSGTGNYPGRTSLAGNFSRRDIRLEFSMQASGSKSSNSGRGSFSETREGLTTNANAYGRAQGEVHKDKAFKVMEARPSWEISPGQTFPLGVSQVDNGINFAIFSQHATAVTLCLVLPKRESIDTLDGGMIELALDPHLNKTGDIWHICIEDLPRSNVLYGYRIDGSQDWGKGHRYDRSIVLVDPYAKLVEGRRYFGDTSKKLSKFLGTYDFDSLPFDWGQNYKLPNIAEKDLVIYEMNVRAFTMDESSGLDNNIRGSYLGVIEKIPHLLELGINAVELLPIFEFDELELQRRPNPRDHMINTWGYSTINFFAPMSRYASAGGGPANASQEFKQMVKALHSANIEVILDVVYNHTNEADDPNPYTTSFRGIDNKVYYMLDDKGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASILCRGTDGSPLNAPPLIRAIAKDAVLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDVRKFIKGDSGTKGSFATRVSGSSDLYRVNKRRPYHGINFVIAHDGFSLYDLVSYNFKHNEANGEGGNDGCNDNFSWNCGFEGETDDTSIRALRSRQMKNFHLALMVSQGTPMMLMGDEYGHTRYGNNNSYGHDSAINFFLWDQLDARKGDHFRFFSNVIKYRHGHKIFSHENFLSENEITWHEDNWDNYESKFLAFTLHDKSGGDVYLAFNAHDYFLKVLLPAPPTKRRWYRVVDTNLESPDDLVLDGVPGIGKTYSIAPYSSILLEAKF >CAK8531185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87490959:87494371:1 gene:gene-LATHSAT_LOCUS993 transcript:rna-LATHSAT_LOCUS993 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLPQPLSPYICHDPKSVVSSKGSSSSSQFRRVVGSVSERSNPKFRVSEDSTMDEHEGSIITSVIGILSGYIGRYVKDDSFRRTIRDKCNSFLFNNDNDNDNDNDNDDDDESFVKMEFCMEKIDKLIEDHQRTMMKSLRNSIEVLTKIASSKSYLSSCAELYLAISYKLLKNDRVSSKHLLQVFCYSPNSARTYLLSDLWEHLFLPHVLHLKIWYTSEFEFLSNEVHVGEKEKKMKLLNKVYNEKMDGGTYLFAMYYKQWLKVSGASVPPLPIVPLPSRPSSRSSRRMSSDSTLSNSSINPNLYKAVFGLKEEKQKPISLGEQTGISTLSKGLEMDKKLYGDDYKYSSVQKEDMLSFGRSSNRIDKNQAQRLDYFKCLSCRFIPTDNSMAKISYIKSKNASSSVLSSELVEAITTICSSNNLTECEFAIRVVTKAWLNSPGDPLIEEALTQTSIIEGILEVLFVSTEDEILELIISILAELIARNDAIRQIILNSDPQLEIFVRLLKSTSLFLKASVLLYLSKPMAKQMISSEWVPLILRVLEFGDKLQTLFTVQCSPQVAAFYILDQLLNGFDEDKNLENARQVLSLGGLTLLMKRVQEGEIHERENSVSMILCCVRAEGSCRTYLAENINKSSLLELVVLGRKQSSSRYALSLLFELLYLDRRTKITNFLRGLKDGWSGLSTIHIFFIYLQKASPDEKPLVAVILLMLDLMEDNHVKGSIYREEAIDAIVASLNCQICDDRVQQQSAKALLLLGSHFSYAGESLMEKLLLQKAGFQEFSLQDSFPIYKEEEEAESWHKKAAYGLFKSGNKKFLSSLANCVDNGIPCLARASLTTISWMSSYLHLVEDSKFSSMAFSILIPHLLQSLNYDNDVEERVLASYSLLHLTKNLGCISTLPSLNKDSITHLRNLSLVTWTANELISIFTKKNI >CAK8562676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524518727:524519806:1 gene:gene-LATHSAT_LOCUS16154 transcript:rna-LATHSAT_LOCUS16154 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSINMQNEDSFAFISEISRVSTSQEHLLQHTPFAGDTRTSQDTPSQFEPEIPISSAPIVFLSPPDTQEVFHTPPEEYSLPSSDVDVPYCPVNQVIDVDSCSQVFVDSEFVDFGKDSELGFLDVRLKNETDADFVPESSHGVERDEHSDEFRVSERGISDLGVSPVKKSKLDFDSLGNSLGAQPSQSVVDDGMINSEEKVESPCNVVGGEKVNVVNNDDFCREIPMDDNSVPEENVETQAVQNAEDVNLVEGNRASGEIGEGSGTCEDTVLRVLPNSIRCLLEKSTATANGLESKKVEEKNNFSVFGVLKILSENGVEEEDDGLTVLEAAKRSGITFPRPIWWPDHMKSELFNFDDQV >CAK8562677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524518745:524519806:1 gene:gene-LATHSAT_LOCUS16154 transcript:rna-LATHSAT_LOCUS16154-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEDSFAFISEISRVSTSQEHLLQHTPFAGDTRTSQDTPSQFEPEIPISSAPIVFLSPPDTQEVFHTPPEEYSLPSSDVDVPYCPVNQVIDVDSCSQVFVDSEFVDFGKDSELGFLDVRLKNETDADFVPESSHGVERDEHSDEFRVSERGISDLGVSPVKKSKLDFDSLGNSLGAQPSQSVVDDGMINSEEKVESPCNVVGGEKVNVVNNDDFCREIPMDDNSVPEENVETQAVQNAEDVNLVEGNRASGEIGEGSGTCEDTVLRVLPNSIRCLLEKSTATANGLESKKVEEKNNFSVFGVLKILSENGVEEEDDGLTVLEAAKRSGITFPRPIWWPDHMKSELFNFDDQV >CAK8541413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157094090:157094386:-1 gene:gene-LATHSAT_LOCUS10334 transcript:rna-LATHSAT_LOCUS10334 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLMDLLEETDREMVLEGSRYVLSDDEDFDDNDKGEDDELGEGAMEGTCCICTVKHKAAAFAPSVHSFCRMCSRELMVAKGNCPLCNNFISEILEIF >CAK8570900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:160181726:160183432:-1 gene:gene-LATHSAT_LOCUS23611 transcript:rna-LATHSAT_LOCUS23611 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGKHGWESTKGFTLQVLTGRWFMVFSSFMIMSVSGASYMFGLYSREIKSVLGYDQSTLTLLSFFKDLGSNIGILSGLLNEVTPPWVVLSIGGVLNFFGYFMIWLAVTRKIPKPAIWNMCLYIFIGANSHCSTNTGALVTSVKNFPGSRGVVIGLLSGYLSLSGAIITQLYYAFYGTDSKSLILLMAWLPTAVTFVFMPVIKHHKRAVQPNDSKAFYNFLYTSLIFAGFLMIMIIVQKCFNFTKSEYYVTTTVMLLLLILPLVIVIMEEQRIWKNKKENINPPKPLNIIMQTHQASGESTKNRTIRRGEDHTILEAIFSLDMMTLFVATICGFGGTLTVVNNLSQIGLSLGYPAHSITTFVSLMAIWIYLGKVTQGVISEFIITKLKLPRPLMLTAILILSCFGHLLIAFNVPNGLYVASIIIGFCFGANWPVLYSIISELFGLKYYSTLYNVGSIANPIGSYLLSVRVAGYLYDKEATKQMAALGLKRKKGDELNCNGSECYRLAFIIITAVSLFGALVSLTLVLRTREFYKGDIYKKFREETRNNENELVVTQNKVQPAKVMDR >CAK8576696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507226965:507227246:-1 gene:gene-LATHSAT_LOCUS28869 transcript:rna-LATHSAT_LOCUS28869 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFPSMIRRASFSANRASSKAVDMPKGYLAVYVGEEMKRFVIPISYLNQPSFQDLLCLAEEEFGYDHPMGGLTIPCTEDVFQHITSCLNKL >CAK8543951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651013294:651013836:-1 gene:gene-LATHSAT_LOCUS12671 transcript:rna-LATHSAT_LOCUS12671 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTYLSLLLIIPTILISHAISQTSNSNLYEAICAGGVAEKHQQERCLKLLESNPQITSAKDYLTLSKAYLEMAIEKATKGKEYLKSLINKYPSSQALNTCATKNYDDLIYGFQLATSVVAGDPDGAHDDVSNGSEGPRTCDQSLANENIVHDPSVSALNDDMVFLCYIGGEAIDAISH >CAK8538088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462135087:462136202:-1 gene:gene-LATHSAT_LOCUS7324 transcript:rna-LATHSAT_LOCUS7324 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAIQLGLFAACVVLFVPMGMAGWHLSRNKVLFFSGALFITLAVGVHLTPYFPSVSDFITSAKSSSVDDVVVVKDRDSCVSLLHEIAWEVLPSKVFDPFLNNNSLNYDKFWSWSRMNSVESCEFQRLKRNDVLDLLNGSWVMVAGDSQARIFTLSLLSLVLDSKRVESAKSFLFKRHSDYHIVVDEMGLKLDFIWAPYPNNLTNVVMEFKQKHLYPDVLVMGSGLWHMLHVINASDYGVLLRLLRNSVTSMLPVSPKFGNDAPAIGSVSSARSPHLFWLGMPTLINSMLNTQKKRERMSDEMQGEYEREVRKSSILREFGGPFQLLDIGSLSLNCGIKCTDDGMHYDDAVYEAELHVMFNALLIESHQKL >CAK8572412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535099675:535100618:1 gene:gene-LATHSAT_LOCUS24983 transcript:rna-LATHSAT_LOCUS24983 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPKRIILMRHGESQGNLDTSAYTTTPDHSIQLTPQGIAQARLAGANLRRIVSGEGCSPDWRLYFYVSPYARTRSTLREVGRAFSKKRVIGVREESRVREQDFANFQVQERMKIIKETRERFGRFFYRFPEGESAADVFDRISGFFESLWRDIDLNRLHLNPSNDLNLVIVSHGLTSRIFLMKWFKWTVEQFEQLNNFGNCEFRVIQQGSGGEYSLAVHHTEEEMLEWGLSPDMIADQKWRATAPRGAWNDQCSWYLDAFFDQLASSSDDDEDTQNEGESES >CAK8577860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594720752:594722964:1 gene:gene-LATHSAT_LOCUS29929 transcript:rna-LATHSAT_LOCUS29929 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLHFLQKFSPNKSSTILAIKEYERVHAYCSFHNKTNCTLSFNQTHISKTVKAKEALHIRHAKALDEVKRLFVSQSTDERLSMVDTIQRLGIEYLFEDEIEATLQRKHMMLRFQNIQGLSQVAFQFRMLRQQGYYIPADIFNIFWDNKGKLKEMFFKDINGLTALFEASQLSIEGEDYLHSAGQFCHKYLNEWSSAFHDHPQVNFVTHTLKCPTHKTLSRFTPTIIQSQNVSWTNSLQQLSKIDTQMVSSLHLKEIFAVSKWWKDLGLSKDLEFARDEPIKWYTWTMACLPDPHFSDERIELTKPLSLIYIIDDLFDIYGNVDQLTLFTEAVKRWDLAGIEQLPDCMKVCFKALYDTTNEFALKTHLKTGWNPISSLIKSWIKLLNAFLQEAKWFASGHVPTSEEYLKNAIVSTGVHVILVHAFFYMGQDITDKTVSIIDDFPTIISTTATMLRLCDDLEGDKDVNCDGNDGSYSKCYMKDNPGVCIAQTREHMSKQISDAWKQLNKECLNTNELPSSFTKLCLNAARMVPIMYSYDGNTPSKLHKYVKSLLYDDCDYLQNI >CAK8576412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:472677274:472678323:1 gene:gene-LATHSAT_LOCUS28600 transcript:rna-LATHSAT_LOCUS28600 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASIPPDTKTESMMAAASSSLSTTSSIFTMHHDIIHTHILTRLDAATLATTASTCSHLRHLCIDDNLWRKICTATWPSLVDPTTCHIISTFPNRHRSLFSDAFPALHHFSCNSNCPSSPPPPEIISAVDIFYKGKPVFSRVRRTETTKNSFLSSPLWIEILEPNELVPTPVKFVRKGEELMRHLEENLCLSWILIDPIGKRSANVSSRKPVLVRRHWLTRDVEILFAVTMAGEARRATERVQCMVKVTCCGKVGGELHVREVNLVMEDMEGGKVSGKEGVVILQKAMEFGERKKVGEVGEMIERFDTFLGMIRERREKKYRRQKERDGVSMVVAFIVCVWFCYLAGF >CAK8575600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:200723731:200724597:1 gene:gene-LATHSAT_LOCUS27851 transcript:rna-LATHSAT_LOCUS27851 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMLRSKPTNTLSSTARSFFLGGTRCNAPDANSCMCHEDETCVSMRQQRKNEGLLAPNPAYIVSNTPYQVEGNQENGTGSHKVQGAGSVQQVSFTSCRSSKSDSVKYASMADDFQNHVTHLPPLDSDQFYRAGIAAVIFISDLVHGKLLLSDGMGILSYSKNCMVEPLSAICNIKSSNVKQIRKEDFTSVHPKPPVSTQPGPSNNVTSYHGSKGKGDKSKISKGFKHVASSITEKSEVTPSVPFNNHNSRPLPPQRTRTCSNQFVTKLGSNRPASLDFIPCCFPRRG >CAK8561056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83572007:83572369:-1 gene:gene-LATHSAT_LOCUS14680 transcript:rna-LATHSAT_LOCUS14680 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKEEFVRGVKKFVKKALNQPICMYEGGIRCPCINCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGEFELNIDTRGGSNSSEHVHKADQFEAMDQMVYDAFRPH >CAK8536960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45598867:45610673:1 gene:gene-LATHSAT_LOCUS6283 transcript:rna-LATHSAT_LOCUS6283 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSTLSIYSHPQQRLRLRHNRSQYLAVTAVQIVWLPPLKRRSAFALGVSSRSFVVRAGSSVEGTSARASGSRRVYRESQANATLSVATVKQITTAVAPFGVILAVSFVIWKLAEKLLAPTPKQSKSPAAESQSSSQGLKWSFAAGTNLLSQLGVKIDRQSQQKLNEFARELRTFPFIDMSGRNFGDEGLFFLAESLAFNQTAEEVSFAANGITAAGMKAFDGVLQSNITLKTLDLSGNPVGDEGAKSLCDILMGNSTIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNLIEYSGFTSLAGALLENNSIRNIHLNGNYGGALGANGLAKGLEGNKSLRELHLHGNSIGDEGIRSLMTGLASHKGKLSLLDIGNNSLTAKGAFCVAGYIKKIKSLLWLNIYMNDIGDEGAEKIADALKENRSITTLDLGGNNIHAVGVGAVAKVLKDNSVITTLELSYNPIGPDGAKALGEVIKFHGNIKILKLGWCQIGAKGAEFIADALKYNTTISVLDLRANGLRDEGALCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNEDVAVTSLNLGSNFLTKFGQSALTDAKDHVFEMTEKEIAIFF >CAK8543316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599838969:599839991:-1 gene:gene-LATHSAT_LOCUS12081 transcript:rna-LATHSAT_LOCUS12081 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMFSGAEIVLSFLSLLLLSPVAFSEKCHPHDKKVLLRIKQELNNPYVITTWDPKTDCCHWYCVKCDLKTHRITDVFIQSSVPDTNLSAHVPPSVGDLPYLQSLQFHKFPGLTGPIPPTIAKLKNLKYLFIEHTNVSGSIPSFLSQLKNLQLLHLSTNNLTGSIPSSLSQLPNLESLHLDRNKLTGPIPESFGSFKKPGPHLILSHNQLSGPIPASLGQIDPGSIDLSRNKLEGDASVLFGSKKRTQVLDVSRNLLSFDLSKVDFPKQSLIWLDLNHNKIFGKIPVALTKVENLQQFNVSYNKLSGEIPQGGELQKRFDVYAYFHNKGLCGSPLPACKK >CAK8559975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2775692:2778935:-1 gene:gene-LATHSAT_LOCUS13699 transcript:rna-LATHSAT_LOCUS13699-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPLKFLCAVQGQGESTHGCTNKNQKDYIVQDSSTLHPENVLRRKFVLSALVTTVVFPTLSSDAKTKNMNPYNEKRVLQQNKNIQKQNNVPDGFPNFIREGFEVKVIAPDDYIKRDSGLIYRDFEVGTGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGSPAKIRMGNKALVPGFEEGIRDMKAGGKRRIIIPPDLGPPVGPSTFFSSKQFEVFDVELLSIQNCERRTIGFYSDVVCN >CAK8559974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2775692:2778977:-1 gene:gene-LATHSAT_LOCUS13699 transcript:rna-LATHSAT_LOCUS13699 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSSPLSSPLLMQSLPLKFLCAVQGQGESTHGCTNKNQKDYIVQDSSTLHPENVLRRKFVLSALVTTVVFPTLSSDAKTKNMNPYNEKRVLQQNKNIQKQNNVPDGFPNFIREGFEVKVIAPDDYIKRDSGLIYRDFEVGTGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGSPAKIRMGNKALVPGFEEGIRDMKAGGKRRIIIPPDLGPPVGPSTFFSSKQFEVFDVELLSIQNCERRTIGFYSDVVCN >CAK8533652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646309786:646311414:-1 gene:gene-LATHSAT_LOCUS3262 transcript:rna-LATHSAT_LOCUS3262 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRFIHLLWCFNLYVAFTFIQVSSENNVHDSKKCDYPAIYNFGDSNSDTGAGYAVFAGVNPPNGISFFGSLSGRSSDGRLIIDFISEELKIPYLSAYLNSVGSNYRHGANFAVGGASIRPGGYSPINLGVQVSQFVLFKSHSKILFNQLSNNRTEPPFRSGLPRNEDFSKALYTFDIGQNDISIGLQHTSEEQVKSSIPDILSQFAQAVKDLYNEGARVFWIHNTGPIGCLPYDIIYYQHKNGNLDANGCVKPHNEIAQEYNRKLKDQVFLLRRKFPLAKFTYVDVYAAKYKLISNAKSLGFVNPMDFCCGSYYGYHINCGKKAIINGTVYGNPCNNPSQHVSWDGIHYSQAANQWIAKQILYGRLSDPPVSAVKACHI >CAK8573817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:639984070:639984416:1 gene:gene-LATHSAT_LOCUS26220 transcript:rna-LATHSAT_LOCUS26220 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTSSLCLIVFFFLLLTFTYATKLGHVSSPIISTNTPYQVLEDEKVNIEENCEGINEDDCLMRRTLVAHTDYIYTQKQKP >CAK8537024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:63055049:63057118:1 gene:gene-LATHSAT_LOCUS6344 transcript:rna-LATHSAT_LOCUS6344 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTTNGSGRRVFNFPAVHPSPNIALSTLLTSLIALSDDISNFQHKFFSSNKRNARNAVRLIHLLQPFLHDIRENHSNLPSPATLCFTELHFIFQKLLFLMEDSTREGARLFMLMESGRVATMYGVLFRSVTTALDIFPFDSVYMSVEAKEDVLLLMKQAREGRFEFEAEDEDVVMCVVNVLKLFEKRVAPEKFDMKRVLDYIGVLKWSEVNKEVKFLDGEIGFEWLNEKKKKVGSLSGLMGFMSYCRCVVMEIVDFEEGESSNKIDTRIETEMILSCVNSDDFRCPISLELMSDPVNIETGHTYDRSSILKWFRSGNAMCPKTGKSLSSIELVPNLVLGKLIQQYCNVNGIPFSDSGRRNRDMTRTMQPGSAAAEGAMKLLAGFLCGCLDNGNVEQKSRAALEARVLTKTSIFSRSCLVEAGSVPLLLLLLASSDSSAQENAIAALLNLSKYTKSRSEMVENWGLEMIVGVLNKGITIKAKQHAAAVLFYLASNADHGNLIGKEPEAIPSLISLIKDGTDRSVKNGLVAIFGLLKSHENHKRILAGQAIPLLVNILKAHEKEDFVTDSLAILATLAEKSDGTMEILRFGALHVAVEVMSPSSTTSRLGKEHCVSLLLSLSMNGGENVVAHLVKSSSLMESLYSQLSEGTSKASKKASSLIRVLHDFYERGSSNYKTSVIPREQFIHVW >CAK8578115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608063143:608064834:1 gene:gene-LATHSAT_LOCUS30154 transcript:rna-LATHSAT_LOCUS30154 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLCFTVVAIALLFSFTSYAADNHESFIQCFKSYSNYNYTSISKVVFTQTHSSYSSVLRFSVQNLRFTSNTTAKPLVIVTPLEVLEIQATIICSQRYDLEIRIRSGGHDYEGLSYVSKVPFVVIDLINLREIQIHLENSNAWVQGGATVGELLYKISQKSKTLGFPAGVCPTVGVSGLISGGGYGFLMRKYGLSADNILDAHIIDVNGRVLDRETMGEDLFWAIRGGGGASFGVIIAWKIKLVHVPSTVTLFNVPKTLEQNATKLIHKWQLVANKLDKDLNIRIILERENSNTQTRKLTIKVTFESLFLGGVDRLIPLMQEKFPELGLVREDCTEMSWIESVLYLAGFTKNQPLEVLLNRTHNGVLFFKAKSDYVRDPIPDIGLEGLWPMFYEDEAEAAVLIFTPYGGKMDEISDSEIPFPHRAGNIYKIQHMVFWKDEGDEVEKRYINWMRKLYSYMEPFVSKSPRAAYVNYRDLDIGVNNINGFTSYKKASIWGLKYFRNNFKKLAMVKTKVDPLNFFRNEQSIPSMSSFDNITTMHSSILPCVEGVQLEFPRAVHAIM >CAK8533643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645302602:645309774:-1 gene:gene-LATHSAT_LOCUS3255 transcript:rna-LATHSAT_LOCUS3255-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERISEKRYPLSAVDYKLYEEVGEGVSASVFRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTAGHSLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATVLREVLKALVYLHAHGHIHRDVKAGNILFDSNGAVKVADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPSSEKLLKHHFFKHARATEYLARTILDGLAPLGDRFKTLKAKEADLLMQNKALYEMEQLSQKEYIRGISAWNFNLEDLKSQAALIQDEDMSIAEKPDTDKKKNDMSIAEKPDTDKKKKDMSIAEKPDTGKKKKDISNDLKVSVEEKSASNHSEGTSTLDKEDGFNNLQDLESSLASFPVKPLQALKGCFDVCGDDDVNNTSPRDLDHSYGRIDNESSGPNKMSPQNAITQPKKFFTSSLQPDNFPKKVTDVDRDYLPTKYPSERNHSGPLLYRQRKDINNFSSVDDTSEGAVVQRRGRFKVTSADPSSMGLSNNFSGPVAGSPSPTPTSPPKQNLMAASILPSLQCILQQNGLQREEIIKLIKHTEQSYAGKNTESIEAGAVDILQAPPATTREKELHLQVIQLQQSIGSLVDELQRQKMKNVQLERQLSSMVNKIEK >CAK8533644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645302602:645309774:-1 gene:gene-LATHSAT_LOCUS3255 transcript:rna-LATHSAT_LOCUS3255 gene_biotype:protein_coding transcript_biotype:protein_coding MERISEKRYPLSAVDYKLYEEVGEGVSASVFRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTAGHSLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATVLREVLKALVYLHAHGHIHRDVKAGNILFDSNGAVKVADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPSSEKLLKHHFFKHARATEYLARTILDGLAPLGDRFKTLKAKEADLLMQNKALYEMEQLSQKEYIRGISAWNFNLEDLKSQAALIQDEDMSIAEKPDTDKKKNDMSIAEKPDTDKKKKDMSIAEKPDTGKKKKDISNDLKVSVEEKSASNHSEGTSTLDKEDGFNNLQDLESSLASFPVKPLQALKGCFDVCGDDDVNNTSPRDLDHSYGRIDNESSGPNKMSPQNAITQPKKFFTSSLQPDNFPKKVTDVDRDYLPTKYPSERNHSGPLLYRQRKDINNFSSVDDTSEGAVVQRRGRFKVTSADPSSMGLSNNFSGPVAGSPSPTPTSPPKQNLMAASILPSLQCILQQNGLQREEIIKLIKHTEQSYGKNTESIEAGAVDILQAPPATTREKELHLQVIQLQQSIGSLVDELQRQKMKNVQLERQLSSMVNKIEK >CAK8542689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538095184:538105041:1 gene:gene-LATHSAT_LOCUS11508 transcript:rna-LATHSAT_LOCUS11508 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTRFLPCVSSPLFRREPPPLLGKLNPAWTQSMSTRFAMSIDRVPATFVEKEKRNTVEVKRGEGGAEEIEKRWEEKEEKQRRSGWKEYLEQSKDLISDDGGPPRWFSPLECCWRSDNSPLMLFLPGIDGVGLGLISHHQKLGRIFDLWCLHIPVADRTSFTDLVKLVEKTVRSEYQRLPNRPIYLVGESLGGCLAMAVAARNRDIDLVLILANPASSFSRSQLQLVTPLLEALPDSFSPALPNILSLTAGDPLRMVLDNFVNGLPLQNTARELIEGFTTLASSLPVLTDILPKETLLWKLKMFKSASDYANSRLYAIKAQTLILCSGNDQLLPSQQEGEKLCKLLPNCQLRKFDDSGHFLLLEGSIDLVTILKGTSYYRRRKYHDYVSDFIPPTPYEAKEVIESNSLITAVTSAVMLSTLEDGTIVKGLAGIPSEGPVLYVGYHMLLGLELVPLVSRILMEREILVRGIAHPMIFRKQKNGRLPEVSSFDTFRIMGAVPVAPSNLFKLLSSKSHVLLYPGGMREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGAVGEDDLGEVVIDYDDLVKVPYFKSEIENLTNEAMPLRAGASGEVANQQVHMPGILPKVPGRFYYYFGKPIETQGRKQELKDREKSQELYFEVKSEVERCIAYLKEKRESDPYRSILSRLLYQATHGFTSDIPSFEI >CAK8542690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538095289:538105041:1 gene:gene-LATHSAT_LOCUS11508 transcript:rna-LATHSAT_LOCUS11508-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFAMSIDRVPATFVEKEKRNTVEVKRGEGGAEEIEKRWEEKEEKQRRSGWKEYLEQSKDLISDDGGPPRWFSPLECCWRSDNSPLMLFLPGIDGVGLGLISHHQKLGRIFDLWCLHIPVADRTSFTDLVKLVEKTVRSEYQRLPNRPIYLVGESLGGCLAMAVAARNRDIDLVLILANPASSFSRSQLQLVTPLLEALPDSFSPALPNILSLTAGDPLRMVLDNFVNGLPLQNTARELIEGFTTLASSLPVLTDILPKETLLWKLKMFKSASDYANSRLYAIKAQTLILCSGNDQLLPSQQEGEKLCKLLPNCQLRKFDDSGHFLLLEGSIDLVTILKGTSYYRRRKYHDYVSDFIPPTPYEAKEVIESNSLITAVTSAVMLSTLEDGTIVKGLAGIPSEGPVLYVGYHMLLGLELVPLVSRILMEREILVRGIAHPMIFRKQKNGRLPEVSSFDTFRIMGAVPVAPSNLFKLLSSKSHVLLYPGGMREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGAVGEDDLGEVVIDYDDLVKVPYFKSEIENLTNEAMPLRAGASGEVANQQVHMPGILPKVPGRFYYYFGKPIETQGRKQELKDREKSQELYFEVKSEVERCIAYLKEKRESDPYRSILSRLLYQATHGFTSDIPSFEI >CAK8542709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539299570:539303286:1 gene:gene-LATHSAT_LOCUS11523 transcript:rna-LATHSAT_LOCUS11523 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPKEERCNPVKKSGPVTMDHVLLASQETKEERDKRIQSLFDFFDKEKFGYLEFAHIEAGLSALEIPSEYKYATDLLNACDANKDGRVDFVEFKKYMDDKELELYRIFQAIDVEHNGSILPEELWEALVRAGIKIDDEELAHFVETVDKDHNGVITFEEWRDFLLLYPHEATIENIYHYLERICMVDIGEHAVIPAGVGKHIHASRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTSARIMPAIKDLWKAGGIVGFFRGNGLNVLKVAPESAIRFYTYEMMKSFIVDAKGGEGKADIGGMGRLLAGGIAGGVAQTAIYPMDLIKTRLQTHACTNGKVPSLGALSKDILVQEGPRAFYRGLIPSILGIIPYAGIDLAAYETLKEMSKKYIIQDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQSSYKGMGDVFRKTLKHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLE >CAK8576740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510544730:510546934:1 gene:gene-LATHSAT_LOCUS28912 transcript:rna-LATHSAT_LOCUS28912 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPMNIAYSGVYQWWYTIGLRTNEDLYTGAIFLLFLSFISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWAGHLVHVAIPGSRGEYVRWNNFLSVLPHPQGLRPFFTGQWNLYAQNPDSSNHLFSTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDILEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNSPALNAGRSIWLPGWLNVINENRNSLFLTTGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDTFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKHVALSIVQARLVGLVHFSVGYIFTYAAFLIASTSGKFG >CAK8538658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490844537:490844959:1 gene:gene-LATHSAT_LOCUS7834 transcript:rna-LATHSAT_LOCUS7834 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHIEIPSRRDTIEPLIKLALSRQTRLIVLSDSGLVSEVNFLYPVICASGIPIERMFRMTSFFGTFVGANTDHFSAHFASTSSPNSHFSIFLSGNEGKVFGEIVGRRVIAASAVFSVAALVKNPTFDRLDINADRNQH >CAK8570260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31866255:31877360:1 gene:gene-LATHSAT_LOCUS23024 transcript:rna-LATHSAT_LOCUS23024 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFGLGSEPVSGILIPSKKREYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTIYHCLEGGVIAVLQSYVDEDKDESFYTVSWACNPNGSPYVVAGGINGIIRVIDAGNEKIHKSFVGHGDSINEIRTQTLRPSLVISASKDESVRLWNVHTGVCILIFAGAGGHRNEVLSVDFHPSDIFKIASCGMDNTVKIWSMKDFWSYVEQSFTWTDIPSKFPTKYVQFPVFNASVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKVKEQAPGEGSVDVLQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIAKLSHAQSKSPIRQTAVSFDGSTILSCCEDGTIWRWDDFLNSEAT >CAK8564843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12191145:12194467:-1 gene:gene-LATHSAT_LOCUS18101 transcript:rna-LATHSAT_LOCUS18101 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENVSETLLNKKYYENCPGCKVDKAKELKTDVTFRNLLNIWMVVLCSSLPIASLFPYLYFMIKDFNVAEREEDISAYAGYVGSAFMFGRSLTSIFWGVVADRYGRKPVAVLGVFSVIIFNTLFGLSTSFWMAVATRFFLGCLNGLLGPMKAYSTEIFREEKQGLGLSTLSAAWGIGLVIGPALGGYLAQPAVKYPHLFPKDSFWDKFPYFLPSLSVSAFAFVVAIACIWLPETLHNHPLSNESIDDAEALESGNRSNSEDKIIQKDENLFLNWQLMSSVIVYSIFSLYNVAYQEVFSLWAVSPKRFGGLDFTTDNLGDVLAISGIGLVVSQLFLYPPLERAFGPVKFARISAVLSIPLLQSYPFIAMLSGITLYLVINIASLLKNVLSMTLITGLFIMQNRAVEQHQRGAANGIAMTIMSIFKAVGPAGGGAVLTWSQKRMHASFLPGTHMVFFVLNVVGGLGVVLMFTPFLREKKKPPPEESDTLT >CAK8578367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624319602:624320912:1 gene:gene-LATHSAT_LOCUS30384 transcript:rna-LATHSAT_LOCUS30384 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIISREFIKPSSPTPPHFRNFPISFIDHITFRYYIPFLFFYNDTKVCDQKSIISHLKNSLSQILSIYYPFAGRFKDQRSIECNDQGVLFLVANVTRTKLSTILENPNEKLFDPLFPDELQWKMMKWNESILVVQINCFACGGIVISLCTCHKIIDATTAFNFVNDWSKLNREQESQSTLTSLLPYDLLYAGDTIFSLGNLPKFPEVGFAIDKTIVCKRFVFEACKIKLLKNLVNSNSRVVENPTRVEVVTALIYKRVVSTLGLNFKTTSLQIAVNLRKRMVPPLSNKCVGNLVWIMFVMNPELHDLVFRIRQGLREFCEVYPKKFGGKEKDLSFICECLKQVTTRVSESDKNQSFIAYASWCKFPMYEADFGWGKPIWVTTSACPAENAVILMDTRDGDGIEVIVSMKENDMVRFECDVELLQYASLNPSIVS >CAK8543093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:576622012:576623266:1 gene:gene-LATHSAT_LOCUS11877 transcript:rna-LATHSAT_LOCUS11877 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGDPGLSPGKPDWKKVVIIFYFLGLLIHHWTVQVQCFWQVSQPEKWGYSTDWKKVVVYFFGTQQMLKYNFELISGTEQSYCF >CAK8536474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944580315:944582528:-1 gene:gene-LATHSAT_LOCUS5840 transcript:rna-LATHSAT_LOCUS5840 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGVPIARSFSRFHYTPLLTTICLRTFPTEASLDKESVTSEILKEFRAIVENAPASSAKVCYAYIDKICKTGNLSAVSQMLQILYNKNIVVSPNVYNLVLVEAIQNNDIDLSFQVLKKLLLSGESPSATSCIKFARAFRYVNHSVELLRFLKEIVSETSCSSISSFINKTIFAFAKSGQKDSALVIFGLLKRQNDSVLDLITYNIVLDILGHMGRVDEMLDVFASLKKIGFVPDTISYNTLINGLGKVGRSDLCFAYFEEMKKSGNKPNLLTYTALIDTFGGAGNIEESLKLFREMKLKGILPSIQIYRSLIHNLTKIEKIELATELLGEMNSSSTSLPGPRDFKTKRRQRKT >CAK8569646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7169429:7173302:1 gene:gene-LATHSAT_LOCUS22474 transcript:rna-LATHSAT_LOCUS22474 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQAIDRLGSRLQGNYYFQEQLSRHRTLMNVFDNAPVVDKDAFIAPSASVIGDVHIGRGSSIWYGCVMRGDTNNISVGSGTNIQDNSLVHVAKSNLNGKVLPTIIGDNVTVGHSSVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVKQNSRIPSGEVWAGNPAKFLRKVTAEEISFISQSAINYSNLAQVHAAENAKPFDEIEFEKTLRKKFARKDEEYDSMLGVVREVPPELILPDNVLPGKQAKVSTQ >CAK8563283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589551427:589552602:1 gene:gene-LATHSAT_LOCUS16709 transcript:rna-LATHSAT_LOCUS16709 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTYLRHHHEPDDDQTLIPLPYWSSPSDFDLDFDLDLYSSDLDFHPHHHHHFSRESFVMDLFQQRVEQSNVIDHTDPIHQSLNDSVFDSLSLDLGLNSTDLYIEDDDFTDTRVSRSDPINGLRIVEIDSESDGEEVDGNGDVDGNGNNVLYGICVHSDEEEFSNVIDDVTNFPFRWDSLQLDDNQIYEDFDWEEVDGRLDGISVGNGNIGNHRVVSAVRDFPYIEEESEEELTLTRVGEGMGSLDWQVLSNSDNLGTSPETYHVIAEPFEDNDDYIYTAEYEMMVGQFIGNDNPSTGRPPASVTVVQNLPLVVVTKEDVDNNNALCAVCKDEFAVGDEVKLLPCSHRYHGDCIVPWLGIRNTCPVCRYEFPTDDADYERRKAPMLARSA >CAK8569438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1063105:1063818:1 gene:gene-LATHSAT_LOCUS22288 transcript:rna-LATHSAT_LOCUS22288 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTTTTPKFTFNEPSLHHSEPWTKRKRSKRSRSCTEDEYLALCLIMLARGTTTSNNRHTSKPLPATTTGDNNIKLSYKCSVCSKEFSSYQALGGHKASHRKNSTGGGDDHSTTSSSANAAVVSGVRSHECSICHKSFPTGQALGGHKRCHYEGGIGGGGGAVTNSEGVGSTHTVSHSQSHRDFDLNIPAFPEFGDNKVGEDEVESPHPVIMMKKKPRVFMVPKIEIPHHQ >CAK8569618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6871636:6873297:1 gene:gene-LATHSAT_LOCUS22450 transcript:rna-LATHSAT_LOCUS22450 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLWGSSQQDQQTKDALAESKIKELKVAIGPLSGNSLKYCTDACLKRYLEARNWNVDKSKKMLVDTLKWRSVYKPEKIRWDEVAEEGETGKVYRAGFHDRHGRTVLILRPGMQNTSSMDNQIKHLVYLLENAMLNLPPGQEQMAWLIDFTGWSITNNVPLKSARETIGILQNHYPERLGIAFLYNPPRIFEAFWKIVKYFLDSKTFQKVKFVYPKNKDSVELMKSYFDEENLPSELGGKSILNYNHEEFSKLMTQDDLKCADFWGSDEKLSNHMHCAAEVAPEVAT >CAK8569619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6871636:6873297:1 gene:gene-LATHSAT_LOCUS22450 transcript:rna-LATHSAT_LOCUS22450-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLWGSSQQDQQTKDALAESKIKELKVAIGPLSGNSLKYCTDACLKRYLEARNWNVDKSKKMLVDTLKWRSVYKPEKIRWDEVAEEGETGKVYRAGFHDRHGRTVLILRPGMQNTSSMDNQIKHLVYLLENAMLNLPPGQEQMAWLIDFTGWSITNNVPLKSARETIGILQNHYPERLGIAFLYNPPRIFEAFWKIVKYFLDSKTFQKVKFVYPKNKDSVELMKSYFDEENLPSELDFWGSDEKLSNHMHCAAEVAPEVAT >CAK8570594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64877967:64878833:1 gene:gene-LATHSAT_LOCUS23331 transcript:rna-LATHSAT_LOCUS23331 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVTNFSGFVLFFPIGIHRLLSSSSFYLQNPSHFRSKLWYFSDPKWKDLDLYLLLIALPFASLSELFLFLSFSHHPTYRFSFFQQSFAVLAFWVLIILIIMIEYVNSFSVTESLVFLFGGVVFLMEYSVMDKGISGVAGVVYGLLGGLTLVCAFTCFYLAVKPTAFFAEFLLSSGLVFKGTWLLQIGFSLYTNTFGLKGCQKISILSLTPQMENVDILCDLDEDSLRGAAMMELLFILHAAVVLILGFGVFGVMASKRNLKCGEVKGPLLSELESTSGRMCDLEMG >CAK8578175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611241730:611244467:1 gene:gene-LATHSAT_LOCUS30213 transcript:rna-LATHSAT_LOCUS30213 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEHTHDHDHKHDGSFVGADGKVYHSHDGLAPHSHEPIYSPGFFSRRAQPLINRDFNERAFTVGIGGPVGTGKTALMLALCQNLRDRYSLAAVTNDIFTKEDGEFLVKHKALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAQAIGADLGVMQRDALRMRDGGPFVFAQVKHNVGVEEIVNHVLQAWEATTGKKRR >CAK8560450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19920765:19921394:1 gene:gene-LATHSAT_LOCUS14119 transcript:rna-LATHSAT_LOCUS14119 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIFFIFAFLSAYTFHAISSANDFCVADLSLPKTLTGYSCKPETNVTVDDFVFSGLVEGKPVAPFNTGLTAATVANLPGLNGLDIAAARVDMGVNGTVPMHYHPESSELLIIVEGEVTVGFITPQKAYVKTLKPSDVIILPKGQLHFLINSGKEKAVIFGALSSSSPTVHVADFLLFGNDLSTSIIAQTTLLDVSQIEKLKAVFGGSG >CAK8560977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:66731340:66733112:-1 gene:gene-LATHSAT_LOCUS14609 transcript:rna-LATHSAT_LOCUS14609 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTTGGGAQKKILNGEIDEGKRVVFVVKEEIERNPLITEAQRRELDHQVFIFNHFAYNIPLPHYLLQFPTLVSEYGCCGSDYRTMLDSEPNRCRRTDGKKWRCGKDTIPNQKYCERHMHRGRNRSRKLVETSVLNSPNLKAKPSNGSTHAKQVPKIESAVSNPNPLVIQHSRAISYTPSRSFCVVNNQSSCNRPRNAISSDATLVTVVTASSILPPAVRPKVTTASNLAPAVRPKVTTASNLAPAVGPKVITFGGTASHTSDNRGRLNVCNQDFSPKSVLQVSGCNDSYLNDRNSIAEPEPGRCRRTDGKRWRCKSAVLPGQKYCATHMHRGAKRRCTNTESSPPSAIATTTAISSDVTIARLPYAETATDIQKADCRIPNTQLTMSVPESAAPSLECKEIRGGRSDTDTSTTITDAVDECSYLSF >CAK8574861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12620193:12620783:-1 gene:gene-LATHSAT_LOCUS27161 transcript:rna-LATHSAT_LOCUS27161 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKVVFYSKGCFIKDLNIRYEKGEVYAFSGQDFDYWSFFEACDLVKLIEPEFDIGGVKMRWKHDEGSFEQDLKPFRGDGDATELAMYAVGNKCEVEIFCEPKVVGEDTFMDKFRERGKGIKCGEDSDRLSKASDDSSDESLRGVHFDDSEEEGIKGFDEGLDEVFDNGVKEELKDGPVANEEVNAHVDKKIFTT >CAK8534083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691899586:691899975:1 gene:gene-LATHSAT_LOCUS3653 transcript:rna-LATHSAT_LOCUS3653 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEEIVHKLASLLLIFLGGMYIVLFLMGKGGHSHSYNQPMKKMAVAGHIRVPALSPCTTTLPVFLVVGNSSSMMVFAIIVLLFSTISVMTSLVALSFYGASQLKFHWVERWRACIEGCFPYERV >CAK8573275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599815567:599822596:-1 gene:gene-LATHSAT_LOCUS25743 transcript:rna-LATHSAT_LOCUS25743 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRSWKATVDGVAVDNVPGESSRHSANGHANNDNEGGNGMAYQSVSANINSNSTLLPLADEFDKHQRESFSFSGLEKVPYGPGMEDVNDGIPRLPRTLSHKSRKTVKVSEVSSLLGRAGTAGLGKAVDVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKESIKHLKEVVLPSDGVQNLVSKDMNELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLERYFEKLGSELSPQRQLKEEAEIVMQQLMTFVQYTAELYHELHALDRFDQDYRRKLQEEDSSNATQRGDSLAILKAELKSQKKHVRNLKKKSLWSKILEEVMEKLVDIVHFLYLEINEAFGSVDMDKQVKDSQGNHKKLGASGLALHYANIITQIDTLVSRSGSVPPNTRDALYQGLPPNVKSALRSKLQSFQVKEELTVPQIKDEMEKTLKWLVPIAANTTKAHHGFGWVGEWANTGSDVKPAGQSDLLRIETLHHADKDITELYILELVVWLHHLVSQVRVGNGGIRSPVKSPIRSPNQKTLQLFTQKGCSTSPLLTIEDQQMLRDVGKRKLTPGISKSQEFETAKTRLSKHHRLSKSSNHSPISESKNDIFCTRRRLPSVPFIDFDIDRIKALDVIDRVDSIGGS >CAK8574193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668756075:668760586:-1 gene:gene-LATHSAT_LOCUS26559 transcript:rna-LATHSAT_LOCUS26559 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWHRIRNSNLKTSYFQFHRCYTHHLPHSSLSNSTPKTFPIPIPYSHPLFNSVRFFAVPVQFQVKPKKEEDDSDGPRLNDQIKANFVRLVLDDGHSVVSRFEALERARKLKFDLVEVDKNAKPPVCKIMDFHKEMYKKKESDKERAKSKSETTMRKECKEVRFSEKTESKDLKMKADMVRKLMDKGYRVKVKATGNADQAMLDSVSRLSTLIEDVCVVESGPHLTKKEAYMIVRHLKYGPAKKGVKKSQDAVQTDVKAEECGVEPLTATSSDSINHKNQSSPEYQFETQEALISRGNKQFPFSADGSDKSVNDRNESVSPPVLENRYKKASHHGEHKVQPNAQVPPAVTENRYRKAEPRNRSQQTTPNTNPVTRDTNRWTPSNSNHPSGSRSPMPSRDNIHPNPRGPNTKSPGYGLFSRDT >CAK8531906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174151170:174151496:1 gene:gene-LATHSAT_LOCUS1664 transcript:rna-LATHSAT_LOCUS1664 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEKEVNTLLLRNFSYSKEKRSFVFVFLQTPIRSRSPLLTGSRLISLPLATKMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLPYAFSFPFE >CAK8536692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7756184:7758338:1 gene:gene-LATHSAT_LOCUS6028 transcript:rna-LATHSAT_LOCUS6028 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLINSPILGTKVSLHSFTPKPKAIIENTHNNSRITMMLKKRVNSDESNKLTTTTTEFDDSLESSTTTTKPCLCGRRLFIEATATATLTATTQSATATNSDSEYTALVNKFHPPKPDWYQKFFAWVLNSFTKSYEAEVARYKSQIFSILKEKKANKILEIGIGTGPNLSYYASDSNVHVVGIDPNPEMEKYARSSATSAGFPLSNFEFIHAVGEVIPLSDASVDAVVGTLVLCSVKDVDLTLKEVTRVLRPGGVYVFVEHVGAKDGTFLRFLQRVLDPLQQTIADGCHLSRDTGESISKAGFSSVELDMAFLSNATFINPHVYGIANK >CAK8536693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7756187:7758338:1 gene:gene-LATHSAT_LOCUS6028 transcript:rna-LATHSAT_LOCUS6028-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLINSPILGTKVSLHSFTPKPKAIIENTHNNSRITMMLKKRVNSDESNKLTTTTTEFDDSLESSTTTTKPCLCGRRLFIEATATATLTATTQSATATNSDSEYTALVNKFHPPKPDWYQKFFAWVLNSFTKSYEAEVARYKSQIFSILKEKKANKILEIGIGTGPNLSYYASDSNVHVVGIDPNPEMEKYARSSATSAGFPLSNFEFIHAVGEVIPLSDASVDAVVGTLVLCSVKDVDLTLKEVTRVLRPGGVYVFVEHVGAKDGTFLRFLQRVLDPLQQTIADGCHLSRDTGESISKAGFSSVELDMAFLSNATFINPHVYGIANK >CAK8559998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3670957:3672889:-1 gene:gene-LATHSAT_LOCUS13721 transcript:rna-LATHSAT_LOCUS13721 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVEYYLPVLVMVLMQLIYSGLTLSTRIALVEGMSPRVFVVYRHAFATLIFGPIAYISSRRNSSSCSLNLRSFSLIFITSLIGVTLYQNLYFEGLYLSSSSVATAMLNLIPGITFVIAAFVGMEKVNIRSLTTIAKIVGTLICICGAMCIALLKGPKLLNAESIPLKSIMATAVGGDENNWLFGCLFLLGSNVSWAVLLILQVPAYANHPNYLSLSAWMCLMATFQSGLVTLFLEPNLNAWKINSLLQLGCCLYAGIMASAVAFCLQAWCIKKRGPLFSAMFSPLGTLIVTVLAALFLHEELYTGSLIGAIGVIIGLYIVLWGKAGDVVDVKEKIDAKFMVNETQEVTFSMNESYGERSSRIDLEEPFLTNVVKIDHSGETSSVV >CAK8535985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895434013:895435260:1 gene:gene-LATHSAT_LOCUS5396 transcript:rna-LATHSAT_LOCUS5396 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTPTNFVSSLNSLLSIITTTLCLIPSQIHSLTLKNDINSLREITRAIDPNSIAPYSYIRSWDFRVDPCESKGPQFLGILCDLPLDNSSSRITAIDLDGIGYEGFLTPAIGNLTELTILNLNNNKFRGPIPETIRNLRKLTRLSVSDNFFTGVIPQEIGELKKLQYIDLSMNRLSGTIPYDMTSLRSLTYLSLSNNNLSGRIHNLTGLWQLDTLDISFNQFFGDLPNLPVSLNNVYFSHNIFSGHVTPLKDLVHLRWLDISNNRLSGAIQRDILSLRRVIHLNVSFNRFTSMDVINYSGEKPQLHLLEAQGNNLRGNLPINLVSFTNLTTINLSNNQFHGVIPIEYGQKLRTLWRQLYLDHNFLTGKLPLEFTHTSTNVKVSLGNNCLNCPTNVVLCRGRQRPGTECPDQHNI >CAK8562330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474427048:474427542:-1 gene:gene-LATHSAT_LOCUS15836 transcript:rna-LATHSAT_LOCUS15836 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSFDSSQVETAKVVVHDGTMKEFSYAVKVSYLLQLYPGCFICDSDEMGFDDVVVAMHEDEVLIPGQLYFALPLDRLKKPLPVREMAALAVKASSALNECGAGEKVGFRRKRIVMFSGEGKGKSARRVSPDVSVSVGRSRSRRNFGGGRGKFVAELSCIPE >CAK8573224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594425456:594425959:1 gene:gene-LATHSAT_LOCUS25696 transcript:rna-LATHSAT_LOCUS25696 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNTTHHLKHIFTTIFLIFLITLTVAATTGGRKVDMASGGAPTGASGSGHGPNWDYNWGWGSAPGSGWGYGSGSGHSPSGFGRGYGFGFGTGTGSGSGYGYGSGSGGAHGGGYGSGSGNSGGGNGGGHGGGYGSGSGGGGVNGVGRANNNKSPSESKGKSKTNHG >CAK8537494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:376858651:376861422:1 gene:gene-LATHSAT_LOCUS6783 transcript:rna-LATHSAT_LOCUS6783 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKHNKVGNELGCGFMERIFNLKSPRLRNSLVHSLPIKNESNLSPKKVKRISNITSLTKNPKRISDTTPRSSTSSSSTGSSTHKRVEQTNNTNDDVKFQIESTQNSLQLARISTIRPRNTENKSVGAKDFAPLKLTGNLLVNNTPRRKSVECLPKHSDLNSMSSFYNSNNARKMVMGNIMRKNSNELAQFLSQRHNSMEPEVLKSMGNEAYKKGDFVEALALYDKAISFDSNKAIYHCNKSAALIGLGRFQEAILECEESIRLDPSYNRAHNRLATIYFRLGEVEKALDCSRSTSCVDSVLAFQAQALQNHINKCIEARKFNEWSVVLKETQSALSLGADSAPRIYAFQTEALLKLLRYEEANAVHDKMPKFPLDWCNNMFGMATSAYILMIGAQVYLASGRFEDAVTTAQKAARVDPSNREMISVLRRARAVTSARVSGNLLFKASKFSEACAVYNEGLDHDPHNSVLLCNRAACRSKLAQYENAIEDCDSALTLHPSYSKARLRRAYCNAKLERWEVAIQDYEMLIREKPGDEEVARALFEAKLQVRILHGEDVKDLKFGWNLVFISSNDRFRHYVTSPGMAVVLFSNKGTHKQVSTVFEQISKRFPSVNFLKVEIEDHPYLAKSEGVSSFPTFKIYKNGSRVKEISGNNHELLERSVKLYSS >CAK8531310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100143376:100143819:1 gene:gene-LATHSAT_LOCUS1112 transcript:rna-LATHSAT_LOCUS1112 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESINQHDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIVACSSIRKDHNMHIPDVFKVLSVFKVYSESFLGLPHHQNWPTYEGFTLCYDETMRRNKKGCPNSTRTKIEMDDLEKEKRRCGISREIGHMRRKCPNVVGPSNRLV >CAK8577887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595839610:595842274:1 gene:gene-LATHSAT_LOCUS29953 transcript:rna-LATHSAT_LOCUS29953-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNQNQRFYTLRFDGACRGNPGPAGAGAVLFDKDGSVLYNFRQGLGYTTNNVAEYRALILGLQQAIMKGCNNIKVQGDSLLVISQVYNTIADAQANLAINLQEGQVEEDCFY >CAK8577886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595839610:595842274:1 gene:gene-LATHSAT_LOCUS29953 transcript:rna-LATHSAT_LOCUS29953 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNQNQRFYTLRFDGACRGNPGPAGAGAVLFDKDGSVLYNFRQGLGYTTNNVAEYRALILGLQQAIMKGCNNIKVQGDSLLVISQFQGSYTINNPRLRSLCNEALELSNNFRPFKIEHISRVYNTIADAQANLAINLQEGQVEEDCFY >CAK8566292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400615342:400619396:-1 gene:gene-LATHSAT_LOCUS19432 transcript:rna-LATHSAT_LOCUS19432 gene_biotype:protein_coding transcript_biotype:protein_coding MENADVFLGLHDFLERMRQPAAADFVKAIKSFIVSFSNNGPDPERDSGAVQNFLANMEAAFKAHPLWSGCSEDELESAGEGLEKYVMTKLFARVFASIPDDVKLDDQLSEKMALIQQFIRPENLDIKPAFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVIGNLLLNASLASKDNPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRFRRQSRLVGEASYYFTNMLSAEAFISNIDAKAISMEETEFERNMEFARALLSGLSVDPQDPNSPYQNHGQNPRSDKNKILSDNKDPALRTPPSATKLESKKVTFADELLITKVPSLSDLENKGASMIIKEDKLNDVFREFPYLFSSVGDLTVGDVEDLLNNYKQLVFKYACLSKGLGVSSTSHLPSNPQNNAQDHAETLFKGLDVSSSSHFPSNPQSNAQDHVETTIDYSGGERPIASNDKFEKFMDTSEDNSDPEEKKSDSGLPQDEAVASEDGAPS >CAK8543824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641591228:641592160:1 gene:gene-LATHSAT_LOCUS12555 transcript:rna-LATHSAT_LOCUS12555 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPSKTTSSPARTDKFPPPLMRFLRTNASSRSRRSRSSPMFVLRKKNNTNTIETTQEPSSPKVTCMGQVRAKRSSKSKSKSKQKQKQPSPTLTHRSWIKKPNSCRCRPVWPKWAFFRRKSPKPKQPDEFVSISISNSNIHEEIDSVDSYNQNQNRNAFASTSNSCSPPKNALLLTRCRSAPYRSSSLASRFWSSPLRNEETESTSDNEKSSSQSLNRDSVSDKEDSIGTQTGRIGSIRDLENVEELLLKGRVKKEEDEESAVARPVVLTRCKSEPARVDYRIDPEVNNLWKKTRLGFAVTSSPLHILSD >CAK8541416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:157215976:157216272:1 gene:gene-LATHSAT_LOCUS10337 transcript:rna-LATHSAT_LOCUS10337 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLMDLPEETDREMGLEGSRYVLSDDEEFDDDDKGEDDELGEGAMEGTCCICTVKHKGAAFAPSVHSFCGMCSRELMVAKGNYPLCNNFISEIFEIF >CAK8560330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14534853:14539776:-1 gene:gene-LATHSAT_LOCUS14014 transcript:rna-LATHSAT_LOCUS14014 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKLNVLQLVMILATSLIAQHEASVSYDYKAITINGQRRILLSGSIHYPRSTPQMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVQQAGLYVHLRVGPYACAEWNFGGFPVWLKYIPGISFRTDNGPFKFQMQRFTTKIVNIMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYTQWAAHMAVGLSTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTGFGGPVPHRPAEDLAFSIAKFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLKQPKWGHLRDLHRAIKLCEPALVSADPTVLRLGNYEEAHVFRSKSGACAAFLANYNPRSYATVAFGNQHYNLPPWSISILPNCKHTVYNTARVGSQSTEMKMSRVPIHGGLSWQAFNEETTTTDDSSFTVTGLLEQINATRDLSDYLWYSTDVVINSNEGFFRNGKDPVLTVLSAGHALHVFINGQLSGTVYGSVEFPKLTFSEGVKLRAGVNKISLLSVAVGLPNVGPHFETWNAGVLGPISLNGLNEGRRDLTWQKWSYKVGLKGEALSLHSLSGTSSVDWLQGYLVSRKQPLTWYKTTFDAPLGVAPLALDMISMGKGQVWLNGQHLGRYWPAYKASGSCEYCNYAGTYNEKKCGTNCGEASQRWYHVPHSWLKPTGNLLVMFEELGGNPNGVFLVRRDIDSVCADIYEWQPNLVSYEMQASGKVTVPVSPKAHLACGPGQKISSIKFASFGTPVGSCGNYREGGCHAHKSYDAFQTNCIGQSSCAVTVSPEIFGGDPCPNVMKKLSVEAICT >CAK8562107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440879153:440880424:1 gene:gene-LATHSAT_LOCUS15633 transcript:rna-LATHSAT_LOCUS15633 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARTLDHLSQEKTLQSSFVRDESERPKVSYNDFSNDIPIISLAGIDDVDGRRTEICKKIVEACENWGIFQVVDHGVDSNLISEMTRFAKMFFDLSSEEKLQFDMSGGKRGGFNVSSHLQGEAVKDWREIMIYFSYPISQRDYSRWPNKPEGWKEVTEQYSEMLMSLGCKLLEVLSEAMGLEKEALTKACVDMDQKVVVNYYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDDGKTWITVKPVEGAFVVNIGDHGHYLSNGRFRNADHQAVVNSNYSRLSIATFQNPAPDATVYPLKIREGEESVMEEPITFAEMYRRKMSKDLEIARMKKMGKEEKELRDLQKAKLEAKSLNEILA >CAK8560234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11414716:11415822:-1 gene:gene-LATHSAT_LOCUS13925 transcript:rna-LATHSAT_LOCUS13925 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHKTASIGSSGTLTMSGPLVGATEKTIRCRRAEAMQLILRALCMATSVVSLSLMITAEQNSSVSIYAFRLPVHSKWSFSPSYEFVVGVSVAVAAHSLLQLLIGTSRFLRASSVIPSRNHAWVLFAGDQAFAYALMSAGSAASGVTNLNRTGIRHTPLPNFCKPLQKFCDHVAISIAFTFISCFLLATSAVHDVIWLTQH >CAK8560235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11414716:11415774:-1 gene:gene-LATHSAT_LOCUS13925 transcript:rna-LATHSAT_LOCUS13925-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLVGATEKTIRCRRAEAMQLILRALCMATSVVSLSLMITAEQNSSVSIYAFRLPVHSKWSFSPSYEFVVGVSVAVAAHSLLQLLIGTSRFLRASSVIPSRNHAWVLFAGDQAFAYALMSAGSAASGVTNLNRTGIRHTPLPNFCKPLQKFCDHVAISIAFTFISCFLLATSAVHDVIWLTQH >CAK8562420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:487361254:487361892:-1 gene:gene-LATHSAT_LOCUS15916 transcript:rna-LATHSAT_LOCUS15916 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALRSLFVLILIFCEPTDVRSLWNEFFTHMTEDYQTTNNVVESVLTNMLLKDLNELLNLHGKKIEDYDLPYLPLDTVQGDSIPNVIQEDLVVDIPNEDIEFVAKLNNDQVIMFKTIMNVIDQKHSGVFFVDDPGGTGKTFLYRALMASLRSRGEIILATASSSIAATLLPDGRTAHSRFKIPINIQLSSIYGIQKKNDLANLIRVSAAII >CAK8542574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526741111:526743137:-1 gene:gene-LATHSAT_LOCUS11402 transcript:rna-LATHSAT_LOCUS11402 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTIHCLVIPFPAHGHTNPMLEFSKLIQNQGVKLTLVSPLSCYKNLPKLPSSITTETIFDGFDEDGLTVPKSFKLYMNKFWEVGPESLAGLINNLNGRSNNHVDCVIYDSFMPWCLDVAKRFGIIGASFLTQNLVMNSIYYHVHLGKLKPPFAEQVISLPALPPLQHKDMPSFYFTYEQDPSLLDLVVAQFSNIEKADWILCNSFFELEKEVGDWTMKTWSNFRTIGPSIPKTFLDNKTKDDSDYGVSESKSEECIEWLNNKPKRSVVYASFGSLAALNEEQIEEVAHCLKDCGSNFLWVVKTSEETKLPKEFEKKSENGLVVAWCPQLKVLAHEAIGCFVTHCGWNSSLEALSLGVPVVAVPLWSDQSINAKLIVEVWKVGIRACVDEKEIVRKEVLKSCICEIMESEKSNEIMNNVVQWKNLAAKAVGEDGSSYKNMIEFVESLFHHSTIN >CAK8542655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535253820:535256621:1 gene:gene-LATHSAT_LOCUS11477 transcript:rna-LATHSAT_LOCUS11477 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDLRSLFRFQSISTRFQDLSRWRPGFSAMTSAHFSSISSPLIHKKNEEEFGQREVQLDSHTMSSHGYAVARVHMHDWIMLLFLVLIEITLYIIHPFYRFVGKEMMTDLKYPLKSNTVPVWAVPMLAIVLPIVIFVVVYFRRRDIYDLHHAILGLLFSILVTAVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGDVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKLKAFDRKGHVAKLCIIFLPLLAASLVAVSRVDDYWHHWQDVFAGGLIGLVVATFCYLQFFPPPHHPEGWGPYAYFKMLEESRGMAQGPNSQNGSQEAQSENQHGQSQHGCIGLSLAENQTSTLEDELESGRR >CAK8542656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535253820:535256621:1 gene:gene-LATHSAT_LOCUS11477 transcript:rna-LATHSAT_LOCUS11477-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDLRSLFRFQSISTRFQEFGQREVQLDSHTMSSHGYAVARVHMHDWIMLLFLVLIEITLYIIHPFYRFVGKEMMTDLKYPLKSNTVPVWAVPMLAIVLPIVIFVVVYFRRRDIYDLHHAILGLLFSILVTAVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGDVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKLKAFDRKGHVAKLCIIFLPLLAASLVAVSRVDDYWHHWQDVFAGGLIGLVVATFCYLQFFPPPHHPEGWGPYAYFKMLEESRGMAQGPNSQNGSQEAQSENQHGQSQHGCIGLSLAENQTSTLEDELESGRR >CAK8567016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480173446:480180539:-1 gene:gene-LATHSAT_LOCUS20107 transcript:rna-LATHSAT_LOCUS20107 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGSRVSIPSGVRKTIQNIKEITGNHSDDEIYAMLKECEMDPNETTQKLLFQDTFHEVKRKKDRRKENVNNRETSESHSRPGTQGRGVRGGRGNFAPHRIVHDARGGKSSGTGKDNGSNQETSKGVPPLPGSQETKSREKSSGTSTVPAIANGPTTVSSGTTNVNSSPSSTGNVDRISPSDGGNITVGKDFPSDSSNKGAKVVIGSESVPSISDGLGTRPASSSAVCFSSSDPVLVPSNDSRFPGAVGAIKREVGGQRPPAELNVANTSENKSSASETFQGKSQRKSPAVAKNHVPEVSSSSTVIHGTTSVSRPSSNYNNRSQQISGLQKAGSIKEWKPKPTHAINQASGPATVSESPVVSVEATIQLPPVSKVLDSEEAASELQKKLENLSAPPRQHVILPNHILVPESEKSKFSFGSLGINFGVTTNYVTSPESEKSSTLSKVSQAVEETAAEQNSSNQNASVPPVVGDYSDNPQPLPATIPENLSSSVEVDVSSSNIQEDNESKHVTTMPSEGNEYPVVHTSPNYNLGFMPPMLETQSAQIDNSESQARDISRLPSFVVHQPFDPNYYAQYYRSGADSDGRLSPLPSAGVTTKYNGGVPVLPTPSSQSLQEGAVLSTAGQTQHATQAAGLMQNSIATQQPLPVFRGPSGVHIPHYSPNYIPYGHYISPFYLQPPAIHQYLTNGAFPQQPQASTVYPPPSAVAANGMKYPLPQYKPGTNAANSAHYVMPAAYGAYGSSPSGGYNPNSAETAGNSTSTEDLGSSQFKENNAYLNGQQSEGSAMWVAAQGRDISSMPASSFYNLPPQGQHVTFAPTQAGHGNFAGVYHHPAQAGTAGTVHPLLQQSQTMAGAVDMVGPGGNVYQQQPQHAHINWPSNY >CAK8564250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662509088:662511882:-1 gene:gene-LATHSAT_LOCUS17573 transcript:rna-LATHSAT_LOCUS17573 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSHGSIPPRLLENKLAQQEAEIERISGDNHRLSITHRALRDALVDAAQDVQKIKSHIRSTQTESDIQIRVLLDKMAKMEVDIRAGDVVKKELQQAHMEAQNLAASRQELRAQIQLATQELKMVVGEVKSLPDLHAEFDGLMQEHIVIRDTFDYEKSKNVELVDQLKAKEKKLIAMAREVEMLRSEILNAEKRTNASNMYGAATPADGSGPFLDHYGRAHGQMAFGQIGESMVPVGGSNGVAVVNSADGSGAGWAGQYDPSIAGR >CAK8562071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:436235979:436243689:-1 gene:gene-LATHSAT_LOCUS15600 transcript:rna-LATHSAT_LOCUS15600 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQILPITNHQTPNAHSSSLSPPPSSALTMLLKYDDEENPSTSLLHSSSFKNNMSIKPLLPKSSSFNSSINNNDSIGPVPSFYQKTRRRVASEDSLAYVSNGSSNSSSFGRDVRHVASETFLLTRLGLKMLSYLGVGYRWITRFLALGCYSLLLLPGFIQVGYHYFFSSEIRRSIVYGDKPRNRLDLFLPKNSDGPKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQATISDMIDDASQGISFICNNIAEYGGDPNRIYIMGQSAGAHIAACTILEQAIKEAGEGETNWSLSQIKAYFGLSGGYNLFNLIDHFHSRGLYRSIFLSIMEGEESIRRFSPEVMVQDPNIGNAVSLIPPTVLFHGTGDYSIPSDQSKSFAETLKRLGVKAESILYEGKTHTDVFLQDPMRGGKDDMFDDLVAYIHAGDAEALSRDATAPPRRRLVPEFMLKLAHSVSPF >CAK8577286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552808342:552808962:1 gene:gene-LATHSAT_LOCUS29407 transcript:rna-LATHSAT_LOCUS29407 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEWQSENEGMIYSYFDAYAAMSDLQLNLCTHFRIYRCECSLWGLGELNARAPSLPVSKPCPTSQDQVFRDQYHPTEAASQIFADRILDGLSTYTYPINTRQLLQLTFISNDFFFVMCLSFQEGHPPHATLGKVCSWWNRTGFLDPLNL >CAK8560115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8067611:8069592:-1 gene:gene-LATHSAT_LOCUS13826 transcript:rna-LATHSAT_LOCUS13826 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSSPTNSYPIKTVVVLVQENRSFDHMLGWMKFLNPKINGVTGLESNPISTSDPNSNRVQFSDRSIYVDPDPGHSIQDIYEQIFGEPWSEASAAKKLPPMMEGFAQNATRQEKPKEATTLTMTEAVMNGFKPNLVPVYKELVKEFAVCDNWFASVPASTQPNRLYVHSATSHGLSSNDAYKLIGGLPQKTIFDSLDENGFNFGIYHQQPPSTLFYRNLRQLKYINNFHEYGLTFKKHCEEGKLPNYVVIEQRFFDLFSIPANDDHPSHDVGEGQKFVKEVYEALRGSPQWNEMLFVITYDEHGGFYDHVPTPVDGVPSPDDIVGPEPFKFKFDRLGVRVPTIFISPWIEPGKVLHEPSGPFPTSQYEHSSIPATVKKIFNLPNFLTKRDAWAGTFESLLTLTSPRTDCPEKLPDPIKLRETAAKERAKLSEFQEELVLMAATLNGDHRKSIYPDKLVENMCVFDAVKYVEDAFNTFLKECEKAKKNGADGSEIVDCANSCSTQSKSKNFFHKMLSCVTCDR >CAK8537827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439473949:439474773:1 gene:gene-LATHSAT_LOCUS7081 transcript:rna-LATHSAT_LOCUS7081 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKEIIIREVWAYNLEYEFNLIRQAIHQNHFIISMDTAFPGVIHSLKTDHFRLQPSDYYRYLKANVDDLKLIQVGLTLSDSRRNLPDFGSNNTYIWEFNFSDFDVNHDLCNQDSVDMLRRQGINFERNICHGVDSKRFADLMFSSILVFKESIVWVTFNSAYDFGYLVKILTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMTKFCNSLYGGLEQVATILNVSRAIGKSHEAASDSLLTWHAFLNMMKTYFKDDEARKHAGVLFGLEISAYNE >CAK8575928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365019131:365019478:1 gene:gene-LATHSAT_LOCUS28153 transcript:rna-LATHSAT_LOCUS28153 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESELEPPPPNVVNEFNPNEIVRDPGRRKQINEYAPDIQDQVRRAYILKGPMQPELPSFPRTPFGSAKRAFSKSWYKNYTWLKYSEIKDAAYCFYCFLFKKPGRAEHFGF >CAK8541275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:101832807:101833342:1 gene:gene-LATHSAT_LOCUS10207 transcript:rna-LATHSAT_LOCUS10207 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTYIIFFLIVFCNTYCSAMHLTSTNQHDIKLVNKPHFSIKTDDIKVLESLQNNFRTMNEGKKVKTLLVNNQKSRKERCNNQKMLNAMRKDLVASETKTLGSVYRKVPRKIARERFSEFNLDYSLPKVHPPSNN >CAK8577931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597691768:597693432:-1 gene:gene-LATHSAT_LOCUS29993 transcript:rna-LATHSAT_LOCUS29993 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWCIKLAFKCLYHIAWPLFALVFPMCASIQAIETDSNAETKNLISYWILLSLIYLFEYAFMNLLLWFHLCQYIKLMIVFWLVTPDFERASYVYNNLIRSMKPQIVTCWRKCFVERDNFLMHAERYLEENGTEALEKLITSKTNEERVQIEDKNIKDLEAIEEKEISVAKNEEIQTENKVIKDLEAIEKTEIPAVKQRTYANILASQKASSSAIVETKGTTESDRAVGEVVQSSTSTEKEVQREWACALCLVKVTCEKTLNSHLRGKKHRAAWEEALKLKMQPGLQKKLIEPIRMVNTKIICKACNVMLPSEDCVASHIKGWKHLSNIQS >CAK8577932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597691768:597693432:-1 gene:gene-LATHSAT_LOCUS29993 transcript:rna-LATHSAT_LOCUS29993-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWCIKLAFKCLYHIAWPLFALVFPMCASIQAIETDSNAETKNLISYWILLSLIYLFEYAFMNLLLWFHLCQYIKLMIVFWLVTPDFERASYVYNNLIRSMKPQIVTCWRKCFVERDNFLMHAERYLEENGTEALEKLITSKTNEERVQIEDKNIKDLEAIEEKEISVAKNEEIQTENKVIKDLEAIEKTEIPAVKQVKVVKDLEAFEKTEISAVKQRTYANILASQKASSSAIVETKGTTESDRAVGEVVQSSTSTEKEVQREWACALCLVKVTCEKTLNSHLRGKKHRAAWEEALKLKMQPGLQKKLIEPIRMVNTKIICKACNVMLPSEDCVASHIKGWKHLSNIQS >CAK8544777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705267429:705269178:-1 gene:gene-LATHSAT_LOCUS13430 transcript:rna-LATHSAT_LOCUS13430 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGTNEYGAYTYQNLEREPYWPSEKLRISITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTEGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAGRINGIKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKSIPIQHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKAGGVDLASYGSSKVVQTQAPVQLGSLRAADGNE >CAK8561841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:392587082:392591008:-1 gene:gene-LATHSAT_LOCUS15395 transcript:rna-LATHSAT_LOCUS15395 gene_biotype:protein_coding transcript_biotype:protein_coding METAPEEKVFLGSDKFADSSSNSFNTILALSLWLGAIHFIGAVSLFALFFLPFSKALLVFGLLFVLMVIPIDEKSVYGRKLARYICKHACNYFPVTLHVEDIKAFHPNRAYVFGYEPHSVLPIGVVALADSTGFMPLPKIKVLASSAIFYTPFLRHIWTWLGLTAATRKNFNSLLDAGYSCILVPGGVQETFFMEHDSEIAFLKARRGFVRIAMEKGKPLVPVFCFGQSNIYKWWKPGGKLILNFARAIKFTPIYFWGIFGSPIPFKHPMYVVVGRPIELQKNPKPTPEEVAKVHTQFVEALQDLFERNKARVGYPNLQLKIV >CAK8574003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653558688:653560157:1 gene:gene-LATHSAT_LOCUS26395 transcript:rna-LATHSAT_LOCUS26395 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQQLNVTFLPHLTPGHMNPMVDTARLFAKHGVNVTIITTQANALLFKKAVDRDLLSGYSIRTCEIQFPSSQVGLPDGVENIKDATSHEMIGKIILGITMLQSQIELLFHDLQPDCIVSDMLYPWTVESAAELGIPRLYYYSSSYFSSCAAHFIKKHKPYENLVSDTQVFSIPGLPHNIEITSLQLQEWVRTKNEYSDYFDAVFESEGRSYGTLYNSFRELEDDYEQLYKSATGIKAWSVGPVSTSVDKGHNEDLSIEPKLINWLNSKANDSVLYVSFGSLTRLCYAQIVEIAHGLENSGHNFIWVVRKKDGDEDKDGFLEGFEERMKEKQKGYIIWNWAPQLVILNHPATGGIVTHCGWNSILESLSVGLPMITWPMFAEQFYNEKLLVDVLKIGVCVGSKVNKFWSSVGEDALVRQEEIAKVVTILMGREDESGEMRRRVRNFGDAAKKSIQEGGSSYNNLMHLIDELKSLKTSRELEKQCWDC >CAK8531369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105261046:105261327:-1 gene:gene-LATHSAT_LOCUS1166 transcript:rna-LATHSAT_LOCUS1166 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTWRLLKDELKWKGQEMNNSSKRSKISSTGTYSSSSNLENLIDYSEYNNATQTDRPAGQKAAKRKGKGKASPSITPIVDLTGLRKEISYLW >CAK8541484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:198854734:198869679:-1 gene:gene-LATHSAT_LOCUS10402 transcript:rna-LATHSAT_LOCUS10402 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASTGGGDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSSVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGGGSVGPRHYANHHSAAIAPPAPSPAPPPPVQPHYGYGHPAGSGGALVKKGRREYYEEEYEMDDDADVDVGGGGSGKKVNRREAYDGYGYGGGVGSGGKASLPGPIDKKAEGRSRVDRDADNLQLQQLEEKDVVSSVANVLSDLCGPGEWMPMEKLHAVLVDKYSSVWHHGRVRRYLTSEEWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >CAK8537930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448220378:448222734:-1 gene:gene-LATHSAT_LOCUS7178 transcript:rna-LATHSAT_LOCUS7178 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNSSNLLNAYSHISNYVFNFIKSISLKCVVDLGIPDIIHNYGKPMPLSKLISSLPIHPSKKPYIYRLMRIMTHSGFFAQQNVTENELETEYMLTDASRLLLKDNPMNVTPFVHMMLSPIMTNPWHQMSTWFRNEDSSVFEMTNGRQFWDYVAHEPIINGLFNESMACDSRLVSDLLIENGKEVLCGLESLVDVGGGTGTIGRALAKSFPQLECIVFDLPHVVDGLQGSENLKYVGGDMFQKIPQANAVLLKWILHDWNDEECVKILKNCKDAIVKNDKEGKVIIIDMVMEEEKETIETQLLFDMVMMVFFSGKERTKKEWAKLFSSAGFSDYKITPVLGLRSVIEIYP >CAK8530679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39690216:39691718:1 gene:gene-LATHSAT_LOCUS525 transcript:rna-LATHSAT_LOCUS525 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSSLLSVTLKAPSLTASKPSSLSLNSSNVRSPLLHCSFVSSPSSLSFHSSSISGLSLGLSLTSNLGSRRQSGRGLVIVAAGRTALNLTKRSRSRKSLARVHGFRIRMSTTTGRAVLKRRRAKGRKVLCTKTHSSTGKRHLKRA >CAK8531428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:109109893:109110540:-1 gene:gene-LATHSAT_LOCUS1221 transcript:rna-LATHSAT_LOCUS1221 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLSLLLPVLLLSLSLSVVFAADPSTLLDFCVTTPISQGTSLCKDPKLVDANDFFFSGLHITGNTTNLVGSKVTPVFASQLPGLNTLGVSMARIDIVPWGVNPPHLHPRATEILTVLEGTLEVGFITSNPENRHFRKVLRKGDVFVFPIGLIHYQRNIGYDNVVAIAALSSQNPGAITISNAVFGATPEISSEVLVKAFQLDRTVINYLQSKF >CAK8541881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420448210:420449511:1 gene:gene-LATHSAT_LOCUS10771 transcript:rna-LATHSAT_LOCUS10771 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPSNKFLHIAVFPWLAFGHISPFFELSKLIAQKGHTISFISTPRNIKRLPPLPPNLQPFINFVQLPLPHINQLPQNAEATMDIPQHIVPYLKKAFDGLQQPLTLFLEKSTPDCVIYDFVPYWFPSITSNLGILSIYFSIFNASFLEELLVNKSYDEDNVISDVHFEQNESGVSDMFRMEKTFFGADFIAVRSCMEIEGKSIESIENQCKKKVIPVGLLPPSLEFSEDKEDENWDSIQKWLDKQEKKSVVYVAFGSEVILSDDEFTEIAKGLESSSFPFLWILKNQDKYDWVVENDSNKGMIWSNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPIIVLPFQNDQGINARLMEEKKVGVEVERNDGKLNRDSVAKALRLVMLKEEGKSYRSKSEEDMGKIVGDKELHQKYIDEFVDYVELNVPASRH >CAK8544946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714170677:714171324:1 gene:gene-LATHSAT_LOCUS13586 transcript:rna-LATHSAT_LOCUS13586 gene_biotype:protein_coding transcript_biotype:protein_coding METLSNSVSNLKISSLPPTTTTNREFHHFTRTPHAIPNRPPQPKPQKITNFSHNNHSLPFSSLTTSSHKVKPSSPIFHHKPATGYAAAIIDVAQTTNTLHLVQRDVHRLSKFLRKSRLQSDGGIDASAVRKVVEQGNFQRHVVALVKMLMKKKKLGIVGEVLEEFERIYDELCGTQVVLISSKREVGKDQMFGIAKTVQKISGAIRVRVRNFVQD >CAK8578608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641207500:641208255:-1 gene:gene-LATHSAT_LOCUS30604 transcript:rna-LATHSAT_LOCUS30604 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQDVKLLNFLLSPVGRRVEWALKLKGVDYDYIEEDIFNKSNLLLELNPVHKKVPVLVHGQKSIAESLIILEYVDETWKQYPLLPLHPYERSRARFWAKLSDEKLVWASWKAMVLKGEEGEKALKEAKELMEKLEEEINGKKFFGGNNIGYLDLGLGWITCWLPIWEEIGSMQVLDPLKCPSISSWKINFLNHPVIKDNLPPKDKMIVYYHRSIEEFSSTHRG >CAK8530910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62381955:62384024:-1 gene:gene-LATHSAT_LOCUS739 transcript:rna-LATHSAT_LOCUS739 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKFIKHLQPLHISPNKLSPFHPLFTRCLQTTTQTLQQDQHQDPQSLLKQDPIEICTSLWLKTFSSPKTTSFPNLTGYLSNFDLWVFAYQRSCAHVTGTFPPRNAIHTNLLRDILSLRNAVIRGRFSWNDKTNQLVKTPYDKTFSKPLSKRKLQAFLDSNEPCFQDRVVQEVLLTILEPVFERKFSSKSHAFRPGRNAHTVIRTVRSNFAGYLWFLKGDLSEIFDRVDTDIVMGCVEKGTRDKKVLSLIKSALMGRVTPRVEEGEVLRKDKKRKATKKRILKENEPKPDPYWLRTFFSFAPEEAAKVPSYGHCGILSPLLANVCLNELDHMLEEMIVEFFRPCKFDSIWKHSIDDGCHNPAWPEFVPSSGNEKTRKMDYIRYGGHFLIGIRGPREDAVEIRKRIVEFCESTFGLRLDNSKLEIEHIARGIQFLDHIICRRVIHPTLRYTGSGGNIVSEKGVGTLLSVTASLQQCIRQFRQLELVKGDKDPEPLPCNPMLYSGQAHTNSQMNKFLETMADWYKYADNRKKVVGFCAYVVRSSLAKLYAARYRLKSRAKVYGIASRNLSRPLRESTNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWIPDHERVLHEYIKLDNPKFFCDLLRYIKQKGLNIPQDEISQMVWDYKTLGVGYFRSDRDKEVKADLKAITE >CAK8570703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:90270663:90271750:-1 gene:gene-LATHSAT_LOCUS23436 transcript:rna-LATHSAT_LOCUS23436 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVTNVFETGKYTTQLQTVDSCSYKQHAPPPKSLLIATPVEGGEFPILLFLHGYVLLNSFYSQLIQHVASHGFIVIAPQLYTVAGPDISDEIYSVAAITNWLSEGLCKILPPNIEPNFHKLALGGHSRGGKTSFAIALRKLNITTDLKFSAIIGVDPVDGMDIGKQTSPPILTYVPHSFDFDMATLVIGSGLGDVKRNPLFPPCAPKGVNHENFFSECNKPCWYFVAKDYGHVDMLDDETKGIRGKASYCLCKNGESRKPMRTFVGGVMVAFLKGYLNGDNEDLLAIRDKKMSVPVEIKFDHYV >CAK8575976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:378536393:378536668:-1 gene:gene-LATHSAT_LOCUS28197 transcript:rna-LATHSAT_LOCUS28197 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYPHDDSDFNFSIGWLGKFKNLHGIKSFRHFGESESVDVQDMEQKMVLIREKIDQFPMKDVFNMDETGLFYRLQADHSLATKQLEGRK >CAK8570106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23910506:23912053:1 gene:gene-LATHSAT_LOCUS22888 transcript:rna-LATHSAT_LOCUS22888 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPKESSSTVVGNEKKKVPSSSSLPTPTKRITKPSTAIKSNSTTPSEKNIPSHLKPTTSFRQTKTETPIKPPPIRRRSFDKPLSSSNLTKTTQPSPSRLHKALVSPGPRERSSINRSPVAPVKSINSSKPISEKTPSDAKTKQVLKKPAKKITTPNSNIASSNTTKKVSNDHAASVNPVKIKSVTTNKDSSNVEAEDVKKVEEVQEVTNLEDEVIKVEKEEHASQQNILSDVNSEIVHEHEHDNQVLEDSGTPQNQVEDDIVISTVSGTPQNQVDDEKVISTVSEEAEKESQEEKHEVEHDEKHEIEHEEKHEVKHGEKHEVEHEEKENNNGNQSEEVEHEEKENNNGNQSEEVEHEEKENNNGNQSEEVEHEEKENNNGNQSEEVEHEEKENNNGNQSEEVDHSEVEREKVVNENEQNESGIVPEDEKNETNEEQGREEKEAVEGGVSEEVEEAKAEVAKPKQQLAGGGNGKKESQVSNDMIEETASKLLGRKNKVLALAGAFQTVIDHQTK >CAK8560225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10874855:10875667:-1 gene:gene-LATHSAT_LOCUS13918 transcript:rna-LATHSAT_LOCUS13918 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFGIINELSNVLQRKDLNIVIVMELVDVVKIRLAITRESGWDTLFADVQDFCVAKGILVPNMDGKIPVRGRSRVEERTITNFHHYRAKKIYVAIDKICVEMGHRFSEGSNVILDCFSRFDHKNSFSKFDCLADIYHADFSNDYRGRIRDQHDTYVLQVRRNVSFSSCEDVQSLKMVQTEKHLVFSLVYKFIELALILPVSATSVERAFSTMKIIKSKLRNKINDVWFNDLMICYTERKIFKSLDDIDIIRTFSAKKFRKGHLPRNFI >CAK8560226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10874855:10875592:-1 gene:gene-LATHSAT_LOCUS13918 transcript:rna-LATHSAT_LOCUS13918-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDVVKIRLAITRESGWDTLFADVQDFCVAKGILVPNMDGKIPVRGRSRVEERTITNFHHYRAKKIYVAIDKICVEMGHRFSEGSNVILDCFSRFDHKNSFSKFDCLADIYHADFSNDYRGRIRDQHDTYVLQVRRNVSFSSCEDVQSLKMVQTEKHLVFSLVYKFIELALILPVSATSVERAFSTMKIIKSKLRNKINDVWFNDLMICYTERKIFKSLDDIDIIRTFSAKKFRKGHLPRNFI >CAK8570980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:217401792:217402115:-1 gene:gene-LATHSAT_LOCUS23684 transcript:rna-LATHSAT_LOCUS23684 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGCDVTTLDSSEECMRVVSQEHKVVFMDVCTGLDSYELAVRIQEKFVNCQDRPLIVALTRNTNKWSRENCTRAGVNDLVLKLVSVEKMKGVLTELLEQRFVFETV >CAK8569011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662719252:662720441:-1 gene:gene-LATHSAT_LOCUS21909 transcript:rna-LATHSAT_LOCUS21909 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSKILIIGGTGYIGKHIVEASAKAGHPTFALVRESTLSGPAKANLLNHFKTLGVNLVPGDLYDHESLVKAIKEVDVVISTLRQVQLADQVKIIAAIKEAGNIKRFFPSEFGTDVDRAHAVEPAKSAYEVKARIRRTIEAEGIPYTYVSSNYFAGYSLATLAQPGQFAPPPPKDKVFIYGDGNPKAVFNKEDDIATFTIRAVDDPRTLNKILYIKPPKNIYSFNELVALWENKIGKSVEKSYIPEDKLVKDIEAAAIPINVVLAINHSIFVKGDHTNFVIEPSFGVEAFELYPDVKYTTVEEYLDQFV >CAK8537423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:349716476:349716697:-1 gene:gene-LATHSAT_LOCUS6716 transcript:rna-LATHSAT_LOCUS6716 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFGLAETYVMQKIYKEKLKKITEQEKLQEDKKFDTIKTCSMDKTSTGCFSLFPKKQQRKISRISDSNDS >CAK8568937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:656973588:656974809:1 gene:gene-LATHSAT_LOCUS21844 transcript:rna-LATHSAT_LOCUS21844 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKARVEGSICAHYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSVFGRPGRPSGKTSVHWLSEKEMQSAHVHVLINCVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSPEIIHLRSLSEGPHQRANEWHTYFVNGYKFHTQTWTEGKKTINSGVVVKGVTEGGEDDFYGVVTHIYELVYNYMDSENKVVLFYCDWYDPSSRGTKIDKKYNTVEIRMDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKQGWCVVIKSNPMGYIESDGVMEDDVAYQDDEISPVNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEEEEESGDSEDDNIVSDEDSDDYNDE >CAK8544092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662487684:662488076:1 gene:gene-LATHSAT_LOCUS12798 transcript:rna-LATHSAT_LOCUS12798 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKIVSIKKLAKKVKLVGRVDSELAQYELLKENEEEEKHPIGKNIGSKKSGVFALYVGEERERYVVPTGYLCHPLFKMLLEKAYNEFGFQQRNGLVVPCSVSAFHEVVNAIECNNCKFDMANICDELI >CAK8560779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42152386:42153864:-1 gene:gene-LATHSAT_LOCUS14429 transcript:rna-LATHSAT_LOCUS14429 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSTIEIAHHRRRHEDRRTPDSDVSEDRRRYRRSPSYDSYDRHRDRRRSISPENRNPRYDNINPNGNALPKKFGHRNGSYLDRDRSDFKRSESESDEELKGLSFEEYRRLKRQKMRKSMKYCIWNVTPSPPRRENEDLEHVYKAEEFSEKYDEKDDKELKPKAKSESVSDESDGSESDNPRLRKKRSKSSSKKSRSLSESESESEEEEDRKRGRNRNYSDSDSESEEEERKRRRRSKRKISRRKRNSSRKMRRHSDSEESESDESDSDGSERKRKHSSSRSRSRSKRSRKGTKKSAEPISESSEDNEMVSDSAAVKIKEAIVVDEVDVPEINAEALQLKELLELQKKPALDNEPTVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFEELGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHDPFGAKDGADA >CAK8563813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632432414:632433373:-1 gene:gene-LATHSAT_LOCUS17186 transcript:rna-LATHSAT_LOCUS17186 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNHFNTQNSANFPFNQNPNNFPNPNNYQNPNYYQNPNQFSNQHPQNIPNFGFPPNFNQTSSVPNFQTYYGSMPRNPSQTPPFNGYVTMANANFPSGGVSEFSEFSTQLTIGGMIVSNEVGPNSEDSTPKSRKTQQPAWNTEQNLVLISGWIKFGTSSVVGRNQKGETYWGKIAEYCNEHCSFDPPRDGPACRNRFNYMNKVLGKWIGAYDGAKRMQGSGWSENDVLAKAQELYTCGKNVRFTLMEEWHALRDQPRYGSQVGGNIGSGSSGSKRSRESDACGSNTVESSARPIGRETAKKKGKKKSKEYASEVVDKE >CAK8537852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441776805:441779784:1 gene:gene-LATHSAT_LOCUS7105 transcript:rna-LATHSAT_LOCUS7105 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVCVTGGSGCIGSWLVHLLLHRGYTVHATVQNLNDENETKHLEALEGAQTNLRLFQIDLLNYDTILAAVRGCDGVFHLASPCIVDKVHDPQKELLDPAIRGTVNVLTAAKEAGVKRVVITSSISAVTPSPDWPSDVIKREDCWSDIEYCRNKELWYPLSKTLAEKAAWDFSKENGLDVVVVNPGTVMGPVIPPRINASMLMLVRLLEGCTETYEDFFMGLVHFKDVALAHILVYENKEATGRHLCVEAISHYGDFAAKVAELYPEYNVPRIKRDMQPGLLRAKDGSKKLMDLGLEFIPMEQIIKDAVESLKNKGCVS >CAK8530658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38746234:38747970:-1 gene:gene-LATHSAT_LOCUS506 transcript:rna-LATHSAT_LOCUS506 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSLLHNLNLINPFSHQNPIFSHQNPISSLSFKPSILRCSNSANVPAPSPYPPIRTSHRTKIEAQLALRDYLYYTRSYTFIDADFIAKNSPHFIRELITGVTFNNSDDDFSRDLERYLMYNPINEFEPFLESIGIKHRELKLFLPEDLIFLSDDSVLVDNFHVLFNLGVPRNRMGKIYKEAREVFGYESGVLLKKFEKYENLGLSKSSLVKLFVCCPLLLLGDDVDPQFVVVLDWLKRSGIESEWFVSCMCPSRTYIWKTIIDTIEFFHQGGFSEKEMYDLFKANPILLEGLGKKTYSVIGRLIKSGLDVNEVCSCFKEHPDVLSFPRMENLSTVISFMYHIRMDEDAIAHVLYNYMHLLSKHLIKGHKAMSRELRVGTDNLCQMIHDDPLQFFSLALKPKQKRDVNEFYYDPRKHMEKRTFLRNLGYTDNSEEMEIAMKMFKGNGTKLLERFDCLVEAGLEYNTVVGMVKRLPGILNSSKTVMQKKIDFLKNSLGYPIESLAEYPTYFLHDLDKIFARFAMYEWLKKRSAINCELSLSTIISTTEKRFLEHFVNAHPEGPAAWETINSLSNKFKN >CAK8543571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620526521:620527069:-1 gene:gene-LATHSAT_LOCUS12319 transcript:rna-LATHSAT_LOCUS12319 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDLESLAEATSGAIGSLLSSTILYPLDTCKTKYQAEARSHGNRKYKNLSDVLWEAVSNRRVLSLYQGLGTKNLQSFISQFIYFYGYSYFKRLYLEKSGYKSIGTRANLLIAAAAGAVTAITTQPLDTASSRMQTSAFGKSKGLLKTLTEGSWSDTFDGLSISLLLTSNSATPKTILHTRQ >CAK8535162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819343935:819346229:1 gene:gene-LATHSAT_LOCUS4638 transcript:rna-LATHSAT_LOCUS4638 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASAPSQSGLNTLSTKTKGRGCTRMKKLQLLTARDERIPIDFNSDGHTIWELAKDFKYHVACLAREKISILIDEWDKVGSEDRKEIWKRLEQIWDISKNDVVDKKTMIYAGERWRAFKNSLKSRYLNNGNKSGMSATDDYPYIDEETWKDFVKSREDPSFLEKRKKGQVTQSYNKYPHRFSQGGYALLEKNMMSDKLKERQAAVGRSEVPPPSPSQRHEKWKRSRLKPSGEYTSKDTRLIVEKIDTLVLEGTFIPQGRQDILIEATGQGKHPSRVRAVGQGVGIRQFFGSHSRKNFSTPPIMNKDQIETIKVELTKDIIEQVTRDIVATAKIGAG >CAK8536022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899089315:899096110:-1 gene:gene-LATHSAT_LOCUS5425 transcript:rna-LATHSAT_LOCUS5425 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQGAEVVDIDKTTMVMEPEKSTGGGLFVPGKERVVYVAPERKSRLGLDTLAIAKRGESHSEAAFKVPKEITTSIAAAADDEDESSTVEEGEHTGARHSHRRYRETTSETTRADSSLTEEASPSRGYDRESHRSNRRDDDSERSDRKDGYERSDRRDGSERSHYHRSERREDHRRERHSGYHRKRTRYDNDDYRRERSYSGRSDWDSGRWEWEDTPRRDSVSSSRRHQPSPSPMFVGASPDARLVSPWLGGHTPNSSYTPSPWDHVSPSPLPIRASGSSVKSSISQYDRKSHTYKEEIAGKSDLDEKHSYTEITESMREEMERDADRAWYDREEGSTFDSDNSSLFLGDEASFQKKEAELAKRLTRRDGTKMSLSQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSSNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAVVGEDGEIDFKEEAKFSQHMKKGEAVSDFAKSKSLSEQRQYLPIFSVREELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTIGGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKIGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILWSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQMISSSNKEVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFFVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLASPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTELGWKMVEFPLDPPLAKMLLMGDQLGCLEEVLTIVSMLSVPSVFFRPKDRAEESDAARERFFVPESDHLTLYNVYQQWKQHDYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTTCWPDTDVVRKAICSAYFHNSARLKGVGEYVNSRNGMPCHLHPSSALYGMGCTPDYVVYHELILTTKEYMQCATAVEPHWLAELGPMFFSVKESDTSLLEHKKKQKKEKTDMEEEMENLKKEQAEFERENKRKEKEKMAKNQQQISMPGLKKGSSTFLRPKRFGL >CAK8579774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722249773:722252678:1 gene:gene-LATHSAT_LOCUS31692 transcript:rna-LATHSAT_LOCUS31692 gene_biotype:protein_coding transcript_biotype:protein_coding MARLERDGTNKSLESLMDSHKPGTAANGSQLNSQKSIPGYGLEFTNLSYSIIKKQKKDGVWINKETFLLHDISGQAVKGQILAIMGPSGAGKSTFLDALAGRIAKGSLEGSVRIDGKPVTTSYMKRVSSYVMQDDQLFPMLTVFETFMFAAEVRLPPSISRDEKKKRVHELLDKLGLQSATHTYIGDEGRRGVSGGERRRVSIGIEIIHKPSLLFLDEPTSGLDSTSAYSVVEKIKDIAHGGSIVLMTIHQPSFRIQMLLDKITVLARGRLIYMGRPDALQTHLSGFGRPVPDGENSIEYLLDVITEYDQATVGLDPLVQYQHDGHKPDLAAMTPVPKPPHYRRNTPASKHMISLRSQGFTAGTPQPDSSQFGLDDEDDDDDENFDNSLERRSVKTSRNIPTSGVYPRLASQFYQDFSAKDFSVWIYNGVVGTPRRPPSWTPARTPGWTPGKTPLSGPRSFVSNQHSVSYQEPYYHQKAQMDVSQSMEYSATSYAPSYEEFEIEEVLDEPDLGPKYANPWLREVVVLSWRTLLNVIRTPELFASREIVLTVMALVLSTIFNNLGDTTFKDINRLLNFYIFAVCLVFFSSNDAVPSFIMERFIFIRETSHNAYRASSYVMSSLIVYLPFFAVQGLTFAVITKLMLHLKSSLFNFWMILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTQIPKYWKWLHYISAIKYPFEGLLINEFKNDRGCYTGNKADLSPGPLGDVKPSKHHNVSLPLNCLLGEDVLSTMDITMENLWYDILILLAWGVLYRFFFYLVLRFYSKNERK >CAK8574291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674234456:674239905:-1 gene:gene-LATHSAT_LOCUS26650 transcript:rna-LATHSAT_LOCUS26650 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTHFSLHNTLFLFNPSTLSHRSTLSHLKSKPYSHSRTAFFCNCTTSSPSNDQPFLLTTPLYYVNAPPHMGSAYSTIAADAIARFQRLLGKKVIFITGTDEHGEKIATAAMAQGSTPPDHCNLISQAYKTLWKDLDISYDKFIRTTDSKHEAIVKEFYSRVLANGDIYRADYEGIYCVSCEEYKDEKELLENNCCPIHQKPCVSRKEDNYFFALSKYQKSLEELLIKNPSFVQPTFRLNEVQAWIKSGLRDFSISRASVDWGIPVPSDKTQTIYVWFDALLGYISALADDEEQPDLLNTVSSGWPATLHLIGKDILRFHAVYWPAMLMSAGLSPPKMVYGHGFLTKDGMKMGKSLGNTLEPNDLVSKFGTDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCESTLVVDSTTAAEGNAFKDNVVRLVDKARIHYENLALTSACEAVLEIGNVGNSYMDERAPWSLFKQGGTASEVAAKDLVIILETVRIIAIALSPVTPSLSWRIYSQLGYSKDQFDAATWRDTNWGGLKGGQIMAQPQPVFVRIENQTEVEDKPVAVSKKTPKSKGKLKQAQEVTGA >CAK8577203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544548035:544548556:1 gene:gene-LATHSAT_LOCUS29332 transcript:rna-LATHSAT_LOCUS29332 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSWMTQFIQVNNDYPAFFKIGIMISGSEIPSWFNNQSVGSSLPVSPVMQDKRNNVVGFLCCIVFSIAPYPPKVTRDSQWKRGICMTVYPTSLRPASHLLVVDNEDIISVKSNHIWLIYFPLESFSRAVFDHIRVEIISDDDLEFNSTTMLALKRKFLEIEDEAQPQPHSFR >CAK8541112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67845381:67849737:-1 gene:gene-LATHSAT_LOCUS10063 transcript:rna-LATHSAT_LOCUS10063 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSNHELSLFSAEELEFIAEDEIVDIVPNLKMDPLCLVSGDFGPFTPQIVTQVPLWLAIALKRRGKCSIVPPQWMSVEKLTQVLEEERDSQEMSGHLPFHYVEISRLLFDHARDNIPDAYMVRSLIEDIRDVRFHKVETDLEGFNGFTIAVKIKNLSAMEVNIVRPFIGRALQAFYKHDSPELIPDPERVSERRPQVAQNAPRRQLRR >CAK8532495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271074682:271075347:1 gene:gene-LATHSAT_LOCUS2194 transcript:rna-LATHSAT_LOCUS2194 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYALTLSFLLFVFITNLSLVFSCDDVEEVLDVDGEPVIAGKEYYIIPPFSSIGTGGGLQLEKISNSNCRVTILQSSLASTFGTTFKVTNLKNSSEKILTSTPLEIEASEKPHCTDSSKWIVFVDNDIKKDCVGIGGSGNYHNSKIVDGTFSILKHGDGYRFGFCSDGSGACFDIGSYERTDDVEAGNRLYLAQQKSKDAFQFVFFPNFFETGIIKSVA >CAK8564191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:656233418:656233804:-1 gene:gene-LATHSAT_LOCUS17518 transcript:rna-LATHSAT_LOCUS17518 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNQKPSKSLLHRLRAAVQKVKLLISSTILNQTWNAAKLLRGASSLTKTQLSFNDRPGLIMCSSDETDSEVSGSPSPPRCLQRTISFPSDDDIDKRSEIFIANFRRQLLLERQISLQLRYRSQGTT >CAK8573485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615695273:615696987:1 gene:gene-LATHSAT_LOCUS25930 transcript:rna-LATHSAT_LOCUS25930 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSFKHPKLNNANSPYTSQVSHHVFHSDKLPYFETLLSGKQHVLVENEVEHIKEKVEREQKNGFMLKAFESFTTVKDSLSRVIEGLEGEKDESFVREIVCMKSESVDDSTLLLEEARLISRLAVEVETKVENYKELRKKEKRELESSLISLTEENRDMNNLLRVALLEKEALEKRIKGHDHKRMPLLQFGLQKVGFGFMMGGSSNHEQPMDSTSEGNISDSSDSEVEVVNLVSTVERIMKNLRLEIAQSRRSLEESRSDTERLQCLAERQNKEIAENKLYIKELEDRERILAHNVEEFLMEIKEAEEEVARWKEACELEVKAGKKEVEERDKMVAALKQELQKTKAALDISNGKLRLKEELAVAAIAAQEAAERSLQLADSRGVELRRRIEELTRQVEEAEKRERSSRKVRRFCWPWQVFRLSSSNIADSRIGNSKRMLPEMQSFLR >CAK8560544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24391864:24392613:1 gene:gene-LATHSAT_LOCUS14208 transcript:rna-LATHSAT_LOCUS14208 gene_biotype:protein_coding transcript_biotype:protein_coding MSINPDEAVAYGAAVQAAMLNVKYVPTLKLRDVIPLSLGTSEKGDIMDIMIPRNTSIPITKKKTYFTDVDNQSRVSIKVYEGERIIASENNLLGVFSLSLPFAPRGLPIQVYFAIDADGILNLSAEEETSGNKKNITITNETESLSTEEPERMIQEAENFKAEEKKLEEKVKAINDLNDYLCHVTKVIADNDVNSLISPVDKLKIYIAVVKGQNLLDGKKDEETHVFVDFLKEFQSMIDSALYKITSGV >CAK8540574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11152862:11153143:-1 gene:gene-LATHSAT_LOCUS9567 transcript:rna-LATHSAT_LOCUS9567 gene_biotype:protein_coding transcript_biotype:protein_coding METESCNSVLPRPQVNATFRLGAESYSVQATNGSLLSEQLVNLKEQSMAVLKDFITKHNVPQDVPDESLEASSDDDDEDAKKPQVKSKKTKFT >CAK8568379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600045848:600046641:1 gene:gene-LATHSAT_LOCUS21339 transcript:rna-LATHSAT_LOCUS21339 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNYSQYNSESESGWTNYLNHSSFSAKHFNSKSVDYGDYEGKGVTIEERDEEEDLSMVSDASSGPPHYHVEEDYQQNYCVNWNNSSSSKESKKKVNDYRRKSQQSSPLDDTASSHVLNYPKKKISFSVNGAVENNNNNTIDFSPCFSATRTKRKTKFQKRSQASGEPGGFNEERK >CAK8543517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614412636:614414189:1 gene:gene-LATHSAT_LOCUS12271 transcript:rna-LATHSAT_LOCUS12271 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSATFSSSLSTVCTLQNRHASQSKAILGAPLCQSMFLTKKKASHRFLVVAVTKGSTESSKSDEKIPSWAKPDSNEPPPWAQNEAQNKSSSSEQGFEIPFYVYLLASAITAIAAIGSIFEYVNQKPVFGVLSSDSIFYAPLLGFFAFTGVPTSAFLWFKSVEAANKEAEEQDKRDGYK >CAK8538036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459576123:459577794:-1 gene:gene-LATHSAT_LOCUS7277 transcript:rna-LATHSAT_LOCUS7277 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDNKLITFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGVDPITHKPLNSTTIIQTQNQQLHQPIEEKQQNQQPLTIDNDTKIEKQETSIESSSNIEMKEKCETTITPLFDTMELIDGFCIDEVPMIEPNEIIVSNSSSSTSSSSTSNSTNFLEDLQLPDFWDDDFISSLNFLINDDNNGESKQAFDEMIVDSESWAYM >CAK8560379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16751560:16752204:1 gene:gene-LATHSAT_LOCUS14056 transcript:rna-LATHSAT_LOCUS14056 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNVHQEKPQKSSGKKFFEWASKCFYEYRDPEKWIKDMKTSIGIAVSITATITFSLGTNPPGGVVQVSKNDIPFSTNHTFCSFQKPYHICAGEAIMATSKSGDYLAFLVCNLICFISSLSVLFFLVSGIPIRKTVLIWLLSFGMCVTLTSLALTYLFAVFMVTPDAIWNNLLDSVFGLSVVIWASSVVLLAAFFILRPIVRGVHKVCNCMGCQ >CAK8539478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513686660:513688093:-1 gene:gene-LATHSAT_LOCUS8568 transcript:rna-LATHSAT_LOCUS8568 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMFLALLCFVLCFSLSFQMILLPLTHSISKTQFNSTQHLLKSTSTRSTKRFHHQHNNHHNQPQVSLPLSPGSDYTLSFNLGSNPPQLITLYMDTGSDLVWFPCSPFECILCEGKPQTTKPANITKAHSVSCQSPACSASHATLSSSDLCAISRCPLDFIETSDCSSFSCPPFYYAYGDGSFVANLYRHTLSLSSLLLHNFTFGCAHTALAEPTGVAGFGRGLLSLPAQLSTLSPHLGNRFSYCLVSHSFDGDRVRQPSPLILGRYDTTVGEDIEFAYTSMLSNPKHPYYYCVGLAGISVGKKTVPAPEILKRVDEKGNGGMVVDSGTTFTMLPEIFYNAVVAEFDKRVGRVHKRASEIETKTGLAPCYYLNGFSQIPTMTLHFVGNSSGVVLPRKNYFYEFLDGGDGKRRKGKVGCMMLMNGGDETELDGGPGATLGNYQQQGFEIVYDLEKERVGFAKKECALLWDSLNSDKN >CAK8542873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554474908:554480582:1 gene:gene-LATHSAT_LOCUS11674 transcript:rna-LATHSAT_LOCUS11674 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKASEKSRRERRKESRLAKNASKHQSWLQRHKSEAVKKSNSQNQELKSEGKLDQSVQMKLKSLSKKQVPAEECALSEDENCGPVVKKVRKGSQSSSKKKRIEMGLSEVSMAAQKDLELERKLSKRLKVKEGKLGGMDDGLNLLFEGMPSGDDLFGGMEGFDTEKLPKRKSKKSQSSKKHKLLDGVPGSVEGPNEHVAFEEVPGGVTSRKKNQKRKLSSQQQEDGAEGGVAGTVKPVETRGTDVTSGDVAEIPEKKEKGKYIAPHLRARAGNEPEEHTQIRRRVRGLLNRISESNVESITGELSLIFQSVARSVASQIMIEEALASCSGGPRGNKQYAAVFAAFVAGMACLVGMDFGAKFMASFAKCFEDEYHKEDNLSLRNLALLLSYLCIFGVCSSDLIFDFLVMLSKRLTEVDVSIILTMLQSCGMKIRADDPAAMKTFILNIQDTSNKLKASSGDVPEKNNSKRMEFMLETIYDIKNNKKKTEEVSPRIKKWLQKLRVDDISIRGLTWSKLLDPDKKGQWWLSGDVVSSKDNVENVANKIDKDLAETQRMLQLAADQRMNTDSRRAIFCIIMSGEDYIDAFEKLLRLELPGKQDRDIIRVLVDCCLQEQVFNKYYTVLASKLCEHDKNHKFTLQFCLWDHFKELESMPLLRSINLAKFVAEMAASFTLSLAVLKTVDLSDIPQLTPRRIMHFRILFETIFEYPETVVWKIFTRIAGTPELESFRQGIEFFVKEYILKTNKDVSQKFKLAKKALNNIEGVLMQE >CAK8541377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:142718847:142719581:-1 gene:gene-LATHSAT_LOCUS10302 transcript:rna-LATHSAT_LOCUS10302 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPELGASWDFFDPGEDSESFRFVVNGSEFRDCKDQWFQLGSDDRLVVSRGVEGCEQLVDGNVRQLEVVTAPGSADEDINLDVAEKGGVGKSFSKKEKKQMDENNVCTEREDPSEFITHRPKDFHSSIKDIEHRFIRASESGRDVSRLLEANKIKVGFFEAKGKSSTMALITSIQPVCCRRKTSPVFQEPVQKIISWKRTTSFQSSSSRNPLASRSREDIDDSGSDFVEEFCMIAGSHS >CAK8566422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:421294569:421295171:1 gene:gene-LATHSAT_LOCUS19554 transcript:rna-LATHSAT_LOCUS19554 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKNTYTFSGCTVKLQAWGWSRLPSLAPVNHNNVSFPYAQKWSAKGMTYNRCPRHCIIQYRNLLDHLTPTDFIWRPYLNMEHLHEVREEDDAVWTACIPIIRFTTVEMHNSYRVKLQFGMHQNIPDPPVDISKWHLKKVNDQWDFPD >CAK8579726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719218205:719219053:-1 gene:gene-LATHSAT_LOCUS31650 transcript:rna-LATHSAT_LOCUS31650 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSNFLNNEDELNQLGHSALGVGHHIVSLTSTTYGLLNTLDPPTATTPPPPSRFTLKPPRSESPEIINSWELMEGLDTESFRFSPLPKSSHKENSNPNQNLIPKKTGSVSGSVLSDRFERICPPNGEKKVVIYTTTLRGVRRTFEACNAVRSAFDAFGIQICERDVSMDSGFKEELRELLKGKENTVVPPRVFVKGFYIGGAEEMLKVAEEGLLGEVLQGLPRKVVGGVCDGCGDMRFLPCFRCNGSCKMVQKQKQGNAVVVKCSDCNENGLVLCPLCS >CAK8544645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697418506:697418864:1 gene:gene-LATHSAT_LOCUS13303 transcript:rna-LATHSAT_LOCUS13303 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMIESSDDLDDSSDEDDDEETPVKKTDGKKAGHTTTPHPKKAGKTPNTDAKSPKSGGHLSCSSCSKTFNSETGLTQHTKAKHGAQSC >CAK8571672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:459830560:459831147:1 gene:gene-LATHSAT_LOCUS24312 transcript:rna-LATHSAT_LOCUS24312 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWCILVVLALAVVATSARNVPAGEGGLKDEKTFGGFGGFSGMGNNGFPFGGAGFGGGGDAGEGGLGGGGLGGIGGGGGDAGEGGLGGGGLGGIGGGGLGGIGGGGAGLGGIGGAGLGDAGGQDGAGLGGIGGGALGGIGGGGQGGGALGGIGGGGFGGASPGLGGFSGLGSGGLGGLGGGGLGGSGGGVFPRP >CAK8565931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354882864:354885605:-1 gene:gene-LATHSAT_LOCUS19104 transcript:rna-LATHSAT_LOCUS19104 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTSLLVCALCLFATLSLIQAKKPKEDLKEVTQKVFFDVEIDGKAAGRIVIGLFGKAVPKTAENFRALCTGEKGVGKSGKPLHYKGSSFHRIIPSFMIQGGDFTHGNGMGGESIYGEKFADENFKLKHTGPGFLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKVEAEGSQSGTPKSKVVIADSGELPL >CAK8538581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487688132:487689559:1 gene:gene-LATHSAT_LOCUS7763 transcript:rna-LATHSAT_LOCUS7763 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQIETKAKVGFKAGVKDYKLTYYTSDYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYEIEPVLGEDNQFIAYVAYPLDFFEECFVTNMFTSIVGNVFGFKALCAIRLEDLRIPNAYVKTFQDPPHRIQVERDKLNKYGRSLLGCTIKPKLGLSAKNYGREVYECLCRGLDFTKDDENVNSQPFMRWRDRFLFCSEAIYKSQAETGEIKGHYLNATAGTCEEMLKRVVFAIELGVPIVMHDYLTGGFTVNTTLSHYFRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHTGTVLGKLEGEREITLDFVDLLRDDYIEKDRRCGIYFTQNWVSLPSVIPVASGGIHVWHMPALTGIFGDDSVLQFGGGTLEHPWGNALGAVANRVALEACVQARNEGRDIARGGNAIIRQACKWSPELAVACEVGKEIKFEFPVMDTL >CAK8564558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681162822:681167002:-1 gene:gene-LATHSAT_LOCUS17855 transcript:rna-LATHSAT_LOCUS17855 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPHPVPRTVEEVFSDYKGRRAGLIKALTTDVEKFYQLCDPDKENLCLYGYPSETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINELPTIFELATGTAKQSKDQPPAHNNGSNSKFKSSGKSRQSESQTKGVKMSAPPKEEDDSGEEDDDDEEDDEQGATCGACGDNYGTDEFWICCDMCEKWFHGKCVKITPAKAEHIKQYKCPGCSTKKPRIA >CAK8537805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436747844:436750201:1 gene:gene-LATHSAT_LOCUS7059 transcript:rna-LATHSAT_LOCUS7059 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPLFLLCSDLLSLFTSSPPPPKPSHHHHHHHHQQQPRPIVDPVAPFPSHSEKLTSNIGDVGYGNTVNINFCSSCSYKGTAVTLKNMLEIAFPGVEVILANYPPPLPKRLLSKVVPVVQIGVVGVVVAGEQIFPMLGFVAPPPWYFNLRANRFGTIATTWLLGNALQSFLQSSGAFEVYFNGNLVFSKLKEGRFPGEIELKDLITRRMANSKLVTSASELGL >CAK8538807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496792353:496793166:-1 gene:gene-LATHSAT_LOCUS7963 transcript:rna-LATHSAT_LOCUS7963 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITNWFSGTIEALSFKVFTGIACSVYEKFMKIMERIFLAVFIFVLALGGSIIGTIGGAIKGQTTETGFLDGACRGAIAGAIAAIEFVSFASVGQSFSKVALLTSLLNGKVFMEWICPTVAQAYIFMTPWELQGCHKLVLSNFHFINSAPPTK >CAK8533805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661425463:661427336:-1 gene:gene-LATHSAT_LOCUS3401 transcript:rna-LATHSAT_LOCUS3401 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDEEWELCCDDGLVFKRKRGRFHPPPESSSASEEKRLENLRRERKKQTLLKLKSKFEKEIVHWENLSNTLRSLQISSSTQLQQQQEKQQILDQTPSLPSTSSSTQSSLLDDLLFQVEAQEAIIRDISNLCDVAEAICFKQEQSLFDLQIWSTPLDLMQVLSYED >CAK8568940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:657427864:657429732:1 gene:gene-LATHSAT_LOCUS21847 transcript:rna-LATHSAT_LOCUS21847 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPIPKPSSASPFLSPTQTHLITPNPKPLLNCPSHDELHHQHELQRWPTPNEVIEEMKAIGKISVPTAITGLLLYSRAMISMLFLGYLGETQLAGGSLSIGFANITGYSIISGLAMGMEPICGQAYGAKQWKILGLTLQKTTLLLLSTSIPISYLWLNMKNILLLCGQDQEISSTAQTFIMFSLPDLFFLSFLHPLRIYLRTQNITLPLTYCSAVSVILHVPLNFVLVVHFKMGVAGVAIAMIWFNLNLLIFLWSFVFFSGVYKDSWVFPSMECLRGWSSLLKLSIPSCVSVCLEWWWYELMIVLCGLLVNPKSTISSMGILIQTTSLVYVFPSSLSLGVSTRVGNLLGENSPSKARFSMIVSMFCGFGLGILAMVFTILMRNQWGRLFTNDEEILNLTAMVLPIVGLCEIGNCPQTTGCGVLRGSARPTVGANINLGSFYLVGMPVGIFLGFVMKKGFVGLWFGLLAAQGCCAILMLFVLCRTDWNNQVERAKNLTKTTTNSTLLPTFITKNVLSHEGKNIVNHRGCLEESIGITHHDKDDDYVTKKCTQSLETDPLLSNMLTLS >CAK8565766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:329818155:329820669:1 gene:gene-LATHSAT_LOCUS18951 transcript:rna-LATHSAT_LOCUS18951 gene_biotype:protein_coding transcript_biotype:protein_coding METRTPGIGSTRGYASITQASLDGSNSVIDELNCVLNEKKLAVEEVDSRMGSFGNEENPAPAGDGHLTVSKQKEKELVDEVTLRTLEVEHLERELELMKAAAEMAFDNQQSIDLYLDQLTEQVHAKRDYFLTLESEWDDVRKALEERKKTLEESLYSNNPDAQVMLQKLREGQLEEQFISSEIRKREEEHSKLLAGLEKQQKVASRKSYTHRIEEITKNSRKQDADIERILKETREVQLESNSIQESLHRTYVVADEIVFREAKKDPIGQQVYKLLVNIHKGFEQIPEKILATDRIRREVSDCEMKLATIPSTSRSLEVSKLQSDLDGVIIRDNEKPK >CAK8533926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672061179:672064319:-1 gene:gene-LATHSAT_LOCUS3513 transcript:rna-LATHSAT_LOCUS3513 gene_biotype:protein_coding transcript_biotype:protein_coding MTILATYFHVTFFLILSLANSESQQEHSILLKIKLHLQNPSFLTHWNSSNTSYCSWPEVTCTTGSVTGLTLVNHNINQTIPSFICDLQNLTHVDFSHNLIPGEFPKDLFKCSNLEYLDLSLNNFVGKIPYDIQNMVHLQYLNLGSTNFDGEIPSSIGRLKDLRFLGLKYCFFNDTFPFEVIGELLNLEFFDLSFNPLLPLKLPLGLTKLNKLKFFTIHGSNLVGEIPENIGDMVALETLDLSGNNLSGKIPSGLFMLKNLSKVYLNSNNLSGEIPSSVEAFNLTEIDLAKNNLSGKIPNDFGKLQKLTVLHLHMNNFSGEIPESISRLESLTEFHVFMNKFSGTLPMNFGLFSKLESFLIAMNNFKGMLPENLCYNGKLQLLTVSENSLSGELPKSLGNCGSLVELKVYKNQFSGKIPDGLWRAENLTRFMISHNKFNGELPQNLSSSISLFDISYNQFYGGIPIGVSSWTNVVKFIASKNYLNGSVPQELTALPKLQTLLLDQNQLKGSLPNDIAAWKSLVILDLSQNQLIGKIPSSIGHLPSLSALDLSENQFSGEIPSIPSRITNLNLSSNYLTGKVPSDFENSAFDRSFLNNSGLCADTPKLSLSLCGFGLEKPTKTSHWSIGLIISLIVVTFLLALFASFMIIKLYKKRKDESESSWKLISFQRLSFTELDILSSMTEQNIIGSGGFGTVYRVPVNGLTYVAVKKIMSNRKLRQKLETSFRAEVKILSNIRHRNIVKLLCCISNEDSMMLVYEYLEHSSLDKWLHNKNKSSNMSGSARHVVLDWPKRLQIAIGIAHGLCYMHHDCSPPIVHRDIKTSNILLDSQFNAKVADFGLARSLMKPEEFNTMSAVIGSFGYMAPEYVQTTKINEKIDVFSFGVILLELTTGKEANYGDEHSSLVEWSWRHVLVGSNIEDLLDKDFVEPSCLDEMCCIFKLGIMCTSTLPSSRPSMKEVLHLLVRSERGFVFGGRNDVVGEYDVVPFLKNSKLDSRRIDVVDSDSD >CAK8570446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46748333:46749613:1 gene:gene-LATHSAT_LOCUS23193 transcript:rna-LATHSAT_LOCUS23193 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKETGMRKEVEESDVEKEKENHQEALKKIIASHPLFEVLIESHINCFKVGSEDAGEFDIKRDAWKKLVNTESKETTSPNTSELDHFMEAYCMALGKLKEVIEEPAKEANAFINATYIQLKELDEGNHLAT >CAK8537935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448572259:448574473:-1 gene:gene-LATHSAT_LOCUS7183 transcript:rna-LATHSAT_LOCUS7183 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDAKMDADSKGLEASLQNLIQLHHHKSLILANQSEKSKKDAIKKAERVSDLLVEAVNGGVQNSFVYQKRIELEIRALAVTITRFTKQTDQWLNATHSLNTAIKEIGDFENWMKIMEYDFKSISAAIQNIHQD >CAK8533272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598736111:598737339:-1 gene:gene-LATHSAT_LOCUS2913 transcript:rna-LATHSAT_LOCUS2913 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKPQEEICTVIQAKEVQQEPYDDHTKVELVEPPSSASVGERITSPGLVKYIPLDEMHNRKVCVLCNLKPASMRGIKSQAMVLAANKDDPTSSLLFAGDDEERYRGCESLVLSCPSCSTTFDCPPMFKTMCILGNEKPDSLGADESDYNFWHKLGCPKCFENGVGRISPAMIANQVKRQAEKFVLMYYRGLLTCDDETYEGFPRVSLDALVGVFTRLGRDVEKIGIADSYPLAERAASVTEEAKPLLTKMKVVAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAYSSIMTPEDTELIQKSIYTLIFTLKNSENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8569117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672546326:672546640:-1 gene:gene-LATHSAT_LOCUS22001 transcript:rna-LATHSAT_LOCUS22001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKSTKLPQTQLIKQILKRCSSLTKKQQQSYDDPRLHVPKGHFVVYVGENRSRYIVPISFLTRPEFQNLLHQAEEEFGFDHDMGLTIPCDQDAFESLTSMLR >CAK8565843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342911199:342911723:1 gene:gene-LATHSAT_LOCUS19022 transcript:rna-LATHSAT_LOCUS19022 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFGGWIYKNSPIPITKKPDLTNPVLRAKLAKGMGHNYSGEPAWPNDLLYIFPVVILGTIACNVGLAVLKPSMIGEPVDPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPAGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIEKSLTLGIF >CAK8543153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583260356:583266807:-1 gene:gene-LATHSAT_LOCUS11932 transcript:rna-LATHSAT_LOCUS11932 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNSPPWKFHVFLSFRGEETRNKFTDHLYAAFKGSGLTVFKDDTELQRGEVIAPELLKAIEQSLSSVVILSPDYASSRWCLDELVTILRSRIQFGQNVFPVFYDVDPTDVRHQRGSYAEAFAKHGERFGDDSEKVRKWREALNQVAELSGWSSKGRRETELIEEIVAEVWKRLQPKLPQYDDELVGIDSRINTVCSLLRTESLEIRFAGIWGMGGIGKTTLAKLVFNKIHNQFDFSCFLENVREVSSERDGLLCLQRKLLSHLKISSMRIESLDQGKETIRNLLFNKKVLLVLDDLSSDIQLENLAGKQEWFGPRSRVIITTRDKHLLVSLGVYETYDAQILNRDESLQLFCQKAFRREKPEEAFIELSKRVVQYAGGIPLALKVLGSFLCGRKASVWEDALKMLQQDLQNDVYKTLKISYDGLRDTEKAIFLDIACFFKGSLKDHVTQILKNCGHNPLIGIDVLIEKSLITDDGLHLGMHDLLQEMGRNIVLHESLNDASKRSRLWSLKDVDQVLRNNKGTESTQAVVLNLPESFQASWNPEAFAKMCNLRLLMILNKLQLPLGLKCLPSGLKVLVWREYPLESLPVGDQSDELVDIDMCHSKIKHLWRGMKLLGNLKTINLRNSKYLHQTPDFTGIPNLENLDLEGCINLVEVHASLGLLKKLSYVTLEDCKNLKSLPGKLEMDSLKRLILSGCTAVRKLPDFGESMTNLSTLALDEISIAELPPTIGYLTGLNSLVLRDCKNIVSLPNTFSNLKSLKRLNLSGCSKFSKLPDNLHENEALECLNVSDTAIREVPSSIVHLKNLIVLLFHGCKGITWSSESSRLPLGKIFRFGTHAIPKKLILPSFSGLSSLKKLDLSYCNLYDESIPDDLGCLSSLVTLDLSGNNFVNLRDGCISKLSKLERLVLKSCQNLQSLPKLPPNVHFVNASDCGSMEPLSSPQEIWGHLASFAFDKLQDASPIKTLLVSPGNETPSTFFYQNYFDRDIQYLKENYIWADSTVSISMDIAQLRQRYDRSEWWGMLVCLVVEDVASSTPSQDYRVGWISKFPATNSILGQLCQKLLEHGFISGVPNSKHPHLLVLYIPVPSSRWSYVKDKFQLIFFSSSLKSKLVIKKCGWRVLCKEDAQLMRKSLPECSTSSADQCASRGNCLSSSPLTSWRWISRLKVPQCFKTFLLAVLCERLPTIAKCRFCSMEGATTIHVLRNCRRACAIWVQMVPPQVHDEFFSISLHDWMQRFLRRSWLPNNCEDYDADCLRFSVTTFLLWKDGNNSIHKGDSLTDNGLYSMIKSLVQEHTSPTRHFVKLNVDGCCIENPRNAGYGGLFRDVEGNWLGGFYGSLGFTTNMKAELYAICQGLITAWDLGYKNVLVETDSLEAINHIEEANIEHDVYGNLVADIRSLMQRNWSLNLVHSLREDNACADILSRLGAEQHEVYCFHAYPPQQLQRALMADALRVQLPSM >CAK8572986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574535655:574539720:-1 gene:gene-LATHSAT_LOCUS25483 transcript:rna-LATHSAT_LOCUS25483 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDKFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKDDLVVSASLDQTVRVWDIGSLKRKNASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSAADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFTVSGDSLFYTKDRFLCFYEFSTQRDVQVLPFRRPGSLSLNQSPKTLSYSPTENAFLLCSDVDGGSYELYCISKDGYGRGDVQDAKKGHGGSAVFVARNRFAVLEKSTNQVLIKSLKNDIVKKSALPIATDAIFYAGTGNLLCRSEDRVVVFDLQQRIVLGDLQTPFIKYVVWSNDMEHVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDNGIIKTLDVPIYITKVSGNVIFCLDRDGKSRVITIDATEYIFKLSLFKKKYDHVMNMIKNSQLCGQAMIAYLQQKGFPEVALHFVKDEKIRFNLALESGNIQIAVASATAIDEKDYWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERVKVLENVGHLPLAYIAASVHGLHDVAERLATELGDNVPSLPEGKVPSLLIPPSPVMCGSDWPLLRVMRGMFDGAFDNTGRGVDDEEEYEAADGDWGEGLDIVDVDAALQNGDVAEILEHGEVAEENDEEGGWDMEDLELGPEADTPKASIGTQSSVFIAPTPGMPVSHIWVQKSSLAAEHAAAGNFDTAMRLLNRQLGIRNFAPLKSIFLDLHTGSHSYLRAFSSAPIISLAVERGWTESSSTNVRGPPALPFKLSQLDEKLRAGYKFFTAGKFTEALKTFVNILHTIPLIVVESRREVDDVKELIIIVKEYVLGLQIELKRRETKDNPVRQQELAAYFTHCNLQVPHLRLALLNAMSVCYKAKNLATAANFARRLLETNPTIENQAKTARQVLTAAERNMTDATQLNYDFRNPFVTCGATYVPIYRGQKDVACPYCTSRFVPSQEGQLCAVCDISVIGADASGLLCSPSQVR >CAK8569635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7063266:7064792:1 gene:gene-LATHSAT_LOCUS22463 transcript:rna-LATHSAT_LOCUS22463 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTLGQEFFSRATVEEGNGATNVRARILLPGFLHSVKLKYLKLGYPYLISNLLTLSFIPLIIITLFQISQKQNLNLIHIITFSGFTVFVFIFHIHTRPRAVYLIDFSCFRPADHLKAPFHSFIQHSHLTGDFDYSSLDFQRKILLRSGLGDETYAPEAMHCIPPRPSISAAICEAESVMFGALDNLFINTNLNPKEIGILVVNCSLFNPTPSLSSMIVNKYNLKSNVKSFNLGGMGCSAGVVAFDLGRDLLMVQKNDTYAVVVSTENITQNWYSGNKKSMLIPNCLFRVGGSALLLSNKSVDRTRAKYKLVHVVRTNCGADEKAYRCVYQEEDAVGKTGVSLSKDLMGIAGLTLKTNIITLGPLVLPVTQQLRFFANLVLRKFSNSDVKPYIPNFKLAFDHFCIHAGGRGVIDELEKNLDLLPVHVEPSRMTLHRFGNTSSSSIWYELAYIEAKGRVKKGNRVWQIAFGSGFKCNSAVWEAIRDVNPSPYGPWEDCIDKYPVEILT >CAK8573589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623340030:623341725:-1 gene:gene-LATHSAT_LOCUS26022 transcript:rna-LATHSAT_LOCUS26022 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLERIQNKINRQVTFSKRRSGLLKKAFELSVLCDAEVALIIFSSLGKLFQYSSTDLNKIIEKYRQCCFNNMSENGDLEEHESQGLYEELLMLRVKHESLAQTQRNFLGEELSALSIKDLQNIENQLERTLAQARKHQMQKLMARVDELRQEVHKVEEVNKQLESKERGLSTSVCDDSTDLTISNNNNNNTITNLHDAQVNEFEYGQLRRQQVASKGQEIDTRIGRDQSSRNKNNGWMNI >CAK8541025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:45887131:45887544:-1 gene:gene-LATHSAT_LOCUS9978 transcript:rna-LATHSAT_LOCUS9978 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGATIVHFLEDEEAFNDSVGNRFARLDTNHDGLLSYDEMLKELQGLRVFETHFGIDVESDPKELARVYASLFIQFDHNLNGTVDLEEFKKETKEMMLAMADGMGFMPIQMVLEEDSILKKAVEREYNKVAA >CAK8566722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455682757:455683224:1 gene:gene-LATHSAT_LOCUS19834 transcript:rna-LATHSAT_LOCUS19834 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATWTEVRQKQSKQVNKISVWTAKLGNDHVAGKHLSYFFFTEFPESYNAKEMAEMFKDFGLLSDVFILERRDKRGRRYSFARFRKVYEERLIVARLDSIQIKGKKIFANVPRFQRVRVRAMPNNTNKLNLGVQQRIQHRDGWKTGIQGKSFVQ >CAK8531049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:75881573:75882859:1 gene:gene-LATHSAT_LOCUS865 transcript:rna-LATHSAT_LOCUS865 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSLLSLLTISLLSFSSSSQLILPIEKDPITNLFYTSLSIGTPEHTFNLAIDLAGPILWYDCNKNYTSSTYTPLPCESKLCNGDAGCTSCNGHFKPGCSNNTCGANIINQLADSIFSGDTGKDELFISHTKLSGLLSGCTDVDGFSDDSPLKGLPKATRGILGLARTRLALPTQLSLSSSHKLPQKFALCLPSSNKKGLGSLFIGGVPQQNSSFSKFQLATIPLIINPFSTAPIFTEGDASYEYFIDVKSVKVGGEILNFKSSLLSIDNKGNGGTKFSTLNSFTVLHSSILKPLVRDFVKKASDKKIKKVESVAPFEACFDLSTIGRTVTGLDVPTIGLVLEGGVEWTIYGGNSMVLVNKNVACLGFVDGGKEPRTAVVIGGNILEDNLLEFDLVSSKLGFSSSLLLHNASCSSLEASGNLVSIQ >CAK8571908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492296327:492306483:1 gene:gene-LATHSAT_LOCUS24531 transcript:rna-LATHSAT_LOCUS24531 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCASLSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSAHIQTVVCIPVLDGVVEIGTTDKIQEDLNFIKHVKSFFIDHHSLPPKPALSEHSTSNPNYSTDHIPAIMYTVADPPCTAIPNQDDMDEDEEEDEEDDEVESESEDETNQGRNQHATSIIEVAEPSELMQIEMPDDIRIGSPNDGSNNLDSDFHLLAVSNQENPSRQVDSYRTERWGPIEEPPDDPLQVQLSSSVLHHPLEDLTQEDTHYSQTVTTVLQNQWIDSPSINYINYSTQSSFTTWTNHHFHPPPPPDTATSQWLLKYILFTVPYLHTKNHDETSPQTHDTAGVNSNDPSARLRGKGTPQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYLKQLRRKIQDLETRNRQMESEKSGVPVLVGPTEKKKVRIVEGNGGGGGVRAKAVEVVEKEIVASVQVSIIESDALLEIECLQREGLLLDVMMMLRELRIEVIGVQSSLNNGVFVAELRAKVKENGNGKKVSIVEVKRALNQIIPHNNI >CAK8576427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:474776453:474776776:1 gene:gene-LATHSAT_LOCUS28615 transcript:rna-LATHSAT_LOCUS28615 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRARSSPTLLGVGLRLSPPRVTTSALFDDVLSNESSSTELVHSSEGSCVSCDTAQETKAMLLVGCTRCLMYVMLPEIDPKCPKCKSTALLDFLNNEEITSKKTSS >CAK8572964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573259265:573264460:1 gene:gene-LATHSAT_LOCUS25462 transcript:rna-LATHSAT_LOCUS25462 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESSYVSSPEGPRKHASSPPPESPSQDSVEKPTYVRFLVSNSAAGSVIGKGGSTITDFQSQSGARIQLSRNNEFFPGTTDRIIMVSGAITEVLRAVELILSKLLSELHGEDDNDVEPKTKVRLVVPNGSCGGIIGKGGATIRSFIEDSQAGIKISPQDNSYYGQNDRIVTVTGTLDEQMRAVDLVVSKLAEDSHYSQSMNAPFSYSGVYVSGYQGVPYTYVLPSVAPPAYNGVSYRPNGTGPKFQNSKEDRSNSMTIGVADEHIGLVVGRGGRNISDISQVSGAKIKISDRGDYISGTTDRKVTITGSQRSIRTAESMILQKVSYATERVVE >CAK8537409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:337733246:337734765:1 gene:gene-LATHSAT_LOCUS6702 transcript:rna-LATHSAT_LOCUS6702 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLFLKFFFLLHIVFFFGFLGASEPQLTLDYYASTCPTVFDIVRKEMECSILSNPRSAAFILRLHFHDCFIQGCDGSILLDDTITLKGEKKAAANIHSLKGFGIIDQIKNFVESECPGIVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTASFDLTNTNLPTSDESLVSIIPKFLYQGLSVTDMVALVGSHTIGMARCQNFRSRIYGDYESTSAKNPISDNQLNNLKSVCPPIGGGYNNKTAMDYATPNLFDNSFYQLLLKGEGVLNSDQEMYSSVFGIETRELVKKYAADPLAFFQQFSDSMVKMGNITNSESFITGEVRKNCRFVNT >CAK8561286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:137065314:137065904:1 gene:gene-LATHSAT_LOCUS14894 transcript:rna-LATHSAT_LOCUS14894 gene_biotype:protein_coding transcript_biotype:protein_coding MMENLTFFLILVASICATTIASIPPAEMKYLHKCAEDMGVECGKQVYNKLFTSNVTEVTEDCCYKILQTGYYCHSKMSLFILETNPRFKNEEWIHYLTNSDEIYQKCDVLTKPEDPRFLGTCVEEIGTQCGKEVFYNIVNDKSMSNECCGKLVKMGQKCHINMAKALIRTPEMRKVDAVKFLERNLKVFFQCKIRK >CAK8561287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:137065317:137065904:1 gene:gene-LATHSAT_LOCUS14894 transcript:rna-LATHSAT_LOCUS14894-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTFFLILVASICATTIASIPPAEMKYLHKCAEDMGVECGKQVYNKLFTSNVTEVTEDCCYKILQTGYYCHSKMSLFILETNPRFKNEEWIHYLTNSDEIYQKCDVLTKPEDPRFLGTCVEEIGTQCGKEVFYNIVNDKSMSNECCGKLVKMGQKCHINMAKALIRTPEMRKVDAVKFLERNLKVFFQCKIRK >CAK8577959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599057415:599057826:1 gene:gene-LATHSAT_LOCUS30015 transcript:rna-LATHSAT_LOCUS30015 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKPSEDFSSKATNIVASIIGDLVEKSTQGDIIFEGQEGVLTVALGTNEHPGRIHTTIRGVGFKKFFGKNSRLISVGVSQDDILALQKRPNANFQHKLQKDLKQ >CAK8535738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878797626:878798248:1 gene:gene-LATHSAT_LOCUS5166 transcript:rna-LATHSAT_LOCUS5166 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRLENCSKALELNQDVPLKFIWATSVTVLPLGSNLIKVEVGSKTLRHNRVAGIHTGCYDIFLLYAWGEESTPNK >CAK8530482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23673952:23674575:1 gene:gene-LATHSAT_LOCUS343 transcript:rna-LATHSAT_LOCUS343 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIQPCDTGIIRAFKMHYRRRFYRKILEGYKVGQSDPGKINVFDAINLAILAWTIDVRKETIVNCFRHCKIRSASDIARNLDESTFDEETQDLETMINQCGYRNKMDIDNLINYPGENEACSEVQSLEDIVSTIIENNAEDDDEDDTLSLEPVTRKESLMTLNTLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKEKQTTMNY >CAK8530483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23674003:23674575:1 gene:gene-LATHSAT_LOCUS343 transcript:rna-LATHSAT_LOCUS343-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRRRFYRKILEGYKVGQSDPGKINVFDAINLAILAWTIDVRKETIVNCFRHCKIRSASDIARNLDESTFDEETQDLETMINQCGYRNKMDIDNLINYPGENEACSEVQSLEDIVSTIIENNAEDDDEDDTLSLEPVTRKESLMTLNTLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKEKQTTMNY >CAK8533921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671560502:671561050:-1 gene:gene-LATHSAT_LOCUS3508 transcript:rna-LATHSAT_LOCUS3508 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSAFLNGYLNEEVYVEQPKGFAEPNLPNHVYKLRKSLYGLKQALRAWYERLTEFLTVNGYRKGGIDKTFFVKDEGGKLPQIYVDDIVFGGMYERMVKKFVNQMQYEFEMSLCEDLTYFLGLQVKQMEDFIFLSQNKYAKNIVKKFGMDNASHKRTPVTPVLFRIKELNRSNFGQKCRIP >CAK8567430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513642275:513645993:1 gene:gene-LATHSAT_LOCUS20484 transcript:rna-LATHSAT_LOCUS20484 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFCVSSVAATTTATTAASTRILCATPYPHSSIRHFSSHLLPTTSVSSSSQRFKCIHIKAMAETDAISEPKTGSKQALISLSDKKDLALVGNGLQELGFTIVSTGGTASALERAGVAVTKVEQLTHFPEMLDGRVKTLHPNIHGGILARRDQKHHIDALSTHGIGTFDVVVVNLYPFYDKVTSTGGIEFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDTEDYAALLEYLKGNQDDHFRLKLAWKAFQHVASYDSAVSEWLWKQSVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKRLAEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKEIREFRSPTDGETRMFYEIVVAPSYTEKGLEILRGKSKTLRILEAKKNEAGKLSLRQVGGGWLAQDSDDLTPRDINFNAVSEKTPQDGELRDAEFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRVESLRIAMRKAGADVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDQDAIDCCNKYGVSLLFTNVRHFRH >CAK8575297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:72815379:72818492:-1 gene:gene-LATHSAT_LOCUS27571 transcript:rna-LATHSAT_LOCUS27571 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKLVVEVHDASNLMPKDGKGLANPFVQINFDEQQVKTQTKHKDLNPYFNEKFVFNINTSRDLSHQTIEVVVFNHNEKKPTSKKNLLGKVRISGNSIPYSESESSLKRYPLEHSKGDIALKMFAFHDPFANTPPPPNPQQHSQAKSNSYAEILEPDPDEEIPLQEINTNIHMEDEESMASDSERKKKNKKKKEKEVRTFHSIGTEKPSSHGHGHGHSHAPASAFPPVHAAKFPSFVSPTVETQTQTRVDLAKSGPPNVMLMQIPRQNPEYALVETAPPLAARLRYKGGNKVSTTYDLVEQMHFLYVNVVKAKDLPVMDITGSLDPYVEVKLGNYKGVTKHLDKNQHPVWKQIFAFSKERLQSNLLEVTVKDKDIGKDDFVGRIMFDLTEMPVRVPPDSPLAPQWYRLEDKKGMKIHNGEIMLAVWMGTQADESFPEAWHSDAHNISHHNLANTRSKVYFTPKLYYLRVEVIEAQDLVPSDKGRVPQASVRVQLGSQMRFTRPSQMRAVNPIWNEELMFVAAEPFEEFIVVTVEDKLGPNNIEILGREIMSVRNVPQRMETGKLPDSRWFNLHRLSAVGEEETERKKEKFSSKIHLRICLEAGYHVLDESTHFSSDLQPSSKHLRRRNIGILEVGILSARNLLPMKGKDGRTTDAYCVAKYGNKWVRTRTLLDTLSPRWNEQYTWEVHDPCTVITVSVFDNHHINGSSDNRDQRIGKVRIRLSTLETDRVYTHYYPLLVLQPNGLKKNGELHLAVRFTCTAWVNMVAQYGRPLLPKMHYVQPIPVRHIDWLRYQAMQIVAARLARAEPPLRRESVEYMLDVDYHMWSLRRSKANFHRIMSLLSGFTAVCKWLNDICTWRNPVTTCLVHVLFLILVCYPELILPTIFLYLFVIGIWNYRFRPRNPPHMDARLSQAEAVHPDELDEEFDTFPTTRPSDIVRMRYDRLRSVAGRVQTVVGDLATQGERAQAILSWRDSRATSIFIIFSLIWAVFIYITPFQVIAIIVGIFMLRHPRFRSKMPSVPVNFFKRLPSKSDSMF >CAK8560010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4888677:4891382:-1 gene:gene-LATHSAT_LOCUS13732 transcript:rna-LATHSAT_LOCUS13732 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFWLFKFSFLILYGVVHIEAFHQTHSSTFNLNKTYKPSFHFQPSKNWMNDPNGPMRYKGLYHIFYQHNPKDVKWSNNSIVWGHSVSKDLVNWFSLQHALTPTKPYDINGCWSGSVTFLSSNKPNILYTGIDKNNHQTQNLAIPKNASDPFLKEWIKSPKNPLMMPTIGNKINATSFRDPTTAWIGHHDRFWRVLVGSQEKGNKGITLLFKSKDFVNWVESKKPFYSAKKIGMMECPDFFPMMMINGSIKHVLKVSLFDVSHDYYLIGTYDTIRDVFNPDKGFEENKNELSRVLRYDYGKFYASKTFYDGVKKRRVLWGWINESSIQEDDVQKGWSGIQAIPRTLLLDKSGKQLIQWPIVEIEKLRTEPYVKLHSKLLKRGTLHEISGVTTTQADVEISFEVNDLEKAQALDPSWKMDPQHLCTENGSKVKEKGLGPFGLLVLASKDMKEYTSIFFKVFRVNKKHVVLMCSDQSRSSLNHKNDLTTYGAFVDVNPIHDELSIRILIDHSVVESFGGQGKACITSRVYPTLAINDKAHLHVFNNGTVDVKIKKLNAWSMKKAKINEIH >CAK8572715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557769516:557769710:1 gene:gene-LATHSAT_LOCUS25245 transcript:rna-LATHSAT_LOCUS25245 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRIAHATLKGPSVVKEIIIGITLGIAAGGLWKMHHWNEQKKTRTFYDLLEKGEISVIAEEE >CAK8571224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:341746596:341749137:-1 gene:gene-LATHSAT_LOCUS23906 transcript:rna-LATHSAT_LOCUS23906 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFFFIFFHSFLFLLLSSSVSPRNFPIIPFDEGYSPLFGDNNLIIHSDSKTVHISLDESSGSGFASHDIYLHGYFGASIKLPSDYTAGVVVAFYLSNGDMYEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTNIGREERYGLWFDPSEDFHHYSILWTDSKIIFYVDEVPIREIKRTESMGGDFPSKPMTLYSTIWDASTWATNGGKYKVNYKYAPFVAQFSDFVLHGCAVDPIEHVTNCDNSLQTSETLPSSDLTQVEKIKMDNFRLKHMTYSYCYDKTRYKVPLPECVIDSRQAEKLRKFDPVTFGNGRHRRGKRHHHIAKEAAAF >CAK8565908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:350380994:350381499:-1 gene:gene-LATHSAT_LOCUS19083 transcript:rna-LATHSAT_LOCUS19083 gene_biotype:protein_coding transcript_biotype:protein_coding MQETSKEIPAGSLPRSLDVILRHEIVEHASAGDTVIFTGTVIVIPDILALASPGERSEFRREASQRKGSSSGNEGVRGLRAFGVRDLTYRLAFIANSVQVGYLCFIIGLLNFYDVTLAVIDVFSEWFVPDL >CAK8578738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650620753:650623700:-1 gene:gene-LATHSAT_LOCUS30724 transcript:rna-LATHSAT_LOCUS30724 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELDAFTALVIILCFLGLILKETVMGGNTSKGSHKRHVTSPSSSSSYGSVGSSSSSDIHNYGYPYAQSAYPYPQPQHKPYQRPNHHRNIQTPLHDYSRPNRKLDRRYSRIADDYHSLDEVTAALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNGQNPYEQAIAIIGKTLTSFDEDNMIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLSKYREIVPCLRLAGPTSFAPIIEMAMTIVEKSGGQYHVLLIIADGQVTRSVDTENGKLSSQEKKTIDAIVKASEHPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVDSNRKETEFALAALMEIPSQYKATIDHNLLGTQRGHSPDRVPLPPPQYDRASSSNGAASFRSNSFQQRPNSFQHSTHAYASNNNETNTEPSSSGLYDNKVCPICLTNAKDMAFGCGHQTCCECGESLELCPICRSTIDTRIKLY >CAK8538280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474881448:474884544:1 gene:gene-LATHSAT_LOCUS7495 transcript:rna-LATHSAT_LOCUS7495 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSCWLNRRIEQRFRLLAISTVKSVKIKLLLFFCVALTLLAFSTTGSTFLLWSNNNNNNYQTPPLRRFTDSRKGYSIVMNTWKRYDLLKQSVKHYSSCPRLDSVHIVWSEPDPPSESLLKFLYQAVKSKSRDGQYVKLRFDINKEDSLNNRFKEIKDLETDAVFSIDDDVIFPCSSVEFAFDVWQSAPNAMVGFVPRVHWVDSLNGDDIKFKYGGWWSVWWTGTYSMVLSKAAFFHKKYFSLYTNEMPSSIREYVTNNRNCEDIAMSFLVANATGAPPLWVKGKIYEIGSTGISSLGGHSIRRSECVNVFTAMFGQMPLVYTSVKAVDSRNVWFW >CAK8538782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496265586:496271690:-1 gene:gene-LATHSAT_LOCUS7944 transcript:rna-LATHSAT_LOCUS7944-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTQATELRQYCPLCCPLSRPFPLSLSSRSSLLSPTPHRREIKAIQIGVNDLRNSVMHSNCVRADLWCSKLTFVGLNKYDTKARYEQNLRYKNPVCDTFMKFSNSSTSMIEELTEEKERSTSHSYEMQVRKVGVAPCNPELSTTADSMLVQMTEEEARNSSHSYETQVTKVGVALCNPDLSTTATKRKLSCGDKRGWAMAKNKLAEDRKEMNSANKFETKSDDSQLSNKLASVSRIQGFSTEEVSNLVWQNPGEHVQSSVKYSVLDNLKTLTDSETMVHQFNESALEISQEKIPRVNVNDVLEETTKGSTDATFANTKDSTDATFAKKKTTKDSTDATFAKKKTTKDSTVATFAKKKTTKDSTDATFAKKKTTKDSTDATFAKEETTKDSTDATFAKKERCADQLKLHDRLCRIYEDVLVVDNIPLAKEVVKMLTVKYRHLIYACDTEVAKIDVKQETPVDHGEITCFSIYGGPEADFGGGKSCIWVDVLDGGGNEILEKFADFFSNPSIKKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRQLNGGYSLEKLSGDKKVMSRAQMNHEKDLIGKVSMKTIFSKKKVKKDGTEGKAIIFTPVEDLQRDERIPWICYSALDAKSTLNLYESLKSYLLDMPWKFDGILVSGKTMYDFYNEYWRPFGEILVQMEAEGMLVDRSYLAGIEKVAKVEQEIAEDRFRKWACRYCPDARHMNIGSEIQLRQLLFGGTVNRKNSSLSLPTERVFKIPNVDGVIEEGKKAPKKFRDMKVKSLGYNLKTEMYTASGWPSISGDALKVLAGNISSDFDFTDEVYNLDLDDEHENPSQNHIEVPKGDNSAYGTAFAAFPTEKEGREACHAIASLCEVSSIKSLISNFILPLQGHNISGKDNRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMMDAFKAGGDFHSRTAMNMYPYIREAVEKKEVLLEWDPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTPVGLSKDWKVSVKEAKKTVDLWYNDRKEVLQWQEERKKEARQFYCVYTLLGRARKFPLMAQANTYQKGHIERAAINTPVQGSAADVAMCAMIQISNNKKLKELGWKLLLQVHDEVILEGPTESAEIAKSIVVECMSKPFYGKNILKVDLSVDAKCAQNWYSAK >CAK8538783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496265586:496271690:-1 gene:gene-LATHSAT_LOCUS7944 transcript:rna-LATHSAT_LOCUS7944 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTQATELRQYCPLCCPLSRPFPLSLSSRSSLLSPTPHRREIKAIQIGVNDLRNSVMHSNCVRADLWCSKLTFVGLNKYDTKARYEQNLRYKNPVCDTFMKFSNSSTSMIEELTEEKERSTSHSYEMQVRKVGVAPCNPELSTTADSMLVQMTEEEARNSSHSYETQVTKVGVALCNPDLSTTATKRKLSCGDKRGWAMAKNKLAEDRKEMNSANKFETKSDDSQLSNKLASVSRIQGFSTEEVSNLVWQNPGEHVQSSVKYSVLDNLKTLTDSETMVHQFNESALEISQEKIPRVNVNDVLEETTKGSTDATFANTKDSTDATFAKKKTTKDSTDATFAKKKTTKDSTDATFAKEETTKDSTDATFAKKERCADQLKLHDRLCRIYEDVLVVDNIPLAKEVVKMLTVKYRHLIYACDTEVAKIDVKQETPVDHGEITCFSIYGGPEADFGGGKSCIWVDVLDGGGNEILEKFADFFSNPSIKKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRQLNGGYSLEKLSGDKKVMSRAQMNHEKDLIGKVSMKTIFSKKKVKKDGTEGKAIIFTPVEDLQRDERIPWICYSALDAKSTLNLYESLKSYLLDMPWKFDGILVSGKTMYDFYNEYWRPFGEILVQMEAEGMLVDRSYLAGIEKVAKVEQEIAEDRFRKWACRYCPDARHMNIGSEIQLRQLLFGGTVNRKNSSLSLPTERVFKIPNVDGVIEEGKKAPKKFRDMKVKSLGYNLKTEMYTASGWPSISGDALKVLAGNISSDFDFTDEVYNLDLDDEHENPSQNHIEVPKGDNSAYGTAFAAFPTEKEGREACHAIASLCEVSSIKSLISNFILPLQGHNISGKDNRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMMDAFKAGGDFHSRTAMNMYPYIREAVEKKEVLLEWDPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTPVGLSKDWKVSVKEAKKTVDLWYNDRKEVLQWQEERKKEARQFYCVYTLLGRARKFPLMAQANTYQKGHIERAAINTPVQGSAADVAMCAMIQISNNKKLKELGWKLLLQVHDEVILEGPTESAEIAKSIVVECMSKPFYGKNILKVDLSVDAKCAQNWYSAK >CAK8531686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139555316:139556404:-1 gene:gene-LATHSAT_LOCUS1459 transcript:rna-LATHSAT_LOCUS1459 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVQIAEEAIASGNKDRALKFMKIAKRLNRHLSLDSLFVKCERLDSQSAASSSGVGTLPAKDKPGRTRVDGLNVERSYTEENVKLIREIKGKSDYYSILGLEKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRREYDQGGLVDEFDSIYNQQNSFRRRRRSNTTSHDVFEEEFDPNEIFRAFFRQPDVFGRRNHVYTTRGGGGMGNQHQRHERHEVQGGGGHYLMLMIQLLPLLLIVLLAYLPFSDPEYSLHKNQSYQIPKVTEDYGVQFFVKSSAFDANYPIGSSARETIEDNIIKDYRNILRRYCRVEIQRRTWNRNLPVPHCEKLQNFGVVV >CAK8530599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33772276:33773436:1 gene:gene-LATHSAT_LOCUS452 transcript:rna-LATHSAT_LOCUS452 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAEHIAYDTIMATLSISLIILGIILFFACKKKPVESEETLPVKHSARAYPLTEIDAATNGFNHRRIIGKGSLGTVYAGKLESEELVAVKRIHPVLVLSNAGFGFSSVIKWLSLAHHPNVVPIIGFSEAPGERIIVMEFVRTANLEFYLHENHDGVSSSLLDWNKRFKIAAGVAKGLQYLHEVVAPNIIHGCVKSSNVLIDVNFCARVSDYGLNFLGLVEKRGLMGYVDDEYWNEGIRGGGVCKESDVYGLGVILLELLSGRGCEGGLLVKWALPLIKDMRYSEVLDPRLMIPSDMKAIVRLAKVALACVGNSRKCRPCVDHVATILNDLEMEVCYLSN >CAK8579654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714901517:714902773:-1 gene:gene-LATHSAT_LOCUS31584 transcript:rna-LATHSAT_LOCUS31584 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPEHRLNLLNEHTEKHFTASHVVRDIIIGVSDGLTAPFALTAGLSGANVTSSIVLTAGIAEVAAGAISMGLGGYLAAKSEVDHYNRELKREQEEIIDVPETEAAEVGKILAEYGIQKHVYEPVVKALTKNPQAWLDFMMKFELGLEKPDPKRALYSAMTIAIGYILAGLVPLLPYMFIQNTSQAVMFSVLVTLIALLIFGFVKGYFTGNKPIKSALETALIGAIASATAFGLAKALHT >CAK8576791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515475948:515477249:1 gene:gene-LATHSAT_LOCUS28959 transcript:rna-LATHSAT_LOCUS28959 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTNQCGWPLRIQDHLDKGSSIINKAPFSGYHSPSKGLDFPNVSHNCRRSARKCRPVFSSTVSDSMDPYDSDESEKNKSQNNDEVRGVNSEMLRESLEKIVGTDDSRFSGFDLATLIRKKYGKSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDVANSLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDASGARANEWIYK >CAK8566217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:392101241:392101627:-1 gene:gene-LATHSAT_LOCUS19370 transcript:rna-LATHSAT_LOCUS19370 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTKIFLLLWVLTLLSMHNVLAAHLTITNDLGDNLDLTIHCKSKDDNLGAHLLHHGQSYGFEFSNNFWGTTLFFCSFQWKDEFRWFDIYKESRDYGICTSCDWFIKKSGPCLHRFEMNPECSSWNN >CAK8576251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435828559:435831809:1 gene:gene-LATHSAT_LOCUS28456 transcript:rna-LATHSAT_LOCUS28456 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPLDFEVEDDLLVSLPINNKRKKVIGLDDLLNDHYKEQEKLLEKQNKQTKKKAKAKEKKKYDDEDRREAALVRIVEKCHNQLKAFGEENEIHVWGVYVFGEQKPFPALDIPELGSCNFIQSFLNNRLNSLVGLTADKGDNFLERLLVNGWLSKLAFLSGLVEKPVAICAFNTMLYSSKEELQNSSSDYWCTILSSRKEVDQLSVKIDWFPEYSDLRSALNIYGFLFKFSSNAEHESSDSNHGGPPQNIRAWIRFVTSCCRIRNTKAFFTTVEAEEIVEIIICLYLDRRIQGLLVLLSDCMQATVNYFTDQEWCASCENIAKFIASRVSKDLNCIRTVECVSKDSSRCKQLRSAIAYQNLLYCFDEANSGEEILKSLDAINFKDKSCNFFKIYIYLVLTENWVLSNNLFVEDNPVIYEMFCSFLRNCSTLISATDLRAYASKVRHRASYLLHFYF >CAK8532674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:472329814:472330421:-1 gene:gene-LATHSAT_LOCUS2356 transcript:rna-LATHSAT_LOCUS2356 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPPVKGRPRFVQPGDLEVNKKRLRSAAMCKSSIIHPPIKTTINLPPIQTKITPLPIETTITHLPIQTTKDVVVEDEDEDVVVGDEPGDDLVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKSVYWDVNVISK >CAK8567915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556676966:556677469:1 gene:gene-LATHSAT_LOCUS20924 transcript:rna-LATHSAT_LOCUS20924 gene_biotype:protein_coding transcript_biotype:protein_coding MATEENKDLIEILENLKLVDVAKYMNFVSAPQAGAIATFSGTTRDTFEGKAVLELIYEAYVPMAIRCIKSVCSSAREFWNLHSIAVAHRLGTVPVGETSIFIAVSSAHRADALEACKYLIDEVKATVPIWKKEVYSNGEIWKENAEFLERRSELSKKDVAVACSGKS >CAK8533561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636788042:636789157:1 gene:gene-LATHSAT_LOCUS3181 transcript:rna-LATHSAT_LOCUS3181 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGIYNKGCKYINNIFVVKEREIEIGCPTDVKHVAHIGWDGPTGGGPSWMNEFKTAPDFSTSIGSLNERKDPNLKAESTSDSNQDVKDPTINKPTPIMSKGDIPSDDSATHHVPKKPKRKKTKPTSSPRSRQTRAPRAPRPKGVYSERDCEREEKPIAQTFEDSNWQC >CAK8541886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:421758270:421761099:-1 gene:gene-LATHSAT_LOCUS10775 transcript:rna-LATHSAT_LOCUS10775-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRHFEPISKCNKTQDRSNQTIASDLDGTLLISRSAFPYYMLMATEAGSFLRGLILLISVPFVYFTYLFISETMAIKTLIFITFAGLKISDVEMVSRSVLTKFYAEDVRPEAWNVFSSFGKRYIVTASPRVMVEPFVKNLLGGDKVIGTELMVTKSGRVTGLVMEPGILVGELKKDAVVKEFHDSNLPDLGLGDSESDHEFMSICKEAYMVPRTKCDPLPRTKLLSPIIFHEGRFVQRPTPIIALLTFLWFPIGIILSIFRVYLNIPLPEKIAWYNYKLLGIKVIVKGTPPPPPKNGQKGVLLVCNHRTILDPVVTAVALGRKISCVTYSISKFSEIISPIKAVALSREREKDAENIRKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKVLDPYFVFMNPVPTYEITFLNQLPKELTCSGGKSAIEVANYIQRVIAGTLGFECTNLTRKDKYAMLAGTDGRVASKKEKA >CAK8541885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:421758270:421761099:-1 gene:gene-LATHSAT_LOCUS10775 transcript:rna-LATHSAT_LOCUS10775 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRHFEPISKCNKTQDRSNQTIASDLDGTLLISRSAFPYYMLMATEAGLKISDVEMVSRSVLTKFYAEDVRPEAWNVFSSFGKRYIVTASPRVMVEPFVKNLLGGDKVIGTELMVTKSGRVTGLVMEPGILVGELKKDAVVKEFHDSNLPDLGLGDSESDHEFMSICKEAYMVPRTKCDPLPRTKLLSPIIFHEGRFVQRPTPIIALLTFLWFPIGIILSIFRVYLNIPLPEKIAWYNYKLLGIKVIVKGTPPPPPKNGQKGVLLVCNHRTILDPVVTAVALGRKISCVTYSISKFSEIISPIKAVALSREREKDAENIRKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKVLDPYFVFMNPVPTYEITFLNQLPKELTCSGGKSAIEVANYIQRVIAGTLGFECTNLTRKDKYAMLAGTDGRVASKKEKA >CAK8539560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516261108:516266110:1 gene:gene-LATHSAT_LOCUS8643 transcript:rna-LATHSAT_LOCUS8643 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVHLSPTTSLFKSNNLNLFPPTSLFKSNNLNLSSSISISQLPSKRSPKTLTVTSSTASHTNMERTRQGSDQERTKTKIVCTIGPSTSSPDMISKLAQTGMNVARLNMSHGDHASHQKTIDFVKQYNSQFQDRVISIMLDTKGPEVRSGDVPQPILLKEGQTFNFTINRGVCTQDTVSVNYDDFVNDVEDGDELLVDGGMMSLVVKSKTKDLVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNQVDFYAVSFVKDARVVYELKEYLKKQNADIHVIVKIESADSIPNLHSILSASDGAMVARGDLGAELPIEDVPLLQEDIIRRCNRMQKPVIVATNMLESMIIHPTPTRAEVSDIAIAVRQGADAVMLSGETAHGKYPLKSVKVMHAVALRNESSVFRSVAYPNHLSFHKSHMGEMFAFHATTMSNTLNTPIIVFTRTGSMAILLSHYRPHSTIFAFTNEGRIKQRLGLYHGVMPIYMQFSNDSEETFARALKLLLSKGHLQEGQYVTLVQSGAQPIWRNESIHHIQVREVHG >CAK8570035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21649327:21650369:-1 gene:gene-LATHSAT_LOCUS22824 transcript:rna-LATHSAT_LOCUS22824 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEHVIEDAYMTDELDSCGDDDSCDERPCVIRFNQEESLSKNFVFKVGIEFSSLRQLNNATLEHNVLNGRYVKFEKNDANRCRVVCKDKKKCDYTALCSRVLTSTTFRIKTLFVNHKCGRRFFNKSAKAEWVGKVIVDGLKNNSRMRLSEVVADVRQRYATEIPGCRAFKARQITRHIVEEDSSKKFSLLWSYAAELRMASIGNTFKINTNVPSPGLKPRFERCYMCFNGTKNALKKACRPFIGLDGCHLKHKYGGILLIAVGRDPNDQYFPIAIAVVETKSKDLWSLFLKLLIEDIGDGRWCFISDQQKGLFQVFE >CAK8537994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:455199421:455201944:1 gene:gene-LATHSAT_LOCUS7238 transcript:rna-LATHSAT_LOCUS7238 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSNKIDREQLKPGDHIYSWRQAYIYAHHGIYVGDGMVNHFTRGGGQEIGTGTVLDRFLCSSSPSNDTDTPCPKCGDQNKTDGVISSCLDCFLSGDSLYLFEYGVSTAYFLAKTRGGTCTLAVADPNEDVLRRASFLLENGFGGYNVFNNNCEDFAVYCKTGLLISTSISVGRSGQAASCLAAASTVISTPLRFMTTSFSGLAAVGYGMYCVSRLVSDIGVRRDVSKVPVERLVASPDIDEPEKMEMLIASPEIDATEKAAEVTKED >CAK8537387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:321351646:321352166:1 gene:gene-LATHSAT_LOCUS6680 transcript:rna-LATHSAT_LOCUS6680 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSANGQFSSWCMSTRVKKKSMSIQMSGGGNAVAGETKIQFHIDEVKLLVCHETKITIYDASEMELICQWLPPDGFSSAITSPTYSCNGNNNFRI >CAK8562839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:546729751:546731362:-1 gene:gene-LATHSAT_LOCUS16304 transcript:rna-LATHSAT_LOCUS16304 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYGGDSTTLIVALLIITALTWYIYIFFFKSKSQNLPPGPLGFPFFGNLLSLEPELHTYFAGLAQIHGPIFKLWLGSKLGIVVTSPSTAREVLKEHDTVFANRDVPAAGRAATYGGSDIVWSPYGPEWRMLRKICVVKMLSNTTLDSVYELRRGEFRRTVGYIHDRVGSAVNIGEQVFLTVLNVITNMMWGAGVEGAERESLGVEFREAVAEMTGLLGKPNVSDFFPGLARFDLQGVVKEMNALVPRFDRIFEKMISERVKKEEEGKESESKDFLQFLLNLKDEGDSKTPFTSTHVKALLMDMVVGGSDTSSNTIEFAIAEMMNKPEVMRKVQEELETVVGKDNSVEESHIHKLPYLHAVMKETLRLHPVLPLMVPHCPSETTKVGGYTIPEGSRVFVNVWAIHRDPSVWKNPLEFDPTRFLDGKWDYSGNDFSYFPFGSGRRICAGIAMAERTVLYFMATLVHLFDWTIPQGEKLEVSEKFGIVLKKKKPLLAIPTPRLSYPNLYKEN >CAK8541910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431621453:431632646:1 gene:gene-LATHSAT_LOCUS10799 transcript:rna-LATHSAT_LOCUS10799 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEAANRSSNHSTETKGKTITCKAAVAYGPGEPFVVEEILVHPPQKMEVRIKILYTSICHTDLSGWQGECEPQRAFPRIFGHEASGIVESVGEGVSDMKEKDYVVVIFNGECGECVYCTCEKTNMCEKFGVDPMKKEMCDGTSRFFTKDGSKPIFHFMNTSTFTEYTVVDSACVVKINPQYTLSLKNLTLLSCGVSTGIGAAWNTANVQSGSSVAVFGLGAVGLAVAEGARARGASKIIGVDINPDKFITAQAMGITDFVNPTDEEKPVSERIREITGGGADYSFECTGNLNVLRDAFLSVHEGWGLTVLLGIHASPKLLPIHPMELFAGRRIEGSVFGGFKGKSQLPNLATECMQGVIKLDNFITHELPFEEINKAFDLLIAGKSLRCLLHL >CAK8541911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431621453:431632646:1 gene:gene-LATHSAT_LOCUS10799 transcript:rna-LATHSAT_LOCUS10799-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEAANRSSNHSTETKGKTITCKAAVAYGPGEPFVVEEILVHPPQKMEVRIKILYTSICHTDLSGWQGECEPQRAFPRIFGHEASGIVESVGEGVSDMKEKDYVVVIFNGECGECVYCTCEKTNMCEKFGVDPMKKEMCDGTSRFFTKDGSKPIFHFMNTSTFTEYTVVDSACVVKINPQYTLSLKNLTLLSCGVSTGIGAAWNTANVQSGSSVAVFGLGAVGLAVAEGARARGASKIIGVDINPDKFITDFVNPTDEEKPVSERIREITGGGADYSFECTGNLNVLRDAFLSVHEGWGLTVLLGIHASPKLLPIHPMELFAGRRIEGSVFGGFKGKSQLPNLATECMQGVIKLDNFITHELPFEEINKAFDLLIAGKSLRCLLHL >CAK8570196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28367675:28370512:1 gene:gene-LATHSAT_LOCUS22969 transcript:rna-LATHSAT_LOCUS22969 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSNEEQELRELREIENLEREDVEKAGVDLEDPSGIAPWTQQITVRGLITSVFIGLIYSVIVLKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIVSTPFTRQENTIIQTCAVACYSASYGGGFGSYLLGLNRKTYERVGGASTPGNTPDTKEPGIGWMTGFLFVTYFVGLTALIPFRKMMIIDAKLPYPTGTATGVLINGFHTPKGNVMAKKQVKGFMRFFSFSLLWSFFQWFYAGGDQCGFAQFPTFGLKAWKNTFYFDFSMTYVGTGMICSHLVNLSLLFGAVVSWGIMWPLIRVLKGNWFPESLPESSMKSLNGYKVFISIALILGDGLYNFIKVIYFSALNFQACVKRRNRRTASVTDDDQNKTPDDLTRDEFFIKESVPIWLACAGYVVLTVISINVIPLIFHQVKWYFVVIAYLLAPILGFCNAYGTGLTDMNMAYNYGKVALFVLAALGGKSDGVVAGLVACGLIKSLASTSSDLMQDMKTGHLTLTSPRSMLVTQAIGTAIGCVVAPLTFFLFYHSFDVGDPDGEYKAPYAIIYRNMAILGVQGFSALPNHCLEFCYGFFAFAVLVNLVRDWNPKSIGKWVPLPMAMAVPFVVGAYFAVDMCVGSLVVFVWSKLKRKEADLMVPAVASGLICGDGISILPSAVLAWFEVRPPICMSFAANN >CAK8530926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63781437:63782315:-1 gene:gene-LATHSAT_LOCUS753 transcript:rna-LATHSAT_LOCUS753 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRTSSNTSLKGHDHHHDQTQINLSLLQRNTSVYGDKRGRRKQVEPDRFLGVRRRPWGRYAAEIRDPNTKERHWLGTFDTAQEAAFAYDRAALSIKGSNAKTNFIYSTSSQSDETNLHNVVTPLDPQKIQAITNQTSLSQLDDDSHLNNENLFFSNDSTNSGYLECIVPVNCFKPISNTNNSNFDHKSNASGSSEHHQNVVDSISATNFMQGQSFYDYNAFSQEALNIDTSESSEGLWDCNYNELSAIFNTPLSNESPNYELMTTHDVSSTSYYPSCSSFGDVDMEYSLF >CAK8534950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:794956487:794957503:-1 gene:gene-LATHSAT_LOCUS4443 transcript:rna-LATHSAT_LOCUS4443 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRKTQFNIPLNSFSILRRFATLTPKPFPDKPTATYYDNLAADAANAGDFDSLHSLLNKRIQDGFFNTKRTFSFITNTNFTPSFLNDLITTISRLNPGFSRRNAFDSLITRLCKLSRADEALYVVESISRVDSSELKACTFHPIISFFTKEKSLDHAHRVVETMNRLGVPPDLTVHNYFLTTYCFTGDTEAAVGVLKTIENEGLNADTRTFDALVLGACKKGNVDGAMVLVRRMFDDGVPMLYSTHMFIIEAMLKMNCWEQALCYVRCFSGKDKALDNELFGCLGGKLVELNKLKEAMVVFGEMDERGLKMCGKMREFYEMNVGVGNDDVSCKVEL >CAK8533212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591180985:591185070:-1 gene:gene-LATHSAT_LOCUS2857 transcript:rna-LATHSAT_LOCUS2857 gene_biotype:protein_coding transcript_biotype:protein_coding MNILYEPLLLNISSFSDFPKLLKHKTCSYVPNVTKFQKYNNAVLLAMQGNGEFAGDVANSFNHKVYANNGGELNGIPHIQTLREFPRKELFGKVVMVRFDSNILLKQKHNQKNQSDFNALFTIQYLHEIESKVILVSDWSTNTLQLHSQSVADFLSGILQIQVVPLQGISCNKLSKIKDLLHKENIYLIENLYNLKYEVANCLEFSRVLSTGVDIFVNDSFSNSHKVLASTVGVTRFCYACLAGFHFEENLRLVKNLAETNKKPYVAIIGGGNLAEKAASFRFLASRCQGFVFVGMMSFQIMHALGVQVPIDFVDHKALTEALEILKLACDNNVKILYPKDLWCRNKYNPRQLQVFPSHGILDGWVPIDLGPITLDEISSLLSDCKKIIWIGPVKFADGSEQTKGASKLAKILDQASKGNCETTVVGTTACNLVTQETSSLSSINMVENASVVWEFLKGRKLPGVMAVDRAYPFEIKWNNVYSDPTQSLVVDIGSGNGLFLFEMARKRKDLNFLGLEMNEKLVLRCLDSIQQFGIKNGHFIATNATSTFRSIVSSYPGELVLVSIQCPNPDFNKPEHRWRMLQRSLIEAVVDLLSSGGKVFLQSDVEEVAMRMKEQFSRYSKNKLVMEHGQSDYWLDENPFGVKSDWEKHVLERGAPMYRMLFSKSSEIRSEVSVSNDVIQKVG >CAK8566397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:419422757:419426128:1 gene:gene-LATHSAT_LOCUS19530 transcript:rna-LATHSAT_LOCUS19530 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNAIDKVNNGSLLRKMKPYLAILSLQFGYSGMYIITMVSFKHGMSHWILSVYRHVVAAIIIIPFALVLERKIRPKMTLPIFLRIVALGFLEPVLDQNLFNIGMKMTSTTFSSATVNVLPALTFIMALTFRLESVNWKKFHSVAKVIGTVITVSGAMVMTLYKGPAFQIIKGGAISHHNAAAAGEPIQQNWVMGPIMLIASCASWAGFFILQSFTLKKYPAELSLTAWICVMGIIEGSIASLIFERDMSVWVIGWDSRLVACVYSGVICSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSLVLAELVHLGSIFGAILIVAGLYTVVWGKSKDRHESTKEIQELPIKEGTKSETDIFEGIEINVADEKLKKGAAKNVLT >CAK8571311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:370451481:370452806:1 gene:gene-LATHSAT_LOCUS23983 transcript:rna-LATHSAT_LOCUS23983 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEMDVSPFFLCPISLQLMNDPVTVSTGITYDRQSIEKWLFSSQNNTCPVTKQQLAHDLQNLIILTPNHTLRRLIQAWCTLNSSYGVERIPTPKPPTTKTLIEKHLKEASDSLDSPHLLIQSLKNLKTIASQSETNRRCIESAGAVEFLASIVTKYNTSCSSSCSTTELTETTVLEDDDIEGFAFDFDIGADDEAINILHNLHLTEQGLKTLLNFKNGEFLDSLMRLLQKGNYDSRTYAVFLLKSMSKVADPSKLANIKTEFFVELVQLLKDQISKKASKATLQTLIQIVEFGRNRVRAVESGCVQVLIELLLDCKERKPCEMILVLLEMLCQCADGRYELLSHGCGLAIVSKKILRISTMANDRAVRILLSVARFCATHVVVQEMLQIGIVAKLCLVLQVDSGNKAKEKAREILKLHAKSWSNSHCIPFSLLASYPTSG >CAK8540614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12499994:12503335:-1 gene:gene-LATHSAT_LOCUS9600 transcript:rna-LATHSAT_LOCUS9600 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRSGLLTTSDYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLFYPYGHEELAVCEDIDQVRAVMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRHCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >CAK8571945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495526649:495528074:-1 gene:gene-LATHSAT_LOCUS24560 transcript:rna-LATHSAT_LOCUS24560-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYYVVDAFTDSAFKGNPAAVCLLEQDRDDKWLQSLAAEFNISETCFLTPIHGTSVPRFGLRWFTPTTEVKLCGHATLAASHTLFSSGLVDTNVIEFDTLSGILTIQKIPSVDATGAPNLQNGEAPVGFYIELDFPADPTTESNLDDTSLIADALNGASIIDIKKTQNEDNLIVVVASGKNVTEVEPQLDAIVKCPGAGIIVTGIAPPESGFDFYSRYFSPKFGINEDPVCGSAHCGLVPYWSKKLGKCDFKAYQASARGGVLDLHLDEQKQRVSFRGKAVIVMEGFVLV >CAK8571942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495496673:495528074:-1 gene:gene-LATHSAT_LOCUS24560 transcript:rna-LATHSAT_LOCUS24560-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYYVVDAFTDSAFKGNPAAVCLLEQDRDDKWLQSLAAEFNISETCFLTPIHGTSVPRFGLRWFTPTTEVKLCGHATLAASHTLFSSGLVDTNVIEFDTLSGILTIQKIPSVDATGAPNLQNGEAPVGFYIELDFPADPTTESNLDDTSLIADALNGASIIDIKKTQNEDNLIVVVASGKNVTEVEPQLDAIVKCPGAGIIVTGIAPPESGFDFYSRYFSPKFGINEDPVCGSAHCGLVPYWSKKLGKCDFKAYQASARGGVLDLHLDEQKQRVSLRGKAVTVMEGFVLV >CAK8571944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495496673:495498098:-1 gene:gene-LATHSAT_LOCUS24560 transcript:rna-LATHSAT_LOCUS24560-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYYVVDAFTDSAFKGNPAAVCLLEQDRDDKWLQSLAAEFNISETCFLTPIHGTSVPRFGLRWFTPTTEVKLCGHATLAASHTLFSSGLVDTNVIEFDTLSGILTVKKIPSVDATGALNLQNGEAPVGFYIELDFPADPTTELNSDDTSLISNALNGASIIDIKKTQKGDNLIVVVASGKNVTEVEPQLDAIVKCPGAGIIVTGIAPPESGFDFYSRYFSPKFGINEDPVCGSAHCGLVPYWSKKLGKCDFKAYQASARGGVLDLHLDEQKQRVSLRGKAVTVMEGFVLV >CAK8571943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495496673:495528074:-1 gene:gene-LATHSAT_LOCUS24560 transcript:rna-LATHSAT_LOCUS24560 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYYVVDAFTDSAFKGNPAAVCLLEQDRDDKWLQSLAAEFNISETCFLTPIHGTSVPRFGLRWFTPTTEVKLCGHATLAASHTLFSSGLVDTNVIEFDTLSGILTIQKIPSVDATGAPNLQNGEAPVGFYIELDFPADPTTESNLDDTSLIADALNGASIIDIKKTQNEDNLIVVVASGKNVTEVEPQLDAIVKCPGAGIIVTGIAPPESGFDFYSRYFSPKFGINEDPVCGSAHCGLVPYWSKKLGKCDFKAYQASARGGVLDLHLDEQKQRVSLRGKAVTVMEGFVLV >CAK8537922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447934141:447937503:-1 gene:gene-LATHSAT_LOCUS7170 transcript:rna-LATHSAT_LOCUS7170 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLRLALSPLLRKIPYTNTTSILRNTSSEYFRCCSSSPTPPQSYSWQPFRKKKVVMRIAYVGTNYRGLQMQRDQNARSTVEKELETAIFKAGGIRDSNLGDLEKIGWSRSSRTDKGVHSLATMISFKMEIPENSWNGDPYGFSLANYVNSYLPRDIKVISVLPSQRSFDPRKECILRKYSYLLPAEIIGVQSHSSNGEIDYHLSEFNDILKEFEGGHPFHNYTARSKYRRHIPRRSSHLKRGESLTAYNSEHEDSDEEENSKVDMALTENIVCQNQKSSGTFNSCEPVISTDNNKGNLHDQSSSSVVRAKWLYEPDEADRLNASHFRRVFKCSCGKLERSPEYNYIELYIEGESFMLHQIRKMVGTAIAVKRKLLPRDVIALSLSKFSRIILPLAPSEVLLLRGNSFSMRTKPGNVTRPEMQTIVESDEINKNVDDFYRSVMVPQVSKFLDPSRVPWAEWIEKLDAHTSIPNDQLDEVRKARNLWKENFDCVNSAHL >CAK8534443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727102572:727108285:1 gene:gene-LATHSAT_LOCUS3989 transcript:rna-LATHSAT_LOCUS3989 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVSLSNAIGFCSSKNHSTFSSTLRSGVDMKILSRSGCSKVGHGLRCVRLYGLFGGRKDGNEKSDDDAPSKAGVLGNMQNLFETLKKAQMVVQVEAVRVQKELAAAEFDGYCEGELVKVTLTGNQQPLRTEITEAAMELGSEKLSLLITEAYKEAHQKSVQAMKERMNGLAQSLGMPPGLGEGLK >CAK8565941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356533026:356535820:-1 gene:gene-LATHSAT_LOCUS19114 transcript:rna-LATHSAT_LOCUS19114 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEPQALLHVGDVLVDDGKKQHRDTSFDPSAPPPFKIAEVRAAIPKHCWVKNPWISLSYLLRDVFIVTALVAAAIHFNNWIFWPIYWISQGTMFWALFVLGHDCGHGSFSNSLKLNSFVGHILHSFILVPYHGWRISHRTHHQNHGHVEKDESWVPMTEKIYKSLDNMTKTMRFSFPFPIFAYPFYLWNRSPGKEGSHFNPYSKLFSPNERNDVITSTSCWGIMFCVLVYLSLIKGPFFMLKIYGVPYWIFIMWLDFVTYMHHHGYPQKLPWYRGKEWDYLRGGLTTVDRDYGWVNNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPEKSGAVPFHLFKYFIHSMNQDHFVSDSGDIVFYQTDPNLHNNSWTKSE >CAK8562589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512639022:512640481:-1 gene:gene-LATHSAT_LOCUS16075 transcript:rna-LATHSAT_LOCUS16075 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKTPINISADSSWLSDLEMVDEYILYDKDRNLNSNSNLLDLDEEEEFLSHDIASVFAFEEHRESLQQCLNTECISTTLSETFTDETSFESFDNFDFDFEKPTKQMKTIDHSATSLSSYHLPPILSFDNPNPTEFYRYDLKQTETVTKSLGNTNFLTQNSKGSSKTSRAKRSPADIKDHIMAERKRREKLSQSFIALAALIPDLKKMDKASILAETIKYVKELKERLEILETKGKKPKADQSTVTPSPIKPEVYNNKHCSSSDESTETETAVEGTSTESLFKMEARVLEQHMLIRIHCQKHKGFLVKIIAEIQSFELFVVNNSVLAFGDSILDITIIAEIGEGYNLTIKELVHNLRMAALKFMSA >CAK8535189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:822441066:822449475:-1 gene:gene-LATHSAT_LOCUS4663 transcript:rna-LATHSAT_LOCUS4663 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSNLSRVRIPEPTNRVYKQECCLSFDTPISDGGLFIDMFTFLAFGKDYVGWNFEKTGNPVYLHVKKTKKLVPEDRPSKKPTLLAIGVDGGFDNNDAEYEETHNIVILPDYVSLSFPSVELPEKVRLAADAILRDAGAERKEQVASWTADRKLESVYAMNLQQIDNSVVIPPSGWKCSQCDKTDNLWLNLTDGVILCGRKNWDGSGGNNHAIEHYKKTGYPLAVKLGTITADLEAADVFSYPEDENVLDPLLAQHLAFFGIDFSSLQKTEMTTAEKELDQNTNFEWNRIQESGQEVEPIFGPGFTGLVNLGNSCYLAATMQAVFSSRSFSSRYYINQSLKKAFEAAPADPTVDLNMQLTKLAHGLLSGKYSVPQFENDENKNIATTPTSAVQEGIPPRMFKALVAASHPEFSSMRQQDALEFFLHFLDQVERANAGETKLDPARSFKFGVEDRILCSSGKVAYNRRNDYILSLNIPLHEATNKEELELFHKLKAEKLSEGKEVSGDEIVRPRVPLEACLASFSSPEEIHDFYSTALQAKTTAVKSAGLTSFPDYLVLHMRKFVMEEGWVPKKLDVYVDVPDIIDISHMRSKGHQPGEELLPEGVPTEDDSETPLANEDIVSQLVSMGFHLIHCQKAAINTSNAGVEEAMNWLLSHMDDPDIDAPISKGPGSESVDQSKVDTLISFGFQDDVARKALKASGGDIEKATDWIFSNPDASVSNMDATASNTTPGPNDADLPDGGGKYRLMGIVSHIGTSTHCGHYVAHILKDGRWVIFNDNKVGASINPPKDMGYLYFFERLNS >CAK8574356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676956982:676957938:1 gene:gene-LATHSAT_LOCUS26710 transcript:rna-LATHSAT_LOCUS26710 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIELVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDHEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTVPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFHL >CAK8537230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:169460194:169461445:-1 gene:gene-LATHSAT_LOCUS6535 transcript:rna-LATHSAT_LOCUS6535 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNVRSDQDSKKLNQIFFQKHIKRVYPIGLEKSSSSSSSSSLSSSLSQNSNDSCFTDSLNLADENVALPQHLISPYQRKERAVVNTSQQQQNLHATEFGELKRCNWITKNCDKAYIEFHDDCWGVPAYDDKKLFELLAMSGLLIDYNWTEILKRKEVLRQVFSGFDPNIVAKMEEKEIMEIASNKELLLADSRVKCIIDNAKCITKIMREYGSFSSYIWSYVNHKPVINRLRYSRDVPLRTPKAETISKDLIKRGFRFMGPVIVYSFMQAAGLTIDHLVDCYRHRECVNLAERPWKHI >CAK8569982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19006863:19009491:-1 gene:gene-LATHSAT_LOCUS22775 transcript:rna-LATHSAT_LOCUS22775 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKLLIMFIMFHVFILILHKPILILASVVPSPQVSPFPPILPSMTIIASAPGIHVGNEEEKMDSHNKKVRAFVVASTALSAIILCLLSFWIYYINASKPNRKKNLQTSDSEKGLGFAPNSVKKVGKKGCVPIIDYEQIEKGTENFKESNILGEGGFGCVYKASLDDNLVVAIKRLNCECQYAEREYENEVELLSEIQHPNVISLLGCGSHEDSRFIIYELMQNGSLETQLHGPSHGSALTWHMRMKIALDTARGLKYLHEHCYPAVIHRDLKSSNILLDANFNAKLSDFGLAIIDGSQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLSSSQCQSIVTWAMPQLTDRSKLPNIVDNVIKNTMDPKHLFQVAAVAVLCIQPEPCYRPLIADVLHSLIPLVPVELGGTLRGSQVTHQPPNYS >CAK8567194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493794237:493798711:1 gene:gene-LATHSAT_LOCUS20265 transcript:rna-LATHSAT_LOCUS20265 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRFMRRYNYSFKDKARNKACLVQHKQRRGFSKAGVFNDNDAVLPVLIVGAGPVGLVLSILLTKLGINCTVLERNKAFSKHPQAHFINNRSMEIFRKIEGLVEEIQRSQPPVDLWRKFVYCTSLTGSVLGSVDHIQPQDLAHVVSPVSVAHFSQYKLTMLLLKQLENLGFQTCASESSEGNKQSCEKKILMGHECVSIDTSNDFVTVTASSVNNGKRVEKNIHCNLLIGTDGAGSTVRKLVGIDMRGEKDLQKLVSVHFLSKRLGKFLLKENPGMLFFIFNTEAIGVLVAHDLREGEFVLQIPFYPPQQTIEDFNPKACEKLISKLVGQEFGDVNVIDIKPWIMHAEVAESFTCFGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVIKGIAPTSMLNTYDMERRPISVFNTRLSLENYRAAMSVPATLGLNPTVANTVHKVIINGVGSILPSGLQRLALDGIFAIGRAQLSDYVLNKSNPLGSSRLAKLRHIFEEGKSLQLQFPAEDLGFRYLQGAIMPETSDVESPPQVPTGRRRDYIPSAQPGCRLPHMFVRVNPLSEETVSTHDLVSGDRVEFVLIIAPVKESYHLARQAFKVAEEQQISLKVCVFWCTDSAERLDKGSKEALSPWKNYADVVEVSSTNSNWWEMCNMTNRGAILVRPDEHIAWRTISGLTEDPRVEMQRVFSAILGAH >CAK8567489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518044671:518046218:-1 gene:gene-LATHSAT_LOCUS20536 transcript:rna-LATHSAT_LOCUS20536 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHFLFGLFGNASALFLFLAPVITFKRIIVNKSTEKFSGIPYVMTLLNCLLSAWYGLPFVSPHNLLVSTINGTGAVIEIIYVLIFIIFAPKKEKIKILGLFAAVLSVFCVVVFVSLFALHGTPRKIFCGFAASIFSVIMYGSPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGHDPFIAVPNGLGSVLGTLQLILYFIYRDNKGIPKKHGTTEESSMEMGNGKTHQMKQFNANEIQG >CAK8579164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680711738:680716162:1 gene:gene-LATHSAT_LOCUS31130 transcript:rna-LATHSAT_LOCUS31130 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWRSEKNKVKTVFKLHFHVTQVVQSGVDSLVLSIVPGDIGKPTTRLEKAVINNGVCKWENPVYETVKFIRDPKNGRFGERVYYFVISTGLSKASTFGDVSVDFADYAEAAKISSVSLPIKNSHSDAVLHVSIQRLQENNDKREEEECEDMKLKLNDKSLRTYLSNGDAVSRTKSDSSEISQDVSGNGNSERAGLSADCRTSSGSDITLSSSDGSSGLDTPRELGLRNTSVHPAKIGVPSVTSHISEPQKPAVNALSSMYDVHQRSSHFRDSSAGSDIGLSTEGSIHGSQDALHRERSHQASDIENEKLKAEIAVLARQVDVSDMELQTLRKQIVKESKRGQELTKEVISLKEERDTLKIECENFKSFRKRRDDAKVSSRSLLESGDLHTLVEEIRQELNHEKDMNANLRLQLNKTQESNAELVLAVQDLDAMLEQKNNEILSLSNNYKQAKNSHGFGRNVSNCETDDDEQKELDELVKNPNSPKETHLLEKKITDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHDIAYKLEQSELQEQLKLQYECSPPPGIDEFEAHIQNLENKLKKQSEEFSNSLETIKSLENQIHRLEEELEKQVQGFESDLDSVTHDKVEQEQRAIRAEEALRCTRLKNANTAERLQDEFRKLSMQMATTFEANETATMRALTEASELRMQKKLLEEMLRKVKEDLQSVKADYEVKLNELSNKIDTMTVQMQQMLLAIDDKSMQLENQKKHEEIVGRDFFEEIQLLKAENEKLTVEISCLYEQVKQKEVSSSDLELMKKSLEEYETLLNTQKEERNELVSTTALLKKEAEQSLDELNRMRHLKDQEEKVGKLLQSELEALKAQYSDLKRSHIDDETEKEILRKQNFHLNDELKKKDDALTKKSASISQNSKEMTNLKEKIKTLEDQIKSKEIALEKSASSLLEKERVLQSKIEELENKVEELNQSIKVAADKSFTTTNDRSEKVRSSIEHLNNDTSCISDENGAVMSSLKSHAHLSEKEAEKPVIDNNSNVRLSDVLTELSSLKERDNSTKTELKELQERYSEMSLKFAEVEGERQKLVMTVRSLKNARKG >CAK8531789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151547174:151547677:1 gene:gene-LATHSAT_LOCUS1555 transcript:rna-LATHSAT_LOCUS1555 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHTQTPTISPTTSKPCNNPPQQHSLNSMVLIAAIVCALLCALGLNTMLQCVFQCASRVLTEPLQWIASRRHNSGLKKKDRVALPTSVYNTHSGGSSTPQTMDSNCAICLAEFCDGDKMKMLPKCNHRFHVVCIDKWLLSHSSCPTCRNVIKSRDSVHCLNIVIS >CAK8562880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552778851:552782398:-1 gene:gene-LATHSAT_LOCUS16342 transcript:rna-LATHSAT_LOCUS16342 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIYRMLISLLLFVFLQHTLAIKQSYIVYLGSHSVGHNPSLLDSEIVTNSHYDLLGSFLGSTEKAKEAIFYSYNKYINGFAAMLDEDEAAEIAKHPDVVSLFLNKRYELHTTRSWEFLGLERGGEYPKDSLWKTTLGEDIIIGNLDTGVWPESKSFSDEGYGPIPKKWKGICQVAKGNPDQFFCNRKLIGARYFAKGYLSEGKPNVTIDSARDIDSHGTHTLSTAGGNFVAGANVLGLGNGTASGGSPKARVAAYKVCWEGCYDADILAGFEAAIGDGVDILSVSLGGDYPIEFWESAISIGSFHAIANNIVVVASGGNSGPEPSSIANMEPWTFTVAASTIDRDFTSYVILGNKKIFKGASLSEADLPPHKLYPLISAADANLYNVTPAWASFCDEGTLDPKKAKGKVLVCVSGGSGRVEMGIQASRVGAIGIILANDKRYGNGVIADPHLLPATNVGFEDGTAIYNYINQAKSPVVYLTKAKTQLGVKNTPTIASFSSRGPNYLDAKILKPDITAPGVSIIAASTQATSPTQQPSDKRRVPFIAKSGTSMSCPHVAGLVGLLKSVHPDWSPAAIKSAIMTTATTINNNGVQILDSFLEKATPFEYGAGHVRPNHAVDPGLVYDLNVTDYLNYLCGRGYTSDKLKVFYGKPYTCPKSFSSKDFNYPSITIYEDNKIWKTLSVTRMVTNVGSPSMYRAKIEAPPQFEVTVQPAILRFKHKGQKKEFKVTFTLKPGSKYITDFEFGKLIWTNGKHYVGSPISIKYPHL >CAK8574946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16700463:16702070:1 gene:gene-LATHSAT_LOCUS27243 transcript:rna-LATHSAT_LOCUS27243 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPLFLICFFTLLTFPKPTRATLPGTWELLVSNAGIASMHTAVTRFNTVILLDRTNAGPSRKLLPKNRCRFDKTDAVMKRDCYAHSILFDPKTNQLRPLKILTDTWCSSGQFLPNGTLLQTGGDLDGFKKIRKFNPCDLNGSCDWEELNDVELSEGRWYATNQILPDGSIIIIGGRGSNTVEFYPKRENAVVSFPFLSETEDTQMDNLYPYVHLLPNGKLFVFANTKSVMYDFAENRVIKVYPELDGGPRNYPSAGSSVMLALEGEFSEAVVVVCGGAQYGAFLERNVDTPAHGSCGRIMATGENPVWEMEDMPFQRIMGDMVILPNGDVLIINGAMKGTQGFELGSDPCLNPVLYRPDEPAGLRFMVLNPGTVARMYHSTANLLPDGRVLLAGSNPHVFYRFDVEFPTELKLEAFSPEYLDSDKTNIRPEIVEVPETVSYGSSFDVVVSVALPVVGIIEVNLGSAPFATHSFSQGQRLVKLGVGSAVPDGGDGKWRIRCTAPPSGMVAPPGYYMVFAVNQGVPSVARWIHVS >CAK8575411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:112935155:112943740:-1 gene:gene-LATHSAT_LOCUS27680 transcript:rna-LATHSAT_LOCUS27680 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLSTFLTPLSLPNHKFPKIHTKPSLILCEFSRPSIPEGTGAAAPSPGEKFLQRQQSFESTKLFLKENKKSKKKEKPLKVSNAVTSCYGCGAPLQISDNDAPGFVDSETYELKKKHHQLRTVLCGRCQLLSHGKMITAVGGHGGYSGGKQFITAEDLRQKLTHLRTAKALIVKLVDVVDFNGSFLSRVRDLAGANPIIMVVTKVDLLPRDTDFNCIGDWVVEAITRKKLNVLSVHLTSSKSLVGITGVISEIQKEKKGRDVYILGSANVGKSAFINALLKTMAINDPVAASAQRYKPVQSAVPGTTLGPIPINAFLGGGKLYDTPGVHLHHRQTAVVHSEDLSSLAPKSRLRGLSFPSSQVISNNTEEGAPTVNGLNGFSIFWGGLVRIDVLKALQETCLTFYGPKRIPIHMVPTEKADEFYQKEIGLLLTPPSGREKAENWRGLDSEHQLQIKFEDAERPACDIAISGLGWLTVEPVSRSHKLKQQKPIEIAGELRIAIHLPKPVEIFVRPPIPVGKAGAEWYQYRELTDKEQEMRPKWYF >CAK8536680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6968209:6969310:1 gene:gene-LATHSAT_LOCUS6018 transcript:rna-LATHSAT_LOCUS6018 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRPCCENVVGLKKGPWSPNEDQKLIAYLTQNGHESWHSLPARAGLQRCGKSCRLRWINYLSPYIKRGNFSLEEDRTIIQLHALLGNKWSTIATLLPRRTDNEIKNHWNTNIKKRLIKMGIDPITHKPISKTKNSDDIAIKHMVQWESVRLEAEARSSSMLGKTKLESLPSSSSSSNLSITIHNNAVYNNMCAIMLAKDDDPFSPISPTSFPSRDLLSSTNDLMFGEGLLPYEADRSNNNIITNDQEIVDDYGFNLQDDDDIMVALEAFRDSFDEMENTIEMLAFHF >CAK8542170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485198864:485199451:-1 gene:gene-LATHSAT_LOCUS11034 transcript:rna-LATHSAT_LOCUS11034 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKSDPTQKTILQIKQDDKFFCRLLTKESSISNPSFRIALTVPFVWESQPGTPKHTLSQQSLPPLTPPPSYYSCKSLPVKRNLRSNLFLALFPKLNLKKPIMSSSSSTSSSLSSFSPSNSSSSSSCSSSSNSSKLVPIRKVIRTKKRFLSCGSSFDFKGEEEHVDSPTSILCFGLPRSTSTKVNSGFLGFSKR >CAK8562306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469474025:469476475:-1 gene:gene-LATHSAT_LOCUS15813 transcript:rna-LATHSAT_LOCUS15813 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMQYYIQLFFFCLLSTIAVLAIQTRNKNKNHLTPPSPPSLPIIGHLHLISKLPHRTFHELSTHYGPIFQLFLGSKRYVVISSPEIVKEFLKTNETYFSNRFRSSAVHYLSYGSKGFLFAPYGDYWKFMKKMCMSELLGGRTLDQLLPLRQQETLRFLRLLQKKGEAGEAVDVGGELLTLTNNIISRMTMRKVCSENDSDSEEIRKMVRDAAELAAKFNISDHIWFCKNLDLQGMNKRLKGIMERFDTMMERVIREHQEERKKRKEKGEDGGHVRDLLDILLELHENKKSEIKFSRENVKAFILDIFMAGTESSAITIEWAMVELINNPHVMQKARQEIDSITQKSRLIQESDLPKLPYLQAIVKETLRIHPAAPILGRESTESCIVYGYEIPANTVLFVNLWSMGRNPKVWENPLEFKPERFMSQDIKFDVRGQNFEFMPFGTGRRTCPGTSSTLQVVPTNLAAMIQCFEWKVAGDGKVNMEEKAAMSLPRAHPLMCVPIPRFNCFPFGV >CAK8568611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624266526:624268063:-1 gene:gene-LATHSAT_LOCUS21553 transcript:rna-LATHSAT_LOCUS21553 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFVALEGLLITVLPSARKQHNSGYKHKAHVRSYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGGAYNHLMGQRPNLPPVLPPPRFPIPGGQPLMPGFRPLMPRPLPIPGAPGECFVFPSAPFAIYTKLSLPLIQAFYCSCLPRFRCGQIFVHCFFFL >CAK8537722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:424157663:424160199:-1 gene:gene-LATHSAT_LOCUS6985 transcript:rna-LATHSAT_LOCUS6985 gene_biotype:protein_coding transcript_biotype:protein_coding MGERERVMEVEQHHQQQQQPEEQEQETSETSSSTTTLTTTTTWKQNLTMQLLSDKLTNGNLNSKIEAAREIRRMVRKSSKTRSKFVALGVIQPLIFMLSSSHLDARQSSLLALLNLAVRNERNKVQIVTAGAVPPLVELLKMQNNGIRELATAAILTLSSAASNKSIIADSGAAPLLVQILKSGSVQSKVDSVTTLHNLSISIENSIELLDASAVSPLINLLKDCKKYSKFAEKATSLLEILSNSEEGRIAISLADGGILTIVETVEDGSLVSTEHAVGALLSLCLSCRDKYRELILKEGAIPGLLRLTVEGTVKAQDKARTLLDLLRDSPKEKRLDSSVLEKIVYDIAERLDSVDKAGETSK >CAK8544345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679262055:679266068:-1 gene:gene-LATHSAT_LOCUS13033 transcript:rna-LATHSAT_LOCUS13033 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWIDFSECMSTCREPKDCTLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAARKGQEDGVVSEHH >CAK8560084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7043887:7046902:-1 gene:gene-LATHSAT_LOCUS13797 transcript:rna-LATHSAT_LOCUS13797 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQSSDDAVNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILARYQLAEFELCVLGNLCPETVEEAIAMVPSIKTRGRAQDDEAIEKMLNDLSLIKKFE >CAK8534243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709503311:709507761:1 gene:gene-LATHSAT_LOCUS3803 transcript:rna-LATHSAT_LOCUS3803 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSISSPSAPATNAVPFAVEPNNHLPSPSSNHPPYAEMIYTAIEALKEKNGSSKRAISKYIEQVYRHQLPPPESHSNLLTHHLKRLKSDGLLQTIKNSYIIPRSIPPPASTEPPSTASPSQPSKPRGRPRKSVTPSPAQAQPQDNFIPQPLPLVVANNDNNNAPLQNAEPVWAALGLSDDAIDVQAAAATPEGTKRRPGRPPGSKNVSGLKNATAPSPSQNPTPIEGQVPPTPASRGRGRPPGSKSKSKRKPGRPPKVNPDTPTPAVASDGTKRRPGRPPKNQQQNPTPIPFATTLPETEVPQPQVAVPEVAVLTPRSRGRPRKNPAVAAVPVAVVAGGGRGRGRGRGGRGRPGRIGHAFGGITLRAPGKRPVGRPKKGTAPATASASQNAANEVDLRRKLEHFQGKIKESLAVIKPHFDHESPVTALAAIQELEILGAMDLNEPLNEEPLPSQPNVPAQDQPQQSVAQPQVFAQYPPFHTQDRHNQQQQSQYQYHP >CAK8574781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9209933:9212066:-1 gene:gene-LATHSAT_LOCUS27091 transcript:rna-LATHSAT_LOCUS27091 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFHLGLSQNPTLMMDSSSSPLSLQNPSSSPKPTKKTLLSSLIGTNTPSSLRTPSFEEDNYFITLLKSSEKKALQDLKKLLLEATTTSSNEVSMWDVPLLGGDDRADVILLKFLRARDFRVNESLNMILKCLSWRKEFSADTIVEEDLSTFKELEGVIAYMQGYDKEGHPVCYNAYGLFRDKDMYERFFGDEEKLKKFLRWRVQVLERGIRLLHFKPGGVNSLIQVTDLKDMPKRELRVASNHIMSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPEDIPVQYGGLSFPSDLQNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYSAEFVPNAEGSYTIAVEKPRKIGSSEEAIHNSFTSKESGKMILSVDNTASRKKKVAAYRYVVRKCTPT >CAK8574782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9209933:9212024:-1 gene:gene-LATHSAT_LOCUS27091 transcript:rna-LATHSAT_LOCUS27091-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSSSPLSLQNPSSSPKPTKKTLLSSLIGTNTPSSLRTPSFEEDNYFITLLKSSEKKALQDLKKLLLEATTTSSNEVSMWDVPLLGGDDRADVILLKFLRARDFRVNESLNMILKCLSWRKEFSADTIVEEDLSTFKELEGVIAYMQGYDKEGHPVCYNAYGLFRDKDMYERFFGDEEKLKKFLRWRVQVLERGIRLLHFKPGGVNSLIQVTDLKDMPKRELRVASNHIMSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPEDIPVQYGGLSFPSDLQNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYSAEFVPNAEGSYTIAVEKPRKIGSSEEAIHNSFTSKESGKMILSVDNTASRKKKVAAYRYVVRKCTPT >CAK8572772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562031807:562032322:1 gene:gene-LATHSAT_LOCUS25299 transcript:rna-LATHSAT_LOCUS25299 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKLILILLISLTLLSSSSSSLLSSSSSHALIQQNNLSAYDLLMEYGFPMGLLPKGAIGYTLNRETGQFSVFFEKTCSFTIESYTLSYKSTISGVISENRLYKLKGISVKIVILWLSIVEVSRDGDDIDFSVGITSASFGAENFLDCPQCGCGFDCDNHLRLNGDVSSI >CAK8539402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511940112:511943647:-1 gene:gene-LATHSAT_LOCUS8502 transcript:rna-LATHSAT_LOCUS8502 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAMASLQSSMTSLSLSSNSFFGQTLSPITLSPFLQGKPTEKKCLIVMKLKRWERKECKPNSLPVLHKMHVKVGDTVKVISGHDKGQIGEITRIFKHNSTVIVKDINLKSKHVKSNGAGEPGQIDKIEAPIHSSNVMLYSKEKDVASRVGHKALENGKRVRYLIKTGEIIDSVENWKKLKEANKKIAEIAAT >CAK8571957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496469042:496473320:1 gene:gene-LATHSAT_LOCUS24569 transcript:rna-LATHSAT_LOCUS24569 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYRSLQDIFPQVDSRLLRAVAIEHPKDADIAAEIVLTEIIPSISKKLLPAEDMSPRVVVNLEDESENEGDGLVHHQLIKDTDVGSSSSSSSRPDLNFPVKLRPGISPVVVINLEDESEEEGSLVQKHQLVEDNDMGSSSSASLSSRSIPVQIAEAANSSCGLDLNVTLNESTLSNGSDKKVGSKRFFGIDLEAYLKRGFLNDIPQETSNGFNFFDQGRLDVDVDSENLTSSRVYPVGENEQNNLNEEWVDFVPTDENVDATICDTSRSLEKCETSFNELEGSEVLTISQLQEHTPIGEDYLHMDVNSNPSVIVGEASHIEDEIVDEASHVEHEIDVNKTTSENSHVEHEIDVNKTTSENSRACSIDTLEETIDEAKTNKKMLFSSMESLINLMREVELLEKAAEQANMIAARGGSDILDRVEEYQAMLVHAKEANDMHAGEIYGEKAILATELKELQSRLSSLSGERDMSLAILDEMRQILESRLTAAEMLKKAAELEKEEKEGSARMSLLVQEAMMEKVVQESQRLQQEAEENSKLREFLMDRGQIVDTLQGEISVICQDIRLLKEKFDANLPLSQSFTSSQTSCILASSGSSHKTLASNAGSEHSDSSEILKIIQASNAGSEHSDSSEVLKITQAVSIESLSNKGVEEEKSKSEHNALLDDGWEIFDKDAELES >CAK8571956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496469042:496473320:1 gene:gene-LATHSAT_LOCUS24569 transcript:rna-LATHSAT_LOCUS24569-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYRSLQDIFPQVDSRLLRAVAIEHPKDADIAAEIVLTEIIPSISKKLLPAEDMSPRVVVNLEDESENEGDGLVHHQLIKDTDVGSSSSSSSRPDLNFPVKLRPGISPVVVINLEDESEEEGSLVQKHQLVEDNDMGSSSSASLSSRSIPVQIAEAANSSCGLDLNVTLNESTLSNGSDKKGRLDVDVDSENLTSSRVYPVGENEQNNLNEEWVDFVPTDENVDATICDTSRSLEKCETSFNELEGSEVLTISQLQEHTPIGEDYLHMDVNSNPSVIVGEASHIEDEIVDEASHVEHEIDVNKTTSENSHVEHEIDVNKTTSENSRACSIDTLEETIDEAKTNKKMLFSSMESLINLMREVELLEKAAEQANMIAARGGSDILDRVEEYQAMLVHAKEANDMHAGEIYGEKAILATELKELQSRLSSLSGERDMSLAILDEMRQILESRLTAAEMLKKAAELEKEEKEGSARMSLLVQEAMMEKVVQESQRLQQEAEENSKLREFLMDRGQIVDTLQGEISVICQDIRLLKEKFDANLPLSQSFTSSQTSCILASSGSSHKTLASNAGSEHSDSSEILKIIQASNAGSEHSDSSEVLKITQAVSIESLSNKGVEEEKSKSEHNALLDDGWEIFDKDAELES >CAK8563673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624205788:624209619:1 gene:gene-LATHSAT_LOCUS17058 transcript:rna-LATHSAT_LOCUS17058 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSGSSSASMEVNAVQVNNNLANDIDEQRDEQSLSPERSNAYNVFGDPDPELSPRVGEDYQVEIPALISKSEYCLFRRNTDDAESTIRNFRVGLPIPIIWIKDGAEKSNADRPRNECKLISDMQQETKIGMLEEDEHKGQILVPGSRSDNWTEVEEAGFVLGLYIFGKNLVQVKRFIGNKKMEDILSFYYGKFYKSDKYQRWDGCRKMKSRKRVFGQRIFTGQRQHELLSRLLPNVSQECQKELLEASKTFVEGKMLLEEYVLTLKASVGIKALVEGVGIGKGKQDLTGVSIDSVRSTQAVPFRAEIPVGKACSMLSTSEIISYLTGDFRLSKARTSDLFWEAVWPRLLARGWHSEQPRCYNYSVTSKNPLVYLVPGVKKFSRKLVKGNHYFDSLSDVLSKVASDPELIDLEKNVDDDFSTKEGNGWTKDTKLDREDSPADQPRHCYLKVKTPNNSADVIKFTVVDTSLANEKTRNVKELKSLPFGVLEASSLEIDSDDENTSEEEKTNDSESVKGKYFDNGKNDITKSGKLNIVKGESSDLSGFESKPSKEKLPRSNMVCASVSAASIDQKTDFLDNKKRKDGVKCQSLSKMVSDNIIDLVPITKKRRRLTACSRAKTNGSTSFFAVSPRVKQEESSFCQDQDSSKYRENVTADFLAPRVKQEKCPYNSKSSDSAHSSAEKLVPPQEVNYLARFPTQETKKLAEPLPNLGSFICRESVPGTSSSGTREQHQKPQPRTMIDLNLPVSPEVDADEPFVNEVTEVQKTDTSKESGEISAGTNIEPVDHSEQQPDVQARRTGTRNRPPTAKVLEAFAFGYLDKKEKRVRSRDSSGSKASRRVRHKVEGSSSGAVADTEKEGRMNFACNGNGSAGSNDDVLYTGFKQ >CAK8570398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42814606:42818010:-1 gene:gene-LATHSAT_LOCUS23147 transcript:rna-LATHSAT_LOCUS23147 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPKLLLFYLLSISLSFHGDAYIGVNIGTDITNMPSPTETVALLKAQSIQHVRLYDADRSMLLALAKTGIQVIVSVPNDELLGIGQSNATAANWVARNIIAHVPSTNITAIAVGSEVLTSLPNAAPVLVSALQFIHSALVAANLDDQIKVSTPLSTSIILDSFPPSQAFFNRSWDPVMSPLLKFLQSTGSYLMLNVYPYYDYMQSNDVIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDAVIDAAYFAMSYLKFTNIPILVTESGWPSKGGSSEPDATPDNANTFNSNLIRHVLNNTGTPKQPRVTVSTYIYELYNEDLRTGPVSESNWGLFYANGAPVYTLHLTGAGTVFANDTTNQTFCVAKSNADTKMIQAALDWACGPGKVDCSPLLHGQPCADPDNVVAHATYAFNAYYQKMGKSPGSCDFKGVASVTTTNPSHGSCIFPGSGGRNGSSINGTALAPSINSTTSASYSQHYNGGFFTSYVIFALLLSALFVL >CAK8540806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22542085:22543746:1 gene:gene-LATHSAT_LOCUS9775 transcript:rna-LATHSAT_LOCUS9775 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDGKISDMNQKMGAMEALLKSMYMQQNPHLSEEEVNNKMREALHNDNIPTPRSSTSTYAPAHQKVINEDDPQVEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQNDDSHDSQYNEYNEDLH >CAK8540807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22542112:22543746:1 gene:gene-LATHSAT_LOCUS9775 transcript:rna-LATHSAT_LOCUS9775-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKMGAMEALLKSMYMQQNPHLSEEEVNNKMREALHNDNIPTPRSSTSTYAPAHQKVINEDDPQVEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQNDDSHDSQYNEYNEDLH >CAK8565992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365328954:365330824:-1 gene:gene-LATHSAT_LOCUS19161 transcript:rna-LATHSAT_LOCUS19161 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNTFSFSLILFLSFSSFFSPSQSHNKVSLDLYYESLCPYSADFIVNSLPQIFQHDLLSIVDLKLVPWGNARLKGNKTFDCQHGPDECLLNTVEACAIDIWPKLDTHFSFIYCIEDLALQGKRREWESCYKKLGLNSTLVDDCYRSERGTELDLKLANETNALQPPHEYVPWVVVDGKPLYEDFENLISYICKAYKGTDAPKICTQASYLSTVREVEAKGKHSFCDMERVMSTWKKIKSTIASWTSQMNFLVAM >CAK8572475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539334067:539337164:1 gene:gene-LATHSAT_LOCUS25038 transcript:rna-LATHSAT_LOCUS25038 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSEFLIMMLFIIIMTVLFIPLSSLSSQSTNILSVGTFHVESFQDSYFMVEKNEEVVKVEKISGLDENEERHGFIVEKFRSLLGLKSFHKRVHSKSNGDEATSPSPTPAPAPAPAQLHLHSHSHHQKHHFHWNQLPKKVHHEDDRGRRDTRILVAVFVSVGVASLVGAFGLMLFCRKKFTNHKKKKPKRTMPISSSNSKGTTKGTSYQNSGLDLFYLDALGEDIEQQNVCGLKKTSEEIVSVHQEMEMENSDKIVHEDCDSSENESFHSLVDSQSNLRLSNASACSSISDKHSLLSPQNSFSLVQNQVPNSPQNSISNTHEEIETFVQCLKTSTSSTPPPPPPPPPTPPPPPPTPPLKMPLFSLHSLTSSSRISSHSPLSLTSHTLSSPINSETSSRSNQSPEKDPFSPSPPILTKSPPPPPCPPPFPKGNSNNNNKGKTPPPPPYEFPQSPLGKDGTPLAKLKPLHWDKVRAAPNRTMVWDKLRSSSFEFDEEMIESLFGYNLQNSIKNDESKSKTPSPSKHVLDPKRLQNITILSKALNATAEQVCEALKQGNGLCLQQLEALVKMVPTKEEESKLFNYKGNINELGSAEKFVRAVLCVPFSFQRVETMLYKETFDDEVVHLRNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRSEGIRVSDSIMGKISQKSNKNRTEEEKEEDYRKMGLELVSGLSTELYNVKKTATIDLDVLASSVSNLYDGMVRLKQLVENELHEDEMSRNFVMTMKVFLQYVDGKLKELRGEEDGVIERVKEITEYFHGDVSKEDNPLRIFVIVRDFMGMLDNVCKELRKSKASRTPNPLAPFR >CAK8538283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474959591:474959905:1 gene:gene-LATHSAT_LOCUS7498 transcript:rna-LATHSAT_LOCUS7498 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHHLSLLCILSVAIILLSTASADFQDPPGHTCIHKCKDTTDGVCYKSCYAMGFKSGGDCFSDNSDNSFCCCIKNK >CAK8576041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389893490:389893879:1 gene:gene-LATHSAT_LOCUS28259 transcript:rna-LATHSAT_LOCUS28259 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEETVHKLASLLLVFLGGMDIVLFLMGKGSHSHSHNQPMKKMAVAGLILVPSLSPCTTTLPVFLAVGNLSSMMVLAIIVLLFSIISMMTSLVALSFFGASQLKFHWVERCRACIEGCFPYERV >CAK8562395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:483896133:483897157:1 gene:gene-LATHSAT_LOCUS15895 transcript:rna-LATHSAT_LOCUS15895 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPNLGRKRKTTETFHHNKPPFKRFTFINMVEECHSTLTSDEESFPCSCSGNIITKFEESPEVQSLEQDETSTCHDQVIRRREMSLLNEEEEVDSIEKKPQKMPSETELDEFFSAAEKNIQKQFENKYNFDIVKDVPLEGRYEWVQWKQ >CAK8535121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815387074:815387391:1 gene:gene-LATHSAT_LOCUS4598 transcript:rna-LATHSAT_LOCUS4598 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAVAALSFLFLVLFVAQEIAVSEAKTCENLSGTFKGPCIPDGNCNKHCKNNEHLLSGRCRDDFRCWCTRKC >CAK8565679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:304356900:304358891:-1 gene:gene-LATHSAT_LOCUS18869 transcript:rna-LATHSAT_LOCUS18869 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSLTDEVRNYLKQKRYVVVFDDVWSVHFWDDVEFAVIDNKNGSKVLITTRNLDVVVSCEKSSFIEVLKLEPLSQEQSLELFNKKAFKFDHDGCCPKELIGIANEIVQKCNGLPLAIVAIGGLLSTREKTGFEWHRFRENLNLELKKDTHLIGIKEILGLSYDDLPYYLKSCLLYFVIYPEDYVVRSKRVIRQWIAEGFVKEERGKTLEEVAERYLTELIHRNLVQVTSLRIDGKVKHCRVHDLIREMILEKNEDLNFCKHISDDGQSTLSGITRRLLITTELTSTSLYDLILNTERSHVRSLFVFGNNASTFLSFRRIPTKFRLLKILDYERVRLLHVPNEVGSLIHLKYLSFNYIRDGKIPKSIGMLQNLETLEVRDTDTLQLPKEISKLRQLRHLIGTNISLIELKNGIGEMTSLQTLRNIDLDMDGAAEVIKELGKLKQIRDLGLINVHEEDGSIISSSINEMQHMEKLFVSSYKNFHKLDDLHLNSTSTMVRKLTLIGWLQKLPEWIPELQNLVVLRLMRSILTEDPMQSLKYLQHLLILSIKEIAYEGLCLHFEDGGFQKLKELYVEKSNELRDSIIDKGALPSLKKLRLHGLFSLKTMPTGIQHLEKLEVLYIGYAGIEHLEDISTEDWNWIFKYGPAVEITTPSGFVTLNPIR >CAK8542393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507893472:507896638:1 gene:gene-LATHSAT_LOCUS11234 transcript:rna-LATHSAT_LOCUS11234 gene_biotype:protein_coding transcript_biotype:protein_coding MASLITFLKRTSSSSSSSFAVACHHSIIMRRFLTTTTATSVDSSSFAQRIRDLPKDLPGTNIKKHVSQLIGRTPLVYLNKVTEGCGAYIAVKQEMMQPTASIKDRPALAMMEDAEKKNLITPGKTVLIEPTSGNMGISLAFMAAMKGYKMVLTMPSYTSLERRVCMRAFGAELVLTDPTKGMGGTVKKAYDLLESTPDAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSRNPNVKIYGVEPSESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARTLAVKEGLMVGISSGANTVAALRLASLPENKGKLIVTVHPSFGERYLSSVLYQDLRTEAENMQPVSVD >CAK8543970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652870805:652871122:-1 gene:gene-LATHSAT_LOCUS12688 transcript:rna-LATHSAT_LOCUS12688 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHSKVCHKNPNLLQKDPTQRNLVSGDAGFLGSTSQRFNSQACRKAITSFVILDEHSFRVVEGEGFKKLCKQLQPQIAIPSRRIVAKDYFQLYLAEKLKLKAFF >CAK8531105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81377135:81377878:1 gene:gene-LATHSAT_LOCUS917 transcript:rna-LATHSAT_LOCUS917 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNRFYDTSAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVFRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYDPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTIALSLLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPSVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8575936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:367088609:367091942:1 gene:gene-LATHSAT_LOCUS28161 transcript:rna-LATHSAT_LOCUS28161 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRINTARSIFSSLFRINSSCSYSTSFLFDDTQIQFKESVAQFANENIAPHASKIDHTNYFPQEVNLWKSMGEFNLHGITVPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGSHAQKEKYLPKLISGDHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGTVAQTLVVYAKTDITAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPDENVLGKEGKGVYVMMSGLDLERLVLSGGPLGIMQACLDVVLPYTRQREQFGHPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >CAK8574461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:683413102:683414403:-1 gene:gene-LATHSAT_LOCUS26805 transcript:rna-LATHSAT_LOCUS26805 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSYNYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRKTTVDRGREEGHNRLFNDYFSENPVYTNVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMCLSPLQKCTSVIRMLAYGSPADIVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDIEHLLQMGESRSFPGMLGSIDCMHWKWKNCPVAWKGQFCRGDHGKPTIMLEVVASQHLWIWHAFFGIAGSNNDINVLNQSNMFNDILEGHVPTMQYTINGTPYNMGYYLADGIYPEWATFVKTISMPQGEKRKLFAQHQESARKDVERAFGVLQSRFAIICGPARAWHMENLKHTIYVCIILHSMIVEDERHTYGGDFDYSYDNVDDNNSTTETFNGPHPNLATRLQRRANIREKQVHRQLQGDLVEHIWERFGHEEDET >CAK8574337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675950564:675954763:1 gene:gene-LATHSAT_LOCUS26692 transcript:rna-LATHSAT_LOCUS26692 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYNLTLQRPTGIICAINGNFSGGKGQEIVVARGKVLDLLRPDDNGRIQTILSVEVFGAIRSLAQFRLTGAQKDFIVVGSDSGRIVILDYNKEKNVFDKIHQETFGKSGCRRIVPGQYIAVDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTLVFSICGVDCGFENPIFAAIELDYSEADQDSTGMAASEAQKTLTFYELDLGLNHVSRKWSDQVDNGANLLVTVPGGADGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPSDRGVLIVSAAMHKLKNMFFFLLQTEYGDIFKVTLEHEGDRISELKIKYFDTIPVTSSMCVLKSGFLFAASEFGNHALYQFKAIGDDDDVEASSATLMETDEGFQPVYFHPRRLKNLVRIDQVESLMSIMDMKVSNLFEEETPQIFTLCGRGPRSSLRILRTGLAVSEMAVSKLPGIPSAVWTVKKNVIDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLSVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIAKVGSNRLQVVIALNGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQTLGIQSLSSAPESLLFLEVQASIGGEDGADHPASLFLNAGLQNGVLSRTVVDMVTGLLSDTRSRFLGLRAPKLFPIIVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCVEGVVAVAGEALRIFTIERLGETFNETVIPLRYTPRKFVLQPKRKLLVVIESDQGAFTAEVREAARKECFEAAQAGENGTGSADQMENGGEDEDKDDPLSDEHYGYPKAESYKWASCIRVLDPRTGNTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFTPKRSLAAGFIHIYRFLEDGRSLELLHKTQVEGVPLALSQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTIVSIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVITCLQKASLIPGGGECILYGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQDNPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >CAK8536843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:27845259:27846407:-1 gene:gene-LATHSAT_LOCUS6170 transcript:rna-LATHSAT_LOCUS6170 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWANLDSLALDLILDKLKEPFHHIWFGSVCKNWHLIAKLNHHGNIQFRSNMLPMLMIPSEKTTEKRNLYSVVANRVYPFEFTTLNQKRCCGSSYGWLATVAAYNIITWVNPFKDVAPIILPWIDIYMKYKHCEFNIRKVTLSADPITSPDDYVVAAIYTNCGALAFIKAGQEFWTYIQENHSCGFVDLTFYKGLVYAVSRWKKIVSFELCYSSDPHDPYGRERRNPNVVLERSQDEIYSPLTYLVKSLEGVLWMVRRFITREEDSTNKGTKDFHVFKLKLDHKGENLIHLLKLESLGDNVLFVGDGDSTSISASYFSNSLQKDSICYSDNYFDDEPDPYPQGPFDLGFYNVKHASFGLHCPYKSYFKNMTPPIWIAPYF >CAK8579802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:723018059:723020359:1 gene:gene-LATHSAT_LOCUS31716 transcript:rna-LATHSAT_LOCUS31716 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKRQQLSFLLINYHITTSSDADAIARYHISRNEIKLARRVFDQIPKPTVVLWNMMIRAYAWAGPFQQSIHLYHQMLQLSVTPTKFTFPFLLKACSALQDLQLGRLIHSHAHILGLTMDIYVSTALLDMYAKCGNLFQAQTLFNTMSHGDRDVVAWNAMITAFSFHAFPTQTLHLVTQMQQAGIAPNSSTLVSILPTIGQANALLQGKAIHAHSLKKSFSDSVILQTALLDMYAKCHLLSYARKIFNTVNKKNEICWSAMIGGYVLHDCMTDALDLYDDMVCIYGLNPTPVILATMLRACAQLTDLKRGKFLHCHMIKSGIHLDTTVGNSLISMYAKCGHMDDSVGFLDEMIAKDSVSYSSIISGCVQNGYAEKALPIFRQMQSVGIEPHLATMIALLPACSHLAALQHGICCHGYAVVRGFTNDTSICNAIIDMYSKCGKITVSREIFYRMQDKDIISWNTMIIGYGIHGLCIEALSLFHELQVSGLKPDDVTLIAVLSACSHSGLVTEGKHWFSSMSQDFNIKPRMAHYICMVDLLARAGNLDEAYTFIQRMPFVPDVRVWGALLAACRTHKNIEIGEEVSKKIQLLGPEGTGNFVLMSNIYSSIGRWDDAAHIRSIQRHRGYKKSPGCSWIEISGVIHAFIGGHQSHPQSASINNKLQELLVQMKKLGYRADSSFVLHDVEEEEKEQILLYHSEKIAIAFGILNTSPNNHILVTKNLRICVDCHSAIKLITILTEREITVRDASRFHHFKNGICNCQDFW >CAK8542587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528026250:528031230:-1 gene:gene-LATHSAT_LOCUS11414 transcript:rna-LATHSAT_LOCUS11414 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVKRGVAAKKASLSSKTAIQNQKQEPEPEPEPVKNLEENSVADVVAEENPVETNNLAPETAPNGLATVETDNGEEVKDSIDEYEKDELLDLEDNSHESDPDEYVGDDYDERENEQDDVEEVGDEVDEELEEIVEEEEGDTGDEEVEYVDEEVEYVYEEVEGDDDDASAAGNDLAAAGNDDDHAGMENEHEHLADVEEEEQGEVRKERQKQKQKEFELFVGGLDKNATEHDLRKIFGKVGEITEARLMMNPQTKRNKGFASLRFKTVEQVKKALAELKNPVINGKQCGITPCPDSDTLYLNNICKTWKKEALKEKLKHYEVENFKDLTLVEDGNNEGTNCGFALLEFSSHSDAKEAYKRLQKTDVVFGVGKPAEVSFADSFDDLEDDIMAQVKTVFIDLLPPSWDEDYVRGLLKKYGEVEKVELAKNRPAARRKNYGFVTFATHAAAAECAESITGSGLGKGKKKAKVRARLSRPFQKVRGKHFTHTDLSGRKFRRSARPSRSRPSLRSRLALRSRLGPPSRPSLQSRLGPPSRPALQSRLGPPSRSAGVTRRPGNSISPVRPSSARNRRPVSSVPVRARPATPPARSHERLTAAYPKSSMKKDYGRPVDLPPPRSRVPADYGSQAASPRHSSYRDYPARDSGYSDLHRNASRTAPRRGHLDDSFDQRLERSPPPPHLSYREGRPHDYDTLSGSKRPYAARDDISPQYADARQSRSRLDYDYGGSVSQYREAYGDRLGRSSLGYNSGSRSSSSIQNTHEAYSSRQDMSYGRGSIGGSGGIYSSSYGGDYISRGSDAGGSSYSSMYSSRGMNGSSSYMGSGGGSRSYY >CAK8562983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563718384:563718647:1 gene:gene-LATHSAT_LOCUS16438 transcript:rna-LATHSAT_LOCUS16438 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQKAEASWLKEGDRNTEFFHKLVQGRWRSNNISFVNTSRDKVEEVVEVKSAVKDFFVENFEESLFDKPALDDVGMKKLSKEDKTF >CAK8538941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499877838:499879813:1 gene:gene-LATHSAT_LOCUS8086 transcript:rna-LATHSAT_LOCUS8086 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHCYLEGNADAVEFCPHNGHHNVLAASTYTLHEGDQPTRCGSISLFNVDGNTNNFHTVYSEETSGIFDIKWNPPSGNTGPFLGQADADGYLRIKKLDGCYDGVQGTCLKEITSEKISNSMCLYLDWNPLSTSITVGLSDGCVSIVSLLESKLEIQEEWNAHDFELWTTSFDIHQPNLVYTGSDDCKFCCWDLRDSPSNLVFKNSKVHKMGVTSIEKSPHDPNILLTGSYDEFLRVWDLRSISKPVDETSISLGGGVWRVKHHPDIQGLVLAACMHNGFAIVGIKGDKAEVLETYKKHGSLAYGADWQKGEVKLIEGRSKPVVATCSFYDKLVRVWRTSNDIIL >CAK8565886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347314327:347317872:-1 gene:gene-LATHSAT_LOCUS19063 transcript:rna-LATHSAT_LOCUS19063 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNNAKDIQLHLLRMFHVSVDSSYKFLKRHPKFSCLLLVFSIFYSFLSYIYTFLGYMSPFLICGAIFLRIFWSSEKTQLRYAKIGEKKEEEELKVEPKILPPKIPIPSIISRHEQILFKYPSQNATSRRRNFREKKWDVYGGLEEKAKDLSEVFQNEYTNKRNIGPFKKGESSLYYGLSSGRRAHHAVKRSLRSEPSMVDLVECGDTEMDIEKMEEDDEEDDVKNTIEWTENDQKNLMDVGNSEMERNRRLENLIARRRARKLLKLQVENGLVDKKAVSPSSMKPLVVKRESCDIDDLDMPGSAPSVMPRSPYDIPYDSYEEKPNLSSGGFLKDFQKDVLFSRHESFSLGSNFSSDMNHEHGTRESHSFHGRKFSEKHALSRFRRLPDKGNHDWLIEQLIYNDGAEHGIQEPKPLVKGKEAKLENDEKCKTHIDDTKTMSVQSSESGSKVSNISNIETNSVSQKPGSGPASRFLKSHERLLSLPVSFTDTKTMNESMCDSVPSPLDKRQETMFSGDRRLCHTPTYSIASDLQVELSEIGSPSSTVDDNVDSNSSSDRDRDSMLYDGDIDRDVSSGSEDLWGASFHGKGIKTEENNNGEDANNSLKEIASPMSLRQIDEEDVADVSSYSSRDEGREDTPTCCVVNTDHNAFGNYMKFSRGKYEVPQSSRSPYDTISQNKLIGSPMDQISEEISINESHDVNDVNNLATTEQGNRENLISSEDPGNIPPVVRQESLDDEGSSESISSSPRSVLPDKTISDDAASPSFNQQMEIGSPQSIIEDMPQDTLNEDEHSHDNMSRSIQTLVDEITDESHNVESTIPRNMNDEQTLVDEITDESRNVESNIPRNMNDQQTLVDEITDESHNVESNISRNMNDEQTLVDESHNVESNISRNMNDEQTLVDEITDESHNIPRNMNDEQTLVDEIADESHNIESNIPRNMNDEQTLVDEIADESHNVESNIPRNMNDEEIERYEQRGELNNGESSEDNSSHQISQEATSESIKQINVMETMNEEESRDIADDKVPSIDVVEEDKNEPLAVANGNINEEFPQPQVIDSTVCDKETIEGHTEDTLTT >CAK8568116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574574621:574576597:1 gene:gene-LATHSAT_LOCUS21111 transcript:rna-LATHSAT_LOCUS21111 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTTSRSPFTSSSSYSTFLKRNSKLTLPFSPIFNKPQSIHTRPLIISSSLSNSPVAPTSTTTTTTSDDQYISRFSSTEPRKGADILVEALERQGVTNVFAYPGGASMEIHQALTRSKTIRNILPRHEQGGIFAAEGYARSSGLPGVCIATSGPGATNLVSGLADALMDSVPLIAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLILDVDDIPRVVKEAFFLATSGRPGPVLIDIPKDIQQQLAVPNWAEPIKLAGYVSRLPKIPDEAQLEQVLRLLLESKKPVLYIGGGCLNSSEELNRFVELTGIPVASTLMGLGSYPIGGEHSLHMLGMHGTVYANYAVDSSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKIPHLSICADMKVALEGLNRVLESKGVKGKLAFEAWRQELNVQKLKFPLGFKTFENAISPQYAIQVLDELTNGDAIISTGVGQHQMWAAQFYEYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPDAIVVDIDGDGSFIMNVQELATIRVENLPIKILLLNNQHLGMVVQWEDRFYKSNRGHTYLGDPSNEDAIFPNMLGFADACGIPAARVTKKEELREAIQKMLDTPGPYLLDVITPHQEHVLPMIPSNGSFKDVITEGDGRTSY >CAK8535246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:829435041:829435676:-1 gene:gene-LATHSAT_LOCUS4719 transcript:rna-LATHSAT_LOCUS4719 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKHDSCCSCCSGFIITMGLTALFIWLSIRVDEPKCYIDHIYVPALNKTLNSTSNSTILFTLKLVNPNKDKGIQYDAVYLRFGIFLDLNTTRSLGNATVERFYQGHEKKGQKPGSMNGVGNLTETVNGKVYFRVDFVTAVKYKILLWCTKRDQLWGGANLEIGDSGEKVYKKAVRLGNSPVMIESSASGLYGGYRALLIFLVGFIAIGLT >CAK8561395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:188272246:188293388:1 gene:gene-LATHSAT_LOCUS14994 transcript:rna-LATHSAT_LOCUS14994-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAMRSEGYYTLKDLSFDFKEYEGTFSFCFWVYFMNSTTFPSVILHQILDDISESAPFLVISDNNRINVFPVLYLHEGAPATCMGSWTEVPHATVDFEFPLEKWVHIGCEVCPNYIRLHINGEVVGEKAMSSLLNKESNSNNLKGITLANVCGDRNIVQGYVHNFEGFHTVSSIKDHHLKDPPLKLSIDESSVSEVEEESDGVWGIVGGKASCRRNFSLDVVLSDAFGQPVHKDNEVFASLVYADTGAPVENTTDDEAPLLSSYDGIEFSSCERPSKFLLGRASFKLRISQLSSKCDNRLFLIRFCVPKLGNHPFLQTHTRPIRCISRSRNSKLSTLVWKKSTHALQRLNLSQSSTIGAASLECMNSSHEEKTNPLMKRFRVGLDKISVSVMAVPTLKQSGVECNSHVSTTNQVENGFPVSLDDRSLNYEEADDSPSESSESTGERNSPSNNMEHRRYPISDMTIFKYCLAGLAERSHMLKEIAISASDEDISELAYHVSHYSGCSHHGNQILISKRLIEDGTNLWMAMSPNKSHVPWERAVYEIEEKFMKIASCGSRSLSHQDFEILRKIAGCEEYLTQENFEKLWCWLYPVACALSRDWVNPIWNSMSPKWIEGFITKEEAEASLQGPGGFQEPGTFILWFPTSRSWPHPDAGSLTVTYVGSDYKLRHRLLSMDHIYGIMDVKPLQDMLLAEPELTRLGRVIRRY >CAK8561396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:188272246:188293388:1 gene:gene-LATHSAT_LOCUS14994 transcript:rna-LATHSAT_LOCUS14994 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAMRSEGYYTLKDLSFDFKEYEGTFSFCFWVYFMNSTTFPSVILHQILDDISESAPFLVISDNNRINVFPVLYLHEGAPATCMGSWTEVPHATVDFEFPLEKWVHIGCEVCPNYIRLHINGEVVGEKAMSSLLNKESNSNNLKGITLANVCGDRNIVQGYVHNFEGFHTVSSIKDHHLKDPPLKLSIDESSVSEVEEESDGVWGIVGGKASCRRNFSLDVVLSDAFGQPVHKDNEQVFASLVYADTGAPVENTTDDEAPLLSSYDGIEFSSCERPSKFLLGRASFKLRISQLSSKCDNRLFLIRFCVPKLGNHPFLQTHTRPIRCISRSRNSKLSTLVWKKSTHALQRLNLSQSSTIGAASLECMNSSHEEKTNPLMKRFRVGLDKISVSVMAVPTLKQSGVECNSHVSTTNQVENGFPVSLDDRSLNYEEADDSPSESSESTGERNSPSNNMEHRRYPISDMTIFKYCLAGLAERSHMLKEIAISASDEDISELAYHVSHYSGCSHHGNQILISKRLIEDGTNLWMAMSPNKSHVPWERAVYEIEEKFMKIASCGSRSLSHQDFEILRKIAGCEEYLTQENFEKLWCWLYPVACALSRDWVNPIWNSMSPKWIEGFITKEEAEASLQGPGGFQEPGTFILWFPTSRSWPHPDAGSLTVTYVGSDYKLRHRLLSMDHIYGIMDVKPLQDMLLAEPELTRLGRVIRRY >CAK8532293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:240477069:240480475:1 gene:gene-LATHSAT_LOCUS2017 transcript:rna-LATHSAT_LOCUS2017 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPSTMGDMNKEKVVSNSELFSSSLKGFKDKSITNNNMPSWLLTSISDLEERVKMLAIGTCEDEEEGDTFAERAETYYQKRPQLLSLLHDLYNGYITLSDRYMQTLAKHKHHSRHSSQVSTLEEGFYDQEEEEVISGVTRIDSDMESSISYQQTLPMANLPKRGTTMFDVDAVVAELVMKNVECDFLLHEVNAMERRYCESSRKSELQKSLLEVLESERVVLLNENAGLSYRVNTLVEENKELASESVFIKRKAGELAKCVLKMREDHRVYLLHRKIEDLQGQVHGLEKRNKEYYERLLRRENQENGNKGKNGGEGIALEVHVQMEKPRRFKWKEEGKSSRKNLDGKKGLSLWKKLKNMDLLLCGTSPACS >CAK8542102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:473862282:473862833:-1 gene:gene-LATHSAT_LOCUS10973 transcript:rna-LATHSAT_LOCUS10973 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFLDTEMSIPPSYENNTSDSFINAENFDTNMVIILAALLCALICALGLNTIARCAMRCSRRFSEETPEQATARLNKTGLKKRELSKIPVAVYGTGENIPATECPICLGEFEKEDKVRMLPKCNHGFHVRCIDTWLVSHSSCPNCRNSLLEKEKKTVCSSSTVAGDGLPENGPVVVEMQAS >CAK8568741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:637864372:637865676:1 gene:gene-LATHSAT_LOCUS21672 transcript:rna-LATHSAT_LOCUS21672 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTKDTNNIVKNKDKKSSSSSTSSPISTIKRISRPTSSSYLESSTSQNPSEKQIPNYLKPTISSQPRSQSFKLRNDNVPNKPTLNRRRSFDKPPSVSKLSKQIQHSPSRQQHKPLVRSILPSYKPASDKTSSKNPKQSVTKKSNTKSVATKSSTNEETKEKNNVVENEPEPEPEPEPEVKEAITNEENIGEVEKVDNVQEHDHVENLVPELNNSQVQDIVPEINQSELYNIVPQINESQIQDIIYESQVQDIVPESQSQDIVQIESDNEKVKEVIPTLVLEEEAKEDQIKDGHEESEITNTNEDECNINKNYEQETNHSTNEEEVVEVKEKEEEDTKSEEEVVEVKEKEEESSEVKEKNEEKVENEVEEVITKVDSPKEKEEESSVVQGKKEPTQVSNGVIEETAGKLLEERRNKVRALAGAFQTVIDHQTK >CAK8564246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662186266:662191091:-1 gene:gene-LATHSAT_LOCUS17569 transcript:rna-LATHSAT_LOCUS17569 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWENFGVFKYVFYGPIVGKVVYEMMNQEEHSKLNLSWWCLNLIILCGLRTVLHVFWSSYSNMLFLTRNRKILQQGVDFNQIDKEWNWDNFLILQTLVASIVCYIFPFLQHLPIWNVKGLVLALILHVGVSEPVYYWVHKKFHGDYLFKNYHSLHHSSPVPTPLTAGNATFMEHIILMVVIGIPIFGTSMMGYGSTSLVYGYVLIFDYLRCLGHCNVEIVSHKWFEAFPFLKYVIYTPTYHNLHRTDKDTNFCLFMPLFDALGNTLNTKSWTLHKTLSSGSGNEARVPDFVFLAHMVDISSAMHVPFVLRSTSSLPYTTRLFFIPCLPFTFIVVMVMWLRSKTFLLSFYNLRGRLHQTWVVPRCGFQYFLPFATDGINKLIEQSILRADKMGVKVISLAALNKNESLNGGGKLFVDKHPNLRVRVVHGNTLTAAVILNEIPQGVNEVFLTGATSKLGRAIALYLCEKKIRVLMLTISTDRFHKIQKEAPLEYQSYLVQVTKYQAAQNCKTWIVGKWITPREQSWAPKGTHFHQFVVPPILSFRRDCTYGELAAMKLPEDVEGLGCCEYTMERGVVHACHAGGVVHSLEGWSHHEVGAIDVKRIDLVWQAALKHGLKPVTTCPHDKTD >CAK8577533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571699062:571700030:1 gene:gene-LATHSAT_LOCUS29632 transcript:rna-LATHSAT_LOCUS29632 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPEKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKTVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSAEPPEVVQARNDNSHGTNFTDSLEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDHVEPHMCVMHNTASFCS >CAK8560323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14412048:14412410:1 gene:gene-LATHSAT_LOCUS14007 transcript:rna-LATHSAT_LOCUS14007 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRNNTVTNVEFTGEERNRDGLIRERDFIVWVCGGKSEIKLRGGEFKGGDGKGRTLGVVEEVDDGASGAEEEEEEDEDENKPATNGATPITVATGTVVGLRTVGWAGGAVELRFCCRE >CAK8570184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28059131:28061726:-1 gene:gene-LATHSAT_LOCUS22959 transcript:rna-LATHSAT_LOCUS22959 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPTTTLSRRRTQAPIPAPKKLSSLDDVVCQKCSSGKSPTKLLLCDKCDKGYHIFCLSPILAKVPKSSWFCPSCSQTTTNNPKSFPLVQTKIIDFFKIQRSSEASQIPNQDSKKKRKRASSLVVSKKKRKLLPFTPSDDSRRRLVQMASLATALTATKTEFSNELTYMPGMAPRDANSPSLEHGGMQVMSKEDIETLNLCRSMMERGECPPLMVVFDPVEGFTVEADKSIKDLTIVTEYVGDVDFLRNREYDDGDSIMTLLFASDPSQSLVICPDKRSNIARFVNGINNHTLEGKKKQNLKCVRFNVDGECRVLLIAIRDIAKGERLYYDYNGYEHEYPTEHFV >CAK8537683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420788068:420790829:-1 gene:gene-LATHSAT_LOCUS6949 transcript:rna-LATHSAT_LOCUS6949 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIAILRDTGRKLGGVLGFNRGFHSVPHSPPLSGCIDHGIQSMQPVLPEFSFPNFSFGGSMELMAVPKRKVSPHKRGIRNGPKALKPVPVLVLCKGCGRVRLPHFYCCSGKPNNENNGEENHTSR >CAK8560152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9008779:9009422:-1 gene:gene-LATHSAT_LOCUS13856 transcript:rna-LATHSAT_LOCUS13856 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVMEISAMNFAAVMEAPVVFIYRNNGWAISTPVEEQFRSDGIVVKGQAYDIWSIRVDGNDALAVYSAVHTAREIAIKEQRPVLIEALTYRVGHHSTSDDSTKYRSTGEIEYWKMERNSVNRFKR >CAK8560985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:67213682:67213966:1 gene:gene-LATHSAT_LOCUS14616 transcript:rna-LATHSAT_LOCUS14616 gene_biotype:protein_coding transcript_biotype:protein_coding MHELMFGGKNPALSSKLLPLIGWLFQMPNPIGLNTALAQLGVVRPVFRLPFVPLPLEKRKEFANLVKDIGRQHFVGTQDVQVLDDNDFFLVSRY >CAK8542363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504755461:504755763:-1 gene:gene-LATHSAT_LOCUS11207 transcript:rna-LATHSAT_LOCUS11207 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRNIRDHKRRLLAAKYELRRKLYKAFCKDSDLPSDMRDKLRYKLSKLPRNSSFARVRNRCISTGRPRSVYEFFRISRIVFRGLASRGPLMGIKKSSW >CAK8576089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:404590753:404596286:1 gene:gene-LATHSAT_LOCUS28304 transcript:rna-LATHSAT_LOCUS28304 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELERRPVIGNNEGYYGDELEKEIGMLLRDQRQQVGDDRNDRNDRERELNVYRSGSAPPTVEGSLSAVGGLFGGAGGSGGSGAAGFSDFHGTKDVNGVVSEEELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRFQQRLQGGNSGVGGIGDRRKASITDDNGGRAMFSTPPGFNFRKQERSEVDTEVPRGSAEWGGDGLIGLPGLGLRNKQKSLAEIFQDDMEHNTSISGLPSRPASRNAFDETVDVLNTSEAELAHVQGSAATQNIGLPTSYSYAAALGGSSLSRSTTPDPQHVARVPSPCLTPIGGGRSVASDKRGIISPDAYNSVSSGKNESADLVAALSGMNLSAGDENHLPSQVESDADNHQRYLFGMQGGQDHGKQHAYLKNSESGHLQSSAKIRSGSDLNNLSLHRQVELQNSSAPSNNSYFKGSPTTHFSGGGNLPAQYQGIDGMNSSFTNYGVSGYAGNPALTSLMTNQYGTGSLPPLFENVAAASALASPGMDSRFLGVGLASGAGSPSDVHSLGRMGNQIAGGGLQAPFVDPMYLQYMRTSEYAAAQLAALNDPSVDRNYLGNSYMNLLELQKAYLGSVLSPQKSQYNVPLGGKSTNSNHHGYYGNPAYGVGLSYPGSPMANSASPVASGSPIRHNDLNMRFASGMRNLAGVMGPWHVDTGNMDESFASSLLEEFKSNKAKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEIMPHALVLMTDVFGNYVVQKFFEHGLAPQRRELANKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVQELDGNIMRCVRDQNGNHVIQKCIECVPEDAIDFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCEDPTTQQKVMDEILSSVSMLAQDQYGNYVVQHVLEHGKPHERSTIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQILVSEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQTPQPA >CAK8577481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567881071:567884536:-1 gene:gene-LATHSAT_LOCUS29585 transcript:rna-LATHSAT_LOCUS29585 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSILANTTTTTTLFVILLFLHSSLALKEGQICLADKNCDSGLHCETCVANGNLRPRCTRTQPINPTSKVKGLPFNRYSWLTTHNSFALLGQKSATGSVILAPTNQQDTITSQLNNGVRGLMLDLYDFENDVWLCHSFGGQCFNYTAFQPAINVLKEIQAFLEANPSEIVTIIIEDYVTSPKGLTKVFDAAGLRKYWFPVSRMPKNGGDWPTVDDMVQKNQRLVVFTSKSAKEASEGIAYEWRYLVENQYGNSNGGMKAGSCPNRGESPSMNTTSRSLVLVNYFRDLPDETQSCKDNSAPLLDMVNTCYQAAGKRWANFIAVDFYKRSDGGGAPEAVDVANGHLVCGCGNIASCKANMTFGASSCQLPEAELAPNREAALESSFSILNCKPLDLLMSFAITFSAMLLAL >CAK8542898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557401360:557403047:1 gene:gene-LATHSAT_LOCUS11696 transcript:rna-LATHSAT_LOCUS11696 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNLQMTTSKLCLCPNASALKISSPYKTFTFRSNFKVYLLSPNNNGSASTCSSHGSVSSLKKDENRRRSDLENLFCYDKAIPEEIIEKPVGLSLAEKAIGNNSRCTDCHAKGALLCATCAGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCTECWGRGHLGHK >CAK8565817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:338675569:338676756:1 gene:gene-LATHSAT_LOCUS18998 transcript:rna-LATHSAT_LOCUS18998 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVTVIATKKTSKVRKQLHIHHDIALSILSKLSIKPLKRFECVCKSWSFLSDNPYFMSHYRNSFLTKYHSYYDDASFLPLQRFPIFHNQRFELHSLYEERFPSNVKIDWPYLHCFPRMVGCGSVHGILCFSIVTQNDIILCNPSTKDYKAIPLDRNHHECYQRGYSNSGFGYDCVEDDYKVMCIYHLDNDNEPMEDLYLDPFIWEIFSLKNNTWKKLEVDIKCNPTFWNDEQLYIDGFSHRVCQIEEYDYKTYVLSFDWHREVFTTTLIPFNIEDILDFLYHWTNLVLLNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLEHPIGMGKKSDMLFRKKDGGLVCFDLITQKTTDLSITNKACSNIVIHKQNPISLLAYVGKSI >CAK8537781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:432063852:432064564:1 gene:gene-LATHSAT_LOCUS7035 transcript:rna-LATHSAT_LOCUS7035 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLPINSKAVNGLTNPRGSMIEELLGVEPTPSDLRSQKLLLSWLKKLYREITLTVYSPEILKIKKTRIYVMLLIGLFLCPDASGNAIHPMYLVLLDDIDKIKTYSWGSATLSHLYQSLCNNATTNSRNFTGCGVLLQAWGWSRMTNLAPIQHNNFGFPYATRWSSLRMNYDNCPHYSITQYRNLLDHLGQDDVPSLTYLFRIESP >CAK8569833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13074005:13075663:-1 gene:gene-LATHSAT_LOCUS22642 transcript:rna-LATHSAT_LOCUS22642 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSTNNVLWCFTLTIFIVILFNPKLSYAKQSKLMNLNMNMIDRCWRSNPDWRRHRQQIATCSIGYVGKMTNNIGKELIHYKVTDPNDDPINPKFGTLRYGASVIQGKVWITFQKDMNIRLVKPLLISSFTTIDGRGVNIHIANNACLMIFKATNIIIHSIRIHHCKAQSPGMVMGPNGKVIHLGPVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSSNVTISNNWFRKQDKVMLLGHDDGYMRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWVQYAIGGSMGPSLKSEANLFIAPKVGSKEVTWRNVGQTNGNQWEFHSVRDEFKNGASFAITEGGRVPKPNYNKEQSFKVVDVKYIRSLTRSSGALQCSKTSIC >CAK8562372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479532032:479535763:1 gene:gene-LATHSAT_LOCUS15874 transcript:rna-LATHSAT_LOCUS15874 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVYFDISIGGELEGRIVIELFNDVVPKTAENFRALCTGEKGIGPNTGVPLHFKGSCFHRVVKGFMIQGGDISAGDGTGGESIYGTYFDDENFDLKHERKGILSMANTGPNTNGSQFFITTTRTPHLDGKHVVFGKVVKGIGVVRSVELGVTGENDRPEQDVVIVDCGEIAEGEDEGVINFFKDGDTFADWPVDLETKPEELEWWMSAVDSIKSFGNEHYKKQDYKMAIKKYRKALRYLDMCWEKDGVDQEKSTALRKTKSQIFTNSSACKLKLGDLNGALLDSDFAMHDGDNAKALFRKGQVYMILNDLDAALESFKKALELEPNDGGIKREYAIVRKKVADRRDQEKKAYSKMFN >CAK8535730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878215560:878216069:1 gene:gene-LATHSAT_LOCUS5159 transcript:rna-LATHSAT_LOCUS5159 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNNGYKSKQRSSTASGYICRIQVLVMSFTLMLMLVILFLVFDNVHDNDNHGVKVKVKPSLAYDSHKQKWNSFDSLVKLHPTREFRNGTDLIWQVPESPKGVLFLAHGCNGKAINFWDKSSECPDCVSLPEERLLVFHGLAEGFAVITISSVRICWSYGNNEVLIVQD >CAK8573859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642839523:642840566:1 gene:gene-LATHSAT_LOCUS26259 transcript:rna-LATHSAT_LOCUS26259 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPKRNYTRINTLELKALILRKVGNQRANKYFDQLGRLVSSKISKTEFDKICIMTIGKENIALHNQLIKAILKNACLSKVPPVRVSAKTRSLLNVKGPNQQQISPIQMRYGDAFPPSLRRVGSLATSEATLKGCQPQNLASKYLMYKTPEQQSATELNSLGSRPPISVEDGEEVEQIAGSPSIQSKSPVTAPLGISMNFGYGRKALSSAPSCRKYPPETCFGNGYLPDTSSLRSRMEQKLEKEGLTVTVDCVNLLNNALDSYMKRLVESSMGLPGSRFGNEHRRQQNGQSVADSNILLPRRYMQTATQSSGASLLDFRVAMELNPQALGSDWPTQLEKICIRASEE >CAK8578688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647196905:647198764:-1 gene:gene-LATHSAT_LOCUS30678 transcript:rna-LATHSAT_LOCUS30678 gene_biotype:protein_coding transcript_biotype:protein_coding MESILVLFMIFVVSTNSISVAASPSTNNSLCPVDMNYVLTVPWNTSTCLTQNKPQTSLCCQTLLSLFGIALAQNLNKNSLFQLPNLSTSTSCLQDFQTKLTSLSLHDDLVSSCFDPLQFVITPDICARIQSKQDWFSSFGPGSLFNTSCKQDLTVSTNCDACVDQGLKVQEKLNQIDGNSSHSKDCFYFTILYIAGVVNQFGPENSGVMSCILELPLNSQVVSRKKSHHALVFGLIGASVAFMAIMFSLLAFYFWYTGWIKRKSTENFLSHSDPREQRSIQRFRPNTGSIWFKFDELVKATDNFSLQNFIGRGGFGMVYKGILSDGTTVAVKRIEESDFQGDNEFYTEVEIISKLKHRNLVPLRGCCVVDEDHNQEHRNRYLVYDYMPNGNLEDHLFPSMDNEKEKKSLTWPQRKHIILDVATGLVYLHFGVKPAIYHRDIKATNILLDAEMRARVADFGLAKESSESRSQLNTRVAGTYGYLAPEYALYGQLSEKSDVYSFGVVVLEIMCGRKALEVSSSGTPSFLITDWVWSLMKSGNLAEALDGSILVNDGESNGGNIMERFLVVGILCCHVIGDSRPTILDALKMLEGDIEVPSIPDRPMTFENHMFINGDHNLN >CAK8535462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850186531:850190632:-1 gene:gene-LATHSAT_LOCUS4924 transcript:rna-LATHSAT_LOCUS4924 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLKEVLKTLCGRNQWSYAVFWKIGCNNSKLLIWEECYHEPFSRPSTHGIVGMSNVRNPNEEGCWFSSEFQSAPLGIQEEDRVSSLINKLTVNNLVVVAGEGIIGRAAFTNSHEWILLNNFAKDAYPPEVYAEMHLQFSAGMQTVAVIPVLPHGVVQLGSCLPIMENMGFVHEVKSLILQLGCIPNALLSEDYSTKFSNERLDGRATSGVPVPVDLSVITSNSAPSVVNGSNHRSYSSHSLCPLRGENNNRQDRVVVAEVIPSNFDSSLHQHSVSYNTRSEFNNLAGSVPFGQSGLSDYVNPRVNVSSSVNISQLKTDRSHNMSSSSTSLLRGIPVHGGMNSLLRTQECSDQKILPEVSKFSSTDQKIDYNILQTPNIPGFNVEQYVPNSRHITGFVRDCIQKDGTGQSAMNPKHEEASAQHSSGGDDLFDILGVDFKNELLKGHWNELFADEPECNAENMVKKETCLNTEGTNSDYYLGNKSMSDSGIFSGMSTDNLLDAVVSKTKPTLKQNSDDLSCRTTLTGNSTASIPSPLHRKFMSSNFQGGLFDISKNGSKIGALETSSLRSGCSKDDAGNCSQTTTLCGSQLSSWLENGGNLKGENSVSTGYSKRPNEACKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGSKCSIDALLERTIKHMLFLQSVTKHADKLKKTGESKIISKEGGLVLKDNFEGGATWAYEVGSQSMVCPIIVEDLNTPRQMLVEMLCEERGFFLEIADLIKGLGLTILKGVMEAHNDKIWARFVVEANRDVTRMEIFMSLVRLLEQTMKGNASSSNAIDDMLGYNSLPQKA >CAK8570794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115463870:115465273:-1 gene:gene-LATHSAT_LOCUS23515 transcript:rna-LATHSAT_LOCUS23515 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTTRQVTFSKRRTGLLKKTNELSVLCDAQIGLIIFSSTGKLFQYCSQPYRMDQIIEKYQRSSGKRIMGEHDHQIHHREEMFHDMAMLRQESLRIEFGIQRYLGADINCLKYDDLSKLEEELEISLAKIRYRQNELVQQQMENLRRKERILEDENINLSNWEHRAMMEENKRVQDHVMDQFPFFEDQPSSSFLQLAAPVLSPYLQLAQPNIQEYFKARDPDQP >CAK8533610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641413034:641413576:-1 gene:gene-LATHSAT_LOCUS3225 transcript:rna-LATHSAT_LOCUS3225 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTGFKRFRKPEETKMRIKKRDSYETFYPMTALLVDGVAANRDFEQQILESIGIRTEPANSAQEAMQLLLTGVNFDIIFVDFDLPIISGPQFVMDMRALGIQSRIVGMLSLFSDHNSQMFLEAGVNGNTQKPLTRELIEQIIEILRFI >CAK8544624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696282767:696283740:-1 gene:gene-LATHSAT_LOCUS13282 transcript:rna-LATHSAT_LOCUS13282 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLYFLLIIIKGPTLCFGNMAEDKILKVGEELWRETLPLRGGSCFYQLHGLKPQTWYEVKISYPATIPASFSLQLKRNKSDVLPNNHRRLLNTEKLIFKTYSDQDEAHLVLVTVEPEGFPAKPQVPERKVIIFNIVCDELLLGIPYNAWWVVALALLCLGIAFSVPCFLPLYLLPKNQVLQSNCDSKTS >CAK8530773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48998363:48999634:1 gene:gene-LATHSAT_LOCUS615 transcript:rna-LATHSAT_LOCUS615 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEDYGKKENASSDDSESDIFKAWAKDVRECEEQFKVSVKSRLSDEEVENRRKIYGLNELEKHDGQSIWKLVLEQFNDTLVRILLAAAIISFVLAWYDGEEGGEMEITGFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHASVIRNNESVPSLPAEELVPGDIVELKVGDKVPADMRVVELVSSTLRLEQGSLTGESEAVNKTNKPVGEDTDIQGKKCIVFAGTPVVNGHRFCLVTHTGMSTEIGKVHNQIQEASQSDEDTPLKKKLNEFGERLTMMIGLICILVWLINVKYFLTWEYVNGWPTNFKFSFKKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGPNIDTLRAFKVEGTTYNPSNG >CAK8572709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557440053:557444138:1 gene:gene-LATHSAT_LOCUS25241 transcript:rna-LATHSAT_LOCUS25241-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSFLTPSSSLTFNSPLLSPLPSSSPHSHNSSLLSGKLRKTVEFRKTTLKSPVKAVYGGEFQGPERSLRRGIWSIREDLGLPSSPYLPVYAQGQGPPPMMQERFQSVISQLFQYRIIRCGGAVDDDMANVIVSQLLYLDAVDPNKDIVMYINSPGGSVTAGMAIFDTMRHIRPNVSTVCVGLAASMGAFLLSAGTKGKRFSLPNSRIMIHQPLGGFQGGQSEIEIQANEMLFHKANLNGYLSYQTGQSYEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLTAAEEGVDRAIA >CAK8572710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557440053:557444138:1 gene:gene-LATHSAT_LOCUS25241 transcript:rna-LATHSAT_LOCUS25241 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSFLTPSSSLTFNSPLLSPLPSSSPHSHNSSLLSGMICRKLRKTVEFRKTTLKSPVKAVYGGEFQGPERSLRRGIWSIREDLGLPSSPYLPVYAQGQGPPPMMQERFQSVISQLFQYRIIRCGGAVDDDMANVIVSQLLYLDAVDPNKDIVMYINSPGGSVTAGMAIFDTMRHIRPNVSTVCVGLAASMGAFLLSAGTKGKRFSLPNSRIMIHQPLGGFQGGQSEIEIQANEMLFHKANLNGYLSYQTGQSYEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLTAAEEGVDRAIA >CAK8565013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25001139:25001624:1 gene:gene-LATHSAT_LOCUS18255 transcript:rna-LATHSAT_LOCUS18255 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVSSPFNKARDFALWLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDEVYRTLRNYYGKTLVSLYKENGIAGTEGAEGATLEDLTVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8540334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553114818:553116812:-1 gene:gene-LATHSAT_LOCUS9348 transcript:rna-LATHSAT_LOCUS9348 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKCLLRSRVHFQQPRFFRFYSPNSSALLLEDETPNFNAPVPKTPTKLSRLVVKVFKSLNWGVAREVKFKGLVQSHGFYHSINSFRIIIHTYALADMSLEVFILLRDIVGYYKEENRDASELFSVLLDSPHHVKRSDVVFDMLMKVFASNSMLEHAYYVFVSARDVGVQLDIMACNFLLKCLVEANRVDGVRCFFEDLKNFGPKMNIHTYTIMMNFYCRDVGCSADIRRASEILGKIYRSGETPTVVTYSTYIKGLCKVGSVEVALKLICDLHRKNQPLNSHCFNAVIYEFCQRGAVDEASIVLEEMKNSVILPDVYSYSILVDAFCKNGDDKRAIDLMTDMKLYRIKPSIVSYTSLIRGLGKNRPMQSLMNIFHEIRASGCKYDQIMYETLVDGFCRKGDMRSAINLLEEMSDNNFAPSAFSYCSLIRGFYKLRQFTNALKIYGIMQKRGFWPDTITCNHILSIYCREREFSKALALSKKFQEHGVNLNPYSYNEFIHMLCREHFPEKALQLLPLMLKNNVLPGVVNYSTLITGFVKHSNSKKAVKLFTRMTKVGITFNVRTYTFLMDRCIRNCKRRLAYYLFEEMKERGVYPDQKAYNTLIIAFCNAEEMIMARALYYEMLRDGCSPDVITYTSLSNAYWKLNMIDQAHTLYDEMRATLLS >CAK8542345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502652530:502653096:-1 gene:gene-LATHSAT_LOCUS11190 transcript:rna-LATHSAT_LOCUS11190 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFFPKVSFLLLFTLLLTSCISSQAQKCRPNGRIRGKKAPPGQCNKENDSDCCVRGKMYTTYECSPSVSTHTKAYLTLNSFEKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWEALGVPKDQWGGLDITWSDA >CAK8539270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507993702:507995723:1 gene:gene-LATHSAT_LOCUS8381 transcript:rna-LATHSAT_LOCUS8381 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRAFSSSSKFRHASPGNLNLNAGDPTLSHHAEHRKFEELVEVLCQQKRLKEAVDFLHRIHQPSPRIYSTLIAACVRHRSLELGRRVHAHTKASNFIPGIVISNRLLHMYSKCGSLIDAQTVFDQMPQKDLCSWNTMISGYANIGRLEQARKLFDKMPQRDNFSWNAVISGYVSCDRHREALDLFRIMQVNESSNSNMFTLSSALAAAAAIPSLRLGKEIHGYLIRTELNLDEVVWTALLDLYGKCGSLDEARGIFYQMADRDVVSWTTMIHRCFEDGRKKEGFSLFRDLMGSAVRPNEYTFAGVLNACADLAAERVGKEVHGYMTRVGYDPCSFAASALVHVYSKCGNTEIARRVFNQMPYRDLVSWTSLIVGYAQNGQPDMALHYFEMLLQSGRKPDGITFVGVLSACTHAGLVDKGLEYFHSVKEKHGLEHTADHYACVIDLLARSGRFNEVENIIDSMPMKPDKFLWASLLGGCRIHGNLEIAERAAKALFEIEPENPATYITLANIYANAGMWTEVAKVRKDMDNKGIVKKPGKSWIEIKRQVHVFLVGDTSHPKISDIHDFLGELSKKMKEEGYVPDTNFVLHDVEEEQKEQNISYHSERLAVAFGIMSTPPGTAIKVFKNLRTCVDCHTAMKYISKIVQRKIIVRDSNRFHCFENGSCSCKDYW >CAK8538601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488680534:488681896:1 gene:gene-LATHSAT_LOCUS7782 transcript:rna-LATHSAT_LOCUS7782 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQNYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8579053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670512714:670513226:-1 gene:gene-LATHSAT_LOCUS31026 transcript:rna-LATHSAT_LOCUS31026 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLPCCHAISCMKSIKFEIESYVPEYYKKQMYEACYASVIFLINGESLWKKKGYVELQPPPIKRQPRRPKKKRTRDASKSIRDETQLKRANFGIKCSRCHMMGHNKEICIHPGPNQTTQPEPSQFGPTQPEASQPDASLPALSQPDASLYAPSQSDSSLPAPTQLEAT >CAK8560784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42342990:42344998:-1 gene:gene-LATHSAT_LOCUS14433 transcript:rna-LATHSAT_LOCUS14433 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSNSDSNGSVQNCNGLEEKLDDLRRLLCKANGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPLKVVDKATAKYLFEVINSREDVLRRLILRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPICPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKYWKERITVPVIISLSKGIEAALEPVPHIITPTKMIHKATGVPMENILYLGGPNIASEIYHKEYANARICGAEKWRKALAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIRGKGMIQGVSAVKAFFELLSQSSLNVLHPGENKPVAPVELCPILKTLYKILISRELSTKAILQALRDENMNDPRERIEIAQSHAFYRPSLLGQP >CAK8542440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:514538597:514539007:-1 gene:gene-LATHSAT_LOCUS11280 transcript:rna-LATHSAT_LOCUS11280 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPMFIPDVLIGEIFSALPVKSFLLFKCVSKYCDNLVSDLDFVKFHLKRSPTVNSHFILKIDHNIKILYESPYGNDDEYDVDEAFIPYSVSSLIKNPSFSVEVDPYYMVKNYEYRLVGSCNGLVELEHDVGRIG >CAK8575187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:49347196:49349589:-1 gene:gene-LATHSAT_LOCUS27471 transcript:rna-LATHSAT_LOCUS27471 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMGILFLIVLGAAWVCDARELVNPELNRKPDVCSLCEEYTTQVLDYLQDNDTQVEIIDSLHNKCHQLRSLNQECGKLVDYYAPLFFSEIAPMKPDEVCEKFNLCESAKISSQVHRNNSCGLCKDTIAALLAELNDPDTKLEILEKLLKACDSVEKYKKECKKAVFEYGPLILANAEKFLKTTDICTALHACSASTIVSQEATTMEESPLLSDS >CAK8531153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85255426:85255857:1 gene:gene-LATHSAT_LOCUS963 transcript:rna-LATHSAT_LOCUS963 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTFNARGCCSSVKRRRISQVLLRGKADISMIQETKCNKMEAGFVNSMWSTVDKNWSAQNSVGNSGGILSMWNTTRISAFSSFSGKGFLGLHLVWNNHNLVVINVYAPCNPADKRRLWRDLINIKSNFHDAGWILGVISTR >CAK8530969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67135651:67141361:1 gene:gene-LATHSAT_LOCUS792 transcript:rna-LATHSAT_LOCUS792 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMPKLSLPFYLSQATRPLDQRRSTPLGGANYDSHHRLNQERKKSCGVYATKSPTGEYHSDRLPTPLLDTINYPTHMKNLSNKELKQLVDEVRSDIIFKVSETGGHLGSNLGVVELTVALHYVFNAPKDKILWDVGHQCYPHKILTGRRNKMHTLRLKDGLSGFTKRSESEFDCFGTGHSSTTISAGLGMAVGRDLKEENNNVIAVIGDGAMTAGQAFEAMNNAGYLQSDMIVILNDNKQVSLPTADLDGPIPPVGALSRSLSKLQSKQTDGALHSGMISSSGSTLFEELGLCYIGPLDGHNIDDLVAILDKVKSTEKTGPVLIHVVTKKGFGYPYAERAADKYHGVAKFDLASGKQLKGKALTPVLTSCFADALIAEAEVDKDIVGIHAAMGGGTGMNHFLRRFPTRCFDVGIAEQHAVTFAAGLACEGFKPFCTIYSSFLQRAYDQVVHDVDLQKLPVRFVIDKAGFVGEDGPTHCGAFDVTYMACLPNMVVMAPSDESEMIHMVATAVAINDRPSCFRFPRANGIGVELPPGNRGTPLEIGKGRILIEGERVALLGFGTAVQNCVAAASILEQHGLHVTVADARFCKPLDHSLIRSLAKSHDVLITVEEGSVGGFGSHVAHFMALDGLLDGKLKWRPMVIPDVYIDHGSPAEQLAVAGLTSSHIAATVFNILGQTRQALEMDTVT >CAK8576074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399604292:399606217:1 gene:gene-LATHSAT_LOCUS28291 transcript:rna-LATHSAT_LOCUS28291 gene_biotype:protein_coding transcript_biotype:protein_coding MFSADTLVENFPGSVNGCMFGNIPVSVFSNQNPENGFNFEDSVSISISPSTHSESASVESSESTKYSNPILRYISDILMDEEDDLERKPCMLQDCLKLQAAEKSFYDVLGNNLGAGTGTGTSTSSESYSNCSYTTDNSVVDCDSPENNTYEVFDKMPHDPFREVEAVFNFPNGNNMVLGSRGSREKRGYLMNDIGILHGEDLRSNKVSAVYSDDSELSEMFDEVLLCNDGKSPSIFCANPEQSHSQGSNRKTSRSKKGSNKGKNLSTTVDLWTLLTQCAQAVGSYDQRNANDILKQIRQNSSPRGDGLQRLAHYFADGLEARLSAGTPMYKLLESSSSADMLRAYKVYITASPFQRMSNFLANRTILNLVENKSSIHIIDFGIFYGFQWPCLIQRLSERTGGPPKLRITGIDLPQPGFRPAERVEETGRRLEKYCKRFKVPFEYNCLAQKWDTVRLEDLKIDREEVTVVNCLYRMKNLSDETVTANCPRDTVLRLIRRINPSIFIHGVVNGTYNAPFFLTRFREAMFHFSSLFDMLEATVPREDPYRLMFEKGLFGRDAVNVIACEGAERVERPETYKNWQVRNKRARFKQLPLAPKLVDRVKEMVKKEYPKDFVVDEDGKWVLQGWKGRILQAVSCWIPA >CAK8570033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21632724:21633146:-1 gene:gene-LATHSAT_LOCUS22822 transcript:rna-LATHSAT_LOCUS22822 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNSQNPNPQNSQIPLVSTNPAIFLLSPNNPNMYLIPQMNSNSMEFSTQVPSFSTQVPPFSTQVDTEKEERVVVKKRSREQFTREEDILLIQSWINVSKDPIVGVDQKAENFWLRIAASYNQYRG >CAK8578620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641838381:641840408:-1 gene:gene-LATHSAT_LOCUS30616 transcript:rna-LATHSAT_LOCUS30616 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRSSSVKATKRNALLQFDDDQPQALSIAPIVSSYNEKIRPVLDALENLRRLNIAKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQNHPLPQPELILEYNGKNVSTDEASVSHAINTATEELAGTAKGISNTPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPDNIYDQIKDIIMEYITPEESIILNVLSATVDFTTCESIRMSQTVDKTGLRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEARNEEHKLFENHSLLSKIDKSIVGIPILAQKLVHVQAMIISKTLPEIIKKINERLDNSLKELEDLPANLSSMADAMGAFLQIISMSRDSLRRILLIGDFEEYPDEKDMHCTARLVEMLNSYSNELENCSESNPTKDFLMDEIKVLEEAQIIGLPNFMPRTAFLTLLSKKVKGVSYMPLNFVDSVWNYLETVLISVLNRHSSNYYQLQVSIRRAAELLIEKKKKSSIQHVLQAVQMEKLTDYTCNPEYLKEYNKLMSLQEAFLKEVLNVNRKGNTVKLEGVGEIDVVKLMKHPDKVTLTQAFDLKARLIAYWKIVLRRLIDVIAMHLMLSINELINIDLQKEICNELLSSSGGGVERLLEESPSISGKRERLSRSVKVLRESKDTVANIMDRIGVYADN >CAK8540419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559468956:559470679:1 gene:gene-LATHSAT_LOCUS9424 transcript:rna-LATHSAT_LOCUS9424 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTQVITCKAAICWEVGKPATVEEIQVDPPKATEVRVKMLCASLCHTDISSIQGFPHNKFPLALGHEGVGVVESVGDEVKNLKQGDIIIPTYVGECKKCENCVSGKTNLCLKYPVRFTGLMPDNTSRLSVKGKRLYHVLSCATWSEYVVVDVNYLLKVDPSINLAYASFISCGFATGYGAAWKEARVKSGSTVAVFGLGAVGLGAISGAKMMGASKIIGVDKNEMKREKGEVFGLTNFINPSDSEKSASELAKEVNGGIGVDYSFECTGVPSLLNVSIDATKLGTGKTIAIGSGVENIVPLDLIAIIFGRTLKGSVFGGLKTISDLSIIADKCQKEELPLHELLTHEVPLSDINKAFELLKQPGCVKVVVKM >CAK8567622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529819071:529819379:1 gene:gene-LATHSAT_LOCUS20659 transcript:rna-LATHSAT_LOCUS20659 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSATHHHLARWQERRKKPKLTLRETKRKVFVAQTLLSLVLTSLSLSLSLSLSLSLYLSLSLSLSLKASSEKAGNQRWRRRLLWPPTTLKHKLINTNPS >CAK8569792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11500029:11503950:-1 gene:gene-LATHSAT_LOCUS22602 transcript:rna-LATHSAT_LOCUS22602 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGGNPELVRESQRRRFASVEVVDEVISLDKQWRERQFQLENLRKEFNKFNKEVSKLKRAGEDATKLIAESEVVKKSIADKEVEALEALNSLNSKLETIGNLIHDSVPISNDEANNAVVRTWGEKRVEPKLKNHVDLVDLLGIADLKKGADVAGGRGFYLKGDGVRLNQALINFGLEFLEKREYTLLQTPFFMRKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHIDDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPVDNDSWVMHEEMLKNSEEFYKALNIPYQIVSIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRKLEIRFGQKTNSQEKKYAHLLNSTLTATERTICCILENNQKEDGVEIPEVLRPFMGGKTFLPFKNKPNNEAKGKKSKP >CAK8541984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:447784592:447784971:1 gene:gene-LATHSAT_LOCUS10861 transcript:rna-LATHSAT_LOCUS10861 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQLFLYANVRPCYNLSGYKTRYDDVDLITIRENTEGEYSGLEHQVVRGVVESIKIITRQASTRVVEYAFHYAKAHGRKWVSSNKVSNHHTVQKLV >CAK8566343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410332132:410332698:1 gene:gene-LATHSAT_LOCUS19478 transcript:rna-LATHSAT_LOCUS19478 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVFSVDSTPVTAINVGGKLTLSIIISCIVAASSGLLFGYDLGVSGGVTTMVPFLQKFFPDILRKAAGTEANLYCVYDSQVLTLFTSSLYLAGLVSSLAASKVTALYGRRNTILFGGILFLAGGSINGGAENIPMLILGRVLLGLGVGFTNQVSLYI >CAK8539747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523856778:523862943:1 gene:gene-LATHSAT_LOCUS8816 transcript:rna-LATHSAT_LOCUS8816 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSVSLLKSSFMGDRFLRSSRHQSLPQLFTYKQRFNHVSMQLPRSLSGLTDFLFNRNIGEERKRLRPGIISPRRKVPEEITKPPYVKSRVPPGIASEPEVHDEKGIECMRASGRLAAQVLQYAGSLVKPGITTDEIDEAVHQMIVDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRSLEDGDIINIDVTVYLNGYHGDTSTTFFCGDVEDEAKKLVQVTKECLDKAISICGPGVEFKKIGKTIHDHADKYGYGVVDQFVGHGVGRVFHADPVILHFRNDEDGRMMLNQTFTIEPMLTMGSINPVMWKDNWTVVTEDGSLSAQFEHTILITPGGAEIMTQC >CAK8567309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502734520:502736784:-1 gene:gene-LATHSAT_LOCUS20373 transcript:rna-LATHSAT_LOCUS20373 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPVRKPHTNTSDLLTWSEVPPPSDSSTSVSAARSRQPSDRISKVLNSDQLSEEEALTLSKSKPCSGYKMKEMSGNGIFSDNAEDSASEPGSVNSNNRTSIRIYQQAINGVSQISFSTNESVSPKRPTSLPEVAKQRELSGTLQTDLDAKTQKQTSNAKTKELTGNDIFGPPPEIVPRSMAAARTLESKDSMDMGEPLPRNLRTSVKVSNPAGGQSNILFGESPVEKTSKKIHDQKFAELSGNNIFHGDVPAGSAEKSLSRAKLREITGSDIFADGKPEIKEPVKGARKPPGGDSSIALL >CAK8569673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7766672:7766953:-1 gene:gene-LATHSAT_LOCUS22499 transcript:rna-LATHSAT_LOCUS22499 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNKNNFDLVISDMDMPDMDGFELLEFVGLEMDLPVIILSGHSDTNMVFKRITHSACDYLLKLV >CAK8568010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562741864:562743927:1 gene:gene-LATHSAT_LOCUS21012 transcript:rna-LATHSAT_LOCUS21012 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPFSKYILLQTHFLTNVSPLQTILRGHVCFHQLNLFPQKFSTSSSDNCERLSWERSTQEILLEKLKFALRNHQSQEALETFHDFRRLYGYPEVQLLNQVIVQLCYSSNHVLVRKSFDLGLKIVQENSRLIHVDTFTKLALSLARMQMSSPASVILRLMLEKGFVPSMQFLSLIVFHIVKTEIGTQIVANYLFQVCDFYNCLKDKKAQHAIVLRPDTLVFNLVLDACVRFKLALKGLCLIELMPLIGIVADAHSIVIISQILEMNGLRDEMMELKCHIDGVSAAYVRHYRQFYDSLLSLHFKFNDIDAAAKLVLDMSSSHNCHNNEECRNQLQKPCFIAIGSPNLKNALKIHIEPELLQKDYVLKLEGREGLVFYKGGKLVLSNRALARFIIGYKKDGRISELSKLLLSIQGEQYSVAGSSLSFDVIAACIQMGWLESAHDILDDVEAAGSSMGRDSYMLLLSAYQQNGMQREAKTLLKQMKKINLHTELSDDAIHKHTLCEETSNSIGKSDLAVALAHVLKDENQAVIPLVYNFNSSIFFFCKARMIEDAMRAYRRMCEMKIRPTSQTFAHLLCGYSSLGMYREITFLWGDIKRFMKNSHLVVDRDLYELLLLNFIQGGYFERVMEVIGHMNDHNMYPDKLMYKSEFLRLHRNLYRSLKASDSRTEAQSKRLEHVQEFRKWAGFS >CAK8530735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46151319:46163596:1 gene:gene-LATHSAT_LOCUS579 transcript:rna-LATHSAT_LOCUS579-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCSLLFLLSLSLNYYSFTCLAMSRKNITRDESSLLAFKSSIDPYLMFNTWSTSSSLCTWVGVTCDDEHHGRVHGLDLNNMGLKGVISPQLGNLSFLVYLNLQGNGFTGELPQSLFRLQRLKFLDLSCNEFVGGIPPIGFGELSKLQYMNLGSNKLSGTIPHTISKLSSLEEIYLDNNSLSGEIPKEMGYLTQLKTVDLEYNQLYGNIPSMMFNNSLLQHFILGLSLSFNGFNKGPIPEDIGNLSKLHYLYLSNNNLEGSIPKELGYLDQLDTLQLANNSLSGQIALKLLNISSLRFLYLANNFLSGILPLDMGRGLPNLEKIQLSGNMFGGSIPIGIANASKLTEIDFRTNEFSGIIPNVFGGLKLVECLHLYGNHNLKLDDSFGFNFLTSLTDCRHLKYLSISYTRLSKLPKSIGNLTVQYFWADSSGINGNFPLEIGNMSNLIRLSLMMNDLNGPILRTIRGLHNLQSLNLGYSGLQGSIGDELCEMRGLSELYLSNNQLSGELPACLGNITSLRNIDISSNSLISKIPSSFWSLRDLLVVNLSSNAFSGNLPPEISKWRAITLLDLSGNQISSNIPETIGLINTLQTLSLANNKLYGTIPTSLGTMLSLGFLDLSQNLLTGVIPKSLESLSLLKSINFSYNMLQGEIPDGGQFKNFTAQSFMHNEALCGNPLLQVPSCDKHVRKRSTAKMLLIKCMLPIIVSAILVVGFIILVLHKRKKVENRVEKDLSTIGAQRRFSYYELVQATNGFSESNLLGKGSFGSVYQGMLSSGELVAVKVIDLNYEATSRSFDVECNAMRNLRHRNLVEIISSCSNANFKSLVMKFMPNGSVEKWLYSYNCLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDRHMVAHVSDFGIAKLLDDGQSEIHTETLATLGYVAPEYGSKGLVSVKGDVYSFGIMLMEMFTRKRPTEEMFAAELTLKTWISGSMPHSVMEVVDSNLVQQHEKTIHDIVFHISSIFALSLSCCTDSPEERNNMTDITASLIKIKTLFLQEKGNEDVKICL >CAK8530736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46151319:46163596:1 gene:gene-LATHSAT_LOCUS579 transcript:rna-LATHSAT_LOCUS579 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCSLLFLLSLSLNYYSFTCLAMSRKNITRDESSLLAFKSSIDPYLMFNTWSTSSSLCTWVGVTCDDEHHGRVHGLDLNNMGLKGVISPQLGNLSFLVYLNLQGNGFTGELPQSLFRLQRLKFLDLSCNEFVGGIPPIGFGELSKLQYMNLGSNKLSGTIPHTISKLSSLEEIYLDNNSLSGEIPKEMGYLTQLKTVDLEYNQLYGNIPSMMFNNSLLQHFILGNNNLSGNLPSNICKGLPNLRTLYLHYNKFFGDIPTVWHQCENLEGLSLSFNGFNKGPIPEDIGNLSKLHYLYLSNNNLEGSIPKELGYLDQLDTLQLANNSLSGQIALKLLNISSLRFLYLANNFLSGILPLDMGRGLPNLEKIQLSGNMFGGSIPIGIANASKLTEIDFRTNEFSGIIPNVFGGLKLVECLHLYGNHNLKLDDSFGFNFLTSLTDCRHLKYLSISYTRLSKLPKSIGNLTVQYFWADSSGINGNFPLEIGNMSNLIRLSLMMNDLNGPILRTIRGLHNLQSLNLGYSGLQGSIGDELCEMRGLSELYLSNNQLSGELPACLGNITSLRNIDISSNSLISKIPSSFWSLRDLLVVNLSSNAFSGNLPPEISKWRAITLLDLSGNQISSNIPETIGLINTLQTLSLANNKLYGTIPTSLGTMLSLGFLDLSQNLLTGVIPKSLESLSLLKSINFSYNMLQGEIPDGGQFKNFTAQSFMHNEALCGNPLLQVPSCDKHVRKRSTAKMLLIKCMLPIIVSAILVVGFIILVLHKRKKVENRVEKDLSTIGAQRRFSYYELVQATNGFSESNLLGKGSFGSVYQGMLSSGELVAVKVIDLNYEATSRSFDVECNAMRNLRHRNLVEIISSCSNANFKSLVMKFMPNGSVEKWLYSYNCLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDRHMVAHVSDFGIAKLLDDGQSEIHTETLATLGYVAPEYGSKGLVSVKGDVYSFGIMLMEMFTRKRPTEEMFAAELTLKTWISGSMPHSVMEVVDSNLVQQHEKTIHDIVFHISSIFALSLSCCTDSPEERNNMTDITASLIKIKTLFLQEKGNEDVKICL >CAK8538821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497018362:497021741:1 gene:gene-LATHSAT_LOCUS7977 transcript:rna-LATHSAT_LOCUS7977 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNKDKGTKTLASSLQNLNLNPQSNFKSKSSTAIINHPQFPGFLSKKKPQSLVNLCIGVLGRHLEDIIEDLDEIAIGLPGDIKLAVAAIARRRRLLNDDVLIALADASWEILDVSGSDVSDFGLTKAAEVCRFIKALDISRCTKITAIGISELVKHCHSLETLRCGGCPRSDNTAKRCLNIFKPKLEYVEEDSWEELDTNEIASGAQSLRWLVWPNIDNYSLEDFSAECPRIILNPKPSPFGFMGTQVPFEAFQNVILDDAVVKEIDPKTWTMHGIANRRICPPSSSTELSVAEKFRLAFEETDNRLAPKRAKNARQHQRRAARDMLLMSTRAKAMVLASQVSKSLHS >CAK8571642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454372075:454372503:-1 gene:gene-LATHSAT_LOCUS24284 transcript:rna-LATHSAT_LOCUS24284 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTNSIFHGPATFHRQSQPSKFLLSKPLLFPRLPRIVNFGKLKFNSLFSVKNSFRRFDVKSSVNSSSEVLESIDVGVPHSSLQKHSVKIPVGDRHILVETGHIGRQASGSVTVTDGETILYTTVCLNDTPSEPSDFSPFL >CAK8564075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649957639:649958808:-1 gene:gene-LATHSAT_LOCUS17415 transcript:rna-LATHSAT_LOCUS17415 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEQQQRLQQPVEKTTYRKDSNSIEETERLEIVNLSGMSLDSLPNPSLNLASICKLDLSNNNLQNIPESLTARLVNTVALDVHSNQLKALPNSIGCLSKLKFLNASGNLIQRLPKTIENCKALEDLNLNFNKLIQLPENLGFELINLKKLSINSNKLLFFPRSISYLTSLKVLDARLNCLRSLPADLENLINLETLNLSQNFHFLDSIPYSIGLLFSLVELDISYNKIKSLPDSIGGLRKLQMLSVEGNPLVSPPPEVVELGLHAMKEYLGNKMNAGHQSPTKSPTKKKSWVGRLVKYGTFSARNGPREEREAFIVSEDDKSMETLASSRYTGMFSPRRLFSGRNYFSH >CAK8534281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712382509:712383183:1 gene:gene-LATHSAT_LOCUS3837 transcript:rna-LATHSAT_LOCUS3837 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRPHWFWRLYCTIYALIFLLIIISIIFWIIISPSSVKFHITDATLTEFNLTNNNLYYNFKVNITARNPNNNIIVYYRRITAVAWYKDNEFSYVSLAPFDQGHKNTTYLGPIEFKGNRVIKLGRKQLDEYSEETRLGNYNDLAVDLDVRIRAKFGSFFKSGRFNPPVVQCRRLSIPLVSSSKGNTSSPSRFSFRVRRCRTGSFFTDRDAEVGGGGGVGTGAGI >CAK8535191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:822568585:822576337:1 gene:gene-LATHSAT_LOCUS4665 transcript:rna-LATHSAT_LOCUS4665 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLFLKPQLHLLPFPSILIFPHTLNYAPSLLLPIRTFCTTTTTTTSVYYGPSLHKGTNPSQSQFPHSQNDVVLHEETFTRVFDLAALRVPSAECSALENRLRGHLLNWPRVRNIARVPGDEIDPDIAPLLGHPIHAEEEGKLQAEEDDDVLSPVLYRDKLAKTFNTRGYVKFRNLAKISRPKRNRKKNEDGEVGKMVEAKGNKGVGRNGFVAVEVVEEGGDADEGLRKLLGEEFGSSKWRGSTRLLLLDESYADRGMEELPEAIKAVLKEYAEKSTTLTFELVRCKLTLFYDYWQANEILEALLPEGMIVPTAFETVGHIAHLNLREEHLPYKKLIAKVVLDKNKPKIQTVVNKIDSIHNEYRTMQLEVLAGNHSLVTTLAENGLRFHVDLATVYWNTRLGTERQRLLSGFTRDDVVCDVFSGVGPLAISAAKIVKRVFANDLNPYAVEYLERNSVLNKLEKKIKVFNMDGRRFIKAMFASEKAHSITQVVMNLPNDAAEFLDAFRGIYKDRSKDGQFTYPMIHVYGFSKAEDPEFDFHERIRIALLEVAVNVEMRRVRPVAPGKWMLCASFTLPKSVAFANTAVDI >CAK8531415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108066238:108068790:1 gene:gene-LATHSAT_LOCUS1208 transcript:rna-LATHSAT_LOCUS1208 gene_biotype:protein_coding transcript_biotype:protein_coding MTFERNSPARCYMTPSPSSWNSRPLRSPTVPFSERKKSPASVHKDDLFHVIHKVPSGDSPYVKAKQVQLVEKDPGRAISLFWAAINAGDRVESALKDMALVMKQLNRSDEAIEAIKSFRHLCPSDSQESLDNILVELYKRSGRVDEEIDMLHHKLKQIEDGMTFVGRSTKQARSQGKKIQITAEQEISRILGNLAWAYLQKGDYKTAEEHYRKALSFEVDRNKQCNLAICLMKMNKITEARFLLQAVTTATKNRKMDDSFVKSFERATQMLQEIESTQLVDSVKDKGDKIIETQRFSGKTMSSQYSTPNSENSTGKNSDNMAKSRTENNWSTGKNSDNMVKSRTENNWSLTSDCEESRHSHARRRLYESPDPKVPYAKPKRSSWGFNNHSDSKPSPLTYPNEKVVPYIIKPNSTQNEFFPNTNASWRTRTFEDDTAVVKCGPTTTVKQGNTITIYGSGTIHPKNSETAMKLTKNDDDNNKSDTNLHGIVVNGANELAASVDRTDQQNQDKKPVEKKSWADMVEEEQQTEEYEFFNNGYTNFGAEMFSNENEDSNIVYQHESLNEKLELLNLKNGYNAAPGIDPLSRNPTVRRSLFTNPGERDLFSGEDKLTRRVRLQVFQDITSSS >CAK8540080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538538226:538543299:-1 gene:gene-LATHSAT_LOCUS9118 transcript:rna-LATHSAT_LOCUS9118 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARSGSKRAQPATPEQDELDENVSLEDNEIVSLLPSERKKLKSSSANTDDAKFVGKPIPADEARSKWPRRYSKKDGSEESDCLKAKFHYREAKVDGVIYKLNDTAYVKAEDGKPDYIARIVEFFESEDKDPYFTAQWFYRAEDTVIKIHPELIDKKRVFISDVRDENPLDCIVRKVKIALITPNVDLAAQKKKMPPCELYYDMKYTIPYLTFSSIVNDTSKIESDTSTLSSESDSKSGSNRGVVENSVANGGLVQDKDSEKTEWTLLDLYAGCGAMSTGLCFGASISGINLVTRWAVDINKHACESLKLNHPETHVRNEPAEDFLSLLKEWAKLHEEFVLKASEKTDSNVDADENVKDESDEIKDEAADDSSDSEEFEVERLLAVCYGDPNSDNKPGVYFKVQWKGYDSSYDTWEPIEGLRDCTDALKDFVTNGCKEKLLPLPGQADFICGGPPCQGISGFNRFRNDKEPLKDVKNKQLLVYMDIIDFLKPKYVLMENVVDLLKFSGGFLGRYAIGRLVAMNYQARMGMMAAGSYGVPQFRMRVFLWGALPNGKLPAYPLPTHDVISRSVVPTEFDEITVTYPPEEKHQLADAVLLKDALEDLPAITNYEEQNERSYGTKPRTDFQKYIRLKRSEMVNFSAHSQSAPSGMLFDHRPLQLNQDDYDRVCQVPKKKGANFRDLPGVLVKNNKVEWDPSVERVLLKSGKPLIPDYAMSFVRGTSSKPFRRLWWDEIVNTVVTRAEPHNQAILHPEQDRVLSIRENARIQGFPDCYKLCGPIKERYMQVGNAVAVPVALGLGYTLGLAIQGLSDDKPLTTLPFNYPSSLALKNLAKVEDNDSS >CAK8562528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506457074:506461643:1 gene:gene-LATHSAT_LOCUS16018 transcript:rna-LATHSAT_LOCUS16018 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILLRAASRGGSLRATLTRRFSTDALVEVKPGEIGLVSGIPQEHLRRRVLIYSPARTAGQQGSGNVGRWRINFLSTQKWENPLMGWTSTGDPYAHVGDSALDFDTEEAAKEFAARHGWEYVVKKHHTPLLKVKLYADNFKWKGPPKAAEQ >CAK8535436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848280961:848282304:1 gene:gene-LATHSAT_LOCUS4900 transcript:rna-LATHSAT_LOCUS4900 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWDSSGLLMVNITKLYPKSDCSVFDAFGRVYSGKIQTGQAVRVLGEGYSPDDEEDMTVKEVTKLWVYQARDRMPIAEALPGSWVLIEGVDASITKTATFCNVDFDKDVYIFRPLLFNTLSVVKIATELLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADHVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIENVVKDSIVQGFQWGARERPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFPRLMEPVYYVEIQTPIDYVSAIYTVLSRRRGHVTADVPQPGTPVYLVKAFLPVIESFGFETDLRYHTQGQAFYQSVFDQWAIVPGDLLDKSIVLRPFEPAPIQHLAREFMVKIRRRKGMSEDVSICKFFDEAMMVELAQQAADLHQQMT >CAK8564655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5381764:5384226:1 gene:gene-LATHSAT_LOCUS17938 transcript:rna-LATHSAT_LOCUS17938 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFTLPSTFSKFQFYPFSSSSCSRLVCRGASNVQHVAKYDLDFVLHDALDASGIDTTHAREARQGFCSQIKRLTDIEKETSICINRHVDLGRTALYIAAEDDSLVSHSSVPLPVDAFVGRLDDLSMDYCPHYNPRCDSSPEKFLESIERFLYVHKGFRRTSANLLEPQALYLHSVLTHRSGSPAMLSLIYSEILKMLRLWGLLYFDAEIYFPHDALSVPKGYHKMKSKESDQAHIMTSGNLLVEILNNLKHAFWPFHHDHTKTLFLRAAHAANCVDRSDFVGESGSQIASAKAAQHRLDRGVWTTVRFGDMRRSLSACERLILLTNNANELRDYSVLLYHCGLYKESLQYLTKYQELKNSSIQVMKLSDSKRSMEEDAAVDKLLTRLSLIQLEHGWSQPSVARNFLGNNSDPW >CAK8531158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85684381:85685696:-1 gene:gene-LATHSAT_LOCUS968 transcript:rna-LATHSAT_LOCUS968 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRLIATALCCIAVVFGGLTFPSNAQLNPFFYSQTCPQLHYIVYQILFKVAKTDPRMPASLIRLHFHDCFVQGCDASVLLNTTDTIVTEQDAFPNINSLRRLDIINQIKTAVECACPDTVSCADILTLSAGVSSILTGGPGWLVPLGRRDSLTANQTLANQNLPGPSFSLTQLKSAFADQGLTTLDLVSLSGAHTFGRSRCFLFSDRLYNFSSTGKPDPTLDPTYLKVLQNQCPQNGPGDNRVNFDPTSPDILDKNYYSNLQVKKGLLQSDQELFSTPGADTTAIVNKFANNQNAFFKNFRKSMIKMGNIGVLTGKKGEIRKQCNFVNNKKKSSELDITSVTSTESSEGDMVSSI >CAK8544160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:669491150:669494367:1 gene:gene-LATHSAT_LOCUS12862 transcript:rna-LATHSAT_LOCUS12862 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRMIDIAVNFTDGMFKGIYNGKQCHVADIPTVLNRAWAAGVHRIIVTGGSLQESREALAIAETDGRLFCTVGVHPTRCKEFEESGDPENHFQALLSLAKEGIQKGKVVAVGECGLDYDRLHFCPAEIQKKYFEKQFELAYITKLPMFLHMRAAAEDFCEIVEKNKDRFSGGVTHSFTGTTDECIKLLSFDKMYIGINGCSLKANENLDVVKGIPIERMMIETDSPYCEIKNTHAGMGFVKSKWSSKKKEKYDQECLVKGRNEPCLVRQVLEVVAGCKGINDTDNLSRTLYHNTCRVFFPHDLDSAADALLAGDNSS >CAK8539718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522773930:522776125:-1 gene:gene-LATHSAT_LOCUS8790 transcript:rna-LATHSAT_LOCUS8790 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRIHSLQTHIFVLIALCLFTYSSSSKIGETCGTCDVGLTCQTCPANGNTRPRCSRIQTLNPITKVKGLPFNRYSWLTSHNSFALAGARSATGSIIIAPMNQDDTIADQLKNGVRGFMLDMYDFQNAIWLCHSTQNQCFNFTSFQPAINALKDMRSFLDTNPSEIITIFIEDYVKSPSGLTKLFQASGINKYMFPLARMPTKGEDWPTVDDMIQKNQRFIAFTSKASKEKSEGIAFQWKYVVENHYGDEGMHEGSCSNRGESPPIDLKSRSLVLVNFFRSTPNRSQSCADNSAPLLNMIKTCQKAAGNRWPNFIAVDYYQRNDGGGAAEAVDEANGHLTCGCDNINYCKVLANGTFGACDVPKISPPPPAAEAAPDGNQQSPNNQSSRAYISRTATMMQRAVLILVATTLLAFL >CAK8576851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519517364:519520086:-1 gene:gene-LATHSAT_LOCUS29014 transcript:rna-LATHSAT_LOCUS29014 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVVQQSRKFHRSVVNGYSSTAPCHYSSSTISIDRSSLHNPPEHSHRPTSDSELVKHLKGIIKFRGGPISLGEYMSEVLTNPKAGYYINRDVFGAEGDFITSPEVSQMFGEMVGVWVMCLWEQMGRPEKVNLVELGPGRGTLMADLLRGASKFKNFTESLHVHLVECSPALKNLQHKNLKCVDEENADEDSDKRTVSTLVGTPVSWHATLEQVPSGSPTIIIAHEFFDALPVHQFQKATRGWCEKMVDVADDSSLHFVLSPHPTPATLYLLKRAKWAATEEIAKLNQIEICPKAMDLTQTIVERISSDGGGALIIDYGLDGVVSDSLQAIRKHKFVNLLDDPGSADLSAYVDFDSIRHSAEEASEEVSVHGPITQSQFLGALGINFRVESLLQNCTEEQAESLRTGYWRLVGDGEAPFWEGDEDNAPIGMGSRYKAMAIVNKNQGAPVPFQ >CAK8565319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:118057856:118058809:1 gene:gene-LATHSAT_LOCUS18542 transcript:rna-LATHSAT_LOCUS18542 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8571580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442935988:442936614:1 gene:gene-LATHSAT_LOCUS24225 transcript:rna-LATHSAT_LOCUS24225 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWNLDPVFLKLFPWTTDFNPNNIKQSSTQFWELRYKILVARKGFAFFVVLEFKNLPSLCNHCKTIGHFVEVFKKVVKYDLIHDLEGWNKADKKVYKPKQTFIQFADKNLMKGKDQIISSEACLNSSYRLEKLVELGRMKAWFSWKRNKIL >CAK8544761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704925565:704926476:1 gene:gene-LATHSAT_LOCUS13416 transcript:rna-LATHSAT_LOCUS13416 gene_biotype:protein_coding transcript_biotype:protein_coding MARFETLATTLNLKAIIFMVLILFLTITSTTSSRILSVLETPEEPITNSASPPVSSTILPPLPQPAATTGTDVPDQHHTISFFLHDILGGSNPTARAVTGVVTNPALNAQVAFAKPNGANLPLNSGVPQNNNNNGILNNNNLPFLTGLSGNTGNVFNNNNNNGNNNFPVTNMNQIPQGMTVQELMFGTMTVFDDELTEGEELGSGLVGKAQGFYIASSVEGTSQVMAFTAKFEENGYEDSLSFFGVHRTTQVSQSQLAIIGGTGKYVNANGIAIIKTFPVTNSQQHNTDGLETLLHLTAYLSY >CAK8535677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874209012:874212658:1 gene:gene-LATHSAT_LOCUS5109 transcript:rna-LATHSAT_LOCUS5109 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANAEGNILIERFHGVPAEERLHWRSFLVKLGADNLKGVKNEELLVACHKSVYIVYTILGDVSIYVVGKDEYDELALSEVIFTITSAVKDVCGKPPTERRFLDKYGRICLCLDEIVWKGYLENTEKDRIKRLIRLKAPTEF >CAK8571718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464885159:464887089:-1 gene:gene-LATHSAT_LOCUS24355 transcript:rna-LATHSAT_LOCUS24355 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSKDDNLALSSEDSSSPDESELELGLGLSLSHPAKSHQYHHHGNYARIFTAKDFSSSPSSAGTKRPADSLDATNRTSQVVGWPPLRTYRVNSFNANVKSTEAFDSVAEKNKNNNTGARKSADNGKDDNNINVKDKRNLRNSPFVKVNMDGVPIGRKVDLSAHSSYETLAQTLEDMFDESTTGVTCKGTNAEDHNMTIRGEKLSILLDGSSKFVLTYEDKEGDWMLVGDVPWGMFLSSVKRLRIMRTSEANGLAPRSEEKSSRPKSKPK >CAK8540566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11116429:11117070:1 gene:gene-LATHSAT_LOCUS9561 transcript:rna-LATHSAT_LOCUS9561 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKRALRQFTFGKGKTAGRNSSGRITSFHRGGGAKRLQRVIDLKRNTSSSIGIVERIEYDPNRSSRIALVRWLNGIHPPPQRRTTASAESSISAASPRVLQLDPSSTSVNDTRGVLGLNSLLPQLHAGAASGKVFISAFSSKTKEDKTESVPLPLGLPRIAVAAARPAFFGIRAPLKGEDEKLEIRNWKKNSDVWEHRNKRKAAISWHNIA >CAK8560106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7654835:7658416:-1 gene:gene-LATHSAT_LOCUS13818 transcript:rna-LATHSAT_LOCUS13818 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKKSSSAISKWQCSSTKSRIVNNFNPICQAVQKLTLKGKFVITLNQKKSIPGKFIFVQIYSGTEVDPNTGKGWLSEKAYFKESGKNKKYQHDDNDDTQTKIKTYKIKLHVDAHFGTPRAFVIQNKNKKKFYLLSASIETCTNRIIHFDCNSWIYSIKKTKIDRLFFSNRCYVPSQTPRALLELRNEELDKLRGNGMGERKEWDRIYDYDYYNDLGDVDKGSEHFRPVLGGSRLYPYPRQVRTGRKNSTSGTSRSQATSFDIYVPSDEMFSPNKLKELKSNSIHAIVKLLSSKTESLPQQSSRSVQSFEEILNMLSSNRNQTIEGWIRDNLKKLVPNEDLKEITHAIKENQMHDPIPQTIYENEWAWNDDMEFGRQMLAGTHPVRIQCLMTFPPQNKFGVQSSIKQSTIEQMLEGWTLPQALEQGRIFMLDHHDYLMPYLNRINANGVCAYASRTILFLRSDGMLKPLTIELSLPGSSLALEIHRIFLPSKQGTQAALWQLAKAHVLANDAFYHLLVSHWLYTHAVVEPFILATKRRLSVMHPIHKLLNPHFKDTMHINALTRHILINSGGILEKILFPGETCMQITCDLYKEWKFIEQGLPADLLKRGMAVEDLDENNPNGIQLLLLDYPYAIDGLEIWAAIKSWVNDFCSIFYKDNDAILADVELQAWWSEIRSIGHGDNHNVMWWYQMTTLSNLVEALTTLIWIASVRHAVINQQKHSHNDNSPTLCRKFIPVEGTVEFGEFLKDPDKFFMKMLPDRFETNLGLTLVDLLSKNAYDEMYLLRCQPSHGWIDNEIVQNRFAEFKEELKEIQIKILQRNKDPKLKNRRIPAKIDHNILYPKMPSSGSRRWNHGKRSVL >CAK8530746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46654898:46665686:1 gene:gene-LATHSAT_LOCUS589 transcript:rna-LATHSAT_LOCUS589 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYSNWLCILSASLYFYVSTCLAMSTKKNITTDEFALLSFKSSITLDPYHVLSNWSVSSNSSSFSSCNWAGVTCDEHHGRVNALNLSNMGLQGFISPQLGNLSFLLVLDLHGNSFHGTIPHAIGQLRRLRVLDIRDNVKLSGIIPTTISNMASLQELHLSNNSLSGEIPKGISDLTQLRTVNLEYNMLKGNILFMFNNLSSLQNLNLGFNNLSGILPSNICQGIPNLKLLYLFGNDFSGKMPNVWRYCKELEDLELSFNNFDKGPLPGDIGNLTKLQYLYLYQTNLEGEIPVSLFNISSLIGIDLDKNNLNGTLPRDMCHQLPQLQIFSVHVNHLGGIIPQSIGNCTSLQFLSLTDNFFTGSIPMEIGNLNQLQILQLGNNSLSGLIPSNLLNISTLEYLLLELNSLSGILPSNMGLGLPNLQELHMYGNRFVGNIPNSISNASKLVQLDLSENEFSGIIPKSFGDLRVLQTLILAGNNLTMDDSHEFNFLTSLTSCRNLEYLELSFNSLLSKLPKSIGNLTVESFWADSCGINGNIPLEIGNMTNLIHLSLRDNDLNGPIPSTIKALQKLQTLNLDYNELQGPIIDELCEIRSLGVLNLTSNKFFGALPTCLGNMTSLIKLYIGSNKLNSTIPSSFWNLKDILEVNLSSNALTGNIPPEIKNLEHLVLLDLSRNQISSSIPTTISFLISLDTLSLAYNKLKGPIPTSLGQMLSLRILDLSQNLITGVIPKSLESLSYLEHLNLSYNRLQGEIPNGGPFKSFTAQSFIHNEALCGSPLLQVPSCDKHRKKKLLIPCISSIILVLGILIVVGLVLRMHKRKKVGNPIEKDLSTLGAPSRISYYELMKATNGFNESNLLGKGGFGSVYQGMLSTGKMVAVKVLDLTSEATSKSFDAECNAMRNLRHRNLVEVITSCSNDDFKSLVMEFMSNGSLEKWLYSENYFLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDEDMVAHVSDFGISKLLDEGHSKTHTETLATFGYAAPEYGSKGVISVKGDVYSYGIMLMEIFTGKKPTNEMFSDKLTLKTWISESMASSIMEVADCNLVSHEKEMHEILAIALRCCEDSPEERINMKDITSSLLKIKTSFIQ >CAK8544316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678144904:678147078:-1 gene:gene-LATHSAT_LOCUS13007 transcript:rna-LATHSAT_LOCUS13007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASAITNNNGYDSFCLTNAKNNNVKVNTADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVKVELSESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGTESNHILPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGSSGEILNAKQAFQFAGINSDFFELQPKEGLALVNGTAVGSGLASIVLFESNILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAKKLHETDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAVEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNIVSQVAKRTLTTGVNGELHPSRFCEKDLLRVVDREHVFAYIDDACSATYPLMQKLRQVLVEHALVNGESEKNLNTSIFQKIATFEDELKTLLPKEVESARAAYESGNPRVPNKINGCRSYPLYKFVREELGTGLLTGENVISPGEECDKLFTAICQGKIIDPLLKCLGDWNGAPLPIC >CAK8565514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:252202519:252204904:-1 gene:gene-LATHSAT_LOCUS18723 transcript:rna-LATHSAT_LOCUS18723 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLMRIHKKKFISFLFQTCFVLLLFPIVSSSHEHHKIKDNHIKMSPRLQFEITLHGFLLWASMGFLMPLGILAIRLSNREENPRWLRILFYLHTILQMVAVLLATAGAIMSIKNFNNLFNNNHQRLGVALYGIIWLPVLLGIFRPQRRTKRRSVWFFAHWILGIAVSFLGVLNVYIGLAAYHEKTSKGITIWNLLFTIQISLIVFFYLFQEKWFYIQNQRVVLNNEENVPKEMVLKVGTC >CAK8561036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:79678723:79688292:1 gene:gene-LATHSAT_LOCUS14662 transcript:rna-LATHSAT_LOCUS14662 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKEICLEGFKSYATRTVVPGFDRFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSERGRSPLGYEGHSEITVTRQIVVGGRNKYMINGKLAQPNQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEINKLLDQEILPALEKLRKERTQYMQWANCNAELDRLRRFCIAYEYVQAETIKNNAISEVEQVKAKIAEIDDMAKTTMVDVKEMETKIAQLTAEKEASMGGEMKSLSEKVDKLSQTLVKETSVLTNKKDTLKSEEVNKGKIVKNIEELKQSVEEKAFAIKKAEEGAADLKSKAAELSKSLEEHEKEYQGVLAGKSSGDEEKCLEDQLGDAKVAVGSAETELKQLKTKISHCEKELKEKTDQLKSKQDEATNVKKQLEDKKKDVENLKTGLESLPYKEGEMEALQKERESERDSVHKLKAEIRNISVNLANVDFSYRDPVKNFDRSKVKGVVAKLIKVKDSSTVTALEVSAGGKLYNVVVDTESTGKQLLQHGNLRRRVTIIPLNKIQYYTVPSRVQQAAVRLVGKENAEIALSLVGYDEELKNAMEYVFGSTFVCKTISAAKEVAFNREIHTTSVTLEGDIFQPSGLLTGGSRKGSGDLLRQLHAVAEAESKLSVHQNRLSEIEAKITELLPLHKKYKDLKATLELKSHDLSLFQSRAQQNEHHKLEELVKKIEQELVEAKSAVKEKELLYKNCVKTVSSLEKSIKEHNKNRESRLKGLEKMIKSIKSQMQSSSKYLKEHDSDKERLVMEKEAVIQEQASLENQLDSLKTLISDLSSEVEEQKSTVAAAKNNLDEVQSELNAVRQKMKQCDKEISGIVKEQKKLEHKLSENNLERKRMENEVKRMEMEQKDCSLRVDKLIEKHAWIASEKQLFGKSGTDYDFSSRNPGKSREDLEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLNVTWVKVNTDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGKMIKNHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAKQNK >CAK8570025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21388311:21390971:1 gene:gene-LATHSAT_LOCUS22815 transcript:rna-LATHSAT_LOCUS22815 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGISKSNLFDDKSDGFFSISNLGSQWGDIGHSQEQGYYPVGGLFASMSQMGMGFGGVPQPKSPSSGNSSSQRNNGMKIPCTELYIRYVQSEGKVKILGVPDEEEDEIVEGVEKKKKGDLKKFKVKVKNPSLRKLISGAFAGAVSRTAVAPLETIRTHLMVGSSGNSSGEVFNNIMNTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKNLSSKPGEKPKLPIPASLIAGACAGVSSTICTYPLELLKTRLTVQRDVYNGLLDAFVKIIREEGASELYRGLAPSLIGVIPYSATNYFAYDTLRKVYKKVFKQEKIGNIETLLIGSAAGAISSSATFPLEVARKQMQVGALSGRQVYKNVIHALACILEKEGIQGLYRGLGPSCMKLVPAAGISFMCYEACKRILVEEEDEE >CAK8576392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469200168:469201602:1 gene:gene-LATHSAT_LOCUS28581 transcript:rna-LATHSAT_LOCUS28581 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEELPKTIVRRVVKEKLSTCSDDGDIAVHKDALLAFSESAKIFIHYLSATANDICKESKRQIINAEDVFKALEETEFTEFVNPLKDSLEEFRKKNAGKKATVSKGKGDEKGKKRKLEGEQSDKGDQGEPADKADQGEPAEKGNQGEPAENGDQGNDDQ >CAK8564748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8078717:8081653:-1 gene:gene-LATHSAT_LOCUS18020 transcript:rna-LATHSAT_LOCUS18020 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESKIVNPSNTLKIKIATSSSSKKQSQESLESSSLPSPNTKTSIESSSPYDQSPLVSPPSSAFVSALQSPYISPRATIPNPTTTITTTNPSTPEDVPSSSYTPPSDPYEFSDDTSDTKLKFMTCVPETVPPRISFSFPVPRVSFGKTSVSPATKNSKLGSCDVYIGFHGQNPNLIRFCKWLKSELELQGVDCLLADRSKYSDIQSHEIADRVICSVAFGLVIVTSSSFLNRLSMEEVRFFAQKKNLIPIFFDTLPAEIMSLLNCNSIDKECKEAIDGLVKCNEFCLEANDGNLRSCISKISSILRARLGRKNVGHKDNHSMLGFEDLLPFPRNAYFVGREKEIMEIEGLLFGNRNFCIEQVQDHCRASGSGQSEGLADEESETTVGKGGGGRYISLEIGRNKEPNLEAWIEQPIIGNNSLKRLKNKKSKNGSYNKVLCSISSVVCISGVSGIGKSELVLEFAHRYYQRYKMVLWIGGEARNLRQNILNLSRNFGLDVGADSETERGRIRSFEEQELEAFKRIKRELFGDTPYLLIIDNLETEEEWWEGKDLYDLIPKNNTGGTHVLITTKLSKVKNYETIHLPPLPLSDAMILIRGRKRKEYSMDEVNFLEKFYEKLGRLSFGLMMIGSLLSELAISPYALFESINQVPLNEDSSSGYMSTAQEQWCKNNLFLMKTFLFCFETLEKTKAKGNVLAVRMLLVGGWFSPAPISVSLLTNAAKSVSASENHLKKWTNCTSLTSSCLPPRTWKDEEDSATLLVKFGLAKRTNLHDDGIWLHFHSITQTFAKTKGGLKYAKATIQGVRKTSNHVISDHLWESAFLVFGFKSEPPAVELKATDMVLYIKRTALPLAIQAFTLFSRCSSSLELLKVCTNALEEVEKSFVTQIQDWSHDSICWKKRLQRRQKVDEYVWQDVTLLKATLLETRAKLLARGGELDSGKELCRTCISIRTVMLGHSHALTLAAQETLARLVRMRSKI >CAK8540673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15756781:15759484:1 gene:gene-LATHSAT_LOCUS9656 transcript:rna-LATHSAT_LOCUS9656 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSKAMKAPKKLHRRIIRRRRRKISNSVTNEIKRMHNNAGGIKVTDYSVSEYVHMDFGNGATTKCRRSEVSNSAYHLTQLEWHHSQYDADANLVCQEENYFDSVSFLDSDSDDEFNSAYGDGFASVGGSVGSIPCGQVLQYEERSTRVRENNCQYEEYHESYVKVDGGNNADKLKGRDENGFGLMNTRSCGLSRLGKNQEGFKSLKEVKMEENGQENPKRLSLNRIGPTASFNNRPGKKLSRIFELSFKRKSCDAEEAPELAQSKRRLIRPRAGSIIPCQNGEKLSTGCWSEISPSTFQLRGENFFKDKKKTPAPNHSPYIPIGVDLFVCPKKIHHIAKHIELPNVKTKGKIPQLLIVNIQLPTYQAAMFLGDSDGEGLSLVLYFKVSETLDEHISTQFQENIMKLIDDEMEKVKGFAKDSNVPFRERLKIMVGLVNPEDMHLSSTEKKLVQAYNGKPVLSRPQHNFFKGPNYLEIDLDIHRFSFISRKGLDAFRDRLKDGILDLGLTIQAQKQEELPEKVLCCIRLNKVDLGDNGQIPMLMTLDGEC >CAK8535411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846278327:846279283:1 gene:gene-LATHSAT_LOCUS4876 transcript:rna-LATHSAT_LOCUS4876 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQPGFRFYPTEEELVGFYLHNQLEGQKLEDINKVIPVIDINGKEPWTLPTFAGERCRGETEQWFFFSPRQEREMRGGRPNRITSSGYWKATGSPSYVYSSSNKVIGIKKSMVFYEGKVPNGRKTKWKMHEYKAIEHFDSPNTNPPKLRHEFSLCRIYVISGGFSSFDRRPLEIPRVELQLDHSASTSSQQVVSEIDESNLHETSHSEGVGRDHDGVLMGGSSGTNWNDVANNGVDESEPLWEWEQLNWI >CAK8564792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9640662:9642548:1 gene:gene-LATHSAT_LOCUS18057 transcript:rna-LATHSAT_LOCUS18057 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAASILNNIARFAFGLGAAATAVNSSLYTVDGGQRAVLFDRFRGILEDSIGEGTHFLIPWVQKPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPDTQRLPTIVQNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPQVSALVRDSLVRRAKDFNILLDDVAITHLSYGAEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDAAKLISDATASAGMGLIELRRIEASREVASTLAKSPNVSYLPGGKNILMALNPSR >CAK8540068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538235974:538236285:-1 gene:gene-LATHSAT_LOCUS9106 transcript:rna-LATHSAT_LOCUS9106 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNHVRSNSFPSQSHPSSTRIKQELNKIKTWEASSTTTSDSISNGLSKLEDLYISLEDHLNMTSTKKVISHHQDEKFVEELLDGSIKILDICGIARDTVRN >CAK8562968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562600405:562604159:-1 gene:gene-LATHSAT_LOCUS16427 transcript:rna-LATHSAT_LOCUS16427-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVIKAKYGDTLRRFNVRVDENNRLDLNIVGLRAKICSVFSFTTDANFILRYVDEDGDLVNLVDDEDLADVMRQQLKFLRIDVHMIDNSDGKSVADGSSGSATPLRANSVSDPFLADALLALPEPLREALQSSFSKAASSNPVLANIADSISKIGQSVLKPRGNSHTAGGSGSKKGVPNESVTPEARGSQSPSVDSASGVSNSVPDVLEAFSNLSLLKTAAARQVLRNLTDSISKTHLKSHHRAPLVAAGPSSKSNVAEELSTSEARGPQSPFLGSVSDVNRNVLAASSAGQQVDSGNVGIAAVDLNSSTNINKVPLSSAVPVSVDKGKVSFDDSVALKGERVPVSVDKGKASIDDSFAGNFGIPPFKRSHSHSDALNGMFHKGVRCDGCGVYPITGPRFKSKVKQNYDLCSICFNEFGNQTDYIRMDRPASFRGPRCLYPNPKEFRFPKIPPRVLKTAAKHARQRLDSRFILDVNVIDGTMMAPSTPFTKIWRMRNNGTVAWPKGTQLVWIGGDRLSESHSVDLEVPDSGISSEMELDVAVDFIAPQSPGRYISYWRMASLSGHKFGQRVWVLIQVDASLKDSFYDSSQGLNLNIPLGVSSSEGPQIIDINVQPVEDDAFHQPKNPNAPPEPVNQIVDKELRQELGYEFPTNKAIFAGSAASVPAIPEASSSVSYPIIDFSGAAPAILATPSTVTYPIIDLSGTTPAVPSNQQASTADALSFSSDKDGNDSVEEALLKELEEMGFKQIDLNKEVLRMNEYNLEQSIDELCGVSEWDPLLEELHDMGFCDRETNKRLLLKNEGSIKRVVMDLINGE >CAK8562969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562600405:562604159:-1 gene:gene-LATHSAT_LOCUS16427 transcript:rna-LATHSAT_LOCUS16427 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVIKAKYGDTLRRFNVRVDENNRLDLNIVGLRAKICSVFSFTTDANFILRYVDEDGDLVNLVDDEDLADVMRQQLKFLRIDVHMIDNSDGKSVADGSSGSATPLRANSVSDPFLADALLALPEPLREALQSSFSKAASSNPVLANIADSISKIGQSVLKPRGNSHTAGGSGSKKGVPNESVTPEARGSQSPSVDSASGVSNSVPDVLEAFSNLSLLKTAAARQVLRNLTDSISKTHLKSHHRAPLVAAGPSSKSNVAEELSTSEARGPQSPFLGSVSDVNRNVLAASSAGQQVDSGNVGIAAVDLNSSTNINKVPLSSAVPVSVDKGKVSFDDSVALKGERVPVSVDKGNFGIPPFKRSHSHSDALNGMFHKGVRCDGCGVYPITGPRFKSKVKQNYDLCSICFNEFGNQTDYIRMDRPASFRGPRCLYPNPKEFRFPKIPPRVLKTAAKHARQRLDSRFILDVNVIDGTMMAPSTPFTKIWRMRNNGTVAWPKGTQLVWIGGDRLSESHSVDLEVPDSGISSEMELDVAVDFIAPQSPGRYISYWRMASLSGHKFGQRVWVLIQVDASLKDSFYDSSQGLNLNIPLGVSSSEGPQIIDINVQPVEDDAFHQPKNPNAPPEPVNQIVDKELRQELGYEFPTNKAIFAGSAASVPAIPEASSSVSYPIIDFSGAAPAILATPSTVTYPIIDLSGTTPAVPSNQQASTADALSFSSDKDGNDSVEEALLKELEEMGFKQIDLNKEVLRMNEYNLEQSIDELCGVSEWDPLLEELHDMGFCDRETNKRLLLKNEGSIKRVVMDLINGE >CAK8562970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562600405:562604159:-1 gene:gene-LATHSAT_LOCUS16427 transcript:rna-LATHSAT_LOCUS16427-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVIKAKYGDTLRRFNVRVDENNRLDLNIVGLRAKICSVFSFTTDANFILRYVDEDGDLVNLVDDEDLADVMRQQLKFLRIDVHMIDNSDGKSVADGSSGSATPLRANSVSDPFLADALLALPEPLREALQSSFSKAASSNPVLANIADSISKIGQSVLKPRGNSHTAGGSGSKKGVPNESVTPEARGSQSPSVDSASGVSNSVPDVLEAFSNLSLLKTAAARQVLRNLTDSISKTHLKSHHRAPLVAAGPSSKSNVAEELSTSEARGPQSPFLGSVSDVNRNVLAASSAGQQVDSGNVGIAAVDLNSSTNINKVPLSSAVPVSVDKGKVSFDDSVALKGERVPVSVDKGKASIDDSFAGKHERCVTSTNSAVPNLNKNPAISFGAPFDCPFSGTHAHHPMPHPLGNFGIPPFKRSHSHSDALNGMFHKGVRCDGCGVYPITGPRFKSKVKQNYDLCSICFNEFGNQTDYIRMDRPASFRGPRCLYPNPKEFRFPKIPPRVLKTAAKHARQRLDSRFILDVNVIDGTMMAPSTPFTKIWRMRNNGTVAWPKGTQLVWIGGDRLSESHSVDLEVPDSGISSEMELDVAVDFIAPQSPGRYISYWRMASLSGHKFGQRVWVLIQVDASLKDSFYDSSQGLNLNIPLGVSSSEGPQIIDINVQPVEDDAFHQPKNPNAPPEPVNQIVDKELRQELGYEFPTNKAIFAGSAASVPAIPEASSSVSYPIIDFSGAAPAILATPSTVTYPIIDLSGTTPAVPSNQQASTADALSFSSDKDGNDSVEEALLKELEEMGFKQIDLNKEVLRMNEYNLEQSIDELCGVSEWDPLLEELHDMGFCDRETNKRLLLKNEGSIKRVVMDLINGE >CAK8566778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462282063:462283611:1 gene:gene-LATHSAT_LOCUS19887 transcript:rna-LATHSAT_LOCUS19887 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSSELAIEQLKKLLSEKEELNEVATSKIQELIVELQKLGCTAEKRITDGFAHFKHNNFDKYPELYDRLAKGQSPKFMVFACSDSRVSPSIILNFQPGEAFMVRNIANIVPPFNQLRYSGVGATIEYAITALKVQNILVIGHSHCGGISRLMSHPKDGSAPYDFIDDWVKIGLPAKIKVLKEYHNHDFKEQCKFCEMESVKHSLMNLQTYPYVVTGIKDKNLALLGGYYDFVNGEFKLWKHEI >CAK8578554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637725195:637728494:-1 gene:gene-LATHSAT_LOCUS30555 transcript:rna-LATHSAT_LOCUS30555 gene_biotype:protein_coding transcript_biotype:protein_coding MECIWDRFGLWIRICLGLISLWGVQRCWSLNDEGIALLEFRVRITYDPYGALGNWNPNDSDPCKWLGVRCVDGKVQTLDLNGLSLEGTLTPHLGKLSHLKSILLRKNNFSGGIPKELGELDNLELLDLRENNLIGNIPAETGRILLKQLLVHDNKFEGSDTQELGNMGLPSKSLVIDDFSSPLASLFQCKNRKFAHCAWYRDLKQWNKEELLAIPIKGALKRYLNAMAMSLFMLGKATSHGYEESFCAGLPSSDESEIGSNLSNLVSSARRRLLDQSNNLAAAPYSGELVIQISYLPVTISSGSFSAVPDANKKQNQALEPLDSSAGSQTTEPNSANGAPRKLWKYIIIISGAVILVIFIAIMLCVLRKRAAKAIKPWKTGISGQLQKAFVTGVPKLNRSELETACEDFSNIINSFEKCTIYKGTLSSGVEIAVDSTIVTSTEDWSKSMEISYRRKIAALSRVNHKNFTNLIGYCDEDEPFTRMMVFEYAPNGSLYEHLHVKDIEHLDWSARMRVIMGTAYCLQYMHHDLNPPVSHSNLNSVSILLTDDFAAKISEISFGTNRFPTSTHGEESKKSELPPQQGPETDVYNFGIMLLEIISGKLPYSEEQGYLVNWASEFLNDKRNIGCLIDPTLESFKDTELDVICDVIKECVQSDQRLRPTMKETICKLREVINISPEQAVPRLSPLWWAELEILSVEAT >CAK8561192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117178405:117179244:-1 gene:gene-LATHSAT_LOCUS14804 transcript:rna-LATHSAT_LOCUS14804 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSILTNLARAAIGFGVGTTVLNSSLYTVDGGQRAVLFDRFRGILDESIGEGTHFLIPWVQKPYIFDIKTRPHTFSSISGTKDLQMVNLTLRVLSRPDTGKLPVIVQNLGLEYDEKVLPSIGNEVLKSVVAQFNADQLLTERPQVSALVRETLVRRARDFNILLDDVAITHLSYGAEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESEAAKLISDATAAAGMGLIELRRIEASREVAATLAKSPNVIYLPGGKNLMMALNAAS >CAK8561845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:393339139:393343323:1 gene:gene-LATHSAT_LOCUS15399 transcript:rna-LATHSAT_LOCUS15399 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRYPIMNSTLFSLFLFVLIAKVSFGFSTKVYVVYRGSKSGEHPDDILKENHQILASVHSGSIEQAQASHVYSYRHGFRGFAAKLSDEQASQISKMAGVVSVFPNSKRKLHTTHSWDFMGLLDDQTMETLGYSIKNQENIIIGFIDTGIWPESPSFSDTDMPGVPPGWKGQCQSGEAFNASTCNRKVIGARYYISGYEAEEESNKKVSFKSARDSTGHGSHTASIAAGRYVENMNYKGLASGGARGGAPMARIAVYKTCWDSGCYDVDLLAGFDDAIRDGVHILSLSLGAQSPQGDYFNDAISIGSFHAANRGVLVVSSAGNEGIPGSATNLAPWMLTVAASSTDRDFTSDIMLGNGAKVTGESLSLFEMNASSRIISASQAFAGYFTPYQSSYCLESSLNKTKTKGKVLVCRHVESSTDSKVKKSKVVKEAGGVGMILIDETDQDVAIPFVIPSAIVGKKTGEKILSYLKTTRKPISRILRAKTVIGAQPAPRVAAFSSRGPNALNPEILKPDITAPGINILAAWSPADGNMFNILSGTSMACPHVTGIATLVKAVHPSWSPSAIKSAIMTTATILDKRHKPISVDPEQTRANAFDYGSGFLNPARVLDPGLIYDSEPADFIAFLCSLGYDQRSLHLVTRDNSTCNSINTFTTASNLNYPSISVPNLRDNFSVTRVVTNVGKATSIYKSIVSSPPGVNVTVTPNRLVFTRMGQKIKFSVNFKVTSLSKGYKFGFLSWKNKRLQVTSPLVVKVG >CAK8577404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561095798:561098315:1 gene:gene-LATHSAT_LOCUS29517 transcript:rna-LATHSAT_LOCUS29517 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPNLSISLHRNAKKTNYHSLSLHNKLGFSSFVQFNKSVISLKSYGTAKYDGVVVDEELDKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAISESFGEWVVSGLEKEKGYLVENVTVSLGRDPRITGSKLSVAVFAGLTRGGCMVFDMGLATTPACFMSTLLPPFEYDASIMMTASHLPYTRNGLKFFTKRGGLTSLEVEEICDNAARKYANRMAKVSSLLKVLPIKVDFMSAYSKHLREIIKERISHPLHYETPLKGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLKPDGMFPNHIPNPEDKVAMATTRAAVLENSADLGIVFDTDVDRSGVVDNEGNPINGDKLIALMSAIVLKENPGSTIVTDARTSMSLTKFITDRGGHHCLYRVGYRNVIDKGVHLNKDGIETHLMIETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLGGSDEGIGSLIKDLEEPCESIELRINIVSEPRDAKAKGLEAIETFRNYIEEGMLKGWELDSCGDCWVSEGCLVDTNDTPTHIDAQMYRARVSNNEHGQHGWIHMRQSIHNPNIAVNLQSSVQGGCLSMARALRDDFLKASGVDTFLDIAQIDKFVENGSLA >CAK8577031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532731845:532737100:1 gene:gene-LATHSAT_LOCUS29175 transcript:rna-LATHSAT_LOCUS29175 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTLKETLRHCTTQQSQPSSSSSQSNSILLNFNSHFPIHRKPPKSSLADQLRLLQDPDDPPFNPHNFLSQQNHSKTLQKQVKLEEEEDDDDEEEQEPDTKRVKFSSAKASHFQFDHTGPFEPLVLSTNGEFPIVQVPASINCRLLEHQRVGVKFLYDLYNKNHGGILGDDMGLGKTIQTIAFLAAIFGKGESVHSEKQVEKRDPVLIICPSSVIQNWESEFSKWSNFSVSIYHGANRDLIYDKLEANGVEVLITSFDTYRIHGNSSLSNIRWSIVIIDEAHRLKNEKSKLYKACLEIKTLRRYGLTGTVMQNKILELFNLFDLVAPGSLGTREHFREFYDEPLKHGQRSTAPDRFVQIANKRKQHLVVVLNKYMLRRTKEETIGHLMMGKEDNIVFCAMSDLQKRVYRRMIQLPDIQCLINKDLPCSCGSPLTQVECCKRIVPDGAMWPYLHKDNPDGCDSCPFCLVLPCLVKLQQISNHLELIKPNPKDDPDKQIKDAKFAAAVYGPDIDLVGGSMQNESFMGLSDAEHCGKMRALEKLLFSWFSHGDKVLLFSYSVRMLNILEKFIIRKGYCFSRLDGSTPTNLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRYGQKRHVVVFRLLAAGSLEELVYSRQIYKQQLSNIAVSGKMEKRYFEGVQDCKEFKGELFGICNLFRDLSDKLFTSEIVELHETSKKDGQKTKHEKLKMSEETVLLASDSETRLCAESTGASTSKPDIEYEDLGIVYAHRNEDVVNSRPGTQGQLSTSDPPSSDSLSKSNISLVHQREKPDCVPKKQKIPLIEERKLAEFSLLAESMGMEELAFSKWLLSATPVEREKVLINYNKKKKKLKG >CAK8577032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532731845:532737100:1 gene:gene-LATHSAT_LOCUS29175 transcript:rna-LATHSAT_LOCUS29175-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTLKETLRHCTTQQSQPSSSSSQSNSILLNFNSHFPIHRKPPKSSLADQLRLLQDPDDPPFNPHNFLSQQNHSKTLQKQVKLEEEEDDDDEEEQEPDTKRVKFSSAKASHFQFDHTGPFEPLVLSTNGEFPIVQVPASINCRLLEHQRVGVKFLYDLYNKNHGGILGDDMGLGKTIQTIAFLAAIFGKGESVHSEKQVEKRDPVLIICPSSVIQNWESEFSKWSNFSVSIYHGANRDLIYDKLEANGVEVLITSFDTYRIHGNSSLSNIRWSIVIIDEAHRLKNEKSKLYKACLEIKTLRRYGLTGTVMQNKILELFNLFDLVAPGSLGTREHFREFYDEPLKHGQRSTAPDRRMIQLPDIQCLINKDLPCSCGSPLTQVECCKRIVPDGAMWPYLHKDNPDGCDSCPFCLVLPCLVKLQQISNHLELIKPNPKDDPDKQIKDAKFAAAVYGPDIDLVGGSMQNESFMGLSDAEHCGKMRALEKLLFSWFSHGDKVLLFSYSVRMLNILEKFIIRKGYCFSRLDGSTPTNLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRYGQKRHVVVFRLLAAGSLEELVYSRQIYKQQLSNIAVSGKMEKRYFEGVQDCKEFKGELFGICNLFRDLSDKLFTSEIVELHETSKKDGQKTKHEKLKMSEETVLLASDSETRLCAESTGASTSKPDIEYEDLGIVYAHRNEDVVNSRPGTQGQLSTSDPPSSDSLSKSNISLVHQREKPDCVPKKQKIPLIEERKLAEFSLLAESMGMEELAFSKWLLSATPVEREKVLINYNKKKKKLKG >CAK8531582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127292800:127294083:-1 gene:gene-LATHSAT_LOCUS1364 transcript:rna-LATHSAT_LOCUS1364 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLLFAIFTVHLRLTSAQIGVCYGMLGNNLPSQREVIDLCKSNNIKRMRLYDPNQAALEALRNSNIELMLGVPNSDLQNIATNNDIAIQWVQKNVLNFYPSVKIKYIAVGNEVNPVGGSSQFAKFVLPAIQNIYQAVRAKGLQDQIKVSTAIDMTLIGNSYPPSKGSFRSDVRSYLDPIIGYLVYANAPLLANIYSYFSYSDNPKDISLAYALFTSPNVVVWDGSRGYQNLFDALLDSLHAAIDNTGIGFVKVVVSESGWPSDGGFAASYDNARVYLDNLIRHVKGGTPMRNGPIETYIFGLFDENQKNPELEKHFGVFYPNKQKKYPFGFEGKKDWDIDGTMFNVSVPLKSDI >CAK8572534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544303518:544306686:-1 gene:gene-LATHSAT_LOCUS25088 transcript:rna-LATHSAT_LOCUS25088-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVPETPNMKPMWLYPKLLGFNPSQRWGHSACFSGRLMYVFGGCCGGLHFSDVLCLDLDKMEWNKVVTTGEKPGPRDSHSAVLVGHKMIVFGGTNGFKKVNETHILDLYTKEWIRPKCEGTPPSPRESHTATLVGGERLVIFGGSGEGDANYLNDLHILDLRTMRWSEFAEVNGDLPVPRDSHCTVAVGNKLIVYGGDSGDMYHGDVSLLDMETMTWIRMKNQGSSPGVRAGHAAVNIGTKVYIIGGVGDKRYCNDIWVFDIHTCLWTQLDINGQQPQGRFSHTAVVADNDIAIYGGCGEDERPLNEFLVLQLEAEHPNGHNRVSRCKVFGNYWNQEKKTILAGEAETNSVKKKIPCIGNNIEVLGKWGYGVASEKAQPYQFDSGTSQQKRRRIAATKVWDVDSEQEEHSLSLSQHSSPSQSDQEQTPGQKPNASVMDSQRYHRVKLVNKISTACEPINLSSNRRFLKNCTQISQQDLRVMDHQPKQEQYLHVDENKKGTRQYQAVEPRPAGRGLTQHLIGAEVRGKVDGAFDSGFLMTAVVNGRLFRGVLFAPGAGVTEPNYSIPCLFPSSTQPNSNHIDNSRDTRKVTNCSRLEPCSSLRQPLYARVSPISNGAATVSLPEEHKIRSDLQGLALTLGGPGSGNHV >CAK8572533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544303518:544306686:-1 gene:gene-LATHSAT_LOCUS25088 transcript:rna-LATHSAT_LOCUS25088 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVPETPNMKPMWLYPKLLGFNPSQRWGHSACFSGRLMYVFGGCCGGLHFSDVLCLDLDKMEWNKVVTTGEKPGPRDSHSAVLVGHKMIVFGGTNGFKKVNETHILDLYTKEWIRPKCEGTPPSPRESHTATLVGGERLVIFGGSGEGDANYLNDLHILDLRTMRWSEFAEVNGDLPVPRDSHCTVAVGNKLIVYGGDSGDMYHGDVSLLDMETMTWIRMKNQGSSPGVRAGHAAVNIGTKVYIIGGVGDKRYCNDIWVFDIHTCLWTQLDINGQQPQGRFSHTAVVADNDIAIYGGCGEDERPLNEFLVLQLEAEHPNGHNRVSRCKVFGNYWNQEKKTILAGEAETNSKIPCIGNNIEVLGKWGYGVASEKAQPYQFDSGTSQQKRRRIAATKVWDVDSEQEEHSLSLSQHSSPSQSDQEQTPGQKPNASVMDSQRYHRVKLVNKISTACEPINLSSNRRFLKNCTQISQQDLRVMDHQPKQEQYLHVDENKKGTRQYQAVEPRPAGRGLTQHLIGAEVRGKVDGAFDSGFLMTAVVNGRLFRGVLFAPGAGVTEPNYSIPCLFPSSTQPNSNHIDNSRDTRKVTNCSRLEPCSSLRQPLYARVSPISNGAATVSLPEEHKIRSDLQGLALTLGGPGSGNHV >CAK8572532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544303518:544306686:-1 gene:gene-LATHSAT_LOCUS25088 transcript:rna-LATHSAT_LOCUS25088-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVPETPNMKPMWLYPKLLGFNPSQRWGHSACFSGRLMYVFGGCCGGLHFSDVLCLDLDKMEWNKVVTTGEKPGPRDSHSAVLVGHKMIVFGGTNGFKKVNETHILDLYTKEWIRPKCEGTPPSPRESHTATLVGGERLVIFGGSGEGDANYLNDLHILDLRTMRWSEFAEVNGDLPVPRDSHCTVAVGNKLIVYGGDSGDMYHGDVSLLDMETMTWIRMKNQGSSPGVRAGHAAVNIGTKVYIIGGVGDKRYCNDIWVFDIHTCLWTQLDINGQQPQGRFSHTAVVADNDIAIYGGRCGEDERPLNEFLVLQLEAEHPNGHNRVSRCKVFGNYWNQEKKTILAGEAETNSKIPCIGNNIEVLGKWGYGVASEKAQPYQFDSGTSQQKRRRIAATKVWDVDSEQEEHSLSLSQHSSPSQSDQEQTPGQKPNASVMDSQRYHRVKLVNKISTACEPINLSSNRRFLKNCTQISQQDLRVMDHQPKQEQYLHVDENKKGTRQYQAVEPRPAGRGLTQHLIGAEVRGKVDGAFDSGFLMTAVVNGRLFRGVLFAPGAGVTEPNYSIPCLFPSSTQPNSNHIDNSRDTRKVTNCSRLEPCSSLRQPLYARVSPISNGAATVSLPEEHKIRSDLQGLALTLGGPGSGNHV >CAK8576745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510551301:510552942:1 gene:gene-LATHSAT_LOCUS28916 transcript:rna-LATHSAT_LOCUS28916 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGIELAEQADTKGVQIKIAGRMEGKEIARVEWTREGRVPLQTIRAPMDFCSVPLVTLFGALEPAWITSRQLEAGRRAMSRNVRRGGQIWVCIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFIFSK >CAK8577569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574967114:574967608:-1 gene:gene-LATHSAT_LOCUS29666 transcript:rna-LATHSAT_LOCUS29666 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLLFPETHSISTTLSILIVRKPIQQNRKPFDLIVSNNVCDIMLSQLSTPCLKGDRLTITILEYEYNLGVEAFKHHLHGRVFWSKGLTLLTVVNLKNKLMEMWLLIENGVLLHWGKGFFEFPFSLDVQRVRSVNARTMSQGVLKLFPWSKDFVPSTIKQTSA >CAK8533893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:668280212:668281030:1 gene:gene-LATHSAT_LOCUS3481 transcript:rna-LATHSAT_LOCUS3481 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKAQRVNWRQLNNDELKEWRNRRHVILQGDVVLGERKLSQEYLTWFRAIPYVHIAPDQFLTDPRLQGSSSTQQTTASMHQDVPPTHTSQHSYQNQTPNNPLHQQNYTYQYNQQYQPQPYLRPPIQFTPVQPPLFDHTDPHFQYQPSNTTYSQPASTINTIYTQPTQNFTPDDVYYPTFQHPRSETYPQPPHSFQQFLLTDEQLMQMSDFNIDDLLDEQPGPSSRPTNPPTTHHHEDMSSDSSESTRNERLGRGNRQRRIPRCGTGGHIR >CAK8538189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468190752:468193607:-1 gene:gene-LATHSAT_LOCUS7417 transcript:rna-LATHSAT_LOCUS7417-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQKQVLQSDPDNENSKRRRVAFTGIGYEDAGVEAKDCIRIFLVSSKEEFEDPESFVINPVDLNSYFDNDGKIFGYEGLKINIWVSRISFYAYADIVFESLSDRGKGVTDLHEALQSIFAETLVKSKDEFLQKYLGDINFVRSNISTGEVLKDKAFKQADSDASNVEVVRLVAGNMPTGQLYCHIIPLVLLLVDGSSPIDVTDPQWELYVMVQKKTDQQGDIQYLLLGFTAVYRFYHYRGNSRLRLGQILVLPPYQHKGYGRFLIEVLNDVAISENVYDLTVEEPLDNFQHVRSCIDTQRLLGFEPIQPLVTKAVSFLKSGRLSKKTHSPRLTPPPSYVEEVRKILKITKTQFLKCWEVLIYIGLNPTNKYMDNFVSVISERVKYDILGKDSGTSGKQLIEIPCDVNPEASFVMFKSGAGEDIITAQMDDNQANQEEQLQKLVQDRVKEIQLIAKKVTSHLESSEVSVK >CAK8538190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468190752:468193607:-1 gene:gene-LATHSAT_LOCUS7417 transcript:rna-LATHSAT_LOCUS7417 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQKQVLQSDPDNENSKRRRVAFTGIDAGVEAKDCIRIFLVSSKEEFEDPESFVINPVDLNSYFDNDGKIFGYEGLKINIWVSRISFYAYADIVFESLSDRGKGVTDLHEALQSIFAETLVKSKDEFLQKYLGDINFVRSNISTGEVLKDKAFKQADSDASNVEVVRLVAGNMPTGQLYCHIIPLVLLLVDGSSPIDVTDPQWELYVMVQKKTDQQGDIQYLLLGFTAVYRFYHYRGNSRLRLGQILVLPPYQHKGYGRFLIEVLNDVAISENVYDLTVEEPLDNFQHVRSCIDTQRLLGFEPIQPLVTKAVSFLKSGRLSKKTHSPRLTPPPSYVEEVRKILKITKTQFLKCWEVLIYIGLNPTNKYMDNFVSVISERVKYDILGKDSGTSGKQLIEIPCDVNPEASFVMFKSGAGEDIITAQMDDNQANQEEQLQKLVQDRVKEIQLIAKKVTSHLESSEVSVK >CAK8561949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:416948703:416949062:1 gene:gene-LATHSAT_LOCUS15494 transcript:rna-LATHSAT_LOCUS15494 gene_biotype:protein_coding transcript_biotype:protein_coding MERKIPAREVMATKEFVIENAAEGASASPEFDAGPFVPGAGGEEVFGVGDEGEGVGEVVVGEGVGDVFVEGDGAGAELGDNDLVGAGAGACCAMHDVANNPNIRNTLIATEAIFLYMLY >CAK8573695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631047903:631048322:-1 gene:gene-LATHSAT_LOCUS26106 transcript:rna-LATHSAT_LOCUS26106 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLVALLLVACLVTVEGQNISIKFGEKVVPPAECYRYCYAASLMPGIISGGLCKWRCDNFVMWESFDGSHARRHGPVGAPKASLAPAPYNHKA >CAK8576330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459538034:459539044:-1 gene:gene-LATHSAT_LOCUS28527 transcript:rna-LATHSAT_LOCUS28527 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDVAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARQSLQYMTWFSSVTTTQPFLSQPTYLADPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPSYEDTPQSMPTYTPYQQQPPYMPPIQSQPQPSHQYSPDMSFDPTPATYISDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYCPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMMQDLADTSGPSQQNPPPQLNTQHPDTPQQPRRNTRPPQCGTGGDLDRADH >CAK8576331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459538034:459538546:-1 gene:gene-LATHSAT_LOCUS28527 transcript:rna-LATHSAT_LOCUS28527-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYTPYQQQPPYMPPIQSQPQPSHQYSPDMSFDPTPATYISDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYCPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMMQDLADTSGPSQQNPPPQLNTQHPDTPQQPRRNTRPPQCGTGGDLDRADH >CAK8575305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:74831035:74833233:1 gene:gene-LATHSAT_LOCUS27579 transcript:rna-LATHSAT_LOCUS27579 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSVERNSLCSTTVDKLVILPSPIKDKPKNDNFMIDHVSSNTFNDSKDEAFFDSKGWLDSDCEDDFYSVNGDFTPSRGTTPVHHSFGTPAINKNSSLHIAPEPSPKKKNLLELFRESVREVRNDEVGKTFSNEEKQVIPVIVHDLTKSEQSTPYISGSIERNMNDENESDKPVQCCLPRLSSFSERRRKASLDIAANGKA >CAK8539303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508769393:508773006:1 gene:gene-LATHSAT_LOCUS8412 transcript:rna-LATHSAT_LOCUS8412 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFDDEGGPSLTINEYLEEVEERELEADLVLGGDDGKECTYSKGYMKRQAIFSCITCTPDGNAGVCTACSLSCHDGHQIVELWTKRNFRCDCGNSKFGEFYCKIFPSKDVENGENSYNHNFKGLYCTCARPYPDPDAEEQIEMIQCCLCEDWFHEEHLGLDSLDEIPRDDEGEPLYEDFICKGCSEVCFFLKLYPEVILVAEKQPNATVQASKDKGILEDEPSTRGSEKPLGDTSYNSPKMDEAQASVNSESVSDGKGLPQGGSCNGNTALSKCTEGPNLHVNCLLGVNIVATSPVKHDKSMFLSKNWRDALCKCNHCLEYYQQKRIGFLLDKDDSIVEYEKIAKQKREQKLQELEGAELSFFNKLGHVEKVEILKGIEEMKDGLRSFLESADSSKPVTAADVHQFFDDIKNKRRRTE >CAK8532896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546150598:546151554:1 gene:gene-LATHSAT_LOCUS2558 transcript:rna-LATHSAT_LOCUS2558 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASTSTSHNTNPLNTKPRKRLIVKLSYPPGSRKRDSDSCDTDENKRRKIQDFVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNPTQPKDNNVVENKKMIKNPTQPKDNNVVENKKMIKNPTPSSQPKDNKMIKNRTPLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMADKCKIKAIGLKEIERKLRLYATPDGFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRSKTHKSTRY >CAK8560333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14637870:14640515:1 gene:gene-LATHSAT_LOCUS14016 transcript:rna-LATHSAT_LOCUS14016 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTWILFVTPIFLCTLFLYCRNRLSLKLKSKHRNQLPLGTLGWPFIGETIEFVSCAYTDRPESFMNKRRAMYGKVFKSHIFGSPTIISTDADVNKFILQSDAKVFVPSYPKSLMELMGESSILLINGTLQRRIHGLIGAFFKSQQLKIQITTDMEKYVQESMANWKQDQPIYIQDETKKIAFYVLVKALISLDPGEEMESLQKHFQEFISGLMSLPINLPGTKLYQSLQAKKKMAKLVRKTIQTRRNEGIIEVPKDVVDVLLNDTSEKLTDDLIADNIIDMMIPGEDSVPVLMTLATKYLSECPAALQQLTEENIKLKKLKDQLAEPLCWSDYLSLPFTQKVITETLRMGNIINGVMRKALKDVEIKGYLIPQGWCVFANFRSVHLDEKNYECPYQFNPWRWQDKEMNSHNFSPFGGGQRLCPGIDLARLEASIFLHHLVTQFKWYAEEDTIVNFPTVRMKRRMPILVKRVEI >CAK8564552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681090404:681091422:-1 gene:gene-LATHSAT_LOCUS17850 transcript:rna-LATHSAT_LOCUS17850 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEVFETFSKSTRLKINPAKCKVFFGHVGDVNKQRIQEITNFDEGKLPFRYLGIPLTSKKLNINLYMPLIDKIMARINHWSSRLLSIAGRTQLTNSVLKSPIVWKKVCFPKKHEGLSIIDIGNWNISCLAKLLWNLCKKYDSMWIKWIHCFYVKNQDILTMDVKTNSSWIMKDILKVRPTITTLSVWNSFMQVGNFVTRKLYAILANNHQIVNRRKVFYNNPVRPRAMITLWMACGSRLATKDRLKNFGMIRDDVCCFCNERECQNHLFFQCKEMELVWHNILEWMQLVHRSGCWSDELN >CAK8564484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677820864:677823729:-1 gene:gene-LATHSAT_LOCUS17786 transcript:rna-LATHSAT_LOCUS17786 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTDSSLTAERDEPPQIQLLASTDDDYDGVIVEINDLVMDSTTFLSILRPSISNWKQQGKKGVWIKLPIHLSNLVETLTKEGFWYHHAEPKYLMLVHWIADSASTIPANATHRVGVGALVVNEKREVLVVQEKTGHFRGTGSWKFPTGVADQGEEIWEAAVREVKEEAGVDSEFIEVLAFRQSHASFFDKSDLFFVCLLRPLSSDIKIQEVEIEAGKWMPFEEYAAQPFMEKYELLRQINDIYLAKIDGHYSGFTPVSTKSNFSNQKNSYLYLNVGGLKRSNSL >CAK8561869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399831091:399832584:-1 gene:gene-LATHSAT_LOCUS15421 transcript:rna-LATHSAT_LOCUS15421 gene_biotype:protein_coding transcript_biotype:protein_coding MHTASSELSQDMALNNKSKKTNSNSPSNFYFFTTLFFIVLFTIPAFFLLHTPTTTSICTTFTSHSYQSKTWSGDLTSAEFSWNKLQFFQHQKPLSPLKIAVFTRKWPIATTPGGMERHAYTLHTALAQRGHKVHIFTSPQDEKTSETQQGNYPFIHFHEGEMGKWRYNKAWEQYEEENRKEPFDVIHSESVALPHYVARNLSNLAVSWHGIALESLQSGIFQDLARRSDEPISPLFNKSLQGVVPKVLNEIRFFRSYAHHVAISDSCGEMLRDFYQIPSKRVHVIVNGVDEDEFGEDVELGREFRIKIGIPRNASLVLGVAGRLVKDKGHPLLHEAYSRLITKHPNVYLIVAGSGPWGSRYKDLGNQVLVLGSMSPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPLLASRFPSIKGTLLVDDEYGFMFSPNVESLLEALEEVVKDGPVRLARRGKACREYAASMFTAKKMALAYERLFLCIKDHTFCIYP >CAK8565384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:157108078:157110388:-1 gene:gene-LATHSAT_LOCUS18604 transcript:rna-LATHSAT_LOCUS18604 gene_biotype:protein_coding transcript_biotype:protein_coding MREQTNTSTGNAFGSGGSGDLSFGSMDGSGQFVNVAQYGDDGSTSLPKHLESANTMFSFIWWVIGFYWVSADGQDLINESPMLYWLCIVFLGFDVFFCRFLYCAGMHHWSCCLLLPSVYY >CAK8576861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521090013:521092706:-1 gene:gene-LATHSAT_LOCUS29024 transcript:rna-LATHSAT_LOCUS29024 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNTIWIFSLALLLVASPFLQVVRCQSESGEAVETTESDIGIVGDDAQDFDGVGTFSAAPGIETISVFPRNIAKLVTAGEETELLVGVKNDGESDLNVVAIKASIHLPVDHHLLVQNLTAQVFNNGSVPASAQATFPYIFAVSKFLQPGSFDLVGTIIYEIDEHPYQSTFFNGTIEVVESGGFLSIESVFLVTLGAALLVLLGLWIHGQVQNLSKKSKRAPKVEVGTRSIDASTDEWLQGTAYTQSLSSKSKKKK >CAK8561787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385168456:385171541:1 gene:gene-LATHSAT_LOCUS15345 transcript:rna-LATHSAT_LOCUS15345 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYKIEKLVVFMIIYLLWLRWSKHANAQVDHLKPGDTLNISSPLYSKQGKYLLTFTYVLGSYENTQLCILSSANVQNNLVWLYDRNHPIYSDSSVLSLSHSGVLKIESKIGKPMIIYSSPQPINNTVATMLDTGNFVLEQLHPNGTKTLLWQTFDYPINTLIPNMKLGINRKTGHNWSLVSPITRSVWASGEFSLVWEPIEGELNIKKRGKVYWKSGKLRSDGLFENIPTNVQQMYRYIIVSNTDEDSFAFETKDRNYTRFPEWVLFPAGRLINNVGDIGNADMCYGYNSDGGCQKWENIPTCREPGYVFEKKSAIASLNNATYEDSALYVYNDCKESCWRNCNCTAFTKYYNNGTGCIFYSGNFTKDLGFGSEINFHALVKPTKVAHHVNAGKKKWIWISAITAAILFIICPFILCLGIKRKKYESKEKKTKMQDLVASNESYSIKDLEDDFKGHDINIFNYASISEATMDFSSENKLGQGGYGPVYKGILPTGQEIAVKRLSKNSGQGIVEFKNELVLISELQHTNLVQLIGCCIHEEERILIYEYMPNKSLDFYLFDSTKRKLLDWKKRLNIIEVDTCLQNMQWKEFVQPSRIFIALECCYLKLFAEGKIIVSMILIRPLNLVGHAWELWNDGDYLELIDPLLNDSFVGDEVKRCIHVGLLCVEQNANDRPTMSDVISMLTNKYELVTLPRKPAFYVRRDSFEGETTSKVTNTDTYSMTAISSLSVVEEKI >CAK8561788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385168456:385171240:1 gene:gene-LATHSAT_LOCUS15345 transcript:rna-LATHSAT_LOCUS15345-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYKIEKLVVFMIIYLLWLRWSKHANAQVDHLKPGDTLNISSPLYSKQGKYLLTFTYVLGSYENTQLCILSSANVQNNLVWLYDRNHPIYSDSSVLSLSHSGVLKIESKIGKPMIIYSSPQPINNTVATMLDTGNFVLEQLHPNGTKTLLWQTFDYPINTLIPNMKLGINRKTGHNWSLVSPITRSVWASGEFSLVWEPIEGELNIKKRGKVYWKSGKLRSDGLFENIPTNVQQMYRYIIVSNTDEDSFAFETKDRNYTRFPEWVLFPAGRLINNVGDIGNADMCYGYNSDGGCQKWENIPTCREPGYVFEKKSAIASLNNATYEDSALYVYNDCKESCWRNCNCTAFTKYYNNGTGCIFYSGNFTKDLGFGSEINFHALVKPTKVAHHVNAGKKKWIWISAITAAILFIICPFILCLGIKRKKYESKEKKTKMQDLVASNESYSIKDLEDDFKGHDINIFNYASISEATMDFSSENKLGQGGYGPVYKGILPTGQEIAVKRLSKNSGQGIVEFKNELVLISELQHTNLVQLIGCCIHEEERILIYEYMPNKSLDFYLFDSTKRKLLDWKKRLNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQQESIVNTNRIVGTYGYMSPEYAMEGICSTKSDIYSFGVLLLEIVCGRKNNSFYDIDSPTKSSRTCMGAME >CAK8561789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385168456:385171240:1 gene:gene-LATHSAT_LOCUS15345 transcript:rna-LATHSAT_LOCUS15345-3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYKIEKLVVFMIIYLLWLRWSKHANAQVDHLKPGDTLNISSPLYSKQGKYLLTFTYVLGSYENTQLCILSSANVQNNLVWLYDRNHPIYSDSSVLSLSHSGVLKIESKIGKPMIIYSSPQPINNTVATMLDTGNFVLEQLHPNGTKTLLWQTFDYPINTLIPNMKLGINRKTGHNWSLVSPITRSVWASGEFSLVWEPIEGELNIKKRGKVYWKSGKLRSDGLFENIPTNVQQMYRYIIVSNTDEDSFAFETKDRNYTRFPEWVLFPAGRLINNVGDIGNADMCYGYNSDGGCQKWENIPTCREPGYVFEKKSAIASLNNATYEDSALYVYNDCKESCWRNCNCTAFTKYYNNGTGCIFYSGNFTKDLGFGSEINFHALVKPTKVAHHGKKKWIWISAITAAILFIICPFILCLGIKRKKYESKEKKTKMQDLVASNESYSIKDLEDDFKGHDINIFNYASISEATMDFSSENKLGQGGYGPVYKGILPTGQEIAVKRLSKNSGQGIVEFKNELVLISELQHTNLVQLIGCCIHEEERILIYEYMPNKSLDFYLFDSTKRKLLDWKKRLNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQQESIVNTNRIVGTYGYMSPEYAMEGICSTKSDIYSFGVLLLEIVCGRKNNSFYDIDSPTKSSRTCMGAME >CAK8569631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7038189:7038623:1 gene:gene-LATHSAT_LOCUS22461 transcript:rna-LATHSAT_LOCUS22461 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWTTKQNKRFENALAIYDKDTPDRWQKLAKAVGGKTVEEVKRHYEMLVEDLKQIEEGHVPLPKYRNAPTIGGGSIKSYTFSDEQQRLKFLSIQ >CAK8562507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502624142:502625078:-1 gene:gene-LATHSAT_LOCUS15999 transcript:rna-LATHSAT_LOCUS15999 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVRSCDKEYMRMAMLKHEETFKQQVYELHRLYRIQKIMMQNMEAKRSVEVKEQEWYFRNAINLTQNANHHKDTQEKRTQIKFDLERPAEEHIAESDDDEGIEIIDETEIELTLGPSRYTRSKKGETPITSDSGRSLSSSSSGSSDINKIRRYMTHTKREESSGIIRNSFGIEEQLRQQERLKQSPWFFQVMNLNMT >CAK8531780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151037733:151039990:1 gene:gene-LATHSAT_LOCUS1546 transcript:rna-LATHSAT_LOCUS1546 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSSSTPTLITELAPPEAKVTGIFTYPIKSCRGISLPHAPLTPFGFRWDRQWVVVNSKGRARSQRVEPKLALVEVELPPEAFLQHWKPTTDSFMVVKAPGMEPLKVCLNKQKYEVVDDIGLWEWTGPAWDEGAEASQWFSDYLGSPCRLVRFNTSSEVRNVDPRYVEGQQWIFFSDGYPFLLASQESLDALNKLLEEPIPMNRFRPNILVEGCEPYSEDFWRDIQISRFSFQCVKLCARCKIPQVNQETAIYGTEPTETLMKVRSGHVLRPNENNKNKVYFGQKTVWNWKDSSGKGDGNVLKLGDPVYIIKKYPSLAEAAA >CAK8534221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707253194:707253538:1 gene:gene-LATHSAT_LOCUS3781 transcript:rna-LATHSAT_LOCUS3781 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQEVDAEDGAELDMTNAIDALCKRFRLLDVARKRALKIRVFEISYSTTTNMCPPPEKIKTKGVKRKGKKYVGYDVYRDPSYHEYVYQAQQFSKIFKEAMFTVILNLKEETI >CAK8574332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675566232:675566507:1 gene:gene-LATHSAT_LOCUS26687 transcript:rna-LATHSAT_LOCUS26687 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSHSKLTMKLLVDTKNNKVLFAEASKSVVDFLLNILYLPLATVSKLVEKNGMLPGIGNVYRSLQNLSDNNNKMDMQVTVGNKTFCV >CAK8576426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:474711871:474713234:1 gene:gene-LATHSAT_LOCUS28614 transcript:rna-LATHSAT_LOCUS28614 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRPLLLVFLLLMLVITSQFEWKQQLVVDVDSTSSLSIKQQRISKGVETVKEKIILVQERNIRRLNEVVRHLQEQLQQCRSTNNGTTNSTVVSVY >CAK8567783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544465373:544468339:-1 gene:gene-LATHSAT_LOCUS20806 transcript:rna-LATHSAT_LOCUS20806 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTIAFSPRFPAFSPQIPQYRFRCQCCSFVPGNGFQTERGLEFDTGGAFYRRESATGRDLGVLAASLQKKVSGSLRVLDALCGCGIRSLRYLKEAEADFVAANDGNECYGSTIVENLSRVSVKEEKRWVVTHLEANRVMTDYYLQKSLFDFIDIDSFGSDSSFLRSAINSLKFGGLLYVTSTDGFSSGGHRPHHSLAAYGAYVRPMPYSNEIGLRMLIGGLVREAAVLGYHVTPLFSYYAYHGPVFRVLIRLNRGKVHDSRHYGFIGHCHQCGNSNEFSWDQLGQFNCSCSLSKVSNSLKVSGPLWTGPLHDAAYLTDMLDLAKEWGWVGCDGKDSLEKLIKLMVDESDPRLPSGYIKLDEMASRAKINTPPLRTLMSAVHEKGYAASRSHIINNAIKTNCPMTEFISIAKELLPVSVS >CAK8574077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:658125274:658127754:-1 gene:gene-LATHSAT_LOCUS26454 transcript:rna-LATHSAT_LOCUS26454 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVSHMTYKNVVRFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVINPSIIPYEDVEDGDGEEENEAQVDDLYTTLFKEGIKVNIDDQCVPLENVFIPPAHMTTLPLSVEGTSFDWPRNPHFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKEIEQVYGNWESSYNELPHFLLALKKYIPGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNNNIFPVAFALVEGETAEAWGFFLRNLRRHVAPQPDLCLISYRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVITACSNVRHDAYTFLSDVYRVTNLFGVYSASFPVMPCHEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKFERKCSMCRQTGHNRTRCPNVETSSR >CAK8575852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337482349:337483819:-1 gene:gene-LATHSAT_LOCUS28081 transcript:rna-LATHSAT_LOCUS28081 gene_biotype:protein_coding transcript_biotype:protein_coding MMENQNVPHLVLIPPPFDGHMTPMLQLATVLHSKGFSITIAHTHFNSPDPSNHPNFNFIPFFDGLSDTQITSKNFIDITSTLTTNCVSPLKETLIHHMAKLEKHDEKIACIIYDGFFHFIDSLTKELKLPSIVFRTTSATNFLTYHVCAHLQTKGYLPLQDSMSLDLVPELELLRFKDLPIFNLTNPHDFLHSISKTLSITPLGVIFNTVESLEDSSLNHLQHLYNVNLFPIGPLHMIANEFDNISILREKENCISWLKSKPRKSVLYVSFGSIASWEEKELTEMACGLVKSRQNFLWVIRPETVTDVSVWLESLSEDVKLGVTERGCIVKWAPQSEVLAHEAVGGFWSHCGWNSTLESLCEGVPIICQPYFGDQRVNARLLSHVWKVGLEWCNVMERDEIDKVIRRLMVNQEGKELKQRATELKHEIRLSVNGSSTDALNGLVKCILSLEGSKL >CAK8575853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337482349:337483816:-1 gene:gene-LATHSAT_LOCUS28081 transcript:rna-LATHSAT_LOCUS28081-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNVPHLVLIPPPFDGHMTPMLQLATVLHSKGFSITIAHTHFNSPDPSNHPNFNFIPFFDGLSDTQITSKNFIDITSTLTTNCVSPLKETLIHHMAKLEKHDEKIACIIYDGFFHFIDSLTKELKLPSIVFRTTSATNFLTYHVCAHLQTKGYLPLQDSMSLDLVPELELLRFKDLPIFNLTNPHDFLHSISKTLSITPLGVIFNTVESLEDSSLNHLQHLYNVNLFPIGPLHMIANEFDNISILREKENCISWLKSKPRKSVLYVSFGSIASWEEKELTEMACGLVKSRQNFLWVIRPETVTDVSVWLESLSEDVKLGVTERGCIVKWAPQSEVLAHEAVGGFWSHCGWNSTLESLCEGVPIICQPYFGDQRVNARLLSHVWKVGLEWCNVMERDEIDKVIRRLMVNQEGKELKQRATELKHEIRLSVNGSSTDALNGLVKCILSLEGSKL >CAK8569268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:689541540:689543239:-1 gene:gene-LATHSAT_LOCUS22143 transcript:rna-LATHSAT_LOCUS22143 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSVLSDRLRINGSLARRAIRDLMAKGLIRLVSSHSSQQIYTRATNT >CAK8570358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39124029:39126015:-1 gene:gene-LATHSAT_LOCUS23111 transcript:rna-LATHSAT_LOCUS23111 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAQVIAFQPAISVKNSKSFVIPMSVITTFPRIQNISIRDEPSFRLKSLKPLRAGGKGKMGNNDEDSPWKSLSNAIDKFKGQSIEEVLRKQIQKGELFDNGESGLKPPGGGGAGDGGGGDGRPDGRGGSEDEDYDKRQVIFATGALLSLYIYLIKGELIRALFLDFLKYMVGRGQSGRFQDIMIKLGKLYRGTKQKKVTDEHFLEKAILGTQTWWYDPNDFRRAVRNYYASDEDE >CAK8572700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557069202:557070520:-1 gene:gene-LATHSAT_LOCUS25233 transcript:rna-LATHSAT_LOCUS25233 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEMAVSVKSEEDVVGCVGGGGGGSSSLLNGFQFSSVFDFYEVEKSSPLGFMELLGVENYSSLLDVPQLSTMSSMSHHQTTVKSSCDTNGKECSEVLNQLPQTPNSCSISSASSEAVNDEQHNKTLVDQVQEDDDEEEKQKTSKQLKTKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSYTDPTIVVTTYEGQHIHPSPATSRSAFAGVQIPPPNAVSGGFPTNFGSVLQGNYLSQYHQQQQQQHQHQQLLVNTLSSLSHPYNNPFTQERKLCNPGTSSFLRDHGLLQDVVPSHMLKEE >CAK8572509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542308081:542313602:1 gene:gene-LATHSAT_LOCUS25068 transcript:rna-LATHSAT_LOCUS25068 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGNLAEVDNKEDGACHRWTLCKHTFYDLAHVSPVVFMFMLKECYYYGNCKATVKFRALQEQLCLVLRNDPKPGPATFIVQCLYVSPMFDNQSQGFTHLVISAFRRFMKKSTSTVTEEDSLDVKNSAAYLLVNIIRGQIKHDEMIVMKILETFDVKLTNVENALCQNKEKDDLSCGMAKELIEQYTFELVKSQLYTIAVTLMEHFSISNYGQSFFLEMIKSNQFKAAEKWATFMGKAMLSILVEEFVKRNMLKDAYALIKKNNLKQDFPEVYKRCKESSLKSLAEKGCWDVAEARVNNDRQLMEYLVYLAMEAGYMEKVDELCERYSLDRFLNIKELETSIPQGRYLQREELMIEDIIWVDEAESLLDATCQIEDAKVIGLDCEWKPNYVKGSKPNKVSIMQIASEKKAFILDLIKLHKEVPECLDNCLTRILLSPGILKLGYNFQCDIKQLAHSYEELKCFKNYKRLLDIQKIFKDPHGGLAGLAQKVLGAGLNKTRRNSDWEQRPLTPNQLEYAALDAVVLVHIFRQLPDQGDEWKSCIESHMENTKIKTKKYKKRTKT >CAK8541200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:88436627:88440792:1 gene:gene-LATHSAT_LOCUS10136 transcript:rna-LATHSAT_LOCUS10136 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLILLLLVILGLAVEAAYKAPRRIHTLFSVECGNYFDWQTVGLMHSFRKAKQPGHITRLLSCTDEQKKSYRGMHLAPTFEVPSMSVHPVTGDRYPAINKPAGVVYWLKHSKDAEDVDWILILDADMIIRGPIRPYQIGAEKGRPVAAYYGYLRGCDNILAQLHTKHPEQCDKVGGLLAMHIDDLRALAPMWLSKTEEVRLDKAHWGENITGDIYEKGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYAPREGVEPILLHYGLRFSVGNWSFSKADHDEDDVIYNCGRLFPQPPYPREVNMLETDPNLRRGLFLSIECINILNEGILLHHASNGCPKPPWSKYLNYLKSGTFAKLTRPKYATPATLEMMDDKIQEQVDHDSSRPYPKIHTIFSTECSSYFDWQTVGLMHSFHLSGQPGNMTRLLSCSDEDLKLYKGHNLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHANIDAEFIVILDADMIMRGPITPWEFKAARGRPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADRAHYARNITGDVYESGWISEMYGYSFGAAELKLRHTINREIMIYPGYAFEPSIKYRVFHYGLQFSIGNWSFDKAKWREIDIVNKCWAKFPEPPDPSTLDHDNEKRRQQNLLSIECVKTLNEALHQHHERTGCSRGNSLFPSKGDTKDESVISKHMLANDSEELESVHNERMGIPSSFRFWVLFLCVFSGLGFLVVIFWVHSGQKRRGMKMKHHRVRRRSLYP >CAK8560318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14241389:14243806:1 gene:gene-LATHSAT_LOCUS14002 transcript:rna-LATHSAT_LOCUS14002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYSSPGGTWTMIPTPNSNSQIQSQSQSHSQSNQDPTLYLQQQQQQFLQQQQQSFQQTQTSQSQFQLQQQQQQQLYQQQRLLQQQPQPQQPQPQQQQPQPQQQPPQQQQQQQQQNLHQSLASHFHLLHLLENLAEVVEHGNPDQQSDASIAELSSHFDKCQQLLNSISASISTKAMTVEGQKKKLEESEQLLNQRRDLIANYTKSVEELVKSDP >CAK8534462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728617232:728619820:-1 gene:gene-LATHSAT_LOCUS4007 transcript:rna-LATHSAT_LOCUS4007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVENQRGYFRDEDGVIDRFPVGMRVLAVDDNPTCLMVLDKLLRQCKYHVTTSTNAIDALRMLRRNRNNFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSGHSDTNMVFKGITHGACDYLLKPVRLEELKNIWQHVVRKKKTNSSYQNKASNEDRVVNIPGGKSQNILENSGDQNKKIGKRRKDRDEEGEEDEEGEEEGEGTGDEDDPSSQKKPRVVWSVDLHRKFVCAVNQLGLEKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKKAAHQANMVAAFGGGNDSYLRMSGIDGYADFCTSPGSRRISSTTLPSYSSSGLFGRLNSPASFNMRGISPSTLIRPVQSQNTNSSLSKLGNLQSSSMIFPANQSSSLLHSIPTEHNPVDSTVFGVTSGFQDSRANNCSLQVSNHHILFRGNSRPTDNAGTYRNQPSLGSASLANTNVGICGPSNLLDYNRCTENFESEALLKFPASSLPVCRSFNTDQMPPTSITAFNQISPIGNNPVDFSSEEAINVTLKDARDVLTRCQEGGGGFTGNIMQPSGYSPRQRWEEHKLDYSVNMSRPFIMQESNETALMDGEMGFYDAYPIGSCI >CAK8541248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:96962810:96963703:-1 gene:gene-LATHSAT_LOCUS10180 transcript:rna-LATHSAT_LOCUS10180 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISFASTGAGFILLGCLQSLRASQPNKLNKLNKLFHITLSIFTSFITLSSIISILNAYTSNDAVALPLHLQTLPISSLFLIHSLLSLFLPFLPSPLLNLITAFGFAEEFLLFYLQRKDPTGIENRYYDLLLVPIAICVFSTLLDLGSYESNVPKLGRGIGLILQGTWFVQMGFSLFSSNFVAEGCNLHLFSKGNYTLRCKGHPEYHRARAIATLQFNCHLALMVVVLVAFYSFTCGKIGDLGRSSTEGLKYTHLGAEMHSLENFTLDSDDDQEVKDGSVGSEKVINGVNGNSSYH >CAK8573210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593738343:593739773:1 gene:gene-LATHSAT_LOCUS25683 transcript:rna-LATHSAT_LOCUS25683 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLQTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGGPKITKSALKKK >CAK8577771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587638613:587639359:-1 gene:gene-LATHSAT_LOCUS29845 transcript:rna-LATHSAT_LOCUS29845 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSYKASSSSSVPIIQPPKPSQNNETTFLVEEETVKEVLTETPKPEPPKPHRNIKAFHKFTEEEDTKVHEKQALFINKGYNTSEIYRLRKTIPATTPEKAGKRVNGSPIKLLKNCSFPGDIDDRRDRMVHGSRKLGSVRLIQCRDQPGQKMVKEGIRRRREPGENSCRQSRSPVTRVDTGDARSFVSRSPPVRRTNRSPVARARTTLPERGRRQTEIPAMESKRSCNNESLENPLVSLECFIFL >CAK8579354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693481851:693487742:-1 gene:gene-LATHSAT_LOCUS31306 transcript:rna-LATHSAT_LOCUS31306 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPATIVVLPLGTLFILSGLIVNVIQVVIYVLFRPVSRYHYKKVNKVLTEVLWLELIWLVDWWAGVKIELYADSETFKLLGKENALLICNHRSDIDWLIGWVLAERMGCLGSVVAIMKNEIKYLPVLGWSMWFADFLFLARNWAKDEQTLKTGFEQQVRNPVPFWLALFPEGTRFTQTKLIEAQEFARSRGMPIPKNVLVPRTKGFVTAVMHTWTFVPVIYDCTFIVSKTEPSPTMLRIFQGIPCTVKVQAKRHKMEELPETADGIAQWCKDLFVAKDALLEQYNTTGIFSEFELQESCRHKRSIMVVLSWSCLLGFLLYKFFKWSSLLSTWQGILLTVVFLIIVSAVMETLIHSSQSESSKPADLPIQNPIKQRLLHR >CAK8532883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:542726283:542730981:-1 gene:gene-LATHSAT_LOCUS2545 transcript:rna-LATHSAT_LOCUS2545 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVATRSIQTTLPSSGSTHDRAQKLLKPSTFASKVFQPKGNKSSKLVFRSSRISARKSASVEVVPVSPEDDPKIEEHLQRLRGVQPLGDTSNGIWSKPTVKRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPINLATGQEFTFTIQRGVGTADCVSVNYDDFVNDVAEGDMILVDGGMMSLMVKSKTETLVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAEVVHELKNYLKSCDADIHVIVKIESADSIPNLNSIITASDGAMVARGDLGAELPIEEVPLLQEEIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATIEGGQMPPNIGQVFKNHMSEMFAYHATIMSNTLGTSTVVFTRTGFMAILLSHYRPSGTIFAFTDEKRVQQRLALYQGVCPVYMEFSDDSEETFRRALDFLQKQGMVKLGEEVALVQSGRQPIWRFQSTHNIQVRKVE >CAK8533946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674560678:674562455:-1 gene:gene-LATHSAT_LOCUS3531 transcript:rna-LATHSAT_LOCUS3531 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGSAVVGDTNVNYALVEELLGVPLERGDRKGQSIKITWLKRNYNALNLTNESPEEQKLYKTRMYLLLLFACCLFPDTNGNTIHLQYLPLLEDLNEVSRYSWGAATLAHLYRNLCRCAMKNVHNFVGCGVLIQAWGWSRMPRLSPSNPNPYHFPYATKWSAYGMNYEKTPHHCAPGYWTFFDHFEEDDFIWRPYLELEDEDPTESDMWSSTTFIFSFTYVEMHHSDRVKLQFGIKQDIPGPPTCMEMYHKSTANDQWKFDNWRDHNIQEHQHWINCRRTVLRGNVMDTECKPSREYMRWYRSVTNLYLSQNRYLWDPRNQPTSSNIQNQPTPSNFHNIPSMTCNTQPPIFNTPQPIFNTPQQLFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTLSYPQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTISQDQNPYTPYITNAPPPLNPPSWSNEGTRISYGSAAAIPNDDDFSDDLVASFMNPNNDAGPSTQPQNVEVDRRRSTRNVQAPACGTHQRLHRPGRN >CAK8538897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499173990:499174121:1 gene:gene-LATHSAT_LOCUS8046 transcript:rna-LATHSAT_LOCUS8046 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNTLSSFTIMASFLFLLLLFKIVQRWNNSKNSYPNLPPGP >CAK8530422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20152541:20153497:-1 gene:gene-LATHSAT_LOCUS288 transcript:rna-LATHSAT_LOCUS288 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNSSYSGSDYGEYNFNSYTLNYNYNQVPSNYDYNQPNYGYDSSSYFSDPSYSYHSYNNHQTIAYSTTSFSDPKYLVYDPNYGMTQLVLSYSTLEFNEPAFDEYDPTPYGGGYDIVETYGKPQPPSEKICYPRSASTSNPIPVPTPIPIPVLVPVPSEDAKIEEKVIKPQNETIDQIAVEKPKTQDNTIKETIEAYEGEEESEDSDYSKENDVEEDDNDDNNSGSSYEKEVTSKYEYPSGYGLETVDICESLFGYWPCLAKMKRENCCNGGINRENHCQENMWKGTADYLFGNPYPYGGRRDDDYGGEFFYDYERH >CAK8574413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680761414:680761568:1 gene:gene-LATHSAT_LOCUS26764 transcript:rna-LATHSAT_LOCUS26764 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDRSARSKATYAW >CAK8564021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646667536:646672909:1 gene:gene-LATHSAT_LOCUS17369 transcript:rna-LATHSAT_LOCUS17369 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDATNWNEDAYRETVLKEREIQTQTVFRTAWSPSQTPNLDSLVVASSDGSIASYSVASTVSASKLKNPFGFINADSDNLLAEPDCFFQAHDGSAYDVKFYGDDDNALLLSCGDDGRVRGWRWKELASSKYHITSEGNNIKPVFDVVNPQHKGPWGSLSPLPENNAIAVNTQAGSVFAASGDSCAYCWDVETGKLKTVFKGHSDYLHCIVARNSSNQIITGSEDRTTRIWDCKSGKCIQVIDPAKHLKLKGPVSWVGSVALDASESWLACGSGRNISLWNLPASECTLKFITQASVQDMLFDNNQILTVGADPILNRFDMNGEILSQIPCASPSAFSISLHPTGVIAVGGYGCLVDVISQFGSHMCTFRCQCV >CAK8572228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523233725:523236521:-1 gene:gene-LATHSAT_LOCUS24816 transcript:rna-LATHSAT_LOCUS24816 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMKPSSSRPTVLVAESKTKISCLYAILPAALLLCVVLFFTNSIFSQSYKEKLSSFTINQSMGSSSSCKKKCRPIGSEALPEGIVASTSSLEMRPLWTPPHFKRGQPHDQEPHVKRNVSTNLFAMAVGIKQKDLVHKMVKKFLASNFSVMLFHYDGVVDEWKKFKWHGRVIHISVVDQSKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFHPKKYVSIVKEEGLEISQPALDSKLSEVHHQITALGRRSKVHRRTFKAAGSDGKGCDESSTAPPCTGWIEMMAPVFSKAAWRCVWYMIQNDLIHAWGLDMQLGYCSQGDRTKNVGVVDAEYIVHYNRPTLGGVDKTTVSVSGSSQEKDHRVDVRRLSYQELDIFRKRWEKAVEKDKCWVDPFE >CAK8572188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518355657:518356409:1 gene:gene-LATHSAT_LOCUS24780 transcript:rna-LATHSAT_LOCUS24780 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQRQRIHPMDVEARPHPRMHLVPPGSSRSEKGIPVPSPLLHQHAMRAINSSQPKRSCFCRCICCTLTLLFLLLIILAASVGALYLIFKPKLPNYSVDSLRITDLRLNLDLSLYAKFEVKITATNPNKKIGIYYEKGGKLSVLYTNTKLCEGSLPEFYQGHQNKTVLNVSLTGQVQSGSTLMSALQQQQQTGRIPLDLNIHAPVAIKLGRLKLKKVKVLGQCQLVVDSLSSNNLISIKASNCNFKMKV >CAK8569217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683166841:683170233:-1 gene:gene-LATHSAT_LOCUS22096 transcript:rna-LATHSAT_LOCUS22096 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQGPSSGSSSSSGFQLLNSPFGDTTYTKVFVGGLAWETQSETMRRYFDQFGDILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADPSPVIDGRRANCNLASLGRPRPPIPYGRIRPASPYVGSLQPARGAYVGSYGYQQPLSYGYQQGMVYPPYGYTAYGPEYVYPQSMYNPYVGQQYLQIYGVPGGANTAIYPYGQVGQAIPGGHGYTAMQGYTVPAHQIVPYGGSNVNAMTTSPMPAIQASYPSGIGAPVPGQQFIVSPQFIQGSGPDQTAG >CAK8543305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:598915860:598920195:-1 gene:gene-LATHSAT_LOCUS12071 transcript:rna-LATHSAT_LOCUS12071 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATLGLSGSIHDNTALDSVQFNDEIQGIMVPAPAPENASSFTALLELPPTQAVELLHLPDCDGTDSRRLPCCHVSVNQKPYLPSSSDGNNLTFPTNAALIERAAKFSVFAGDISTREARLFPAVSGANLESVKNEPQETDSNPCSTQECVSDPAVENKNQRTAKRKEREKKAKANSKKSKSIADETSGDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCDKISGTALVLDEIINHVQTLQRQVEILSMKLAAVNPRIDFNLDRLLATDGPSLMDGILPSMAMPLVWPEIPLSNRQHYQQQWQVDDAFHQPLWGREEDTHNFMTQENSLLSYDSSANSASLHSNQLKMEL >CAK8532914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549353077:549354943:1 gene:gene-LATHSAT_LOCUS2575 transcript:rna-LATHSAT_LOCUS2575 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPTKNLRDSFKLSYIKNLEWNYQRMEKDRQRQSSTQQKLLEDDNNNVVDTPQTGVAASICHELLLILSCCYCCFCCGACVDEK >CAK8542308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500814324:500817591:1 gene:gene-LATHSAT_LOCUS11155 transcript:rna-LATHSAT_LOCUS11155 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAADHGNGGNGDFRYKVWSMTGGPNCRPKHWKRNTAIAMFGVFLVCIPIFKLSAKLEQRPHHPVRPIPSQIWCKNFGTKDYKDYE >CAK8544742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703762630:703763538:-1 gene:gene-LATHSAT_LOCUS13397 transcript:rna-LATHSAT_LOCUS13397 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNTPIKIIAGADDFGTPLKDALISHLRSLNIEIEDLGTSSYYSAGAEVGRRVSQSASSSSPEVRGLVVCGTGAGVSIFANKFPGVFATTCLTPSDAVNARSINNANVIAFSGKYTSKETAIEIVKTWLNTPFKAPCPANDNKPWPEDIENFLDKSLVEMPEIGKGEPVDTCAVCCLVKNRELNPIDLIPGGSMKIVRETPTSAFVRFKAGSVEPAHHHTFGHDLVVLEGKKSVWNLTKEERYDLTVGDYLFTPAGDVHRVKYHEDTEFFIKWDGHWDMFFDEDLDTAKIAIDKELSIAK >CAK8568139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576138602:576139443:-1 gene:gene-LATHSAT_LOCUS21130 transcript:rna-LATHSAT_LOCUS21130 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNALVLCFFLAFNMALPSLATVYTVGDASGWVIGGDYSTWASDKTFAVGDSLVFNYGAGAHTVDEVKESDYKSCTSGNSISTDSTGATTIPLKKAGKHYFICGVPGHCTGGMKLSIKVKASSGSSAAPSATPSSSSGKGSPSSDDTPATTATTTTPTKQNESSATSLSPIVALFFTVSWIFSYILV >CAK8530309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13957884:13959671:-1 gene:gene-LATHSAT_LOCUS184 transcript:rna-LATHSAT_LOCUS184 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSKAKQSKNSVASSTSYHNFFSSKHLMEKKLSCNGCKQEFVVPTKTTTYRCYQCKGVSKSRENSSGAKLVFQKQLDRASRILSRENSLGAKLVNQKKLDHASRIGSGYSSSSFSTTIIGNKRAVLCGVSYSRRSRYRLEGTINDVVNMKSLLVDNFAFPIQSIRVLTEEQKDPNFTPTRKNIMESLKWLVKDCKLGDSLVFYFSGHGMQQPAHDKEDEIDGLDETICPVDFIRSGMITDDEINSTIVGPLKRGVKLHAFIDACHSGTTLDLMYVYKKVIGNWKWMDNCPNNTQSVTKSTNGGMAICISACEDYQIAADTAAFGGKQMNGVMTYLLTKIIREHSGITYAGLLEKLHDEIGKIHQSKHFNRILKRIFRSKIDQDPLLSSSAIFDINTRISL >CAK8561419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203945325:203945762:1 gene:gene-LATHSAT_LOCUS15014 transcript:rna-LATHSAT_LOCUS15014 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWRMLKDEPKWKGQEMKNSSKRSKISSTGTYSSSSNPENPIDCSEYNSATQTDRPAGQKAEKRKGKGKASSSKTPIVDLTGMERASENKLAIYGKIVEAKMAESIPVLYEILMKDKSAMDDEQRREHEEICQSIKEKYFKRS >CAK8564458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675559205:675559660:1 gene:gene-LATHSAT_LOCUS17762 transcript:rna-LATHSAT_LOCUS17762-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSQKQCMSVLFLVLALSITNVMSRKLQQSTSSPSLEERHEQWMTEYSKVYKDDAEKDKRFIIFKDNVEFIESFNAANNKPYKLSVNHLADLTVDEFKASRNGYKKRSTSTGLTSTSFKYEDVTSIPSSVDWRVKGAVTPIKDQGQCGK >CAK8564457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675559205:675559887:1 gene:gene-LATHSAT_LOCUS17762 transcript:rna-LATHSAT_LOCUS17762 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSQKQCMSVLFLVLALSITNVMSRKLQQSTSSPSLEERHEQWMTEYSKVYKDDAEKDKRFIIFKDNVEFIESFNAANNKPYKLSVNHLADLTVDEFKASRNGYKKRSTSTGLTSTSFKYEDVTSIPSSVDWRVKGAVTPIKDQGQCGSSWAFSTVAATEGINQITTGKLVSLSEQYTKETTGLCHKTYLKLH >CAK8566415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420872071:420873172:1 gene:gene-LATHSAT_LOCUS19547 transcript:rna-LATHSAT_LOCUS19547 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCTTMPLILRPPRNTICGACYEGVRSIINMMNGLESDKTKAITNQNDSPVSRRNSSKTLDDCIRLCSEQIEKFNQQKQDLGFLRGFLAAFKEQIHTDILISPGNHGPSIPAHKSVLAARSEIFKNMLESDECKAAPTCNTITIPDLNHEELESLLEFLYSGTLALEKLEKHVYALSQAADKYIIPHLLKYCERFLLNSISSSNAFETLEIADTCSNQNLKETAFNFLVKNIEFMVSSPKFEAFVHRCPHLTVQLVTRAFVNGSK >CAK8578843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657984551:657985342:1 gene:gene-LATHSAT_LOCUS30826 transcript:rna-LATHSAT_LOCUS30826 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFDFKCFILNKGIQSGLLTYRSGSGDTSAAVASEESGDTFFRFNFFLLQFFVCVVDILPTRCIYVAMFVNCRRCEMLHLLKFQKRVVCFIRRAS >CAK8562650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:521583600:521585556:1 gene:gene-LATHSAT_LOCUS16129 transcript:rna-LATHSAT_LOCUS16129 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLRSSLSFIIQKETRFLKSYDDVSATLTFAKVKPSFNLKAKSSLQVAHTFKSEVKVNEKYEKVHAPSIVHSNHDESKRVPVFVMLPLDTVTMGGNLNKPRAMNASLMALKSAGVEGVMVDVWWGLVEKDGPLKYNWEAYAELVQMVQMHGLKIQVVMSFHQCGGNVGDSCSVPLPPWVMEEISKNPDIVYTDRSGRRNPEYISLGCDSVPVLKGRTPLQVYADYMRSFRNRFSDYLGSVISEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCYDKYMKASLAAAAEAIGKKEWGKGGPHDSGQYKQFPEDTSFFRKDGTWNSEYGQFFLGWYSGKLLEHGEKILVSAKEIYQNSGVKLSGKIAGIHWHYRSRSHAAELTAGYYNTRHNDGYLPIAKMFANHDVVFNFTCMEMKDREQPDHANCSPEGLVHQVKTATRKARIELAGENALERYDAGGYAQVLSTSMSDSGSGLAAFTYLRMNKKLFEGDNWRHLVDFVRNMSEGGRRQRLPDSDSRGSDIYVGHIKKTKEHKQEVEAVVV >CAK8572197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519525557:519528098:1 gene:gene-LATHSAT_LOCUS24788 transcript:rna-LATHSAT_LOCUS24788 gene_biotype:protein_coding transcript_biotype:protein_coding MTINEGVLKEPEWRIKVEDGVIWKTAETPHIMWTFIASLYFKLCEFMKKAWEIGVNDPRKFIHCLKVGITLTVVSLFYYLNPLYDRVGGNAMWAVMTVVVVFEYTAGSTIYKGINRICGTTLAGLLAFGVHWVCSKAGEKFELVTVGVLLFLLASAATFSRFIPIIKARFDYGVMIFILTFSLVSISGYRIDELFDVAKARISTILIGTSSCIIVSLIIRPVWAGLDLYVLVIRNFDKLADSIQGCVAQYFEEQTSDEESNKKMIGYKSVLNSKATEEAMTNFARWEPPHGRFNFRHPWKQYLKIGATMRRCASCIEALNGCINSENKASYDIKKTMSAPSMKVGANTASVLRELAITIKTMTKSNKLDILVIEMSNASQELQNILKSYPITLNKNGTEVSYDEGKVEIPIMEIIQVVSVVALFNEIVVRVKDIVKCVEELSNLAKFEPAELSKCNKSKQHLTDNKISPEQQNDEETK >CAK8541324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:120529300:120529829:-1 gene:gene-LATHSAT_LOCUS10253 transcript:rna-LATHSAT_LOCUS10253 gene_biotype:protein_coding transcript_biotype:protein_coding MVMREGSVVQSGKYDELLKAGLDFGALVAAHESSMEILETSDNSNDDSSQFPKLACIVSKEKESGVEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFGWWGIALIVAMSAGWVLSFLTGDYWLAIATSDGFS >CAK8570086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23358271:23360848:-1 gene:gene-LATHSAT_LOCUS22869 transcript:rna-LATHSAT_LOCUS22869 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAILFVACGEQHLLPTAMTTHITFLRYLNRTIQSINQRFAHIFCSQDEDVKHVRILREDSFERKCQLNAQDCSLHDKNFGGEKKGQHAVFNVLDTMLISSLERLKIMRENISLVKIGLQGYARECNNAKNAATIRFLCLEGKLVAALRLRRRMAQTGILPDVYTHNHIVNGLCKFGLLEKAGWLVREMSDSGPHPNCATYNTLIKGYCAVNSIKKALDLFTTMSYTGIQPNRVTCNILVHALCEKGLLTKARKMLEEILNDDNGKVILDLVASTIFMDKYFKNGEINRALGLWNEMIQKCTRVDVVAYNVLINGLCLNQQGNLAYGYACEMLKNGFTPDVFTYNILIGALCKEGEISEAGYILGVMSKMGIMPDQISYRIMIQGLCLNGDIVRAKDLLLCMLNNFMVPKPIVWNLIIDFYGRCEDLRNAFFTRDLMLAFGVLPNVFTYNALILAQLKSGNIHDARYLKEEMPTKGLRPDVVTYNLLIGGACNIRDIGLARQLYDEMMQKGCEPDLITYTEFIRGFCIRGNIKDAEELYARILKSGLLNDHVPVQILFNMYCKLGEPIKAFNFYQDWLESKQDSNCG >CAK8535117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815043648:815046401:1 gene:gene-LATHSAT_LOCUS4594 transcript:rna-LATHSAT_LOCUS4594 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHLTITMFFFLLTLLTISSFSSSQSQPSYKDHCSSIIQPSPPRDTTIITHSLFPLGGYFTGGANIIHDQNSFNRYSSSFLLRHINLHETINSDLFKIESTVSFRTSPNTVYYHVRNFTYGDKPSYRSQRHFKTSFVTFRLEGFWSNSSGKVCMVGTGSAYSKTGDSLNLEAVFKLNNVFSSSNITSLISGSLESLSSEKNQYFETISVIMFPKANYSYSLDSKEAENEFPLEKKGLSLNLHSSSFCSFPLARAIRRLQLEYNHECSSSKNCTSTISDQLPYMMSLKVAECSLENKHRLKVMMVFSNKSDYWIEKGFNPKTMLVGEGWWDEKRNSLCVVACHFIGITKSSLNEARIGDCSVRLSLRFPSVWSIKNTNNIVGEIWSNKSTNDSNYFKKIAFRNFENDRVGYRATKYEYSQLERVKKSCPAHNKILKNKGRTRFPNVYSYDMRFDMSVRDRESRRRIAFGFSNPLSVGDQVYDIDQNNNLPVSSFTAASPMPMIILNNGSVNSFNISYKITIFSNSTFEERDSVFNLSSYRVKISAEGIYDARTGTLCMIGCRDLNSIAGTEITDYMDCEILLKFHFPPLNANNGTYIQGSIESTRKKSDPLYFKSLEVSSSAIYSETAIKAVWRMDMEIIMVLISTTLSCVFVGSQLYHVKRHPNVLPFISIFMMSILTLGHMIPLVLNFEAVLAQNPNNKNFVFGYVGWLEVNEITVRIITMIAFLLQFRLLQLTWSSRKTSESESNLWIAERKATYVTFPLYAAGLLISLLLKLRNDGFQHDSSWENMKSYGGLVLDGFLVPQVILNLFSNMNENVLSSSFYFGTTFVRLLPHAYDFYRMRNYARLVDGSYFYANPNADFYSTSWDIVIPLGGVLFAILIYLQQRFGAQCVLPHRFRGPKVYEKVPTVTESEVEM >CAK8571485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429534268:429535486:-1 gene:gene-LATHSAT_LOCUS24147 transcript:rna-LATHSAT_LOCUS24147 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPWLKPLLKTTFFNVCRIHSDAARSECNMFCLDCNIDAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVGEIQKMLDISGVQTYVINSARVLFLNERPQPKSGKTGAYICEICGRSLLDHVRFCSLGCKLVGIKRNGNASFVLDANNNEISTMEEGMSRRSISSRQEEEELREGSQQSMYLATPPSNARRRKGIPHRAPFGS >CAK8575094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28383519:28384389:1 gene:gene-LATHSAT_LOCUS27383 transcript:rna-LATHSAT_LOCUS27383 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKTAQIRLVSSHDEVYEPCDDSFALVDALLADRTNLLEHQPTLCMEIGCGSGYVLTSLALILGQEGNGINYIATDINPHAVKVTRETMEAHGVHAELIITDIASGLENRLAGLVDVMVVNPPYVPTPEDEVGSEGITSSWAGGENGRSVIDRILPVADNLLSKKGWLYMVTLTANNPSEICGEMRKKGYASKIVVQRSTEEESLHIIKFWKDLDIEIEEINDQSSFMGSLLAQIPLLSLWRSNDTEKKC >CAK8575906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:353633469:353636815:1 gene:gene-LATHSAT_LOCUS28131 transcript:rna-LATHSAT_LOCUS28131 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRINTARSIFSSLFRINSSCSYSTSFLFDDTQIQFKESVAQFANENIAPHASKIDHTNYFPQEVNLWKSMGEFNLHGITVPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGSHAQKEKYLPKLISGDHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGTVAQTLVVYAKTDITAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPDENVLGKEGKGVYVMMSGLDLERLVLSGGPLGIMQACLDVVLPYTRQREQFGHPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >CAK8567107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487760660:487762269:1 gene:gene-LATHSAT_LOCUS20190 transcript:rna-LATHSAT_LOCUS20190 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVETTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMSSRAEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCSERALDWGPSGGPDLIVDDGGDVTLLIHEGLKAEQLFEKNGTLPDPSSTDNAEMQIVYTIIRDGLKTDPKRYHKMKDRIVGVSEETTTGVKRLYQMQANGSLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKIAVVCGYGDVGKGCAAALKQGGARVIVTEIDPICALQALMEGLQVLTLADVVSEADIFVTTTGNKDIIMVSDMKKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPENNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQIELWKEKTSGKYEKKVYVLPKHLDEKVASLHLNQLGAKLTKLSKDQADYISVPVEGPYKPAHYRY >CAK8543138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582186274:582186868:1 gene:gene-LATHSAT_LOCUS11920 transcript:rna-LATHSAT_LOCUS11920 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSKLSQVKDDSKNALSLENLSEEYVTSYNDVTQILINGLSSRKVRATTLNSKSSRSHIIFTIVIESWCKGASTNGFSSSKSSRICLIDLAGQDKNKVDGAGKQCLREISWRKKKQEVCN >CAK8572216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521633086:521642333:1 gene:gene-LATHSAT_LOCUS24806 transcript:rna-LATHSAT_LOCUS24806 gene_biotype:protein_coding transcript_biotype:protein_coding MTVADSDFPIDNGASCLPISPEEEKRIVSELIKISELNLKEGNLYYVISNRWFSRWQMYVGHCPGMLSTDQQSSDGLHVDAVRPGPIDNSDIISNGSNCDGNDLDIHRTLQEEIDYVLVPQEVWERLLEWYKGGPELPRKLISQGVGQKQYSIEVYPFSLKVTDARDNSVSIVKLSKKATMSELYELVCKVKGVEQNKACIWDYFNLNKLSLLTASDHQTLEDANMTMDHDILLEVSVDTDHSSHSGVHSIGNELALVPLEPPRSSVSIAGGPTLSNGHSTGSGFNLYQGSSVSSSLTTMDDNYDIYKGERGGLAGLQNLGNTCFMSSALQCLVHTPPLVEFFLQDYNDEINRDNPLGMSGELALAFGDLLRKLWSSGRTAIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDSRPDEEVAYECWKNHMARNDSLIVDQCQGQYKSTLVCPECGKISITFDPFMYLSLPLPSTVTRTMTVTVFYCDGSGLPMPYTVNVLKHGCCRDLCQALGTACCLKSDEMLLLAEVYENKIYRYLENPLESLTSIKDEEHIVAYRLKNGARKTKLEIVHRCPDNVKGGDRKIFGTPLVTYLAEDPQYGANIEAYVHRMLAPLRKAHSSTRSHEGKENGFISGGSDEQSSISNFESEPRNLTHGSREQEGTSCGESSFQLALTNDGCFSCEPIEKASVIKPSAHIKVFLDWTEREYELYDASYLRDLPEVHKTGFTVKKTRQEAISLFSCLEAFLTEEPLGPDDMWYCPQCKEHRQATKKLDLWKLPEILVFHLKRFSYSRYLKNKLDTFVNFPIHNLDLTKYVKNKEGQPYVYNLYAISNHYGGLGGGHYTAYAKLIDDNKWYHFDDSHVSPVSEAEIKSSAAYVLFYQRVGSKNQMEGETS >CAK8572217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521633086:521642333:1 gene:gene-LATHSAT_LOCUS24806 transcript:rna-LATHSAT_LOCUS24806-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVADSDFPIDNGASCLPISPEEEKRIVSELIKISELNLKEGNLYYVISNRWFSRWQMYVGHCPGMLSTDQQSSDGLHVDAVRPGPIDNSDIISNGSNCDGNDLDIHRTLQEEIDYVLVPQEVWERLLEWYKGGPELPRKLISQGVGQKQYSIEVYPFSLKVTDARDNSVSIVKLSKKATMSELYELVCKVKGVEQNKACIWDYFNLNKLSLLTASDHQTLEDANMTMDHDILLEVSVDTDHSSHSGVHSIGNELALGSSVSSSLTTMDDNYDIYKGERGGLAGLQNLGNTCFMSSALQCLVHTPPLVEFFLQDYNDEINRDNPLGMSGELALAFGDLLRKLWSSGRTAIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDSRPDEEVAYECWKNHMARNDSLIVDQCQGQYKSTLVCPECGKISITFDPFMYLSLPLPSTVTRTMTVTVFYCDGSGLPMPYTVNVLKHGCCRDLCQALGTACCLKSDEMLLLAEVYENKIYRYLENPLESLTSIKDEEHIVAYRLKNGARKTKLEIVHRCPDNVKGGDRKIFGTPLVTYLAEDPQYGANIEAYVHRMLAPLRKAHSSTRSHEGKENGFISGGSDEQSSISNFESEPRNLTHGSREQEGTSCGESSFQLALTNDGCFSCEPIEKASVIKPSAHIKVFLDWTEREYELYDASYLRDLPEVHKTGFTVKKTRQEAISLFSCLEAFLTEEPLGPDDMWYCPQCKEHRQATKKLDLWKLPEILVFHLKRFSYSRYLKNKLDTFVNFPIHNLDLTKYVKNKEGQPYVYNLYAISNHYGGLGGGHYTAYAKLIDDNKWYHFDDSHVSPVSEAEIKSSAAYVLFYQRVGSKNQMEGETS >CAK8565149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:48515509:48530430:-1 gene:gene-LATHSAT_LOCUS18384 transcript:rna-LATHSAT_LOCUS18384 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGRNRKGSHPAAVAASTVVEESVQADAPPTDKVEAVTESATTDAAEVAAVGDATIVNSEASEKEAEKDGNQPKQGDLQLYPVSVKTQNGDKLELQLNPGDSVMDIRQFLLDAPETCFITCYDLLLLTKDGSTHQMEDYNEISEVADITTGGCSLEMVPAFYDDRSIRAHVHRTRELLSLSNLHASLSTSLALQNEIAQNKAANSGDTVKTEVPELDGLGYMEDISGSLSNLLSSPLKDIKCVESIVFSSFNPPPSYRRLVGDLIYLDVITLEGNKYSITGSTKLFYVNSSSANTLDPRPSKATSEATTLVALLQKISPRFKKAFREILEGRASAHPFENVQSLLPPNSWLGLHPIPDHRRDASRAENALTLLYGSEPIGMQRDWNEELQSCREFSHTTPQERILRDRALYKVTSDFVDAAINGATGVISGCIPPINPTDPECFHMYVHNNIFFSFAIDADLDKLSKKHTDGNSKTCCTGTSQNSSDKTSFVRPHGDSPVSNGGKDSSLEDDNSTEIIQDVSPEAQLAENEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKISWNEDFHTKVSDAAKRLHLKEHSVLDGSGNVFKLAAPVECKGIVGGDDRHYLLDLLRVTPRDANYSGPGSRFCILRPELINAFCQVQATDASKSKEINSQGEENTSTESQNATDADKPEEKTEKTEDVKEHASASSKVSVSKEDIVFNPNVFTEFKLAGSAEEIAADEDNVRKVSQYLTDVVLPKFVQDLCTLEVSPMDGQTLTEALHACGINVRYIGKVAEGTKHLPHLWDLCNNEIVVRSAKHVIKDLLRDTEDHDLAAAISHFLNCLFGNCHAFGGKLITNLTVSRTPKKEHAGHRSSGKNSKGQARWNGKTSLRKTQPSYMNMSSDTLWAEIKEFAMVKYEFELPEDARSRVKKVSVIRNLCLKVGITVAARKYDLSSPTPFQTSDVFDLRPVVKHSVPSCSEAKELVETGKLQLAEGMLGEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEQHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEDDSRTRDSQNWMNTFKMRELQLNAQKQKGQALNAASAQKAIDILKAHPDLIHAFQAAAVAGGSGSSGAAANKSLNAAMIGEALPRGRGIDERAVRAAAEVRKKAAARGLLVRPHGVPVQAVPPLTQLLNIINSGATPDAANNGNADGATKEQDVAKKEANGAQNEVNGPPSVDSTDAEKSVPVQEQAPVGLGKGLSSLDTKKQKSKPKAGA >CAK8564764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8953758:8954318:1 gene:gene-LATHSAT_LOCUS18034 transcript:rna-LATHSAT_LOCUS18034 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLLFLLLSLLSIATSTPTSFIKTSCSTTQYPTLCVESLSVYASTIQQDPHQLVQTALSLSLNKTQSTKGFVTMCKSFKNLKPREYAALHDCAEEISDSVDRLSRSLKELKLCKINGQDFNWHISNVETWVSSALTDETTCGDGFGGKALDGKIKASIRSRMVNVAQVTSNALSLINQYAANH >CAK8530395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18902588:18902899:1 gene:gene-LATHSAT_LOCUS263 transcript:rna-LATHSAT_LOCUS263 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRRQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQKKDKGKQVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISSPG >CAK8578204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612309595:612312750:-1 gene:gene-LATHSAT_LOCUS30237 transcript:rna-LATHSAT_LOCUS30237 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSVIWDFAKSLFRCTNAQVAYVYKLQENLESLMEKWEDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQKFEEKMKDIPNSQEVQSKQCLNGYFSKNCVSSYKLGKTIVKRLNDANGMLARVRAGNMQIALKQPPKPIDEMPFSETIGLDFMVQKVWNSLEDDTVDVIGLYGMGGAGKTTLMKRIHNELGTRDHSFDLVLWVVVSRDCDVNKIGNDIHKKLGIDEFFWNTSTHEQRVAKIYDRLKGKKFLLMLDDLWGKLELEAIGVPDPEKNNKSKVMFTTRSEDVCGKMQAKKKHKVECLSEKDAFDLFCKKVGDETLKCHTEIRKLAHEMAKECGGLPLALITVGSAMAGVKSFEAWMVAKNNLRSSSWTASDLEDKVFRILKFSYDKLPDKAHKNCFLYCALYPEDFEIDMDDLIDRWIAEGFLCRDDTSIFDMYIQGKSIIEKLTLSCLLNESIDIESFCYLERINRTVKMHDVIRDMALWLARDEDENKDKVVVQGEGFSISEIDSKRLNSIERISIINDKSFLEEFYLPACPNLITICFRSQRVSILSNLQFLKRLRVLDLSYTRSLEIISPEIGELINLEFLNISRTSVSSVPIELKKLKNLRVFLMEYMKGFSSNIFPLAVIESLEQLKVFRFSRSFVNVEDEISLLEELEALLKLEELTIQLTDITSMQRLFNSTKLRGCSRCLQLHYSGVDNKELDTVEMSSLLASMSEMTHLDYILLFGMHSLVDGSMVTNKFHLGKLRQVRIFICDSITHLSWLRYAPFLEYLVVYACISIEHVVKEAKDDEDVGSESMNDNIFTNLKDLCLHNMPKLLSIHKKGLAFPSLQRIRVTNCPNLRKLPFNSTFSSKDNLVAIQGSTEWWDNLEWDDTFIQHFLRPKFQHNKGMGIEFLDWYLNR >CAK8564691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7088532:7089746:-1 gene:gene-LATHSAT_LOCUS17969 transcript:rna-LATHSAT_LOCUS17969 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRRNTVRPSAPENESKHFMKAILPSPIHAKQIRIPQEFITRFGNELNNVATITVPDGRVWEMEVEKCGKEVYFCNKWQEFAEYYCIGYGCYLCFKYEGNSKFCVIIFDITSVEIPYPFKTTHGEANTMCLSPMKKSKVTTSESGAKQVKIMSNSASKRAEKVANEFNSNNPYFRCKINTGKYAYVAADFAAKYLKPNVPIKLQNSHGEQWEVFAGTHDAKSLVPMLIRRGFPKFKKDNNLMHGDYCVFELISEKPVVLNVTMFHAVDYGD >CAK8573746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634561169:634562868:-1 gene:gene-LATHSAT_LOCUS26154 transcript:rna-LATHSAT_LOCUS26154 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATASSLTTSLSSSPKSPFFNGRPIVTRTPTILKSTSQNNSISMSLTTPPYNLDSFKFQPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKNPNISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDEIGVDYDEQEDYVVIKHAALFTSTIMSKLLAKPNVKLFNAVAAEDLIVKEGRVAGVVTNWALVSMNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLKSIGMIDFVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGKNNAIDGTCESGREELVLASVDSEDIVDA >CAK8542187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487123756:487125066:1 gene:gene-LATHSAT_LOCUS11048 transcript:rna-LATHSAT_LOCUS11048 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKGRGQGRPPKNTVPPPSTTPPVSPAQQQLELRNHLASNTSLTEEGILEVETLDARTRKPNQEEMETATQSMDAIQAVIPKQPENGKPIHEGASEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKLEVVIENEDIISEVKFWESSLILYTMGVDLSMNAVKNFMTRNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKFKDEILRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILVEMDIRKELPQIITIGDNEGEKIQQPIEYEWRPLFCSKCQKVGHSCDKPKVTQQWKPKPAPQHVDNVKTVMDNTTKRIPRTEGNNNIVGDKVNSPAVENNAKGNTLGECPTDLVSKAADPPLENGVNIIEQVEAVMEKWIEVIRSGKDRGKP >CAK8537710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422472755:422474854:1 gene:gene-LATHSAT_LOCUS6974 transcript:rna-LATHSAT_LOCUS6974 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDVCTKDGTVDYLGNPANKRNTGTWRACPFILGNECCERLAFYGMSTNLVRYFKYQLHQHGATASKNAADWGGTCYITPLIGAFVADAYLGRFWTIFCFSIIYVIGMTLLTLSASVPGIKPTCYTKDDCHATHEQSAICFVALYLIALGTGGIKPCVSSYGADQFDDADEVEKDHKSSFFNWFYFSINIGALIAASLLVWIQDNVSWGLGFGIPTVAMAIAVVSFFSGTRLYRNQKPGGSPVTRICQVIVASIRKYHVDVHNDETLLYETQETFSAIQGSRKLDHSNGLRFFDKAAVLENSENLKDSRNPWKLCTVTQVEELKAIIRLLPIWATGIIFATVYGQMSNYFVLQGETMNTHLRKFEIPPASLSIFDTLSVIFWVPIYDRIIVPIARKFTGRKNGLTQLQRMGTGLFISIFSMLYALLLEYYRLKKVQDGISMPIFWQVPPYFIIGCAEVFTFIGQSEFFYEQAPDAMRSFCSALSLLTVALGQYLSSLLVTIVTKVTTMKGGPGWLPDDLNNGRLDHFFGLLAGLSVVNFVVFLVVSKLYIYKKSVGTVR >CAK8534324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716300676:716305065:1 gene:gene-LATHSAT_LOCUS3876 transcript:rna-LATHSAT_LOCUS3876 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSVKMREAHPPKGGRVSFCSVVWDQKAEHLVTASSSDASVSIHNPLLPSAAPKILRHHRDGVTALALSPNSTCLASGSVDHSVKLYKFPGGDFETNITRFTLPIRSLAFNKKGSMLAAAGDDEGIKLINTVDGSIARVLKGHKGPVTGVAFDPNGEYLASLDSNGTVIIWELHSGRNLHNLKGLAPDTGLDLSTMNVLCWSPDGETLAIPGLRNDVVMYDRDTAEKLFTLRGDHNQPICFLCWSPNGKYMATSGLDKQILIWDVDRKQDIDRQKFDERVCCMAWKPVGNALAVIDVKGKYGIWDNVIPSSMKSPTEDIPLQGKNSNGLLLFDEEDQDNSVSGSLSDLGENSNGEFEPPTSRKRLRKHSLSEENLNEDEEGIDLYPKVESHKKRNRSTKENLDNGNVEFRSTMVTSKAKMQEAFQSGATPVQPGKKRFLCYNMLGSITTVNHDGSSHIEIDFHDTGSSPRVPSMTDHFGFTMAALNENGSVFANPCKGEKNMSTLMYRPFSTWANNSEWSMRFEGEEVKVVALGASWVAAVTSFNYLRIFTEGGMQRNVISLDGPVVTASGFKDALALVTHASDCLSSNDQVLEFRLFNIPQGTQPLRGRLPITPGSSLCWFGFSEEGQLCSYDSKGVLRLYTSQFGGSWLPVFSAIKEKKSDENYWMVGLNSNKLVCVVCKKPESFPQVVPKPILTLKDLSFPLATSDLGSEALENEFMMNNMHLFEIQKKIEEMDNAGLDVSLLDDEAFNLEAAQDRCILRLIASCCTSDKLVRATELVKLLSLEKSMKGAIKLVTNLKLPNLAEKFNSILEERLLHEAKKTTETSLKEKSSAPIISDSLPSGSKASMPTETSKAYTVSSSKLSAPSFIKKNKTQDSTRDGVNKTTMVNESTNLKQTGESETSDKKGKEEMQAPPQSHGTFIKSEPGLVTAKRPSNPFLKSSIK >CAK8530516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26884587:26887037:-1 gene:gene-LATHSAT_LOCUS374 transcript:rna-LATHSAT_LOCUS374 gene_biotype:protein_coding transcript_biotype:protein_coding MYISGGSSSMGRSFKESLKILEADIHHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYVDGTTSMSTHERKASIREFYAIIYPSLIQLEKGVTDAEDKKQKVVCMERYRRRDDEEHKQSSDIDLEREEECGICMEMNSKIVLPNCNHVMCLKCYHEWSARSQSCPFCRDSLKRVNSCDLWVFTDSRDVVDMETVTRENLRRLFMYIDKLPLIIPDSLFDPYDSHLR >CAK8560117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8096033:8099760:-1 gene:gene-LATHSAT_LOCUS13828 transcript:rna-LATHSAT_LOCUS13828 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLARKSLLALRTRQMAVAGQGLHNPQNYGMRLSSHLYSTKLEDEEREKLSKEMSKDWSAVFERSINTLFLTELVRGLMLTLKYFFDKNVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >CAK8564714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7472204:7474296:1 gene:gene-LATHSAT_LOCUS17987 transcript:rna-LATHSAT_LOCUS17987 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEPHPPPNDLCSICHGTFQIPCQANCSHWFCANCILLVWRHTSGIQPCKCPLCRRPINLLVPTNDINNDSPQILSEIQIYNRTFGRQSDAPISQRILDLPFLLRRLFRDFVNPNNSLPLVIRARVFLSMILSVIYIFSPIDIIPEGILGVVGLLDDVLIALIFFLHIATLYRSVLYNRHGGA >CAK8576706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508310236:508310740:1 gene:gene-LATHSAT_LOCUS28879 transcript:rna-LATHSAT_LOCUS28879 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHTGASASATQPVGYPGGPYDTSLLVKCEHHIARHIWFSEERDPKKELKVAGHGLKLTKRVPLLLLREMEGWISRSGLSSLQRTSLIKIDTNLVSAFAERCHLETSSFHMPFAEMTITLDDVSCLLHLPIMGVF >CAK8579153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680340182:680347725:-1 gene:gene-LATHSAT_LOCUS31121 transcript:rna-LATHSAT_LOCUS31121 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGVGKSAGTSGTKQNSKQETAEKADERPDWLPDGWNIEVRTRKSGPAVGSAYKCYVDPLNIHKFYSKPEVLRHLETTKDSNCSSKKKKSTSKQPPSKEEEEKSIEKHSPNKEKQKRTNSNQHSSSKEEEKCINMHSTSKGKEKLTSMHSPKNTAEKANERPEWLPDGWDIQVQTRKSGPAMGSSYKCYIDPLNIYKFYSKPEVLRHLETTKVSNCSSKKKKGTSKHSPSKEEEKCTDKHSPNKEEEKCIDKHSLNKEKQKRTNNNQHSPSKEEEKCINMHSSSKEEEEYITLDSGSKDGEKFTSMHSPNHVAGEKSTDEDLPPGWIKEAKIRKNRRKDWLYIDPVSGYVFHSKKDVLRYLESGDIEKCAIKPIRRQNQDEDNSTPSPAAKRQKPKQSTPRQKISVAKEPAESLELPDVNNSMKGQGPVKLADNVLNDQTPIVPKRNLRKNRTTLAADMENKSSQHLNGVPEIEQPKAMNTRTTRTNSNKFSKKSEPHIPCRASKRLAGSESKSEGGPDHAPLTGESQNKRRKTPLVIPAVDDELETLEVDDEKSEPQLSFAFHYSWSDPCLDYAINTLTGVLPPVDNSVDNRPSTVPETDIQKPTFDTVTERSRDSQNNSVDNGPTTVHETDIQNQNNSVDNGPTTVQETDTKKTLVDNITRGSKDSQSNVLDKVTRDSDKSASVQSNKSKRKKEVKEVKVPMRLSKRLAGIEPEANPSDKALEYSSKKSSKEEATATVLLTNGASDHVHVGEEAMLTPHASDSLKTEMLGKSSSKSGKSSDDQTVHKEQQLEKAEAENISDDRSKPEHALPFGESWSDPCLEFAFKTLTGALPVDSAAEILKVPSPGVGDLPNNELDGRVTTSINGKTRDNPNESLNNKERDMADQSSELLLGQPELMTSSKSGKNMDGESSELLLRQPELSTSSTSDKNMPNFTNGETQSHEGNIIRNLEPVLRTSSTSTIGESQSYESEMIRNLFGEPPVVEAENTTQLLDHSRTNVYPQKQEEPLKKKDQVAEGEFGTSEQPPPFQTETLNHNNTELQFCESFMNTWSDPCLEFAFKTLTGVIPVEENLGVQGGIQEPSNCQNGRDDVSALPDFGSSSFSQSDFSFHFDTGVKSTPGQQSSVSSSFPSSSLQSCPAVDPQQQYSQFNNNFQRR >CAK8541847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414721229:414730878:-1 gene:gene-LATHSAT_LOCUS10740 transcript:rna-LATHSAT_LOCUS10740 gene_biotype:protein_coding transcript_biotype:protein_coding MMTISLDLNASPVPEEDEDPFEGQVEEYDVPEEKCNAAEEHIESGADIARREREERKKRLKRERSDDRPVQVSQPPGYDNFFHNKILKSYDRSKLPPGWLDCPSSGQEIFGMIPSKVPLGESFNDYIFPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYSVSDLKKEAIRHVKIQCKGRGSVPDNSSVNHFVYEVIQFLSHQKHSKKYILVHCTHGHNRTGYMIIHYLMRTMSMSITQAIKIFSDARPPGIYKPEYIDSLYKFYHEKKPEMIVCPPTPEWKRTPELVDLNGEAVPDDDNDDDDDGVPDPQLHENHEIDIIMTNDDVLGDEISIDQQDAFRQFCFQILKLGVGARGRMQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRNFNFRRVQMRFPCRVTNDGLAEKTHHFTLLDGEMIIDTLPDSKKQERRYLIYDLMAVNFVSVIERPFCERWKMLEKEVIEPRNQERYQGKNPYYRYDMEPFRVRRKDFWLLSTVTKLLIEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYADLNSVDFLFEIDDDCQLLFLHERGKKKLMEGHIVALEEGSDPMSYSGKIIECAWDGERNEWIFKRVRTDKSTPNDFNTYKKVMRSIKDNITEDVLLNEINEIIRLPMYADRIRIDSKAHHHTNTARRR >CAK8541848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414721229:414730875:-1 gene:gene-LATHSAT_LOCUS10740 transcript:rna-LATHSAT_LOCUS10740-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLDLNASPVPEEDEDPFEGQVEEYDVPEEKCNAAEEHIESGADIARREREERKKRLKRERSDDRPVQVSQPPGYDNFFHNKILKSYDRSKLPPGWLDCPSSGQEIFGMIPSKVPLGESFNDYIFPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYSVSDLKKEAIRHVKIQCKGRGSVPDNSSVNHFVYEVIQFLSHQKHSKKYILVHCTHGHNRTGYMIIHYLMRTMSMSITQAIKIFSDARPPGIYKPEYIDSLYKFYHEKKPEMIVCPPTPEWKRTPELVDLNGEAVPDDDNDDDDDGVPDPQLHENHEIDIIMTNDDVLGDEISIDQQDAFRQFCFQILKLGVGARGRMQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRNFNFRRVQMRFPCRVTNDGLAEKTHHFTLLDGEMIIDTLPDSKKQERRYLIYDLMAVNFVSVIERPFCERWKMLEKEVIEPRNQERYQGKNPYYRYDMEPFRVRRKDFWLLSTVTKLLIEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYADLNSVDFLFEIDDDCQLLFLHERGKKKLMEGHIVALEEGSDPMSYSGKIIECAWDGERNEWIFKRVRTDKSTPNDFNTYKKVMRSIKDNITEDVLLNEINEIIRLPMYADRIRIDSKAHHHTNTARRR >CAK8535262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831332334:831344409:-1 gene:gene-LATHSAT_LOCUS4735 transcript:rna-LATHSAT_LOCUS4735 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNNSSLRVCKYDVFISFRGPDSRNTFIDHLYHHLIRKGISVFKDDHTLLKGESISPQLLQAIRNSRVSLVVFSRNYPQSTWCLNEMAAVAECHRELKQTVIPIFYGINPSHVRNHTGVYKIGFNNSNKKFKYDPAEVDRWERAMTELANLVGFDLRDKPEFTEIEKIVQAVIKTLNHKFSGLNNDLVGMQPRVEELEKILKLNSKDDDFRVLGIWGMGGVGKTTHATALYDRISYQFDARCFINNTSKLYMDGGVVAVQKQILRQTLDERNLDSYDTCEIAGIMLNRLHSGIKVLIVLDNVDQLEQLQELGINPKLLWSGSRIIITTRDEHILRVYGADTIHKVPMLNTNDACELFCRRAFKGENQSRNCVGLIPEILKYAQNLPLAIKVVGSFLCTRDATQWTDALDRLKNNPDSKIMDVLQMSVDGLQHEEKEIFLHIACFFKGEREVYVKRILDACGLHPHIGIQRILEKSLITIKNQEIHMHDMLQSLGKKIARHQFPEEPGSWSRLWQYHDFYQVLETETGTNNVKAIVLDQKESFSKCRAEGFSNMRNLALLILYHNNFSRNLDFLSNNLRYLLWHGYPFTSLPSNFEPYYLVELNMPDSSIERLWEGRVDLPYLKSIDVSNSKHLRETPKFFWTPKLERLDFTGCTNLIQVHPSIGHLTELVFLSLQNCSSLVDLDFGSVLNLNSLRVLRLCGCTKLENTPDFTGASNLEYLDMDGCTSLSKVHESIGALSKLRFLSLRDCIILAGIPNSINTMTSLITLDLYGCLKLSTLPLRRTFRSSKMKLTTPPLRRTSSSSHMESLIFLDVSFCNLHKVPAVIGELRCLERLSLQGNNFKFLPYTFSKLESLAYLNLAHCHELQTFPHINTLKNSSLGGSYFKMTSGSRDHRSGLYVFDCPKVKFFIYNPQEYFTKYIYQWLERLLKEPRHFRCGFDIIVPWPEKVNYLPTNPIPMWFHHQFKGHSIVRIVQSNLGDDWIGFSFCVAFEVNNLSANSGSSHGSLSSELPHPFYLSFESEQTEERFDMPLSLELDKIDGSKHLWVIYISQEHCHFVKTGACITFKACPGLIIMKWGLRVLVEKKGAVKLSSHDSEESDVHHLMFDYVEENIRRHEPKIQLPYNWLVTEEEEVENSQAKSKETNLSNLGL >CAK8543382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603989629:603990741:1 gene:gene-LATHSAT_LOCUS12141 transcript:rna-LATHSAT_LOCUS12141 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDTPKPFSVFQKSTSSDPLIQLNLLPSTPVLRPHPSPPNLRIPWLNDALGVEPARMSDVNRFRLAASVEDTTDEGAAVSSPNSAVSSFQMDFSIMNGNGNAAEGATRNSSRERGADSDDEENGSTRKKLRLTKEQSAFLEDSFKEHTTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCETLTKENRRLQKELQELRALKTSQPFYMQRPATTLTMCPSCERVATNTTGATARVKQTASITAGGCCEQ >CAK8562468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:497314206:497316336:1 gene:gene-LATHSAT_LOCUS15960 transcript:rna-LATHSAT_LOCUS15960 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEDASPAAAIARWKFEASRRYQHILDKSTPHVGQRWLGCLVVALVYVLRVYMVQGFYVVSYALGIYILNLLIGFLSPQVDPEILDADHGPSLPTSGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQIAHMIKYKYVPFSIGKQRYDGKRASAEISED >CAK8576353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466273818:466274189:1 gene:gene-LATHSAT_LOCUS28548 transcript:rna-LATHSAT_LOCUS28548 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAISDVPIVQPPVPIEGFKMETNGQEFVHILEQKLKGLNITVMSHEEYSEDNNENHIDQLADMFANLDISNLDINNTNSINPVYSPKPIEKYYYKRPSPQDLLFEETKPFPEFLFRKSHL >CAK8537093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:83281458:83284480:1 gene:gene-LATHSAT_LOCUS6409 transcript:rna-LATHSAT_LOCUS6409 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISEVNMSYSSSHYMDDEYEKLFRRMNPPRVVIDNEACKNATVIRVDSANKHGILLEVVQILTDLSLIITKAYISSDGGWFMDVFNVTGQDGNKVTDEVVLDYIRKSLGPESCFPTKMRSVGVKQTMDHTAIELMGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNTRAAAVMHVTDEETGSAITDSQRLSLVKELLCNVLGGGNRKRGAKTVVTDDSTHTDRRLHQMMFDDRDYERVDDDDFDEKQRPNVDVVNWSDKDYSVVTIECKDRPKLLFDTVCTLTDMQYVVFHANIDAEGPQAYQEYYIKHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLEICTTDRVGLLSNVTRIFRENSLTVTRAEVTTKGGKAVNTFYVSGASGCIVDSKTIESIRQTIGNTILKVKGSLESVPQDSPTRSSIFSGLFKSRSFVNFGLVKSYS >CAK8543721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:634184956:634185357:-1 gene:gene-LATHSAT_LOCUS12462 transcript:rna-LATHSAT_LOCUS12462 gene_biotype:protein_coding transcript_biotype:protein_coding MASIENFASKLTALVVDDTEIIRKIHQKMLNSLGVKSQGAKNGKEALEIHSYGQKFDLILMDKDMPIMNGVEATKELRAMGICSTIVGVSSHSMEEEIIKFMEAGLDEYQEKPLNKVKLTSILDKMKHNLMSK >CAK8532318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246475026:246480418:-1 gene:gene-LATHSAT_LOCUS2039 transcript:rna-LATHSAT_LOCUS2039 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMHCAPQPKGNDSPKVSEAGKNSKSTTYQQQDKVTSLEVTKHSQGSSVSKINSDSRCAILTFLSVEPDGTWRILAVPVQCLNHINLASGINMDGLQLLFPPPAPLNRSKNDQCKGSRRQVPLSAYTAKSYERSFTGSNNVRRRCQNKVANKACKLKELPADSCAQSSVVSSSPSSSPHSSASVISSDKWMSNTNEDKSLKKASRKRARKKQIKNQSSESGSNDREVRTEEYGCASLTSETCSSNDVDTTAPEFSSSDDRFKKNDYERNEMNDKVNVMDAPKRCDPCIEETVMSKAESKGQLHDRETKDSQEVELCGFNDVQDSLVLDAVSIGSKSDESVHGGHIGKQSNKASCGDEYFLGQGLKNGFRSNSEHNEEMKNGGQNCIVNDKRVQHKRTISKSSSFNKFTGVGSLNGRTGKENSHSVWQKVQKNSSSECGGGGGDLKKVSTPSSQFISTTDKDTSAIKNCNSVGANVVSGGEVKKQSKNKASRKSKGKTTDLVLKKGPCNYSRKGSNYNRTVLNDNVKSSIQPNDSSNISSQEINQQGTIMEFQTNGVKQESSEPVESNIQNISQETKNESIDIQSQVSCSDEQSQVSSCNLLDDQIGETLKVVSSADYNAQNHSSGSSQWKWIPVGKKDTGMTKSESEYSDEPTSKNSELENSLKPKTDSFSQNQYSSPHDITCIDQIDGENHRLNEEISGRLAEHRDKQEVANHMIYECENQYMFENESFRIAQAVNDTFRVQLACEVVHKATGGPIAEFERLLHFCSPVICRSLDSLSCLTCSQNYSVGSSLCRHEVPEVSLGCLWEWYEKHGSYGLEIRAWDYENPKTFGGVGHFPFRAYFVPSLSAVQLFKNRENPCVKNNASFPNCKVSEDSFRTPINNGSNPYTDSTSSGDLELLFEYFECEQPQQRRPLYERIQELIKGNVPFQSKTYGDATKLDSIILQDLHPRSWYSVAWYPIYRIPDGNFRASFLTYHSLGHLVRRSSNSDSPTLDSCVVSPVVGLQSYNAQGECWFELNHSALAAEMLGINPSIFLKERLRTLEETAALMARAVVNKGDRVSTNKHPDYEFFLSRRRY >CAK8578096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606708857:606712069:-1 gene:gene-LATHSAT_LOCUS30137 transcript:rna-LATHSAT_LOCUS30137 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMSSIWQRVKPDVVMVLVQIAFAVLTILYKLAINDGMSLRVAAAYRLICASAFTIPIALFSDRKKRAKITWSVLSKTFLCGLFGGSLYLNLYLEALALTSATFMLVVFNLIPAITFIMAVCFGMDKFNLRVAEGKAKVIGTIMGMSGAMLMIFFKGAEIHIWSSNINLFHPHHISNEQMATHHANFGTKLLGISCALASSCSFSLWYIIQAKLNKEYSSHRSSAALMAIMGAIQATVIALCVERDWEQWKLPNNLRILAVIYPGIVASGLVVIAMAWCIKMRGPVFASIFSPLQLLLVVIAAYFMLDEKLYLGSMLGAIVIVFGLYAVLWGQSKEMKNKMKVLEITSMPENDELVVISTPVVSHDRIVQTCQSSAITKENVVNVQ >CAK8539500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514222237:514223988:-1 gene:gene-LATHSAT_LOCUS8587 transcript:rna-LATHSAT_LOCUS8587 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTERDVFQFQHWRNESWQWQGLEFEDVKENHQLKLMLSLPEIPEPPTPLEPMEFLSRSWSLSASEISKALLEKQHKQIFVENRPETLPEPIPVPQLTSGKIIPSTNCRKGTIGKWLHQKQHGGANTNVKRKDRARVENARAHSALSIAGLASALASVAASSDSHSKLDVAMASATQLLASYCVEMAELAGADHDRVASTVKSAVDIQTPGDLMTLTAAAATALRGEAALRARFPKEAKKNASISPYDRGVAETHCSPVFEGQMLENHSPCEGDLLQVTEKGSLRWKHVSVYINKKYQVKIKIKSKHIGGTFSKKHKCVVYGVCNEDSAWPYRKEREASEEIYFGLKTAQGLLEFKSESKLHKQKWVDGIEFLLGHANSAEATEKSMNLLNISSST >CAK8533073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:574891595:574892267:-1 gene:gene-LATHSAT_LOCUS2724 transcript:rna-LATHSAT_LOCUS2724 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMLGWRPSKTRTPSTLSIVELKVHMDCQGCEERITRAISKLNGVDSLEIDMENQKVTVTGYVEKSKVLKTVRRTGRKAEYWPFPYDTEYYPYASQYLDESTFKSSYNYYRHGFNESVHGYFPDQVYSTVPDETVFLFSDDNVHAPCTIM >CAK8530251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10280156:10282551:-1 gene:gene-LATHSAT_LOCUS131 transcript:rna-LATHSAT_LOCUS131-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNICNVLQGFKPTLLMVMVQIAFAGVNILYKLAVNDGMNLRVVVAYRFIFSTAFIAPLAFIIERKKRTKMTWKILFQSFLCGLFGGSLAQNFYLEALVLTSPTFASAMANLVPAITFIMAVSFGLEKLNFRTKAGKAKIIGTMTGISGAMVLTFVKGIEINIGSFHLNLLHHQNGDVSAHSPQATTISTSNTIFGSLCALASSISYALWLIIHAKMSEKYPTHYSSTALMSFWASLLSIVFALCFEREFSEWKLGWNIRLFTVAYAGIVVSGAMVCVISWCVHMRGPLFASVFNPLMLVCVALASCTILNEKLHLGSIIGAMLIVCGLYAVVWGKSKEMKKKNQLAPSQSIDKVDTVEIVVRPVVEAKSKHSNSSNSHVINDNDDSQKHEHVQDQEKGGGVEEILRND >CAK8530250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10280156:10282557:-1 gene:gene-LATHSAT_LOCUS131 transcript:rna-LATHSAT_LOCUS131 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKDNICNVLQGFKPTLLMVMVQIAFAGVNILYKLAVNDGMNLRVVVAYRFIFSTAFIAPLAFIIERKKRTKMTWKILFQSFLCGLFGGSLAQNFYLEALVLTSPTFASAMANLVPAITFIMAVSFGLEKLNFRTKAGKAKIIGTMTGISGAMVLTFVKGIEINIGSFHLNLLHHQNGDVSAHSPQATTISTSNTIFGSLCALASSISYALWLIIHAKMSEKYPTHYSSTALMSFWASLLSIVFALCFEREFSEWKLGWNIRLFTVAYAGIVVSGAMVCVISWCVHMRGPLFASVFNPLMLVCVALASCTILNEKLHLGSIIGAMLIVCGLYAVVWGKSKEMKKKNQLAPSQSIDKVDTVEIVVRPVVEAKSKHSNSSNSHVINDNDDSQKHEHVQDQEKGGGVEEILRND >CAK8569423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:412395:413451:-1 gene:gene-LATHSAT_LOCUS22277 transcript:rna-LATHSAT_LOCUS22277-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITVLAFFCLAFVRTGAIASGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIGVEELNQYSTLFFPHDLYPGKKIVLGNSQSVAKTARPFTEPTQGVTDSIWLENKQRQSLDDFCNSPTAKGEHKHCVSSLESMIDHVISHFRTSKIKAISSTFDKNQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSFERPCVI >CAK8569422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:412395:414209:-1 gene:gene-LATHSAT_LOCUS22277 transcript:rna-LATHSAT_LOCUS22277 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLALFCLAFVRTGAIASGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIGVEELNQYSTLFFPHDLYPGKKIVLGNSQSVAKTARPFTEPTQGVTDSIWLENKQRQSLDDFCNSPTAKGEHKHCVSSLESMIDHVISHFRTSKIKAISSTFDKNQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSFERPCVI >CAK8574040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655002623:655002937:-1 gene:gene-LATHSAT_LOCUS26425 transcript:rna-LATHSAT_LOCUS26425 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGQEKGSKKELKVAGHGLKLTQRVPLQLPRERDV >CAK8535867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887298460:887300179:1 gene:gene-LATHSAT_LOCUS5287 transcript:rna-LATHSAT_LOCUS5287 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMSRNERESSEERKLELRLAPPGVENWFLHHNNKNKQRDLDNHDSKLSLGTTYFSTNNTSFLHFPSNQHVRGKESSSSQACCPKLVELQNGDNNKVTAVSNTSQKRTAPGPVVGWPPIRSFRKNLATTSSNSKPLSQTESQTQHQNKVVAGKKPIDNNYGSKGLFVKINMDGVPIGRKVDLKAYHSYENLSIAVDELFRGLLKAQGDSCGSKKNEDEEKVVSGILDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSTELSAFTLGTTKQEKICT >CAK8537914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447292173:447293640:1 gene:gene-LATHSAT_LOCUS7163 transcript:rna-LATHSAT_LOCUS7163 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIINNYGKPMSLSKLISSLPIHPSKKPCIYRLMRIMTHSGFFSQQNVTENELEIEYTLTDASRLLLKNNPKSVAPFVQAMLSPIMTNPWQQMSTWLKNEESTAFETIHGEYFWEYAAHDPILNRLFNESMACDAPLVSDLLIEKGKGVFDGLESLVDVGGGTGNLGKALAKSFPQLEYTVFDLPHVVDGLQGTDNLSYVGGDMFQEIPQAHAILLKWILHDWNDKECVSILKKCKESLEKKGKEGKVIIIDMVVDNQHTNEKFETQLFFDMLMMVMQTGKERTEKEWVKLILSAGFSDYKITPILGLRSMIEIYP >CAK8577736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585663645:585664635:-1 gene:gene-LATHSAT_LOCUS29815 transcript:rna-LATHSAT_LOCUS29815 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMKALDESAWKDMKEVLAQYWSRDKPIITLLEGIKHYLTKRITNQKELMIKYIGNVCPRVQLALEKSKKFAESWSPTWHGDDNMAIFGVINDIKTYCVNIKEGTCACRKWDLTGNPCSHAITCIWHNKKHPEEFVSEYHRKTTFQNTYSHIIYPTNGPQLCPVDVTLIVSTPMMRGEIGCPKKLRNKRNDEPKNPHVLPRKITTVTCTKYGSMRHNKRTCKGKRAIDMVMTKGGNKKQKITKGKSKGNGKKTHNASQPTQEVGSCSQGPSATQD >CAK8568591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621346944:621348937:1 gene:gene-LATHSAT_LOCUS21533 transcript:rna-LATHSAT_LOCUS21533 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSTLRRSSAFIQRFRISTPPSLLNSNSPRYPNWISAFALASSLSLLYYFSKHNSYFDSHFLNNAFTKSSHPESSNDNHFLFGDAYRSKVFFNYEKRIRLHSPPEKVFEYFASSRTPEGEVHMNPADLMRAVVPVFPPSESNLVRDGSLKGERSPGHLLCPPSEFFMLFDVNGDGLVSFREYLFLVTLLSIPESSFSAVFKMFDMDNDGEIDKEEFKRVMASMRSHSKHGVHRRDLEADASVENGKMVEYLFGKEGKGRLRHDKFVQFIRDLHEEILRLEFVHYDFKSQKTISAKDFAHSIVASADVSHLNKLLERVEEMNNNPQFKNVRITFEEFKNLADLRKKLVPLSLALFSFAKVNGLLTRDDFRHAASSVCGLALSDNVVEIVFHLFDTSGDGNLSSNEFIRVLHKREGDIGGHVETGIIGFLSCCWNCMDKSPGSQSFS >CAK8574277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673639307:673640572:1 gene:gene-LATHSAT_LOCUS26637 transcript:rna-LATHSAT_LOCUS26637 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTKRVDAVDSASVLVRAKDGSAFAKCDECKKNVPVALISMHDCSLEAKIKMNLDSHVVEQAAAEAKKPERKKPSTKEPVAKRAKGGKDKKEKKVKDPNMPKRPATAFFVFMDDFRKTFKEANPDSKDVKRVAKEGGEKWKSLTDEEKKPYLDRVAELKAEYEKAMEAYNAGEKEDQEGSDKSDKEAPAAGEVEELTDEE >CAK8564274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665120116:665123750:1 gene:gene-LATHSAT_LOCUS17596 transcript:rna-LATHSAT_LOCUS17596 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESEQALRKHRTGESARHPLAPAEKNNAITTRRSGTREVSSRYKSPTPSSPSGPRRCPSPSGPRRCPSPNSFTRTTAPSKLLPKRAQSAERNRPATPPSPTSPSTPVHNDVHLSKRVANSRLPETLWPSTMRSLSVSFQSDTISIPVSKKERPLTSASDRTLRPTSNVAHKQVETKNTRKPTPERKRSPLKGKNASDQSENSKPVDGSHSRLIDQHRWPGRMGGKVSSSPLNRSLDHSDKITRMLNSSVPGTGVSSLRRFSLPGDASKPLQKTSTDVARLLSLVENGRIGSQVKSFDDSFHVLRTHKSVPATPSDKTGLPFTGARSQALLSPRSSRPSSPSRTMTVSPSISRGVSPSRSRPSTPPRGVSPSRIRATSSSNQSNDSISVLSFIADFKKGKKGAAYIEDAHQLRLLYNRYLQWRFANARAEDAFYVQNAIVEKTLYNVWSTTMSMWESITRKRIHLQQLQLELKLNSIMNDQMTYLDDWANLESSHVDALSGAVEDLEANTLRLPLTGGAKADIEHLKVAICSAVDVMQAMGSAIRPLLSRVEGMNNLISEVAIVTAQEKAMLDECEALLAFSASMQVEEYSLRTHQMQIKQALEVKK >CAK8530335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14860459:14861658:-1 gene:gene-LATHSAT_LOCUS206 transcript:rna-LATHSAT_LOCUS206 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLHRKLIPELCELICHGIDSVSKCSLNNDCANCFKNCLKTNPQYPNYYYPPPPPSPPPPQQEFPIYSSSNQNQHKLTNYFIITLSILAFIFFLVCIRAIYVNFRSRTRRTRLRTVARTRAPPSTNQQIQVMNFDDEQQHHDSIVDHPIWYIRTQGLQQSVINAISVCKYKKGEGLIEGTECSVCLSEFEEDESLRLLPKCRHAFHLPCIDTWLRSHTNCPTCRAPIVNTTNPTIARVESLESVVVDSHSSSMEHGHVDENSGETESNLGFENRVEGEGGQLEVCENGRPVVDAVSGNIRPRRSVSMDDSFAAGINNVVATVLSKECNGNEDSVSKVNGSENSATTSKGGSSSFSFRSTRYLQGVASPMKRSSSYNRKFLLSWYSRNQKRPNAILRSF >CAK8543222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590137164:590152372:-1 gene:gene-LATHSAT_LOCUS11993 transcript:rna-LATHSAT_LOCUS11993 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGLEPAFQGVGQKVGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTQGKGGNYLFDIHFWIGKDTSQDEAGTAAIKTVELDASLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEEFETRLYVCKGKRVVRIKQIPFARSSLNHDDVFILDTKEKIYQFNGANSNIQERAKALEVIQLLKEKYHVGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIVPEAIPAQLYSIADGEAKSVEGELSKSLLENNKCYLLDCGAEVFVWVGRVTQVDERKAACQAAEDFVTSQKRPKATRITRVIQGYESHSFKSNFDSWPSGSASTAGAEEGRGKVAALLKQQGMGVKGAAKSTPVNEEIPPLLEAGGKLEVWVINGSAKTPLPKEDNGKFYSGDCYIVLYTYHSGERKEDYFLCCWFGKHSIEEDQKMATRLATTMSNSLKGRPVQGRLFDGKESPQFVALFQPMVVLKGGLSSGYKKLIVEKGLPDETYTAESIALIRISGTSTHNNKTMQVDAVATSLNSTECFLLQSGSTVFTWHGNQSSIEQQQLAAKVADFLRPGIALKHAKEGTETSAFWSAVGGKQSVTSKKVTNDIVRDPHLFTLSFNKGKLQVEELYNFCQDDLLTEDILVLDTHAEVFVWIGQCVDPKEKQNAFEIALKYIEKAASLEGLSLQVPLYKVTEGNEPCFFTTYFSWDHAKSTVQGNSFQKKLALLFGIGHSVEEKSNGSSQGGGPRQRAEALAALNNAFNSSPETAPSTDRLNSLNQGGPRQRAEALAALNSAFSSSSGTKVVTPRKSPRGQGSQRAAAVAALSNVLTAEKKKQSPDGSPVASSSPVVESNTPDAKSEAAPSESEGPEEVTETKEAEELAPETGSNGNSDTKQEDVEDGNDNQNSQSIFTYEQLKAKSGSHLSGIDLKRREAYLSEEEFQTVFAISKEAFSKLPRWKQDMLKRKVDLF >CAK8574741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7883412:7884363:-1 gene:gene-LATHSAT_LOCUS27055 transcript:rna-LATHSAT_LOCUS27055 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSKQHSKNYVVIRPCICLLWTVILAAFVTAAFLLWPVDPEIEMEKLTVKRAKVHPLPPLSATVWLSVAVKVHNKVLCWMELKGVDVGIKYRGQKLGHVETEGWHVVGWGSAHVFGDLEFGGLPSPEVAHFMQDLGKGRIQFHTAVEVMGNLGLFFFRFPNVFKVKLSCEVLVDTKNHTIINQHCIVKD >CAK8539020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501809118:501809934:-1 gene:gene-LATHSAT_LOCUS8159 transcript:rna-LATHSAT_LOCUS8159 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQVVSAKTALSDDTTALSLKSDEKTTEEVVLSPITPAASETKEEKEEEVVVESSAAEAKAPLIESETKLAVEETVTVKDTEEETKKEEETEKDELFEETKENADSAVDVVHEPPTAESETENAVKDENVIAEPETKDSVKTEEAPKEKAEESVEEEEAIDEKKEEEVITNSEAQTEKSE >CAK8570454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47701671:47702271:-1 gene:gene-LATHSAT_LOCUS23201 transcript:rna-LATHSAT_LOCUS23201 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAGEENFKFANGMKRMNGPDSWCIAKPSASLEELNYNLIHACITHSCSMIKKGGECYEPDTLHSHASRAMNRFYADHGKDEFFCNAFFRNSGLIVLFDPSYGSCKYD >CAK8573628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626117203:626117898:1 gene:gene-LATHSAT_LOCUS26057 transcript:rna-LATHSAT_LOCUS26057 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTIRKGVPWFTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTKAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >CAK8571720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465142397:465142693:1 gene:gene-LATHSAT_LOCUS24357 transcript:rna-LATHSAT_LOCUS24357 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTRKGGVSLPDRPNNPQDSIITKISRSPVVSWSKQAYTDTSFVFKKLFKSTGNAAWITGTTFLVLVVPLIIEMDREQQLNDLELQQASILGTPSK >CAK8538891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499003137:499005461:1 gene:gene-LATHSAT_LOCUS8040 transcript:rna-LATHSAT_LOCUS8040 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKTISAALKLVDTKKQNLKKAYDDLQSHSSLLSSFPLSWPDLDSHFTTLHNTLSQRFLHLQTLESQLHQNHNDPSSSPSQLPNPNPKNQNFTSIPNDPSSSSNPTTHLEALTAFCKNNDGKGLRTYIGDNFKDKGIMKDELQSAFKSASNPADMVLDAIEGVFVANAVIDGKEPRFIKKSFNFLFQQLRVFSPYVSFDVRKKAKRLFNEWKVNLMNENSEPCWTLAFLQFVAVYGFLSDLNLAELAAYSATASASDDLTDLYQIIALSDRVQGIIQKLIERSKHILAVKYIFHFKLTDKMPPVPILKAYVDDVKKLTTRLASEGKSYNEIKSREVHALKSVIKVIESYNLDSEFPRASIEQRIDELNKQRRVGGKTAAPGFASKPTLQQQQLSGIKRPLTAAPFGPASTIHQYQQPHFQPTSLLPEHPNPYTSMPPAPFGMKAPPYGLDGVSMATKGNLNQSVSHPNSSQQPLVMPGYYASNSNLGQGGILPNPPEPRVMPGYYAPMSSSGNVDQGGSHPKPSASGGYGLQHYYGTPYSQ >CAK8532070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:198596648:198597292:-1 gene:gene-LATHSAT_LOCUS1807 transcript:rna-LATHSAT_LOCUS1807 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTNVEQQPAAERSDSVYRGVRKRKWGKYVSEIRLPNSRQRIWLGSYDSAEKAARAFDAAMFCLRGSGARFNFPGNIPEIAGGRSMTPSQIQAAAARFANAASRDSDPGRPDNNAVEVDSSSSEGAALLLPMESQSPAVSDSTFQTDCDSNQNGLFSELFAVNGSGSGELDYSVFPSFDDFERDFYVPELTNYDYGVENLDGLIINDTFLWNF >CAK8535749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879403503:879404036:-1 gene:gene-LATHSAT_LOCUS5177 transcript:rna-LATHSAT_LOCUS5177 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIYLAICSNAPNIMWKNILRNNVARPRALITMWFACHGRLASKQRLFRFGMITDDRCCLCTKEEETINHLLFCCPETVHIWIKVLDWIQIPHVPRPWDEEMDWVCKSTSGKGWRASLLKLAITETVNGIWQYRNDICYGNVIDKTKIGDNIMDMIVYRGWYNLKLRPHIAHLMTY >CAK8561753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:374497210:374498154:-1 gene:gene-LATHSAT_LOCUS15314 transcript:rna-LATHSAT_LOCUS15314 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRNRHNENMGVNNIGKTIKKNSSQQTIDFGSGRHHYPQQKIHHIHRDDFKSFVMRTTGRDSNGPTRLQQSRPPPLANVRPLVQFPMQPPPPRAVPYINGLAVPPLQPISGPQVFDNSWNNFVESPISAFMRQFQDSENYFGGGGGASRGNQFQSYPPPPQQQMSNNVNLNVQFQPQYFPTQTQMVNNVEHYNLSSASNQTIPNPNPSVSMNVASNQTFPMNNDNQFLNNFPQSQTNYSVSPTSEYVLASPTQNVNVLSPQSPYRPLLSPSIFSSPSSPDYPFEPYLHNEILSPEPPSPFTAGAFPKGPDDE >CAK8537054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:70688609:70689028:-1 gene:gene-LATHSAT_LOCUS6371 transcript:rna-LATHSAT_LOCUS6371 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDSYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRRTTVDRGCEEGHNRLFNDYFSENRVYTDVQFRRRFKMHRHVFLRIVDALDNHDEYFQMRVDATGKMSLSPLQKCTSAIRMLAYGSPADIVDEYV >CAK8538702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493348457:493348921:-1 gene:gene-LATHSAT_LOCUS7874 transcript:rna-LATHSAT_LOCUS7874 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVLKEDWCLVYAVTIEGKHIYTNKIDGHFIWGVDPIRCDPDCDCWMHDDDIDRDIILPKTKKKGRCKPSPPPQRRSDPDNGPWVGIHGKKKPLCFYEEGLKILRREGLLPPDDQNLITWLPTDHCKPLHPLAVTQPIPCFTYSTTTSEYGR >CAK8568806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642674641:642678243:1 gene:gene-LATHSAT_LOCUS21730 transcript:rna-LATHSAT_LOCUS21730 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCIRELEGKQAHDPLFMNKMNNDKSSLLLSSPSTDSRRRVFVNGPVIVGAGPSGLAAAACLKQKNIPSIILERSNCIASLWQLKTYDRLRLHLPKQFCELPFVEFPSNFPTYPSKQQFIKYLENYAERFHIRPKFNETVKNAEFDTKIGCWRLKSHSNSSKGEVTATEYVCRWLIVATGENAEAVVPNIEGADEFEGVIRHTSLYKSGEEFRGKRVLVVGCGNSGMEVCLDLCNHHATPSLVVRDSVHVLPREMLGKSTFGLSMWLLKWFPLRLVDRFLLILSWLLLGDTSQLGLDRPTLGPLQLKNLTGKTPVLDVGTLAKIKAGHIKVRPSIKKLKHHAVEFVDGRSENFDGIILATGYKSNVPYWLKEEDMFSMKDGFPMKPFPNGWKGKNGLYAVGFTKRGLLGASMDAKRIAHDIEQCLKAEAKHGS >CAK8537622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:409649217:409663323:1 gene:gene-LATHSAT_LOCUS6893 transcript:rna-LATHSAT_LOCUS6893 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSIYSSSPTLNYQNNQNAFLTSTRRRSDLPFYQTRPKLTQTRSVAREVPTNLSAVQSTSHRLEKDPRALWRRYVDWLYQHKEIGLYLDVSRVGFTDEFVEEMEPRLQDALIAMEKLEKGAIANPDEGRMVGHYWLRDSNRAPNSFLKSQIDKTLDAICGFANDVVSGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGSELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQTIDIREMLLGASLMDEANRSTVIKNNPAALLALCWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEYDLDGNRVNQGLSVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNDRESITVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTIEEVAERCHAPEDIEVIYKIIAHMAANDRALIAEGNCGSPRSVKAFLGECNVDDLFA >CAK8575144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:39013132:39029670:1 gene:gene-LATHSAT_LOCUS27431 transcript:rna-LATHSAT_LOCUS27431 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHLIDSLTSHISLYHSQSPNPSPNPNPSPRSSILKWFSSLSIHQRLSHLTILHSSFVQIILQMLSKLHSNNCHGCFILLPDLPSREPPFLPTLCFKKSHGLLARIADSDTAGKLIFDSVRIFESREGEDDVAGCSCSVKNLDTVTFSEELVEDVDRFVEVMDKISGGGFLRGEDAELGEDWMELNWLKSKGYYGIEAFIANRIEVSMRLAWLNSCGGKKRGVKLKEKLNVAGVAANVYWRKKGCVDWWGNLDSVTRKKVFNTIIMKSAKTLTYEVLKVASSASKDEAWLYKSGTGVDKLLDYNCTASAQRTIQAFCDDTEFGRIITPVSICKKPAALARAFNSLSVLQDINIMLTSSINSEYDIGTLFFSSLGSVSTISDCILRKLRGFLMVISLDCTKRELLEEEHGKSSSGKPKEKVGLSNRKKKGRTRNKKQNPAPKTSVNGISCENLHKDVDCLMDNAKKTDLTKHGELPQGKDTSKGSSSSTVKVVDNTQESNVGKPRTTPRRSRKEKNKNKSALIGNAVGDSLNSIMHAASTTIISKGEVAICDRSFDSSTIQNVKHDDSIGSDTLASNSNLSCSLNILTKENTFTGNVEGETVDNLAESCNRSGSQCSLPNERKLLSSELNTCDIDCEATTPPVPAFEQGSFSSNDNTCSLNTTGAAKADVKSTIHDKLIREVNVKEFSKLRERDRCLFDSRNSAFSKCSPYEWPGIPSIYFPSFNSHLPPATDRLHLDVGRNWHNHFCQPFVPTLQQTRNTPIEGGCNQILSRSIPMSFDWPPVFRGGMAPSPNCNYDSSFISRRQCKVSKGLAVHSMHVDATTSDDERKYSGDTLDLPDLVTTQEFTDEFDNLCVSEEEYDFHAVSGIDYNQYFGGGVMYWNPSDHSGKGFSRPPSLSSDDSLWALREADMNRTVDDMVAFSSSYSTNGLTSPTAATFCSPFDPVGTGAQTVGYVMSGNEVPGKVMHSSSVTDAAVDDDTSGSLGSNLSGETEGKTGDSHPYPILRPIIIPNFSRERSICVDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGWYHDGSNLEDACLRMDGAEVVWPSWRSKNLAVQPLIQPLPAALLQDRLIAMSQIARDQEHPDVTIPLQPAELRSCSATSASLSLMHGMLHDEIDSFCKQVASENMARRPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGMALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPQDVITSSAPMLNSLKEEPQCTTGEHDSKSVRVDISFKSSSHTGLQTTGMVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNYGSLLVDFLYFFGNVFDPRQMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKLCKSVLCLMLRMILLSGLWYYFLEAFSEAYIVFENELTLLNGDGESCSKPSYRLLPKIIPSLDVS >CAK8575145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:39013132:39024709:1 gene:gene-LATHSAT_LOCUS27431 transcript:rna-LATHSAT_LOCUS27431-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHLIDSLTSHISLYHSQSPNPSPNPNPSPRSSILKWFSSLSIHQRLSHLTILHSSFVQIILQMLSKLHSNNCHGCFILLPDLPSREPPFLPTLCFKKSHGLLARIADSDTAGKLIFDSVRIFESREGEDDVAGCSCSVKNLDTVTFSEELVEDVDRFVEVMDKISGGGFLRGEDAELGEDWMELNWLKSKGYYGIEAFIANRIEVSMRLAWLNSCGGKKRGVKLKEKLNVAGVAANVYWRKKGCVDWWGNLDSVTRKKVFNTIIMKSAKTLTYEVLKVASSASKDEAWLYKSGTGVDKLLDYNCTASAQRTIQAFCDDTEFGRIITPVSICKKPAALARAFNSLSVLQDINIMLTSSINSEYDIGTLFFSSLGSVSTISDCILRKLRGFLMVISLDCTKRELLEEEHGKSSSGKPKEKVGLSNRKKKGRTRNKKQNPAPKTSVNGISCENLHKDVDCLMDNAKKTDLTKHGELPQGKDTSKGSSSSTVKVVDNTQESNVGKPRTTPRRSRKEKNKNKSALIGNAVGDSLNSIMHAASTTIISKGEVAICDRSFDSSTIQNVKHDDSIGSDTLASNSNLSCSLNILTKENTFTGNVEGETVDNLAESCNRSGSQCSLPNERKLLSSELNTCDIDCEATTPPVPAFEQGSFSSNDNTCSLNTTGAAKADVKSTIHDKLIREVNVKEFSKLRERDRCLFDSRNSAFSKCSPYEWPGIPSIYFPSFNSHLPPATDRLHLDVGRNWHNHFCQPFVPTLQQTRNTPIEGGCNQILSRSIPMSFDWPPVFRGGMAPSPNCNYDSSFISRRQCKVSKGLAVHSMHVDATTSDDERKYSGDTLDLPDLVTTQEFTDEFDNLCVSEEEYDFHAVSGIDYNQYFGGGVMYWNPSDHSGKGFSRPPSLSSDDSLWALREADMNRTVDDMVAFSSSYSTNGLTSPTAATFCSPFDPVGTGAQTVGYVMSGNEVPGKVMHSSSVTDAAVDDDTSGSLGSNLSGETEGKTGDSHPYPILRPIIIPNFSRERSICVDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGWYHDGSNLEDACLRMDGAEVVWPSWRSKNLAVQPLIQPLPAALLQDRLIAMSQIARDQEHPDVTIPLQPAELRSCSATSASLSLMHGMLHDEIDSFCKQVASENMARRPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGMALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPQDVITSSAPMLNSLKEEPQCTTGEHGNDSHSDSIQLEDPALLKRSQTNFYDLKDSKSVRVDISFKSSSHTGLQTTGMVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNYGSLLVDFLYFFGNVFDPRQMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKLCKSVLCLMLRMILLSGLWYYFLEVTDMKFSIRVYEMLE >CAK8535002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801606990:801609541:-1 gene:gene-LATHSAT_LOCUS4489 transcript:rna-LATHSAT_LOCUS4489 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHREIPVTDGTITRTSLHNNSNNPYVQLSPAKPSSDAAANRPNPMDKISGALNNCGKKVEEVTKHAESMVDNIWNHVRMSITPADAAIARLVQGTKLIANGGSDKLFQQTFGVIPGEKVLKQHVCYISKISGPMIGTLYITTKRLAFCSDYPLCHHPFSLNHQCIYYKVVVQLDQLRAVSPSANMFNSKEKYIEIVTVDGYEFFFMGFVSYDKALKTLNEVLHQYGNNSSSDKFNCQVF >CAK8534635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751289621:751291594:-1 gene:gene-LATHSAT_LOCUS4166 transcript:rna-LATHSAT_LOCUS4166 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKAKETIVIESSTTPPHVISRINGRQVLQPTCNHVLERRNSMKKLSSPPLPNKTSLSSPPISPKPKSPTRPFLAIKRGNDNNGMNSSCEKIVIPKNTMKTPCLERKKSKSFKEGSYGVEASLSYSSSLITDSPGSIAAGRREQMALQQAQRKMKIAHYGRSKSAKFERVFPIDPSTALHLKTSNEEEKRCSFITANSDPIYIAYHDEEWGVPLHDDKMLFELLILSGAQVGSDWTSTLKKRLDFRAAFSEFDAEIVANYSDKQMICISSEYGIDISKVRGVVDNANQILQVKKVFGSFDKYIWGFVNQKPISTQYKFGHKIPVKTSKSESISKDMIRRGFRFVGPTVVHSFMQAAGLTNDHLITCHRHLQCTFLEATLQELKT >CAK8533832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664083334:664087579:-1 gene:gene-LATHSAT_LOCUS3426 transcript:rna-LATHSAT_LOCUS3426 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLWCVQCQSASFSSTSTTTNQKKPVVLLGAPQVSTIVLDALLTASASPHSSFEVAAIVTQPAARRDRGKKLLHSPLANYALDRGFSQNLIFTPQRAGDDTFLSDLKALQPQLCITAAYGNILPTKFLDIPPFGTVNIHPSLLPMYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPIIATETVQVDHHIKAPDLLELLFHKGSELLIRELPSIFDGSARVKAQPQDDSTATLAPKIGPDESWLFFDQEASVLHNKVRAFSGWPGSRTQILVVDKNGEKKTLEIKVITTRVCTHESVQFNEADDIAFVNGALVFPCGRGTTLEVLELQLPGKKVVNAAAFWNGLRGQKLKKKT >CAK8578462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630491672:630493886:1 gene:gene-LATHSAT_LOCUS30472 transcript:rna-LATHSAT_LOCUS30472 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPLSSSAAAGNGGGPAPFLQKTYDMVDDSTTDEIVSWSSNHCSFVVWNNTEFARLLLPTYFKHSNFSSFIRQLNTYGFRKVHPERWEFANEEFIKGQKHLLKNIHRRKPIHSHSHPPVSVVDPERAAFAEELDKLSREKNALESNILNYKQHQSTAKLQMEDFHHRLDGIEKRQTNLLNFLEKALQNPSFVDHLLRKIESMDLAAYNKKRRLPQVDHVQPVAEGSLVDNHSNFRLEFGNVIHQDFSSKLRLELSPAVSDMNLVSGSTQGSNEGEESLQKNLSEGEPKGMQTRTGLAFAPETLELADTGTSFTFNMDSCLSRRATNTECPNLHSLEPSSEEGDSHISCQLNLTLASCPLEFNRNSYSARSPQIDCQEIGNFAESIVNANGKESENGVSLNRIVANEDINLASPQEASGNGQVKPAAPRRVNDVFWEQFLTERPGCSDNEEAISNYRANPYDEQEEGRSVHRISSNSKNMDNLTL >CAK8561749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:373555332:373555568:1 gene:gene-LATHSAT_LOCUS15310 transcript:rna-LATHSAT_LOCUS15310 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRGRGRGQPSCTVPPSPVVSAAVTKNLGDSDQVDHVEVTEISDSQDEISQSDEEEVKELNHEALNSPVNDRIESY >CAK8532951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554634603:554639014:-1 gene:gene-LATHSAT_LOCUS2609 transcript:rna-LATHSAT_LOCUS2609 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVVGAFINLFGSLAINFGTNLLKLGHNERERHLLGSDGVHGKANLKPIIYFQSWRIGILFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNLAFAYFVLNKVVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLTEKYTNVAFLLYLLALIVIVVLHHSVYKRGELLIAVSGHELKPFWSLLLPFSYAVVSGAIGSCSVLFAKSLSNLLRLAFSNGYQLHSWFTYSILLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTLFSICTGFIYFQEYQVFDTLRTTMFILGMMCVFIGISLLAPDESKVSETKDSSLDSIVSPAISTEMKRLVAPSEEANNKDTHNKDVRTFVKGVMLKVTDILVKAKTSCALTLGFGEDTINASSVFVMPMVSSRMTGFRGNGLERARILAMRNSVWSKIPMDEDATKLLETSAIVPSNL >CAK8563781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630680726:630682290:1 gene:gene-LATHSAT_LOCUS17156 transcript:rna-LATHSAT_LOCUS17156 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKVVIREFDEDRDVKVVGKLERNCEIGTTKKGFSIFTNMITDPLSRIRFYPIRVMLVAELVECRELVGVVRGCIKSVQTSSGSLFKMGCILGLRVSPTHRRKGVGLKLVSSIEEWMVRNGADYAFLATDKNNNASKNLFTNKCNYVNLSSLIIFLHPTTFPIINHISKDIKIDKINIDQAIFLYTRLLKTKDLYPLDMDIILKEKLSLGTWVSYYKDQDFKLNGAEDIILTDKVTANSSWIIFSLWNTSEADEVQDVKTKLSQPLRFLHATLNHAKDKICPCLRMLRNESLMCNNSFGFLFVYGLHGEGENLGGLMESVWRFTSRIGEKLKECRVVITELGFGDPLIDHVPQVDSMTCVDDMWYTKRLGNHSDDQVVEVMKKQLGNVFVDPRDF >CAK8538220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471470596:471471251:-1 gene:gene-LATHSAT_LOCUS7443 transcript:rna-LATHSAT_LOCUS7443 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWGNFATQELSPYKYLLQQASNSFNSEEPKPSLGGSVAKLTKAEQDRMAGLDGVVAIFPVKKRTILAIKSWDFIGLPMNVKRESYEYDVIIGIIDSGIWPESESFNDKVFGPPPNKWKGVCQTINFPCNK >CAK8568486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610899831:610900262:-1 gene:gene-LATHSAT_LOCUS21439 transcript:rna-LATHSAT_LOCUS21439 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWSKKVTLLFDSWKTDSWTSYTLSLLACLIVSIFYQLLENRRIRLKLLASGKPFPAQIEAPLLRRTFAGSGAKLGVRVAGAVLFGLSSAIGYFLMLSVMSYNGGVFIAIVVGLAVGYLLFRSDGEDSVVVDSSCACA >CAK8578536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635849976:635852018:-1 gene:gene-LATHSAT_LOCUS30538 transcript:rna-LATHSAT_LOCUS30538 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRSGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDDEEGEDY >CAK8567101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:487090766:487090987:1 gene:gene-LATHSAT_LOCUS20185 transcript:rna-LATHSAT_LOCUS20185 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >CAK8568851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:647380081:647383423:-1 gene:gene-LATHSAT_LOCUS21766 transcript:rna-LATHSAT_LOCUS21766 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHGRIVLFMFLATFIWFNAQTTLVSCALTPELQKKIARINKEGPYLGLVIPNSFELNPLLQNPGYTPTNTTIDFAGRRFRFGSIGNKHVILVMTGLSVINAAITTQLLLSFFNIDGVVHYGIAGNANPSLHIGDVAIPHYWAHLGLWSWQRFGHGANDTLPLENNGDYTRVVGFIKFSDFTSNISAADSVRVDNHLNSLWYQPEEIFPVDGIPEERQHALWVPVNDKYYRIAKTLEKMKLEACVDSDTCLTTTPNVVLVERGTSSGFYLDNAAYRTFIFNKFNVSPVDMESASVALICLQQKTPFIAIRALSDLAGGGTAESNEADTFSLIAATNSVAVVIEFVKQLSRHTKL >CAK8535885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888706044:888706565:1 gene:gene-LATHSAT_LOCUS5304 transcript:rna-LATHSAT_LOCUS5304 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNCTPSNKKDDKESKKKLIDGNKDVDPQLWHAVDGGMVQIPQVNSKIFYFPQGHAKHAYQSVTFPADFIIPSQIPCRFAAIYYRVDPDTDEVYAKLRLVPLQISEASFDDDDVAGIDNMSETNNRHWSYTKTLTQSDANNGGGFSCPMYCAESLFPPLDYSVMLPSQVRN >CAK8530299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12725186:12736642:1 gene:gene-LATHSAT_LOCUS174 transcript:rna-LATHSAT_LOCUS174 gene_biotype:protein_coding transcript_biotype:protein_coding MAERASYELGPRLDIQQLQFEAQHRWLRPAEICEILRNYRMFHITSEPHIRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRSYWMLEQDTHIVFVHYLEVKGNKSNTGGNTDSNGVISDSQKVNSPSSGFPTSYNSVPSLSTDSMSPTSSMTSLREDADSGDHGQSSVSGTEYIPHFDREKNRGNDATCIDGLKVHGLTSWDTVLHADPSLVSFPSIPSSSLANILAQEHNILGDFSMSRSDLTIGAGSSQPLQSNWQIPFEDNPGHMPTFTQSLSLEFESDYGTGLLGNEDHNKSSEINPVMFSFHGEPEEKLAQRNYLEEKVDGQQQDDLKSNSASEVPSEETVSYPLSVRRTLLDRDESLRKVDSFNRWITKALGEVDNLNMQSSSGISWSTDECGHVIDDTSLSPSLSQDQLYSINDFSPKWACAESDTEVLIIGSFLRSQSDATTCNWSCMFGEVEVPAEVVANGILCCQAPPHKVGRVPFYVTCSNRLACSEVREFDFREGYSRNVDYADFFNSSAGMLLHLRLEEFLSSKPTHPSNQTFEGSAEKMNLISKLISLREEEEYSSKEEQSLFHKQVKEKLYSWLLHKLSEGGKGPNVLDKDGQGVLHLAAVLGYDWAITPILTAGVSINFRDVNGWTALHWAASCGRERTVAVLVSMGADCGALTDPSLEFPSGRTAADLASSNGHKGLSGFLAESSLTSHLETLTVDDQQKGGKQEVTGMKTVQTVLERTATPVVYNDMPDALCLKDSLTAVRNATQAADRIHQVFRMQSFQRKQLTQNEDDEFGLLDQRALSLLSSKSCKLGQGDGLFNTAATQIQKKFRGWKKRKEFLIIRQRIVKIQAHVRGHQVRKQYKTVIWSVGILEKVILRWRRKGSGLRGFRPEALNKAPSQQNDSPKEDDYDYLKEGRKQKEEKIQKALSRVKSMVQYPEARAQYRRMLNVVEDFRQKKDSNMGLISSEETVDGVEDLIDIDMLLDDENFIPIAFD >CAK8569447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1247186:1247560:-1 gene:gene-LATHSAT_LOCUS22296 transcript:rna-LATHSAT_LOCUS22296 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMMLPQTNDSQDTTIIKLESAYSDLESLLQSSKQMEQNIETMETRFDLLQGSITTASRRINPLQSLSMSRKALDTRINRAISPALALLETFKLAESLQNNLLNLSSKLSSENSHQRGFRSF >CAK8579318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691408510:691409419:1 gene:gene-LATHSAT_LOCUS31272 transcript:rna-LATHSAT_LOCUS31272 gene_biotype:protein_coding transcript_biotype:protein_coding MASAINLPALSLCKPPKFINPATRFSQRDYQLSSIRTSIQSKSYTKRAVTVSVLPLGVDPWAPSIDSQSIASQLFAFSLFPYIGFLYFLTKSKTSPNLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLREGIRKAENTEENTPTPDSGLKEEK >CAK8535295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:835750662:835751502:1 gene:gene-LATHSAT_LOCUS4766 transcript:rna-LATHSAT_LOCUS4766 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQPNPLLNWAYFCQGKSMEELRQSLLYTTLELEQTRTLAQEELTKKDEQLINLKDLINNIIQERDEAQEKCQRLLLENLLFHQQNAPLSGVSSIEDEPITTKRSIDSNNNGCFSLSSSDCEESIVSSPVIVDQSVIDMLTPTKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWKHPPPPLESFEIPPVAIPQILHQDSIFSPNIDTTNANSQCGRVNRKRVFFDDSDSPNQNKYQRVVLH >CAK8575681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:248189802:248191148:1 gene:gene-LATHSAT_LOCUS27923 transcript:rna-LATHSAT_LOCUS27923 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLSPSPPSHSTSIIQLSNSKRPILESSLKFKTHFSKSLLSPSFTSPNVKLTTKKQFLHHNRNRVVDFRTSAIGGFDYGSFEGPQSVLEAVAVLTAIIVVHESGHFLAASLQGIHVSKFAVGFGPILAKFNAKNVEYSIRAFPLGGFVGFPDNDPDSDIPVDDENLLKNRPILDRIIVVSAGVIANVVFALVIIFVQILAVGLPVQEVFPGVNVPEVRPFSAASRDGLLSGDVILEVNGNEFLRPGPNSVSEVVDVIKSSPKKYVLLKVKRGGQDFEIRVTPDESFDGTGKIGVQLAPNIKLSKVRPKNVVEAVIFTAKEFWGLSSNVLDGLKQTFFNFSQTASKVSGPVAIIAVGAEVARSNIDGLYQFAAILNINLAIINLLPLPALDGGTLALILVEAARGGRKLPIEVEQRIMSSGVMLVLILGLYLIIRDTLNLDFIKEML >CAK8539829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528037758:528040300:-1 gene:gene-LATHSAT_LOCUS8889 transcript:rna-LATHSAT_LOCUS8889 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDARTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEARMQDIFGDSDDEDNEDMDVTPICAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHSVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLYGAHTCSSTSMAQDHRKLSSEMASYSIRELVNTDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFVVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8561096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93288409:93290016:1 gene:gene-LATHSAT_LOCUS14717 transcript:rna-LATHSAT_LOCUS14717 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVQINVTESHSNADKQNKPVLSWDEIIEKSLSNFGWMDFLQAVVVAISMFFDAQQSFISIYTDNYPKWHCTNTTTNSTCSSSSDICKLPRSSWSWDTHPSNTIISQWSLECASTFIIGLPQSSFFIGSLLGSSILAALADSSLGRKNMLILSCVSMSITTLLIIFSTNVWIYSALKFLIGFWRSSIGTCALVLLTEKVNVEWRFRVGVIEYFMFTIGYMSLPGFAYINRNSSWKSLYRWSSIPGIIYSIIAYFFVTESPRWLVMQGNEKEILKMLKIVSSQEISDNSNNNNSNLASSLPKPPIKEKVSIFQLYSSIGELFHKRWALKRMISVMILGIGLGMVYYGMPLAVGNLGFNIYLAGVISASMEIPSSVAIYFLENYRRKPSILVFSILSGSCCVICVVLENRVPAGKVVLAMVAFFGACTAYDLFLIYVIELFPTRVRNTATSLVRQAVVFGCIFCPFLVSAGRKNNIFSYGVFGVVIMLSNITLLYLPETKGIVLCDTMEQQEKKEIDLYDDMHQHEIPGNRGPQN >CAK8536244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921618933:921620640:1 gene:gene-LATHSAT_LOCUS5632 transcript:rna-LATHSAT_LOCUS5632 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVEVQEQGAEFSAKDYQDPPPAPIFDAAEFTKWSLYRAVIAEFVATLLFLYITVLTIIGYKRQSDTSIKGNTECDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFVGRKVSLIRAVLYIIAQCLGAICGAGLAKGFQKSYYNRYGGGANSVSDGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGPAVIFNNDKIWDDQWIYWVGPFIGAAVAAIYHQYILRGSAIKALGSFRSNA >CAK8536527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:950909446:950910006:-1 gene:gene-LATHSAT_LOCUS5886 transcript:rna-LATHSAT_LOCUS5886 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKACEKGDGSKSLGKILAKWKEYNAQLDASNDADKPVRKVAAKGSKKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIREPNRGSRLWLGTFSTAIGAALAYDEAARAMYGSCARLNFPNVSVPRFPEESSSDSPVENQSGSSMVVSENTESMVIPNNSGIGIDVVSNEMEPISLPASVKQETE >CAK8577521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570929513:570932362:1 gene:gene-LATHSAT_LOCUS29621 transcript:rna-LATHSAT_LOCUS29621-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTSTGDLNPNQSQNQSQNPNQNPNQNQNVQQQQWAQPHQYQQQWMSMQYPATAMAMMQQQMMMYPQHYMSYVHPHHYQQPPHQQQPSPPPSTQSHHGHGHHLHHQQQHQQKQVSPEEIRTIWLGDLHHWMDETFLHNCFAHTGEVVSAKVIRNKQTGTSEGYGFVEFYSRGTAEKVLQNFNGAMMPNTDQPFRLNWATFSAGGGGGGERRSSEATSDLSVFVGDLAIDVNDAMLQEVFASRFSSIKGAKVVIDSNTGRSKGYGFVRFGDENERTRAMTEMNGVYCSSRPMRVGVATPKKTYGNPQQYSSQVLAGGGHSSNGAVAQGSQSEGDSNNTTIFVGGLDSEISDEDLRQPFLQYGDVVSVKIPIGKGCGFVQLADRKNAEEAIQGLNGTVIGKQTVRLSWGRSPGNKHWRNNDSNGNHYGGQGYGGHGYGGHGYAARQNQDIAMQQPAAAIQGAS >CAK8577520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570929513:570932362:1 gene:gene-LATHSAT_LOCUS29621 transcript:rna-LATHSAT_LOCUS29621 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTSTGDLNPNQSQNQSQNPNQNPNQNQNVQQQQWAQPHQYQQQWMSMQYPATAMAMMQQQMMMYPQHYMSYVHPHHYQQPPHQQQPSPPPSTQSHHGHGHHLHHQQQHQQKQVSPEEIRTIWLGDLHHWMDETFLHNCFAHTGEVVSAKVIRNKQTGTSEGYGFVEFYSRGTAEKVLQNFNGAMMPNTDQPFRLNWATFSAGGGGGGERRSSEATSDLSVFVGDLAIDVNDAMLQEVFASRFSSIKGAKVVIDSNTGRSKGYGFVRFGDENERTRAMTEMNGVYCSSRPMRVGVATPKKTYGNPQQYSSQAVVLAGGGHSSNGAVAQGSQSEGDSNNTTIFVGGLDSEISDEDLRQPFLQYGDVVSVKIPIGKGCGFVQLADRKNAEEAIQGLNGTVIGKQTVRLSWGRSPGNKHWRNNDSNGNHYGGQGYGGHGYGGHGYAARQNQDIAMQQPAAAIQGAS >CAK8562393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:483452563:483454639:-1 gene:gene-LATHSAT_LOCUS15893 transcript:rna-LATHSAT_LOCUS15893 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQDMFYFNKDILVIKSQKKSPMLLRMSLLMFSMVCGVFVFYACLKQTSIHARTILLELQQIEKPSRNIFNLLDISNLHYPNPVSFNRSECAQNPIRLFAILTNQRSGSGWFETLLNSHMNVSSNGEIFSVAERRVNVSTIVKTLDEIYNLDWLNSASKNECSAAIGLKWMLNQGLLEHPKEIVDYFNCRGVSVIFLFRRNLLRRVVSTLANSYDRYAKLLNGTHKSHVHSKEEADTLSKYKPTINSTSLLADLNDMERKAATALEYFNTTRHMILYYEDLIRNRTKLKEVQEFLGLPVIELTSRQVKIHKGPLSEHIRNWDEVANKLKGTSYESFLQADYSS >CAK8541703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359092377:359093249:-1 gene:gene-LATHSAT_LOCUS10600 transcript:rna-LATHSAT_LOCUS10600 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSTLLYCLISFCSIILIDGAQLILVNNCGESVWPGILGGSGQQTPKDGGFHLGSGDEVVLDVQEKWSGRIWGRQGCNFDNDGNGHCLTGDCFGKLQCKGLGGIPPATVVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPIGGGIGCGIASCEVDLNVCCPSALEVKRNGKVVGCKSACLAMQSAKYCCTGSYSDPKTCKPTLFANLFKAICPKAYSYAYDDTSSLNRCRAPRYVITFCPPPQ >CAK8569122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:673650998:673651222:1 gene:gene-LATHSAT_LOCUS22006 transcript:rna-LATHSAT_LOCUS22006 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFARDYARIEGEKVEIERKKVDAKIKKVENAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8542070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:468770607:468771068:1 gene:gene-LATHSAT_LOCUS10942 transcript:rna-LATHSAT_LOCUS10942 gene_biotype:protein_coding transcript_biotype:protein_coding MNIISINIIGCGSIVKRKRLTCLIQSCNVDICFIQETKLEFMDDYLASSSIWGNSEVEWSVLGSKGVAEGIATLWEKNAIKLNCNFIGEGFLGLNAEWNGKDIFFINVYSPCKVPLKRKLWKELVEVKGIFDRGSWAIGGTLTLFLVGMKGRI >CAK8564418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672925101:672930064:-1 gene:gene-LATHSAT_LOCUS17724 transcript:rna-LATHSAT_LOCUS17724 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDIGVAAGINILSAFIFFVAFAILRLQPLNDRVYFPKWYLKGLRTDPVHGGAFVRKVVNLDWRSYIRFLNWMPAALRMPEPELIDHAGLDSVVYLRIYLLGLKIFVPIAFLAWAILVPVNWTSTGLEVAGVKNITSSDIDNLSISNVQRGSERFWSHIVAAYAFTFWTCYILMKEYGKVAAMRLQFLAAEKRRPDQFSVLVRNIPPDPDESVSELVEHFFLVNHSNTYLTHQVVYNANRLAKLVKKKSKMQNWLVYYQNKLDRTSIRPEMKTGFLGCCGTKVDSIDHYTTEIDKLSKEIALERDEVANDPKSTMPAAFVSFKSRWGAAVCAQTQQTRNPTLWLTEWAPEPRDVYWPNLAIPYVSLTVRRLIVAVAFFFLTFFFMIPIAIVQALASLDGIQKAAPWLHPLVRVPFIKSFIQGFLPGIALKLFLIFLPSILMMMSKFEGFGSISSLERRSASRYYLFSFVNIFLGNILTGTAFQQLDSFIHQPANEYPITIGTAIPLKASFFITYIMVDGWSGIAAEVLMLKPLIIYHLKNFFLVKTEKDREEAMDPGSIGFNTGEPRIQLYFLLGLVYAAVTPTVLPFIIIFFGLAYVVFRHQIINVYNQEYESGAAFWPDVHFRVIIALIVSQLVLMGLLTTKRAASSTPFLIALPVLTIWFHIYCKGRFESAFSKYPLQEAMMKDTLERATDPNLNIKGYLQHAYVHPVFKASLEDADEEEDVTSLKWETESATVPTKRHSRRNTPLPSRVSGASSPSMLDGIKDDPES >CAK8565744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:318816391:318819662:1 gene:gene-LATHSAT_LOCUS18931 transcript:rna-LATHSAT_LOCUS18931 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSPSLWLAPNPSKRWGELFFLLYTPFWLSLSLGIVVPYKLYEKFTELEYLLLGLVSALPAFLIPMLLVGKADRGISWNNRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVASNMTLRRLRHFLADTPEKIRWAAEAAWILTLAYFIAYLETLAISNFPYYEFVDRDSMYKVGSLFYAIYFIVSFPMFLRIDEKPGDKWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPVSGAKQCSPTGLPWFSGHANLT >CAK8544085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662015102:662016695:1 gene:gene-LATHSAT_LOCUS12791 transcript:rna-LATHSAT_LOCUS12791 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMKKKLKSDKVTDSRRAGAEVKSENFEQQTWADLPVELLELIFSRLVVVDNIRASVVCKRWHSVASSVRPVNQSPWLMYFPKKDNRYDFYDPVQRKTYSLEFPVLDRCRVVYTKDGWLLVIRRNWWPDDHPYFFFNPFTRELIKLPRFNAANPIAAFSCAPTSADCVIFTAKHVGSTVVAISTCYPGAKEWTTVNYGNRSYFSCCTRTKIVFSNGLFYCLSYEGFLGAFDPVECTWTVLEVPPPRSVKSVIARQGRKGKFMTEHEGNIFVIHISCPESPIIFKLDHTLMEWKEVRTLDGVTVFASSFSSLSRTYVAEIMRNSVYFSKVLIYGKRCILFSLDEHRYYPNKQCHDWIEPEAFENLWIEPPKEFAGWM >CAK8571828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:480491159:480492955:1 gene:gene-LATHSAT_LOCUS24454 transcript:rna-LATHSAT_LOCUS24454 gene_biotype:protein_coding transcript_biotype:protein_coding MERENGDVNFTIRNYVEEYAKQGVNLDVKMSNLNVNDLGESFLRKEEYKIWKNNCPHLYDFIIAQALEWPSHTLQWLPVRDEPEGKNYSVQKIILGNYTNGYDQSYLIIAEAKIPNENVDQDYSSDFGCPNNNDVNGDNNFKIIKKINHDGDVNIARYMPKKDSIIATKTNGGVVCIFDIEKQLPMDSDDLARPELRLIGHKADGYGLSWSNFKSGHLLSGDYDGNICIWDINDTPNNLTLNPLQKFKINEGETNDVAWNSKDENLFGSVGGKYLHLWDVRAPIIDNPVQYCVAHSEKINCLSFNPFNEWKIITGSSDKTIKLWDTRKICKSNDMYECVHTFKQLDAGVSQVGWNPNNETMFASGCYGRRVIVWDIGKIGAMQNEMDAEDGPPEMLFVHGGHLGEINDLSWNPCEDMMIASVDAENSVHLWKMYEGNYKYYDDDDDDNDGADDYFP >CAK8574455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682373829:682374992:-1 gene:gene-LATHSAT_LOCUS26799 transcript:rna-LATHSAT_LOCUS26799 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNHFNTQNFANFPFNQNPNNFPNPNNYQNPNYYQNPNQFSNQHPQNIPNFGFPPNFNQTSSVPNFQTYYGSMPRNPSQTPPFNGYVTMANANFPSGGVPEFSEFSTQLTIGGMIVSNEVGPNSEDSTPKSRKTQQPAWNTEQNLVLISGWIKFGTSSVVGRNQKGETYWGKIAEYCNEHCSFDPPRDGPACRNRFNYMNKVLGKWIGAYDGAKRMQGSGWSENDVLAKAQELYACGKNVRFTLMEEWHALRDQPRYGSQVGGNIGSGSSGSKRSRESDACGSNTVESSARPIGREAAKKKGKKKSKEYASEVVDKEWAEYKEFKTKELERLDNIALMQQQANNIALEKTKTKKMKMYLKLTSEEHLDDRKNQLLKKLEAELFDN >CAK8574820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10558298:10560096:-1 gene:gene-LATHSAT_LOCUS27123 transcript:rna-LATHSAT_LOCUS27123 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVHQIVVLGKKFGIRITFHSLVAILTTILVVTAIYFTQEGREWSHANTMLDESLSKCNLFSGKWVFDNESYPLYKEQECKFMSDQLACEKFGRKDLSYQNWRWKPHQCDLPRFNATILLEKLRNKRLVFVGDSLNRGQWVSMVCLVESSIPSTLKSMHTIANGSLTIFKAKEYNATIEFYWAPLLVESNSDDPVNHKVEDRIVRVQAIEKHAKYWTDADVLVFNTFLWWRRQAMNVLWGSFGDPNGVYKKVRMVRVYEMALRTWSDWLEVHINRNKTQLFFVSMSPTHQRAGEWGGTEGENCYKERNQITEEGYSGNGSVPKMMQVVENVIQDLKTRGLKVEMLNITQLSEYRKEGHPSIYRKQWEPLTEEEMSNPKSYADCIHWCLPGVPDTWNELLYAYVFSR >CAK8561563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:296185954:296193875:-1 gene:gene-LATHSAT_LOCUS15143 transcript:rna-LATHSAT_LOCUS15143 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEHQQHATRWIGYVDWRNRPALRGKHGGIIAASFVLVVEILENLSFLANASNLVLYLRKYMHFSPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYRIYLISAVIEFLGLIILTTQASESSLQPPTCDPTTECEEVNGAKALMLFAGLYLVALGAGGIKGSLAPHGAEQFDENSVSGRKHISTFFNYFVFCLSCGGLIAVTFVVWIEDNIGWKWGFGIATISIFLSIPVFLAGSTRYKNKISTGSPLTTILKVLAAAAMNKFISRNSSSAVVNMTSSPLNANSIRKQEESSSSSKEIKEIKETQTPSTTLKFLNSAIENESIKCSAEELEDVKIVLKILPIFCCTIVLNCCLAQLSTFSVEQAATMNTKIGSLKVPPASLPIFPIIFIMILAPIYDHIIIPFARKYTKSEMGITHLQRIGFGLILSIISMAVAALVEVKRKRVATDSNLLDDHTKPIPISFFWIAFQFLFLGSADLFTMAGLLEFFFTEAPVKMRSWATSLTWTSLAIGYYLSSVIVSIVNSVTGNSSHKAWLSGSNLNYYHLERFYWVMCVLSGLNFLHYLFWAIKYKYSGAGNSQ >CAK8563599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619652624:619655324:1 gene:gene-LATHSAT_LOCUS16993 transcript:rna-LATHSAT_LOCUS16993 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHGKCCCVESSSLDRDSRKTGFHHSHRKNILAQRVLKHVSVPSHNFVLEYTFLTLRGYYPDSLDKQNQDNFCIRTQIQGNPNIHFFGVFDGHGQFGSQCSNFVRDRLVEKLSNDPALVEDPVQAYNSAFLATNHELHTSDIDDSMSGTTGITVLVIGDTLYVANVGDSRAVLAVKDGDRIVAQDLSSDQTPFRRDEYERVKLCGARVLSVDQVEGLKDPSIQHWGNEESWDGDPPRLWLPNGMYPGTAFTRSLGDRLAETIGVVATPEVSIVRLTPNHPFFVVASDGIFEFLSSQTVVDMTAKFTDPRDACAAITEESYKLWLELVNRTDDITIIIVQIKGFSNSSTSGIESSEVNVGTAMRTRTGTSEISDTTDIDVYRSVTSSFSDSQSCQHVASTRSPDTVVHS >CAK8536254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922482822:922486573:-1 gene:gene-LATHSAT_LOCUS5641 transcript:rna-LATHSAT_LOCUS5641 gene_biotype:protein_coding transcript_biotype:protein_coding MADYRSFSFTKMIGCWSCFGLINKQPRRRRIRRSIKNYLSQGLLTDGETECDEVSHSGDYTSNNSSGDDSEVQNLPNRSEDILNFRAENGMICRPFPAKETYKLVRSEDEDGNKMLNEYIREYKIGSGSYAKVALYQSSIDGRHYAIKSFHKSHLRKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLIEVIDDSESDDFYMVLEYVEGKWVCEGSGRQCALGEETARKYMRDIVSGLTYLHAHNIVHGDIKPDNLLITRHGTVKIGDFSVSQAFEDGNDELRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDTLQDTYDRIVNNPIEIPDDINPQLKNLIEGLLCKDPEQRMTLTEVAEHDWVIGNAGPIGKYSCWCKRKSLVIEDFEESYVVA >CAK8572408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534799359:534800541:-1 gene:gene-LATHSAT_LOCUS24979 transcript:rna-LATHSAT_LOCUS24979 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAVQLQQKLFDYTASLFNEGFLDEQFKQLEQLQDETNPDFVVEVVTLFFDDAERLLNELTTSLGQENIDFKRLDAYVHQLKGSSSSIGAQTVHKACISFRNFCAEKNIEGCLKSLQQVKHEYSLVKSKLETLFKMEQEVLASRISDLKVE >CAK8538478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484413779:484416073:-1 gene:gene-LATHSAT_LOCUS7669 transcript:rna-LATHSAT_LOCUS7669 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKKFFFDWPLQDEEASSNMANRNDDELELELEPSEISNVLEKCNDNQINLISEAYHLGYGGSKNLLDQSCDLLAIRTPMGNSVLHIAAWNGNDDIVTLLIERAPKLLITVNRNVDRVLHAAARGGKFSTIKKLLEGYKNIRMQEYKSIPLFNRDIDHIETSSAYDLLEFAKLKNDQGNTMFHELMLCDKSKNGGDMIFKVCELFKTEDLSNSINEYAMEITNNANKTALYLAVENENSYAVDLILDKSRNEYEFPPMGLSPFVAAIMMHNQEMLRIILKHKPTWIHSQDENERLPLHYAASIGYLEGVELLLESCKCCTIQRDKYGYFPIHLASHGGHVAVVKKLLEYCPDPTEMLDTSRGRNILHMASHYGKYEVVRYILQNDQIREHDKKHKLINQKDNTGNTPLHLAAKSFHPKTVFYLIWDKRVNYDLVNQNNQTPLDVANENSQLARSSARQQLTCTALNSVGAKSSFKRVLHSKWRQCDSNSAKSKQKESDTNPNESSEIVSNTAQYFFLAGADTQYKDRVETLILVSTLIITASVAACFAVPGEADGRAHNLYHAMFQLFIFFITISLFSSISATIILFWATLGLSKLVTSSLKIVMPLLGIALISLTLAFMAGLYTVISPLRWLANLFLVVAVIFVVLVVLLYTVLFLPSASTRKAMRYISYYPFLFLAWLAE >CAK8530612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35638602:35642604:-1 gene:gene-LATHSAT_LOCUS465 transcript:rna-LATHSAT_LOCUS465 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGEAFLSAFIEVVLDKLASPQVANSIIGKKLDVNLVQRLNNTLFAVEAVLNDAEHKQIKDSAVNKWLDDLKDALYLADDLLDHISTNNNNKLPESHFEGLAHILSF >CAK8530613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35638867:35642604:-1 gene:gene-LATHSAT_LOCUS465 transcript:rna-LATHSAT_LOCUS465-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGEAFLSAFIEVVLDKLASPQVANSIIGKKLDVNLVQRLNNTLFAVEAVLNDAEHKQIKDSAVNKWLDDLKDALYLADDLLDHISTNNNNKVSTVNYFSRLFNFEERNMVCKLEDIVARLEYILKYKDILGLQHLATNHSSWRTPSTSLDHPSNIFGRDQDKQAILNLLLDDDDDVDDNISVIPIVGMGGVGKTTLAQSVFNHDSIKQKFDVRAWVCVSDDFDELKVTKTILEAVAGSSCNINNKELLHLDLKERLSQKMFLIVLDDVWTEDYDSWNSLIRPLQYGNKGSKILVTTRIDKVASMVQTFHAYPLRQLSDEDCWSVFANHACLPQQDSNEYMDLQKTGKEIVRKCKGLPLAAQSLGGLLQRKHDIRDWNNILNSNIWEIESKIIPALRISYHYLPPYLKRCFVYCSLYPKDYEFNKDKLVLLWMAEDLLPSLKNGKTLQEVGYEYFSDLASRSFFQRSGSGNQYRHFVMHDLVHDLATLLGGEFYFRTEELGKETKISTKTRHLSFIQFSDPVLENYDVFSRAKNLRTFLTIGFRFHRVNHEKALCMILENLKCLRVLSLESFLDVHTLPDSVDELIHLRYLDLSCTAIQTLPESLCNLYNLQTLKLHNCTQLTMLPNDMQNLVNLCHLDIGGTNELEEMPKEMSKLTHLQHLSCFVVGKHEKNGIKELGTLSNLHGSLVISKLENVTNSLEASKAHIMDKKYLEELSFKWSEDAKDHFTNSQSEMDILGKLQPCKILKRLIIDGYMGTRFPKWVGDPSYHNLTELSLYRCHNCCILPPLGQLRSLKNLEIRAMSILETIGSEYGDSFSGILFPSLECLKFREMPCWEVWHHSHDSDVSFPVLKSLAIIDCPRLHGGFPSHLPVVETIKIERCNQVDSSLPRAPAIRMLDIIESNKVALHELPISLEVLRIQGREVTQSVFEAITISLTSLQILDIKDCSSAISFPGDCLPLSLKTLFIINSSNLNFPKQNHQHESLQYLRIDGSCDSLTTLPLDILPNLIYLRIHNCRNIECLSASKILPNLIDIDIRGCPKFVSFPREGLSAPSLTSLSVTRCLNLKSLPCHMNTLVPKLKEMYIHDCPEMETFPEGGMPPSLRSLRVGNCEKLSRNPSLSFFDMLSSLSIEDLYNGVKSFPNNGFALLPPSLTNLHLSSMYCLHTLDCRGLLHLKSLKQLTIGSCPKLENMTGERLPASLIELDIIGCPLLGERCLKKHSQIWPKILHIQYIKVDRKWI >CAK8564740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7968412:7972948:1 gene:gene-LATHSAT_LOCUS18012 transcript:rna-LATHSAT_LOCUS18012 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQGGDAEYFADEYEMDDVEEDIEIDGESINREGDDVDSDVDEYDYSGSKAVDTTAAQARQGQDIQGIPWDSLSITRERYRQTRLDQYKNYENVPQSGDRSGKDCNSTDKGYSFYEFRRNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSITHWSSLTCTRSEVLNVSGHVAPSEKHPGSLLEGFTHTQVSTLAVKDNLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAIEIYASPSGAVHFTASNNDCGIRNFDMEKFQLSKHFRFPWPVNHTSLSPDRKLLLIVGDNPESMLVDSQNGKTIAPLSGHLDFSFASSWNPDGVTFATGNQDKTCRIWDMRNLSKSVAVLKGNLGAIRSIRHSSDGKYMAIAEPADFVHVYDVKSGYEKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRHRNYSYTDLMI >CAK8541032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46556291:46558390:-1 gene:gene-LATHSAT_LOCUS9985 transcript:rna-LATHSAT_LOCUS9985 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLAGKHIRTCHRQPLRLETSPTFSFYTYLFIFLSFLPFLYRAPRMNTILSIALRPQHRFIPMLLKMITTQTNSLQIPSTTTKTNPNNNNTISEISKTNNQFVKSNFSDLITLGGFLRSSKQVRHDSLVFNRMIPVLGRLINHYESPQTILSELESIGCINLSNTNKSPNPLLLLLRIFSRAGNHAMVIETCQHMVEFHGFAIFRNTFASNLVMESMFKTSQPERAFYIMENTKFPNFLTFNIALFHLSNLNDITSVWYVLRHMLRLRYHPNHATFSAVLNSFCKMNAFRQVYQILGLMVGLEIDFSVNVWTVLIHRFCKLRRLDVASNLLYKMIRSGCSPNVVTYTALIKAFMESNMVIHALHLFNDMVSAGLDPDLVLYNVLIDCLLKSGLHDDAIEFFHRLSEQKNMRPDLYTLTSLLSTICRSERFDLLPKIVRACRHIGGDLVFCNAVLNSFIKSGRSSCALEYYEHMIVKGFKPDKYSIAGLLSALCAERRIDEAVNVYRGSAMMYHANDARIHTVLTSGLINAGQYHLAAIVFRSAAVQKCSLDSEAYAVGIRAHLRSGLTLEANTLFDQMKDNGLEPNVQTFNMILFSSFKEKNLQKIQLLLKEMIDSRIELGDRNFFNLCKFRCSWNLLAEMRDLGLLSAKMLHALSCGRHPESVKANYNHCAEVDTECNLVLDSSSSEDMSDVAVSVG >CAK8541033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46556291:46558252:-1 gene:gene-LATHSAT_LOCUS9985 transcript:rna-LATHSAT_LOCUS9985-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILSIALRPQHRFIPMLLKMITTQTNSLQIPSTTTKTNPNNNNTISEISKTNNQFVKSNFSDLITLGGFLRSSKQVRHDSLVFNRMIPVLGRLINHYESPQTILSELESIGCINLSNTNKSPNPLLLLLRIFSRAGNHAMVIETCQHMVEFHGFAIFRNTFASNLVMESMFKTSQPERAFYIMENTKFPNFLTFNIALFHLSNLNDITSVWYVLRHMLRLRYHPNHATFSAVLNSFCKMNAFRQVYQILGLMVGLEIDFSVNVWTVLIHRFCKLRRLDVASNLLYKMIRSGCSPNVVTYTALIKAFMESNMVIHALHLFNDMVSAGLDPDLVLYNVLIDCLLKSGLHDDAIEFFHRLSEQKNMRPDLYTLTSLLSTICRSERFDLLPKIVRACRHIGGDLVFCNAVLNSFIKSGRSSCALEYYEHMIVKGFKPDKYSIAGLLSALCAERRIDEAVNVYRGSAMMYHANDARIHTVLTSGLINAGQYHLAAIVFRSAAVQKCSLDSEAYAVGIRAHLRSGLTLEANTLFDQMKDNGLEPNVQTFNMILFSSFKEKNLQKIQLLLKEMIDSRIELGDRNFFNLCKFRCSWNLLAEMRDLGLLSAKMLHALSCGRHPESVKANYNHCAEVDTECNLVLDSSSSEDMSDVAVSVG >CAK8569119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:673292155:673298946:-1 gene:gene-LATHSAT_LOCUS22003 transcript:rna-LATHSAT_LOCUS22003 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGDYTSAPYYQFPHMQNPNPTPPQSDPIPNHYASAPPFTPNYDYPNPAYSPYPPHNPDPVPASNPNFNPQFESNPPYQPPSQPYYPPYDQHQAPPNYPPPNPNPNPNPNSNPNSSLYNPAPYSHNHTASSVPPIPTYESQYENPVKSDYGVGGGAYFDDRYGGFNRSQSDLGSELYGKRHDGGLSRYESGGVSVGGGDEGYGDGVYAYQGGKVEPYGARGTGSKSSTWSNSPTFDDFGRPISFSSAKESSVASKIVKAVPKADTQEDVKSGVQKFRVKMLAESGGQSTMDVLCQVGLDGLRMLDPNTSRTLRIYPLENITKCDRVDSTIFAFWSKSPVDIEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGNRRPAESLKTNEQSTERKKGLGDWMNMIKPANEEKDHWVPDEAVTKCTSCGTDFGAFNRKHHCRNCGDIFCDKCTQGRIALTAEENAQSVRVCDRCLAEVTHRLISAKGSSSKPLLQSHEDLARKLQEELERNRKSSGSGSKSDGTGRRMKEVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSSY >CAK8561082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:88761965:88763519:-1 gene:gene-LATHSAT_LOCUS14704 transcript:rna-LATHSAT_LOCUS14704 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLMRAVQYIAYGGGSNALKHVEVPIPNPNKDEILIKLEAASINPFDWKVQKRMLWPILPPKFPYIPCTDIAGEVMEVGKGVKKFRAGDKVVGFVSPFSGGGLAEFAIVKECLTASRPPEISASESAGLPVAGLTALQALTQSIGIKLDRSGKRKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVIDYKTPEGAALKSPSGKKYDAVIHCAVEVPWSTFEPNLSTNGKVVDITPSSCSMMTFALKKLTFSRKQLVPLLLVPKGKDLQYLVDLVKQGKLRTVIDSKYPITKAEDGWAKSIDGHATGKIIFEF >CAK8566093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378921762:378924447:-1 gene:gene-LATHSAT_LOCUS19256 transcript:rna-LATHSAT_LOCUS19256 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHLLDPPSNSNYNSNVPSSTPSPNPFIRGSAAPHDAAGESHSHHRRAHSESNFRLPDEMMDLSPSNPLNGGGSSTASLEEIGSEDDLFFTYIDVEKLGGCSNGSNCGGNGSDQSGYGNGAGTSGQNDGEKSLNEATTPRTRHRHSCSVDGTTSTSMLGEIMDAKKAMPPDKLAELWTHDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTSGLSTENTDLKLRLQAMEQQAHLRDALNDALMKEVERLKIATGEAMNPSESYNLGMHPMQFAGSNFFSMPQQHSGPSGHQNIQFPQFGHSPSNMPTHQMQQTSSHQISEMLQSDHLGHFKGLDISSQGSALVKSEGPSISASESSTTF >CAK8543591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624183101:624183652:-1 gene:gene-LATHSAT_LOCUS12339 transcript:rna-LATHSAT_LOCUS12339 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISLRLPPAKKVWKTFASKLGSKLHNIRKSKAMKKQRKNKKTTKTTTITTTTKPPTKRFRRKRLTTVRSLLSSFNKKPAPVYIDKLFKEPPCDYVGYLKPHTQPVYKPRTGIAAKDSSEKKQVVELEGTSKRCEKTSASDDVWESVALGSPQMEGIDERAEEFIIRFRKQMAAQERLARSL >CAK8539803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525704685:525708277:1 gene:gene-LATHSAT_LOCUS8865 transcript:rna-LATHSAT_LOCUS8865 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAPTASETSVTMPQTTETVTVIDEALIHDERDKNGITVNAAVESAAASETSALASASDGQKSLDMAVELMDKGNKAMKENDFDEAADNYSRALEIRVAHYGELAPECVHTYYKYGCALLYKAQEEADPLGAVPKKQDGSQHGSNKEGPVKSAVNAESSTASFPSNVEQDVTSNNQESEVDNVSGKNGQQDDGDSDAEGSAEGDEDESDLDLAWKMLDVARAIVEKQSVNTMEQVDILSTLADVALEREDFETSLSDYKKALSILEQLVEPDDRNIADLNFRICLCLEVGSRPEEAVAYLEKATSVCKARLLRLTNEVKSSSDSTSPASKLERDEQTCPGSESNNSIVDKQAEIETLTGLSSELEKKLDDLQQLISNPKSILAEILGLAAAKAGNGKEPSPGKVSSTQLATAHSGGGFDSPTFSTAHTNGSAGVTHLGVVGRGVKRSSNASVAEAGIPKKPALETTKDKGDGGNA >CAK8560142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8721968:8724094:1 gene:gene-LATHSAT_LOCUS13847 transcript:rna-LATHSAT_LOCUS13847 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLIVYVLILPFISPCLCIRFHVGTTYGTTYNVMQYGATGDGKSDDTKAFSSAWSSACKAEGISTLVIPSEKSFLVKKIDFSGPCKSEIRIQFEGKIVAPSKKEWETRPYLIHVENINGLIVDGNSQGEIDGSGSTWWGCSDCDRPGVFQFHNCNNLTVSNLRISNSPRSHVSINECNGATFSHVSITAPASSPNTDGFDISDSTNVLIQDSNIESGDDCIAINGGSSFVNAQRLICGPGHGISVGSLGRHGAKDEVSNVYVQNCTFRETTNGARIKTVSGGSGHAKNITYEQIILENVRNPIIINQEYNNYLEETSSVLVSSVTFRGFKGTYVDKVAINLDCCSSGCYDIVLDQNNIVPAEKEKNYDSVICKNAHGKAADTVPNVPCLSS >CAK8544045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659754271:659755582:-1 gene:gene-LATHSAT_LOCUS12755 transcript:rna-LATHSAT_LOCUS12755 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSFLLSFIFLILHLSGQRVVRGEADVQHDHRIQKLFVFGDSYADTGNIEKGFYPPWNVPYGVTFPSEPSGRFSDGRVLTDYIAKYLKVKLPVSYTKVEHLAPHHLKNGMSFAFGGSGVFETINSLLPNMTTQINFFEKSIQEKVFTTSNIRKSAALVSIAGNDYLRYVKDGSIQSLPSFISSVVNQTITNLIRIKELGVKKVIITNLPPMGCLPSETASSSFKQCNETSNSLLVHYHNTLLTKAVRKLNQQINDDSSPFIVLDIYDSFMSVLKNPSTHNIKNELEPCCVGESSKYFCGMVVNNVKKYKVCENPKSAFFWDLGHPTDAGWRAVYTMLRKSNALEQIQDH >CAK8561910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409329510:409332365:1 gene:gene-LATHSAT_LOCUS15458 transcript:rna-LATHSAT_LOCUS15458 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSAAFSSPQLQRKQTRSCLSTTLLPIVFPVALAAVLYLLEPFKPVHFPSRELPRRTGTALAVNPRMRVGSEVLVEGKVDGPEDLAYDKRNRLIYTGCKDGWIKRITVNKSGADSVVKNWVNTGGRPLGLAWEKTGELIVADADLGLLRVTENGKKPKVEVLANEHEGLKFNLTDGVDVGEDGTIYFTDATYKYSLKDFIFDIAEGKPHGRFMSYNPATKKVELLARNLYFANGVAVAPDQKFVVYCETILKRCRKIYLRGPKKGRIGEFCPDLPGMPDNIHYVGQGQYFIGMVTSISTPQCYLLLRYPFIRKTAAIVSKYFTRPKLEMRGGVMVVDLAGKLTNHYYDPQLSLISSGIKVDNYIYCGSMSYPFLLRLDVVKYPAIPAA >CAK8566631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444412171:444416549:-1 gene:gene-LATHSAT_LOCUS19749 transcript:rna-LATHSAT_LOCUS19749 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGNQSVNLEKHPSGIVPTIINVVSTVNMDCKLDLKSIKLQAPTAEYDPQRHPSVSMRIRAPESKAQINSSGMMVCTGAKSESQSKLAASKYVAIIRKMGFPTKFKDFKIQEIVGSCDVKFPIWLERLANSHVSCTSYNPELFPWLIYQMKQPNIVLYIFDSGKVFLKGTKLRKEIYTAFENIYPVLTEFRKNQQ >CAK8566632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444412374:444416549:-1 gene:gene-LATHSAT_LOCUS19749 transcript:rna-LATHSAT_LOCUS19749-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGNQSVNLEKHPSGIVPTIINVVSTVNMDCKLDLKSIKLQAPTAEYDPQRHPSVSMRIRAPESKAQINSSGMMVCTGAKSESQSKLAASKYVAIIRKMGFPTKFKDFKIQEIVGSCDVKFPIWLERLANSHVSCTSYNPELFPWLIYQMKQPNIVLYIFDSGKVFLKGTKLRKEIYTAFENIYPVLTEFRKNQQWYGRSAISVTFSIGSGYA >CAK8537283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:203439692:203440186:1 gene:gene-LATHSAT_LOCUS6582 transcript:rna-LATHSAT_LOCUS6582 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNNRESFSGNEARVRTVVELGGFYTESSEKQEKKTFRNSLSARQMKSLVALCDTLLPSINDRNVVASSDESVNKFYRTSASMVGTHEHLGVLLSEKLEHPSTWLFMISLWLLSTWFGTLILCGAACLSTKLPFFHSYPHLSPEKREKKNIAGLVSKLLSSP >CAK8560243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11594436:11594987:1 gene:gene-LATHSAT_LOCUS13933 transcript:rna-LATHSAT_LOCUS13933 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPIITKRVWNMIRVALFMLRKGISKGKLMMDLNMMLKRRGKLAGKAITNLMFPHHHGSSTSRDYEFSCSNTPNYKFVLNNKRHHRNNHFFACSHAPLTQDDDIVTVNAVKTMLENMVNNHEVMIEASPALPGFGRTPKARQLRITDSPFPIDDTDTVAEVDKAADAFIKRFYLQLRKQD >CAK8532432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260182194:260183420:-1 gene:gene-LATHSAT_LOCUS2137 transcript:rna-LATHSAT_LOCUS2137 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAQVLHMNGDVEEASYANNSLLQREAISLATSLRIKAITNLYYSLCPKSFAIADLGCSSGPNSLLVTSEIIKVVEKLCQQLNHESPEYEIFLNDLSGNDFNSIFKSLEDFKEKLHDEIETDIGSCYIFGVPGSFYGRIFSNSSLHFIHSSYSLHWLSKVPIGVENNKGNIYLSATSPSNVLKAYYEQFHIDFSLFLKCRAQELVEGGCMILTLIIEGSSYGWELLAKSINDMVVQGIIEEEKLNTFNLPNYFPSPSEVKLEVENEGSFSINELEVSEVNWHNVPDMAETVVKSTRAIIEPLLISHFGEGVTKDIFEHFRKTLTSGISKERAKMTNLTITLTRKP >CAK8563544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615046584:615048000:-1 gene:gene-LATHSAT_LOCUS16942 transcript:rna-LATHSAT_LOCUS16942 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSNLKQLLTLTLILLAVATKSSTCTPSTTRVKAIYYLDNPTFPPSSIDTSLFTHIFYAFVVPNNITYKLEIQQQQGASSKATSLTTFTNTCKTKPSPITTLISIGGANSNSTLFAFIASDSTARATFINSSIEVARTYGFNGIDFDWEFPQTAKEMNDLGELFLQWRNAISDEAVATGKPPLLLTAAVYFAAGLFLSGEPRDYPVFSINKNLDWINVMSYDLHGAWDNVTGAPSGMFDPITNVSVVSGLFSWIRSGLLPEKLVMGMPLYGKTWKLQDPNKHGIGAPSVGPGPGVDGGIAYFQVLDFNKQTGTKVVHDKQTGSVYSYNESSWIGYEDLFTVSIKIGFAQALKLRGYFFWVAGLDTSDWEISTQASKAWKLV >CAK8575660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237437318:237437962:-1 gene:gene-LATHSAT_LOCUS27903 transcript:rna-LATHSAT_LOCUS27903 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTTFLYIAPKISIFANISRVSIYGSYGATLQQIFCFCNIASIILGALATIAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGTQSLIIGIFIYALMTIDAFAIVLALRQTRVKYIADLGALAKTNPISAITFSITMFSYVGIPPLAGFCSKFYLFFAALGCGAYFQAPVGVVTSVIGCWAAGRLPRVSQFGGPKAVLRASDT >CAK8560499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22317672:22318007:1 gene:gene-LATHSAT_LOCUS14165 transcript:rna-LATHSAT_LOCUS14165 gene_biotype:protein_coding transcript_biotype:protein_coding MGARESKFRKWVSGGSVNNKRIQLQGPMLLVPKGYVPICVGTNEDTCRIFMVHVRALGEAFFCELLGKSEEVYGFRNEGVLRIPFEAQEFEELFIGRFNKNMKIKKMVIPT >CAK8569171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678509536:678510305:1 gene:gene-LATHSAT_LOCUS22055 transcript:rna-LATHSAT_LOCUS22055 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSSSTMKSRLRPPRPIPKSPTRVATRTIPDSVSLQTPPGSLMKSQKAVRSPEQLRPEYRTIACEFRALAKMVNNQFGKPDPEETAFTNSCNAKSGVLFQRGRLYDEYSARRNERLKRKQEIAVNEVNITSIKPPRVPPSHRALGVNVESGKKTNTARKLGSLRKSVSAAYSAEVSETPRYMLRSRSKETSKKPPLASRMDKSVGVGGEKKIGATPRRTGRISYH >CAK8574416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680888432:680891459:1 gene:gene-LATHSAT_LOCUS26766 transcript:rna-LATHSAT_LOCUS26766 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSVSVSDCNDLNAQEQPVLAAATATATAAGNETTAAPQQQDPNELEEKKKRLLEELESALVAPPISKPVHKPNRLALGIEVIDETALLDSIPKIGKQSRRRASKKIHKKALTVTENEDLVARNVVISSSSSSSDNERKYSREEMEALRFVNVSQQRKFWKNIYAALQSTFTGEYDTLVAAPSNPIPFVPNKKPILTEECIEDVDSEDDYASIQRPAFQVDGEPNFDSGPPEDGWEYLRRVRWEAQQIPNVKISKLDRSKLTKEQSTYMPQIPDIAKCPEHLLPLKQWEDAFLAEFSALRANLSCLVEEGSNTMQSGNDFGVMSRDMLLCNNMSIAKADQPTILAGKDEDSIMPPKNPGSKTSIDQTCSNIPTLPLLSAILGMDSVARVSMLLKRIRLLEHEKTITRTDCLWLFALCTAVDTPLDADTCAALRSLLRKCANIRAGKAELDDEVVMLNILATISGRYFGQSEN >CAK8570913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:168704058:168704513:-1 gene:gene-LATHSAT_LOCUS23622 transcript:rna-LATHSAT_LOCUS23622 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIDTDIKSRHVLDAKIQEVKPKNRKHSLLCLCNHDSFSQSFVSAQQFLTESATKWYMSSKSPNFPSGSVSMVVDTQQRYKDGMTRSFKVYYRDREGLHPMYVAVKVNTKQTVPKDVTLSKVVLLMLKTPKIKKLHKNKIVMVDKLRGV >CAK8563223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583984679:583986681:-1 gene:gene-LATHSAT_LOCUS16656 transcript:rna-LATHSAT_LOCUS16656 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSKKSPLRAGKPNSVSPVNDVKPWSNPFDSDDDDEKDNRKKYNSSKKNSSERALVTLEVNTNPFDDIDDNKKPSSVTYAHQSADKNRFKNDFHDSGGLENQSVQELESYAVYKAGETTKSVHNCLKIAENIREDATKTLVTLHHQGEQITRSHQVAADIDRDLSRGEKLLGSLGGLFSKTWKAKKTRTITGPVIFGDDLIRRKGNHLEQRENLGLTSASKGQSKLRTPPQEPTAALEKVEFEKEKQDDALSNLSDLLGELKDIAVDMGSEIERHNKALSHLDSDVDELNFRVKGANQRGRRLLGK >CAK8563224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583984679:583986681:-1 gene:gene-LATHSAT_LOCUS16656 transcript:rna-LATHSAT_LOCUS16656-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSKKSPLRAGKPNSVSPVNDVKPWSNPFDSDDDDEKDNRKYNSSKKTSSERALVTLEVNTNPFDDMDDNKKPSLVTNVHQSADRNRFKNKFRDSGDDDEKDNRKYNSSKKNSSERALVTLEVNTNPFDDIDDNKKPSSVTYAHQSADKNRFKNDFHDSGGLENQSVQELESYAVYKAGETTKSVHNCLKIAENIREDATKTLVTLHHQGEQITRSHQVAADIDRDLSRGEKLLGSLGGLFSKTWKAKKTRTITGPVIFGDDLIRRKGNHLEQRENLGLTSASKGQSKLRTPPQEPTAALEKVEFEKEKQDDALSNLSDLLGELKDIAVDMGSEIERHNKALSHLDSDVDELNFRVKGANQRGRRLLGK >CAK8544413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683007048:683007355:-1 gene:gene-LATHSAT_LOCUS13096 transcript:rna-LATHSAT_LOCUS13096 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPMSNDIDQLNLDEVDFEDDEQLNSGENNQNNNIIDGENVANAIDFTADGFDIEEGDPNIEIILPPWN >CAK8536370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935454702:935456074:1 gene:gene-LATHSAT_LOCUS5746 transcript:rna-LATHSAT_LOCUS5746 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVSLNRPEHVWIYTWIYLPDGILYEQRLLSQNPDLTLSDEDIQQLTLMEIEKQLQKNRRSLKEFKPMPYPNNYVLDFLGNRLIYDERQYDIKAQEEIYHNLFQKLMDEQHEIFRQVMQVVTNQNGGVFFLYGYGGTGKTFMWNTLSAALHCQGDIVLNVASSGIASLLLPGGRIAHSKFKIPVPCLESSICNIEKKPDLANLLKVTKLIIWDEAPMANKFCFEALDKSLKDIMSDDNVECQQIFGGKVVIFGGDFRQILPVVLRGTRSDIVHATINASYIWDHCKVLNLTKTFKLTVFSKCNNLTVFSKF >CAK8567768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542963697:542964206:-1 gene:gene-LATHSAT_LOCUS20791 transcript:rna-LATHSAT_LOCUS20791 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVIVQEKQNFNNLIKVLKPKVYITNSSCFKKLVQELTGNADSNSLSPQTLEVSKVVENCNIIETETTSFDNSVSTEGTSYNSSQTSGFSCDDRVLNEEFNQVCNQLCLDNESLFFQDFMVNQPLDELMAFQNVESLLFDVELPNPFYNYCQEIEGTDVSIYDYELL >CAK8538913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499442726:499443778:-1 gene:gene-LATHSAT_LOCUS8061 transcript:rna-LATHSAT_LOCUS8061 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRFLNFFLNPTPKKWSLLSLTHHKSQSNPFTSIPNSVEANSKNLSPHDDGKIFTPSFGIIKTLHTSSVVKQSEKEEVEDSMWMKMKEEAKADVSVEPILSGFYHISVLSHKSLESALANHLAVKLSSVSLPSTTLSDLFLGVLESDKDIMDAVKNDIKAVKERDPACITHVHCFLNFKGFLACQAHRIAHNLWTDDRKVLAVIIQNRVCEVFGVDIHPGAKFGSGILFDHATGIVVGETAVIGNDVSILHSVTLGGTGKSHGDRHPKIGDGVLIGAGTCILGNVKVGEGAKIGAGSVVIKEVPPRTTVVGNPAKLVGGKNNPIKLDKIPSHTMDHVSNIAEFYDYVI >CAK8572427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536179693:536182453:-1 gene:gene-LATHSAT_LOCUS24998 transcript:rna-LATHSAT_LOCUS24998 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTTTIVPSGPPIVSTKNHTFIRHYHHLHSGKNYHSHAGHFPFKTILIIVTMVALIMLLFTIFMVVCLIRRQKSSSKNEIYKEDCESRVLHDTSSRHIASTILSFDSSPDVKGGCLYGGNLSRTPTTPKFKGVQVFTYRELEAATGGFNEANVIGNGGVNGLMYKGVLTDGTLAAIKLLHIEGKQAERGFKIEVDLLSQLRSPYLVELLGYCADQHHRLLIFEYMPNGTLQNHLHSTNDKTQPLDWWARMRIALDCARALEFLHEYAVSPVIHRDFKTYNVLLDQNFRAKVSDFGLAKMGSEKRNGQVSTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDIKRPTGEHVLVSWALPRLTNREKVVEMVDPVLHGQYSKKALVQVAAIAAMCIQPEADYRPLMTDVVQSLIPLVRTQSLSSSLRFQKQIPIY >CAK8541507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:208896276:208900868:1 gene:gene-LATHSAT_LOCUS10424 transcript:rna-LATHSAT_LOCUS10424 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKLLTLVRRSNRRTPILSSIRSVSLSTSAAAPVTRSPPSPPPSPPPPNVMVYDRLAESVKAKLKRLENPDPRFLKYGSPKPEIRDHTQILSAPETRVTTLPNGLRVATESNLSGRTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTERRNARELEEEIENMGGHLNAYTSREQTTYYAKVSQNDVPVALDILADILQNSKFDENRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKAHLQDYIQTHYTAPRMVIAASGAVKHEDFVEQVKKLFTKLSTNPTTASQLVEKEPAVFTGSEVRMLDDDIPLAQFAVAFEGASWKDPDSIALMVMQAMLGSWNKSAGGGKHMGSELAQRIGINEIAESTMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYNTTKLAYQVSEDDVTRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIYDKDVAIAAMGPIQRLPDYNWFRRRTYWNRY >CAK8564952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18433165:18433841:1 gene:gene-LATHSAT_LOCUS18201 transcript:rna-LATHSAT_LOCUS18201 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVFWVISLISLQFLLANCYSTKSLVPALYVFGDSTVDAGNNNNLNTVAKANTFPYGVDFNNCSTGRFSNGKTFADLIAIKLGLPMPPSYIGVSATDRYQIASGINYASGRMLVIGETN >CAK8543044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:570756666:570758756:-1 gene:gene-LATHSAT_LOCUS11831 transcript:rna-LATHSAT_LOCUS11831 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPIVPQQQQQPRGDAVVGGGKQQKKNLAAGEGRNRRAALHDIGNVVTVRGVEVKPNRPITRNFCAQLLANAQAAAAENNKKQGCANVAPGPVPHPAAKGVPVAKRVAVAPKPVQKKVTAKPKPVEVIDVISSEEESSKEKSAHKRKDGAVSSRKKSSRTLTSVLTARSKAACGLTNKPKEIVDIDAADANNELAAVEYLDDIYKFYKLVENESRPHDYMDSQPEINDKMRAILIDWLVDVHTKFELSPEALYLTINIIDRFLAISLVSRRELQLVGISAMLMASKYEEIWPPEVHDFVCLSDRAYTHEQILIMEKTILGKLEWTLTVPTPFVFLVRFLKAASVSLPPSDQAVFENMAHFLSELGMMHYATLMYSPSLMAAAAVYAARCTLNKSPVWDETLKVHTGYSEEDLMGCARLLVSFHSASGNGKLKVVFKKYADPQKGAVAILPPAKNLIS >CAK8563127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575865705:575866745:-1 gene:gene-LATHSAT_LOCUS16568 transcript:rna-LATHSAT_LOCUS16568 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMKVDRLGLIYVNGVLEFLEYVDKHLPDNNGIFYCPCVVYTNIKKGTKKEISYHLCCGGICQNYIIWMWHGEVDKKEIRESQSQKVDEYEYMEDQLDDMFRDIGKSSFNNAHIYDTLGSDKDTPLYKGCTNFTRLSAVLKLVNLKAKNGWSYKCFTKLLEDNKLLDRCYEAKKILCPMGLENIIIHACPNNFILYWKEYEDLYQCLKYGVSRYKMKANNGDDNDYDNVGRKHPPAKVLWYLPIISRFKRLFANSNDAKNLIWHAGEMTRDGNIRHVADSLFPDFRVEPRNLRLGISIDGMKPFGNLSTNHTSWPVLLTIYNLSPWLCMKRKYILLSMMVSGP >CAK8535208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:825682219:825684184:1 gene:gene-LATHSAT_LOCUS4681 transcript:rna-LATHSAT_LOCUS4681 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVEYDDLSQKIKKVLEFIEDATTHADEIQKKVLAEILSHNANVEYLQRHGLSGQTDSETFKKLIPVITYDDIKNDINRIANGDTSPILTSNPISHFLTSSGTSGGERKLMPATEEESGKRYFLSSLLMPIISQFVPDLEKGKGMYLMFIKSESETPGGIKASPVLTSIYKSSQFINKSYDPYTNFTSPNETIFCLNSYQSMYSQLLCGLCQNKEVLRVGAVFASGLTRAIGFLQKYWSVLCHDIRTGTVNPLITDNSVREAVMKILKPDKNLADFVESECTKGSWQGIITRLWPNTKYVDAIVTGSMSQYIPTLDYYSNGLPLVCTVYAASEGFFGVNLNPLCKPCDVSYTLIPNMCYYEFLPVNRSNKGSLHEKEKQQLVDLVDVKLDQEYELVVTTYAGLYRYKVGDLLKVTGFKNNAPQFSFVGRKNVVLSIDIDKTDEVELQNAIANAITHLVPYDADVADYTSYADLRTIPGHYVLYWELNLKGSTTIPDCVYEDCCLTIEESLNSVYRQGRVSEKSIGPLEIKIVEQGTFDKLMDYTIGLGGSINQYKTPRCVKSAPAVELMDSRVTARYFSPKCPHWVPGHRVH >CAK8539843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528682101:528683585:1 gene:gene-LATHSAT_LOCUS8903 transcript:rna-LATHSAT_LOCUS8903 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSSGRLPTWKERENNKRRERRRRAIAAKIYSGLRAQGNFKLPKHCDNNEVLKALCSEAGWIVEEDGTTYRKGSKRPLPNEIGGTPPNMSACSSIQPSPQSSSFPSPQSSSFPSPIPSYHASPTSSSFPSPTRMDGITNHSSFLLPFIRNITSIPTNLPPLRISNSAPVTPPLSSPRSSKRKADFESLSNGSFNSSFRHPLFATSAPSSPSRRNHLPPSTIPECDESDVSTVDSGRWVSFQTTAHGAAPPSPTFNLMKPVMQKITPQGSMDMIHMNEGMQWTSGSAAERCRGSDFDFENGRVVKPWEGERIHEVGMDELDLTLGFGKA >CAK8560507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22678345:22679946:1 gene:gene-LATHSAT_LOCUS14172 transcript:rna-LATHSAT_LOCUS14172 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLKKLVVSYSDWGGSSKGIRAFMESHMPAFKESNPQLEVATEMIRGQHPHLKAFYKNHNDRVVCVKNMDPEEILLHATRLRNALGRKVIKLRTRHVTKHPSVQGTWSTSLKY >CAK8560631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:31110995:31111994:1 gene:gene-LATHSAT_LOCUS14292 transcript:rna-LATHSAT_LOCUS14292 gene_biotype:protein_coding transcript_biotype:protein_coding MFELETMEYGQYSSYSAEAAAEEEAYATSSTSSMMRKKKNKNTKRFSDEQIKSLETMFETETRLEPRKKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYNKLQNSYNSLASRFESMKKEKQTLLIQLKKLNDLIQKPIEQSQSSSQVKEANSMESESENGGRMKCEAEVKPSTSMERSEHVLDVVSDDDTSIKVEYFGLEDEHGLMNFGEHGDGSLTSPENWSGFETNDLLGQSSCDYQWWDFWS >CAK8560632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:31111013:31111994:1 gene:gene-LATHSAT_LOCUS14292 transcript:rna-LATHSAT_LOCUS14292-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGQYSSYSAEAAAEEEAYATSSTSSMMRKKKNKNTKRFSDEQIKSLETMFETETRLEPRKKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYNKLQNSYNSLASRFESMKKEKQTLLIQLKKLNDLIQKPIEQSQSSSQVKEANSMESESENGGRMKCEAEVKPSTSMERSEHVLDVVSDDDTSIKVEYFGLEDEHGLMNFGEHGDGSLTSPENWSGFETNDLLGQSSCDYQWWDFWS >CAK8544980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715678908:715679348:-1 gene:gene-LATHSAT_LOCUS13617 transcript:rna-LATHSAT_LOCUS13617 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIPLLKKVIKQIDARCHSFLWAGSGDISRKAFISWKKVCTSKNQGGLNLVDMKDWKVVQLLKLLWNISRKSDDLWIRWIHCYYMKENEILEISAKTNWSWIFKVILKQRQRIFDTQTWSYLLYQEKTSVRQFYQLIKGEGDKVD >CAK8544061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660969887:660982271:1 gene:gene-LATHSAT_LOCUS12770 transcript:rna-LATHSAT_LOCUS12770 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTVRMATCFAPLNSRNSAGVLAVNGGRKLLENHYKPCFFKVHENKCGFFSGNRKCGSFQMKSTGLPNSHSIGHYHHSKDPFLDLHPEVSMLRGEGSNSTRQRKDTSGGDVAESLEDISVPSNYNEAKIKVIGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAMRMSPVNSENRLPIGQELTRGLGAGGNPEIGMNAAKESKESIQEAVYGADMVFVTAGMGGGTGTGAAPVIAGITKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEGRPVQASQFTQGDTMVSRRASTFTDGGLVEIPEFLKKKGRLRYPRA >CAK8570568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61272821:61274185:1 gene:gene-LATHSAT_LOCUS23306 transcript:rna-LATHSAT_LOCUS23306 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILACYQLLELNVISAQDLAEVCRSMRTYAVAWMDPDRKLSTRVDSQGGTNPAWNDKFVFRVDEDFLYDENSTITIDIYAIHWFKDIHVGTAVVLSDDIVPPSRPSHSNNYKPPGIRFVGLQVRRPSGRSKGILNVGVAVLDSSMRSMPLYTHNNTPSIGYHNDHHNQQNHEAMPEIRRSKSDTSSMIASEVMEHEKRLKAKRGKSSSQGTASEVSSISKKKAMSTLSGSDVMPKKTSKKSKPGKTPRIYPKEFNEPAKVSYDYEVKPSPKPQFQNTPGRSYNKGGVRATPLHAFAVHNAAANAMEYGTPYRTNKGHHRLMITDSELGPSASEVAAIVARQPVMDEGENSTIGGWSLDESVEELKPKIDRWRTELAPVYDGGEMSSRLSSSRKKGKHSRRNTDGDGGGGGGNGLFSCFSVICGVECSIVCGGDKKKNRLRRNQSVQDSASFA >CAK8579339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692545949:692546317:1 gene:gene-LATHSAT_LOCUS31292 transcript:rna-LATHSAT_LOCUS31292 gene_biotype:protein_coding transcript_biotype:protein_coding MFACFKVKASHKKVKVEQTKVVYKYELPKKQPTCKTVKKKVRFVDSEPTILGEENNEEFEKRRCFSNDELVEKEGIRVTIRLTKQQAAELLSKCNGNVLELKDVARELLSVQGNGVSICTNS >CAK8560073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6374460:6374678:-1 gene:gene-LATHSAT_LOCUS13786 transcript:rna-LATHSAT_LOCUS13786 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESLVIVDQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHDFCVPLVPQRLLVVLLAHTTMGSSTGVKS >CAK8564184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655231133:655233037:-1 gene:gene-LATHSAT_LOCUS17511 transcript:rna-LATHSAT_LOCUS17511 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALSLQPSNGSDILLQTREWFPPSRALGALSAFRHTRRAFAANKNKNLIHEDAYAAESIGDDPLAASSGQVIVGVESRYRIVYRLVNGIYVLGITVADHDNSVNVFECIHIVNQAVSVVVTACRGVDVTPEKLGRKYAEIYMALDIVLRGVSNIRLAAMLSTIHGESIAKMVHSALDSENKIRGADNWSSAEVHSVEHQASIDAFANARFELPQETLEAGDEVVASLAAPVASEQTEEIQPKQNQEEAQVEKDPFAASDAINKPQELVSGFKKTKDGSATDLATALEGLDVTTLPPPEATQSTHINVEGFEGNYGGVEFGMEQASIGEAFEGFNDAWGGGLDVSEFVGPTKAPKPQGLGGVELLQTGPDAAPKATPGEGGALENLVKQTEMKGPEMYISEEISAEFRESLLARVGLMGVVYLRTLPPKSSGGDKETEFSFRIEGTKAVKRFALQSSRVSSLENGMFHVRTAASEEPIPIMKFSLLPKFTPLPLRVRLIKRHTGSFISVMIQYVSNPDLLAPLTDVTFILKLPVDPTLLKVSPKAILNRVDKEIKWLVPEIPLKGSPGRLRVRMPVDSNEDDDEEIEVVGYVKFSVQTSQTLSGVSIRPASEGKTDFYEVSDKLESGVYMCN >CAK8578321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620236892:620239439:1 gene:gene-LATHSAT_LOCUS30342 transcript:rna-LATHSAT_LOCUS30342 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPRRHQQLKSWPITFSPFARALAHTMPKRRSESDENPTPSSASNGSTKHPLEERLEGSESSDGEFEGVVQADFAFFDPKSNDFHGVKTLLQTYLDVEEWDLSGFVDLILEQTTVGTVVKVEDDEDEGIFALATSLNLWRYREHRCIIQLKEFLLHKVCQEKRIADQLRLLLGEQARNVGLLVSQRVVNLPPQLLPHLYDSLFNEVSWATEDEPTEDLRNSFKFKHYIILSKIYKHKNAELKRKQSDDSDEAIIYVKPEDEIFHKLSSWSFCFPLRTQQPAPHELKNYRSMGLIMAVEADKIPAFRQELGSLINES >CAK8560301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13649081:13654422:1 gene:gene-LATHSAT_LOCUS13985 transcript:rna-LATHSAT_LOCUS13985 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPFDPYYMYQPDERSNINTLFVSGLPDDVKAREIHNLFRRRPGFDSCQLKYTGRANQVVAFATFFNNQAAMAALHDLNGVKFDPQSGSVLHIELARSNSWRKRKGGGAYVVIDKRSKGEANVQGASSDDGESDADEPSENGSNHGDIATTQSGDAVVGSDNRVPGAREQHGKGGGDVGPCSTLFIANLGPNCTEDELKQAFSVHAGFNLVKMRSRGGMPVAFADFEEVDQAVKVMEELQGTTLPSSDRGGMHIEYARSRMRKKR >CAK8563554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616185527:616186796:-1 gene:gene-LATHSAT_LOCUS16951 transcript:rna-LATHSAT_LOCUS16951 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSWSNNNNRNRRRNSYHEHYPPPPPTYYYQYSSQPHPMMPQPQPQPQPPQGYFPPQSHQFYSNQPHHPSYAAAAATTTTNTQLFGVDLNVNVVQPPPPPPYVDHETAKKVKNDVNLHKHTLQLHLDPNNSDHHLISFVFDALFDGRITIFYLAKEEEHKCRFIPLFPEAFEPITFPFQKGVGQKFCQPSGTGIDLGFFELDDLSNPSPEEDVFPLVICAETTPLADHETPSSSFVDASPHMQITQAVLEKTNGTGPFQVKVVRQILWIDQVRYELRELYGIGNSVAPDFDRNDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRVQSNNCPICRQPIEQLIEIKINNDDE >CAK8531482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114436327:114438357:-1 gene:gene-LATHSAT_LOCUS1271 transcript:rna-LATHSAT_LOCUS1271 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHEGSCAVGIDLGTTYSCVAVWQEEHCRVEIIHNDQGNRTTPSFVAFTENQRLIGDAAKNQAAANPQNTVFDAKRLIGRKFSDPIVQDDMLLWPFKVTGVNDKPMISVKYKGREKHLCAEEVSSMVLRKMREIAEAYLESPVKNAVVTVPAYFNDSQRKATIDAGAIAGLNVMRVMNEPTAAAVAYGLDKRTNCDGERNIFVFDLGGGTFDVSLLTIKGNVFKVKATAGNTHLGGEDFDNRMVNFFVQEFKRKNKVDISVNPKALRRLRTACERAKRSLSFLVVATIEADSLFQGIDFSSSINRAKFEEMNMDLFNECMKIVESCLMDAKMDKSMIDDVVLVGGSSRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVRAAMLSADSKNSQLVLQEVTPLSLGISVLGDIMSVVIPKNTCIPVNQTKSFVTSEDNQISTLIKVYEGERTKASDNNLLGSFIFSGIPPAPRGSPSVVCFDINENGILTVSAKNNASGSSNKITITNQRDRLSSEEIKKLIQEAENYHIEDKKFLRKATALNALDDYIYKMRNALKKEDIDTKLSPEEIEKIRSTIVVTTYLVDENNHVVEIDDLEDHLKELKTSMDHIIAKKFVMKRKHGNLA >CAK8562251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462079534:462087235:1 gene:gene-LATHSAT_LOCUS15763 transcript:rna-LATHSAT_LOCUS15763 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESGDGREIQKPFLHTGSWYKMGSRQSSVAGSSTTQVLRDGSVSVLFCVLVAALGPIQFGFTCGYSSPTQQAIIKDLNLSVSEFSLFGSLSNVGAMVGAIASGQIAEYVGRKGSLMIASIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYVVPVYIAEIAPENMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAILGILPCTILIPGLFFIPESPRWLAKMGMMDEFETSLQVLRGFDTDISVEVHEIKRAVASTGKRVTIRFADLKRKRYWFPLSIGIGLLVLQQLSGINGVLFYSTSIFANAGISSSNVATVGLGAIQVIATGIATWLVDKSGRRLLLIISSSLMTASLLVVSIAFYLEEVVSKDSHYFSILGIISVVGLVVMVIGFSLGLGPIPWLIMSEILPVNIKGLAGSTATMANWLVSWIITMTANLLLTWSSGATFTIYTVVAAFTVVFTALWVPETKGRTLEEIQFSLR >CAK8570424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44970330:44982965:-1 gene:gene-LATHSAT_LOCUS23172 transcript:rna-LATHSAT_LOCUS23172 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVNINGKRIMFDCGMHMGYSDHRQFPDFSLISPSRSFNDALSCIIITHFHLDHVGALAYFTEVCGYSGPVYMTYPTKALAPLMLEDYRKVMVDRRGDEELFTSDHIAECMKKVIAVDLKQTVQVDEDLEIRAYYAGHVIGAAMFYVKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSKYAREREFLKAIHKCVSGGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSAGLTIQANMYYKMLIGWTSQKIKDTYSTHNAFDFKNVHKFERSMLDAPGPCVLFATPGMISGGFSLEVFKHWAPSENNLVTLPGYCLAGTVGHKLTSGKSTNIDIDPDTQIDVRCQVHQLAFSPHTDSKGIMDLVKFLSPKHVILVHGEKPKMDSLKERIHSELEIPCYNPANNETVSIPSTNYVNAEASSTFIRNCLNPNFKFQKCGSVDTGDSTLKDRDLMPELQVEDERVADGVLVMESNKKAKIVHQDEVLLMLDEKKQEA >CAK8541316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:118801201:118802941:1 gene:gene-LATHSAT_LOCUS10245 transcript:rna-LATHSAT_LOCUS10245 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLQMFRICSVQPCHMQRNYVAGFRSLHTAKMDSRENQIVKNQQHPVMSQNVVVMRHSERLDNVEPLWISTSTRPWDPPLTQSGRVLAFQTGQGIRKSLGFPIHRLFVSPFLRCVQTAAEIVTALSADNDIDGSVSRDDISADPSEFKVSIENGLCEMLNTIAIRINVAPKDGNFSFDISQLETMFPNGTVDNNHVDMVYKELPKWEESVSQANVRYQQTITSLADKYPNENLLFVTHGEGIQVALSSYTKDVVEHKVKYCGYVQLRRPIYKNDQSFIGGKFNLLTHIGQNGVNYISSQEL >CAK8576728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509748538:509749299:-1 gene:gene-LATHSAT_LOCUS28901 transcript:rna-LATHSAT_LOCUS28901 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTEECKNSFMEMKWKKVHRYIVFKIDERSRLVTVDKVGGPGENYDDLAASLPNDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKMLYATSKDGLRRALDGISYELQATDPTEMGFDVIQDRAK >CAK8579682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716106807:716109658:-1 gene:gene-LATHSAT_LOCUS31609 transcript:rna-LATHSAT_LOCUS31609 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVELDFRGSFILLVFCIVIIPTTCQDAEVMNILKGTINSPLTFQWTDPDVCRWRRIQCDSRKRVTAIQLGGQNLQGFLPKELSKLTNLQRFECQSNALTGELPYLSKSLQRLVIHDNKFSFIPGDFFTGMSNLQEVRMDDNPFSPWHIPNSLGDCVSLQTFSAQSAGIVGTIPNFFGRDGPFPGLIFLQLSNNFLEGVLPPSLSGSSIENLLVNGQNSINKLNGTLAVIQNMASLKQIWANGNSFTGPIPDLSRLDQLSDVNLRDNQLTGVVPASLVNLPKLQVVNLTNNYLQGSPPKFRDGVGVDNNMDGGRNQFCTNVLGQPCSSLVNALLSVIEPLGYPLKFAESWQGNDPCANKWLGVICSGGNISIINFQSMGITGTISPNFGSLSSLTKLLLANNSLMGTIPNQLTSMPLLQELDVSNNHLYGRVPSFPRRVVLKFGGNPDIGKDKPSTAGTYGFEDSGGKTNVVSTTVIVLVVAVVLIGVGAFLFIKFWKRPRENFRRSRSHGTIMVDHKYQFDENALNVSIAGGGGGEGGGALSPGGNMVISIQVLRQVTYNFHEGNIIGKGGFGTVYKGELHDGTQIAVKRMQSGITDEKGPNEFTSEIAVLTKVRHKHLVALLGYCLDGNERLLVYEYMPQGALSRHLFNWKGEGIKPLEWKTRLSIALDVARGVEYLHGLTQQIFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKASFQTRLAGTFGYMAPEYAATGRLTTKADVYSFGVILMEIVTGRKAVDESQPEENIHLVTWFRRMLLNKDSFQTIIDKTIEIDEETYESINTVAELAGHCSAREPYQRPDMSHAVNVLSSLVEVWKPIEQDVDDVYGINFDMTLPEALQRWQAFEGKSTFNFTPSPSSLQTSGDNTHSRTSPNVSSSNA >CAK8560418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18421826:18422248:-1 gene:gene-LATHSAT_LOCUS14088 transcript:rna-LATHSAT_LOCUS14088 gene_biotype:protein_coding transcript_biotype:protein_coding MARATGLFPLFSTTMIIMIILFLSLEKAIGEDAKMDTAKYFTRGAWVPPNCPVSEILKPCTDNFIGACVHGVDDACCDSICKHSGIDKGGFCKPLPYKQPPPHDFCHCYC >CAK8543487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610753845:610756596:-1 gene:gene-LATHSAT_LOCUS12242 transcript:rna-LATHSAT_LOCUS12242 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNLKKSKLSWPKTLVKKWFNIKSKSEDFQADDIISQVVDEEYRSNYSEIETCSIKKSKTERSSRRYIDRMQRGKNYLGEAQVDDVCNYRIFVATWNVAGKSPPSYLSLEDWLHISPPADVYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNNLPGTSGGYHTPSPIPAPIVEQDADFEGSMRQKATSFFHRRSFQAMSRSMRMDNDMSLPQSCLDRRLSVCDRMISGHRTSDYDNNCRWGSSDDENGGGDSPITTDYSPMSNGGCLPMEETDRPIGNSRYCLVASKQMVGVFLTVWVKSDIRDDVHNMKVSCVGRGLMGYLGNKGSISISMSLRETSFCFVCSHLTSGQRDGDELRRNSDVMEILRKTRFPQVHDTNDVNSPQTILEHDRIIWLGDLNYRIALSYRGAKALVEMHDWKTLLKNDQLCIEKRQGRVFTGWSEGKIYFPPTYKYSNNSDSYAGDDRRSKQKRRTPAWCDRILWYGSGLQQLSYVRGESRFSDHRPVCSIFLAKVESINSSQVKKGSSCLNSRIEVEELLPHSHSHGYGYTDFHFY >CAK8534946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:793184190:793195137:-1 gene:gene-LATHSAT_LOCUS4439 transcript:rna-LATHSAT_LOCUS4439 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFFFFPLFLLLPFLFDSFSLGATLHKDEVKALIDLGKTLGKKDWNFSVDPCSGRNNWISPTQLQGSENAVTCNCSFQNNTLCHVVSVVLKAQNLSGTLPPDLVRLPFLQEIDLTLNYLNGTIPKQWDTLSLVNISFYGNRLSGPIPKEFGSISTLKSLVLEFNQLSGNLPPELGSLSQIERLLLSSNNFTGVLPATFSKLTALKQFRIGDSQFSGAIPNFIQSWKNLEMLVIRGSGLSGPIPSGISLLKNLTDLTITDLNGSDSPFPQLENMSKLSKLVLRSCNIIGAVPEYLGKLTNLKVIDLSNNKLSGQIPMSFAGLQNMYLLFLSGNQLTGLLPDWIVKPDFVDLSYNNFTITNFEQQTCQQGSVNLFASSLTGNSLGNVSCLENYQCPKTWYSLYINCGGKSVTFDGNKTYDDDSTEMESARYRQIGTNWALITAGHFFDSGRSDYYKWSNATKLDVDNDVDNDTELYMDARVSPNSLTYYVFCIGNGNYTVNLHFAEIMFSDDKTFSSIGRRVFDIYIQRKLVAKDFDIAKEAGGVGKAIIKTFTAGVTRNALEIRLFWAGKGTTTIPFGSVYGPLISAISVDPDFTPPSENNRSIPVGTVVAIVFAVAIVIIFVIGLMWWKGCLTQKCLGAREKKGLASQSGLFSLQKIKAATNNFDESFKIGEGGFGPVYKGVLQDGTIVAIKQLSSKSTQGSREFINEIGMISTLQHPNLVKLYGFCMEDDQLLLIYEYMENNSLAHALFAKKEDLENRQLRLEWKTRKRICIGIAKGLAYLHGESKIKIIHRDIKATNVLLDKDLNPKISDFGLAKLNEDDKTHMNTRVAGTYGYMAPEYAMHGYLTDKADVYSFGIVVLEIVSGTNNTVSHPQEECFSLLDWVHLLKEKDNLLEFVDRRFGEDFNKKEVTAMINVALLCTSYSPSLRPSMSSVVSMLEGRTDVQEVVAESAEVLDDKKYKVMQQYYKQRGESSTSEAQSRSIATDESNAFIYDTDSSCWEPRS >CAK8541777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393097837:393098758:1 gene:gene-LATHSAT_LOCUS10672 transcript:rna-LATHSAT_LOCUS10672 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKEEVKLFGMVISPYVTRVEIALKLKGIEYIYVEEKAGNLSEKAIKYNPVYKKVPIFVHNGKQISESSVILEYIDETWKQNPILPSDPYQKASSRFWSNIIDDKLMNAAKKAIFYHDEKELEQGIEETTVALQHLENDLKDKFFGGNEIGIVDITAVIIAYWLPIIQEAFGLKLFTSDKFPKLYNWSQDFNNHPIVKELLPPRETLMTNYIGHYERHVASK >CAK8575258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:64247445:64248798:1 gene:gene-LATHSAT_LOCUS27537 transcript:rna-LATHSAT_LOCUS27537 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVDDDWEFASSPSKEAWTVVLVGRTGNGKSATGNSILGKKVFTSRSGFSGVTASCQMQTTELNNGQIVNVIDTPGLFEFSATGKSEFIGKEIVKCIDFAKEGIHAILVVLSLRSRFSEEEEHALRSLQILFGSKIVDYMIVVFTGGDELEDNEETLEEHLAHECPQPLKEILSLCGNRRVLFDNKTKDEKKRSSQVQELLEFVGSVVSCNGGRPYTDELFTELKKGAMKLKSQQKEVNSSKEYSDLQISELKKHMQQSYDDQLKQITEMIESKLMEATTKLEQQLAHEQAARLEAEKSAQVAQKKSDEEIRKLREHLEKAHEELRKRGDKGCAIL >CAK8531974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185476994:185480275:1 gene:gene-LATHSAT_LOCUS1720 transcript:rna-LATHSAT_LOCUS1720 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVWRKYADYLYTKWEKTFLWDMVEPYRRPKSFTPVVVTYIAAFYTGVIGAAITEQLYKEKYWEEHPGKAVPLMKPKFYGGPWRVMGGEIPRYE >CAK8534035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:683678537:683684563:-1 gene:gene-LATHSAT_LOCUS3610 transcript:rna-LATHSAT_LOCUS3610 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSKRSVANGSSSSSNNKNTKKKKMNKTGPEGVAMKAKAPKANVNPFESIWTRKKFDILGQKRKGETKRTGLARSTAIEKRKKTLLKEYEQSTKSSQFVDKRIGENDETIDDFGKAIMRSQRERQLNLKLSKKSKYNLSDGEDDDGFEGIDTLGGDDYEDDMLDEDDNDETYKRSDLGQHLNAHGMQSPGETDGADGGENRRKTKKEVMNEIIAKSKFYKAQKAKDKEEDCDLVDELDKNFTSLAHSEALLSLTEPNKRKALNALVNNSKSNEKSDKDSLSATPTMDKSVKEKPDEYDQLVRQMGFEICARPSDRLKTPEEIAQEERERLEELEELRQKRMTAAEDSSEEDDEDSEKPSEQKQRSVSGDDLGDSFSVDEETITKKGLIDLILERKDDEDSSGEDDDGEEDSDDSESSEDPDEGTGDDHKKDITLKDWEQSDDDDISAGSEDEDDEDEERAAEELDEIKGLNSRIHKKAKRNDSVESVKGDDDASDAKKIVVGEKMSKELEIPYIIEAPKTFEELCSLVDKRSNSDIILIINRIRKSNAIILAAENRKKVQVFYGVLLQYFAVLTNKKPLNVDLVNMLVKPLIEISMEIPYFAAICARRRIETTRKQFVESIKNAENCSWPSSKTLCLFRLWSMIFPCSDFRHPVMTPVVLLMCEYLMRCPITSGRDIAIGSFLCSMLLSVFKQSKKFCPEAIIFIQTLLLATTESKHISCEDSQLYHLMELKDLKPLVRINESVDKINALNFFKLIDMPEDSPFFTTDDFRASVLVTVVETIQGYINAYKDLNSFPEIFLPILKLLLEIAEQKNMPIVLRDKVKDVAELIKLKADEHHALRRPLKMRKQKPVPIRLLNPKFEENHIKGVDYDAELKKLKRLWKRECKGDVRELRKSNYVLLEVKEKERSLQEKARAEKYGRAKAFLQEQEHAFKSGQLGKGKKRSR >CAK8569250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687547771:687551790:-1 gene:gene-LATHSAT_LOCUS22128 transcript:rna-LATHSAT_LOCUS22128 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRFMLWFVLLLLFLCFEVTLEQIEIQPLSSPQERAALLQLRASLGLRTKEWPRKPDPCLIWNGISCRNGRVVGINISGFRRTRIGRMNPQFSVDALVNFTLLQSFNASGFYLPGSIPDLFGFSLGELRVLDLRSCSIENAIPNSIGNLTRLTALYLSDNNFTGNVPDSLGQLSSLSVLDLSGNLLTGIIPESFGFLASLSSLDLSGNFFSGPVPEGLGNLSRLQYLNLSGNSLNSLPAQMGGLVSLVDLDLSENSFSGGVVPDLKGLGNLRIIVLRRNNFTGSLPVELWSLPRLTFVDVSSNSFSGLLPNSRSSVDSTVAVLNISHNMFYGGLTPVLRRFSFVDLANNYYEGKVLDFMHNGSLDSNCLQGATNQKSTVECASFYADRGLSFDNFGQPNMTEGSGKSNKTKIILAAVLGGLGLLALLALLLVLLILCTRKRGNSSQRGNGVGPAPAAGSPPPPGVSINLANVGDSFTYHQLLQATGDFNDANLIKQGHTGDIFNGVLENGIPVVIKRIDMRSMKKESYLSELDFFNKVSHPRFVPLLGHCLENENEKFLVYKLMPKGDLSNCLFFKNATSEDGTLQSLDWITRLKIATGAAEAISYLHHDCIPPIVHRDIQASSILLDDKYEVRLGSLSEACTQEGDTHQSKITRFLRLPQSSEQGASGLPTSVCAYDVYCFGKVLLELVTGKLGISASSEGELKDWFDQILPCISMYDKEPVTKIVDPSLVVDEDFLEEVWAISIVARSCLNPKPSRRPPMRYVLKALENPLKVVREESSSSARLKATSSRGSWNATLFGSWRQSSSDVATVPAASGTKLEGASSLKLSATSSSSSRRRHSNEICPEPSFRLPDIERLEHEGREA >CAK8573068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580546428:580547843:-1 gene:gene-LATHSAT_LOCUS25558 transcript:rna-LATHSAT_LOCUS25558 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTSEISVTTKLDYDRASELKAFDETKDGVKGLVDASITHIPRIFHHEIDKDSASSLTSTTKLVVPSVDLADIHLDPTRRKTVVEKIREASETWGFFQVVNHGIEVTVLDEMKNGVIRFFEQDSEVKRELYSRDDALKPLVYNSNFDLYSSPAANWRDTFYCFMAPHSPKPEDLPSVCRDIMLEYSKEIMKLGNVLFELLSEALGLNPNYLNDMRCIEGLVAVCHYYPPCPQPELTLGTTKHTDNDFITVLLQDHIGGLQVLHENNWVDVSPIPGALVINIGDLLQLITNDLFKSVEHRVVANHVGPRVSVASFFSTSFQPSAQLYGPIKELLSEENPPKYKETTVRDYVVFSMARGLDGTSPLPYFRI >CAK8574389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679618850:679619003:1 gene:gene-LATHSAT_LOCUS26742 transcript:rna-LATHSAT_LOCUS26742 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLR >CAK8562692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:527040925:527041707:-1 gene:gene-LATHSAT_LOCUS16167 transcript:rna-LATHSAT_LOCUS16167 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNNNFDSDFALLDSIRRHLLGEPESIFGAPTTSSVNTNARVFSRSSSFSSLYPCLSDNWGDLPLKEDDSEDMVLYGVLRDAVNVGWVPSLEVGSPESISSGFPMELVKPEPDIMPVENIPTVVPTAVQVVPKGPKAAPVKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRITSKRSSPERSSSSLSSESNSPAKKKKVMAAQVAQLTRGGQLLVS >CAK8535227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827881061:827882895:1 gene:gene-LATHSAT_LOCUS4700 transcript:rna-LATHSAT_LOCUS4700 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNKKLEVSFDDQIPNKWCVSLGEETFKRFFSSLSSNQIVYKVFGDGSLFSPMLFGKFFDPCDAFPLWEFESDVLLSHLRSFNQTTVDWHHADEGYLLKAEIPGTGKNNIQVHVDKGKVVEISGQWKQQRESKTNDWKSDHWWENGYVRRLEIPEDADWKNVAAFIYNDIFLEIQIPKIQKGYDTHGKDLA >CAK8571883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:489475509:489479636:1 gene:gene-LATHSAT_LOCUS24506 transcript:rna-LATHSAT_LOCUS24506 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDYDYPRSGMNMNNVNENHVQHRVEIPPPQPFLKSMKYTMKETFFPDDPLRKFKNQPASRKLVLGFQYLFPIFEWAPNYTFQFLKSDIIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMGSMLATEVNPNQNPSLYLHLAFTATFFAGVLQASLGLFRLGLIVDFLSHAAIVGFMSGAATVVCLQQLKPMLGLEHFTHSADLISVMRSVFTQVHQWRWESAVLGCVFIFFLLITRYFSKKQPKFFWVSAMTPLASVILGSLLVYFTHAENHGVQVIGELKKGLNPISITELVFVSPYMTTSIKTGLIVGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIVMAIAVMLTLLFLTPLFYYTPLVVLAAIIVSAMLGLIDYQAAIHLWKIDKFDFVVCVSAYFGVVFGSVEIGLVIAIVVSLLRLLLFIARPRTSVLGNIPNSAIYRNIEHYSNANRVSGILILKIDAPIYFANASYLRERISRWIDEEEDRIKAKEETSLKYIILDMSAVGNIDTSGISMLEEIKKISERREQQLVLVNPGSEVMKKLNKSDFQKDMGGNWIYLTVEEAVRACNFVLHGCKTNPKRDESEGWNNV >CAK8571609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:448014678:448016764:1 gene:gene-LATHSAT_LOCUS24252 transcript:rna-LATHSAT_LOCUS24252 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPFNIYYGEKKGDAKSLQTLSGLSNNSTYGGSDVRRTGSELNSLDASSDNSSDSLRRNAFPNLSQRPSNLRVFTVSELKSATKNFGRSVMLGEGGFGCVYKGVIKTLDDPPSKIEVAVKQLGKRGIQGHKEWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLIYQYMPNGSVEHHLSPRSETPLSWSRRLKIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDRNRPKSEQKLLDWIRPYLSDVKKFQIILDPRLERKHLSKSAQKLALVANRCLVRNPKNRPKMSEVLEMVNQIVESSASTNPQPSMNSVASADDSQNTEIKNKKRIMDPKPDCNWFRSWRPKLLRTC >CAK8534602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746889040:746891136:1 gene:gene-LATHSAT_LOCUS4135 transcript:rna-LATHSAT_LOCUS4135 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVSSHVSEEQINISDMPIWAQATFRDRTQLNSVPSKVYKVSLFNRDNVLVRVPIEHSTPEVARLTILEWISRHKYTHDGSNVPYKILYFARTEASVVNIVRDILICFEDFNIKVGGHSEDRDIIVTTPLKWNSRIWKSNHPQMEIDLIIIYEIRFFNDVAYGKYLENIISRAYMQSSNDVRMVGLSAFPIALDIVHFLKVDLNKGYFDHEGIVVPGHIDDLLNDHSQHLSVSKLTDQLNSAIAIGTVLNAKQASLWLGCTCLYTRMVKNPSVFGLTTNNVRLEETRDDLINRAAAILEKTGLIKYDRKSRYFEVTNIGRIASYYSVVYGTISKYNEHLKPTIGDSELFHLISKSEEFKRITVRQDQKIEMAKFLNRIPIPITNNIEEPFAAKINFLIQAYISRIEFEDFTTTSEMNFIIQRAESLFRALFEIVLNRGWAQLTEKALNLCKAVTKRVWNV >CAK8578242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614154107:614154316:1 gene:gene-LATHSAT_LOCUS30269 transcript:rna-LATHSAT_LOCUS30269 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSHGESLDCVGLYLSAPFFSHGQLYVVISRVKRKCGLKFLIHNKENESCLTTINVVFKEVFQALY >CAK8544166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670268703:670268909:-1 gene:gene-LATHSAT_LOCUS12868 transcript:rna-LATHSAT_LOCUS12868 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKRSAEAVGCKNESVGERSALERSTRASRVGRSGSENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8578766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:652128975:652129442:1 gene:gene-LATHSAT_LOCUS30752 transcript:rna-LATHSAT_LOCUS30752 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVCGSTHQVPIEIKKPQGRELAIRWLVAASRKRPGQNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8575002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20788608:20792088:-1 gene:gene-LATHSAT_LOCUS27295 transcript:rna-LATHSAT_LOCUS27295 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQILSTNSNNNVVHPISIPVQNQHYNGVREVKHYKKWFPWLIPFFVVANVVVFVVTMYVNDCPNNSVSCIARFLRRFSFQPLKENPLLGPSSLTLQKMGALDVNKVVRVHQGWRLITCMWLHGGVFHLLANMLGILFIGIRLEQEFGFVLIGLLFVISGFGGSLLSALFIQSNISVGASGALFGLLGGMLSELITNWSMYDNKFAAFFTLVIIIVINLAIGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVNQRYARVDYSPTRAKPKFKKYQCILWVLSLIILIVGLSVGLIALLRGVDANDHCSWCHYLSCVPTSKWSCHTEAAYCLSNQLGNQLNVTCSSNGKSSTYLMPDPTSSQIQQLCTQICN >CAK8530338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15024914:15025396:1 gene:gene-LATHSAT_LOCUS209 transcript:rna-LATHSAT_LOCUS209 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIIIRIHHNSEFVYGDLIVYEGGKVNELKVDVDRWSYFELIGTLKDLGYRDFEKIYYNDPTFGMNSLNDDAGALEIANLYRVHLGVNIYIQHKLNQTDYYDGPIEAELGNGDNVNEEPNVVEELLSKLYEEAVNENGKFKEAENVGLDGPIEAELDA >CAK8567894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555255846:555257213:1 gene:gene-LATHSAT_LOCUS20908 transcript:rna-LATHSAT_LOCUS20908 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNLPMGKPYSFLMNKERPVSGQNSLKVKKESHKITKNAPLPIPQKLIKQIVEPRIIYTVPQRVIHVSPKDFKDVVQSLTGMEQPTGVSKVSPAARLAWTGETSPEKKEKSSCSNGDDDMTRMLDTGVQMGQLPGILLPVPEILPPKSYENFLPPVLPQMQYNNFLPPVLPQNQYNNFLLPTLTQNQYNNFLPPTLPQNQYNNFSPLTTSEIFSQTNLPPIASDTFLRDTWQKEPSDIFSPVTLPQSDIFSPRTLPPTQPDNFLPDNWQPWDILSPPVTLPPTMSDNLLPDNWQPSDILFADTWQPSDSFSPVALPPTQSDICSTDTWQPSDILSPDTLQPSDVLSADTWEPSDILSPPSTQSDTFSTDPWQRIQPDIYSPAALPQTTSDTWLSSNTFSPETLQPKPYEVFSPVLSYADVRHENNILAKPPEFVPADIVPRQRPNQFNYFHYG >CAK8574104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662885704:662887929:1 gene:gene-LATHSAT_LOCUS26481 transcript:rna-LATHSAT_LOCUS26481 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHLTKKSIFFTLAILIPIITTKFLSPFIACVSLILSLVLYIYSTHSNSNHKTPPTPFSVPIFGNWLQVGNDLNHRILASMSQTYGPLFLLKLGSKNLVVVSDPNLATQVLHSQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVHNYSNMWEQEMDLVVQDLKANEIVGSEGIVIRKRLQLMLYNIMYRMMFDSKFESQEDPLFIQATKFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCKDLQTRRLAFFNSYFIEKRRKIMAENGEKHEINCAIDHIIDAEMKGEITEENVTYIVENINVAAIETTLWSMEWAIAEIVNHPKVQTKIRDEISKVLKGEQVTESNLNQLPYLQATLKEVLRLHTPIPLLVPHMNLEEAKLGEYRIPKESKVVVNAWWLANNPLWWEKPEEFRPERFLEEENGTDAVAGGGKVDFRFVPFGVGRRSCPGIILALPILGLVVGKLVSNFEMKALNGMKIDVTEKGGQFSLHIANHSTVLFHPID >CAK8566495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428891964:428892734:-1 gene:gene-LATHSAT_LOCUS19621 transcript:rna-LATHSAT_LOCUS19621 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSIGDMVKCWEAMNNNLKLQLGNIRASFQKRFYEIEHAHISPFYNNLRGSVSRDALRRITEELKRVDYVGTNKEIYRCTLRTTYRLLCACELTRYRVDGIPIPIDAVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVFGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSLQRQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSK >CAK8573769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635695795:635698657:-1 gene:gene-LATHSAT_LOCUS26177 transcript:rna-LATHSAT_LOCUS26177 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPAPIRWRTTAIPILVVVLILATISPSTAIYCDEDDCYDLLGVTQSANSSEIKKAYYKLSLKHHPDKNPDPESRKIFVKIATAYEILKDEATREKYDYAIAHPEEVFYNTAQYYRAYYGHKTDARAVLVGLLLILSGFQYLNQTTRYNQAVAMVKKTPAYKNKLRALELERNGGVTNKKKGQKNKDRKVEEDLSKVEEELDLQITGTERPSIWKLIGVRIILLPYTLGKLLLWSSCWFWTYKVKKSPYSWEDASYLTQSSLGLPDDRWRSIDEATKEDLVLRSLWEKSNMESYMTEMRKESKRRR >CAK8570015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21136332:21138800:1 gene:gene-LATHSAT_LOCUS22806 transcript:rna-LATHSAT_LOCUS22806 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHWSWLCLCILLLSKLVLVFSGIQWIGKISPGFGGSQMNWVDKNGKFLVSNKKLFGFGFVTSSHNNTLFQLAIVHMNSTKIIWTANRESLVSSSDKFVFDEKGNVFLQKGRVLIWSTNTSDMKVSSMELQDSGNLVLLGNDDSDVIWQSFDHPTDTLLPMQNFTKGMRLISEQDSSNNLTYVLEIESHSGNVALSTGLQFPQSYWSMQKDIRRVVNENGDDVAFATLDRNSWKFYDDKRSLLWQFIIADDASNAIWIAVLGSDGIITFMNLQVKDSIASSRRIPQDSCSTPQPCDSYNICFGDRKCSCPSVLSSRPSCEPGFISPCDSKKSIELVKGDDGLGYFALEFLQPSSKTDLIGCKNSCSENCSCLAMFFHGSSGNCYMLDRIGSFVKNDNDTDFVSYIKVSRERSTDADTDTITGMGGSNNTQTIVVVIIAILTLFVISGMIYVGLKFLKKKENLGESPVENSDGDDIFLESLTGMPIRFSYNDLETATNNFAVKLGQGGFGSVYKGSLSDGTQIAVKKLEGIGQGKKEFRVEVSIIGSIHHHHLVRLKGFCAEGSHKLLVYEYMENGSLDKWIFKKNKREQSLDWNTRYNIALGTAKGLAYLHEDCDSKIVHCDIKPENVLLDSNFEAKVSDFGLAKLMNREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKSYDANENSEKSHFPSYAYKMMEQGKVEDLVDSEVKMVENDVRVEIGLNVAFLCIQEDMSLRPSMNKVVQMLEGLCDVPKVPKGSPLGSKFYFNLLRPTSESGTSSEPLEGNSDAYLSAVRLSGPR >CAK8535211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826181189:826183334:1 gene:gene-LATHSAT_LOCUS4684 transcript:rna-LATHSAT_LOCUS4684 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDYHLSPKNKNFLDFIEDVTTHAYENQKKVLAEILSQNANVEYLQRHGLNGQTDSETFKKLVPIITYEDIRSDINRIANGDTSSILTANPVSVFLLSSGTSGGDRKMIPATEEDFGGRYLIFRLIMPIMDQFFPGLDKGKGMFLTLMRNESKTAGGIKTSAATTRYYKSSHFLNRSYNPLTSPNETVLCLDSYQSMYSQLLCGLCQNNEVLRVGAIFAIVLVQAIRFLEKNWSLLCHDIRTGTINPLITDISVREAVMKILKSDKNLADFIQSECSKDSWQGIITRLWPNTKYVDVTVTGTMSQYIPTLDYYCNGLPLVSTIYAASEGFFGVNLNPLCKPCDVSYTLIPTTCYYEFLPVNRSNDSLHEKEKQELVDLVDVKLDQEYELVVTTCSGLYRYKVGDILKVTGFKNNAPQFSFVGRKNVVLSIDSDNTDEVELHDAIKNAVTHLVPYGADVADYTSYADLRTIPGHYVLYWELNHKGSTTIPDCVYEDCCITIEESLNSYYRLCRVSYKSIGPLEIKIVEQGTFDKLMDYAISSGSSINQYKTPRCVNFAPGVELLESRVLENYFSPKYPQLDPSHK >CAK8578747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651071495:651072178:1 gene:gene-LATHSAT_LOCUS30733 transcript:rna-LATHSAT_LOCUS30733 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVLNKTGAEWSAKQHSGDIEASAASTFEIQRKLVQAALAVDSTGGVQSSYSPVSPSSAVFQVIVGGAVFVGGGAAAAAPAGGAAPAADAAPAASKKEEKVEEEEEDDDMGFSLFD >CAK8566750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459454762:459455178:1 gene:gene-LATHSAT_LOCUS19861 transcript:rna-LATHSAT_LOCUS19861 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFKVNFHKSLLVGITIPHHWLEEATQVLNYKLGSSTFTYLSLPIGANPRRNDMWQPVIDTVRSRMLRWKVRLLWIKGRVVIIKSVLSALSIYLLSFFKVPLGVLSKLESLFKQFLWVGRMNSERLIGLNGFRYAGL >CAK8560577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26558312:26558712:1 gene:gene-LATHSAT_LOCUS14238 transcript:rna-LATHSAT_LOCUS14238 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKVNIEEMLPPSYKRGPGRPKKLRRREPDEDPNKGRTQISYCCTNCGVHGHNARSCTVLVPDPKAQKRKPKKKATQTTQPEASTKQHNSEASTEQQQPHHESST >CAK8540801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22371432:22380813:-1 gene:gene-LATHSAT_LOCUS9770 transcript:rna-LATHSAT_LOCUS9770 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVPHNLMLTTTTVNSYNRRHDSLIIPTQNRYLVKKTRLGISSNMWRNFGKQACKGFIRTSVRRPSVALSRNLVFPVKSDIMYSSGKQNKSGHVNKSRFQLNENFVGGCCSNNSLCQFDAKGYSSVAEADVEEDRSFDGFPFDAIEYSSFAEAISSTDAEEDSVQVDVEIRELSEEVKREEKRKIAEFRLKSEAIFSSNYSEEGDAEEGLVGVDEVKELLDEMKREEKRQGDELKRGEKRHLNLIDGMKEGKYRTLKKRQVKIETEVWQEAAKEYQELLVDMCRHKLAPNLPYMKSLFLGWFEPLKNEIEKEQEMYRSGKRRTVYSPFFVQLPSEKMAVITMHKIMGLLMSGTEKGSAGTAKVIQIVCILGDAIEQEVRIHKFLEKSSKRKPRKGMKNKVVEYTEDIKEETKLRKKVIDLMKKQKLATVRGIVKDLDDTKPWGVSMRTKVASRLVELLMQTAFIQPPSDQLEDGALDIRPAFTHSFRTTTQESTKSGRKYGVIECDPIILKGLERTARNMVIPYLPMLIPPVKWTGYDRGGHLFLPSYVMRTHGVKQQREAVKRTPRKQLEPVFEALDTLGNTKWRINGKVLSVVDKMWANGGRLAGLVDRNDLDLPEEPETDDEAKKKKWKWKVKSVKKENRERYSQRCDIELKLSVARKMRDEECFYYPHNVDFRGRAYPMHPHLNHLGSDICRGILEFAEGRPLGKSGLNWLKIHTANLYAGGVDKLSSEGRIAFVENHLDEIFDSADNPTEGKRWWLNAEDPFQFLAACITLTEALRSSSPEAFISHIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLEIMQKDAQKDPAVFTDARHAKTLVNQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLKERDIISDDSELFGAACYTAKVTLTALEEMFQGARSIMSWLGDCAKVIAAENQPVRWTTPLGLPVVQPYRKLGRHIIKTSLQILSLQRETDKVLAKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYETPILENLLESFQESFPSLIFPPLPERGDFDLSEVLESPYFFN >CAK8576458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480776126:480778609:-1 gene:gene-LATHSAT_LOCUS28644 transcript:rna-LATHSAT_LOCUS28644 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHPTPTESVTPSTASAYLNPSYWDERFSKEEKYEWFKDYSHFRHIIQPHLTPNSSVLELGCGNSQMCDGLYKDGTTNITCIDLSHVAVNNMQNRLLSQGFKDIKVMQADMLELPFEDECFDLVIEKGTMDVLFVDSGDPWNPKPETMSKVMSTLKGVHRVLKEDGIFISITFGQPHFRRPIFNAPDFTWSVEWTTFGETFHYFVYVLKKGQRSSYEDIQPVKRFEVPSFNLLHEELESEDFTFLINVDELNS >CAK8539595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517458092:517460581:-1 gene:gene-LATHSAT_LOCUS8677 transcript:rna-LATHSAT_LOCUS8677 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPAAKSIRGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNDDYKYVRILGAFYLRLTGSDTDVYHYLEPLYNDYRKLRRKLADGQFALTHVDEVIDELLTTDYSCDIAMPRIKKRWTLESLGSLEPRQSALEEDFEEEEENEDNEQPADELEDKTHEKDYYRGRSPTRERDRDRRRESHRHRDRDYDREYDRDYDRERARGRDRDRDREKERDRDRERDRDRYRVREEKDYGREREGRERERRDRDRDRGRRRSYSRSRSRSKDRKEHDGGDYRKRHARSSVSPRRHGDGAEDGEPKRKKEKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLRM >CAK8568661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629556526:629558649:1 gene:gene-LATHSAT_LOCUS21599 transcript:rna-LATHSAT_LOCUS21599 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKRSKVEILVNTSKLEVEWHGARITSGNGHTYNVKYDHSSAKDKALSRRVPRKAIRPRPPAMKNIEGWKVNDAVEVWNDGCWKKATVLKYMTGELYLVALHGSCKELKVQEIHIRICQSWENGQWIISPEVPVKSRVMKFSRNLTSNNYKVMPDVQQANNVCSIGLDDSCPNLPSPSTLKRACSHGSSRMEDYPRKKRAGVIMGESKRFKTVSTAPLMEKVDAIAYPQNNMGEKYMHYSFTNSANQLYGIGKKNPCNVKTTVEQDYSCSSLSSVGSCSVISGSANEFFGDMLAGPCQDDADSLRSNPEFADVEVVDGFYGNMFANPCHSDDNTICSDADSLDVEDADVGCTISPKEVVAKKIHRASIGGLHALLPMAKYDARFASSLCKLIGDLYQ >CAK8536007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897423411:897431298:-1 gene:gene-LATHSAT_LOCUS5414 transcript:rna-LATHSAT_LOCUS5414 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDLESLDDDFYSGETEDAPMDYYTDYDDDADDYFDDGDDSDPADSRRPEQNFTILKESDIRQRQEDDISRVAAVLSIPRVAASILLRHYNWSVSKVNDAWFADEEQVRKTVGLLEKKVYENPDANELTCGICFEVYPPSKIQTASCGHPYCFSCWGGYIGTSINDGPGCLMLRCPDPSCGAAIDQDMINLLVSDEDKEKYDRYLLRSYIEDNKKTKWCPAPGCEHAVNFDAGSGSYDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWTDHGERTGGFYACNRYEAAKQEGVYDETEKRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGFYLAEHEHAKKQFFEYLQGEAESGLERLHQCAEKELQVFLNGDGPSKDFNDFRTKLAGLTSVTRNYFENLVRALENGLCDVDSNGAASSKATGSKNAAGSSKGRGGRGKGTIRASMSSRITDDNHWSCEQCTYANVRSATACQMCNQPRR >CAK8544930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712915056:712918389:1 gene:gene-LATHSAT_LOCUS13571 transcript:rna-LATHSAT_LOCUS13571 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQASKYRKGACENCGAMTHAAKACMERPRKVGAKHTNKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQQLEKLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRNSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKETIIEKYGNAADDDKLPRELLLGQSERQVEYDRAGRIIKGQEAAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGTAGIEAAEAANDLMRANIARKEAAAEDPTPPEEKRPATWGSDVPEDLVLDEKLFADALKKEDQRKREEKDERKRKYNVKWSLEVTQEEMEAYRMKKKHRDDPMNLFMN >CAK8536736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11956050:11957079:-1 gene:gene-LATHSAT_LOCUS6070 transcript:rna-LATHSAT_LOCUS6070 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSISFLFKLLILQYLSIQCLSEEFDFFYFVQQWPGAYCDTKQSCCYPKTGKPAADFGIHGLWPNYNDGSWPSNCDPDSTFDKSQISDLMKNMEKNWPSLSCPSSNGFRFWSHEWEKHGTCAESELDQREYFETALKLKEKVNLLQILKNAGIEPNDEFYSIENISEAIKEATGFAPGLECNRDSARNSQIYQIYMCVDTSGSNFIECPLLPRSRCGDQVQFPKF >CAK8569970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18497585:18497989:-1 gene:gene-LATHSAT_LOCUS22764 transcript:rna-LATHSAT_LOCUS22764 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNLSFSSTNQSGSGIKRRGNRCCCEFESPLITSWTYDNPGRRFHGCGNFKVMRKKGCNYVQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSLMFAFLIVFALVEAHVLK >CAK8575220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55976336:55979683:-1 gene:gene-LATHSAT_LOCUS27504 transcript:rna-LATHSAT_LOCUS27504 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQFGRHCVRQIIRSKDAVNDSVVVNPLLYASQGLRYNRKLQVILTTDIDKLGKAGETVKVAPGYFRNHLMPKLLAVPNIDKFAYLLTEQRKIYQPTEEVKHEDVVLVSETKEDLMKEYEKAAQILDKAKLVLRRLIDVQKAKSRESKEDPLELRIPVSKKALVAEVARQLCVNITPENLHLPSPLSTIGEYEVPLRLPRSIPLPEGKLNWTLKVKVRSK >CAK8533185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588928102:588928581:-1 gene:gene-LATHSAT_LOCUS2832 transcript:rna-LATHSAT_LOCUS2832 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSYKLLQSDSTRPSFEFNTGFISSPPSDVVLFGKVISRKTEPGSASQKRQTKEESTSDRINVFVAGLRSPSGRYNLWRRSNSDRKSYSGIFGTVKFPLQMELSDMKMRQERREPMPFPKFTSKDDGGESYWELVRPLRRRGSLMRTLMSSFSCTSIA >CAK8566751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459457824:459469880:-1 gene:gene-LATHSAT_LOCUS19862 transcript:rna-LATHSAT_LOCUS19862 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVEIIDLSSDDESEKALPVAVKLEPGCFTGAEEMRVTGDRRIVKCEKSRISVTRQDADENISCSAPSTGHSNSSVLEQGTSPVDDTGISYASSICAAPLSRQFWKAGSYDDGHGSQISVKDGKSYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVSVDKISNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKNSKLAIGQYGNGFKTSSMRLGADAIVFSRHLNNGILTQSIGLLSYTFLMQTQLDRIVVPMVNYEYNTSTSSLDVLNGKEHFMANLELLLRWSPYSSEADLLKQFQNMGSYGTKVIVYNLWFNDEGITELDFDTDPEDIRIAWDVKKITTKPAWKRIQEEHIAKTFRYSLRVYLSILYLRLPKTFQIILRGQVVKPHSIADDLKLVEFVKYTPQCGGGPVEELFVTIGFLKEAPHVNIHGFNVYHKHRLILPFWQVVRYSDSRGRGVVGIMQADFVEPTHDKQDFEKTSLFQKLEARLKSMTWEYWDTHCRLIGYRSEVRSRTPVTKPLPSSQKSLGYHNKRKTDELIDLHKRKNHASEEYVTGIGFSPNKQITTTPADEVVNPETIKLMQENEKLHTECSELEKRGEELNSRVVELRSKIEEAQNEYNRLLAEVQSLDVKEE >CAK8578369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624423484:624424599:1 gene:gene-LATHSAT_LOCUS30386 transcript:rna-LATHSAT_LOCUS30386 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEELEHTLMACAKAVEQNNLPAAEALVKQIGDLAVSQEGAIRNVATFFAEALSRRIYGVFPKNPVPDPLEIHFYESYPHLKFAHFTANQAILEAFQGKHRVHVIDFSIKEGMQWAVLLQALALRPGGPPVFRLTGIGPPASDNSDHLQQVGWRLAQHAQQIGIQFEYRGLVVNNLADLDSSMLELSSPEIETTAVNSIFELHKLNAYPGELERVLSVIREIRPEIVTVVEQEANHNGPAFLDRFCESLKYYTTLFDSLEGPSAEEKDKAMSEVYIGNQICNVVACEGTDRVERHENLNQRRNRFSSAGFSPVHLGPNALKQASVLLKLFVNGDDYNVEENDGCLMLGWRARALIATSAWKLAANSAVV >CAK8536497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946954958:946956355:1 gene:gene-LATHSAT_LOCUS5859 transcript:rna-LATHSAT_LOCUS5859 gene_biotype:protein_coding transcript_biotype:protein_coding MAYATKQTLITPSSTTTVSLPLTFLDFPLAGPIYVKRQFFYHFPHSTDYFYETTLPSLKHSLSLTLQHFFPLAGNLMSPPPPHKPYIICTQKDSVVFTITESSANFNHLSANKHPKNIEDFKHLVPKLTHKTTLDDDETEKNTFIFPLLTLQATVFPNHGLCIAITYCHVMDDNCCNHFMKTWSAFHGNCEVNFKLTPCFDRNILRDPKGLETVFLRDYFEERKTWKKKVTSQTQTQITEEKPQEYVKATFVFGKEEIERMKRWILNQWKKNDHEIKAPQYLSKFVVTCGFIWASLVKTRYRNDNDDDEKEEYFRFAGDCRDRLGYAIPEGYFGNCLTLSHATAKRKDLKGEEGFVNAVKVIERAVTEMKNELFKDAAEWRETFKKMYEFGSVLLVTGSPKFNVYEIDFGFGKPVKVDMLHSFKCMSIAESGDREGGLEVGLVFKSGEFEYFCSVIEQGLEAFKV >CAK8530757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47384268:47386609:-1 gene:gene-LATHSAT_LOCUS600 transcript:rna-LATHSAT_LOCUS600 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCEEWKPFMIMMAIDVSFAVVNILLKKVLEEGMNHLVFITYRLSIATVFVGSIGYFKERNSRPRLTFRILCYLFFSAIVGASVTQYFFLLGIQYTSATFACAFVNMVPVVTFLLALPFGLETVNIKCNSGRAKILGTLVCIVGALMLTLFKGKGLFNFSHSESSLKLLASTRAPEKWTIGVIALILGTLFWSSWFILQSKISKRYPCQYSSTAIMSFFGAIQSAVICLFIDHNLSIWALRGKIQIIAILYAGIVGSGLCFVGMSWCVKKRGPVFTAAFSPFVQIMAAMIDIPVLHEQLYLGSVVGSILVMVGLYILLWGKSKEVQKRLIKLAEEAEKTKEQEPQPQIQVLTVSCDSRCQ >CAK8573969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650815597:650815995:1 gene:gene-LATHSAT_LOCUS26362 transcript:rna-LATHSAT_LOCUS26362 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGIPCYHTISCMHSRSLNPSDYIPACYRKEAYQACYQYFIYPTNGKNLWEHTPYPDILPPPSKRAPGRPKRIRNKEADEKRKDAKNVSRNGMPNKFSICGMSGHNKTSCPAKPKQAQTATIVQTDQSQTV >CAK8572148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514660605:514661385:1 gene:gene-LATHSAT_LOCUS24744 transcript:rna-LATHSAT_LOCUS24744 gene_biotype:protein_coding transcript_biotype:protein_coding MAHILSEEQIVDFKEAFGLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFDEFLNLMARKMKDTDAEEELREAFKVFDKDQNGYISASELRHVMMNLGEKLSDEEVKQMIKEADMDGDGQVDYDEFVKMMMAIR >CAK8536050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902425606:902427929:-1 gene:gene-LATHSAT_LOCUS5452 transcript:rna-LATHSAT_LOCUS5452 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVVGFEKDLVNMRKYYGSGKTKEASWRKSQLEGLRNFIIENEEKILKALKKDLGKHHVEAFRDEVGILKKSIDLAIKSFKQWMAGKEAKLPQIALLSSAEIVAEPLGLVLIISSWNFPFGLSLEPLIGAVAAGNTVVLKPSELAPVSSSLLATILPNYLDINGIKIVEGGPDVGEILLRQKWNKIFFTGSARVGRLVMSAAVENLTPVTLELGGKCPALVDSLSTSWDREVAVKRILVAKYGSCAGQACIAIDYVLVEKRFCLTLLELLKEGIKKMFGDDPRGSNTIARIVNKHHSNRIKGFLKDPKVKKSVVFGGSMEDDKLFIEPTILLDPPLDSAIMTEEIFGPILPIITVEKIEDSIEFINSKAKTLAIYAFTKNKTLQKRLVSETSSGSIVFNDAILQYAADTLPFGGVGECGFGKYHGKFSFDLFSHYKAVARRSYYTDFWFRFPPWSLNKFQLLEEAYNLNYIGILFAVLGLKRSKRSLYMACN >CAK8539379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510605955:510616625:-1 gene:gene-LATHSAT_LOCUS8481 transcript:rna-LATHSAT_LOCUS8481 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARLPDSMDDEDLATEHKVPSGLIKAIKFDVLSGEDIEKISSLEINAPGQVTCSDLGLPNSSYGCTTCGSKDRKSCEGHFGAIKFPFTILHPYFMAEIAEILKKICPACKSIRHELRIKRAKSLLGINNLPKGCKYCSGNGMGRYPAMKFRVSSNDFFRRTAIIVEVNDVSLNKKRNLGRGLPADYWDFILGDAQPEENHANRRVLSPIQVENLLSGVDSNFIEKYIPSMDLVGLSCFLVAPNCHRVTEVPHRLSGGYPLSFDNRTRACKKLVDFRGTANELSSRVLDCLRFSKINPDRTPINVFTELQQRKVGENACNSSGLRWMKDVVLGKRNDSTFRTVVVGDPDLEISEIGIPCQIAEGLQVSEYVNRQNKQNLLYCCELRLLEKGHINVRRKGNPVVLYKKEDLQIGDVIYRPLVDGDKVLINRPPSIHQHSMIGLTVRVLPISSVVSINPICCSPLRGDFDGDCLHGYIPQSVSARVELNELVALDRQLINGQSGANLLSLSQDSLTAAYLLLQDGVLLNVYQIQQLQMLCNRKLTPPAIVKAPSSNTSFWSGKQLVSMLLPSNFDYAFPSNDVFVSDGELISCSEASGWLRDSENNVFQSLVEHFQGETLDILHGAQKALCEWLSMTGFSVSLADLYLSSDSYARKNMMEEISYGLREAEQACDFNQLLVNYFCEFLSADSENVMTVDVDRLNYERQISASLSQASVDAFRHVFRNIQSLADKYACNENSFLAMFKAGSKGNLLKLVQHSMCLGLQHSLVRLSYRIPRELTCAGWNSHKGLHSTEMFSDILEPGQSYIAHAVVESSFLTGLNPLECFVHSVTNRDSSFSDNADLPGTLTRRLMFFMRDMYDAYDGSVRNLYGNQVIQFSYDAEEDSSCDSNFREDIIGGEPIGALSACAFSEAAYSALSQPISLLEASPLLNLKNVLECGSRKRGGDQTVSLFLSEKIGKQRNGFEYAALEVKNYLERLMFSNVVSTVMIIFTPHSCNLEKYSPWVCHFHLDKENLTRRNLEVHSIIDSLYQRYDSFRKDSKITLPNMKISSKKCCIDCMAKEGGETSVHKEKVIDDCITVTLVEDSKNSVQLDAVRNVMIPFLLRTAIKGFLEIKKVDILWKDLPKVSKSYGSSGELYLRVTMSTDNDSGAFWGSLINHCHRIMPLIDWTRSHPDNIHHFCSAFGIDAAWQQYLHNLSSATSDTGKSILPKHLRLVANSLSASGEFVGLNAKGMARQRKHAAVSSPFVQACFSNPGSSFLKAAKSGVVDNLQGSLDALAWGNCLPMGTGGQFDIIYSEKVQEIDKPVDVYGLLETSFDQLEKETITPQTHKYTSRNCNSEFRYKSRGYARKESKQWKSAIRNNITVNDIQKLTTASRVILNKYPIDEILGEKDKSTIMRVLHFHPRKNEKLGSGLQDIMVGWHPKFTDSRCFFIIRTDGTVEDFSYRKCIIGALDIVDPEKSKIQKKKWSGNDDIGAQKWSGNDDMGAEKGSENYDTGVKRWSESYDLKTKKWWRSDELEDKKWSRNYDMEAKKLLGNYDMEANK >CAK8539380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510605955:510616598:-1 gene:gene-LATHSAT_LOCUS8481 transcript:rna-LATHSAT_LOCUS8481-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDLATEHKVPSGLIKAIKFDVLSGEDIEKISSLEINAPGQVTCSDLGLPNSSYGCTTCGSKDRKSCEGHFGAIKFPFTILHPYFMAEIAEILKKICPACKSIRHELRIKRAKSLLGINNLPKGCKYCSGNGMGRYPAMKFRVSSNDFFRRTAIIVEVNDVSLNKKRNLGRGLPADYWDFILGDAQPEENHANRRVLSPIQVENLLSGVDSNFIEKYIPSMDLVGLSCFLVAPNCHRVTEVPHRLSGGYPLSFDNRTRACKKLVDFRGTANELSSRVLDCLRFSKINPDRTPINVFTELQQRKVGENACNSSGLRWMKDVVLGKRNDSTFRTVVVGDPDLEISEIGIPCQIAEGLQVSEYVNRQNKQNLLYCCELRLLEKGHINVRRKGNPVVLYKKEDLQIGDVIYRPLVDGDKVLINRPPSIHQHSMIGLTVRVLPISSVVSINPICCSPLRGDFDGDCLHGYIPQSVSARVELNELVALDRQLINGQSGANLLSLSQDSLTAAYLLLQDGVLLNVYQIQQLQMLCNRKLTPPAIVKAPSSNTSFWSGKQLVSMLLPSNFDYAFPSNDVFVSDGELISCSEASGWLRDSENNVFQSLVEHFQGETLDILHGAQKALCEWLSMTGFSVSLADLYLSSDSYARKNMMEEISYGLREAEQACDFNQLLVNYFCEFLSADSENVMTVDVDRLNYERQISASLSQASVDAFRHVFRNIQSLADKYACNENSFLAMFKAGSKGNLLKLVQHSMCLGLQHSLVRLSYRIPRELTCAGWNSHKGLHSTEMFSDILEPGQSYIAHAVVESSFLTGLNPLECFVHSVTNRDSSFSDNADLPGTLTRRLMFFMRDMYDAYDGSVRNLYGNQVIQFSYDAEEDSSCDSNFREDIIGGEPIGALSACAFSEAAYSALSQPISLLEASPLLNLKNVLECGSRKRGGDQTVSLFLSEKIGKQRNGFEYAALEVKNYLERLMFSNVVSTVMIIFTPHSCNLEKYSPWVCHFHLDKENLTRRNLEVHSIIDSLYQRYDSFRKDSKITLPNMKISSNRKCCIDCMAKEGGETSVHKEKVIDDCITVTLVEDSKNSVQLDAVRNVMIPFLLRTAIKGFLEIKKVDILWKDLPKVSKSYGSSGELYLRVTMSTDNDSGAFWGSLINHCHRIMPLIDWTRSHPDNIHHFCSAFGIDAAWQQYLHNLSSATSDTGKSILPKHLRLVANSLSASGEFVGLNAKGMARQRKHAAVSSPFVQACFSNPGSSFLKAAKSGVVDNLQGSLDALAWGNCLPMGTGGQFDIIYSEKVQEIDKPVDVYGLLETSFDQLEKETITPQTHKYTSRNCNSEFRYKSRGYARKESKQWKSAIRNNITVNDIQKLTTASRVILNKYPIDEILGEKDKSTIMRVLHFHPRKNEKLGSGLQDIMVGWHPKFTDSRCFFIIRTDGTVEDFSYRKCIIGALDIVDPEKSKIQKKKWSGNDDIGAQKWSGNDDMGAEKGSENYDTGVKRWSESYDLKTKKWWRSDELEDKKWSRNYDMEAKKLLGNYDMEANK >CAK8562209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456690086:456694818:-1 gene:gene-LATHSAT_LOCUS15726 transcript:rna-LATHSAT_LOCUS15726 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDAVKSTLTNLAFGNVIAAAARNYQKELLSEEKAAQSSSVNEEVDLDDLMDDPELEKLHADRIAAFKKEAEKREAWKKKGHGEFREVTEGDFLGEVTGSEKVICHFYHKEFYRCKIMDKHLKSLSTKHIDTKFIRLDAENAPFFVTKLAIKTLPCVILFRQGVAVDRLVGFQDLGAKDDFTTRALEVLLIKKGIIVEKKEVDEEDQEYDESKRRTVRSSAAADSDSE >CAK8541163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79745074:79745529:-1 gene:gene-LATHSAT_LOCUS10103 transcript:rna-LATHSAT_LOCUS10103 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTIHGQWRGYSAGGCQDYDTWHQNPQFKLTATGQDASFPIHVFITLTQGVGFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNPREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >CAK8536323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931300461:931300972:1 gene:gene-LATHSAT_LOCUS5702 transcript:rna-LATHSAT_LOCUS5702 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHMDCPGCQNKVKNALQKMKGVDDIEIDMKLQKVVVNGYADQKKVLKRVRKTGLRAELWQLPHTAESQNQYHQQHLINGPVPNYASQPSSSYNYYKHGYDSSDPSYYHYPSQSSIFGHQTGATFSDDNPHACSIM >CAK8565875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346191235:346192173:-1 gene:gene-LATHSAT_LOCUS19052 transcript:rna-LATHSAT_LOCUS19052 gene_biotype:protein_coding transcript_biotype:protein_coding MKNECTPSPSENRKQNSKRKSLSEDNHDENRKKATTSRHLQSFPPKESQNTTTRKFLSEDGYEKNKKTNKVTTSPQSSKRRCGICFDSVIISEIFKSALCNHPFCTKCISKYVKLQRKENVVKLNCPDPECSLELKPQHLQSILPKQIIDEWEYAIYESSISLKRKIYCPYKNCSVMLVNDGEEVVTSCECPSCHRLFCAQCKVPWHAEMSCRRFQKSTKGEDEKKLDEKFMELAKRKKWQKCPKCCMHVQRNGGCEHISCRCGCNFCYQCGKDWIHGHICKYSR >CAK8571619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:449834800:449836046:1 gene:gene-LATHSAT_LOCUS24261 transcript:rna-LATHSAT_LOCUS24261 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYFSSAYRGDPGVPHADPCRFVNIWIGSLLLTASNCTNPYFWQTGSTTFNWHDRAMLYEQYHWKRAMKKNQPYEFLWNKTWDKSHRDSYYFNWPIYFT >CAK8578672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646062180:646068410:-1 gene:gene-LATHSAT_LOCUS30662 transcript:rna-LATHSAT_LOCUS30662 gene_biotype:protein_coding transcript_biotype:protein_coding MENIAAQLKRGISRQFSSGSLRKTLSRQFTRQASLDPRRNNLRFSFGRQSSLDPIRRSTSEDQAELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHVAACEGHVEVAKLLLSRKANLDARDRWGSTAAADAKYYGNTEVYYMLKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGISTGTYQVAKWNGTKVAVKILDKDSYSDPDTINVFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVREYHAKGDLTGYIQKKGRLSPSKVLRFSLDIARGMNYLHECKPDPIIHCDLKPKNILLDNGGQLKVAGFGTVRFSLISHDKAMLVQPEANIDPSSLYVAPEIYNGNVFDRSVDAYAFGLIVYEMIEGVPAFHPKPAEEVLKLMCLEGKRPQFKIKTKSYPPDLKELIEECWDPEPVVRPTFSQVIARLDRIVANCSKQGWWKDTFKLPWK >CAK8532478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269251288:269253462:-1 gene:gene-LATHSAT_LOCUS2180 transcript:rna-LATHSAT_LOCUS2180 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEATRAKEIAERKFIEKDTLGAKKFALKAQNLFPSLEGIPQMIATLDIYISADNKVKGEADWYGILGVSPHADDDTVRKHYRKLALMLHPDKNKSIGADGAFKLISEAWSILSDKARRAAYDEKINARAQKGSAIFGGSSAKAAANGANNSKKKTPSMGKTQKNTAKENTSSSNKSKSTFWTTCKRCKMQYEYLRVYLNLKLVCPSCHEAFLAVETDPPPASGIRPGTSWIFKQKYDNEGPNKSKSVGKNNTAPPNAGAESNKNSFQWAPFSKTSGVSDVTQAANVVQQAYDKVRRDREEAQAANKREEALKRKQNASKKGYFNPAKRKRKGMDGNGAVGASNLGNEIKFNCTRDLSPVELQRLLVEKARKEISKSLKEFQLNTVGESAAKKSGDCLQKANRKGEFSARNSEICTQNNTGKLEDAKSSLQESRSFAAPIIDNTCPEILDSMLVDIPEPDFYDFYKDRTERSFGENQVWAAYDGDDGMPRHYAMIHRVISMNPFKLQISWLYPNINGGELGPLNWVSFGFSKTCGHFRLGKREIYNWINCFSQKVKWKKGNDGAICINPKKGEVWAIYRNWSLSWNDLIADDVIHKFDMVEVLEDYVEERGVMVIPLVKVAGFKAVFHHHLNEKEIKIIPRKEMLRFSHQVPSHVLTGEEAPNAPKGCRVLDPAATPCELLEVIKVAEDNMTDNVDRFIKETNHEEMIVDTGKLGEQMRE >CAK8563574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617257083:617259632:1 gene:gene-LATHSAT_LOCUS16970 transcript:rna-LATHSAT_LOCUS16970 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNLSTERDGSIHLQVSETGSTGTTMFEPSLLNSSIDKSENDTANSVSPTSSTNIAPEKKLTLFALQLAVFEKAATGLGTLGFIWATVVLLGGFAITLEKTDFWFVSILLVVEGTRIFSRIHELEWQHQATWSITDVRFGIRSFKEMVSSSKHKTEVITTSRTTTRTWISSDVLLLPYARWFFLSRHFSRILSWLQLISAAACVVLSSIKLVRHDFGVVADGDTDKRNRKSALYIFYSLALAEALLFLMEKAYWEWKISYYKLLDEVNDECDLGPSGMVSVRRFFYDAYSRCIYGSIFDGLKMDMVSFSMELLTSSSPDEQLIGARILKQFSISARFSEDTLQKIGISISVVERLVEMLNWTDHNEQEIRLSAAEILSKLASMKHNSLRIAGIPGAMESISSLLQTNRSLVSAAGEIGEKNSKFDHLSYNVWRSNHLGLLILKKLARDHANCGKIGNTRGLLPKIIDFTHIEENLLKSENVTPSQILTVKRSLQLLKILASTIGGEHLRREISEIVFTITNIRDILRHGEKHSHLQKLSVEILTFLALESDATEKIGATGGVLRELFNIFFKRSITEDTKHDLTVAAGESLAMLALDSESNCHRIMKLKLPKRLVEALNDPLLRVNAARILRNLCIYSGSEWFHQLKEVAAATPIILQAIISEGNKVQEVMAGLAANVFKYMNSHESRMLFKDAGITEAELANELVEILKKHKYPTSKVPRIRRFTVELLIWMMKDKAENIYILRDLGMKEALRGMLETTSDLENFNVFCGSVGLNRHKLTTQSLFETALELMEDM >CAK8559908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:606687:607752:1 gene:gene-LATHSAT_LOCUS13644 transcript:rna-LATHSAT_LOCUS13644 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKTFPFLDAKLSDIGLGTSAAPGLLPPYEFKNGDHDFNLVDGAATAGSPALLAVSEVIQELNKKNSDFIPIKANEPIKIVLLSLGTGRSRIPDTLRTNASFAKHFSFFEWVPRIAVGLAISSGQVNEYHLESVFPSNSSSSDNYYLRVEEYNLDPSINADNATKENMEKLVKAGEDLLKESVKVMDVTSFLPYEKSSEGTNAEALERLAEILYNERQMRLKRKSMEKRGRPFIEAVTSAL >CAK8573846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641910641:641911620:-1 gene:gene-LATHSAT_LOCUS26247 transcript:rna-LATHSAT_LOCUS26247 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQLVCEEWDSLSGQYTAEEADFMTQFLGGNYSCFLSNVANDNFMCFSQGSSSSTDHSDNIFPTITPSNGPYLCDPATDIDSLSMFFSPQYLDDNLIKQVYYESIGEGSGLDHAPDSNLQAKREHGMMFVSESPSGEDRTKNMENPAKRFRSSDASMELNDGTCPSIIPKEHEASNANLCRKSRARNGPATDSQSIYARRRRERINERLRILQTLVPNGTKVDISTMLEEAVQYVKFLQLQIKVLSSDDMWMYAPIAYNGINIG >CAK8566675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447985649:447986959:1 gene:gene-LATHSAT_LOCUS19789 transcript:rna-LATHSAT_LOCUS19789 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWTRRTVFRRAHKAKEHLPGVDLTVEEIITPAHFRCPVSLDLMKDPVTLSTGITYDRGSIEKWIESGNKTCPVTNQKLTTFEITPNHSIRKMIQSWCVDNSSYGIERIPTPRIPVSSYEVSEVCTRLLSASKNLDEKKCAEFVGKIKVWWRESERNKRVIVGNGACSVLATVFDSFSSVSFENHVVVLEEILEILTWMVVITSFGETKSKLCSSLSSLDCLVWFLDGKDLGARQNAVLLLKEMNVEELSKVEGVVEGLVKIIKEPIGSSATKACLTTIFKMVSSSKNRVEVGEKFVELGLVSFLLESIVDGEKGVCEKALGVLDCLCDCQKGKEVVKMNALALPLVIKKILRVSPLASGFAVGVVRKMCEKKEEGVLIEVIQLGGFQKLLVMLQVGCEEKTKENATDLLKMLNGYRSKAECVESSLEFKYLKN >CAK8535284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834090015:834092075:-1 gene:gene-LATHSAT_LOCUS4756 transcript:rna-LATHSAT_LOCUS4756 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEDYRRKAKIALVAIVMLASLAVFASFVAFAYYCYIRNKVSKRRRNSHKVEDAANLNEKSDFSNLQVVAEEGLKVFTFKQLHSATGGFSKSNVVGQGGFGLVYRGVLNDGRKVGIKFMDQAGKQGEEEFKVEVELLSRLHSPYLLALLGYCSDHNHKLLVYEFMANGGLQEHLYPVSNNNLSVTSVNLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKKFHAKVSDFGLAKLGPDRVGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGILVTWALPLLTDREKVVKIMDPALEGQYSMKDVIQVAAIATMCVQPEADYRPLMADVVQSLVPLVKTHRSNSKVGSCSSFNSPKLSPGPAQKPDNANM >CAK8532922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:550594703:550600052:1 gene:gene-LATHSAT_LOCUS2582 transcript:rna-LATHSAT_LOCUS2582 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNFRNRVDELVSKVDQLEQKGQVIGSFFSSVNKKQTDMLKGNSTTKDKDKDKHVPSIKKQMQDASRREAAAQKRMQDLIRQFGTILRQMTQHKWAWPFMQPVDVEGLGLNDYYEVIDKPMDFNTIKNQMELQDGIGYKHVREVCADVRLVFKNAMKYNDERSDVHVMAKTLLEKFEEKWLQFLPKVTEEETRREEEEAEAQLAMHIAQEAAHAKMARDLSNELDEVDVLLEELREMVVKRCRKMSSEEKRNLGIDLSRLSAEDLSRALDIVAEANPSFQPNADEVELDINAQSESTLWRLNFFVRDALEVQSKNSGSMDVEENPNNKRKRELCDAIAKVSRKKKKSKKLL >CAK8578354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623058647:623058928:1 gene:gene-LATHSAT_LOCUS30371 transcript:rna-LATHSAT_LOCUS30371 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRIARFFMEVAPPQYVTVMRHRTSKMMETITEEDREISLNDSVISPPKSSSAVSASSLAASACASSSSNATVNSKYFLKEVHRTLSSLNH >CAK8543276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:594958711:594959106:1 gene:gene-LATHSAT_LOCUS12043 transcript:rna-LATHSAT_LOCUS12043 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEMYEEFQCYTHIDEVIISFKRDIRGRRYDFVRFFYVKDEDLLAVKLNNIFIDRKKMFVNVLRFQRKQHVKPGEARRRKDWGKQLMFHEKKPRFINESSRSIRGHFYADVLKPKVYPFEYNTRCKVKF >CAK8561285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:136616597:136623776:1 gene:gene-LATHSAT_LOCUS14893 transcript:rna-LATHSAT_LOCUS14893 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGSYTSSDGVTQMGGIIKHNRKCRDIIALFIFIAFWIAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHAHPGLGELELRYWQNPNQVYQSGLKDGQFKLVDARSICLLECPIPSDDSLNWVCDYPEGDIRLSVEDWINRNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNTSLKHWEQMGGVSINEDIVIDKSIHKFINSRSAVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLMMIRHFVAAMPWITVVFFNVLIISATMFFYIKAGWIGNDAISPIIGEHDPYINVFGRELTHLRAVTVLMTVIMAISILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPLIPFAILAVFYMFWTSAALHLFSSGQIIQNDCNSNCCTYDLVAKRVNCDRCCGYSIHYTPHIGVSILFHLFGCYWATQFFVACSSTVVAGSVASYYWVHGETSHEIPFLSVFSSMKRLLRYSLGSVALGSLIVSFVESIRFLLESLRRKLKVSSHVHDSWIGKAAYKSSQCFLRCIEWTIKSINRNAYIMIAITGKSFFSASSIATDLIMNNILKIGRLNVIGDVILFLGKLCVSLSSSVFAFLMLDTHKYSSGHNKTSSPLFPVVVCWALGYTVATLFFAVVEMSIDTIVLSFCQDSEEHQGTAQYAPPLLIETLANQSEMHRLTQGSQ >CAK8562390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:482992862:482993227:-1 gene:gene-LATHSAT_LOCUS15890 transcript:rna-LATHSAT_LOCUS15890 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQVTKKYLVVFLVLNIAYNNSFLATQARPIKSFNQQSSLSGENSSATGFRPTTPGSSPGVGHRNIVVEDKNMKTMVVVRSPNGEVFLTERSDDGFKPTNPSHSPGVGHAYHTKIGNKN >CAK8542856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553935425:553938129:-1 gene:gene-LATHSAT_LOCUS11659 transcript:rna-LATHSAT_LOCUS11659 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPFFLLGFCLLSNSAGLLDAEDMMKYKNPKESNDVRVEDLLNRMTLQEKIGQMLQIERKYASDNVLKNYFIGSVMSEGGSIPVPMASAENWIDMLNEFQKDALSTRLGIPVFYGIDAVHGHSTVYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCVAVCRDPRWGRCYESYSEDPKVVQAMTDIISGLQGDIPDNIPNGVPFIAGKEKVLACAKHYVGDGGTVDGIDESNTVIDQNGLMEIHMPGYLSSINKGVATVMVSYSSWNGVKMHTHHDLITSFLKNTLHFQGFVISDFEGIDRITTPHRANCTSSLQAGVSAGIDMFMVPKYYKEFIDDLTTLVKNEVIPMSRIDDAVRRILRVKFMMGIFENPFADYSLVNYLGTKEHRELAREAVRKSMVLLKNGKSDEKPLLPLPKKVPKILVAGSHANNLGYQCGGWTLEWQGVSGNDILEGTTILDAIKNTVDPETIVIYEENPDIEFVKSNGFSYAIVVVGEKPYAEMHGDNMNLTIPNPGPETITNVCGAIECAVVIISGRPLVIEPYVGLMDAVVAGWLPGSEGQGVADVLFGEYGFTGKLPRTWFKSVDQLPMNVGDAHYDPLFAFGFGLTTEPTNAIYSQ >CAK8542857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553935425:553938054:-1 gene:gene-LATHSAT_LOCUS11659 transcript:rna-LATHSAT_LOCUS11659-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYKNPKESNDVRVEDLLNRMTLQEKIGQMLQIERKYASDNVLKNYFIGSVMSEGGSIPVPMASAENWIDMLNEFQKDALSTRLGIPVFYGIDAVHGHSTVYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCVAVCRDPRWGRCYESYSEDPKVVQAMTDIISGLQGDIPDNIPNGVPFIAGKEKVLACAKHYVGDGGTVDGIDESNTVIDQNGLMEIHMPGYLSSINKGVATVMVSYSSWNGVKMHTHHDLITSFLKNTLHFQGFVISDFEGIDRITTPHRANCTSSLQAGVSAGIDMFMVPKYYKEFIDDLTTLVKNEVIPMSRIDDAVRRILRVKFMMGIFENPFADYSLVNYLGTKEHRELAREAVRKSMVLLKNGKSDEKPLLPLPKKVPKILVAGSHANNLGYQCGGWTLEWQGVSGNDILEGTTILDAIKNTVDPETIVIYEENPDIEFVKSNGFSYAIVVVGEKPYAEMHGDNMNLTIPNPGPETITNVCGAIECAVVIISGRPLVIEPYVGLMDAVVAGWLPGSEGQGVADVLFGEYGFTGKLPRTWFKSVDQLPMNVGDAHYDPLFAFGFGLTTEPTNAIYSQ >CAK8574768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8786739:8789201:-1 gene:gene-LATHSAT_LOCUS27078 transcript:rna-LATHSAT_LOCUS27078 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISVLLVAQVMPYLEQELNKRYNLLRAWDFPQKSNLVSQHAASIRAVVGSSVAGADSEFIDSLPKLEIVSSFSVGVDKIDLNKCKEKGIRVTNTPDVLTDDVADLAIGLILTLLRRICECDRFVRTGNWKSGDYKLTTKFSGKNVGIIGLGRIGTAIAKRAEGFNCPISYYSRTQKQESKYKYYPSVVELASNNDILIVACPLTEQTHHIINREVINALGPKGFLINIGRGKHVDEPELVSALLEGRLGGAGLDVFENEPHVPEELFGLDNVVLLPHIGSATVETRTAMADLVLGNLEAHFLGKPLLTPLV >CAK8535078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:812286826:812287296:-1 gene:gene-LATHSAT_LOCUS4559 transcript:rna-LATHSAT_LOCUS4559 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFNFLVQYKELIKNLQSTTTPKADIVRQFSIAKQSHYLHTQGGDPLVGPENQGSEKAREVSGNVEEITSVETASDETKKTMPLVTDTTTAEADMNVMDTVEYRSREGLVGQLGDGCDNVRF >CAK8562540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:507480830:507483090:-1 gene:gene-LATHSAT_LOCUS16030 transcript:rna-LATHSAT_LOCUS16030 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFYQPHIEREIESHIERIHPPRVCIDNDSCRYCTVVKIDRANKHGILLEMVQALTDLNLIISKSYISSDGGWLMDVFHVKDQIGNKLTDNNLVHHIQQALCECNTRRNKEISSATVGPQQANVSIEMIGTDRPGLLSEISAVLMGFGFNITSATAWTHNCKVACIIYVEEASKPGPINDKKRLALVEDQLRDVIEAREGRGKKESSVILKSSTAGHSYTERRLHQMMYLSRDYDSCHACNRNNGGEHKRWCDETQVSVDRFEGRDYWVVNIRSRDRPKLLFDIVCVLTDMQYEVFHAAVTSNSPIAEQEYFIRNKCSSNLDTETEKQKLTLCLIAAIERRASHGFKLDISTQNKTELLSKVTRVIRENGLSITRLEFEVQGETAIGSFYVTDCSGQDVNENIVKLLKREIGGLVVLAHNSPYRVSQSSTSMSNKNGDVVPRLSIESVIWSHLERLSNSFSPIRY >CAK8538404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481546487:481547134:1 gene:gene-LATHSAT_LOCUS7613 transcript:rna-LATHSAT_LOCUS7613 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRAILILGLLAMVLISSEVSARELTEEVAEKSNELNDAKFLGFHRHHRSHRHDHRHRHGHRGGHGVDIDNGN >CAK8542477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518964787:518967063:-1 gene:gene-LATHSAT_LOCUS11316 transcript:rna-LATHSAT_LOCUS11316 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTIKLLMLLAIAFLASVCVSSRSDQENPFIFKSNRFQTLYENENGHIRLLQKFDTRSKRFENLQNYRLLEYKSKPHTLFLPQYTDADFILVVLSGKAILTVLNSNDRNSFSLERGDTIKIPAGTIAYLANRDDNEDLRVLDLAIPVNKPGQLQPFLLSGTQNQPSLLSGFSKKVLEAAFNTNYEEIEKVLLEQQEQEPQHRRSLKDRRQEINEENVIVKVSREQIEELSKNAKSSSKKSVSSESEPFNLRSRNPIYSNKFGKFFEITPEKNQQLQDLDIFVNSVEIKEGSLLLPNYNSKAIVIVTVNEGKGDFELLGIRNENQREESDEEEEQEEETSKQVQRYRAKLSPGDVFVIPAGHPVAINASSNLNLIGFGINAENNQRNFLAGEEDNVISQIQRPVKELVFPGSSREVDKLLKNQRQSYFANAQPLQRE >CAK8540318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551776537:551779039:-1 gene:gene-LATHSAT_LOCUS9332 transcript:rna-LATHSAT_LOCUS9332 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGDLWDDSALINAFDDAISSYKKMHITSKNKEAEGIIQENVEISTPTSDAEAKINIPATDSSEISKVSNLEENHQPCLDSTNGQDIQIAHNGYSYGREFDDYSQLVGQYYELEEKRLKILDQINQYGSLNYQHVPTVSDSGVPYSNAQDYWMPMHQVSDPYVVCSCCPDYSQCALASSKLVPGCSVGKTCAGKHCKDGKIREMAVGAAERALSTIRRTISGDLNVNEEKESKNPEPEQIGDSETDLTTLMNAWYSAGFFTGKYLAEKSSGNKRQV >CAK8530684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40650689:40651324:1 gene:gene-LATHSAT_LOCUS530 transcript:rna-LATHSAT_LOCUS530 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKYSQVNDDVPTNFDGREQGAVTDVKNQGTCGCCWAFSTVAVVESITQIKTSNLISLSEQQLHDCDQQSYGCKESYMDNAFKSIIQTNGIVSEADYPYQEVR >CAK8572420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535302260:535302970:-1 gene:gene-LATHSAT_LOCUS24991 transcript:rna-LATHSAT_LOCUS24991 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAFTSPGAKLDNHFNNGGGPPTLRIQGQSCHRIGSLLPPEGQPPKFAQLYIFDTDNEVHNRMQGLRNTKNIDPVIVQKLSDMLYEHNPYAKSFQMAKHCLLISNTQNLKLRLISNRSTDGRVYNQPTVSEVVAFIVGDLDTTEMRDIIMQTKGGELQRINELHACYLAYQYPLIFPYGEDGYRPNIAHRDLDIF >CAK8539157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505699871:505704479:-1 gene:gene-LATHSAT_LOCUS8277 transcript:rna-LATHSAT_LOCUS8277 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANLSPSSSGHIDMSISGLHEKHKMELENLTLTTQPFKTLKYSTLAVIQYIKKTMLYLLAKGGWLLLFSVVVGTLGVVLMAQGCLHEKHLEEFLEYFRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKDCSQFGPPLFLSEYGSRVPLSSILPQVQVEAVLWGLGTAIGELPPYFISRAARLSGSKVDGMEELDSDDKGVLNQIKCWFLSHTQHLNFFTILVLASVPNPLFDLAGIMCGQFGVPFWNFFLATLIGKAIIKTHIQTVFIISVCNNQLLDWIETELIWVLSHVPVFASVLPKLVANLHAMKAKYLKAPQPVSPNTQGKKWDFSFTSIWNTVVWLMLMNFFIKIVNSTAQTHLKKQQERELAALTKKSDSDTQ >CAK8577695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583875380:583876525:1 gene:gene-LATHSAT_LOCUS29777 transcript:rna-LATHSAT_LOCUS29777 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >CAK8571870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:487297363:487300179:-1 gene:gene-LATHSAT_LOCUS24493 transcript:rna-LATHSAT_LOCUS24493 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSHLRPCTTTMLTSTLSSNSSITALPNSSHKPTSLRDAFQSLTRFLTHPSSFPLQQAYAQALDLCASQKALSQGQQLHARFLKTHNSFDSVFLDTKFVHMYGKCGSFLDAEKLFDEMTERTIFTWNAMIGASVSSGRYFEAVELYKEMGGLGVSPDAFTFPCVLKACGALHERRLGHAIHGFAVKCGYGAFVFVCNALIAMYAKCGDLGGARMLFDSVLLEKEDPVSWNSIISAHVSEGQCFEALSLFRSMQEIGVVINSYTLVSALQACEDPTFIKMGRGIHAFILKSNHITDVYVSNALIAMYAKCGQIGDAERIFKSMLYRDCVSWNTLLSGMVQNDLYSDALNYFRDMQDSGQKPDQVSILNMIAASSRAGNLLTGMEVHAYAIRNGIDSNMHIGNTLIDMYGKCCCVKFMGNTFEYMPEKDLVSWTTIIAGYAQNECYPEAFNLLRRVHLERMDVDPMMIGSILMACGGLKSEKLVKEIHGYVLKRGLADILIQNTIVNVYGEVTLIDYARHVFESINSKDIVSWTSMITCCVHNGFPIEALELFHSLKKTNIEPDSITFVSILSAASALSSLKKGKEMHGFLIRKGLFLEGPIANSLVDMYARCGTVENARNIFNYVKQRDLILWTSMINANGMHGCGKDAIDLFNKMIDGNVLPDHITFLALLYACSHSGLVVEGKRLFEKMKYEYQLEPWPEHYACLVDLLGRSNSLEEAHHFVKNMPIEPSAEVWCALLGACRIHSNKELGEVVAKKLLQLNTENSGNYVLVSNTFAADGRWNDVEEVRLRMKGVGLKKKPGCSWIEVGNKIHTFMARDKSHPQHDEIYLKLNQLTKVLKEKGGYAAQTKLVFHDVCEEEKTQILYGHSERLALGYGLLVTPEGTSLRITKNLRICDDCHTFFKIASEVSQRTLIVRDASRFHHFERGLCSCGDFW >CAK8531775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150517443:150520721:-1 gene:gene-LATHSAT_LOCUS1541 transcript:rna-LATHSAT_LOCUS1541 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPLYQLTPCFFSKTQFQGLHHSYLWRPTYLFGSCAQNKLRHVKASVGAAPFPLLQPPIAEDSSELEPVDPDFYRIGYVRSMRAYGIDFKEGPNGFGVYASKDIEVLRRPRVIMEIPLELMLTISKKLPWMFFPDIIPLGHPIFDIINSTNPETDWDLRLACLLLFAFDCKDNFWQYYGDFLPSEDECTSLLLATEEELLELQDPDLASTIRIEQQRVLDFWEKHWHNDAILKVKRLARYPKRFSWAVGIAQSRCINMQMRMCALTQDANMLIPYADMLNHSFEPNCFFHWRFRDRMLEVLINAGQQIRKGDEMTIDYMSAQNNDMLMQRYGFSSPVNPWDAIKFSGNAKIHLDSFLSVFNISGLPDEYYRNEVLSNAGDSFVDGAVIAAARTLPTWSVRDVPPIPSEEKKAAKAIQQECKRMLAGFATTSKQDQKLLDSSPEATRTLEAAIKFRLHRKFLVEKIILALDIYQEQLLF >CAK8568725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635842155:635843294:-1 gene:gene-LATHSAT_LOCUS21657 transcript:rna-LATHSAT_LOCUS21657 gene_biotype:protein_coding transcript_biotype:protein_coding MARNHNTIMLGLVVFLLIQSKAQIGVTAIEAPASAPAPTPTPVPAPAPAPRSDSTPAPSVIPATPDVPVTPATPEIPPAPKTPATPATPAAPETPSTPATPEAPATPETPSTPTIPETPSPPATPTPSPSPSPAPTPSPSPAPVPVNATPPKIPSTPAPPTPPVTPSTPVAPDTPATPTPATSDPAHGTTEGSLKPEECAPRCEDRCAKTHHKKPCLYYCKYCCAKCLCVPPGTYGNKEVCPCYNDWKTENGGPKCP >CAK8571267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:358221388:358224559:-1 gene:gene-LATHSAT_LOCUS23945 transcript:rna-LATHSAT_LOCUS23945 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMSSARLMIVSDLDHTMVDHHDTENSSLLRFNALWESAYRHDSLLVFSTGRSPTLYKQLRKEKPMITPDITITSVGTEITYGKLMVPDDGWVQFLNQKWDKNIVIEETSKFPELTPQAETEQRAHKVSFYVKKENAKQVTEALSKVLEQRGLDVKIIYSGGVDLDILAKGAGKGQALAYLLEKFEKEGKLPGNTLVCGDSGNDAELFSIPGVYGVMVSNAQEELLQWHAENAKDNPKILHASERCASGIIQAIGHFKLGPNLSPRDVPDIAQEHNVENATPSQEIVNFCLLSEKWRRGEIENSELIIAGLKAATHPTGVIILPSGFDHNLREYINIFREVYGDKQGKQFRIWVDNVSATQISPDAWVVKFDKWELDGEERHGCVVTSVLRKDSEWFTLIHVQQTWMEQSGQIKWII >CAK8562987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564564588:564565541:-1 gene:gene-LATHSAT_LOCUS16442 transcript:rna-LATHSAT_LOCUS16442-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFHRGGSSSSKLFLLCFPPTPSLLPSRRDLFLLFSSWRQREQRDTAETPQRLYYHAENIGCLWFPLTLNDYFNETNTFLSCKRSGKHDEEEKRKSVPTKKQQWCMLGMFAHGNEMHTMVGFVKTKGGYGILAASGRRDCEGLRFLKVGFR >CAK8562988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564564588:564565541:-1 gene:gene-LATHSAT_LOCUS16442 transcript:rna-LATHSAT_LOCUS16442 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFHRGGSSSSKLFLLCFPPTPSLLPSRRDLFLLFSSWRQREQRDTAETPQRLYYHAENIGCLWFPLTLNDYFNETNTFLSCKRSGKHDEEEKRKSVPTKKQWCMLGMFAHGNEMHTMVGFVKTKGGYGILAASGRRDCEGLRFLKVGFR >CAK8561440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:211024151:211027476:-1 gene:gene-LATHSAT_LOCUS15032 transcript:rna-LATHSAT_LOCUS15032 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVKHTNWVGNIYQKLEAVCHEVDGIVGQDAVKYLENRVLNVGDSMKKLYSDVVHELQPFPALSGPGPSKYEAHSVALKNNIGLSFKSVCSVEDKDKNKNGVEENPVNNFIESLQDCNAIDLANDQLAGHSDEHELVNQFNSKTHTDSLEREDSFVTRNEVSDDSRESSVVEKENLHASIEETAIKSASELESLISINEKEPLESSIHSQSYYGSSDSASGVSVTIKDTNKINVEQDPCLIFEENNTSLSSAEVVNDTSVGETELSKASLFNVSTDVDKGDNDILAEVSPAVPSVSCKRPNIKETGTSNFKCSLVSDSPYSESYKCSSGYVALCNSDSSMAHVCCESSQIAGQVKESDDRIISSCHCKSMESDDESRSIELSLEDIQLNNDTKLEESRVFVADSELHAVSYRIQKLRSYKKRIQDAFASKKRLAKEYEQLAIWYGDADMEPSQDIPQTRALFSSRTYSNSKDLQMQQASETEWELL >CAK8531368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105259560:105260591:-1 gene:gene-LATHSAT_LOCUS1165 transcript:rna-LATHSAT_LOCUS1165 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVVDDCLNDNTEENVIRLVREAQQQANNTSKHRKRRTVINRSREEGHHRLFYDYFSKNPVYTEAQFRRRFCMRRHVFLRIVEALGNHDEYFQRRIDAVGRMGLSPLQKCTTALRILAYGSPADSVDDYVQIGESTTLKCLDRFVIGVCTIFGAQYMRRPNNEDIARLLQINATRGFSGMLGSIDCMHWKWKNCPVAWKGQFSRGDHGKPTIMLEAVASQDLWIWHAYFGTAGSNNDINVLNTSDVFYDVLNGKAPAVQYSVNRTTYHMGYYLADGIYPEWATFVKTIPMPQGEKRKLFARRKNQHGRMLDGHLECSKPDSLLYVVQRVLGM >CAK8537340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:282853797:282854231:1 gene:gene-LATHSAT_LOCUS6637 transcript:rna-LATHSAT_LOCUS6637 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMEVDVDDGSGVDMSSEIDELCKRFWSLDVVGKRELKGRVCEHAYPITTSMYPPPEKLKNKGAMNKGKKPVGYDVYRDPSSHEYVNQASQTLKRQSQPSQTLKKHSQSKKQLMLHQFSLQFPNHIRSYIKYVVNVASDGNC >CAK8562764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534078729:534080049:1 gene:gene-LATHSAT_LOCUS16233 transcript:rna-LATHSAT_LOCUS16233 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILAVTVLVYIQDHLGRAWGYGLCACAIIAALLVFLSGTKRYRYKKLMGSPLTQIAAVFMGAWRKRKLELPSDSYLLYNVKDIKDPQDARNKKLMLPHSKQFRFLDKAAIKDPNTDGNMVRKWELSSLTDVEEVKLVIRMLPIWATTIMFWTIHAQMVTFSVSQATTLKRHIGKSFQIPPASLTTFLIGSILVTIPIYDRILLPIRRKLFNKSQGLTPLQSIGLGLVLSTCGMVAAALIDLKRTRMAHLHDLTHNTSTLVIPMSVFWLVPQFFIVGSGEAFIYVGQLDFFLRECPEGMKTMSTGLFLSTLSLGFFISSLLVFLVQKVTDHHHPWLTDDINQGKLYNFYWLLAFLSAINLVIYLFCAKRYVYKDKRLDEQVIELEKDLDNVDHA >CAK8565814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337978363:337979544:1 gene:gene-LATHSAT_LOCUS18995 transcript:rna-LATHSAT_LOCUS18995 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAVIATKKTSKVRKQLHIHHDIAFSILSKLSIKPLKRFECVCKSWSFLSANPYFMSNYRNSFLTKYHSYYDDASFLPMQRFSIFHNQRFELHSLYEERFASNVKIDWPYLDCFPRIVGCGCVRGILCFSIMIQNDIILCNPSTKDYKAIPPGRNHYECYRRGYSNSGFGYDCVEDDYKVMCIYNLQNEPIEDLHFDLFIWEIFSLRNNSWKKLDVDIKYNPNFWSEEQLYIDGFSHRVCQIEEYGYKTYVLSFDWHREVFTTTLIPFDIDDILDFLFRWTNLVLLNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLELPIGMGKKSDMLFRKKNGGVVWFDLITQKTTDLSINIKACFNIVIHKKNPISLLAYVGKSI >CAK8538324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477382085:477383040:1 gene:gene-LATHSAT_LOCUS7536 transcript:rna-LATHSAT_LOCUS7536 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNQGFGDFDRHQHRSPSPMASSMSNVSATGIGTWNNLQQEMHNNMTLCARCFVRGSYKVGTSNTDFKRVEISEETKPDWTEKETLKLLECITNFSDDWKRVSHHVIGKTDKECVARFLKLTFWDQFMHSQRFESAHLADDSCSDLLKPSVNAGCESETAGLGKSSKRMRLTPLADASNPIMAQAAFLSALAGIEVAQAAAQAAMRSLSDVYKSS >CAK8531242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92184645:92185007:-1 gene:gene-LATHSAT_LOCUS1048 transcript:rna-LATHSAT_LOCUS1048 gene_biotype:protein_coding transcript_biotype:protein_coding MSVENEPSSDKDTIDFGFVERSREKVVDMGLSDSYYITEDLESDEDSSNDYSDEGTKKKYLSCFMPKKFLDYKRVLGTMFSTKEEFKEEIANYGVNNGRDLHFIKNDKTRVRVGCKEGCE >CAK8566206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391328816:391329131:1 gene:gene-LATHSAT_LOCUS19360 transcript:rna-LATHSAT_LOCUS19360 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCFSNLSLFLFSLSKPQATTTFHPPSPHWLSTFSLSFSLPVSPLGSSSSFTKGLIEELLCSFLRKL >CAK8574383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679183696:679187530:-1 gene:gene-LATHSAT_LOCUS26736 transcript:rna-LATHSAT_LOCUS26736 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVTRKLDVVSPVPADIDIANSVQPVHIDEIAKSLNLTPNHYDLYGKYKAKVLLSVLDDLKESQNGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDARIFHESTQSDKALFNRLCPANKEGKRSFNDVMFRRLKKLGISKTNPDDLTPEEVTKFARLDIDPDSITWRRVMDINDRFLRKISVGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGNMVIGNSKSGDPVTADDLGVGGALTVLMKDTINPTLMQTLEGTPVFVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLSPQCAIIVATIRALKMHGGGPAVTAGKPLDHAYLNENVALVEAGCVNLARHIINSKLYGANVIVAINKFSTDTEAELNAVRKAALDAGAFDAVVCSHHAHGGKGAVDLGIAVQKACENATQPLKFLYPLDISIKEKIEAIAKSYGASGVEYSEQAEKKIELYTKQGFSGLPICMAKTQYSFSDNAAAKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDIDTTTGKVIGLS >CAK8576376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468060215:468061842:-1 gene:gene-LATHSAT_LOCUS28568 transcript:rna-LATHSAT_LOCUS28568 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMECDVEDNNFIEWLKPSTSSSSSLSSFSTTQNDLALETFQFFPILNAKPSKEEDHEVPKMEGFDVKEENITVALHIGLPNPKEHLDDENKIFHVKEQEICKKSFQRFWIPTPAQILIGPMQFVCSICNKTFTRYNNMQMHMWGHGSEFRKGPDSLRGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFMCRKCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIRSFGKGHNRLLSPDDRDFYEEKECVTVIGSDEDGVIPT >CAK8544988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:716949806:716950756:-1 gene:gene-LATHSAT_LOCUS13624 transcript:rna-LATHSAT_LOCUS13624 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSNMKNAMIAFLVPLPSILFYLTFINYSTHPSLIIISTWHPLLLVNLLFFFNVNLLFWLIAQIQSSHWMIDPYWTVIPVMLVHYYSSHPFSNYNSWRSNIVIFLTWVWSIRLFHSYFRREKWQWGARQDWRFTDMSQQYGNQWWWASFFSIYLPHQFLLIGLSLPMYVIHSVNQPLNIWDSVATLVCVSGILIAYFADTQLHNFVMSRYKGMVLESGLWYYSRHPNYFGEQLWWWGLVVFAWNLGHGWTFIGALGNTMCLGYVTKLVEQRMLKQEKRAEAYRMYQNTTSVWIPCFKFVFNSSASSALEFKIKNA >CAK8568203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582081585:582082898:1 gene:gene-LATHSAT_LOCUS21187 transcript:rna-LATHSAT_LOCUS21187 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLVTVLTLCYVVYICKSNAIDPCASQPDNSDLNVIPMYGKCSPFNPPKADSWDNRIINMASKDPARMSYLSTLIAQKTTSSSPIASGQAFNIGNYVVRVKIGTPGQLLFMVLDTSTDEAFVPSSGCTGCSATTFSPNSSTSYVPLDCSVPQCGQVRGLSCPATSPGACLFNQSYAGSSFSATLVQDSLRLANDVISNYSFGSINAISGASIPAQGLLGLGRGPLSLLSQSGSIYSGVFSYCLPSFKSSYFSGSLKLGPVGQPKSIRTTPLLRNPHRPSLYYVNFTGISVGRVNVPLPNQHLSFDPTTGSGTIIDSGTVITRFVEPIYNAIRDEFRKQVTGPFSSLGAFDTCFVKTYETLAPAVTLHFTDLDLKLPMENSLIHSSSGSLACLAMAAAPSNVNSVLNVIANFQQQNLRVLFDTVNNKVGIAREVCN >CAK8543714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633431767:633432099:1 gene:gene-LATHSAT_LOCUS12455 transcript:rna-LATHSAT_LOCUS12455 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFLPENSTVVGCGAVGLDFLATVAAYPKPDQKIRSTSFKVCGKKMKYNMKKTMWLLGFKPRSPRPQRGILTTKLQPHLFWD >CAK8534353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719964404:719966743:1 gene:gene-LATHSAT_LOCUS3903 transcript:rna-LATHSAT_LOCUS3903 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVAKSKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCNREERRTIAPEHVLKALGVLGFGDYIEEVYAAYEQHKMETVQDSIKGAKWSGAAEMTEEQALAEQQRMFAEARARMNGGTITSNQPDADQSLEN >CAK8563062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570398460:570399994:-1 gene:gene-LATHSAT_LOCUS16509 transcript:rna-LATHSAT_LOCUS16509 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEPGFDDFLQDKKRARNPFVPVGAFITAGVLTAGLISFRQGNSQLGQKLMRARVVVQGATVALMVGTTYYYGDNPWQKK >CAK8563665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623472666:623473850:-1 gene:gene-LATHSAT_LOCUS17050 transcript:rna-LATHSAT_LOCUS17050 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFFTTTALVCNNNSVFLLRTTPSSWTTSSPIAKHYHPSIFKFFSTSTATNPQSFTVSYLINNCGFSPETASKASHNLILSNSQKPDSILAFFTTHGFSISQRSGIIRREPWILSCDPNKVLLPKFQFLLSKGASSSDIVRIVNASPRLLRRSLENHIIPAYECAKGFLQSDRKTIACVKRFCFLSQSGVSDNVKLLLDNGVSHSNIARLFHWWPSIFYSFDLLNTVEELKQLGFDGSTSAFSKAFLAKRSVTKAKWDEKVETFKKWGWSDEQILQTFKKHPFCMLVSPPKINAVMDFWVHHLGLDSLDLARAPGILQCSLEKRIIPRASVVQFLIAKGLRRKNAGVYTPFVVSEKLFVEKFVKGFEEHSSELLKLYEQKLNVADSRNGLQLV >CAK8542181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486403999:486405024:-1 gene:gene-LATHSAT_LOCUS11043 transcript:rna-LATHSAT_LOCUS11043 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALLHFNSPFGHSHAVAFPSPLSSYPQFHNRSINVTNPTHLPHRLKFNFTGGVGGGGIGRGGGGGDGSWGGDEGKSNDSSFGILGLFLNGWRSRVAADPQFPFKVLSEELVGVTSAVLGDMATRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTLGSASVKVPAIFASCPKSHMFEPGAYSLLDRFGTLVYKGTIFAVVGLGAGLVGTAISNGLVSMRKKMDPSFESPNKPPPTLLNGLTWAAHMGFSSNLRYQTLNGVEFLLEKVLNPLVFKTSVVALRCTNNVLGGMTFVMLARLTGSQSAAEEKPSHVKVGLGEKEKVEREGLLGNNNQITSK >CAK8568046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566715948:566717048:-1 gene:gene-LATHSAT_LOCUS21044 transcript:rna-LATHSAT_LOCUS21044 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFKCVVHHSGEFSREFVNFTKSGFVGLEEIWNVDPDYWSCFEILDKLRELGYPTIDRLWYYDDMIDNDIVQLENDKGTDRMRTIVVLTGECHLYVTHHVSEPDVIEKPILSLSHVSILGEYMCGEGPAMVNNQDETTVAKDVVGEVYEGGSNECGTTLGEDVVEEGTRVDEVKENFGIEDNVGIEENVGDVGTNFETEMKVGQEETNCNNMDDVGTTCEMEENVDVGMNVGGDEINEGLNCNQEQDEVVNCNQEDGSDDSALNVNFEDSDNDIGIDGEISIDEDEVKGKGKGECKGKGKGNGKRKGKGKEKVIIRDVGEDNDGEVNLDNLRGLCDIEEYDSDKMPTEYDSENCNTLLNPTEYI >CAK8560669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35379965:35380314:1 gene:gene-LATHSAT_LOCUS14327 transcript:rna-LATHSAT_LOCUS14327 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKVITWLVFLIFLWSLVSFVVEGTESLLSPKGVNYEVTSLMSMKSKMNDGLHVMDGWDINSVDPCTWNMVACSPEGYVISL >CAK8563720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626823629:626825935:-1 gene:gene-LATHSAT_LOCUS17099 transcript:rna-LATHSAT_LOCUS17099 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQWVHQPCYLPRASTNKLGTHHHSSQNHITPILVVSQTPKLSNDPRGRRLTEPLAFAATETQYEQPSIRPLIDLLKSCEQNGSLRQANCIHGHVLKSGFSDRDNLVCLNHIAHVYSKCMDYDSARKVFDGMSKRNVFSWTVMIVASNQHGYYSYALKLFCMMLEHGLLLDGFAFSAVLQSCVGLDSFKLGEMVHAQIVVRGFPTHAFVGTSLLNLYAKFGKCERSVKVFNNMTEINDVSWNAMISGFTSNGLYLQAFNCFLNMIEVGISPNKTTFLCVSKAVGLLGDITKCTEVHRHGSNWGLDCNASVGTALINMYSKCGFLSDARVFFDSKFMSCLVSAPWNAMITGYSHAGCHLEALKMFTIMRENGVKPDIYTFCCVFNSIAALKCLKPLKETHAVALKCGFSVREISVSNALADAYVKCESFEAGEKVFYRMEKKDIVSWTTMVTAYCQYSEWVKALATFSQMRNEGSAPNRFTFSSVITACGALCLLEYGQQIHGLICKASLDTDSCIESALIDMYSKCGNLIEANNIFERISNPDTVTWTAIISTYAQHGHVEDALQLFRKMEQSAVKVNAVTLLCILFACSHGGMVEDGLRIFNQMKGTYGVVPEMEHYACVVDLLGRFGRLDEAVEFINRMPIEPDEMIWQTLLGACRIHGNAELGETAAQKILSTQPEHSSPYVLLSNTYMESGLLEDGVNLRDVMKERGIRKEPGHSWISVRGEVHKFYARDQQHPQKDKIYTMLEELTRNIKYMHYEPELSIIF >CAK8562092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439645894:439647788:-1 gene:gene-LATHSAT_LOCUS15619 transcript:rna-LATHSAT_LOCUS15619-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEHFGEIANVAQLTGLDAVKLIGMIVKAANTAQMHKKNCRQFAQHLRLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVSSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVNARVKERLQVIEKDQCEYTFDDEEQKVQTVFLKPEPDKEDTVVLKKTLSCTYPNFSFTEALKKENEKLQLELQCSQANMDINQCEFIQRLLDVTQFAAYSLPEELSHENSRNKVEYTNQNHFDVKESKGHFSDEKHHKKTVTLSASSSSVSEKNLLSTGSLYQQEDWHTDLLACCSEPYLCIKTFFFPCGTFSKIATVATNRPISSAEVCNEFMAYSLILSCCCYTCCIRRKLRKMMNIPGGYVDDFLSHLMCCCCALVQEWREIEVRGVYGHEKTNTSPPTPQYMES >CAK8562093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439645894:439647788:-1 gene:gene-LATHSAT_LOCUS15619 transcript:rna-LATHSAT_LOCUS15619 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEHFGEIANVAQLTGLDAVKLIGMIVKAANTAQMHKKNCRQFAQHLRLIGNLLDQLKISELKKYPETREPLEQLEDALRRKAQNEIDRYLRLVPLITLVDNARVNARVKERLQVIEKDQCEYTFDDEEQKVQTVFLKPEPDKEDTVVLKKTLSCTYPNFSFTEALKKENEKLQLELQCSQANMDINQCEFIQRLLDVTQFAAYSLPEELSHENSRNKVEYTNQNHFDVKESKGHFSDEKHHKKTVTLSASSSSVSEKNLLSTGSLYQQEDWHTDLLACCSEPYLCIKTFFFPCGTFSKIATVATNRPISSAEVCNEFMAYSLILSCCCYTCCIRRKLRKMMNIPGGYVDDFLSHLMCCCCALVQEWREIEVRGVYGHEKTNTSPPTPQYMES >CAK8562481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:499802097:499808845:-1 gene:gene-LATHSAT_LOCUS15973 transcript:rna-LATHSAT_LOCUS15973 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSKDNSANSGDASPADVPPSDSSTYSEGEKVLAYHGPRIYEAKVQKAEIRKNEWRYFVHYLGWNKNWDEWVGESRLMKHTEANVVKQHALDKKQGVDKNVKSGRSTHGKAKSSTDAKVDKEDIKNNVSKGKKRKNDSGIEKGSGNVDKLFKIQIPAPLKKQLVDDWDFVNQQDKLVKLPRSPTVDEILTKYLEYKSKKDSAAADATGEVLKGIRCYFDKALPMMLLYKKERKQCNEAVVDSVSPSSIYGAEHLLRLFVKLPELLAYVNIEEETLNRLQLKILDFLKFLQKNQSTFFLSAYDGTKGKGKGRDE >CAK8565442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:210192736:210193611:1 gene:gene-LATHSAT_LOCUS18659 transcript:rna-LATHSAT_LOCUS18659 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSPCAACKFLRRKCTQECDFAPYFLPENPQRFANVHRVFGASNVAKLLKELNVADREDAVRSLAYEAESRLKDPIYGCVGLISALQQRLREIQTELAVAKKELSGYNSNPQVMQFLFGNPGAGLQQHQQWNNSQFAGVANANYSYNFPHEMLGGAGLVSRDVQQEQELLEAQQIVAAQQDYLLRFSGLHVGGGGGTAAVSGHADMAHSLGIGSFENGGSAGGSSSGCGYYQIQQQQQGEPQPQQQNYNPHVGVAAVNATEAPGLLSPQNQENGGEDGRSVGSTHRSS >CAK8577227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545913982:545920868:1 gene:gene-LATHSAT_LOCUS29353 transcript:rna-LATHSAT_LOCUS29353 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLSNTVDKEFQSPLLPPGDESIPEKLCIDEMLQSYCGEFGRWHLKHFVLTSLAWALEAFHTMIMIFADREPKWRCLDGAAGLGCDPAAKSVCEIEPGSWEWVEGVASSTVAEWGLVCGDKYKVGLVQAVFFAGCMFGAGIFGHLSDSFLGRKGSLIVVCILNSIFGILTAFSPNYVAYFILRFLTGFSTGGVGLCAFVLATEPVGPSMRGTVGMTTFYFFATGIAVLSSIAYIFPTWRDLYIASSIPSILFLVFVLPFLSESPRWYLVRGRIKEAMNTMSTIATSNGNSLPRRVSLALDKESPSSSFSHSTDDKDAVTGSLVDVIRSPLTRTRLVLAIIINLLCSVVYYGLSLNVVNLETNLYLNVILNSVAEMPAFMITAMLLDKLGRKPLTIGTLWVSGFFCFIGSLMTNEGVWKGLKMVCGIMGIFGMAGTYNLLFIYTAELFPTVVRNAALGSATQAAQMGAILAPVIVVLGGSWPFALFALCGIIGGLFAFFLPETLNQQLYDTLTGMEAAVEKNVDTDNSTV >CAK8538429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482469081:482469636:-1 gene:gene-LATHSAT_LOCUS7628 transcript:rna-LATHSAT_LOCUS7628-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMVLLISSEVSARDLTETSTNTKDDVVEKSDELNNAKYYGGGYNHGGGGGYNGGGGGYNHGGGGGYNHGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGGYNGGGGYRGGGGHGGSFNNGN >CAK8538430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482469081:482469636:-1 gene:gene-LATHSAT_LOCUS7628 transcript:rna-LATHSAT_LOCUS7628 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMVLLISSEVSARDLTETSTNTKDDVVEKSDELNNAKYYGGGYNHGGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGGYNGGGGYRGGGGHGGSFNNGN >CAK8573627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626116097:626116318:1 gene:gene-LATHSAT_LOCUS26056 transcript:rna-LATHSAT_LOCUS26056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEGSSRSGPRRTVVGDLLKPLNSEYGKVAPGWGTTPWMGVAMALFAVFLSIILEIYNSSLLLDQISMN >CAK8541095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:64315638:64317585:1 gene:gene-LATHSAT_LOCUS10046 transcript:rna-LATHSAT_LOCUS10046 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKYEGRAVGIDLGTTYSCVAVWMDHHNRVEIIHNDQGNRTTPSFVAFTDDQRLIGDAAKNQVASNTANTVFDVKRLIGRKFSDTVVQKDIIWWPFKVIPGINDKPMISLKYKGEEKQFCAEEISSMILTKMREVAEAYLESAVKNAVVTVPAYFNDSQRKATIDAGAIAGLNVIRIINEPTAAAIAYGLDKRSGCDGKRNIFVFDLGGGTFDVSILTIIGDVFEVKAAAGNTHLGGEDFDNRLMNYFVEEFKKKNRMDISVSPRALRRLRTACERAKRTLSFAFVTTVEVDSLFQGIDFSSSITRAKFEEINMELFNECIKTVESCLSDSKIHMSHIDDVVLVGGSSRNPKVQDLLQDFFKGKDLCKSINPDEAVAYGAAVQAAILSKGFKNVPNLVLRDVTPLSLGISDVNDIMEVVIPRNSSIPVKSTRGFATVKDNHYSVSIKVYEGERAKASNNNLLGMFILSCLPGAPRGQPLDVCFVIDENGILIVSAKEISTGNTNEITITNAKERLSTFQIQKMIEEAEIYHEEDKRFLRKAKVMSALDSCVYNMKNALKNDLYLMLSSQESERINNAISVATTLLDKNNKQTEVDVLEDHLKELETMLKNLVVQTG >CAK8532753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510706198:510707092:1 gene:gene-LATHSAT_LOCUS2427 transcript:rna-LATHSAT_LOCUS2427 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIKIIQQALEGIPGGPYENFEIRCFDREKEPEWNYFEYRFIGKKSSPTFELPKQELYVRIEAPKGELGIFLIGDQNGFPWRWKIRPPGFINLQILRQLVKRMKLADIMTILVQDINSFSGLESFKEVYGILWILLPILILVLGITISVLAIVWLEREISAGLQQRIGPEYAGPFGMLQALADGTKLLFKENLIPSRGDIHLFSIGPSISVISILISYSVIPFGYNFVLSDFNMGVFLWIAISSIAPIGLLMSGYGSNNKYSVLGGLRAAAQSKL >CAK8574325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675359219:675360031:1 gene:gene-LATHSAT_LOCUS26680 transcript:rna-LATHSAT_LOCUS26680 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTKVSLKLLIDTKNNKVLFAEASKSVIDFLFNLLCLPIGTVVKLLGTNGMVGGLGNLYQSVETLNQSYMLSDQSKDVLLNPKAQCSSTEISGFLTHNDDKDDTKEGIKLYMCPYRCRHEVAYDAFAHGTCGHSMTNEVNCIGNKKVAEKKTFSNIKSGFVKDVVTFMVMDDLVIQPMSTISSITLLNKFNIKEIGTLQEKNVEMGMDEGIKLLKASLQSKMVLTSVFLEKELDLHGTV >CAK8568516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613252582:613254310:-1 gene:gene-LATHSAT_LOCUS21464 transcript:rna-LATHSAT_LOCUS21464 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNLVKQLSTSRPKDQNCVFKDGVSVGQNLSKNNKVVVDAHKTQPQQHKKQVRRRLHTTKPYQERLMNMAEARREIVTALKFHRASMKEASEQQKKKQQQDDAQEQLQKESLSHQSSQQQSFEQDGRYNKFRRNHRIYPSCRTNSSTYFNDSSYVSVPNSYTWPATSEILPPPTLLPENPNFILPNQTLGLNLNFHDFNNLDITVHLNSNSSSSSSSYSPGTSSSSASQDIPSVETSQVDSHALTHSTGGLHTAMNDEAIAEIRSIGDQHQMEWNDTMSLVQSACWFKYLKHMEHGAPETKVENDDFEQPLEFPAWLNANESCLELCSENSFQDYTLPCMDIGEIDCMDDDWLAG >CAK8543018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567328079:567328483:-1 gene:gene-LATHSAT_LOCUS11805 transcript:rna-LATHSAT_LOCUS11805 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNQDSHKGKKKVNEESLTDSQIMENQNKRKAIALEGDINAETKNSKSPRIDESTSSSKEFSPFLLFGFIIDPTKGCQKAFSCVICHRKFVSPQALSGSKNCQECEESLKKVVEALNKPRDNVSHGVQGIFI >CAK8538356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478823914:478825183:-1 gene:gene-LATHSAT_LOCUS7568 transcript:rna-LATHSAT_LOCUS7568 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCCKEFKVGKCEGEKIVDGETLPLVLEPREANKSDLESLLLGLNENKEWFEGMIIKNSAVLLRGFDVKNAEDFNDIVETFGWEDIRYVGPAPRTHIYKMIWTANEGPLSEFIYYHHEMVLIKEFPKKVILFCEIPPPEGGETPFVPSFKVTERMIEEFPEEVKEMEEKGLKYSFTAPSNSDTNSMRGRGWEDAFGSSDRKEVEKRAKGLGMDVEWLENGGLKTILGPRNLTKIFEGRKGRKMWFNTIVGMHGKDISSATMADGTEIPEHVVKRCGEIIEEESIQFKWEKGDVLFLDNYALLHGRRPSLPPRRVLVATTK >CAK8569675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7874251:7875562:1 gene:gene-LATHSAT_LOCUS22501 transcript:rna-LATHSAT_LOCUS22501 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNKNEASLFCTADEAALCNDCDHRVHHANKLASKHHRLSLHSPSPKQHPLCDICQERRAFVLCKQDRAILCKDCDSSIHSVNELTQKHDRFLLTGVKLSTTNSSSSSSTTASIKSNLVPSSVIEKSTTISQTSTLKNVAMEEEEEEGSGGSSISKYLIETLPGWQVDDFLDSSSVPFSFSKGDELFEENLDSFFPNSNIGIWVPQAPPPSIYSSSQVMMVGQSETKKNSNNKSSINRSRLRDDGNIFTVPQISPGSNSKRTRYLW >CAK8532032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194939580:194942364:-1 gene:gene-LATHSAT_LOCUS1775 transcript:rna-LATHSAT_LOCUS1775 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMSPMSTFPIQKPEFRILLFNGSTTPTKITASWTMSMDSGFSSTVNGNSDMKNKKKKDELSVSVQLSISPVPKVEESLSSDGLRFDRLQPSDYELVRENRFEFGQFVARDAVLDEEYWTAAWLRAESHWENRTYERYVDIYKRKFAEQEFNAVKRRCKAQNGDSCACIIAVRKEQKNVKRSIIKSVVGTLDLNIRYLLQGETFPGERVKTPFSCSTNRTPPSRYGYIANLCVTKLARRQGIASNMMYFAIESAKSNGVRQVYVHVDRNNMPGQLLYQKMGFEMVETANSRLLLEETYLLRLQM >CAK8532034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194939580:194942355:-1 gene:gene-LATHSAT_LOCUS1775 transcript:rna-LATHSAT_LOCUS1775-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTFPIQKPEFRILLFNGSTTPTKITASWTMSMDSGFSSTVNGNSDMKNKKKKDELSVSVQLSISPVPKVEESLSSDGLRFDRLQPSDYELVRENRFEFGQFVARDAVLDEEYWTAAWLRAESHWENRTYERYVDIYKRKFAEQEFNAVKRRCKAQNGDSCACIIAVRKEQKNVKRSIIKSVVGTLDLNIRYLLQGETFPGERVKTPFSCSTNRTPPSRYGYIANLCVTKLARRQGIASNMMYFAIESAKSNAGVRQVYVHVDRNNMPGQLLYQKMGFEMVETANSRLLLEETYLLRLQM >CAK8532033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194939580:194942355:-1 gene:gene-LATHSAT_LOCUS1775 transcript:rna-LATHSAT_LOCUS1775-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTFPIQKPEFRILLFNGSTTPTKITASWTMSMDSGFSSTVNGNSDMKNKKKKDELSVSVQLSISPVPKVEESLSSDGLRFDRLQPSDYELVRENRFEFGQFVARDAVLDEEYWTAAWLRAESHWENRTYERYVDIYKRKFAEQEFNAVKRRCKAQNGDSCACIIAVRKEQKNVKRSIIKSVVGTLDLNIRYLLQGETFPGERVKTPFSCSTNRTPPSRYGYIANLCVTKLARRQGIASNMMYFAIESAKSNGVRQVYVHVDRNNMPGQLLYQKMGFEMVETANSRLLLEETYLLRLQM >CAK8533472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620947248:620949155:1 gene:gene-LATHSAT_LOCUS3095 transcript:rna-LATHSAT_LOCUS3095 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSESKTTNFQSASLSSLALRFRSLLRQCARATALLPGKQLHAAVTVTGLLSSPNLFLRNALLHLYASCSRPSHARKLFDQIPHSHKDSVDYTALIRRCSPFESLKLFLQMRQLDLPFDGVAMVCALNASTRLGDPKVGSQMHVGVVKFGFEKFDKVCNALMNVYVKFGLLGEARKVFEEIEVPSVVSWSCFLEGLVKWESVESGRVVFDEMPERNEVAWTVMIAGYVGNGYTKEALSLMKEMVFGCEFGLNCVTLCSVLSACSQSGDVCVGRWVHAYVVKEVGLDFDVMVGTSLVDMYAKCGRINAALMVFRNMLKRNVVVWNAMLGGLAMHGMGKVAVDIFPSMVEEVKPDGVTFMSLLSACSHSGFVEKGWEYFHDLESVYGIKPEIEHYACMVGILGRAGRLEEAETFVKRMPIPPNEVVLGSLLGSCFAHGKLQLGEKIMRELVEMNPLNTEYHIVLSNMYALSGKVEKANSLRQVLKKWGIRKVPGTSSIYIDGKLHQFIAGDKSHTRTSEIYMKLDEMICKLRLGGYVPNTSCQVLFGCSNRDDCSEALEEVEQVLFTHSEKLALCFGLMNTPSGSPLHIFKNLRICLDCHSAIKIASDVYKREIVVRDRYRFHSFMHGSCSCSDYW >CAK8561227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124358286:124358609:1 gene:gene-LATHSAT_LOCUS14836 transcript:rna-LATHSAT_LOCUS14836 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVLGTNDITTDGKVHTCHESIEEVDQHNKKIIWKFFGGDIGKQYKVFKFILEATDKADGTAVAKWTVEYEKISEDINPPNGYMDFLFKNTRDVDANLVKEKVAP >CAK8562480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:499742456:499751700:-1 gene:gene-LATHSAT_LOCUS15972 transcript:rna-LATHSAT_LOCUS15972 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSYSIPSTNFHIHTKTTKIQSSNFTFPLPPSPISIKPLYSSKLLILHHHKLRCSAQSTHNHHHHNHNHTDHNHNHNHHNHHHHNHSHDTDDANLTGPQRAVITFAKATKWIDLANILREHLHLCCFSTALFVAAAICPRTLPKSLIKPFQNSLILVAFPLVGVSASLDALIEISSGKVSIHVLMAMAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTGRSMVDVRELKENNPEFALVLDTKDDKLPNTFDLAYKRVPVHDITIGSYVLVGAGESVPVDCEVFQGGATITIEHLTGEVKPLEAKVGDRVPGGARNLDGRIIVKVTKTWKESTLSRIVQLTEEAQLNKPKLQRWLDEFGERYSKVVVVLSIAVAVLGPLVFKWPFISTPACRGSIYRALGLMVAASPCALAVAPLAYAIAISSCAKKGILLKGGHVLDALASCHTIAFDKTGTLTTGGLIFKAVEPIYGHQIRNKESNISSCCIPTCEKEALAVAAAMEKGTTHPIGRAVVDHSEGKDLPSVSVENFEYFPGRGLTATVNSIESGTEGANLLKASLGSIDFITSFCQSEDESKKIKEAINSSPYGSEFVHAALSINKKVTLIHLEDRPRPGVFDVIQELQDEASLRVMMLTGDHEYSARRVASAVGINEFHCNLKPEDKLSHVKDISREMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLRENISAVPFCIAKSRQTTSLIKQNVALALSSIFVASLPSVLGFLPLWITVLLHEGGTLLVCLNSIRALNEPSWSWKYDILQLIGEVKSRFPSLKTNIAGSSSSITTANL >CAK8563003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565711803:565715449:1 gene:gene-LATHSAT_LOCUS16453 transcript:rna-LATHSAT_LOCUS16453 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPHSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMTMILELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTAEDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVMSTNNSIWPVVLIPYNTPPWVCMKQTSFIMSMIIPGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHPRFLDRRHRFRLNRIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVREKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVSDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQSHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNNDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8534397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723122545:723122991:1 gene:gene-LATHSAT_LOCUS3947 transcript:rna-LATHSAT_LOCUS3947 gene_biotype:protein_coding transcript_biotype:protein_coding MENHREDEIEDNMSMSPPSVGSMQIAGSNGFGHSMEFMSQAYLRNRYPEIDIQVKDSTFNQDLPLPVYLKFEDVEFKVRNCQIAFKNPMKTMVSKVATQNNVEEKKKYKTILKGITGSINV >CAK8544579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693566544:693566933:1 gene:gene-LATHSAT_LOCUS13241 transcript:rna-LATHSAT_LOCUS13241 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRKHHRGKLKGNASRGNKICLGKYALQALEPAWITSRQLEAGQRAMSRNVRWGGQIWVGIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFIFSK >CAK8562328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474326897:474327271:-1 gene:gene-LATHSAT_LOCUS15834 transcript:rna-LATHSAT_LOCUS15834 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIETLAALISTPATNANSALRSSTSTHAPTNDQVKNDNINEDFEFEDEEM >CAK8542447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515466051:515467280:-1 gene:gene-LATHSAT_LOCUS11286 transcript:rna-LATHSAT_LOCUS11286 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPIFLPEDLITELLSFLPVKSLIRFKCVNNSWRTLISDSTFVKLHLKKSATQNPMFTLITHHMKFIPGVSLFVNYSVVPYPIRTLLDNPAVPLFDDPYYYVKNKGCSKVIASCNGLILLTGDFFNGIYKEFWFRLWNPATKTISKEIGCFNFEKPFRFGFGCDDSANTYKVVASRYIRKQRTTEVRILSLDDDVWRDIESFPVVPLYLDHAGYKIYGGAYDGMYSGVYLSGALNWLAIHNNIDYHRYIIKNITVEDFVIVSLDLRTEMFNQYLLPRGFDEVPPSEPTIGVLGGFLCFSYCYKKSDFVIWQMKKFGVEDSWTQLFKISYQNLQIDYDINDDTKFYFKLMPLLLSKDGDTLVLKSNKEYQAILYSWRDNRVERTKISRDGGICWQSFKGYAESLVPIY >CAK8534327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717214251:717214898:-1 gene:gene-LATHSAT_LOCUS3879 transcript:rna-LATHSAT_LOCUS3879 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNFQSQIRTYNMMFAFTSPGAKLDNRFNNGRGPPTIRIQGQACHRIGSLLPPEGHPPKFAQLYIYDIENEVTNRMDGLRNKNNILPETIQKLSDMLYTHNTHAKSFLMARQWLNHNNVHNLKLKLISTRSIDGRLYNQPTVSEVEALIVGDIDTTEERDIIVQAKGGNLNALISFIQLTCLTSIP >CAK8576520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487245751:487246149:1 gene:gene-LATHSAT_LOCUS28702 transcript:rna-LATHSAT_LOCUS28702 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKSVAKSTMSDQIRKELCEYKRDNLASTQKDLQRWLEGKFQLKVSQGTISNTLNRSDDYLFAEIEKGITEIKRHKPAKYPDMEKVVYEWFLQHQERVNITGELILQKAKDTMKLVYPHDDSNFNFSTG >CAK8571548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:438882334:438890430:1 gene:gene-LATHSAT_LOCUS24198 transcript:rna-LATHSAT_LOCUS24198 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPEMVDELPADKRACSSFDFRPSSSTASVQTDINSTHSEVEPHDNDMDTSSSASASSRSEGEPEKDSAYGSCDSDDVEHYHSSLHEYHRRRLSSDHGKFKNIISSLSGQTEPSGQLAVLTELCEVLSFCTEGSLSGMTSDLLSPLLVKLAKHESNPDIMLFSIRAITYICDLYPRSAGFLVRHDAVSALCQRLLTIEYQDVAEQCLQALEKISREQPLACLQAGAIMAVLNYIDFFSTSIQRVALSTVVNICKKLPSESPTPFMEAVPILCNLLLYEDRQLVENVATCLIKIVDRVSPSSEMLDELCKHGLIQQVTHLLSINGRASLSQLIYNGLIGLLVKLSSGSVVAFRTLYELNISSILREILSAFDLSHGVSTSQLIGGHCNRVYEVLKLLNELLPGLDKDQSNQLALDKESFLANHPDLLQKLGMDVFPMLIQVFNSGASLYVCHGCLFIMYKFVCLTKSDMLVELLRNASISSFLAGVFTRKDHHMLLLALQIAEIILQNFSDIFLQLFIKEGVFFAIEALLKPERSSQFVYPVFSGIQMSLDSGQRSSSKEVLKCLCYTFSSAQSPTSSEARKCKLDKDSVHNLAEHIKAKYLAPELYDSEKGLTDILKNLRALSNDLLSMSTDEGAIAVHEEKINNVLDQIMDKLIGKEEVSTFEFIESGVAKALVNYLSLGHYMGENKRVHGVCGHNAVIEKRFEAFASVCLRTFQPLSSDTPLSVLIRNLLCALTSLEAFPIVLSNVQKMRNSFATVPNGCCIPYPCLKVRFVKGENETYLSECSEDFFTVDPFSSLHSIERYLWQKVSKKNEEHESLPSSQVVLQPGSPPLQLPSNTSSCLDEIQAVNLNAGESSSGIQVAEEEMHFVAEPDSKLEKEHLSSCSNKAARKLIFYLEGQPLDHKLTLYQTILRQIIKQNDSGIGAKLWSHVHTLTYKTDLKPEDIMPLDCHSSVQDFSHDKALAFYQHKPFLSDMFYCELVSDLEKSNPTYDILFLLKSLEGMNRFIFHLMSRERICAFAEGKVDNLDSLKITVPTVQLNEFVSSKLTEKLEQQMRDSLAVCIGSMPLWCNQLMTSCPFLFSFEARCKYFKLAAFGQPRLPSHISYHNSETLSDRRLGHGVLPRKKFLVYRDRILESAAQMMKLHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCQELQKSGSGMWREDASSHTLKTSLHAEETGIYSLYGLFPRPWLSTQDASGGIQFSEVTKKFVLLGQVVAKALQDGRVLDLHFSKAFYKLILGKELYVYDIQSLDPELGRVLHEFQALVNRKKNLESVYGGNSELEQGLSFRDSRIEDLCLDFTLPGYPDIVLASGSDHTMVNMRNLEGYVSLIVDATVKSGISRQVEAFKSGFNQVFPIEHLQIFYEEELDRILCGEDDSWAINELSDHIKFDHGYTASSPPIVNLLEIIREFDHGQRRAFLQFVTGTPRLPPGGLASLNPKLTIVRKHCSNQADTDLPSVMTCANYLKLPPYSSKEKMKEKLLYAITEGQGSFHLS >CAK8560701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36810290:36810973:-1 gene:gene-LATHSAT_LOCUS14356 transcript:rna-LATHSAT_LOCUS14356 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNDVFKGTRNLPITALVRATYYRLGSLFAARGKKWSDVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCEKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYKNGFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPRHTRKNCPNVGTSSR >CAK8534149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699249376:699250485:1 gene:gene-LATHSAT_LOCUS3716 transcript:rna-LATHSAT_LOCUS3716 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCGYQQKNAMRSCEEMRMESVVCPKPRRFGLMNNSFINNNNNHIRSYKPQIISYQSELEGSGVMEDLLDIILPKENSYMERFGDQMASSPPPFFCGSPPSRASNPVIQDEQFGNGNGHGNFSPFSMAPSSPSSSARSCVPMKFGHKQAAVRIEGFDCLNRDRRNRSISAVA >CAK8571352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:388270254:388270691:-1 gene:gene-LATHSAT_LOCUS24019 transcript:rna-LATHSAT_LOCUS24019 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVALIPTKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIRTDHIEVDKETLDMLAALGMSEIPGVVQVDPVAVQPIPFGRGAGAAGGPGGRRF >CAK8536815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:23095877:23097746:-1 gene:gene-LATHSAT_LOCUS6147 transcript:rna-LATHSAT_LOCUS6147 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYHLFLSTILVVIIPSLVHANVKEQESYMDNILPFINDTYWRGKASNAEKANNIAYTPDPYTVSENMTSSVSEMIIEGNTGRRNLVGKKLGRGRPCLATNPIDRCWRCDPNWEKNRKKLADCVQGFGRKTTGGKAGPIYVVTDPSDNDMQNPRPGTLRYGVTRNGPLWIIFSHSMVIRLNQELIMTSDKTIDGRGFNVIIAKGAGFTIQFIRNVIIHGIKIFDIQVGSGGLIRDSENHFGLRTRSDGDGISIFGSSNVWIDHVSMRNCKDGLIDVIMGSTAITISNCHFTDHNEAMLFGASNDYDGDKKMQITLAFNHFGKRLIQRMPRCRYGFIHVLNNDYTHWEMYAIGGSQNPTIISEGNRFIAPNNPNAKQITKRDYAPESEWKNWQWRSINDVYMNGAFFVQGGPELTNRPFSEKDMIKSKPGTYVGRLTRYSGSLNCRKGIPC >CAK8573465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614232700:614233598:-1 gene:gene-LATHSAT_LOCUS25911 transcript:rna-LATHSAT_LOCUS25911 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTLHVYDLTSGSEKTNSTVVHINKIFKNGIGLGGIFHSAVQVYGDEEWSFGFCEEGTGVFSSPSGKNTAFTYRKSLVLGKTNYNIFKVNQILRELSREWPGNSYDLFSKNCNHFCDEFCRRLGVPKPPGWVNRFANIGDLAKEVAGNATSRFRQAKTEIVSACKAAHRILFCVTNNVKADMDDSPREEESPRVQHAYFTNGVKPSTF >CAK8563794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631343659:631345983:1 gene:gene-LATHSAT_LOCUS17169 transcript:rna-LATHSAT_LOCUS17169 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPSEKKLILVNREPNGIAVITINRPDALNSLTRAMNVDMAQAFKSLDRDESVRVIILTGSGRSFCAGVDLTAAEDVFKGDVKDPESDPVVQMERCRKPIIGAIKGFAVTAGFEIALACDILVAAKGAKFMDTHARFGIFPSWGLSQKLSRIIGVNKAREVSLTATPLTAEVAEKLGLVNHVVEESELMKKSKEIAEAIVKNNPDLVLKYKSVINDGIKLDLGHALSLEKERGHDYYNGMTKEQFKKMQEFIAGRSSKKQSKL >CAK8534360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720296220:720302619:-1 gene:gene-LATHSAT_LOCUS3910 transcript:rna-LATHSAT_LOCUS3910 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRASRVVIDPKVRRVGFFAQPDKPEPESPPLPDSPLSDPLSPVIIPPPRQLTERTAAVPVPESKFRRQEIDDQVPVGSYNPLKSLLGTSPTDSISSSSAGFINGEFSEDRSGVGWFHGSELSFPGGGLDFPAVKPREILPKNIFPAVAVNVKNLPGGTEKKEEAVKEVYPDPLSVSKPLKEKTSKAERRALQEAQRSAKAASKANGSSTVADSGKATLAKSKKQSSQKKDGPPVSSSVTIDNKSGNRPLEKERKKEAPPQRMQFDDQNRVEKVKRRALVNQTEARNRVELFRHLPQYEHEARVPNLESKLFQLDSMHPAVFKVGLRYMAGDISGVNARCIEMLKALQEAIIDYSTPPEKVLIRDLTAKISSYISFISECRPLSISMGNAIRFVKSRIARLPISHTDAEAKSALCSDIDRFIYEKIIVAEKVIIGHATPKVRDGDVLLTFGLSCVVEMILVSAHDLGKQFRVVVVDSRPKLESQALLTRLMAKGLSCTYTHINAVSYIMHEVTKVFLGASAILSNGTVLSRVGTACVAMVAHEFRVPVLICCETFKFHERVLLDSICCNEIGDPGAVATVPGRMDINYLDNWANEKNLQLLNLMYDVTPSDYVSVIVTEHGMIPTTSVPVIVREYGQEHYLI >CAK8541561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:248637049:248639424:1 gene:gene-LATHSAT_LOCUS10474 transcript:rna-LATHSAT_LOCUS10474 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMDFSFTLFLLLLLFSFQSSSSLRKGSSLSVEKPEDKIISPNGMFTAGFTSIGDNAYFFAIWFTQPNSLKLNNTIIWTANRDQPVNGKRSKLILLNTGNIVLLDVSLNNFWSSNTASLKPLELHLKNDGNLVLRELQGTKSLWQSFDSPTDTLLPGQPLTRYTKLVASISETNHSSGFYMSFFDDENIFGLHYNGRNVSSSYWPRPWLLSWDVGRSNFNSSRTAVLDSLGNFHSSDNFTFSTSDYGSVLQRIMKLDSDGIVRVYSRNSMSQNWYVSWQAFSGACLVHGICGANSTCSYSPKFGRKCSCIPGYKMKNHNDWSYGCEPMFDFTCNKSESTFLEMKNVEFYGYGFHYIEICNYSTCEDLCIQDCNCIAFQYSFWEKKGFYRCFSKTQLQNGRFIPSFEGSTYLRLPKGNSFLRKESSFPSNHVCLETLQRVYVKESQNQYVKFFLWFVTAIGAFETVCIFAVWCSLLRSRQKTNADQHGYHLAEIGFRKFSYLELKKATKGFSQEIGRGGGGVVYKGVLSDQRHAAIKRLYNAQQGESEFLAEVGIIGRLNHMNLIEMWGYCAEGKYRLLVYEYMENGSLAENLSSNKLDWSKRYKIALAIARVLAYLHEECLEWILHCDIKPQNILLDSNFQPKLADFGLSKLQNRSNLNNLSVTTIRGTRGYMAPEWIFNLPITSKVDVYSYGIVVLEMITGKSPTTGFKVVNGEKESVGRLVTWVREKKGSSVSWVEEIVDDEIGLNYDKRKMEIMAKVALDCVVDERDSRPTMSRVVEMLQYHGTEAQ >CAK8542009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455412992:455413154:1 gene:gene-LATHSAT_LOCUS10886 transcript:rna-LATHSAT_LOCUS10886 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPSHHQDHTNQGNTSL >CAK8568377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599911150:599914004:1 gene:gene-LATHSAT_LOCUS21337 transcript:rna-LATHSAT_LOCUS21337 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDGGNGGDGKKSQSKDAKQEELPVAHPVKEQLPGVQYCINSPPPWPEAILLGFQHYILTLGMTVLIPTIVVPQMGGTNVEKAKMIQNMLFVSGLSTFLQSLFGTRLPTVVGGSYTYMIPMMSVVQASRYSSYSDPYERFTMTVRGIQGALIICACFQMVIGFLGLWRNAVRFLSPLCVVPYVTFTGLGLYHLGLPMLASCVEVGLPALIVMVFISQYLHRYISAKKFKFDRFAVLFSIASAWLFAQLLTSSTMYNNKPESTQNSCRTDRAGLITSAPWVYFPYPFQWGSPTFNYGDTFAMLAASFVSLFESTGTFYAAARYGSATPVPPSVISRGTGWLGVASLLNGMFGSVTGSAASVENAGLLALTRVGSRRVIQISAGFMIFFSVFVISDAAYFPFESGKFGAVFASIPLPIIAALYCVFFGYVSSAGLGFLQFCNLNSFRTKFVLGFSFFLGVSIPQYFKEYYQVKHEHAGWFNDVLIVIFASHTMVSALVAFILDLTLAREDDAARDDSGLKWWEKFSIYGSDVRSNEFYGLPCRLNELFPAL >CAK8568376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599911150:599914004:1 gene:gene-LATHSAT_LOCUS21337 transcript:rna-LATHSAT_LOCUS21337-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDGGNGGDGKKSQSKDAKQEELPVAHPVKEQLPGVQYCINSPPPWPEAILLGFQHYILTLGMTVLIPTIVVPQMGGTNVEKAKMIQNMLFVSGLSTFLQSLFGTRLPTVVGGSYTYMIPMMSVVQASRYSSYSDPYERFTMTVRGIQGALIICACFQMVIGFLGLWRNAVRFLSPLCVVPYVTFTGLGLYHLGLPMLASCVEVGLPALIVMVFISQYLHRYISAKKFKFDRFAVLFSIASAWLFAQLLTSSTMYNNKPESTQNSCRTDRAGLITSAPWVYFPYPFQWGSPTFNYGDTFAMLAASFVSLFESTGTFYAAARYGSATPVPPSVISRGTGWLGVASLLNGMFGSVTGSAASVENAGLLALTRVGSRRVIQISAGFMIFFSVFGKFGAVFASIPLPIIAALYCVFFGYVSSAGLGFLQFCNLNSFRTKFVLGFSFFLGVSIPQYFKEYYQVKHEHAGWFNDVLIVIFASHTMVSALVAFILDLTLAREDDAARDDSGLKWWEKFSIYGSDVRSNEFYGLPCRLNELFPAL >CAK8539911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530974146:530975892:-1 gene:gene-LATHSAT_LOCUS8967 transcript:rna-LATHSAT_LOCUS8967 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDDLMVVPNLSPPNTYEAVVNGGTFDRLHDGHRLFLTASAQLAKNRVVIGVCDGPMLVNKQFAELIQPIETRIHNVKSFIKSIKPELEVQAVPITDPYGPSIEDEKLEAVIVSKETLPGGLAVNRKRAERGLSQLKIEVVDLVSGDSGETKLSSSMLRKLEVENAQKQSSIPQTK >CAK8531717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143302286:143303212:-1 gene:gene-LATHSAT_LOCUS1488 transcript:rna-LATHSAT_LOCUS1488 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEYRTLELNLISAKDLKDVNLFSKMDVYAVVSISGDPINPQTATTHIHRNGGTNPTWNFPIKFTVNESLANQNRLSLEIKLISNRKVAGDTMIGTVHMPLKELLDNPSGDSLRQVSYQVRTTSGKSKGSLNLSYKFGEHVGAPATKSEVKGNHESKMGKDEPVLAYPPPGVAAGSSSAPYGTPYPPPQQGAGYGYPPPAAAAQGGYPPAQPGYGYPPQQQGYGYPPQQQGYGYPPQQPGYGYPPQQQPGYGYPGAQKPKKNKFGMGLGAGLLGGALGGMLIGDMVSDAGAYDAGYDDGFDAGGFDF >CAK8560830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48130735:48131518:1 gene:gene-LATHSAT_LOCUS14475 transcript:rna-LATHSAT_LOCUS14475 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKRQHEFELRREKLEKEKKDKKLQAKKNKMKVDGSDRKKKGGSGFQVGKKKLKTKLSALSKAKAAQAMELDK >CAK8567177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492734229:492736482:-1 gene:gene-LATHSAT_LOCUS20250 transcript:rna-LATHSAT_LOCUS20250 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHHVHILMCNATQYKLNEPTIQITTTSPHFFITTKPFKNVRKSSHFFSHSAVNLHSLLYFDRNTRHSIILSITSYQSPAVVVASPCPDEIALRVYMYDLPPRFNVGMLDHRNTTEAPVTAVDYPLWPDNSGLKRQHSVEYWMMGSLLNSGGNGSEAIRVLDPELADVYFVPFFSSLSFNTHGHHMTDPETQIDRQLQIDLMGLLRQSKYWQRSGGRDHVFPMTHPNAFRFLRDQLNESIQVVVDFGRYPKGVSNLNKDVVSPYVHVVDSYIDDEPRDPYESRTTLLFFRGRTHRKDKGIVRAKFTKILAGFDDVHYEQSFATGENIKLSSKGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPFENEIDYSKFSLFFSFKEALEPGYMIDQLRSFPKQKWTEMWRQLKNISHHYEFYYPPKREDAVNMLWRQIGHKLPEIRQSVHRSRRLKISDWWKR >CAK8562098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439976271:439978160:-1 gene:gene-LATHSAT_LOCUS15624 transcript:rna-LATHSAT_LOCUS15624 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTQSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHNLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDLDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWSHPDSVKLLNIFPIVLVMDNTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDIWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACKLTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSGAIDELWKRFKSLDVVGKRALKSRVFELAFPTMVLVG >CAK8542486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519755153:519756304:1 gene:gene-LATHSAT_LOCUS11325 transcript:rna-LATHSAT_LOCUS11325 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSVHLPPELITEILLRLPVKTLLRCKCVCKSWLSLISNPDFATSHFQFAVSPPTHKLVFLENYFDAPETISIDFDASLYDDSSYSSLSLEFLRFRSCCEIVGSCRGFLFLHCDSYFYLWNPSTGVHKNIPASPITIASVDYFPLPLYGFGYSPSTDDSLVVFGSYQCRGSYSSADSSIDLAIFSLRANEWKQVESGSQFPYRIIPKGGGAGPRTGLLLNEVIHWLVYNYEASSYAIIAFDLKEIKMSEIALPDEFILSVSNTSLIDYDLLVVGGLISAWNVKKYKIEIWVMQEYKVHSSWIKTLNFSFYPAPDFSPLCFTNFGDIVGTVRGGGLVKLNDKGQLLEHHSYGNCYFERSQMTVYTESLLSLPSGTGQALDDS >CAK8538627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489470804:489473396:1 gene:gene-LATHSAT_LOCUS7807 transcript:rna-LATHSAT_LOCUS7807 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKSPKKHVNLFFSLDCEQLANKVAAHSHNNITLQNINWRSFADGFPNIFINNAEELRGQHVAFLASFSSPAQVFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPTSLVIYDIHALQERFYFGDEVLPLFETGIPLLKQRLQQLPDADNVVIAFPDDGAWKRFHKLFDNYSVVVCTKVREGDKRIVRLKEGHVSGHHVVIVDDLVQSGGTLIECQKVLAANGAAKVSAYVTHGVFPNQSWLRFTHKDEASEKAFAYFWITDSCPVTVKALANQTPFEVLSLAGSIANSLQI >CAK8577279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551431611:551432615:1 gene:gene-LATHSAT_LOCUS29400 transcript:rna-LATHSAT_LOCUS29400 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQTAADTMSSLNLCSILSESKRIINAHSRHFLALSVIFLLPLSFSLIVSPTIFHLITTSSNSPTIHILVRLAQPQIQTQTQTNFNFPFPFPLLLFSLFFLLIFSLSALATITHSVFHGFFGRPVKLLSAVTSLFSSFLPLLVTSILSHLILLSLSLPIPLLSLLLRPNLSFSPITLTASTILLLALIFFLFYLRISWTLASVIAVVENTWGIQPLRRSSSLMAGMKYTGASCFLFFASLEGIMLWSGSLLAAVASDSGSWKDWAFVVQIVLTSAVLTILMLYHAAANTVLYMYCKAVHGELASEIAEEFAWQYVSLPFDESKVPHVVSVVSV >CAK8533821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662983501:662986733:1 gene:gene-LATHSAT_LOCUS3416 transcript:rna-LATHSAT_LOCUS3416 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVRLARSILSSPALVPHPFKHLRLAPQSTFTAANYFHSVSVVVPNTETQQTNSTNSTKPPSSLRVRKKAQSRRNSAFTHTNNNTAVELAFNSVVKIFTVSCSPNYLLPWQNKSQRESMGSGFVILGRKILTNAHVVADHSFVLVRKHGSPNKYRAQVKAVGHECDLALLIVDSDEFWDGMIPLEFGDIPFLQQAVAVVGYPQGGDTISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMGKRVAGVAFQNLSGAENIGYIIPVPVIKHFISGVEENGKYIGFCSLGLSCQTTENVHLRNHFGMQPGMTGVLVNKINPLSDAYKVLKKDDIILSFDGVPIANDGTVPFRNRERITFDHLVSMKKLNEKALVRVLRDGQEIELSIILQPIQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNASPRRLCERALRELPKKENQQLVILSQVLMDDINAGYERLADLQVLKVNGTEIDNLEHLRKLVENCNTESLHFDLDDNRVIVLNCEAAKIATSRILTRHRIPSSMSADLINAQNNL >CAK8571617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:449525011:449525388:1 gene:gene-LATHSAT_LOCUS24259 transcript:rna-LATHSAT_LOCUS24259 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTQLLSNLIHTGAIIPKEIETTKFPYHPRHDPNATCGYHAGYVGHSTESCIIFKNKVQELLDQKLLYFTKKPCQNSILVISETSAEAKNKGPPTHVLIASAIQPSGQGPYQGFQSANSIVHSA >CAK8538566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487384958:487390872:1 gene:gene-LATHSAT_LOCUS7750 transcript:rna-LATHSAT_LOCUS7750 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQENEECLPPPPPIVPSDVEPVKIEQELFKKKGPTRVPMARRGLGSKGNKLPLLTNHFKVNVANTDGYFFQYSVALFYEDGRPVEGKGSGRKILDRVQETYNAELNGKDLAYDGEKTLFTIGSLAQNKLEFTVVLDDVTSSRNNGNRSPEANGSPNDADRKRVRKTFRSKTYKVEISFASKIPLQAIANALRGNETENYQEAIRVLDIILRQHAAKQGCLLVRQNFFHNDVNNFTDVGGGVLGVRGLHSSFRTTQSGLSLNIDVSTTMIVRPGPVVDFLIANQNARDPFTLDWAKAKRTLKNLRIKTSPTNQEYKITGLSELPCREQMFTLKKRGGGEDESEEITVYDYFVNRRNITLQYSADLPCINVGKPKRPTYVPIELCELVSLQRYTKALSTLQRSSLVEKSRQKPQERMKVLSDALRTSNYGSEPMLKNCGISITSGFTQVDGRVLQAPRLKFGNGEDFNPRNGRWNFNNKKIVQPARVQKWAVVNFSARCDVQGLVRDLIKCGRMKGIQVENPFDVCEEQHTFRRAPPVVRVEKMFELVQSKLPEPPQFLLCLLPERKNSELYGPWKKKNLAEFGIVTQCIAPSRVNDQYLTNVLLKINAKLGGMNSLLGVEHSPSIPIVSKAPTLILGMDVSHGSPGQSDIPSIAAVVSSRQWPLISKYRACVRTQGSKVEMIDNLFKPVPDKKEDEGIIRELLIDFYTSSGNRKPDNIIIFRDGVSESQFNQVLNIELGQIIEACKFLDDTWNPKFTLIVAQKNHHTKFFQPGAPDNVPAGTVVDNRICHPRNYDFYMCAHAGMIGTSRPTHYHVLLDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQVGQFMKFEDRSETASAPGGKNAPAVPQLPKLRQNVCNSMFFV >CAK8539630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518750283:518753382:1 gene:gene-LATHSAT_LOCUS8708 transcript:rna-LATHSAT_LOCUS8708 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSKYKKPTALRCCDAGGRCSTLVFVLSLLGCLLLLQLYSYVHQTERHGGETHLRAFQRHPQFRELQEAEEENLNVPPPKGKRSPRAVKRRPKRTTTLIDEFLDENSQMRHVFFPGRKRAIDPMQAVENDKYQYYPGRMWLDTDGHPIQAHGGGILYDKNSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKHQGVVLAAEETNETHDLHKSNVLERPKVIYNEKTEKYVMWMHIDDANYTKASVGVAISDAPDGPFDYLGSHRPHGYESRDMTVFKDDDGAAYIIYSSEDNSELHIGPLTEDYLNVTSLMRRVLVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCMGGNKMFRLTTFFAQSTFVLPIPDFPGMFIFMADRWNPADLRDSRYVWLPLIVAGPADEPLEYSFGFPWWSRVSIYWHRKWRLPQGCNPFQIM >CAK8560399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17438262:17444172:1 gene:gene-LATHSAT_LOCUS14073 transcript:rna-LATHSAT_LOCUS14073 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSRTDGATGTHLLSAKVRKTIQSIKEIVGNHSEADIYVALKETNMDPNETTQKLLNQDPFHEVKRRRDRKKENQNVGNRGSGGQPRRHSDNGGQRGQFHNHSEHNVGNRGSAESERHSDNGGQRAQFHNHAEHNVGNRDLGESERHSNNGGQKAQFHNNAEHNVGSKGPGEPERRSNNGGQRAQFHNHLEHNVGNRGSGEPERHSDNGGQRAQFRNHSEHNAGNRGQPRRHSENGAQRGVQFNNPADHIVGNRESGEPKRHSENGGQGVQLNNPSEHNLDNKGSGEPRRQPENGGQGMHFHEHRGRRANYSRNPLPSLSREFRVVRDNRVTHINKDKEVKPPSQQHSTSTTEKLPGNTSEKGSSAASINQKPSGARNHQAVNGPSDSHTRQSKDAPRATNVSDKKITPENIQGTVSNASARTQPIKPNNIHQNSSATASTSSVVGVYSSSTDPVHVPSPDSRSSGAIRREVGVVGVRRQSSDHKGKQLFPPSSSHANNSVVGKDGTSSDSFQSAGTVQKTEHLSQTSVTEPSFPGMSVSRPSLNNPHNSRPHQQVVGHQRVSHSQHNKEWKPKSSQKTNNNGPGVIGTPKLTISSPAENSKVIESDTTQLQGTLSQLNVYENQNVIIAQHIRVPETDRRRLTFGTVGVATELDSLMLQPQFQVIGATEKSTGELTTSLTAPASESSTDDVSGSKQVNLSDDHVRSSESESPASGVASEQQLPDNKESSSSQNLDNYANVGLVHDTSPSYAPSQSRQQDSHDMPGFSAYDPPTGYDIPYFRPNMDETVRAQVLSPHQEVLNSHAANNVPTSTIAMVQQQQQQHQVAQMYPQVHLSHYANLMPYRQFLSPVYVPPMAVPGYSNSAPYPHPTNGNSYVLMPGGGSHLNANNLKYGVQQFKPVPAGNPTGFGNFANPAGYAMLAPGVVGGASGLDDSSRVKYKDNLYVPNPQGETSEVWLQNPRDLSGMQSTQYYNLPGQSPHAAPFMPSHTGHANFNAAAAQSSHMQFPGMYHTPPQQAAMANPHHLGPAMGNNVGVAAAAPGGAQVGAYQQPQLGHLNWTTNF >CAK8560503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22540780:22543132:-1 gene:gene-LATHSAT_LOCUS14169 transcript:rna-LATHSAT_LOCUS14169 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLMILICMFVSWIFIHRWSQRNKKGPKTWPFLGAAIEQLMNYDRMHDWLVEYFSISKTVVVPMPFTTYTYIADPVNVEHVLKTNFNNYPKGEVYHSYMEVLLGHGIFNVDGELWKKQRKTASLEFASRNLRDFSTKVFKEYALKLSSILNQASFLNQQIDMQELLMRMTLDSICKVGFGVEIGTLNPNSPKNSFAQAFDTANIIVTLRFIDPLWKIKKILNVGSEARLDKSIKTIDDFTYSVIRTRKAEIEDAKKSGQQNQIKNDILSRFIELGENNASDKSLRDVVLNFVIAGRDTTATTLSWAIYMVTTHSHVAEKLYLELKTFEENQAKEENVTLPQCDDEDKDEHELFNQRVEQFSKLLNKDSLERLHYLHAVITETLRLYPAVPQDPKGVMEDDVLPDGTKIKAGGMVTYVPYSMGRMEYNWGPNAASFVPERWFHDGVLKNESPFKFTAFQAGPRICLGKDSAYLQMRMVLAILCRFYKFNLVPGHQVKYRMMTILSMAHGLKITIEKRS >CAK8543095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578130059:578130537:1 gene:gene-LATHSAT_LOCUS11879 transcript:rna-LATHSAT_LOCUS11879 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPETQRNQPPPGYPTDQDPPTKRKMFFSSKKKGERGFIEGCLFALCCCWLCEECC >CAK8543724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:634663511:634664177:1 gene:gene-LATHSAT_LOCUS12465 transcript:rna-LATHSAT_LOCUS12465 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSRDSDVCSRSRWKPMCYYGDMAVLQRATTVKNMGKLFWGCPNYKGGMQAGCGFFDWFYQEVGDENEQFWMHRLGVVTKGLAEAKKDIEKTTMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVRGS >CAK8543725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:634663586:634664177:1 gene:gene-LATHSAT_LOCUS12465 transcript:rna-LATHSAT_LOCUS12465-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQRATTVKNMGKLFWGCPNYKGGMQAGCGFFDWFYQEVGDENEQFWMHRLGVVTKGLAEAKKDIEKTTMENEELGKKLMKLESEMKNIMKWKKILNLMFVMIIIVLVVRGS >CAK8540936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33455866:33475941:1 gene:gene-LATHSAT_LOCUS9892 transcript:rna-LATHSAT_LOCUS9892 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDVYGPHTNELLLGKALKGEVREKVELATKFGAIFREGKFEICGDPAYVREACVGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASPATIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGTKIAENFTKEDYRQHMPRFQPENMQQNQTIFEKVNELATKKGCTPSQLALAWLHHQGNDVCSIPGTTKIENFNENIGALSVKLTQEELTEIESLADIVKGDRCGKEPTWKESDTPPLSSWKTAN >CAK8540938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33474521:33475941:1 gene:gene-LATHSAT_LOCUS9892 transcript:rna-LATHSAT_LOCUS9892-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDIYGPHTNELLLGKALKGEVREKVELATKFGARAREGKFEICGDPAYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASPATIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGTKIAENFTKEDYRQHMPRFQPENMQQNQTIFEKVNELATKKGCTPSQLALAWLHHQGNDVCSIPGTTKIENFNENIGALSVKLTQEELTEIESLADIVKGDRCGKEPTWKESDTPPLSSWKTAN >CAK8540937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33455866:33457104:1 gene:gene-LATHSAT_LOCUS9892 transcript:rna-LATHSAT_LOCUS9892-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDVYGPHTNELLLGKALKGEVREKVELATKFGAIFREGKFEICGDPAYVREACVGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLLENLSNDDYRKDLPRFLPENLQQNQTIFDKVNELAAKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENLNQNIGALSVKLTPEELAEIESLADAVRGDRYMEGMSTWKDSDTPPLSSWNAA >CAK8532282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:238808091:238808408:1 gene:gene-LATHSAT_LOCUS2008 transcript:rna-LATHSAT_LOCUS2008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHMKLSSKIVSHSIRELVNRDTTLKVKVIIAHILEKYRYIISYRKAWIAKCKAVELMYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPAISNDGSQISGK >CAK8560501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22370750:22371127:-1 gene:gene-LATHSAT_LOCUS14167 transcript:rna-LATHSAT_LOCUS14167 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCVKLTKLKSAITRWPSLTKQTRNSSSMSSSSKKQEQEQKLHAVYVGKSRRQYLVNSKVFQHPVFQELVDRSCCNGGCDDGVIVVSCEVVLFEHLLWMLESAETEAQLGSMDELVEFYHCGAC >CAK8578405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626715586:626720666:-1 gene:gene-LATHSAT_LOCUS30418 transcript:rna-LATHSAT_LOCUS30418 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFGSSDDVSGFKFLFLMALIYGLISMLTYSVIHMKFVNPLLVDAPLDRFSEARAVEHVRMLSQEIDGRHEGRPGLKKAALYIKSELELIKDRATSNVRIEIEESTVSGSFNMNFLRHHIALGYRNHTNILMRISSIDSKDTDPSVLVNAHFDSPLGSPGAGDCGSCVASMLEIARLIVDSGSALRRPVIFLFNGAEELFMLGSHGFMKTNKWRDTIGAFINVEASGTGGPDLVCQSGPSSWPSNIYAEAAMYPMATSAAQDVFPIIPGDTDYRIFSEDYGDIPGLDIIFLLGGYFYHTSYDTLEQFLPGSIQARGENLFRIIKAFTNSSKLQNKYQTNYSEVRASLFNEERAVFFDYLSWFMIFYSKRVAKVLHSIPIFLFLVMSFSRGQPHSWFATLCDFVKGFVFHAFGMILAVVVPVAFSLLRLLFSSQTMNWFAHPFLAFMMFIPCGLVGLIIPRILWRSFPLSQDAVIVKRSKEALSDEARFWGAFGFYAVLTLAYLTAGLSGGFVTFFASASMLPSWISFCLSVKSFGRRSFRSTMFYILPLVPCLAYAVYFGGFLAQFLIEKMGMMGSLPLPYGHYVPDIIVAAVIGLVTGWSVGPLIPICGHWLARSSIVRFLLHLSVLALALSSQFFPYSMSAPKRIVFQHTFRTAGSSQITESTYDFSVLDSNSLLFIFKHSPEAAEILNVTSKFSFESASRSNRHDWMVLFPVSSLFSNSLKFPANDDDILKQYQFFPTLFVQNTSSNSEKGHRRVHLDLYLGSLEEIWVTVLNITGPLSSWSFADNVLPGTEAYGGGPPSYILRLSGPSDRNWSFWLEANSSEALRVEVSVLDQKLVDPAKRLKSVFPKWVDVVAYSSFISSYTF >CAK8538567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487395876:487396545:1 gene:gene-LATHSAT_LOCUS7751 transcript:rna-LATHSAT_LOCUS7751 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTFSGVTSDPIKATMITNTIIVVLFTTLVFGCLTKPLVRYLLPHHVRRIDTRHEESSGSRSPVGELDLPLLSFHESTETNISRAKESLSMLIESPVYTIHYYWRMFDDAYMRPIFGGPCSNPNPSEF >CAK8563564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616703675:616705039:1 gene:gene-LATHSAT_LOCUS16960 transcript:rna-LATHSAT_LOCUS16960 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRGSLCKPQIPLLPRSVNLMQSPTKFSTTPSLSISASKILRNPPFPRFCCTSDSTSSTSSVECNTPSSTKIFIKGLPLLTSEVDLAKVFSMFGEVTKVKLLIDKESGQSLGFAYIWFVNEESAQSAVKVMNGKFFDGRFIYVTIARPGSSKNLKKTRAYKF >CAK8561424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203967678:203967917:1 gene:gene-LATHSAT_LOCUS15018 transcript:rna-LATHSAT_LOCUS15018 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTGNNSQYTRPFMFQPPPTIDVVESPNVESESPIGSTTDSQVPGNSTQDGLENITFTGEGERSTKKNRISGS >CAK8539355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510038851:510040661:1 gene:gene-LATHSAT_LOCUS8458 transcript:rna-LATHSAT_LOCUS8458 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRREISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFEGERRFGGDRDGYRGGPGPRGPGGEFGGDKGGAPADYRPSFGGPGGRPGFGRGSGGFGAPTSSNDA >CAK8542007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:454298776:454299229:-1 gene:gene-LATHSAT_LOCUS10884 transcript:rna-LATHSAT_LOCUS10884 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVGDNIGLIEEVIKKSCSLSSKAHKSPTEPYISENFKTSSDSAAYLVISFPGSWVETDWFVTKPVGETKIDLGHFPLLKSVGNDETALVNQAFLNRFDSLFKFSSIISEVKKGVAEGSM >CAK8537089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:79101077:79104461:1 gene:gene-LATHSAT_LOCUS6405 transcript:rna-LATHSAT_LOCUS6405 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPLDHESINENVKKAQYAVRGELYLRATELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKQYLSFTSGGLGAYSDSRGIPGVKKEVAEFIQRRDGYPSDPDLIFLTDGASKAVMQMLNTIIRGQGDGIMVPVPQYPLYSATIALLGGTLVPYYLEETANWGLDTTELRKSVSEARYKGLNVKAMVIINPGNPTGQCLSQENLREVLQFCYEENLVLLGDEVYQTNIYQDERPFISAKKVLMDMGPPLSKEVQLVSFHSVSKGYFGECGQRGGYFEMTNIPPETVDEIYKVASISLSPNVPAQIFMGLMINPPKPGDISYDQFARESKGILESLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKALEIAQQAGKAADVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPAIMDSFKKFNDDFMDQYDDHRGYSRL >CAK8543372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603462573:603464347:1 gene:gene-LATHSAT_LOCUS12132 transcript:rna-LATHSAT_LOCUS12132 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQAIFSSSESISSLHLSDLNHFNRSPVMVQLSDGAHAHTQSKPCSSSTSLLASAGSSLDLYQPSDQTLPLIGKLNNGYDYPSIIQLSGVHKHHNQQDPLDEKVRGEEKESGNSGENKSNHISKGDFLVDSQHLPSSTTLVQDGRWCEGEEAIPLKKRKGRLENVAMESDDSKKTKSKIGKTKLNKKCCMRKDDDGEDEECKKKVDTIKKRETKRGSALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIGLSTTTITAPNNVVDRDSASSSSNSELVKKIFSGNDIVDKLENGDDSKKPVVMIKKRMKLGMVKARSMSSLLGQTDNKVVVVDQNSK >CAK8533623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642637019:642639810:-1 gene:gene-LATHSAT_LOCUS3235 transcript:rna-LATHSAT_LOCUS3235 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVSSENRERALNPQHPYRKSRLGCAHLEVDMVKESGEDEINHSQVWKAAYVNKNRVIDNENVQRVVDQCEKLIEALTEEERQDLGPTDILFEALKLPNYSGRIRSYGFGVCSRDILPRQIRLTQMDFQKLYGFCNTLKSRLEVLEREKLERDKLDREAKNRRGGQKEQPEKVTQSLQQLEEVAERQQPEQVSKSLQQPEKGTHGQELSDKDSYNPVSFGNTPEGLFPVNIYLSSPSRCLVARGKLYNTKGNTVHDMTLPPGYVKVNIEVAIVQNAQLPISVEGGDVSMVFQAIGTIVPWPMKLLEFVVECEKNPDQSQNKVKNTQRSVDSVSSPNKSNKKFKIEESPRVGGSTSLVNLPFLDMYVKKMMRVESLIQIKMEESIFGEEFLEHLRVESIKEILDHNWLSVSTITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKH >CAK8538764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495292106:495293200:-1 gene:gene-LATHSAT_LOCUS7927 transcript:rna-LATHSAT_LOCUS7927 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNNGYKSKQRSSTASGYICRIQVLVMSFTLMLMLVILFLVFDNVHDNDNHGVKVKVKPSLAYDSHKQKWNSFDSLVKLHPTREFRNGTDLIWQVPESPKGVLFLAHGCNGKAINFWDKSSECPDCVGLPEERLLVLHGLAEGFAVITISSARRCWSYGNNEVLIVKDILEWWIGERKLEKLPLVALGASSGGYFVSLLATAKKFNSIVLMIAEGMFEEIDIEKDYPPTLFVHMPKDFYRQRKIDEYVEVLKGKGIDVGVVECMEFPLSPDTLIDRIPGMDQHLSRTLFEVFKERGLIDRNGYMKQDGRKINWRKVIQEKINLDIDERLVPHVQEELNLAFAYHEMTSVHSDRIFKWFKSHLS >CAK8534435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726301312:726303870:1 gene:gene-LATHSAT_LOCUS3981 transcript:rna-LATHSAT_LOCUS3981 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVTEASSSLRFPSLNNRLIPTKPYPSPRLLRSSSHQPNLSLRLRSSSSSNLYTSSDLANFDPLGINPDSSSSSTWRNLLTIFQTSSEKDKPRGVAAAIEDSSIDFGDFFNGPLPGKFLKLLGFLALSRLGVYIPLGGVNRDAFLGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKKEGEAGRKKLLQYTRYASVGFAIVQAIGQVLFLRPYVNDFTTEWALTSVVLLTLGAVFTTYIGEQITELKLGNGTSLLIFTNIISYLPASFGRTFSQAFSDANYVGLVTIVVSFFLLVLGIVYVQEAERKIPINYASRFTSRSGGLEKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLKSLKTAAVALNPGGSFYLPFNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTATFIKTVLSRISVLGSTFLAILAAGPAVVEQAAHLTAFRGFAGTSILILVGCATDTARKVRAEIISQKYKNIELYDFDKY >CAK8539796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525594683:525598064:1 gene:gene-LATHSAT_LOCUS8858 transcript:rna-LATHSAT_LOCUS8858 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEFIFNTLISTILTLLIGALIYRIKKTHTKNCTAPQAEGAWPIIGHLHLFGAQKLTHKTLGMMADKHGPIFTIKLGSYNVLVLSSSEMAKECFTLHDKTFSTRPFVAASKLMGYNYAMFGFTPYGPYWREMRKLATKELLSNHRLELLKNTRVTEIDAAVRRLYRLWTIKGCQNEGILVDMKEWFGDLTHNIALKMVGGKPYYGGGEGEGGKYKEAMRDWVCLFGVFVFSDAIPFLGWFDVNGYEKAMKRTAKKLDSLAEGWLEEHKRKRDLGDVGKEEHDFMDVMLNVLQDSKICGYDSDTIIKATCLNLVLAGSDTTVVTLTWALSLLLNHQMELKKAQDELNTHIGKDRKVEESDINDLLYLQAIVKETLRLYPPSPIITLHEAMNDCTFSCGYRIPTGTQLMVNVWKIHRDSRVWTDPHDFKPERFLTSHKDVDIRSQNHELVPFGSGRRACPGASLALRVVHLTLARLLHSFNVASPSNQAVDMTESPGLTNLKATPIEVVLTPRLNTNLYED >CAK8538236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472393971:472397199:1 gene:gene-LATHSAT_LOCUS7457 transcript:rna-LATHSAT_LOCUS7457 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKPFLLFLLTCILIQQACSDKDRKTYIVYMGAHPKGMDPTTLPSLHSRIAQNVLGSDFEPGAVLHSYKKSFNGFVVKLTEDEAETLAEMDDVVSVFPNTKNLLHTTKSWDFIGLPQNIKRLSLESDIIVGVLDTGIWPESKSFSDEGFGPPPKKWKGSCHNITCNNKIIGASYFNIEGIYGKKDKKSPRDVNGHGSHCASTLAGNMVSSVSLEGYAIGTARGGVPSARIAVYKVCWEETGCDEANILAAFDSAIADGVDVLSVSLGPGQVTPLTQYFQHSINIGSFHAMQRGVFTSNSANNLGPDLFTMTSYPPWLLSVAASTFGRKFVTKVQLGNGKVYEGSTINTFDLKNKMFPIIFARDIPNTAAGFNSSVSRFCHKDSVDEHAVKGKIVLCEGIQIPTDIGFFSGAAGVIFGYVSAKDLPNTYALPATLLSLWNFREIQYYMKSTRNPTATIFKSEEVEDSLSPYVASFSSRGPNPITPNILKPDIAAPGVNVIAAWTPLDPISEFEDDKRRVPYQIISGTSMACPHAVGAAAYIKSFHPNWSPAMIKSALMTTATPMSPSINPEAEFAYGAGLISPVKAANPGLVYDISEADYVEFLCGEGYTDKQLRNLTRYKSDCKGKANGKAVYKLNLPSFALVANNKFFGYVYHRTVTNVGSAKSSYKARVISSPLLEIQVKPDVLSFTSIGQKKSFTLTIEGEINVGIISSSLIWDDGNHQVRSPIVVYRK >CAK8530424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20225022:20225367:-1 gene:gene-LATHSAT_LOCUS290 transcript:rna-LATHSAT_LOCUS290 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENIGASASVRQLVGYPGGPYDTSLLVKYEHHIVRHIWFIEERSTKKDLKVAGHGLKLIKKVPLQLPREIEGWVSRSGVSSL >CAK8562654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:521809975:521811631:-1 gene:gene-LATHSAT_LOCUS16133 transcript:rna-LATHSAT_LOCUS16133 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTNLSKIDTNLVSAFAERWHLETSSFHMPFGELTITLDDVSCLLHLPIRGVFWSPEDISEALVVEWAVDYLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFMLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLHCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILNELTPTDVIWRPLENHRVWRQFDELCLYGGCLRWGDTIVPYLPDRCMRQFGYRQYVPYPPIDHRMAGDIDVDWISYHQSVQNVIRPTTPATTPYETDDGYLEWYYRVSHPRLVPTPYHDAPAEMSVPVYEAGPSDPSWARVSLLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8533289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600560438:600562272:-1 gene:gene-LATHSAT_LOCUS2929 transcript:rna-LATHSAT_LOCUS2929 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSNEILNGKYSARNTTKSAFLIPFTLLLIMFPLILMRNTEESSPSSSLPPSSPNMSSVRNMNNRTETKGCDIFSGNWIPHSEEPYYNNVTCKWMIDEQNCMKFGRPDDEYLHWRWKPDECELPLFNATQFLELVSGKKMVFVGDSVGRNQLQSLLCLLSQVSEPEDVSHKYTSNVIYFRRYFFPDYNFTLGNLWSPYFVRSSDAEKKGHSYNNIMKLYVDEVDEAWSSQVENFDIVIISAGHWFFRPLMYYEKGQLVGCNKCGIENVTDLAHYYGYKMAFRTAFRTLTSLENFKGVTFFRTFSPSHFENGDWNKGGNCVRTKPFSKEVMKLNGYFLETYMTQVNEFKVAQEVASNKGLKFLMLNTTEIMLLRPDGHPNNYGHSKDTNVTYYNDCVHWCLPGPVDTWNEFLLYMLNIESNSSYDSKLESVVL >CAK8539683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521537837:521543477:-1 gene:gene-LATHSAT_LOCUS8760 transcript:rna-LATHSAT_LOCUS8760 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSVATPPASNTENYSAKVSAENGNAHDSPSWSPASGISSWARNLRLGAADQNSQTENNGMSVFARLTSGIGRRVPSNETATVNSGSDQSNLIESFTKGLMDSSKNAVKAVQTKARHIVSQNKRRYQEGGFDLDMTYITDNIIAMGFPGGDFSSGIFGYIEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDGSLFQGKVASFPFSDHNCPPIQLIASFCQSAYSWLKEDIQNVVVVHCKAGMGRTGLMICSLLLLLKFFPTAEEAIDCFNQKRCIDGKALTLPSQIRYVKYFERTLTHFNGEVQPGRRCMLRGFRLHKCPYWVRPSLTISDHNGILFTTKKHPKTKDLMPEDFWINAPKKGIVVFALPGEPGVTELVGDFKIHFHDRQGDFFCWMNTTMIENRKILDGSDLDDFDKRKIPSPGFQVEIVMVDYNGTLPGKVKSASKGSDSNKNNVLSGRKPTSNTIETKGPTNRDDDVFSDSDEEETKGKQKREAATGHVEMLAHSTNQLSLQHAELTENNDSKESTKDKLHKTHAGPNTSNMESTGASEIKAIAADASVFSFGDEDFESDSEEAG >CAK8544031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658935490:658935909:1 gene:gene-LATHSAT_LOCUS12741 transcript:rna-LATHSAT_LOCUS12741 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEGKPMGHYKVDLLNGWCDCGKFQAFCVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMSCDEYWPVYEGDQIFHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8536979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:47934042:47937394:1 gene:gene-LATHSAT_LOCUS6300 transcript:rna-LATHSAT_LOCUS6300 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYSNFFPDDDLLCSEETSSILSGDSPAECFSDVDSSPPPEEEFIAGLIDDEHKFVLGFDYFSKLQSRKIDASAREESVAWILKVQAYYGFQPLTSYLAVNYMDRFLNSRQLPQSNGWPLQLLSVASLSLAAKMEETLVPSLLDLQVEGAKYIFEPRTIRRMELLILSVLDWRLRSVTPFSFLSFFACKLDSIGIFTGFLISRATQIILSHIQEANFLAYWPSCIAAAAILYAANEIPNWSLVKPEHAETWCEGLRKEKIIGCYRLMEELMIDNNQRKAAPKVLPQLRVTTQPLMRSSVSSSSSSSPSSSPSYNKRRKLNNCWWVDDDKGNSQ >CAK8543460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609293486:609294219:1 gene:gene-LATHSAT_LOCUS12216 transcript:rna-LATHSAT_LOCUS12216 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLALEPDDVSSDIYLSLGLKDIDKGVGVPRVLSLLSSVLERSIQRNEMLLETERIEDVVTVFHGLRAPALSVRKYIDRIFKYSSCSPSCFVVAHVYVDRFIQRTEIKLTSLNVHRLLITSIMLAAKFIDDAFFNNAYYAKVGGVSTSELNRLELSFLFGIDFRLQVSVDTFGRYCLLLEKEGSETLQIERPMQACRIKESWSNKDESPCASTIARW >CAK8570322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36360554:36363512:1 gene:gene-LATHSAT_LOCUS23080 transcript:rna-LATHSAT_LOCUS23080 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKVETISRLAQWKIDNFGPCSYKKSDPFKLGIWNWYLSIERNRYLYIHLFPEHSRVSKEQPPIARFILRVSNTASSSSSSRRFYISPVHERVLRTCDDFVWPVDTTFLGRLIIDVEFLDLKIYPLNGGEASSIWPSDGKLQSVATQNTLGCLSRMLDEAIHADLTIITADGTLKAHKAVMTATSPLFEASYHDSDNDKESSTIHIENMSQESCTALLSYMYGTIKPEDFWKHRLALLGAANKYDISDLKDACEESLLEDLNSGNVLDRLNEAWMYQLHKLKKGCFAFLFDFGKIYDVRDEINTFFRHAERELMLEMFQEMLTIWK >CAK8579493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:703994215:703996014:1 gene:gene-LATHSAT_LOCUS31437 transcript:rna-LATHSAT_LOCUS31437 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNISKVPAFPLASKISSQPRCFYSLPTANIHFSSYKKSIFTNPRCKFRTLEMGKPLNPYGLMLQIARRYCGARSAEKQLPWLEMDGVKESRVVEKAKRINVRGSVQEDSVKNVSVKSSWEQSVDRLEKTTVPEFKQSLGESTFPVKGGDSGKEKRNGFVEKARERRSDGGSRYDRFDDKRIASNKPSSRYGKFDGKRSANNTPSSRYGRFDDKRSASNTLPKRYGKFDDNVEKRMDDNVEERIGNEMEDGEVEEEGVDDPRWDNIRNRFQGGSERPEYRRWDSNENWGRKTWREATESTVPKVVGEGIYGVGPVLAALSAGRREFYALYVQQGLDLSSNNRKKKDKKGFERVLKIAEKLNLSIKETSKHDLNMVADNRPHQGLVLDASPLEMVKIQELEPFSLEEGKGSLWVALDEVTDPQNLGAIIRSSYFFGATGIVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRVLGGSVSSKAISLNEIEPGPPTILVLGSEGTGLRPLVERSCTQLVKIAGNIPQDSSTSELEGETTGLTSESAGKEFLSFLAVESLNVSVAAGVLLHHLIGGKSSVESLSDANR >CAK8544626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696290119:696290403:-1 gene:gene-LATHSAT_LOCUS13284 transcript:rna-LATHSAT_LOCUS13284 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHIAKMFMDYMNDDMDEELVRFFMEEEASSSIRPTCQRRNIERNREEGHDRLFKDYFLETPIYTNEQFRKRYRMHKHVFIRIVEALGLHDE >CAK8577812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590155049:590156626:1 gene:gene-LATHSAT_LOCUS29883 transcript:rna-LATHSAT_LOCUS29883 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSCFLLTTFITFMFISSTISQSPIQNFLNCISHESQTSEITYTPNNTSFSAILNMRIRNKRFKTTTTPKPLAIITAKNASHVQATVKCAKSNNIQIRIRSGGHDYEGYSYVSDVPFVILDLFNINSVDINLQEETAWVGSGATLGKIYYNIAKKNSTLAFPSGVCFSLGAGGHFSGGGYGNLLRKFGLSVDNIIDANIVDASGNILDRKSMGENLFWAIRGGGGASFGVILSWKLKLVHVPPQVTVFNVKRNVDEGATDVVYKWQIVAPKLHKDLFIRLQPNIAQIGNEGKKVVQVSFIGQFLGTIERLLPLISESFPELGLKKSDCFSMPWINTTLFWYDMPIGTPLEALLDEPKEPQISYFKSQSDYVKRPIPREGLESIWKKMIEGTLFMQWNPYGGRMEEILPWEAPFPHRAGNLFKIQYLNYWTDESSESIERHVNISRSFHEFMTPYVSNSPREAFLNYRDADIGANHPSNTTKFDIAKIYGSKYFKGNFERLVNVKTKVDPENFFRYEQSIPTRRY >CAK8536977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:47445458:47446804:1 gene:gene-LATHSAT_LOCUS6298 transcript:rna-LATHSAT_LOCUS6298 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDYNNVIKSQDIIGGSLVTEREYIDLTNMMENTCLSEMDSIGDHFTWSNKQAVGPIYSRIDRVLGNTGWFLTNLETTLKILPPNISDHAMLYLVTSQTQRKSPRHFKFSNCITDMPGYDTLVKKNWEGLLRGSPMCVLWHKIRRLKQDLQQFNKPISDVKTKLVSARTNLKEIQEQLRSDRLNNNLILTAKDLTEEIITLNEMDWKILQQREKIDWIKKGDGNNQYFYAAIKARHHSNCLNNLKKRDGSQTNSISDIEEEVLNFYSNLIGKNTENINHIDIEAMRMGKQLDNYQREYLIRPISENDITTTLKGIGDLKAPCLDGFGAKFLKSSWATIREDVIAAVGEYFETGKIYKAFNNDVVSLIPKGQSASEIQDYRPIAVCTTFYKIISKILTNRLGAVISSMVNHNQAAFVPGQNIHQHIMLATELLKGYNRKGGTPRIMI >CAK8576104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408023679:408026604:-1 gene:gene-LATHSAT_LOCUS28318 transcript:rna-LATHSAT_LOCUS28318-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEENKPVTVEDHDASSCRRTVSLPVTHKDNHDSTGITKEITHVPPRKKQNLLLEIPSRTPEECSQDYVAIKMPMTPSPTPIPTPKRVNFLMTSRSVDAPTNNSPGSATSKGKSSLRNILPKLSFRNRTLADTEKVNASTPEVSSSGLREKPLISRSLSLSKIFTPRMKRTSSLPLEEIGHSNTDSTHGGNGSVGGPLSRRETRLKIARSLSMPANNKKDKSLRRMDSFFRVVSSTPRVKEGNELLSTSPTKDIEIEDADDGEDIAEEEAVCRICLVELCEGGETFKLECSCKGELALAHQECAIKWFSIKGNRTCDVCKEDVRNLPVTLLRIQSVRNRITGASRSQLDDVNGYRIWQEVPVLVIVSMLAYFCFLEQLLVTKMGTGAIAISLPFSCVLGLLSSMTASTMVKSRFIWIYASFQFALVVLFAHIFYSLVHVQAVLSILLATFAGFGVVMSVSSFLVELFRWRRRRQASSEQQHGPLPMTQAGQQPRSGESNRNQ >CAK8576103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408023679:408026604:-1 gene:gene-LATHSAT_LOCUS28318 transcript:rna-LATHSAT_LOCUS28318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEENKPVTVEDHDASSCRRTVSLPVTHKDNHDSTGITKEITHVPPRKKQNLLLEIPSRTPEECSQDYVAIKMPMTPSPTPIPTPKRVNFLMTSRSVDAPTNNSPGSATSKGKSSLRNILPKLSFRNRTLADTEKVNASTPEVSSSGLREKPLISRSLSLSKIFTPRMKRTSSLPLEEIGHSNTDSTHGGNGSVGGPLSRRETRLKIARSLSMPANNKKDKSLRRMDSFFRVVSSTPRVKEGNELLSTSPTKDIEIEDADDGEDIAEEEAVCRICLVELCEGGETFKLECSCKGELALAHQECAIKWFSIKGNRTCDVCKEDVRNLPVTLLRIQSVRNRITGASRSQLDDVNGIWQEVPVLVIVSMLAYFCFLEQLLVTKMGTGAIAISLPFSCVLGLLSSMTASTMVKSRFIWIYASFQFALVVLFAHIFYSLVHVQAVLSILLATFAGFGVVMSVSSFLVELFRWRRRRQASSEQQHGPLPMTQAGQQPRSGESNRNQ >CAK8561248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128600061:128603462:1 gene:gene-LATHSAT_LOCUS14857 transcript:rna-LATHSAT_LOCUS14857 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHSQHLLLLILFLSVHFSHQLQFSHSQTLLKLQQLLGYPSSLTALTTTKDFCNIEPTPYLTLVCYEQNLTQLHLVGNNNDFTSLPQNFNSHAFFSTISTLSTLKVLSLVSLGLWGPLPETIGEFSSLEILNISSNYFSGEIPVQLSHLNNLQSLVLDDNNFNGEIPNLLGSLQGLAVLSMKKNFLTGNLPNSVNNLVTLRVLDLSNNQLFGELPHLHNLVNLQVLHLENNTLGPHFPSLPTKLVSLVLRNNSFRLGVPSNITSFYQLQKLDLSLNGFVGPFSPSLLSLPSINYLDVSSNKFTGMLFKNFSCNNDLLFVNLSSNLLKGELPSCLRQKTKVVLYARNCLSNEKKQDQHSYNFCSSEALAVNISPHQQQKHKGTTSKAVLVSSSIGVVGVLIVAVVILVVNQVHKKNVSKTPSMSTLDHVIISQIQNEDRVKTTARSIVEHIIKRVPDKNSMKTLTRSIKEHVANLLGNRRLSRSPSRSIIEHVSSVNTAKLLTDARCISETMKMGTGLPAYRTFSLDQLKEATNNFDESSLISEGPLGQIYKGVLSDGMHITIRGMKIRKKHSPQAYMHHVELISKLRHSHLVSSLGHSFECNQDDSSVNTIFLIFEFIQDKSLRSRISGSNGEKLCWTQRIAATIGVVKGIQYLHTGIVPGLYSNNIKITDILLDNNHNVKISSYNLPIYAENKRMVSNGTSHGVKGNLQARINDGDKNDVYDIGVILLEIILGRPIMFHNEVGTLKDLLHVSIKTDDIARRSIVDPFVHKECSDESLMKMMEICVRCLSSEPNQRPSVEDVLWNLQFAAQVQNSWRRETSDYRDSPISSSRETKLL >CAK8560876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51733464:51734627:1 gene:gene-LATHSAT_LOCUS14516 transcript:rna-LATHSAT_LOCUS14516 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNHFNTQNSANFPFNQNPNNFPNPNNYQNPNYYQNPNQFSNQHPQNIPNFGFPPNFNQTSSVPNFQTYYGSMPRNPSQTPPFNGYVTMANANFPSGGVPEFSEFSTQLTIGGMIVSNEVSPNSEDSTPKSRKTQQPAWNTEQNLVLISGWIKFGTSSVVGRNQKGETYWGKIAEYCNEHCSFDPPRDGPACRNRFNYMNKVLGKWIGAYDGAKRMQGSGWSENDVLAKAQELYACGKNVRFTLMEEWHALRDQPRYGSQVGGNIGSGSSGSKRSRESDACGSNTVESSARPIGREAAKKKGKKKSKEYASEVVDKEWAEYKEFKTKELERLDNIALMQQQANNIALEKTKTKKMKMYLKLTSEEHLDDRKNQLLKKLEAELFDN >CAK8542752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542830205:542831429:-1 gene:gene-LATHSAT_LOCUS11561 transcript:rna-LATHSAT_LOCUS11561 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLSFNSLTPSPRNPPPPLSPYSQANSTQEQQTPSSSSSPNVSREFDRAIQGPSYNEIRTMIQTPLQPHHIEIQQQNEDNNEEEDEESHHRDILTQVLQPDPNSVRETLANAKPQSALTHLVSSYFDHSETTSHLCLTLVRSINRAREMYNPISDLLSVLPSDSSSLSQLQCDTAYDLFIQFNIHENPFVFPHFNTLRNSFSDLKHQIQLDRRKCRHRIRLFRNATVGCAVCGLATVSIAIVTAVIVATHASIGFAAMAPFCIPFQKRRKRKALARLKQLDAAESGTFVVNHVNTIDSLVDRLQTAVEGDKAYVRFALERGRDRHPIQEVIKQLRKTRPIFEQLLKDLEQHIYLCFYTVNKARYALLKEISVHQTV >CAK8564822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11265670:11268385:-1 gene:gene-LATHSAT_LOCUS18086 transcript:rna-LATHSAT_LOCUS18086-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHIAHEMELHLGASNSLSSEQISPLLTNGREDSQNLKHLQETNKELPKFLDYASYLIHLAVFGILGVLTRYTLDKLFGPSVGHVTSDLTILYLDLPSNMVGSFLMGWFGVVFKQDISNMSEYLAVAVTTGYLGSLTTFSGWNQKMLELGVTGNWLFVVLGYLIGIFLVAFSIIFGIETAKGFRWLLRMSNIKAKVESFKNHLVVMAVLLLVLGFLWCVSGVLMISEFKNDENSFLWIACIVGPVGVWIRWLLAPLNRHGLGSAGLLDWIPFGTLIANVSAACIMAALATTKIYVNTRDCDIIIKGIQFGLLGCLSTVSTFVAEFHEMRESMHPWRAYVYTLITICSSFFLGILIFCIPIWRIDHGLRNFEN >CAK8564824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11265670:11268361:-1 gene:gene-LATHSAT_LOCUS18086 transcript:rna-LATHSAT_LOCUS18086-3 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLGASNSLSSEQISPLLTNGREDSQNLKHETNKELPKFLDYASYLIHLAVFGILGVLTRYTLDKLFGPSVGHVTSDLTILYLDLPSNMVGSFLMGWFGVVFKQDISNMSEYLAVAVTTGYLGSLTTFSGWNQKMLELGVTGNWLFVVLGYLIGIFLVAFSIIFGIETAKGFRWLLRMSNIKAKVESFKNHLVVMAVLLLVLGFLWCVSGVLMISEFKNDENSFLWIACIVGPVGVWIRWLLAPLNRHGLGSAGLLDWIPFGTLIANVSAACIMAALATTKIYVNTRDCDIIIKGIQFGLLGCLSTVSTFVAEFHEMRESMHPWRAYVYTLITICSSFFLGILIFCIPIWRIDHGLRNFEN >CAK8564821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11265670:11268385:-1 gene:gene-LATHSAT_LOCUS18086 transcript:rna-LATHSAT_LOCUS18086 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHIAHEMELHLGASNSLSSEQISPLLTNGREDSQNLKHETNKELPKFLDYASYLIHLAVFGILGVLTRYTLDKLFGPSVGHVTSDLTILYLDLPSNMVGSFLMGWFGVVFKQDISNMSEYLAVAVTTGYLGSLTTFSGWNQKMLELGVTGNWLFVVLGYLIGIFLVAFSIIFGIETAKGFRWLLRMSNIKAKVESFKNHLVVMAVLLLVLGFLWCVSGVLMISEFKNDENSFLWIACIVGPVGVWIRWLLAPLNRHGLGSAGLLDWIPFGTLIANVSAACIMAALATTKIYVNTRDCDIIIKGIQFGLLGCLSTVSTFVAEFHEMRESMHPWRAYVYTLITICSSFFLGILIFCIPIWRIDHGLRNFEN >CAK8564823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11265670:11268361:-1 gene:gene-LATHSAT_LOCUS18086 transcript:rna-LATHSAT_LOCUS18086-4 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLGASNSLSSEQISPLLTNGREDSQNLKHLQETNKELPKFLDYASYLIHLAVFGILGVLTRYTLDKLFGPSVGHVTSDLTILYLDLPSNMVGSFLMGWFGVVFKQDISNMSEYLAVAVTTGYLGSLTTFSGWNQKMLELGVTGNWLFVVLGYLIGIFLVAFSIIFGIETAKGFRWLLRMSNIKAKVESFKNHLVVMAVLLLVLGFLWCVSGVLMISEFKNDENSFLWIACIVGPVGVWIRWLLAPLNRHGLGSAGLLDWIPFGTLIANVSAACIMAALATTKIYVNTRDCDIIIKGIQFGLLGCLSTVSTFVAEFHEMRESMHPWRAYVYTLITICSSFFLGILIFCIPIWRIDHGLRNFEN >CAK8565304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:110973416:110974701:-1 gene:gene-LATHSAT_LOCUS18528 transcript:rna-LATHSAT_LOCUS18528 gene_biotype:protein_coding transcript_biotype:protein_coding MHELGSGASGINPHYGPTRNPYDCKKIAGGSSGGSASLVSAGLCHVALGVDGGGSVRMPAALCGIVGLKPTFTRIPHDGVLPINWTVGMVGILASTVEDALIVYAALSGEIPSHPPSSVLTEINLPRLSLTKSISSIRLAKYGKWFDDCSNDVRVCCSLALLKLQDHYNWKIIDVTIPTPSQPHENIF >CAK8540610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12466761:12479861:1 gene:gene-LATHSAT_LOCUS9598 transcript:rna-LATHSAT_LOCUS9598 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAIIFLVFAITIPCFEAGIAEFDDYLKAQANLAHDIALKSYIPDPENITSEINHHVHLSMEKFLAEEYSNNTRRELRGHGRRGGREGPCMASNPIDSCWRCNRNWANDRQRLAKCGKGFGRKAVGGLGGKFYVVTDPSDNDMVSPKPGTLRYGATLKGPLWIIFAHSMVIRLNQELMVSSDKTIDGRGAEVHIRDGAGITMQFVNNVIIHGLHLKNIKAKNGGMIRDAYDHVGLRTRSDGDALSVFGSSNIWIDHISLSECEDGLIDVIQGSTAITISNCHMTKHNDVMLFGASDSYTEDKIMQITVAFNHFGQGLIQRMPRCRWGFFHVLNNDYTHWLMYAIGGSSGPTILSQGNRFIAPNNNAAKTVTHRDYAAESVWSKWQWRSEGDVFMNGATFIESGAPIKNLPFKKGYLMKPRHGSQANRLTRYAGALSCRVGKPC >CAK8540611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12466761:12467288:1 gene:gene-LATHSAT_LOCUS9598 transcript:rna-LATHSAT_LOCUS9598-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAIIFLVFAITIPCFEAGIAEFDDYLKAQANLAHDIALKSYIPDPENISTKITHHVHMEKEKSLTGEYSNNTRRGLRGYGRRGGREQH >CAK8540612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12478196:12479861:1 gene:gene-LATHSAT_LOCUS9598 transcript:rna-LATHSAT_LOCUS9598-3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAIIFLVFAIAIPCFEAGIAEFDDYLRAQASLAHDIALKSYIPDPENITSEINHHVHLSMEKFLAEEYSNNTRRELRGHGRRGGREGPCMASNPIDSCWRCNRNWANDRQRLAKCGKGFGRKAVGGLGGKFYVVTDPSDNDMVSPKPGTLRYGATLKGPLWIIFAHSMVIRLNQELMVSSDKTIDGRGAEVHIRDGAGITMQFVNNVIIHGLHLKNIKAKNGGMIRDAYDHVGLRTRSDGDALSVFGSSNIWIDHISLSECEDGLIDVIQGSTAITISNCHMTKHNDVMLFGASDSYTEDKIMQITVAFNHFGQGLIQRMPRCRWGFFHVLNNDYTHWLMYAIGGSSGPTILSQGNRFIAPNNNAAKTVTHRDYAAESVWSKWQWRSEGDVFMNGATFIESGAPIKNLPFKKGYLMKPRHGSQANRLTRYAGALSCRVGKPC >CAK8564581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1448054:1451367:-1 gene:gene-LATHSAT_LOCUS17875 transcript:rna-LATHSAT_LOCUS17875 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNKVLLLMVCTFFFCSMPTFSKYNTFTTVAPNQFIQYSDTLVSAGGIFEAGFFNFGDPQRQYFGIWYKSISPRTIVWVANRDTPVQNSTGLLKLSDQGTLVILDDPKGVVWSSNSSRIVVKPAAVQLLDSGNLVVKDANSSSQNQDLLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRSPEDPAEGECSYRIDTHGLPQLVNAKGARFLYRGGSWNGFLFTGVPWQRMHRVLNFSAVFTDKEFSYQYETRNSSTITRLVLDSYGNSQRLVWSDRTQIWEAISSRPIDQCDNYVLCGINSNCNINDFPICECLEGFMPKFKLKWESSDWSDGCVRKTHLNCHHGDGFFKYTSMKLPDTSSSWFDKSLSLEECKTVCLKNCSCTAYANLDIRYGGSGCLLWFDNIVDMRRHPDQGQDIYIRLASSEFDHIKNKRNLKRVGPLAGVIAFIIGLTVIILVILSSKNKLGGIKKLFHKEKQKEDADLATIFDFSSITNATNHFSNRNKLGEGGFGPVYKGILVGGQEIAVKRLSETSGQGTEEFKNEVKLMATLQHRNLVKLLGCSIQHDEKLLIYEFMPNRSLDYFIFDTTRSKLLNWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPLHHLNLLGHAWRLWIEGRPLELMDEVLYDATICSEIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGGKLLPKPSKPGFYAGRDFTSSTESSSKEGSINEASISLLEAR >CAK8564580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1448054:1451367:-1 gene:gene-LATHSAT_LOCUS17875 transcript:rna-LATHSAT_LOCUS17875-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNKVLLLMVCTFFFCSMPTFSKYNTFTTVAPNQFIQYSDTLVSAGGIFEAGFFNFGDPQRQYFGIWYKSISPRTIVWVANRDTPVQNSTGLLKLSDQGTLVILDDPKGVVWSSNSSRIVVKPAAVQLLDSGNLVVKDANSSSQNQDLLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRSPEDPAEGECSYRIDTHGLPQLVNAKGARFLYRGGSWNGFLFTGVPWQRMHRVLNFSAVFTDKEFSYQYETRNSSTITRLVLDSYGNSQRLVWSDRTQIWEAISSRPIDQCDNYVLCGINSNCNINDFPICECLEGFMPKFKLKWESSDWSDGCVRKTHLNCHHGDGFFKYTSMKLPDTSSSWFDKSLSLEECKTVCLKNCSCTAYANLDIRYGGSGCLLWFDNIVDMRRHPDQGQDIYIRLASSEFDHIKNKRNLKRVGPLAGVIAFIIGLTVIILVILSSKNKLGKPGGIKKLFHKEKQKEDADLATIFDFSSITNATNHFSNRNKLGEGGFGPVYKGILVGGQEIAVKRLSETSGQGTEEFKNEVKLMATLQHRNLVKLLGCSIQHDEKLLIYEFMPNRSLDYFIFDTTRSKLLNWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPLHHLNLLGHAWRLWIEGRPLELMDEVLYDATICSEIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGGKLLPKPSKPGFYAGRDFTSSTESSSKEGSINEASISLLEAR >CAK8539562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516399764:516400689:-1 gene:gene-LATHSAT_LOCUS8645 transcript:rna-LATHSAT_LOCUS8645 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMWASSTANALKLSSSSRLHLSPTFSISRCFSNVLDGLKYAPSHEWVKHEGPVATIGITDHAQDHLGEVVFVELPEPGVSVTKGKGFGAVESVKATSDVNSPISGEVVEVNTELTGKPGLINSSPYEDGWMIKIKPSSPAELDSLLGPKEYTKFCEEEDAAH >CAK8544633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696611377:696619095:-1 gene:gene-LATHSAT_LOCUS13291 transcript:rna-LATHSAT_LOCUS13291 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFRVPISIPTSMPASPSHSPSSRFPPTRFRTLRTLNAIGKPKIPMPPFDPKDPFIAKLASVAASSPETLLKPPSNPDNLPLLDIFDSPQLMAAPAHHARSASYGGGRRRRSSPPDLPSVLLDGRIVYIGMPLVPAVTELVIAELMYLQWIQPKEPIYIYINSTGTTRADGETVAMESEGFAIYDAMMHMHTKIHTLAIGVAIGQACLLLSAGTPGKRFMMPHAKAMIQQPRVPSSGLRPATDVLIHAKEVIRNRDTLVKLLARHTRNSEEKVANVMKRAYYMDPLKAKEFGVIDKILWRGQETIMSDASSREDLENVTADAEVESRF >CAK8538350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478496176:478498056:1 gene:gene-LATHSAT_LOCUS7562 transcript:rna-LATHSAT_LOCUS7562 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKPPFSPSSSIIRNKGRFSTYLFTLLAFIIFVTILYGEDFMCIFGQQLQSYSNQDKIYSTPERVKKHVKVPFAVGKTEEGCDIFSGRWVWDEVSRPLYEESECPYIQPQLTCQEHGRPDKDYQHWRWQPHDCDLPKFNASLMLETLRGKRMMFVGDSLNRGQYVSFVCLLHQLIPEDAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVTHRISDRVVRKGSINKHGRNWKGVDILVFNTYLWWMTGLKMKILLGSFDDEVQEIVTASTEDAYRMAMKSMLRWVRLNMDPKKTRVFFTSMSPSHGKSIDWGGEAGGNCYNETKLISNTTYWGSDCRKSIMQVIGEVLSKTKVPITFLNITQLSSYRRDAHTSIYKKQWSTLTQEQLDNPVSYADCVHWCLPGLQDNWNELLFAKLFYP >CAK8576453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480505169:480508746:1 gene:gene-LATHSAT_LOCUS28640 transcript:rna-LATHSAT_LOCUS28640 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQNEQRVVILGGGIAGSLVAKSLQFHAHVTLIDPKEYFEISWASLRAMVEPSFAERTLINHREYFTKGDLVTSSAVNVTETEVVTADSRRVPYDYLVIATGHADPVPKVRTERLHQYKEENQKIKSARSILIVGGGPTGVELAGEIAVDFPDKKVTLVHKGPRLLEFVGAKAADKTLKWLKSKNVDVKLDQAVDLNSATDGHKIYQTSVGGTIEADCHFLCTGKPLASAWLKETVLKNDLDVQGRIKVDENLRVRGRSNIFAIGDITDIPEIKQGFLAQKQAEVVVKNVRLMIEGGRECRRETYKPHSALAIVSLGRNDAVAQFPFLTISGRIPGIIKSGDLFVGKTRKLMGLSPHTV >CAK8539375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510439086:510441882:1 gene:gene-LATHSAT_LOCUS8478 transcript:rna-LATHSAT_LOCUS8478 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEPTLGMMQGGGAYGGDGGGGGGENRQLKAEIATHPLYEQLLSAHVACLRVATPIDQLPLIDAQLSQSHHLLRSYISQQTHSLSPHDRQQLDNFLAQYLIVLCSFKEQLQQHVRVHAVEAVMACRDIESTLQALTGVSLGEGSGATMSDDEDEQLQLDYCLENQSSGGGDDMMGLGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >CAK8563499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610423731:610431764:1 gene:gene-LATHSAT_LOCUS16899 transcript:rna-LATHSAT_LOCUS16899 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRKRQVLEDDLRRGVSGRYCRSASTKWLGARSLRSKTNHSPGVGTCPSLMDLCINKIREDFHKYHSFSILPRDLSQQIFNELVDSHCLTEASLNAFRDCALEDVYLGEYFGVNDDWMDVIASQGSSLLAVDVSGSNVTDSGLRLLKNCSNIQALTLNYCDQFSEHGLKHINGLSNLTSLSIRKSCAVTPDGMRAFSNLVNLEKLDLERCSYIHGGFVHFKGLKKLESLNIGCCKCITDSDLKAISGFINLKELQISNSNITDLGVSYLRGLQKLATLNVEGCNITAACFEYISALASLACLNLNRCDLSDDGFEKFSGLTSLKRLSLAFNKITDTCLVHLKGLTNLEYLNLDSCQIGDDGLANLTGLTLLKILVLSDTEVGNGGLRYLSGLKKLEDLNLSFTSVTDNGLKRLSGLTSLKSLNLDARQITDAGLANLTSLSGLITLDLFGARITDSGTAYLRSFKNLQSLEICGGFLTDAGVKNIREIVSLTQLNLSQNCKLTDKTLELISGMTALKSLNVSNSRVTNQGLQYLKPLKNLCTLSLESCKVNAAEIKKLHSTDLPNLISFRPE >CAK8563656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622989146:622990893:1 gene:gene-LATHSAT_LOCUS17041 transcript:rna-LATHSAT_LOCUS17041 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSASKHERTFEELCFRDKRYVNELTNKGKGVPMKDDWKHINLIIPFLKLFYDATIHISGSSYLTSNIYMFEVLGIGKSIADMCASEDEHLRSAAQKTKKKYDKYWGSHEKLNMILLIALVFDPRRKIKLVDWMVRRYYNKDDADALKANLDFYLKSIYEEYCAGFTSPPDEPQVFGSVSHPYGIAEFYLLEGCDNVDNELSTYLGEKLEHNMKINVLEWWKVNSGRYPILANIARDVLAIPISTLASESAFSTGERVLDPYRSSLTPTTVETLICTQDWLKGTSSSLITNEDFDTLERFEQGMITNYIS >CAK8538694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493124683:493127989:1 gene:gene-LATHSAT_LOCUS7867 transcript:rna-LATHSAT_LOCUS7867 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDDEEPALKRMKLSSNGIVGLSNGSSSVEPVGGGLSSDSMARTLPSKEDEQVVGSKGVIKRDEFVRIIAKALYSLGYIKSGERLEEESGIALHSPGVNLFTQHVLDGNWDECVATLRKIGLTDETVFRSASCLILEQKFFEFLNREKVMEALKTLREEITPLCSYSSRIRELASCIVAPTLKQDVVKVRSRSKLLEELQKLLPPTVMIPEKRLEHLVEQALILQQEACPFHNSMDMMSLYSDHHCGKDLIPSKTVQILEKHDGEVWFVKFSNNGKYLASASKDQTTIIWEVGVNGLSIKHRLSGHQKPIHSVSWSPNDEELLTCGVEECVRRWDVATGKCLQIYEKAGAGLVSCTWFPGGKHILSSLSDKSMCMWELDGKEVESWKGQKSLKISDLDITDDGEVILSICKPNTILLFNRETKVERFIEEDQTLTSFSLSKDNKFLLVDLLNQEIDLWNIEGDPKFVGKYKGHQRARYIIRSCFGGLKQAFIASGGEDSQVYIWHRSSGEPIETLPGHSGSVNSVSWNPTNPHMLASASDDRTIRIWGLNSLDKKYQNGHSNGIHYCNGGT >CAK8539642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519137157:519140986:-1 gene:gene-LATHSAT_LOCUS8720 transcript:rna-LATHSAT_LOCUS8720 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHNSSFNIIILIFFFTLSVSIPVNTTENESDHHTRRILHQPLFPATSAPPPTPTVTPPPPSPNNIPFFHEYPEGPPSNQNQAAPVISSSNASPANPTATQPAKGTKKVAIVISVGIVTLGMLSALAFFLYKHRAKHPADTQKLVCDNTQRNNDSNSAPPVPSSFLYIGTVEPSRRSTTVNDPRETEKPNRSPYHKLNSVKRSDRYRPSPELQPMPPLSKPPVVGNIPPVMSSSSSDEESNATAFHSPQNSSVSQEDGFYTPVTRLFNGSSVKKENHSTVTPLPLSKRTSPKSRLSAASPDIRHVIIPSIKQTPNPPRQLPEKQLTTGGGHSRRPKFSAPPPPPNLAHLQSTTNTFSLKPLSAPAPPPPPPPPPAPPPPPPPPPMIRKSLSPQKVSGSSSSIKRLSVGDDSALSVTKGSDSVSDHVDNDESVRSSSDRFEGEANETESGKPKLKALHWDKVRATSDRATVWDQIKSSSFQLNEDMMESLFGCNNATNSAPKPKEQGVRKSVLPSADHENKVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPEGLGAELFETLVKMAPTKEEEIKLKNFNGDLSKLGSAERFLKAVLDIPFAFKRVEAMLYRANFDSEINYLKKSFQTLEAASEELRNSRLFFKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLADIKGTDGKTTLLHFVVQEIIRSEGAETESANGTIPEQMESKFNEEQFKKKGLHVVAGLSKDLDSVKKAAGMDSDVLSGYVTKLETGLEKVRLVLQYEKPDMKGKFFNSTKLFLKYAEDEIVKIKAHEREALFLVKEVTEYFHGNAAKEEAHPLRIFMIVRDFLNILDLVCKEVGKMHDRIVGGASRSFRIASNAPLPVLNRYNGKQDRSSDEESSSSP >CAK8574237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671481088:671481438:1 gene:gene-LATHSAT_LOCUS26597 transcript:rna-LATHSAT_LOCUS26597 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYTGLIEQLQHFFKFKKSAIATSASTGAAVSSVIEGEDESGNLEGWELVMKERLSNVKELLSFPKEMLSWLDDINSASDLQEAFDIVGVLPEVLSGGFTRCEDFVQAAINAGKS >CAK8541295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:107548245:107549327:1 gene:gene-LATHSAT_LOCUS10225 transcript:rna-LATHSAT_LOCUS10225 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYKCKLCNRSFNNGRALGGHMRSHMMNLLVTKQEDSSRMIQLSFEAESASSSSDDDDDEKGLNYGLRENPKRSIRLVDQEFSFPPVDTSSVILQDIESETESSKNNPTRKRSKRVWKIRHFDQKYYDESSTKKVKFLNKNNSSSVVDHEPGSSVSDTTEEDVAFCLMKLSRDKWDRQNEQYKEEEEEEFDDEYDLEMEEDEDEEDEEIERSLDESDESQELIKVTKSNNKVRKGKYKCETCNKVFKSYQALGGHRASHKKIKTNTTLEESSPEFDVVEKKIHECPVCFRVFNSGQALGGHKRTHVMHGSTTSTTIPIFSTKKVGKSVIDLNLPAPIDDDEVSQIENSAVSDAEFVKTR >CAK8567520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520373838:520374638:1 gene:gene-LATHSAT_LOCUS20566 transcript:rna-LATHSAT_LOCUS20566 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFPYIPTGRSPKRELQGPRPTPLRIHKDSHKIKKPPLAPPQLQQQQQHQQPPRQPIIIYTVSPKVIHTTPGDFMNLVQRLTGSSSSSSVSSSSLSSSNIDPFNPFHGDGTISPAARYATVEKAMSPLGRRQQQQLQHQLQQQHQIVLPITTSATTINTTTSDVISDMEGIEQVQLMNHGVERGNMMFQGILSPGPASLSPIPANFFSPPSSDPNMFSNLLQDFSPALLSSRNFMEPGANFFLPSPSNFVSPQTPSIDLFNYFLD >CAK8563737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628170171:628176086:1 gene:gene-LATHSAT_LOCUS17116 transcript:rna-LATHSAT_LOCUS17116 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHIRDILTAFNPSLDFFAITTGDGRIKIWDTLKGQVQTEFADFTSTHDTNLFDTKGHLSVDYTCIKWLSFEKKKKRKHSSSLLVLGTGSGDVLALDVSAGQLSWKINDCHPGGVRAISSLANGSTFYTSGADGMICAIDFTTGNLLEKFKASSKAVTSISVSPDGKTLATAAAQLKIFNSSNKKKIEKFSGHPGSVRCMVFTEDGKYILSTAAAERYVAVWKLDGAKKQSASCVLAMEHPAVFLDSRCIDKGEHDEAGLCVLAISEVGVCYLWFGNSIEELRNAKPTKISLSLEDMSTKNYKGALPAIYAAKLRSIQKPASGQVFLVSGMLVKPSFQNILLHSGTDVKLSVSRDGVLLPMSQSLIKSKRRTDVQRVTALDRANAEDALLPIPKVFDSHEKDKSFQVTSDKDVKDDLLTSGTDSMEIDDGIVESETDVISMEDRMRSLGLLYSESDCASNFELCSKLLKGIDLEATLPKKKVRAAVLSMEPSEAFKLLEVLLVIWQSRSSSRESVLPWMYSIVVTHGHNAAEESATHMLDTVHKITSSRATTLQSLLQLSGRLQLVTSQIDKASQKISHPVNDLQTEESEDEDEYYHEDDDDEEDDASEISTDDEN >CAK8572309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528142790:528147738:-1 gene:gene-LATHSAT_LOCUS24887 transcript:rna-LATHSAT_LOCUS24887 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWLLPNPIKTLPIINNIRSISFPSFVPHSLSFDSRSSFTIRIQMAAIHHHSEAGLAKRFWIKFNRESIFALFTPFVISLASGNLKTDSFRHYIAQDVHFLRSFVKAYELAEECADDDDDKLGLSQLRKEVLEELKMHDSLVKEWGLDLAKEHGISSATVKYTDFLLATASGKIEGLKSSGKLATPFEKTKIAAYTLGAMSPCMRLYAFLGEKIKKLPVSDDKTHPYSKWIDNYSSDGFQASALQTEDLLDKLSISLTGEELDVIEKLYYQAMKLEIDFFTAQPLFQPTIVPLTKGHNPEEDHLMIFTDFDLTCTVVDSSAILAEMAIVTAPKSDNLSEDQTTRMLSSDLRNTWGILSKQYTEEYEQCVESIMPTYRLVYLDYKGLSKALEQLSEFEKSANNRVVESGVLKGINIEDIKRAGERLILQDGCTDFFKKVVKNENLNANVHVLSYCWCGDLIRSVFSSADLNEMDIHANEFCYEGSVSTGDIVKKVESPIDKVQAFHNILKSRNNDKKKLTIYIGDSVGDLLCLLEADVGIVVGSSSSLRRIGTYFGVLFVPLFSGLVKKQKEYNEGSSSNWKGLSGILYTVSSWAEVHAFVLGC >CAK8567819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547176379:547182610:1 gene:gene-LATHSAT_LOCUS20841 transcript:rna-LATHSAT_LOCUS20841 gene_biotype:protein_coding transcript_biotype:protein_coding MELASKLHHLDLTGAFILDSRRLPRTLTHTHLSTKPNTINTNTNLVYPNRFLPSKKPNYTSRLSAVAAVDDTPVAEDDIESLFSEPTVKEKRGNKQSSSGASTVSSGVKLENIMKTYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQMRIIAGLEEPDSGNVIKAKPNMKIAFLSQEFEVSQSRTVREEFMSAFKEEMEVATKMEKVQKALEDSVNDLELMGRLLDEFDLLQKRAQSVNLDVVDSKISKLMPELGFGTEDSDRLVASFSGGWQMRMCLGKILLQEPDLLLLDEPTNHLDLDTIEWLEEYLKMQNVPMVIISHDRAFLDQLCTKIVETDMGVSRTFQGNYSQYILSKATWIETQYAAWEKQQKEIEQTRELISRLGAGASSGRASSAEKKLERLLGEELVERPFERKQMKIRFPVRGSSGRSVVTVRNLDFGFEDKILFNKANLTIERGEKIAILGPNGCGKSTLLKLIMGFEKPIGGEVILGEHNVLPNYFEQNQAEALDLEKTVLETVEEAAEDWRSDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAINEYEGTIITVSHDRYFIKQIVNRVIEVKDGNLQDYAGDYSYYLEKNLDARERELERQAELEDKAPKLKAKSKMSKAEKEARKKQKQQAFQAAKQKSKGAKNSKRWN >CAK8576175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:418202339:418204661:1 gene:gene-LATHSAT_LOCUS28382 transcript:rna-LATHSAT_LOCUS28382 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKTSLSLFLFFILFSLSHATSRITLQQRFPTTKFSSNRRAERLIRSFNLFPKSSANIHGEYSIDDFVPGKIVEKTFSFLARSNGTSIEDLGHHAGYYSLPRSKSARMFYFFFESRNGAKDAPVVIWLTGGPGCASELALFYENGPFKINNDLSLSWNEYGWDKGSNIIFVDQPIGTGFSYSSDENDIPTDETGVSNDLYAFLQAFFKEHPELVKNEFYITGESYAGHYIPALASRVSKGNKNKEGININFKGFAIGNGLTNPLIQYQAYTQFAVDNKLITKEDKADIDKLVPSCVDATKTCESEGGDSCNTASDQCEQIFSSILSAAGNINYYDIRKQCEGPLCYDFSNLETLLNKKTVRDALGVGDIEFVSCSGAVHSALSQDLMKNYEKYIPALLEDGIKVLIYVGEFDLICNWLGNSNWVHAMEWSGQKQFAASKTAKFLVDGTQAGLLNSYGPLSFLKVNDAGHLVPMDQPKASLQMLVNWIEGKLNETSI >CAK8562157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448899190:448904094:-1 gene:gene-LATHSAT_LOCUS15679 transcript:rna-LATHSAT_LOCUS15679 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCVHVFDSLLLCFPTSWNKNSTPTSRRKFHRDVEKEEEFQFASTQCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTTKSLNSLAYDLRYELLQRPILRMWNILNTTAEITTAQVKLSEYVIRSHSNLANQAEKVQMYESMRAVTWALFASRKALNSITVKYNNGFVQAFHRDLKDNNTFYIYTDLAYNETNSFAAYDGTSNDEEVHSNKSVIWYREQLDPVSGEKIGKAIKIAPENSISIAGLSQVPDGVASWNVSVNKFTDSPLLSAALPVWDSSNKNIVAVVGVTTALYSVGQLMKELVDKHSGHIYLTSQKGYLLATSTNDPLLTNSRKEPKLKMAVDCDNEVIREGAQWLQKTYGNDFPPSHEVHVENARLGRQQYYIDSFYLNLKRLPLVGVIILPRKHIMGQADERAFKTLVILISASLCIIVIGCFCILILTDGVSKEMNLRAELISHLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILLSDDHLTNEQCATVTQIRKCSTTLLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHTVEIILDLSDDMPKLVRGDSARVVQIFANLINNSIKFTLSGHIILRGWCGNSNFYGDNENFTPEPKKWGCSPKTRTKQHENHAKKASNSDNKMIIWFEVDDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRSLVKKMGGEIKIVKKEGPGTLMRLYLHLNAPVDATEDHCQVDFANNGLVVLLALHGNMSRLITSKWLQTNGVVTMEASEWNGLTQILRELFHERTSIHNNNDFDVPYQAHEVLKSKFLSIQELTNPTFVIAVDIDLLDLSTDIWKEQLNFLHKYYGRAKFIWLHNHDSANTIKTELRKKGRILTVNKPLYKAKMVHILEAVINERNVEAQKQNMITSRFTVKEGDLHESLEIDYTHCDVGSSDGSDISEIGSSNLGTANGDKQRKEVVRFNQTSIYQKSNSLLGLSNECMEGSESRAKGEDSEGSETKKVSGSSKAIKGNKSLEGLRILLAEDTAVIQRVATIMLEKMGATVVAVGDGQQAVDALNGTIGVENCRNTRTSETEILSFPSYDLILMDCQMPKMDGYEATKEIRKSEVGTGFHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDFKLMESTILSLTREKP >CAK8563430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603335624:603336976:-1 gene:gene-LATHSAT_LOCUS16844 transcript:rna-LATHSAT_LOCUS16844 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFLSTANPFHLATPTNVRKNSIIKCNVKRESTLCKVVNPCVTKRGISIGFVTSLVLSLSGKGLFDANAAILEADDDIELLEKVKKDRIKRLEKQGVISSSTKETGYLQDVVYKLSEVGKAIEKNDLTTAGSVLGSGKDTTDWVQKANIALNKLSSSPEEKTEVETFNSSLASLVSSVAQNDVKSSKIAFVSSAAAFEKWTSLTGLVGKLKGL >CAK8534385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722096986:722098521:1 gene:gene-LATHSAT_LOCUS3935 transcript:rna-LATHSAT_LOCUS3935 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESKAETPLLVPSSRILPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVLIAAQLSTFSLKDIYDIWENLQYNLVSVIICSTLLVFLSTLYVMTRPRPVYLVDFSCYKPEESRKCTKRIFMDHSRASGFFTEENLDFQRKILERSGLGENTYLPEAVLSIPPNPSMKEARKEAEAVMFGAIDELISKTSVKPKDIGILIVNCSLFCPTPSLSAMIINHYKLRGNIKSYNLGGMGCSAGIISIDLAEELLQVHPNSYALVVSMENITLNWYPGNDRSKLVSNCLFRMGGAAILLSNKWSDRRRSKYRLVHTVRTNKGADDKCFSCVTQEEDDNGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFGTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLSTWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWIDEIHQFPVDVPRISAI >CAK8561562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:296007394:296008005:1 gene:gene-LATHSAT_LOCUS15142 transcript:rna-LATHSAT_LOCUS15142 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMSSFLYKMKRNPNFNHYSKCEKMEFTHLTFDDDIVLFYRGDKGSVELMTHTMQQFSNSTGLVVNPSKCNVYLGAVDEAEMHQILRMTGYNEGKLPFKVQLVNVVGFAISNYWLQCFPIPKVVIEKIHTACRTFIWAGGTSPSRKPQLPGKQFIAQKLRVV >CAK8542962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562609153:562613368:1 gene:gene-LATHSAT_LOCUS11757 transcript:rna-LATHSAT_LOCUS11757 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEASKLTLPTPSSLSSPHTATTTSILFEPTTLSLAITHSNSSISLFPSFSPLSLSSSLQFPQTLIPKPSSSSTFLILQQSPISTNPNSVIFLVCGPHRAGSQILLRFYILNRITNCFSRVNRISCGSQSESGFLRFEPELGVLMDAKHGVSVKVVGSVNYFAVYSVSSYKVWVFSVKMVEDEEGGGLRLIKCAVIRCSRPVWSLSISFGFLVLGEENGVRVFGLRRLVKGKMVGRRVGILNSNSNSKLGLKQLQNGDHHGKYKGGGDGGGKTRSGDEATCNGGLEGKNEKHGVAVKQTIVKYKHDNKDGGACFLALKGNEVETKSMPKVSKSVKAISIKALSQRMFLILDSHGDLHLLCLYNSGLGVDIAGHVKQLPRVMKVQSLAVHLDESTTSQTIWISDGCHSVHMFTMDTEKALNEADGNDGDEKKPMHFPVTQVLFSSEKIQDIISISANSILILGQGSLYAHAIS >CAK8563377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598700883:598702155:-1 gene:gene-LATHSAT_LOCUS16797 transcript:rna-LATHSAT_LOCUS16797 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSMLISIQTLNHPSFLVGVVFRNRTNTQYRTRSSNSSISSVFLTDDSGNFEEPRSSNSCIMLSQQNTIGVIGGVSVLSTLVFLEKLACWSSRNGKQCPPFVVCSDPVLNKALSLHGSFPSTRNRMDRIKLNQELMIQNLRHKRNILHQSGARGLALPCHLSHAWHSEISQDSSLPFLHVGDCVAMELKNAKMKPIHAANAVRIGLLATDSSFVVCYYKDKLQSQGFEVVLLDKETEEHILIPAVEALQRKDIEGARNLLRIAIHVLLVRGVNVVILASDDLLGILPHNDPILRKCIDPMDALARSIINWAETTSKRQERAM >CAK8563378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598701090:598702155:-1 gene:gene-LATHSAT_LOCUS16797 transcript:rna-LATHSAT_LOCUS16797-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSMLISIQTLNHPSFLVGVVFRNRTNTQYRTRSSNSSISSVFLTDDSGNFEEPRSSNSCIMLSQQNTIGVIGGVSVLSTLVFLEKLACWSSRNGKQCPPFVVCSDPVLNKALSLHGSFPSTRNRMDRIKLNQELMIQNLRHKRNILHQSGARGLALPCHLSHAWHSEISQDSSLPFLHVGDCVAMELKNAKMKPIHAANAVRIGLLATDSSFVVCYYKDKLQSQGFEVVLLDKETEEHILIPAVEALQRKDIEGARNLLRIAIHVLLVRGVNVVILASDDLLGILPHNDPILRKCIDPMDALARSIINWAETTSKVPGK >CAK8578501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:633610793:633611128:1 gene:gene-LATHSAT_LOCUS30506 transcript:rna-LATHSAT_LOCUS30506 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSSLLVMSAVLATCFFVRRHRIRRERPRASSHVHEFHGMSSRLVKAMPSLIFTSALEDNCTSRTCAICLEDYCPGEKLRILPCCHKFHAVCVDSWLTSWRTFYPVCK >CAK8573114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586217109:586222626:1 gene:gene-LATHSAT_LOCUS25600 transcript:rna-LATHSAT_LOCUS25600 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRKLTAAAGAVIATAYGGTLILHSPSISANDFGGGSHLDVIRKKLHAPDVVVPSRESQQSALMAASKANPLDILVIGGGATGAGAALDAVTRGLNVGLVEREDFASGTSSRSTKLLHGGVRYLEKAVFNLDYGQYKLVLHALDERKQVIENAPHLCHALPCMTPCFSLFEVVYYWAGLKMYDLVAGRQLLHLSRYYSTKESVELFPTLAKEGKDRTLKGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLLKDDAGKRIIGARVRDNLTGKEFDTYAKVIVNASGPFCDALRKMADKNAQEMIAPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWMGRTIAGTTDSSTSITYLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAVDPTAKSTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTPANGCVTNKLCIVGGEGWELSSFTILAQQYKRMKSTHGGKVVPGIMDSAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHSYPYLEAEVAYCARNEYCESAIDFIARRTRLAFLDTDAAKRALPRVIEILANEHKWDDSRQKEELQKATEFLKTFKSSKNAQFHDGKHN >CAK8573115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586217109:586222442:1 gene:gene-LATHSAT_LOCUS25600 transcript:rna-LATHSAT_LOCUS25600-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRKLTAAAGAVIATAYGGTLILHSPSISANDFGGGSHLDVIRKKLHAPDVVVPSRESQQSALMAASKANPLDILVIGGGATGAGAALDAVTRGLNVGLVEREDFASGTSSRSTKLLHGGVRYLEKAVFNLDYGQYKLVLHALDERKQVIENAPHLCHALPCMTPCFSLFEVVYYWAGLKMYDLVAGRQLLHLSRYYSTKESVELFPTLAKEGKDRTLKGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLLKDDAGKRIIGARVRDNLTGKEFDTYAKVIVNASGPFCDALRKMADKNAQEMIAPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWMGRTIAGTTDSSTSITYLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAVDPTAKSTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTPANGCVTNKLCIVGGEGWELSSFTILAQQYKRMKSTHGGKVVPGIMDSAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHSYPYLEAEVAYCARNEYCESAIDFIARRTRLAFLDTDAAKRALPRVIEILANEHKWDDSRQKEELQKATEFLKTFKSSKNAQFHDGKHN >CAK8537630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:411325740:411326144:1 gene:gene-LATHSAT_LOCUS6899 transcript:rna-LATHSAT_LOCUS6899 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIMEEDSSSDNSSLLSNNSDECSCSTDSTSDSTGTDEFADYIFGNSGRGGGVTTSTCSRGEMDGVLYRRRPVSEGCVSHLHHNLSIEHRKLDTSRSSSSSFRESDSFERAGSNHFSYINSGVSYRKARERTD >CAK8531759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147704876:147706123:-1 gene:gene-LATHSAT_LOCUS1525 transcript:rna-LATHSAT_LOCUS1525 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSHTPPLHHHHHQSLTMNPTNENDTIDIECIDHQEETQHHQNQPSPINNNNNNHLHADEKSFTNKRYMPLLIINYLLLFVGSISSSLLSKYYFIHKGSSKWVSTWVQCAGFPLLIIPIFLPYLLNSTKRTPFTDFTPKMLTLSIFVGIMLGLNNLLISWGVAYLPVSTSALLLSSQLVFNLLLSAIIVKQKITFSNLNCVILLTLSSIILALNSSSEKPEGLTKKEYFIGFFCTIGAGLLFALYLPVMEKVYKKVYSYEMVMEMQLIMEIAATALATVGMALDGGFSEMKRESEEVFDKGTRVYWVTVMANVVTWQFCFMGTAGMVFLTSSLTGGICMTALLSMNVLGGVLVYRDSFGGLKAVSTVLCLWGFSSYVYGMYVKRLEERRRTVEEKDDSSMELISMRRNGGATG >CAK8561294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:139783627:139785285:1 gene:gene-LATHSAT_LOCUS14901 transcript:rna-LATHSAT_LOCUS14901 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFAERKPHAVLTPYPLQGHINPMLQLAKLLHLRGFYITFVNTEYNHKRLLNSMGPKAFDGFTDFDFEIIPEMNGDGHVSQDLQTLRESIRKNFINPFRELVARLDDSAKSGLIPPVTCLVSDSFMSVTTQVAEELALPVVLLVPSSACSFLSALHFRTLIEKGVIPLKDERYLTNEYLDAKVDWIPGLQNFRLKDLLAFIRTTNPNDLMIEFIIEVVDRFQRASAIVFNTCNDLESDVMNVLYSMFPCLYTIGPFASFLNQSPHNHLASLDSNLWKEDPKCFEWLDSKEPGSIVYVNFGSTTVMSLEKLLEFAWGLAKSKKPFLWIIRPDLVIGGSVVFSSEFVNEISDRGLIVGWCQQEKVLKHPSIGGFLTHCGWNSTIESICGGVPMLCWPFFADQPTNCRYICKIWEIGIEIDSNVKRGEVEKLVNELMVGERGKKMREKTNEMKKKVEEDTRPGGGSYMNLDKLIKDVLLKQNQT >CAK8541190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:85840339:85847062:1 gene:gene-LATHSAT_LOCUS10126 transcript:rna-LATHSAT_LOCUS10126 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADTFLADLDELSDNEAEIPADDDVDAADMDEDVDGDLADLENLHYEDLDSVSKLQKTQRYIDVIQKVEDALKKGSDVSIQGVDLEDDPEYQLIVECNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLSKTFEACDRALALDSAKKKVLDFVESRMGYIAPNVSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFHVGYIEQTEIFQTTPPPLRMRACRLLAAKSTLAARVDSIRGDPSGKTGRSLKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >CAK8573606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624654984:624655802:1 gene:gene-LATHSAT_LOCUS26036 transcript:rna-LATHSAT_LOCUS26036 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQNQHKILSSLVNDEKKIIRREVEKQRRMQMSILCSSLSSSLPFHLIKGKRSVSDHIGEAANYVQILKEKVNELEKKRDKLKEIISSSMIETGNIELSADPSNLVKCVNINLIPDGVEIVVCSGFEDRSLHLSEIMKIILEQGCDVVHCTTNQVNGKIFHTFKSQVEDLTHLDLARLQNKLDQAILLSS >CAK8562776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:536132092:536132463:1 gene:gene-LATHSAT_LOCUS16245 transcript:rna-LATHSAT_LOCUS16245 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDSPYTPLLNGPRDNHRRELLLVFSGLLLLASIIAFSGFRREPHADVSSSSSSSSFTSSDEATKPSAVSRGVSSGVSEKSNTFLSGSFSLVRLEKLSRFLGIILCCRGREQLFIFSRRRIG >CAK8578284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617166518:617172932:1 gene:gene-LATHSAT_LOCUS30309 transcript:rna-LATHSAT_LOCUS30309 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPLQTSNAANIPSKTIEEMYQKKSQLEHILLRPDTYVGSIEKHTQNLWVYENDEMVHRSISYVPGLYKIFDEILVNAADNKQRDPSMDSLKVIIDPEGNTVSVYNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFIIETADGRRLKKYKQVFSNNMGTKSEPVITKCKASENWTKVTFKPDLEKFKMTYLEEDVVALMKKRVLDMAGCLGKTVKVELNGTVIRFKSFRDYADLFLKCAEKSKPTPLPRIHAKVGDRWEICVSLSDGQFQQVSFVNSIATIKGGTHVDYITNQITTYVMNKVNKKKKDANVKAHTVKNHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCDVPESMLKDVEKSGIVDTLLSWADFKQSKDLKKTDGTKTQRIRGIVKLEDANDAGGRNSEKCTLILTEGDSAKALAMAGLSVVGRDHYGVFPLRGKLLNVREASSKQIMENEEIQNIKKILGLQQNKEYTNVKSLRYGHLMIMADQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFTTPVIRASHSNGTILSFYSIPEYEAWRERLGNSAASWKIKYYKGLGTSTPKEGREYFRDLDKHKKDFIWEDDFDGNAIEMAFSKKKAEDRKIWMRNFEPGTCRDHKEKLINYKDFVNKELILFSRADLQRSIPSMVDGLKPGQRKILFCSFKKKLFKEIKVGQFIGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLKPNGQFGTRNLGGKDHASSRYIYTELNTVTRCLFHEDDDKLLEYLNEDGKSIEPNWYIPIIPLVLVNGSEGIGTGWSSYIPNYNPREIIANVRHLLNGEEMVPMDPWYKGFRGTIEKSAKEGGYIVNGEVVEIDEQTFKITELPIRKWTQDYKQFLESITDGSPNVKDPLIEDFRQNGDDAIIDIEIKMKAEKIATIMQEGLFKKFKLTSTISTSNMHLFDAEGKIKKFETPEQILEEFYPLRLEYYEKRKKYILANLQRLLLILDNKVRFILGVVNGEIIVSNRKKADLLIELKQKGFTPMPKKGKSAEPQVAGANDDNLEENEDAEQETVSRPVSVEGATWGDYEYLLSLPIGTLTLESVQKLLDEKTDKEKEFEILTGTPTTSLWLKDLDELEKKLDELDSKEAEEDRKRSSQASKKANGFASKPAKKPPQPRKNTKKAKSVEPENDNSAMEIENDVEVAKPKGRAGSKNIQKESDEDILSLQERLAAYNLESSGEKSGANAMESEEPVPAGKKQVNKRGGANKKSSTIVLESDSDNEVHDIDDDDDFEVQPKAAPVKKGGRKPAAQNAKKAPAKAPAAPKKRNVGTKQSVGQKLLTGMLQPAETTGTSPEKKVRKMRESPFNKKSGSILGRAAGKDISPIADLSAGSASNSSPNTAEDEVVEIAPQPARARPQRANRAQKIYVLSESESDDDSDEDAELSDFEEDD >CAK8572991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575116048:575116293:-1 gene:gene-LATHSAT_LOCUS25488 transcript:rna-LATHSAT_LOCUS25488 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMKAMKEDRWKDMLDVPACHWSRSHFRTYSMCDLQVNNICETFNRAILEHMEKPNITLLEGIKHYITKRMTSQKKLLHN >CAK8573933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648383431:648385011:-1 gene:gene-LATHSAT_LOCUS26327 transcript:rna-LATHSAT_LOCUS26327 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRLFRKFPNFVQLSKSSYPISSTPSPSSNFDPHSSKSTNQFIQNPSGSLKFILFSLFHTSGVNVNKPNTTNPYAETICKILSTAPDSPVDVSLHDFPAEVSPELVVDVLNKLGNAGILALSFFRWAEKQKGFKHSTESFHALIEALGKIKQFKMIWNLVDEMKQLKLTNGDTFALIARRYIRARMVNEALKTFERMKRYGLKPQISDFNKLVDVLCKSKFHVEKAQELFDKMRQWDFEPNVKSYTILLEGWSQQRNLLKVNEVCREMKEEGFEPDVVTYGIIINAYCKAKKYDEAIGFYHEMQLRNVSPSPHIYCTLIIGLGNGNRLDEALEFFEKSKASGFPPEAPTYNAVVGAYCWAMRTDDAYRTVGEMKELGIGPNSRTYDIILVHLIKGGRTKEAYSVFKRMSSEMRCEPSANTYAIVVRMFCNENQLDMAIVVWDEMKDKGILPGIHMFSTMIISLCRENKLDEACKYFQQMLDVGIRPTANMFSAFKSALMDAGMENTVKHFALKVDKLRNTPLIA >CAK8542116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474818084:474819943:1 gene:gene-LATHSAT_LOCUS10986 transcript:rna-LATHSAT_LOCUS10986 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGICVTFLFFLLILCCNAFSMVDEEALEDDQNHVEKSFTNYWSEIMSDIEDDEWQMVKTKGNQFVVNDQPFYINGFNTYWLMMFAADNSTRGKVSDVFKQASSIGMTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLANNWEAYGGKAQYVKWGNDVGLNLTSDDDFFSHPTLRSYYKAHVKTVLNRVNTFTNITYKEDPTIFAWELMNEPRCNSDPTGDKLQDWIQEMAFHVKKIDPKHLVEIGLEGFYGPSTPQRLQFNPNTYAQQVGTDFIRNHQVLGVDFASVHMYADSWVSPQISDTHISFVKSWMEAHIEDAEKYLGMPVIFSEFGVSSKDPGYNSTYRDTLISTVYSSILNSTKKGGSGAGSLLWQVFPDGTDSMDDGYAIVFSKFPSTSSIVSRQSYRLGLFNSLCSSKCQWSCKKKKLLKKILFHEEF >CAK8576609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497565551:497566778:1 gene:gene-LATHSAT_LOCUS28783 transcript:rna-LATHSAT_LOCUS28783 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLNAEAPEFHPSNQLQKLHPPYLTSYPFFYYYPAATKHHFHSSTFFSFRIHPNLTTVTPTFPPSSGVKKDIAVEAASTEGNDGEMKGRRSHGLRIPKLEWRKKGLDVAEKDPKLMNQSLRNNHHSKHELYSRASTNLKNKGIVFPVVPVRPDGDETTVMIKNIPSKYTRDMIVKFLENLCMVENAKDQENGDENTFSFDFVYLPIDFRTGLNKGYAFVNFTEASAAWRFVQTASNRKWELFLSHKIRDVVAARLQGKEKLEKHFVSVNFPCESEEVLPLCFSPPRDGVIKGNQRTLGRLLYNPQ >CAK8531401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107397221:107399182:1 gene:gene-LATHSAT_LOCUS1197 transcript:rna-LATHSAT_LOCUS1197 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRINGNFIDKTFTIVANILLRIIPTTSGEKEAFTYYRDGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYGRILLPYSDRGEQAIRQGDSEIGESWFDQAAEYWKQAIALTPGNYIEAQNWLKITGRFE >CAK8531402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107398970:107399182:1 gene:gene-LATHSAT_LOCUS1197 transcript:rna-LATHSAT_LOCUS1197-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETLKYGSKGRILLPYSDRGEQAIRQGDSEIGESWFDQAAEYWKQAIALTPGNYIEAQNWLKITGRFE >CAK8575169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43600980:43601451:1 gene:gene-LATHSAT_LOCUS27455 transcript:rna-LATHSAT_LOCUS27455 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMKLACIVLVICMVVIAPMAEGALTCGTVTSDMSPCLGYLQAPNNASPSPSCCAGVRRLLNAATTTPDRQAACNCLKAAAGAMSKLNANNAAALPGKCGVSIPYKISTTTNCNAVKF >CAK8560581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26649653:26652210:1 gene:gene-LATHSAT_LOCUS14242 transcript:rna-LATHSAT_LOCUS14242 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPLSLYNPPTHMQNIDIVDDGTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSENSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8574981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19523898:19528325:-1 gene:gene-LATHSAT_LOCUS27275 transcript:rna-LATHSAT_LOCUS27275 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLLGGPGTVSGFLLRFGQCAFGAASISVMVTSFGFSTYTAFCYLTASMGLQVLWSFGLACLDIYALRKKRDLQNPILVSLFVVGDWVTAILSLAAACSSAGVIVLYTKDVDMCATHKIIPCHRYQVSVAMAFVTWFLTAISSHVMFWILASV >CAK8566687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:449126577:449126903:1 gene:gene-LATHSAT_LOCUS19799 transcript:rna-LATHSAT_LOCUS19799 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKADRLGPIYEKGVLEFLEHVDQNLPDNNDIFYCPCVNYGNINKGMKDEILHHLCCDGICQNYTIWTWHGEVEKNKKPAPQSHEVDEDEYMDDRLEDMLCDIG >CAK8535922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891295488:891296360:-1 gene:gene-LATHSAT_LOCUS5337 transcript:rna-LATHSAT_LOCUS5337 gene_biotype:protein_coding transcript_biotype:protein_coding MNINITRNGNGGIPQACSACKYQRRKCGPSCILAPYFPHERQKQFLNAHKLFGVGKITNLLKTVPPEARDLTMSTIIYQSDMRALDPVGGCYRHIQNLQSQIDFYSAQLHFALQQIAICRAAAASSSSNHHHHYNDIVVPDDNNNNNHDNHDNEAIIIPPNYLHQQQLPPPPPQHFVEEQLDGIGMFQPQPQMQPQYVVDDVVGVNPNYVPLQDQDLDTWVNSIPLSLLSLQDNKKEDDEVDQERVGDDRLNDDQKPSFDLINEMNSSDIVRSTNDPRHQVDNYLYIIGS >CAK8561581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310019363:310019596:1 gene:gene-LATHSAT_LOCUS15159 transcript:rna-LATHSAT_LOCUS15159 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFHYSYDNMDINNSTTETFSGTHLNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFRHEDFKFD >CAK8538037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459608267:459609418:-1 gene:gene-LATHSAT_LOCUS7278 transcript:rna-LATHSAT_LOCUS7278 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAANCSVVTLIPKHKGAKEIQDYRPIACCSTLYKIISKILANRLSKVLGMIIGANQAAFVKGQRIHNHILITYELIKGYERKNISPRCLMQMDIQKAYDAVDWNALEKIFNEVGCPQQFTKWVMTMVSTISYRFNINGHQTDIMAAKRGLRHGDPISPMLFVIVMECLNKYLDKMQEDCDFNYHPKCDKLKITNLCFADDLLMFSRGDKVSVEMMMKTYGKFLKATGLAVNPQKCQIYCAGMDELTRQNVIKASGFQEGRLPFKYLGVPVTGKKLSMRHYAPLIDKIMGKIKHWTTRLLTYARRLQLINCVMFVMTNYWLTCFPFPKTVIHKIESICRIFLWTRGFEGSRKAPVAWKQVCSPRSHGGLNVIDIKVWNKTTL >CAK8568819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643841309:643846623:1 gene:gene-LATHSAT_LOCUS21740 transcript:rna-LATHSAT_LOCUS21740 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERSISGPSDTVQKIRPLHGRTTGPTRRSTKGNWTAEEDDILRKAVERFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELIKGPWSKEEDETIVDLVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQEEELALIHAHQIYGNRWAELSKFLPGRTDNAIKNHWNSSVKKKLDSYLTSGLLAQFQNVQLVGNPNQPNSSSCARLQFSVDDNGPRGAEGEEVSQCSQESVNADNFPSSRELRIVVMQNGEEYIANEESNQASCSEPYYLSLDDVTCQESYEQKYSNQAGSSSANVDYQFNLHALPNISSLDFGQESSQLQNDSLAPSESHNMMIVPYEYQPSSMDNVEGEQNMLVTNDECSRFLFSEAMSDECFSSGGVNNVDMSRCTSSLCQSSSGRMEMYAVEGNPFVGSEDQQCVSREHDGLIYANDNNRFEDIGKDANLKLVPVNSSGRGSDTMQTCYPIDEKEKIHIKQEEAGGKLCYEPPRFPSLDIPFMSCDLIQSGDMQQEFSPLGIRQFMMSSMNCLTPFRLWDSPSRIDSPKALLKSAAKTFTSTPSIMKKKRNRDLLTPLSDRRMEKKHEIDMTSTLITNFSRLDVMFDDNEAQGIEDDKENCRPAFKVEEKSNSQKKIEQSPLDADSKMKDDIDPVAEIVQQPSGVLVEHDINDPSLYSPSQIGLKSDIVLSLSARSHKKPASRFNSPCVRLKEHERLSVSVTCVQSVCSSSGPGENMGDQTGNDGGFERNNIFGGTPYRKSFESPSAWKSPLFFSTFLSSPRIDTEITIEDYGCFFSPGDKSYDAMGWMQQIGEHTAAQYADALEVLENETPKALPKDASGDNQENIDPHNQPENHSNLASNALVERRMLDFSECGSPGKGDNGKSSVMNISSPSSYLLNGCR >CAK8533219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592002750:592003489:-1 gene:gene-LATHSAT_LOCUS2864 transcript:rna-LATHSAT_LOCUS2864 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAYPFFSSFLNFFLLITLATSSSFLSDNIFESDTFTGRTLLQTKKACGVDFQNQNYSIIIRQCKGPRYPPIACCEAFKQFACPFSDEINDLTTNCATIMFTYINAYGKYPPGLFAHECREGQKGLDCSQVKLANTYNISSSGVHVVAPHSILLISIIGFLGFSF >CAK8573192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592826263:592826835:-1 gene:gene-LATHSAT_LOCUS25667 transcript:rna-LATHSAT_LOCUS25667 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGRVCTICNRFFSNGKALGGHIKSHYSKLPIPPKPPLNSQIPEYSIVSTQHSNQFITTPSLSTANTRNNSIHNLRSLKRNFYYNLENFGRKTMFEFYPKNPTGKRSKRSRRKFNVVEEKEKKTQFSVAEEREENAQFNVAEENEEITQFQLVYDDFDMEAAKTLVIICAKEWQQIEEKYYQNKEKNL >CAK8566438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423332783:423335490:-1 gene:gene-LATHSAT_LOCUS19569 transcript:rna-LATHSAT_LOCUS19569 gene_biotype:protein_coding transcript_biotype:protein_coding MEETARGNGTVSISYDSEGMVEICVKNDRNVGSYGVFIGDNPLDFALPATLFQIIVIITLSQSLHFLLRPLQTPKFICSVLGGILLGPSFLGRSEIYWKALFPARQSDVLLVMSIMGSIYFLFFVALKMDLLMTVRAAKSTWLLGIIPFVASFLVISVLVKLFYSPPNFPTLIASRFSLSATISFTNFPVMTEALSELNLMATELGQLAISSASFNDCIQFSFILAHHIESTEQLKFKVLAVISCILFTGFCFFVLKPSMKMIARKTPYGKQVKQSYVVLIFLGVLIMSGITDIIGVTYMIGPLMFGLMIPSGPPLGTILVEKCEVIISDFLLPFFFAYVGMTTNLASLRSWKDFLILQFIILAGDIAKVVACVLVSMVYNIKPKHGTVLGLMMNIKGITHLIAFTKLKNIGVLDEDTFSYLVICVVITTAIVTPLIKKLYKHLHRVLNSSSLYDVKMRTIQNSSKNLEFRVVTCLHNEINVRGITALLEVCNPVPESPISVYVIHLVELLGKSAPILLPINHKQNKKFLSVNYPDTNHIMRAFENYASNSYGPVFVLPYVNVAPYKSMHDAVINLAQDKMVPFIIVPFHENDHIDLNEHVSTAIRKLNTRFQAHVPCTLGILVDRYSRLGDTNDSVKSYFHVGVFFIGGADDREALALGIRMSERENMKVSLFRFVVLNRKEYESKSLSGMEVSLDEEEDEEILDESLIDEFKGMKFGIGNVCCYEVVVEDGVELMTAIRGLEGDYDLVMVGRRHNIGSLKDEEMGNFIENVQILGILGDMLSSTEFCIGMVPVLVTQCGGDKRVSNNLDRLGSTNASQRSLMFNK >CAK8579624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713196053:713196875:1 gene:gene-LATHSAT_LOCUS31555 transcript:rna-LATHSAT_LOCUS31555 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVSRSGRELQRYNSMGGRQVVGCIPYRYKQDKDGNKSNELEVLMVSSQKTQRLMFPKGGWELDESLEQAASRESLEEAGVIGLVECELGEWNFISKRHGIYYEGYMFPLFVKEQLDYWPEKNLRTRLWMTVAQAREVCQHWWMKEALDVLVQRLVSSQQQPQKRKH >CAK8542787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546621730:546622878:-1 gene:gene-LATHSAT_LOCUS11594 transcript:rna-LATHSAT_LOCUS11594 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFWTVCLILASLVSSSFCALPRKPVDVPFGRNYYPTWAFDHIKYFNGGSEIQLHLDKSTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGQGNKEQRIYLWFDPTKEFHRYSILWNMYQIVFYVDDVPIRVFKNSKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCEASVNSKFCGTQGKRWWDQAEFRDLDAAQWRSLKWVRDKYTIYNYCTDRKRLPQTPPECKRDGDI >CAK8539857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529489116:529490261:1 gene:gene-LATHSAT_LOCUS8917 transcript:rna-LATHSAT_LOCUS8917 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSGLRVHKIEGLISFLNSKQCLPPWIITVRHFSFGDDRGGTVKQVAVGESDDEFSRRHGDTGFNDNGGNRNEEGFGIGQRSSESSPTRVLRGQRPINQPPSYSQESNRGGRRPRFDGNRVNKSSQIDLGFQGRNVAETGRDAGQLGDSFLDKFKLGFDDKLGNPSEVEASSQSGEATNSSSSSQPAVDSMPQDADEIFKKMKETGLIPNAVAMLDGLCKDGNVQEALKLFGLMREKGTIPEIVIYTAVVEGYTKAHKFDDAIRIFRKMQSNGITPNAFSYTVLIQGLYKCSRLQDAFEFCVEMLEAGHSLNVTTFVGLVDGFCKENGVEEAKGVVKTLTEKGFAFDEKAVREFLDKKAPFSPSIWEAVFGKKAPQRPF >CAK8575637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:226556207:226556801:1 gene:gene-LATHSAT_LOCUS27881 transcript:rna-LATHSAT_LOCUS27881 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSKLLTATEIHKTNSPIKHSWKKSSCSIFVGVLVVPILALTAATIPKVQKDEGPPFEYQLTIHVPSDVNSSEYYTNLSNFAEAKRKLKNQGKKFIVEDILIAIENRGNDLSAIDLTKVSQELNCLADDVDLVILEGMGHDIETNLHAQF >CAK8567240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496999185:497006171:1 gene:gene-LATHSAT_LOCUS20309 transcript:rna-LATHSAT_LOCUS20309 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSIDRDRSNPVVSKSTAQCQLGLDSSIKTVNSASKSSISTHDSSVAADSVKSSSAGLNHDEDDVVHELRDSKGFAGGNGGGVAGSVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPAKDRSVRVIGEESSKYVKKANWNLNRANGGVGGGATKQCKPFGEIHLKVSSVRFSKSDEKRLSLFTGTKTLHLRCVSREDRAMWIEALQSAKDLFPRALTSSDLATPEDIVVSTEKLRSKLSQEGISEEIINDCESIMLSEVSYLQGKLKFLQQKHVMLLDTLKQLETEKIELETTVVDETKERESYCGQGNRRFSDFYSVMSEGSATDSVADNESQDGADAETDDDDGAYFDTNEILYSDALRSASYRSREGTGNANVYDRDYILHDGLHGFEKVIKDISYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSNLVDRACEWGKQGNELMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGVKFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMHIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLIGKWDEAMYYVLGDPTTKPKGYDPMTEAALLWERDNYVPKTRYNLSPFAISLNEIMPGLLMSLPPTDSRLRPDQRHLENGDYEFANAEKLRLEQLQRQARKMQERGWQPRWFKKDEDGSYRYMGGYWEAREKNNWDEIPNIFGQGCDLPSCSEETVSY >CAK8579767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721593044:721593709:1 gene:gene-LATHSAT_LOCUS31685 transcript:rna-LATHSAT_LOCUS31685 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPGGELVPPQSSPTNSSISSSDLDTQSTGSFFHDRSTTLGTLMGLTFPAITFRATPQNTDSPSATATAVKSKRSMNSNAADFVVAKRRKRWWQFCSDGDSRSASLGEFLEVERRFGDAAFYDTPAEFEGLVVDSLQQRNSGRALFADGRILPPSVVDERSSTAGSLCSRFPVSLTGICSSGGG >CAK8565065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32431504:32431800:-1 gene:gene-LATHSAT_LOCUS18305 transcript:rna-LATHSAT_LOCUS18305 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIIAPEGWNDFNDPTRDQTIFYGEYNCSGPGANMNSRAPYVQRLNDTQAFPFLNTSFIDGDLWLET >CAK8569858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13762126:13765321:1 gene:gene-LATHSAT_LOCUS22666 transcript:rna-LATHSAT_LOCUS22666 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFAAPLLLMMISVLVFVMVSFLLNSRNGIEASTDSNNIDHVRFKVTDEQPYRTSYHFQPPQNWMNDPNAPMYYKGVYHFFYQHNPYAATFGDKIIWAHSVSYDLINWIHLNNALEPSEPYDINSCWSGSATILPGEKPVILYTGIDHNKHQVQNLAMPKNLSDPFLREWIKHPQNPLITPPNEVEEDEFRDPTTAWQGKDGKWRVIIGAQKGDQGKTILYQSEDFVNWTVNPKPFFATDNTRVIECPDFFPVYINSTNGVDTSVENSSVRHVLKISYQSKLHDYYFLGKYVSDKENFVCDEKFTGTSKDLRFDYGKFYASKSFFDYAKNRRILWAWVNESDTTQDDIEKGWAGLQTIPRKVWLDKSGKRLMQWPIEEVENLRGSKISITGKKLEGGSTLEVSGITASQADVEVSFELPDLESGEWLEDPSEVDPQLLCSKRDASRNGQIGPFGLLALASKDLTEQTAVSFQIYKTPNRYLCLMCSDQSRSSLRQDLDKTTYGTIFDIDPNLKAISLRSLIDKSIIESFGDGGRASITSRVYPLLAIKKDAHLYVFNNGSQSVIISKLSAWSMKQAEIGQEGSIRCA >CAK8569859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13763259:13765321:1 gene:gene-LATHSAT_LOCUS22666 transcript:rna-LATHSAT_LOCUS22666-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGVYHFFYQHNPYAATFGDKIIWAHSVSYDLINWIHLNNALEPSEPYDINSCWSGSATILPGEKPVILYTGIDHNKHQVQNLAMPKNLSDPFLREWIKHPQNPLITPPNEVEEDEFRDPTTAWQGKDGKWRVIIGAQKGDQGKTILYQSEDFVNWTVNPKPFFATDNTRVIECPDFFPVYINSTNGVDTSVENSSVRHVLKISYQSKLHDYYFLGKYVSDKENFVCDEKFTGTSKDLRFDYGKFYASKSFFDYAKNRRILWAWVNESDTTQDDIEKGWAGLQTIPRKVWLDKSGKRLMQWPIEEVENLRGSKISITGKKLEGGSTLEVSGITASQADVEVSFELPDLESGEWLEDPSEVDPQLLCSKRDASRNGQIGPFGLLALASKDLTEQTAVSFQIYKTPNRYLCLMCSDQSRSSLRQDLDKTTYGTIFDIDPNLKAISLRSLIDKSIIESFGDGGRASITSRVYPLLAIKKDAHLYVFNNGSQSVIISKLSAWSMKQAEIGQEGSIRCA >CAK8535077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:811767573:811768821:-1 gene:gene-LATHSAT_LOCUS4558 transcript:rna-LATHSAT_LOCUS4558 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSTMEMNQTSLEKVWKDDIAEEIEDEEKEEDDGIEKDEKKKSVCVSGRKGPNRGGRFSSPTCQAETCEADLTFAKRYHRRHKVCEVHSKAPSVVVAGLRQRFCQQCSRFHELAEFDEAKRSCRRRLARHNERRRKSTVGTCNEGSSTGQQHNVGDWRKIHMNTTGSSGPEYLNFR >CAK8539242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507490231:507491304:1 gene:gene-LATHSAT_LOCUS8355 transcript:rna-LATHSAT_LOCUS8355 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTLFGNSQIRVVMVGLDNAGKTTILYKLQIGKVITTIPTVGFNVEKVEYKNVDFTVWDAGGQGLYKLRPLWKHYFNNNDCLIYVVDSTDRERIDQAKQEFQITIKEPTMLNNIILVFANKQDLKGAMTPTEVCEGLGLFELRNRKWHIQGTCGLKGDGLFEGLDWLASTLKEKKAAEYSSI >CAK8577889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595900350:595902370:1 gene:gene-LATHSAT_LOCUS29954 transcript:rna-LATHSAT_LOCUS29954-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNQNQHYTLRFDGACSGNPGPAGAGAVLFDEQGSLLYHFRQGLGNTTNNVAEYRALILGLRQALRIGCMNLTVQGDSQLVINQVDNTMADSQANRATFLPEGEVEEDCFY >CAK8577888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595900350:595902370:1 gene:gene-LATHSAT_LOCUS29954 transcript:rna-LATHSAT_LOCUS29954 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNQNQHYTLRFDGACSGNPGPAGAGAVLFDEQGSLLYHFRQGLGNTTNNVAEYRALILGLRQALRIGCMNLTVQGDSQLVINQFKGSHTINNTRLRSLCNKALELSNNFRSFDIEHISRVDNTMADSQANRATFLPEGEVEEDCFY >CAK8564544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680458461:680460068:1 gene:gene-LATHSAT_LOCUS17842 transcript:rna-LATHSAT_LOCUS17842 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHLCTSCSSTSLSRDDDTGGLFCASCGAVQPFDQYESFTGGINGPQGTFVHIGTSGSGNFYSYKDRKLLSARSSIEEFTNRLGLCSKTIEIKSMISDITDGEFGQGNWFQVLIGACCYVVMRKSDRPLPMAEIANALACDVYELGKMILRVIDFLDLRGSDFPEFDIVYSLERTINSSCCFDDVDRSLIDKMKKQGVFLLQCAVKLFLSTGRRPLPLVVAILVLVAEINQVEVRMEDLAKEVHVVVSTCRTRYKELLETLVKIAQVLPWGNDITKKNIVKNAPFVIQYMEKKSMSKPVEKRKNLDQTRFDLEEVVAECLAQENGYEYGVDGLVSRKDSQYLSLPSNADREGIRDIDTSQISPECLSLIYEKFLNENRGAMLSRTANVQKRRRVEFDFHECREWWDGESELSRKLILRKLLEKDIGAETMPPSFVNGQLKCKIRRERIDAARKRIKRITHPLNADLDETVPLGILDSTCNEKRKKRRGVVVDGIDWEDLIIETLILHQVKEEEIEKGHYNTLLGLHVFNSGVV >CAK8576053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:393592616:393592999:-1 gene:gene-LATHSAT_LOCUS28270 transcript:rna-LATHSAT_LOCUS28270 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHDQELQPSTKQDYEVRQKQQHEEKQHNNVPLDLKVKIPSYEEEKDIDSLNDGFKTPTTMEHKIQAILPPPPRKPKQHRPSTKRKGCFRPQVILDLSQDIESLFSTPLDLDISPCGKNHKKVKLF >CAK8539864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529590907:529597172:-1 gene:gene-LATHSAT_LOCUS8924 transcript:rna-LATHSAT_LOCUS8924 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGVPAAEALLASGRNSEKLSLPALQSKMKCDPEGYESELLLIRSQFNSSLELFQQQAAMNFTSISGISNDPTIAKDLAEKAMFLSHMTRFYPNHLEDFPHKLADLLGCAARTLPSGLRKDLASSLILLINREIVNIKETLPLFMELQTLGDKPLRELAFNHVVKSIKRMNLKRKDEAKNRALQNILFAMLQDEDEGRAKRALVTLCDLHKRQIWFDERTANAICTASFHSSSRILIATLCFLLDYEKIENYHDSDDSDSDEELTVTPQVMLTRETVYKASHQGTSASKKKKKKKLDRIIRGMKKKQRGSSERTNNIYYSPLNHLKDPQGFVEKLFSRLQKCNERFEVKMMMLKVIARTVGLHHLILLNFYPYLQKYIQPHQRDVTNLLAAAVQACHDEVPADDVMPLFKQIVNQFVHDRSRPEAITVGINAVREMCLRIPLLMSEDLLQDLALYKKSHEKGVSIAARSLITLFREICPSLLIKKDRGRPTDPKARPKAYGEVNIATDVPGAELLQNSDDDVQQDGNNSDDSAYSDSDNNQEDDQVSLNLNDENQLGSDNTGSDDDEDKDSDVISEDENDGSSDYETNDDVEDEDDDALEDSEEADEEDVEISNHEGDDDLHTSHDGSVDAKSTLNDSTKKRKFRDFNDQLISADTSLRALKKLAGTTIENALPEKEDGILSNEDFQRIKELKAKKEARTALAQHGLVKSSTNKIPSSDQLSLKRVDGSMLEAHVKKKLNKEERLAMVRAGREERGQYHSRAAVKQKKTGGLSNRQKEHKKSMPLIAKRNKIARVKLEKKIKRQRSGKQFRGRKAWQ >CAK8562562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509851156:509851632:-1 gene:gene-LATHSAT_LOCUS16050 transcript:rna-LATHSAT_LOCUS16050 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRASSRGTKAMRYMKSPFKMLIKVKDMYVRAMIRCSTGMAGMDTGYPMQHSFIHPESFRVYSTTASSSSSGDDFKELVKTASLKIRPGGSGVDVGVEAKNVPTPRSRSVGMPTIKEEDEFDEEFVSGYDDGDIIMKVNPLLSQSRSCVIRNGSSMF >CAK8577463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566116498:566118770:1 gene:gene-LATHSAT_LOCUS29569 transcript:rna-LATHSAT_LOCUS29569 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVFLALTLCITCCNVEARNKTLLNGEINFTLSGSSMHQVNNDFDCVDIYKQPALQHPLLKNHKIQLYPTFAKNITQSRASFGKTMDACSPGKVPIYNKTQIHETITSSSSRLHTDNIKQYSKNSGSYHTVTLDTTQNVIFRGASAGIGAYNLSLQANQFSLSSIWLESGPSMELNSIKVGLGVHPHLYGDNQVRLTGHWTADAFKKTGCYNTLCSGFVQVNHNKEYALGSVIHPVSSIGSTTKVYGLIKIKQDRSTGHWWLIIQDEAIYTGYWPKELFTHLRKGASLIRFGGQTYAPPNKDNPPMGSGRLPKEKLKNSGFMGLLEIIDSEYNERDIKPEDMKKYTNSNSNCYDLAYRGYEGSVYRQAFLYGGPGGRNCNI >CAK8567143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490376618:490378196:-1 gene:gene-LATHSAT_LOCUS20220 transcript:rna-LATHSAT_LOCUS20220 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLRKILSLRSNYCIKKRSNHGENSVALSVELRNCNDCVEENKQCNCDHIVAVEDRKNSTTAEDARVDSDSSFVHAVINMVGMLIGLGQLSTPYAVEKGGWGSAILLIGLGVICAYTSHILGKCLEKNPNLTSYVDIGNLAFGTKGRYLVATIIYMDIFMALVSYTISLHDNLITVFIGTHLKLKFAMLTSSQILTLLAILIALPSLWIRDMSSISFLSTGGIVMSLLVFVCVVATAIFGGGEAGDNHSIPVFKLHNIPSISGLYVFGYGGHIVFPDLYKSMKDPSKFTKVSVVSFTIVTAFYTAMGFMGAKMYGNDVQSQITLSMPSNQIITKIALWATVLIPLTKYALEFEPFAIHLEHTLPNSLRSRTKLVIRGCVASFLLLTILVFALSVPYFEHVLSLTGSLLSVAICLVFPCVFYLKIFWGKVTRFLLVLNISLVIFGFLLGGMGTISSTKLILQKFISH >CAK8578610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641501490:641503101:1 gene:gene-LATHSAT_LOCUS30606 transcript:rna-LATHSAT_LOCUS30606 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSGCNETNEIVVNVNKDVSNTTQDSNEPATASLLQKLVAEVAGTYFLIFAGCASVAVNKNHDNVVTLPGISMVWGLAVMVLVYSLGHISGAHFNPAVTIAFATTRRFPLKQVPAYIAAQVFGSTLASGTLRLLFSGKHDQFVGTLAAGSNLQAFVMEFIITFYLMFIISGVATDNRAIGELAGIAVGSTVLLNVLFAGPITGASMNPARSIGPAFVHNEYRGIWIYLVSPILGAVSGAWVYNVIRYTDKPVREITKSGSFLKGAKP >CAK8578912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661891795:661895950:-1 gene:gene-LATHSAT_LOCUS30891 transcript:rna-LATHSAT_LOCUS30891 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASKPKVAKEGEQPEPEQEYQLFNEDIVETKQEPPSQEQRGVNVKNVDQVVDDDQANTRRSLSLLFNKENEDAQVTTENEKTKDEETAKHETFEDKKTLEDAKSIEPTVKHESPKKEEKLYQESKVNEPAEEQEPAKSNEPTVKHESPKREEKLYQESKVNEPAEEPAKSNEPTVKRESPKKEEKLYQESKVNEPAEEQEPAVVVEEVFSLQNQSTDPAKDSVKREIPEVAKKTSEETIIIEPAKVIAVEQNQNTNAAENEDAKVSTENEKTKAEETVKQETFEDKKLLEGAKISEPTVKQESPKTVEKLSQETKSNEAATKQEPLEVARIIEPTVKQESPKTVEKLSQETKSNEAATKQEPLEVARIIEPTVKQESPKTVEKLSQETKSNGAATKQEPLEVARIIEPTVKHESPKTVEKLSQETKSNEAATKQEPLEVARIIEPTVKQESPKTVEKLSQETKSNGAATKQEPLEVARIIEPTVKQESPKTVEKLSQETKSNGAATKQEPLEVARIIEPTVKHESPKTVEKLSQETKSNEAATKQEPLEVARIIEPTVKHESPKTELSQETKINEPDTKQESAVVPIEEKPTLLNQIIDSVADVIGKKETPEEAKINEPDTKQESAVVAIEEKPTLLNQIIDSVADVIGKKETPEEAKINEPVVQEIAEKQNQNDVPPKVTAIKTETPIAEKVSEKTQSNNELNEPIKQEKSSETNPIEAEVVSPLNEKVIEVLLPNEELGENKAVEGASIIGEKSYVDTLVEHTPKASDVGSDKVSLS >CAK8536963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45931834:45937849:1 gene:gene-LATHSAT_LOCUS6286 transcript:rna-LATHSAT_LOCUS6286 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMKNIRERLDEIAEERNRFHLKEIVREKRSSVLDWRQTTSIITQPQVYGRDEDKDRIVEFLVGDASGFEDLSIYAIVGLGGFGKTTLAQHIFNHERVVNHFELRIWVCVSEDFSPKRMTKAIIESATGHACADMDLDPLQRKLQYFLKGKRYLLVLDDVWDDEQENWQRLKSVLACGGKGTSILVTTRLLKVAAIMGTIPPHDLSILSGTDCWELLKQRAFGPNEEEREELVVIGKEIVKKCGGVPLAAMALGSLLRFKREKIEWLNVKESKLWNLKGEDYVMHSLKLSYLNLPVKLRPCFVLCVLCALFPKDEIIDKKFLIDLWMANGFISSNGMLEAEDIGNEVWNELYWRSFFQDIKVDEFGEIENFKMHDLFHDLAQSIAEEVSGCITKPSLSKRICL >CAK8530469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23391872:23393260:-1 gene:gene-LATHSAT_LOCUS332 transcript:rna-LATHSAT_LOCUS332 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAVSIPGGPQVLHVREIDEPHLTHDQVLIRVHFAAVNRDDISLRKGAFRFPYGATLFLGFECSGIIESVGKNVSKWKIGDEVCALLDGAGYAEKVAVREGQVFPIPTGVSLREAASLPFAACTVWLSIFITSRLYRGETLLIHGGSGGIDAFAIKAAKLGGSRVIVVAGDEEKLSYCVSIQADVCINYKIEDFAARVKEETGGRGVDVILDCTGTHCFQKNIECLNVNGRLFIIGALGATLYTQMDLRDLVTKRLTVQGGYLQNRSARSKQLIIEDVKKNLWPAIGNREGMINPLVHQCFSFSEADEAHQLVETGHQIGKVLLVP >CAK8576815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516343139:516348952:-1 gene:gene-LATHSAT_LOCUS28981 transcript:rna-LATHSAT_LOCUS28981 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNTLSVKHQSATMKTPVPLSTAVIAIALATSTLSLFFVPSSSWQILTNHNFTSQIHHHPHILLLLTLPWSGESRSLMNDISLEISNKPQEFHDLKLMFTHVNKEKTMMDSIGVNVDGMITVVYFHYSVAYKYTGRLSAKSILSSFHHYVSVAPQEVPFKVLDSPRDFATFVDSADATIVLVDFCGWTPKLIARSKKFNGTQHGTIGLHLGMGFSGENDRVLFSRGKTNQKVAEEAMCKVEHNINKGFCEVPWLGDFISVNDGHLGGFKDPNTHNLHSCSYEEFERFHSFYQKFMNAVKEFFLPPERRRFGLVSDRAMLSSLGVGDSGSWFAVHYLAGCSSCSHILKEEDDLNYVLQRNNYFVKELEGNGHDQEATIPANKPSVLLFVDRSSSSSETRGKSLEALKALRVLAQHYPVNQMDRNNDNHKKVLIRNYRGTKSTSDLLRSNLLMKAQNIKLNNKISSITIINEGKQVSVDNVVSDLQVSSLNELLGYIVQQKKDGKLSSLAKGLGFQLLSEDIDISSANTQQQLHSEVQSNQISAETSQEDHTGTVITDGYPYKSAGENPKLVVLSSQHDEVKKSSIVTSEEIKGVHSEESIEDHKLPSAKIIQSEINSPGDGSFDGNKYAEEHDDFLGFNGSFFYSDGNYQLLERLTGAYRIPSMVIVDPFWQQHYVYPEEKSFNLASLHGFLSEFLSGTLLPYQLSEDVLQGQREARHPPFVNLDFHEVDSIPRITANTFSELVIGFNLSNKENTSNAWNKDVLVLFSNSWCAFCQRMDLIVREVYRTIKGYVDTLKRGSQNVTDHENFDYVLMKIPTIYLLDCTLNDCHLILKSVNQKEVYPALVLFPAEKKEPLLYGGDVAVIDVMKFIAEHGSNFHDLIRDKVLWLSERVVKNQNLHGTLQTDVHEESLHMRTKNRGVPGPDRVLNQAVEPNMINLPVSNERVETLPHVVVGSVLIATEKLLGVQPFDGSKILIVAANQIAGFQGLIINKHLNWSFLPKLKEDFETLKEAPLSFGGPVVKTGMPLLSLTRIVSGNNLPEILPGVYFLDHLATISKIEELKSENQSVDGCWFFFGYSNWEWNQLYHEIAEGAWNLSEDGVRHLQWP >CAK8576816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516343139:516348910:-1 gene:gene-LATHSAT_LOCUS28981 transcript:rna-LATHSAT_LOCUS28981-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPVPLSTAVIAIALATSTLSLFFVPSSSWQILTNHNFTSQIHHHPHILLLLTLPWSGESRSLMNDISLEISNKPQEFHDLKLMFTHVNKEKTMMDSIGVNVDGMITVVYFHYSVAYKYTGRLSAKSILSSFHHYVSVAPQEVPFKVLDSPRDFATFVDSADATIVLVDFCGWTPKLIARSKKFNGTQHGTIGLHLGMGFSGENDRVLFSRGKTNQKVAEEAMCKVEHNINKGFCEVPWLGDFISVNDGHLGGFKDPNTHNLHSCSYEEFERFHSFYQKFMNAVKEFFLPPERRRFGLVSDRAMLSSLGVGDSGSWFAVHYLAGCSSCSHILKEEDDLNYVLQRNNYFVKELEGNGHDQEATIPANKPSVLLFVDRSSSSSETRGKSLEALKALRVLAQHYPVNQMDRNNDNHKKVLIRNYRGTKSTSDLLRSNLLMKAQNIKLNNKISSITIINEGKQVSVDNVVSDLQVSSLNELLGYIVQQKKDGKLSSLAKGLGFQLLSEDIDISSANTQQQLHSEVQSNQISAETSQEDHTGTVITDGYPYKSAGENPKLVVLSSQHDEVKKSSIVTSEEIKGVHSEESIEDHKLPSAKIIQSEINSPGDGSFDGNKYAEEHDDFLGFNGSFFYSDGNYQLLERLTGAYRIPSMVIVDPFWQQHYVYPEEKSFNLASLHGFLSEFLSGTLLPYQLSEDVLQGQREARHPPFVNLDFHEVDSIPRITANTFSELVIGFNLSNKENTSNAWNKDVLVLFSNSWCAFCQRMDLIVREVYRTIKGYVDTLKRGSQNVTDHENFDYVLMKIPTIYLLDCTLNDCHLILKSVNQKEVYPALVLFPAEKKEPLLYGGDVAVIDVMKFIAEHGSNFHDLIRDKAVLWLSERVVKNQNLHGTLQTDVHEESLHMRTKNRGVPGPDRVLNQAVEPNMINLPVSNERVETLPHVVVGSVLIATEKLLGVQPFDGSKILIVAANQIAGFQGLIINKHLNWSFLPKLKEDFETLKEAPLSFGGPVVKTGMPLLSLTRIVSGNNLPEILPGVYFLDHLATISKIEELKSENQSVDGCWFFFGYSNWEWNQLYHEIAEGAWNLSEDGVRHLQWP >CAK8572917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571481051:571481497:-1 gene:gene-LATHSAT_LOCUS25422 transcript:rna-LATHSAT_LOCUS25422 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGHYQPLRGYNEQHNTTVQQQPPSKSTQLVKAATAITAGGSLLILSGLVLACTVIILTVITPLFVIFSPVLVPAVIIVALLTLGFFASGGFGVAAITVLAWIYTYVTGKQPPGADQLDTARENLINKAREIRDYSQQQISGTQHS >CAK8543006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565212438:565213172:-1 gene:gene-LATHSAT_LOCUS11796 transcript:rna-LATHSAT_LOCUS11796 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNLSGIEPWMFRPAVNDTWLAEYVARDTETFTKALQKTLSTSPEDAFSPFLNLVKTDSAVTATPTVSSLSGSDQDSAPKRQRVASGKISKRKSRASKRSLTTFITADPANFREMVQQVTGARFGAGSNISMAPIVKPEPLRMVGVTGGGRFSTEGGGCLPTLDTSAFLLDHVHNRQQQHQPNQAMVGANSDGPENSGLGPLSFGQPIGGLDDDAGFVSAGLDFETFSSCFPTLESSWKVM >CAK8574500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:968816:972765:1 gene:gene-LATHSAT_LOCUS26841 transcript:rna-LATHSAT_LOCUS26841 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSVKVGSAKLVLICVALLGFALIADFLWASSSPSSYFSVSNSKTSTTITVPKEKKKKDSSVRLLADAYADLPGPQLTWQKMATSPVPRLDGAAIQIRNRLFVFAGYGTIDLVHSHVDIYNFDDGTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTARNFVLDTETKQWNDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACVVANDRLYVIGGQEGDFMAKPGSPIFKCARRMEVVFSDVYMLDDEMKWKELPPMPKPNSHIEFAWVLVNNSIVILGGTTEKHPETKKMVLNGEVIQFNLNTLKWSVIGKLPYRVKTTLVGFWNGWLYFTSGQRDKGPDDPSPKKVIGEMWRTKLKLNE >CAK8568412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604658343:604661243:1 gene:gene-LATHSAT_LOCUS21371 transcript:rna-LATHSAT_LOCUS21371 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRYQGGMEKCNVAMMLLWVFFSLCTIIEFKVEARTLSSSSSLDREIEARLKLLNKPAVKSIKSEDGDIIDCVSIYKQPALDHPALKNHTIKMMPDFTFESPNSITVDTFNASSEVFQIWQKSGSCPDETIPIRRIRKEDLLRADSLDRFGQKPMELFNSTFPTNLNSSNSNDTNDEVNLKNRSDAYLMTYAHHFNGAQANINVWNPRVDKPEDFTTAQMWLKAGYGRDNFESVESGWTVNPKLYGDHSTRLFVYWTKDTYRSTGCFDLTCSGFVQTNKNIALGAAIGPISYHDQQQYELYYGIFMDHDSKWWLKIRRNIPIGYWPPELFGNLRYSSTMVQWGGQVFSYEVKDTRPHTGTQMGSGDAANGRFGNACYMSGVRIRDDSLLLKYPQYVTTHAAEPYCYNTLNDAPYGQDPVLYFGGAGRKPPYCP >CAK8568413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604658367:604661243:1 gene:gene-LATHSAT_LOCUS21371 transcript:rna-LATHSAT_LOCUS21371-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCNVAMMLLWVFFSLCTIIEFKVEARTLSSSSSLDREIEARLKLLNKPAVKSIKSEDGDIIDCVSIYKQPALDHPALKNHTIKMMPDFTFESPNSITVDTFNASSEVFQIWQKSGSCPDETIPIRRIRKEDLLRADSLDRFGQKPMELFNSTFPTNLNSSNSNDTNDEVNLKNRSDAYLMTYAHHFNGAQANINVWNPRVDKPEDFTTAQMWLKAGYGRDNFESVESGWTVNPKLYGDHSTRLFVYWTKDTYRSTGCFDLTCSGFVQTNKNIALGAAIGPISYHDQQQYELYYGIFMDHDSKWWLKIRRNIPIGYWPPELFGNLRYSSTMVQWGGQVFSYEVKDTRPHTGTQMGSGDAANGRFGNACYMSGVRIRDDSLLLKYPQYVTTHAAEPYCYNTLNDAPYGQDPVLYFGGAGRKPPYCP >CAK8532855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:536821990:536825554:1 gene:gene-LATHSAT_LOCUS2519 transcript:rna-LATHSAT_LOCUS2519 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNDENEHCCGTHFWFLVLMCWVFMAFAAISSALALGLLSFSQVDLEVLVKAGQPQIQKNAAKIMSIVKNEHLILCTLLMAKSLALEGVSVLMEEMFPEWVSVLLAAALVSIIAEVIPQALNSRHGLRFGAIMSPFVRVLLLLFFPVAYPISKLLDYLLGKGHTALLGREELKILVNLHTNEAGKGGELSLHETRIIAGALDLTMKTAKDAMTPLNQTFSLDINSKLDMHTMGMIMNKGHSRIPIFSEKQTNIIGLILVKNLMFCRPEDETPIKFMTIRRVPIVGENWPLYDILNQFKKGQSHMAIVLKEKIRTAAIDTQGVVSFLPHDYISISTEASNLQSEDSEYYSATLKNAMLQESSDSDPLHKSTQHDTSTSSLENMESFLSDDEVVGIITLEDVMEELLQEDILDETDQYIDVHQNITIKLQHPRRGSSGSSRRASTSHQQRRSSDASRVRISTPTFISPISPN >CAK8560488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21956998:21957324:1 gene:gene-LATHSAT_LOCUS14156 transcript:rna-LATHSAT_LOCUS14156 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPSRTSRSRRVLKIVYDDPNATNSSSDERNNISVDKKTIKKRVVLEIVFPKVPSDQIIDNGLKKNNKCGAPKHEVNKNNNSCGTQTYEVKEPSYKYKGVLMRQWG >CAK8537944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449487414:449488711:-1 gene:gene-LATHSAT_LOCUS7192 transcript:rna-LATHSAT_LOCUS7192 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKTSKLLNKTNLCYTFYFFMLSIFTTSSASTITCLDSKCTIFFKSDIDFQKNSKVEIGQGIKSQEKSESSIDWSRRSLGGPGSFPPRCNGKCGKCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >CAK8574717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7279729:7283112:1 gene:gene-LATHSAT_LOCUS27034 transcript:rna-LATHSAT_LOCUS27034 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFQKLFNGFRRCVCVNRCYASSPGLALADSFYHNPNIPLCSRNLNPPLNYAHPGTDTECRYVIWQSKPEQQAPMAYYVPNMLELNSQIKQLMKLGKLCEARDIFDKMAHRDEISWTNLIAGYVNAADSNEALILFSNMWVNSALQKDQFVVSVALKACALGTNVYFGELLHGFSVKSGLINSVFVSSALVDMYMKVGKTEQGCSVFEKTTTRNVVSWTAVIVGLVHAGYSLEGLMYFSEMWRSKVGYDSYTFAIALKASADSGLLHYGKSIHAQTIKQGFNETSFVINTLGTMYSKCGKPDYVMRLFGKTSMPDVVSWTNLITTYVQMGEEKHALDAFKRMRKSNVSPNEYTFASVISACANLSITELGEQIHGHVLRLGIVNALSVANSIITLYSKCGLLREASLVFHGMPRKDIISWSTIISIYSQGNHAKEAFNYLSWMRAEGLKPNEFALASVLSVCGSMALLEPGKQVHAHALCIGLDHEAMVHSALISMYSRSGNLQEASKVFDGINNNDIVSWTAMINGYAEHGYSQEAISLFENISGVGLMPDYVTFIGVLTACNHAGLVDLGFHYFKLMTNEYQIAPSKEHYGCMIDLLCRAGRLNEAERMIRNMPFLCDDVVWSTLLRACRDHGDVDRGRWAAEQILCLDPNSAGTHITLANIYAASGRWKEVAHLRKLMKSKGVIKEAGWSWINVNDQLNTFVAGVQSHPLSEHITTILELLSTSIGDAKLDFGSTVEDVED >CAK8544704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:700864005:700866908:1 gene:gene-LATHSAT_LOCUS13359 transcript:rna-LATHSAT_LOCUS13359 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGQLADKTEFTECWRRTTESPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFEQVDKQTWLQETIVSTAVAGAIVGAAFGGYMNDKMGRKKTILVADVVFMLGAIVMAIAPAPWVIIIGRVLVGLGVGVASMTAPLYISEASPARIRGALVSVNILLITGGQFLSYLINLAFTKAPGTWRWMLGVAALPAVLQFVLMLSLPESPRWLYRQSKEEEARVILSKIYRPNEVEEEMKAMHDSIEAEKADESLIGHSLGQKLKSAWSNDVVRRGLYAGITVQVVQQFVGINTVMYYSPTIVQFAGIASNSTALALSLVTSGLNTIGTIVSMVSIDRFGRRKLMLVSLVGIFVSLVVLSVTFNQASHHAPAIDKLDSLNFGRNSTCKAYTSAPNLSAWNCMQCLREECGFCANSKSAFLPGACLAGGEKIVRGMCREQKRVWFSQGCPSKIGFLAVVILGVYIIAYSPGIGTVPWVLNSEIYPLRFRGLGGGIAAVFNWCANLIVSQSFLSMIKSLGTSGTFLLFAGFSLIGFVAIYLLVPETKGLQFEEVEKLLQKGFRPFPFNRKKDEDVKGKGKEEMHDLP >CAK8563263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:587089200:587093014:-1 gene:gene-LATHSAT_LOCUS16691 transcript:rna-LATHSAT_LOCUS16691 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRGERAPLLEAQRAAGRGKRRDDSTEDQVSDLEHGDAPAAHVGFFRVFSLAKPEAGKLLIATVALLIAATSSTLVQKFGGKIIDIVSGDIRTSEEKDAALDAVENTIIEILLIVIIGSVCSALRAWLFYSASERVVAHLRKNLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLATAFIGLSFMFATSWKLTLLALVVVPLISIAVRQFGRFLRELSHKTQAAAAVASSIAEESFGAIRTVRSFAQEDYEIERYSEKVNETLALGLKQAKVVGLFSGGLNAASTLSVIVVVIFGANLTIKGAMSSGDLTSFILYSLSVGSSISGLSGLYTVVMKAAGASRRVFQLLDRASSMPKSGDKCPLGDHDGEVELDDVWFSYPSRPNHMVLKGINIKLQPGSKVALVGPSGGGKTTIANLIERFYDPTKGKIMVNGVPLVEISHKHLHRKISIVSQEPTLFNCSIEENIAYGFDGKVDAADIENAAKMANAHEFISKFPEQYKTFVGERGIRLSGGQKQRIAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSIMKGRTVLVIAHRLSTVKTANTVAVVSDGQIVESGTHDDLLDKNGVYTALVRRQLQTTKTEI >CAK8536180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914732062:914732583:1 gene:gene-LATHSAT_LOCUS5573 transcript:rna-LATHSAT_LOCUS5573 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIDLPVVGSNYTWFKDNGRCRSRLGRMLFTVGVINTWNLVAKKVGARDMSDHRPLWIKANKLNWGAKPFKVFSFWFDHPKFLDFVKLEWNAIKVIGSVAHILNEKFKVTRGRLRLCNKEVFGWVELNIDEKVDALKMEAVSSVDKEKPILDRSITNMELWNKLKLQESLIK >CAK8533866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666259469:666260074:1 gene:gene-LATHSAT_LOCUS3456 transcript:rna-LATHSAT_LOCUS3456 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTISEDEEIRSNTNLVYILEARDRIQAGLGVTRPWKEMIQPSRVKLPSYFLDALQRINTNAEHFRANYVIMILFILFLSLLRKPTSLIILTVMMIGWLYLYFLRVTPLVILGYEIDERLVVISLLLVTIGLLVVTDVTHNVIVGMCVALGAVLIHAVLRETEDLFTLDEDVRVVRGVRQVIKVPLRQPGSSSFTLPP >CAK8540538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10324584:10324946:1 gene:gene-LATHSAT_LOCUS9535 transcript:rna-LATHSAT_LOCUS9535 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKGRGRGRPPKNTVPPPSTTPPVSRAQQQLELRNHLASNTSLTEEGILEVETLDARTRKPNQEEMETATQSMDAIQAVIPKQPENGKPIHEGASEEGRKLWVDVLKDNRNPTKGRR >CAK8541457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:180028620:180029270:-1 gene:gene-LATHSAT_LOCUS10377 transcript:rna-LATHSAT_LOCUS10377 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLRIRHCLPNGLLYRQPLNASIVGINARKLNIFTRNLGQAARKEEEDVEDVEIDQRSLPTDFDPATFDLTDHRGPPSVKVFRLVDEVASLTLTEAAELGLLLMKKMGIKQMPNVGYMKPGSVNLAAMATKGPTAAKEEQKPEKTVFELKLLSYEAASKIKVIKEVRGFTDLGLKEAKDLVETSPSIIKKGVSKEEGEQIIEKLKALGANVVME >CAK8567434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513752555:513753514:-1 gene:gene-LATHSAT_LOCUS20488 transcript:rna-LATHSAT_LOCUS20488 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVHLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8567982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561175336:561177584:-1 gene:gene-LATHSAT_LOCUS20986 transcript:rna-LATHSAT_LOCUS20986 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWIWHSKSSGETESSESMLSHSERFSDDQVYASRTAPSSEVTSKAALDKDVVTDVLTLTEKLSAALLDVSSKEDLIKQNAKVAEEAVSGWEKAENEVLTLKQELDAAKEKNVVLEDRVSHLNRELKDCMRQLRQAREEQDQKIHEAVANNSCYQESKRPDLEWKVAELEAQLQTAKENAAASVHSGLLQRLEDVERENSCLKIELQSRLEELEFRTIERDLSTQSAKAASKQHLESLKKVAELDREWRRLNAMAQNHIGLSTQNDLMDDFLEMERLAALPDTESVSSFSVVGTGTDKMNAEVEALVQKNSTLEKKLVEMEADKLELEMDLKECQKQLEISQSRVKETELEVIELQTQLDLVNKSNEEAYDELKAAREKNEKAESKLRASQTEAEELISKICLLEEEIEKEQALSAENSAKCEKLEDELSRMKQEAQLQPDTEILHRKGVDSKLMFNQEKELELAANRFSECRKTIESLGQQLKSLAKFEDFLLDSEDTMELTSEVTPPGPKNNSDNSDLSSPKIDSELSDLLNPSISFGKSHFSFGMFYPTSA >CAK8565096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35701310:35702263:1 gene:gene-LATHSAT_LOCUS18335 transcript:rna-LATHSAT_LOCUS18335 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRFLMLSYTACYWCLPSCLKRYTDPSFFKMESASSVRAIVQVHRERRIRKVKKKGARLRDGETPNVVPTHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFPLSVKPAPDEHVVLYCCHKQVLQLLYFTAVMNMLF >CAK8565157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52337268:52339616:-1 gene:gene-LATHSAT_LOCUS18392 transcript:rna-LATHSAT_LOCUS18392 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNQNLIQNLIQRKQRDDFSLKETTPNISAGRVISGDRLPTAFDLVEQMQFLFARVVRAKALPESGKSDTCNPFVEVKLGSFVGTTKVFEKNLNPEWNQVFAFSKERIQEQVLQIVVKEKDVPDAFIGRVTFIISDIPMRFPPNSPLAPQWYKLENQNGVKLDQGELMVSVWIGTQADESFPDAWHSDATTTSDENIAYTRSKVYISPRLWYLRVTVIQAQDLLLKNKSGNNGEIFIQGVLGNLALRSRPMRIHPNPIWNEDLMFVAAEPFDDSLLLSIEQSQGNSNKHDGLGSCVIHLKDVERRIDATPTASVWYNLQKPKELEGEEGVKFSTKLNFRISLDGGYHVLDEATHYSSDLRPSSKYLNKPSIGVLELGILNAMGLSPMKKDRTDAYCVAKYGPKWVRTRTIVDSFSPRWNEQYTWEVYDPCTVITIVVFDNGNVHGGGKNGDGGVDKRIGKVRIRLSTLGSDRIYTHFYPLINLHTQGARKMGEIQLAVRFSCPSLLNVMQTYTQPLLPKMHYVCPLSMFQLDSLRNQAAAITIMRFRRAEPPLSKEVVEYMLDMRANVWSMRRGRAQFYRIASLLSGLVGFVKKFEEIRSWKSSFTTITSYFFFLFIIFKPGVILPFMFTILFLKGIWNFRIRPRVPSHMDTRLSHADTATMEELEEEFDPFPTKFSGGNLQKRYDRLRGISGRVLAVMGDLATQGERVQSLISWRDPRATALFLIFCVVAMILTYIISFRIIVFLSVSYVLRPPRLRFDLPAFPQNFLRRMPAKSDGML >CAK8577559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574525828:574529606:1 gene:gene-LATHSAT_LOCUS29657 transcript:rna-LATHSAT_LOCUS29657 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRTISSNQRLTSISNFTSHSPSSLRCFCSIQSQNVNDEVEQQQLVQNEKPRSRNTSQMAKAMANLINFKPWSNGLLSSFANSLSKTTVLQTLRHIKVPSKAFHFFDWVHENGFSHNAQSYFMMLEILGREKNLNVARNFLYSIEKRSNGEVKVDDKFFNSLIRSYGEAGLFKESVKLFESMKVIGVSPSVVTFNSLLLVLLRRGRINMAKEVHDEMLNTYGVTPDSYTFNILIRGFCKNSMVDEGFRFFKEMTSFNCDPDVVTYNTLVDGLCRAGKVKVAHNLVNGMSKKCKDLSPNVVTYTTLIRGYCMKQEVDEALAILGEMNGRGLKPNIVTYNTLIKGLCEVHRWDKMKDILEQMKGDDGLIPDACTFNTLITSHCCAGNLDEAFKVFENMKNLLVLADSASYSVLIRSLCQKGDYDKAEMLFDELFEKEVLLCSFGPKPLAASYKHIFQYLCQNGKTKKAERVLRQLMKRGTQDPLTYQIVILGHCKEGAFEKGYELLIWMLRRDFLPDIDIYDYLIDGFLQNDKPLLAKETLEKMLKSSYQPETSTWHSILTRLLEKGCVHESADVIVTMLERNIRQNINLSTECLQLLFYRGLQHKAFKINELIYKNGYCVKMDEVVQFLCKKGRSSEACKMLFFSLKNNQNVDVDLFNTVIIDLCKINKASEAFGLCYELVEKGLHQDLICLNDLVTALEAGGRIEEAAFLSKRIPREENFNRSVRNNSSKNPGLIKLGMEV >CAK8534969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798243971:798244399:-1 gene:gene-LATHSAT_LOCUS4459 transcript:rna-LATHSAT_LOCUS4459 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATSFIQMPLLRTTNFNSFSTKPMTITITRAIHQYKAKQVDRSRNGGYGPGPQTTSIKLQSTTTTRNVQLDNVYATTVLSSVKDEDDHNASSPKRISNIDYHYEI >CAK8542262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494850315:494891669:-1 gene:gene-LATHSAT_LOCUS11111 transcript:rna-LATHSAT_LOCUS11111 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKEMKITGNVLDSHEVVDSDIKQFVESPLQENVNGSSSFEVEHVDERVHLQDQDVDCATTVMGEDQFEQVSLNDQDKNNESEDSNQSPGSDKIHHPYDGNAEDSRYSSGPCSLEYDSSIVTDLHLDNLSHSPASEGNFGHTNKQLAPSISFDSTGYSSTVTSPPNPRQKHAKPNVSPELLHLVDSAIMGKPEGMDKLKNIASGVEFFESGEEMDSVPFLIVDSLLATMGGVESFEEDEDNNPPSVMLNSRAAIVSGELIPWLPYIGDTEDVMSPRTRMVRGLLAIIRACTRNRAMCSSAGLLGVLLRTAEKIFTVDVGLNGQMRWDGTPLCQCIQYLAGHTLSVSDLYRWFQVITKTLTTIWAPRLTLALEKAISGKESKGPACTFEFDGESSGLLGPGESRWPFVNGYAFATWIYIESFADTLNTATVAAAIAAAASAKSGKSSAMSAAAAASALAGEGTVHMPRLFSFLSNDNQGLEAYFHAQFLVVETASGKGKKSSLHFTYPFKPQCWYFIGLEHIGKHGILGNAESEVRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGSDKMAGLASRGGDILPSFGNAAGLPWLATNAYVQIKAEESVLLDAEIGGCIHLLYHPSLLNGRFCPDASPSGASGMLRRPAEVLGQVHVATRMRPGDALWALAYGGPLSLLPVTISNIDEDTLEPLQGTFPLSSATTSLAAPIFRIISMAIQHPRNNEELSRGRGPEVLSKILNYLLQTLSSLHVGRHDGVRDEELVAAVVSVCQSQKINHTLKVQLFATLVLDLKIWSLCSYGIQKKLLSSLADMVFTESTVMRDANAIQMLLDGCRRCYWIIHEIDSVNSFSLAGATRPVGEINALVDELLVVVELLIVAAPPSLVSADVRCLLGFMVDCPQPNQVARVLHLFYRLVVQPNASRANTFAEEFLAGGGIETLLVLLQKEAKAGDCDVMESFSKNPELEKAEFDHSNGNTERSQDDEGSEDKSETSILDNDKHSQSVYSCNSPDPSSPDINSDRMAFTSEIPSIKNLGGISLSISADNARKNVYNIDKSDGIVAGIIGLLGALVASGQLRFVSRAGPDTTSNLYGVGLHDRGGTMFEDKVSILHYALQKAFQAAPNRLMTNNVYTALLAASINASSSEDGLNFYDSGHRFEHSELLLVLLRSLPFAPRSLQSRALQDLLFLACSHPENRNSMINMEEWPEWILEILISNYEVGPSKSSDSTSAGDVEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGEQRVRREESLPIFKRRLLDGLLDFAARELQVQTQIIAAAAAGVAAEGLSPIDSKAEADNAAQLSVALVENAIVILMLVEDHLRLQSKQSSSRNADISPSPLSTLYPISDHRISLSTIDESIEVTDNRRSLSSGSEAIPLDALSSMTDGSGQIPTSLMEKITAAAAAEPYESVSCAFVSHGSCAKDLADGWKYRSRLWYGVGLPQNPAAFGGGGSGWDFWKSTLEKDANGNWIELPLVRKSVAMLQALLLDESGLGGGLGIGGGSGTGMGAMAALYQLLDSDQPFLCMLRMVLLSMREDDNGEDYMLMRNTSIEDAVSEGRKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYAEVYHAVSRDQKPLRKQYLEAILPPFVAVLRRWRPLLANIHELATADGLNPLVADDRALAADSLPIEAALAMISPAWAAAFASPPAAMALAMIAAGASGGESQPPATPTHLRRDTSLLERKQTRLQTFSSFQSPLEVSNKTPPLPKDKAAAKAAALAAARDLQRFAKIGSGRGLSAVAMATSAQRRSASDMERVKRWNISEAMGVAWMECLQPVGTKSVYGKDFNAFSYKYIAVLVASFALARNMQRSEVDRRAYVDIVTRHRIRTGVHAWRKLIHQLIEMRSLFGPFADNLYSPPQVFWKLDLMESSSRMRRCMRRNYRGSDHLGSAADYEEYSGEKNDQSTPVLSAEAISLEAVNEDEEQVDAENLVARTDEIQNKGDNQPILSESAEQSVRASLESSGSQLATDELDQSSSAIAPGYVPSELDERIVLELPTSMVRPLKVIRGIFQVTSRRINFIVDNYNNETSATTDGLHSSFKAGNQEKDRSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGSSEGRRNAYRAIVQARPPHLNNIYLATQRPDQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWIISDYDSESLDISNPSSFRDLSKPVGALNPNRLKRFQERYASFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIQLQGGKFDHADRMFSDISGTWNGVLEDMSDVKELVPELFYQPEVLTNENSIDFGTTQLGGKLDTVKLPAWAENPIDFIHKHRKALESEYVSSHLHEWIDLIFGYKQRGKEAVAANNVFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTVPHLKKMPLAEVLHLQTIFRNPNEVKPYAVPSPERCNLPAASIHASSDTVVVVDMNAPALHVAQHRWQPNTPDGHGTPFLFQHRKVTVGSAGGALMRMFKAPATSGEEWRFPQAVAFSASGIRSQAIVSITCDREIITGGHADNSIRLISSDGAKTIETAYGHCAPVTCLGLSPDSNYLVTGSRDTTVLLWRIHRVLVSHSNVVSEHSTGTGTQSPTSKSSSNFIEKNRKRRIEGPIQVLRGHRSEIISCCVNSNLGIVVSCSHSSDVLLHSIRRGRLIRRLDGVEAHIVCLSSEGVVMTWNESQHTLSTFTLNGTPIARAQFSFFCSISCMQISVDGTSALIGVNSLENGRTYNNSLNSQLNKSGVDFDSESEETDENNRTDLPSPSVCFLDMHTLEVSHVLRLGEGQDITALTLNQDNTNLLVSTLDKQLIIFTDPSLSLKVVDQMLKLGWEGNGLQPLIKS >CAK8534199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704004549:704005668:1 gene:gene-LATHSAT_LOCUS3760 transcript:rna-LATHSAT_LOCUS3760 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFPDLELHGWDALYMVQRAILTPTNDDVQKLNDISIDQFLEEHNLLSFDEVEEDNNNLYQQEFLNSIAQGSLPPHILKIKKGAPLMLLRNLDPRYELCNRTRLLYRGLYMNMLDVEVLIGNNIGRRAFLSRIKIKTSASDGLPFVLSRKQFPVRLSFAITINKSQGQTIPNVGIYLPRYVFSHGQLYVALSRGVSQTTTKVLSKEGKLEGEDVKLEHNEVFQLCKELRRKNVETCFDIKMGDFKDKWNTWKDEQTISSISKLDNKMHLPFSHHV >CAK8543373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603532923:603534615:1 gene:gene-LATHSAT_LOCUS12133 transcript:rna-LATHSAT_LOCUS12133 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQAIFSSSQSISSLHLSDLNHFNRSPVIVQLSDAAHAHTQSKPCSSSTSLLASAGSTLDLYQPSDQTLPLIGKLINGYDYPSSFELSGVHKQDNKQHPLDEKVRGEEKESGNSEENKSNHISKGDLLVDSQLLPSSTTFAQDGRWCEGEKAIPLKKRKGRLENVAMDSNDTKKTKSKIMKTKLNKKCCVRKDNGEDEESEKKMDNLKKKATKRGSALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSIGLSTTAPNNVVDTDSVSSSSNYDVVKKITSGNDIVDKLKNDDDEKKTLMINKKRMKLGMVKARSMSSLLGQTDNKVVVVDQNNK >CAK8563571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617211547:617212754:1 gene:gene-LATHSAT_LOCUS16967 transcript:rna-LATHSAT_LOCUS16967 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPACYSNPPILDPFSGVGHVEKLGGVDVYLTGSLLSTIAILFVSDVFGYEAPITRKLADRVAASGYYVVLPDYFNGDPFDPENLDRPLPIWMKQHRPDKGFKASQPIIEALKSKGISTIGAAGFCWGAKTVCELGKSNLSQVVVLAHPSSITVDDIRGVNVPIAILGAGLDTITPPKVIKQFKRVLAAKNGVDSFVKIFPNVSHGWTLRYNTEDPEAVKAAEEAHQILLDWFNKYLK >CAK8564832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11439804:11442322:1 gene:gene-LATHSAT_LOCUS18092 transcript:rna-LATHSAT_LOCUS18092-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLDFGKKVSISDTMEVIDPSKIVLLLRDFLDIQQRRAQAYSKLKSGFSDYMTSGGELAYQQLCSGITKEFNDCSKQVLEMESLFQSPDYSRSDLAQILRGVQDQEKQKLHLTATIQLLKKAGRPSERLVSHENCKFTKHTEHECVHVKEITEASGTEEAEADAEFDNALNEAIRGVQDAVMVINEHLEEVRYEIAALEAE >CAK8564831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11439795:11442322:1 gene:gene-LATHSAT_LOCUS18092 transcript:rna-LATHSAT_LOCUS18092 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEEDLDFGKKVSISDTMEVIDPSKIVLLLRDFLDIQQRRAQAYSKLKSGFSDYMTSGGELAYQQLCSGITKEFNDCSKQVLEMESLFQSPDYSRSDLAQILRGVQDQEKQKLHLTATIQLLKKAGRPSERLVSHENCKFTKHTEHECVHVKEITEASGTEEAEADAEFDNALNEAIRGVQDAVMVINEHLEEVRYEIAALEAE >CAK8576394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469732500:469740052:-1 gene:gene-LATHSAT_LOCUS28583 transcript:rna-LATHSAT_LOCUS28583 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPIDADAREGSGLLWGVTVAPFAATDENGQPPAYGSGGDLLPRCENCWAYFNTYCELEQWSWSCSLCGNLNGLSSDAIERYSRPQSCAEMMSSFVDLELPPQEESDDAALQACPVYVAAVDLSSSEEFLELTKSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPPDAEGTLAIELEDVMPLLQFLAPVDTCKDRIASTLETLRPTTSWERTTGAGQGMDGVLLGGRGFGVAMEALCSYLGSEYGNTFALARIFAFLSGPPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQVGVCVDIFAVTNEYTDLASLKFLSIESGGSLFFYTSTEDSTLPQDMYRMLSRPYAFGCVLRLRTSTEFNPGNSYGHFFPDPQYENVQHVICCDSYATYAYDFVFENNIGFSRSKSDVPTLQIAFQYSVVVPPQELSSSGGVSTSRTKHHSLQRRLRIRTVQFGVAQDIHELYDSCDPEVVLSLLVHKVILASLEEGVREGRLLLQEWLVILAAQYNDAYKLVQYSNGSSTRSQIDVAFSQCPQLQPLPRLIFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLHRAVYPVLTSYATPDKQAYPRHSLSRAALLTSGSPIFFLDAFTVLIVFYSSTADPTLPFPPPHDCLLRTTINKLKKERSITPKLIFIRGGHDDASIFENFLIEEQDVDGSGLTSVMGFVSFLEDITQKVLEFIK >CAK8544214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672056831:672057991:-1 gene:gene-LATHSAT_LOCUS12913 transcript:rna-LATHSAT_LOCUS12913 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQSSVEKFEKFTWKVQNFSSLNKCEVSSEPFILGGFPWLIYLYPKGEEGEEDFSSIYLGTVKTTNMSEGWSRDVKFKLLLFNQLNANKTVTIESRYEFNARQDSWGFKSFITLDELHDSENGFIVKDSCIFGAEVYVCKSAHEKPVNQSTNISTASLRPKLEEGELMDFECLGQMEKKFAPLLDLACYMHPSLIVCQQKRSRKFREWAFSALGRVIYFLQTKKVRDMNDIACKHLQIYWEELEHFGFDLTWLEPHVQSALSMKSYLNKLKEAEKLKDNVVALELEMQRLKAKMVIARDLLEAQKLEEEIDLDAELGFVKL >CAK8568177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580055455:580056095:-1 gene:gene-LATHSAT_LOCUS21166 transcript:rna-LATHSAT_LOCUS21166 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAFSHLSWWLSGRKHGKQISKVYSVIRDLDVLRFPEEIVPSSPRKLKRREEGKVDKECEFVIVPSDGGYISDVESVDSDWSIGWMEPHGTGFSRDNDESHESDHSFAVLVPCYGSNYGAMLEEGPKNNLLNNVGNFSDESKKYVENWISSLPNS >CAK8544346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679267808:679268089:1 gene:gene-LATHSAT_LOCUS13034 transcript:rna-LATHSAT_LOCUS13034 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKKKMVVKCVDGCPFHIRFSMRTTNQYWQLVSLTDRHGCHRTAKNRQAKTDWLGRQFVYTIRHTPEIKTKGLIVEAIKKWG >CAK8534502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736504667:736505294:1 gene:gene-LATHSAT_LOCUS4045 transcript:rna-LATHSAT_LOCUS4045 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAEKDVRSMSGCALLLTSWAFTRIPLFAPINTVEPSFPYAQRWTQRGMNYRATPRFHLQGYRNTLDHMQEKDFIWRPYIQYPVPRLEDSQIWSATTYLVCFYIVEMHQSDRVTLQFGFDQQIPPLPRCLKEHHAITMRKAQKVHWQQLK >CAK8537179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124338157:124338761:1 gene:gene-LATHSAT_LOCUS6488 transcript:rna-LATHSAT_LOCUS6488-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFAIIKDINDSKELWKIAVRIHHKWSVVSKSKHDIPPKIMKFTPFADIICGKWKRNLLIDVIAVVIEIGCTQLQGGSKKQQINLVLKDLGNNTVDCTLWEGYALQFDEYIKNVKNVSIPIVIISQFRKVKEEGM >CAK8537178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124338157:124339013:1 gene:gene-LATHSAT_LOCUS6488 transcript:rna-LATHSAT_LOCUS6488 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFAIIKDINDSKELWKIAVRIHHKWSVVSKSKHDIPPKIMKFTPFADIICGKWKRNLLIDVIAVVIEIGCTQLQGGSKKQQINLVLKDLGNNTVDCTLWEGYALQFDEYIKNVKNVSIPIVIISQFRKVKEEGKYHLCVSNTFNVTKLHINKDLPDIKDFLKISFILAFAYRICFTELTICLHSFQSC >CAK8578258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615563113:615568306:1 gene:gene-LATHSAT_LOCUS30285 transcript:rna-LATHSAT_LOCUS30285 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGTPKEAVMAVVASLDFTETSNWWRDINDSPLWQDRTFHLLAVLYGIVAAIALVQLVRIQLRVPEYGWTTQKVFHFLNFFVNGVRCLVFVFRRDVQKLQPEIVQHMLLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFYTINAVVYVIQIALWLILWWKPISMLVILSKIFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNALDKAANIDVLDHPILNLIYFLLVEILPSSLVLFILRKLPPKRGITQYHPIR >CAK8571636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453383962:453386188:-1 gene:gene-LATHSAT_LOCUS24278 transcript:rna-LATHSAT_LOCUS24278 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNCFDLKTMTYYSPRQPIHLPIDPNLSLTSFLFQSTSSIAETIALADAQTDDSLTFRQLKAQVTALSRSLLRLGIQKGDVVLLFAPNSIRFPVCFLAIAVIGAIATTCSPLYTVPELSKQIQDSNPKIIVTVSALLHKIEPLPFDIPSILLDDASTRVLSSKIFSSLVWDFKDLTRESSNKSPDEIHANGVISQSDVAVILYSSGTTGRSKGVMLTHRNFTAAALAGVADQEHYGEGKNVFLCLAPMHHVLGLGMITYTQLRRGNMVVSIDRFELEKTLAAIQKFRVTHLYVAPPVMMELIKRHHVVSSYDLSSLKQLAGGAAPLGKDVMQDCAKILPQVEIIQGYGMTEACGLISYENPNEEWFVSGLGSTGTLVPNVESRIVSLETFKPLPPNQLGEIWFRGPIMMKGYFNNPEATKQTINDEGWLITGDLGYFDEKGQLFVVDRIKELIKCSGYQVAPAELEDLLVSHPEIFDAGVIPSPDAKAGEVPVAFVVRSPNSSITAEDILKFVAKQVAPYKRLRRVTFIERIPKSPTGKILRKDLVILDRQKTSKL >CAK8569458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1585457:1588295:-1 gene:gene-LATHSAT_LOCUS22307 transcript:rna-LATHSAT_LOCUS22307 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQWWKLRFSFKSATILVCFLNLITAIFLLHDFFTSPYTRTKFSAANYNSAQLIYIKESEEIRLAMLPLELIKRVKEIEQEGYTKPETVQKKDTKQTAAVDLSKRLKDFRSVNDASSLKALEEWRKRKMERAKQRELEKNGTGSSQALKINV >CAK8531106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81395913:81397944:1 gene:gene-LATHSAT_LOCUS918 transcript:rna-LATHSAT_LOCUS918 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEEFKTVNELWLGEFKRKYKWLLEHEDEIKNIFDHKASDAYSNTMYRVRKKIDPGDWIPTETLKILEKKWNDDKWKRKSEINTHNRRFSDGPLHTRGSIPTTEHYKRLNEYEKRILERDSQEAHGDDVSSHQSDNNIFLDVVGGVDKKGRIYGLGPEAAKYKSFRSSTFDGISSSEY >CAK8564116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652577831:652578922:1 gene:gene-LATHSAT_LOCUS17452 transcript:rna-LATHSAT_LOCUS17452 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEETEELKLLAEWKRKKGGFRATMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYLNRFTTCLIFGSLEVLALAMVTFQAASGHLHPNACGKSSCVKGGIGVMFYASLSLYALGIGGVRGSMTAFGADQFEEKDPNEAKALASYFNWLLLSSTVGAISGVTGVVWVSTQKAWHWGFFIITIASSIGFVTFALGKPFYRIKVPGDSPTIRIAQVIVVAFKNRKLSLPESHEELYEISDKDATVERILHTSQIRSLDKAAILQENMKPQPWKVCTVTQIEEVKILRHSATNRQCQLQPLPCYKLTPDQPFCYPCFNSSHTRQLCDNTTCPLLH >CAK8534744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762863294:762863616:1 gene:gene-LATHSAT_LOCUS4260 transcript:rna-LATHSAT_LOCUS4260 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIIAVIQTRYSLDECKSLDTFGSLVKAIYRSGRCWFVNDSYDIKTSKKGHNFISLSTDASDAL >CAK8539744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523791578:523791802:1 gene:gene-LATHSAT_LOCUS8814 transcript:rna-LATHSAT_LOCUS8814 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYGGNFDFSYDHLSNDATILSNDSSIDFKEFLRRRFDIRDKQIHRQLQQDLIEHIRQRYGHEDNNN >CAK8542870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554442821:554443455:-1 gene:gene-LATHSAT_LOCUS11671 transcript:rna-LATHSAT_LOCUS11671 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRVLFLFALIATIFSTIAVAKDFVVGDESGWTLGVDYQAWAANKVFRLGDTLTFKYVAGKDSVVRVNGSDFQSCSVPWAAPVLGSGHDTVVLTTYGRRWYVSGFANHCKNGQKLVITVVPSQQLPWSPVPSPSTSPVPAPEAAPPSNAPWSATVPRRSMLSKKLFKMIHTNLIAV >CAK8563222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583969626:583972053:1 gene:gene-LATHSAT_LOCUS16655 transcript:rna-LATHSAT_LOCUS16655 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLILFVFLASLLSALTQKVENGLIRVDGVRAIAENDDNFICATIDWWPHDKCDYSYCPWESSSVLNLDLSNPILAKAIQALKPLRIRIGGSLQDQVVYDVGRLKIPCRPFQKMEGGLFGFSKGCLHMKRWNQLNHFFNNTGAVVTFGLNALFGRHKIIQKVWGGNWDPTNAYDFINYTISKGHKIDSWEFGNELSGKGIAASVGAVQYGRDLIKLKQNLNTLYKNTEFKPSLIAPGGFYEKEWFDQLLQVSGPGVVDVMTHHIYNLGPGSDQHLENKILDPAQLSNVESLFSNLSETIQKYGPWSAAWVGEAGGAFNSGGRYVSNTFVNSFWYLDQLGLSSRYNTKVYCRQTLIGGNYGLLNTTTFTPNPDYYSALLWHQLMGKTVLAASSDVFSPFLRTYAHCSKGRDGVTLLLINLSNQTNFILNVHDRANASNGGNDAKSSIQADHSSFNHLKRAFAWVGTKGSDVTFREEYHLTSKNDYLRSKTMLLNGNPLKLTDDGEIPRLDPVLNSVHSPISVAPLSIVFIVYPNFDAPACFRNSKL >CAK8563871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636452917:636454372:-1 gene:gene-LATHSAT_LOCUS17235 transcript:rna-LATHSAT_LOCUS17235 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATRVVLVTFVLLVLFAINCKSSSVGHTPSKEEEARDFQDVKAKTMETANKASETGKEGKEAAETWTGWAKEKLTEGLGFKDKDSTTHKASDYASDTAQKTKDYASETAKKASDQAQDAAEKTKNNAWDASQKIRDYATDAAEKNKHCAGDATENTGCYYTDDAPEDTRDFAGEALHKAKEYASDAAQKAAQKAKEYVTGAAYKSKEYAGDAAEKTKEYASDATEKTKDAAYKTKDYAGDAAYNTAKKTKEYAGDAAEKSKDAAYKTNDYTAEKAKEYASDSAEKTKDAAQKAKDYAGDAAYNAAQKTKEYAGDAAQKTKEYAGDAAQKTKDAAYKTKDYVGDAAYKSKDYAGDATQKAKDYAGDAAEKTKEYANDASYKSKDDASYAAQKTKEASEHANDAAQRTKEASEHATDSAQKNAAASGEYIAEKAKQKSQNLKDKLGGKRGDDEL >CAK8542935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560980233:560983908:1 gene:gene-LATHSAT_LOCUS11732 transcript:rna-LATHSAT_LOCUS11732 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIENNHLQHQNTPEKEKESLRKRVWQESKKMWIVAGPAIFHRFSTFGITVVSQSFIGHIGPTELAAYAIVMTVLVRFANGILLGMASALETICGQAYGAKQYDILGVYLQRSWIVIFLATILLLPIYIFTIPILEALGQDKNVAIVAGSISLWSIGIIFAFSVSFTCQMFLQAQSKNKIIAYLAAVSISIHVFLSWLLTVKFKFGLNGAMTSILLAYWIPILGQLFYIMKKCPDTWKGFSFLAFNDLWSVTKLSLSSGVMVCLEIWYTTILILITGNMKNAEIAIDALSICMNINGWETMVAFGFMAGASVRVSNELGRGSSKGAKFSIVITVLTSFAIGFVLFLIFLFLKERIAYIFTPNPNVANAVGDLSLLLSFSILLNSIQPVLTGVSVGAGWQKVVACVNIGSYYLIGIPTGLVLGYVLHLQVKGVWIGMLFGIFVQTIILIIITFKTDWDKQVEIARNRVNKLSVVENVESNDTPNTSS >CAK8571985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500383711:500388472:1 gene:gene-LATHSAT_LOCUS24594 transcript:rna-LATHSAT_LOCUS24594 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVARSPSFPLRHPTISVLQVSNCNCFSLTESRFSFSLTHNSNKSNKLSLGALPKISNTCFSQSWGFLRKRRICNKDCSVKHASLLFVRLVAGVVMAVSVSLASNSPSWALTEENLLFLEAWRTIDRAYIDKSFNGQSWFRYRENALRNEPMNNREETYMAIRKMLATLEDPFTRFLEPEKFRSLRSGTKGALTGVGISIGYPTKADTPSGGLVVISASPGGPAYRAGVLSGDVILAIDGMSTESLGLYDAADRLQGPDGSSVALTIRSGLDIKHLALTREKVTVNPVKSRLCKLPASGDNSPTVGYIKLTSFNQNASRAIKEAIKTFRSNNVNAFVLDLRDNSGGLFPEGIEIAKLWLDKGVIVYICDSRGVRDILDTDGSNALATSEPLAVLVNKGTASASEILAGALKDNKRAILYGEPTYGKGKIQSVFELSDGSGLVVTVARYETPAHTDINKVGVIPDHPLPTSFPKDEDAFCNCLQDPASSCNDNKVQLFSK >CAK8570230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30387779:30390301:-1 gene:gene-LATHSAT_LOCUS23000 transcript:rna-LATHSAT_LOCUS23000 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLVKLNPVDSRMGENLQSSNLDDKRIRLERRWRRREIMVDSLKHSRVASATKTALKIRGNTDVLIDKNEPTIHDEADEEWETFLATYTSDDGDEMDEDYSSFLTTYNSDIEADCGSNHCGGSNIGSDETDAEYRFFLAAYHPDVENDSAGNQSGGSNIGSDEVDADYISFLATYDPDVENGNAGNQSGGSNVDVNIGNNNVSEEIEEGYNGFSNQFASDCINDGVDVDEDDCLLRKSFSVGQNSPVSDQEFDTPEVQCVVDEDYEQFLNSGWVVDGDFVYGCDKNTNNPSNVDDGSNSSDSDLIVLESYPNCENTPFVSSKAYDSSCFGEEMHPKDNMQTTSFHRSQFQKRLMEYVDRPYDYEEYKSLILEAYERKEKERHLETRRGVIKSYHAVGFTKSYLDLFPDLAKAIALESKEKPRVLFLLRGLFFWLENASHEGQFQPWRDESCLEIMRKM >CAK8536016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898573036:898580145:1 gene:gene-LATHSAT_LOCUS5420 transcript:rna-LATHSAT_LOCUS5420 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSESPLESKKSNSKTPKKPKETVLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESISELPIVEITIEEIIRSKFNSMIGLVDRERIDAALYDDFETVKAREKRLAKEARAQEIQAKNASLGKKVKDTPASKGIKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKKQNYTSFCRLDIDIHKNIPHIHLHEKRENGQHWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFQFKFVSDAPDKNVTVRFARRTDVMPPIPLETKHHPSSVDLLLIKRLITETSKQNLLQFLQHEFVNISKPHAERLIGEMGPDFSSKMAVKSLTSQQLVRIHQLFRQAKFDDPSGHCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGISIGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWNNYKINQVQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKSALQQCCVQLKSKIVKRLQAREQQERKRNLSRYIPDASGAIYNVLKQMAPSNPSKKIRYGDDLELLSKVSDNLVTKETFSEKLAKHVEQVDYEMALEYATQSGVSEEPKETIYIQSLEAENKTIDLHTPLFVFRVFQ >CAK8562532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506569794:506571053:1 gene:gene-LATHSAT_LOCUS16022 transcript:rna-LATHSAT_LOCUS16022 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKRKRGCSIGFPILKGTLFVLLLLLLSASWFKRNHLTILLMGPTVTISEVIQDPPEKTFYDDPQLSYSIEKRIKQWDKKRSDWLHLHPSFAASRDRILIVTGSQSTPCKNNIGDHLLLRCFKNKVDYCRIQNCEVYYSNVLLHPKMGSYWSKIPSIRSAMMAHPEVEWIWWLDADAVITDMEFKIPLERYKDHNLVVHGWSNMVYDESENKSWTGLNAGSLLIRNCQWSMDLLHVWSQMGPLSSNYETWGRILTSIFKDKLFPVSDDQSSLIYLLSRQRRKWGVKTYLEEGYDLEGYWIASLGKFERLKDKYDEMEDGARVLRRRHSEKVSVWYGEMRERYLGGKERRPFVTHFTGCQPCSGDHNPSYEGDVCWKEMERALNFGDNQVLRNYGFVRKDLMESSVYEVPFGYPRVEE >CAK8573878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643907094:643908772:-1 gene:gene-LATHSAT_LOCUS26278 transcript:rna-LATHSAT_LOCUS26278 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVHIERNIHQYNGIHQYNGSNYFINSASSMEENNSIMRGVYTSDSNIWNNGYLHHNYNLHNFSQPPWRGWVGLMATDCLDCQHLQAIIEEGDPRYVAMILFEIKDNLHEMMKHQYSNYLIQKIFEAKKGVTNIQIDSIIYLIISDTQKLCDVCNNNHRTRVVQIMLENIKCPLTKYAVVYTIKPIIVDLMTNINGGYVIIQCVKVLPPTLKKVIMDELTKYCVDIATHKIGCSIVQTCLKDGGILANDLITTIISNAMLLAENRYGNYVVQFIIKMNFPLVNKRMIAELSGKFVTLSMDKHGSNVVEDLLKCSDQDDVNAIVRELMRSTNFLKVIQDPYGNYVAKRAIKCTKGYLRRKLSSLILSYRNELQNHPHGKIVFDNAKSKKR >CAK8561061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84085173:84087013:-1 gene:gene-LATHSAT_LOCUS14685 transcript:rna-LATHSAT_LOCUS14685 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIAPSNHSPQEDAESLRKAFEGWGTDERTVITILGHRNSHQIQQIRRAYEEIYQEDLIKRLESELKGDFEKAVYRWILEPADRDAVLAHVALKSGKNYNVVVEIAAILSPEELFNVRRAYIKRYKHSLEEDLATHTSGHLRQLLVGLVTAFRYVGDDVNARLAQSEADILHEAVKEKKGSQEEAVRILTTRSKAQLIATFNRYRETHGTSITKKLLDEGSDDFQKALYTTIRSFNDHVKYYEKVVRDAVKKLGTDEDALTRVIVSRAQHDLKAISDVYHKRNSVPFEHVVAKETSGDYKKLLLTLLGQED >CAK8540922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32133452:32137168:-1 gene:gene-LATHSAT_LOCUS9879 transcript:rna-LATHSAT_LOCUS9879 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAVQVLNRYGTDFVAEGRGSDSECLAGFECFLNIVRALGMGSCISEVGAGGSPPLLTYSQELNVGDGGKRKRIRGSSTMDYKVPGRMFLNGSSDVASMYCKQGRKGVNQDAMLVWENFCSKEDTIFCGVFDGHGPYGHRVAKKVRDSFPLKLGAQWDLHLKNENGFSNQNGAATSYNSEEQIRQVGENFDHELDGSNTILALRESFLKASKIMDKELKLHRDIDCFCSGTTAVTLIKQGLNLVIANVGDSRAVLGTRDHEDSLIAVQLTIDLKPNLPKEEERIKHRKGRVFSLKNEPDVARVWLPNSDFPGLAMARAFGDFCLKDVGLISVPDVSYHRLTDKDEFVVLATDGIWDVLSNEEVVEIVASAPQSTAARILVESAVHAWRTKFPFCKVDDCAAVCLFLNSDSESKADDATDKSMPDATTDPVDQSSLPSENGIGAEAEKLQ >CAK8574836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11050256:11051787:-1 gene:gene-LATHSAT_LOCUS27138 transcript:rna-LATHSAT_LOCUS27138 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHRLFNRERTLHQILGAGQVADLILWRRKNQTVMILLVTLAAFVVFERSGYTLLSLVSSVLLLLVVILFLWAKSAAILNRPAPPLPQLHLSDEITNEMAAFIQTRVNNLFSVSHDIALGKDSRLFLKVAAYLWLISVVGGFTDFLTLAYTSLFILLTLPALYERYEDYIDRFILKCYTKLCQLYRKINEEYISRVQYWILEKKKLS >CAK8578855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658685596:658693732:-1 gene:gene-LATHSAT_LOCUS30837 transcript:rna-LATHSAT_LOCUS30837 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLTELNFLAPQITPKGRRSLTTFSNYKYNVTFRTRIRAVKEESALIEERASDVKWSRNGAATSVVNGKNGSVRGYVNGSENGSLVKYVNGNGVAAEVVEDFVETSKRKEVGRKKRLEEIGKEDAWFKRNGEAQVEVAVAPGGRWSRFKTYSTIQRTLEIWGFVITFIFKSWLNRQKFSYKGGMTEEKKTLRRKTLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAMAIVEEELGAPIAGIFDQFDYEPIAAASLGQVHRAKLRGQEVVIKVQRPGLKGLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKNMDYVKVPSIYWDYTTPQILTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLEAFYGVYEKSPDKVLEAMIQMGVLVPTGDMTAVRRTAQFFLTSFEERLAAQRREKELEAAEVGFKKPLSKEEKVMKKKERLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVIVKDLRKRWDRQSQAFYNLFRQADRVEKLASVIERLEQGDLKLRVRALESERAFQRVAAVQKTILNAVAAGSLINLATILSLNSIRVPATIAYFFCAIFGFQILFGIVKIKKLDERERLITGTA >CAK8567246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497768069:497768503:-1 gene:gene-LATHSAT_LOCUS20315 transcript:rna-LATHSAT_LOCUS20315 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVQEPVAAISDPSHINVKLFNRWNFDDVQLTDVSLSIYIGVAATQNLRTAERYFVKRFRKFQCLVIVRLTNSRMMLGRNNGKNLKVVGVIKHAMETILFLTD >CAK8533401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613061381:613061698:1 gene:gene-LATHSAT_LOCUS3028 transcript:rna-LATHSAT_LOCUS3028 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGTLSLLFLILLIQLHLSKTISLKIGGCSSEDCLINDDLESEFLMSSHVARMLLDQSQTQTGRTANNNGAAVKCGTIKAYRTCLPSQNGGGPNNRCGTYNRVC >CAK8567559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525296951:525298801:1 gene:gene-LATHSAT_LOCUS20601 transcript:rna-LATHSAT_LOCUS20601 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESWFRNLWRAPRKHDANSEEVIGVLAFEIASLMSKLVNLWQSLSDKHVARLREEITNSIGIRKLVSDDDHFIERLICMEIVENMAHVAESVARLSKKCNNPILKGFESTFYGFITMGTDPYGWEFTSKKMERKIKKMEKFISTNASLYQEMEVLTELEQTLTRVKPNGESDGVTLSEYQKKVAWKRHEVKSLRDISLWNRTYDYTIHLLARSLFTIFCKINHVFGIQEMVDDGGTKNSSVLDSESIYRSQSVSALFQSSFDPSHNQIARFSSGPLHNITARSGPIVRTNKTSISHSGPMVRTNKTSVSHSGPLGDSSTKSGPILGKNTNVNFYSGPLGRNANHSVPLSRTKKMSKIWNFYKHSTAITGKETHARHSRMTQVGPFKGCMAWDSSSVIDCHSSASGVHNGIQNPRDANSNLLGHGKVVHHTQSVFKSMCKLLNPPPETLGAAALALHYANVIIVIEKLAASPHLIGLDARDDMYNMLPRRVRVALKAKLKPYTKTMASSSVYDTSLAGEWNEAMSSILEWLAPLAHNMIRWQTERSFEQQSFVSRTNVLLVQTLYFANQEKTEEIITELLVGLNYVCKYGREVNTKSLAECGSFRVGNEYLNLNI >CAK8575871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:344231833:344234390:-1 gene:gene-LATHSAT_LOCUS28098 transcript:rna-LATHSAT_LOCUS28098 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDEDMKAMFRCHMMFGQLPTIEVYVRLQHNPETLQTQETQSHWYRMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEFRMQDIFGDSGDEDNEDEDIVVPSTQPIRAQPVSLYNPPTHMQNIDFEDDDTTSVFGSAIQNHIGDEIAVGMEFENKEACVLALQHWHITHCVDYWVYQSDNERYVIQYKKKDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYRYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSGKRIFHRLFWAFRLCIRGFAYCKPIVQVDGTWLYDKYRGTLLTVVAQDGNANIFPVAFALVEGYALTEASFNYYHGEIRRSNTEALNWIDNIPREKWARAFDREQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSIDLRNRQCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKMGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVVGPSNRPNR >CAK8539329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509566796:509570169:1 gene:gene-LATHSAT_LOCUS8438 transcript:rna-LATHSAT_LOCUS8438 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTTSGNVCSAPMKSTSNGVFQGDNPLDYALPLAILQICIVLLVTRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNKSYLHAVFPPKSLPVLDTLANLGLIFFLFLAGIELDPKSLRKTGGRVLAIAVAGISLPFALGVGSSFVLRATVAKGVNTSAFLVFMGVALSITAFPVLARILAELKLLTTSVGRMAMSAAAINDVAAWILLALAVALSGSSQSPFVPLWVFLCGCVFVICSVLIALPIFKWMAKQCHEGEPVDELYICATLAAVLAAGFVTDAIGIHAMFGAFVFGILVPKDGPFAGALVEKIEDLVSGLLLPLYFVSSGLKTNIATIQGLQSWGLLVFVTFTACFGKIVGTIIASLLCKVPFNEALVLGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALITTFMTTPLVMAAYKRKARKSDYKYRTIERKNAESQLRILACFHGSRNIPSVINLIETSRGIKKHDALCVYAMHLKEFSERSSSILMVQKARENGLPFWNDGHHGDSVHVTVAFEAYHKLSQVRVRPMVAISSMANIHEDICATADRKRAAVIILPFHKQLSLEGSLDIIRNDFRYVNKRVLERAPCSVGIFVDRGLGGSCHVSASNVSYCITVLFFGGGDDREALAYGARMAEHPGIRLVVIRFLVEPNIVGEITRVDVGESSSTNSNSVDQEFLEEFKLKTASDDSVTYEEKIVKDAAETVATIREVNYCNLFLVGIRPASELACALERSECPELGPVGGLLASQDFPTTASVLVMQQYHNGMPTNFGPEMEEHSPDGGTESA >CAK8577096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536871078:536872975:-1 gene:gene-LATHSAT_LOCUS29232 transcript:rna-LATHSAT_LOCUS29232 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSVNSLFHTLFHTLFLTLFLFSDQTKAEFFNSSSNETSFENSNTAGTCNIFNGKWVYDASYPLYDPSTCPFIDPQFNCQKHGRKDKLYQKYRWKPFSCNLQRFNGLNFAKGHKGKKIMFVGDSLSLNQFNSLACMIHASVPNSKATFYQRDAISSVTFEEFGLKLFLFRTAYLVDLDHDKAGRVLKLDSIKNGEAWRGMDVLIFNTWHWWTHTGNAQPWDYIQENNKLFKDMNRFVAFYKGLTTWARWVEENVNPSKTKVFFLGISPVHYQGKDWNEPSMSCMSEKEPYFGLKYPGGTPMAWVVVNKVLRRMSKPVYFLDVTTLSQYRKDAHPEGYSGVMATDCSHWCLPGLPDTWNELLNAALSH >CAK8566837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466422271:466424799:1 gene:gene-LATHSAT_LOCUS19942 transcript:rna-LATHSAT_LOCUS19942 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNHILATTNEEGEGQPIRCKAAVARKPGEPLVIEEIIVAPPMPHEVRIRILCTSLCHSDFTFWKMQESPGIFPRILGHEAAGVVESVGKDVTEVTKGDVVIPIFLPDCGECKDCKSTKSNRCTNFPFTVSPWMPRHESTRFTDLNGEIIYHFMFVSSFSEYTVVDIANVTKIDSQIPPDRACLLSCGVSTGVGAAWRTAGVEPGSTVAIFGLGSVGLAVAEGARLCGATRIIGVDVIPEKFEIGKKFGLTDFVHGEESGNKPVSQVIIEMTDGGADYCFECVGMTSLVHEAYASCRKGWGKTIVLGVDKPEARLSFSSSEVLHDGKSLMGSLYGGLKPKSHVPILLKRYMDKELQLDEFVTHEVEFKDINKAFDLLSKGQCLRCVIWMEK >CAK8562302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469080075:469085489:-1 gene:gene-LATHSAT_LOCUS15809 transcript:rna-LATHSAT_LOCUS15809 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIGVRLQNSSISDATRKTLVSFSTSSGFGGGGDGRGGGRGRGGSFSGPPQFNFSEKAPGNPNPNSSESKSDTTESPIPPGSGRGHGRGGTVPPPTGFPSFSSFMSSIQQPSVGRGRGFGPLPPQSENDKQPEPDPVPKKPTFFRREGNVSQTETTESLSPKKLSFTRTEDVKPIDLSGDNESDSIFSMSLSGLLPGTGRGKPVEQTGREAPQVTVENRHIRSRRTPGDAASENVPKRQPMLSQDGGNGSGSGRGREPRERGGFVRGRGRGRGRGRGVGRGGFRGAGADDRMGQIQDSARSNAAGLYTGDNADGEKLAKKVGPEIMNQLTEEFEEIVSRVLPSPLEDEYVEALDINCAIEFEPEYIMEFDSNPDIDEKEPIPLRDALEKMKPFLMKYEGIKSQEEWEEIMEETMERVPLLKKIVDHYSGPDRVTAKKQTEELERVAKTLPASAPSSVKDFTNRAVISLQSNPGWGFDKKCQFMDKLVFEVSQHHK >CAK8579349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693273740:693274378:-1 gene:gene-LATHSAT_LOCUS31301 transcript:rna-LATHSAT_LOCUS31301 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPIIAPTAPTARPKRPRPPSGRTNLASCVVATIFLIFIIIVILIVYYTVFKPQDPKIAVNAVQLPSFSVINGTVNFTFAQYASVRNPNRAAFSHYDSSLQILYYGSQVGFMFIPAGQIDAGRTQYMAATFSVQSFPLSAPPNMGPTLANGDGVGFNFGLRVQPTMEIESKLEMAGRVRVLHFFSHEVKVRTGCRVAIAVSDGSVLGFHC >CAK8538644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490591618:490593083:1 gene:gene-LATHSAT_LOCUS7823 transcript:rna-LATHSAT_LOCUS7823 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNRVVKNSAKTSVPQFGGWDQKSTGATDYSMVFTQARANKKQQKTDLTEVKRGSIGNEVDLAKTNHGHAHPAQGHRGHSHPHHGRHAHPVHAQPAHALPVHAQPAHAHPVHAQPAHAQPAHAQPHAQVCTPAQEDSVVMGRRRFLTYINCCIRP >CAK8540524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9843620:9846921:-1 gene:gene-LATHSAT_LOCUS9523 transcript:rna-LATHSAT_LOCUS9523 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLPQSSSSEGKEDDDSSLSEFLSSLIDYTPTIPDELVEHFLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQAQIPKDKRDRQQKDRRLVMTMEDLSKALREYGVNIRHQEYYADSPTTGIDPATREE >CAK8532299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:242730879:242731949:-1 gene:gene-LATHSAT_LOCUS2023 transcript:rna-LATHSAT_LOCUS2023 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVWAMKAIIVIVVTSILFRGVCGANHTVGGASGWDLNSNMHEWSSTTTFNVGDDLVFSYTPLHDVVEVNHQGYDTCTIVNAIGTYNTGETVIHLTSEGTRYFVCGRLGHCQQGLKLEVRIPIKSNNNGTDDNQNQPGGARRNPSPPRFPPRNPPPPHKFSTSPSDAPPDAPVEEPCDCSSAGEGRWVVPLVILGLSLSSLSLPICIS >CAK8568893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652084199:652084612:-1 gene:gene-LATHSAT_LOCUS21802 transcript:rna-LATHSAT_LOCUS21802 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKKKTFQIKAKVLDVKSLVFFSDELTNIHREAFTIKYGKILDPLLLAPTPEEFRRILESYKKRKGPYRGMRQFPKPKDIVEVLNLSVADLAHNIKTWGEVQEIPRE >CAK8577345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556288905:556303790:1 gene:gene-LATHSAT_LOCUS29459 transcript:rna-LATHSAT_LOCUS29459 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVEAVGGIESCFVSLPLPLIQTLQSTSSSSLPPILALELRSSTHPPHSWFVAWSGATSSSPSSIQVSQQFSDCVSLPIHSPVQVKVASNVPHASSVSIEPDTEDDWEILELNSEQAEAQILNQVRIVHEGMRFPLRLNGHTVITFHVASVFPKNAVVQLMPGTEVDVAPKTRKRNSDSPGDSHVGFNSKEHTAKMLLRLQEPNDLCRTSTHVKGVELYVGLTPVAFVHPETAKRFSFNMLQLVSIVPRVSKENVKNSRTKSNVMKAKGSSAVNQVENGDTDKKEHRQAIVHLLISESVAKGHVMLAKSLRLYLRASLHSWVYLKACDVILEKNIPSISLSPCRFKLLRQESTVVKDGLDDFHGHRNHIDEKLHGKASSGVTLDTLNWSIHNEVVAALFDESSNKADEEVANRSQNQKGLQNLVRLWYIAQLEAITSITGVEVNSLVIGSKTLLHFELSCYKIGSDEKLQLTSSENIGKAIEMLFLLTFGEEDLHHGKLNAYEVSLGGKLNNINIEDLKLFERVKLSDPVSLRFMEDRASEDHISSNVSFLGWMEKAASDVINRMLVLLSSACGLWFGSCNLPLPGHVLIYGPPGSGKTILAMNVAKSLENREDILAHIIIVSCSKLALEKVPIIRQELANHITEAINHAPSVVIFDDLDSIISTPDSEGSQPSTSVAGLTDFLADIMDEYGEKRRKSCGFGPIAFVATIQSLENMPQSLSSSGRFDFHIKLPAPAASERKAMLKHIIQRRHLQCNDDILLDVAVKCDGYDGYDLEILVDRTVHAAVRRFLPSNAIYEHEGPALLQEDFSQAMHDFLPVSMRDITKSVSDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKTFAQAPLRLRSNILLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILAGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEILNVLSKKLPMASDIDLATVANVTEGFSGADLQALLSDAQLAAVHDILDNINTSRSEKTPVITDALLKLTASNARPSVSEEEKRRLYSIYRQFLDSKRSVAAQSRDAKGKRATLA >CAK8569292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692404238:692408366:-1 gene:gene-LATHSAT_LOCUS22163 transcript:rna-LATHSAT_LOCUS22163 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGEDETTLEFTPTWVVAAVCTIIVAISLAAERLLHYGGKYLKRKDQKPLYEALQKIKEELMLLGFISLLLTVSQNALTKICVPPGVLRHMLPCTLQEKDQKESELPKSHSAFSFPGIARRLLADRLLAEAEAAEQAHPKTSFCSSKSKVPLLSLEALHHLHIFIFVLAVVHVTFSVLTVVFGGARIRQWKRWEDSIKKENYDTSKVLKPKFTQVQNHEFIKGRFSGFGKDSALIGWLQSFFKQFYGSVTKSDYVTLRLGFIMSHCKTNPKFDFHKYMIRALEDDFKIVVGISWYLWLFVVIFLLLNINGWHTYFWIAFVPVILLLSVGTKLEHVITQLAHEVAEKHAAIEGDLVVKPSDGHFWFHRPHIVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAVVTQMGTHYKRAIFNEHVQVGLVGWAEKVKKKKALKAESQGSSHGHEGSSNSAGIQLGSVFQKKASAPGDNASSVPKAEGSN >CAK8569291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692404238:692408366:-1 gene:gene-LATHSAT_LOCUS22163 transcript:rna-LATHSAT_LOCUS22163-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGEDETTLEFTPTWVVAAVCTIIVAISLAAERLLHYGGKYLKRKDQKPLYEALQKIKEELMLLGFISLLLTVSQNALTKICVPPGVLRHMLPCTLQEKDQKESELPKSHSAFSFPGIARRLLADRLLAEAEAAEQAHPKTSFCSSKSKVPLLSLEALHHLHIFIFVLAVVHVTFSVLTVVFGGARIRQWKRWEDSIKKENYDTSKVLKPKFTQVQNHEFIKGRFSGFGKDSALIGWLQSFFKQFYGSVTKSDYVTLRLGFIMSHCKTNPKFDFHKYMIRALEDDFKIVVGISWYLWLFVVIFLLLNINGWHTYFWIAFVPVILLLSVGTKLEHVITQLAHEVAEKHAAIEGDLVVKPSDGHFWFHRPHIVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAVVTQMGTHYKRAIFNEHVQVGLVGWAEKVKKKKALKAESQGSSHGHEGSSNSAGIQLGSVFQKKASASEDNASSVPKAEGSSNSAGIQLGAVFQKKASAPGDNASSVPKAEGSN >CAK8572834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566573994:566574257:-1 gene:gene-LATHSAT_LOCUS25352 transcript:rna-LATHSAT_LOCUS25352 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRKGIINIIDLSNDYYIVAFTHEEDKHEALVDGSWFIYDHYLNVKHLSPDFHLKSDTIKNVSMWIRIVGLPIEYYDPRVLHFIGN >CAK8567193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493608165:493611381:-1 gene:gene-LATHSAT_LOCUS20264 transcript:rna-LATHSAT_LOCUS20264 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRHGGYHDHKFRYSESDFGISRSGFTTNNFNEEYDAVRNGKGRRRNLRDRIITREREISNGSYRSSSSRSDSSSGGGLSGRLGPRRCDFSVNTMDREPGELSSESGSDVEAEPLVKRHKVVMGKEFDYDVESESLLKHHEVGLGKENGTQSPLQRKRKFSPIVWDQDDHKVTNLSKLKVVTTVTAVSPPPPFPRAVNESPHVPYNGVEVPPPENPVLPTAMDPYMVSESVQNAESESPIGLNSLLSEQKLVNGNIEQPEVEDYISTRNISSSRWASGDGSSGDEGEIIDEKEILKKRRLSLEVGMRVRNKMLRPEESKIEGFERSRAKSSESEERGSTGRYSGEDDYLGIEAGKDSYMEIDGRVCKSNASGSHKNTDSEREDDCRESMEPPSPPQRVVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPFIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTSLVVTLWYRAPELLLGTKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLNKIFRILGTPNETIWPGFSKLPLVKANYVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEDALNHEWFHEVPLPKSKEFMPTFPARHDKERKMRRIMKSPHPLEKHQKDLQLGESGTGSIFG >CAK8576962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528197237:528200428:1 gene:gene-LATHSAT_LOCUS29115 transcript:rna-LATHSAT_LOCUS29115 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVGSIVWVRRRNGSWWPGQILGTDDLSTSHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKVESSHEMPLKKREKYARREDAILHALELERQLVKKQAKVAIASDRTNCKSSSSVKRGMAVLPGEVNGNGTEKHLNLISYVPCENEVAGDRFPLEVEVARDMEVDLCDVTSRTRGLQGFGSKIPPLKGSLSSPVDPRVSQDLSVDKGALALASDGICTRSALQTNGARHISHREKRSKNFCCPAESSDSLDYIERPDLAEMPSPKHGGEFPYRSSLVGETESTFMDVEFGSSETDSTVSDSVSSETEPDMDAKMTMFTETGRDFEEHESISSEELDELAVTSDMPHLYPRELTSCHEDMSKWQLKGKRNNRNLVKRSYGPPEGKGIICEAEVDFEDGRPNLSHKRMGSSLHCQKNGFSDALYDDGQMLGSEDEYSPTLRAVSKVPNRTHDGANWNDLAWDEHLASKEYCNTKGSTPTHSDGYHVSGRRRRSVLINVDVKVQAGYRKEPVPIISLMSKLDGKAIIGHPIQVEVLKDGSSDSLFSAIDDFSNDGIGLEESSVLRQGWRTARRTANFRVPRSHILSSNGTEVAVEFPSFDQEQSFDYKKLNPGSSSHKASLQKKSGNKKFYKKAPKKSSLSSNQKIRTLSSLSIEHRLRNPLHDISSYQTDRLIKPEISGPTTVACIPVQLVFSRLLEKINRPPLKAALLNTGMERNS >CAK8574263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672830840:672831672:1 gene:gene-LATHSAT_LOCUS26623 transcript:rna-LATHSAT_LOCUS26623 gene_biotype:protein_coding transcript_biotype:protein_coding MQASFLHELVVGTPIIYDQFQKPNNRYLLDSASHQCQYPSKHQSICRASSNQNKRSRKADSNSEISGRLRTNITETIKRKLSLGARILQMGGVEKVFMKYFSLSESERLLKVCHCHLSTTSGPLAGLLFISTEKVSFCSERSIKVFNQKGQMCRIRYKVSIPVKKIKCVRQSENVEKAREKYINIVTVDNYDFWLMGVSKYHKTYKYLEQTISQA >CAK8575331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:81466186:81471800:1 gene:gene-LATHSAT_LOCUS27602 transcript:rna-LATHSAT_LOCUS27602-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPINLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPIIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGVGSAFPSAATFPHYAIQQGIPYNVYGYSSYSPDYSYPTSYYGVYGGATAQYPVYGSGPTGGMMTGGAAAAAAYYPYLYGEGTGGATTGGYTSGQGYGVNYPPHVFQYSPIASTGGYAQHYGTPISLAPSPAALPSVCFAVPQA >CAK8575330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:81466186:81471835:1 gene:gene-LATHSAT_LOCUS27602 transcript:rna-LATHSAT_LOCUS27602 gene_biotype:protein_coding transcript_biotype:protein_coding MTPINLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPIIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGVGSAFPSAATFPHYAIQQGIPYNVYGYSSYSPDYSYPTSYYGVYGGATAQYPVYGSGPTGGMMTGGAAAAAAYYPYLYGEGTGGATTGGYTSGQGYGVNYPPHVFQYSPIASTGGYAQHYGTPISLAPSPAALPSGVTMALQAPIPHR >CAK8536162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913560698:913563223:1 gene:gene-LATHSAT_LOCUS5556 transcript:rna-LATHSAT_LOCUS5556 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWRKLGLIICALNLLPLVCFCATFAPADNYLIDCGSTSTTSVGNRNFTSDTSSKKLLTTQQEILASTSANSVSSKDDESPLYKTARVFTGSSKYTFPINQKGRHWIRFYFFPFIYDRYNLNAAKFVVSTQTIVLISGLTMQKNPFMKEYSINVTTDTLEITFRPLDSSVAFVNAIEVVSVPDDLIADDAFNLNPLTSYSGLGTQALETVWRVNMGGPVVTSGEDPLQRTWVSDQKFLLTSDLANDVSNIPGVKYADGGATQITAPPSVYGTAAEMNTNTSTGDTNLNFNVTWRFDVEPGFQYLVRTHFCDIVSKALNLLYFNVYIDSMAAAKGLDLSSKGNNVLGVPYFMDLIAPVADSNKLYVSIGASTSDNPNAILNGLEIMKMNNSIGSLSANAAAGAGGDTSGSSSSHVGLIAGVSVGVVSAVVLAGVCCILCRKRRRLARQRQSKTWIPLSVNDTHTMGSKYSNGTTISAASNFEYRVPFVEVKEGTNNFDESWVIGVGGFGKVYKGELRDGRKVAVKRGNPLSQQGIAEFRTEIEMLSQFRHRHLVSLIGYCDENNEMILIYEYMENGTLKSHLYGSGLPSLSWKQRLEICIGSARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREKVNLAEWAMKFLKKGQLQQIIDTALAGKIKPESLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAEVQGDPEENSTNMIGELSPQVNNFHQDANVSSSASAVQFESSTVDDLSGVSMSRVFSQLVKSEGR >CAK8541942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439738636:439739473:1 gene:gene-LATHSAT_LOCUS10828 transcript:rna-LATHSAT_LOCUS10828 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGASLGVILTSVVVFEQRRYIFASISDSTSHSQVREPIFGKKSRSEFAHSWNKTVDQTFGPLIKSLSSRGW >CAK8572023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502561994:502563283:-1 gene:gene-LATHSAT_LOCUS24632 transcript:rna-LATHSAT_LOCUS24632 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKIFMDYMNDDMDEELVRLFMEEEAPSSRRPRRQRRNIERNREEGHERLFKDYFSETPIYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTAVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFPGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEAVASHDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYRINRTEYNKGYYLSDGIYPEWATFVKSIPMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMHRRFDIRNKQVHRHLQQDLIEHIWQRYGHENNNN >CAK8537623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:410125225:410132153:1 gene:gene-LATHSAT_LOCUS6894 transcript:rna-LATHSAT_LOCUS6894 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDKNALRWVREKDVPFSNSTMRSRKDPINGMMSGGGRGFGLPPPAKFRSGHLPANAFTVSATERFDSGSNTDMDVDASVDSEEEVYGGEYSLDSSPQDSRIPNGAARRNGNGSQMPRSRYVSDYTFSDVSSSRETLAGRQGLKRDPMMRAASNVRQNGFTEDESSDSAASSEFSSTQVGSVNGTLPKSRAYMSAGYASSVPSRMNQQSSAEKNGRLSDDEDVPSAPPFCGSTPEIRPTNEQISTSTARSTPNKAESSTLKSVTRDKFKNHGDAGSEQFVRTATGSEGAASSNPQPPRLPTFHASALGPWQAVIAYDACARLCLHAWAMQCMEAPMFLENECSLLRDAFGLRQVLLQPEEELLVKCNAELTSEGVVPKPKILIGKLKVQVRKVKMGVDPPTGCSMSSIVTDKIKMESVRYQFSILQSKISSGWHALRKVRFVPHLPANGSFTHKSLAYVHASTRYIQQVSGLLKVGVTTLRSNSASYDVVQETFSCSLRLKSLAEEDAIKLHPGSEETHMFFPDSLGDDLIIEVQDSKGKHFGRVLVQVAAITDIQGDKLRWWPIYHEPDHELVGKIQLSINYTTSADDNSHLKCGSVAETVAYDLVLEVAMKVQGFQQRNLVLDGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVYSLLAPVIMKGNSKTSLSHQENRLLGETKEEIEQILSLTFENYKSLDESSLSGIIEVFRPASDRAAPALEPAVKLYKLLHDILSPEAQTAFCHYFQVAAKKRARRHLSDTDEYITQNNDGCLMDHMTITIAYQKMKTLCTNLRNEIYTDIQIHNQNILPSFVDLPNLSASIYSTELCNRLRAFLISCPPTGPSSPVAELLIATSDFQRDLSAWNINPIKGGVDAKELFHLYILVWIQDKRQSLLESCKLDKVKWSGVRTQHSTTPFVDDMYERLKETLTDYEVIICRWPEYVMVLENAIADIEKAIVEALDKQYADVLAPLKESMAPKKFGLKYVQKLAKRSTCAYVVPDEVGILLNSLKRMLDTLRPRIESQFKSWGSCLPNAGNTAPGERLSEVTVMLRAKFRNYLQAIVEKLVENTKLQNATKLKKILQESKETVVESDLKGRMQPLKEQLASTISHIHSVCETHVFISICRGYWDRMGQEILSFLENRKENKSWYKGSRVAVSVLDDTFASQMQQLLGNAIQEKDMEAPRCIMEVRSMLCKDAPNHKDNSFYY >CAK8535579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864367348:864370371:-1 gene:gene-LATHSAT_LOCUS5024 transcript:rna-LATHSAT_LOCUS5024 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVKNSTMVRPAGETPPTAIWNSNVDLVVPNFHTPSVYFYRPNGASNFFDAKIMKEALSKVLVPFYPMAARLRRDDDGRVQLYCDGQGVLFVEADTTASVDDFGDFAPTLRLRELIPAVDYSAGIETYPLLVLQVTYFKCGGVSLGVGMQHHVADGASGLHFINSWSDMARGIDVSISPFIDRTLLHARDPPRPVFDHIEYKPPPAMKTDHPLLQPAKPDSDTTAAVSIFKLTRDQLNILKGKSKEDGNTINYSSYEMLAGHVWRSVSKARSLPNDQETKLYIATDGRSRLQPPLPQGYFGNVIFTTTPIAVAGDLMSKPTWYAASRIHDALLRMDNAYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSKIDGSLSVAIALPPEQMKLFQQFFYDI >CAK8565386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:157343946:157348657:-1 gene:gene-LATHSAT_LOCUS18606 transcript:rna-LATHSAT_LOCUS18606 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNKSNPKLTRALCYGNVSSEQERSPSVIVIGGGMAGIAAARALQDASIQVVLLESRERLGGRIHTDYSFGFPVDLGASWLHGVSKENPLASVIGRLGLPLYRTSGDNSVLYDHDLESYALFDKDGNQVPQELVTKVGEVFETILLETNKVRSEFNTDMSIQRGLSIVFERKPELRLDGLAHKVLQWYLCRMEGWFAADSDTISLNGWDQEVLLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKVVRRYNGVKVTVENGKTFIADAAIIAVPLGVLKSKRISFEPKLPDWKEAAIAELGVGLENKIILHFENVFWPNVEFLGVVADTSYGCSYFLNLHKAASHPVLVYMPSGRLAKDIEKMSDEAAANFAFMQLKKILPEASSPIQYLVSQWGSDINSLGSYSYDQVGKPHELYERLRVPVDNLFFAGEATSMSYPGSVHGAYSTGMMAAEDCRMRVLERYGELDLFQPVMGEMASLTIPLQISRM >CAK8535936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892239996:892241101:1 gene:gene-LATHSAT_LOCUS5350 transcript:rna-LATHSAT_LOCUS5350 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGEDGSKIPLESGEKALFGRGSGFNTDDHTVSRRHVSFQLNDSESGAPRVSFQVIGTNPIWVLKNNDGTLKLFNKFDKGQLELGDRFCLSGKSPFWFKLNKSQVSDTQIDIDQLDLSQIDPVKEFGFLGMGHEFDLYPKGMIQNVENWKWFLEEPSKESEDDDEDDFEGMRKTKGKRKVFKDDEDDEWTGDSEDDKDLVAKTGKGKKPLYSTRSKDKGGAKRKKTSSLDKNVEEEEEDDDDDNDDDETLGGFIVTDEEDGEEEQDSDEDEEEEDEFEEEEDDD >CAK8565151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:49073412:49085669:-1 gene:gene-LATHSAT_LOCUS18386 transcript:rna-LATHSAT_LOCUS18386 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNGVKLLRSLSPKLLRNTDKSLHEGVLQRGYRTLNSGFGNSSKVIGNYYPNVGNGVNLKNWVILGAANTYLGAFRSIHGSAPLARDFYDVLGVNKNASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSMAYEVLKDEVKRQQYDQVGHDAFVNQESNGFGDGGAGGFNPFEQIFRDHDFVKNFFHQNIGGQDVKTFVELSFMEAVRGCTKTITFQTDMLCNTCGGSGVPPGTRPETCKRCKGSGVTSVQAGIFKMETTCGTCKGTGKIVSSFCKSCRGAKVNKGTKSVKLDIMAGIDNNETIKVYRSGGADPDGDHPGDLYVTIKVREDPVFRREGSDIHVDTVLSITQAILGGTIQVPTLTGDVVLKVRPGTQHGQKVVLKKKGIKTKNSYTLGDQYVHFNVNIPINLTERQRELIEEFQKEEQDESSDKGKAASASG >CAK8535269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832187070:832187336:-1 gene:gene-LATHSAT_LOCUS4742 transcript:rna-LATHSAT_LOCUS4742 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHTPFDWHEELNWLITKCKGKGWRKCLLCSSISVTIYEVWKYRNNVVFGNTVNTIEIRDLVIYTLENRGWVNTRMRHHIVNLLID >CAK8539781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524986066:524988241:1 gene:gene-LATHSAT_LOCUS8844 transcript:rna-LATHSAT_LOCUS8844 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINISRSRSRSTSLISLLCIVLALVVSVSLVQAEDDYKFYTWTVTYGTLSPLRTPQQVILINGQFPGPRLDLVTNNNVILNLVNKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGTYTYFPSTKMHKAAGGFGGLNVYRRSVIPIPYPNPDGDFTLLIGDWYKTSHKVLSQSLDSGKSIGFPDGLLINGQVHSTFTGDQGKTYMFRISNVGLSTSINFRIQGHTLKLVEVEGSHTIQNIYDSLDVHVGQSVSVLVTLNQPPKDYYVVASTRFTQTVLSTISVLHYTNSHSSASGPLPAPPANNYDLSMKQARTYRWNLTANAARPNPQGSFHYGMITPNRIINLANSAPLINGKLRYAVNSVSYVNPDTPLKLADYFNIPGIIDNSIQITPSNGPAHIATSVLRTSLHDFIEVVFQNNEKTMQSWHLDGYDFWVVGYGFGQWTEASKSTYNLVDALTRHTAQVYPNSWTAILVSLDNQGMWNLRSAIWERQYLGQQLYLRVWNAQRTAANEYDIPSNALLCGKALGHHS >CAK8572182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517918539:517919348:1 gene:gene-LATHSAT_LOCUS24775 transcript:rna-LATHSAT_LOCUS24775 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLNDLPNEILLHIMSYLKIKDVVKTSVLSTRWRNLWKYLRDLKLDTSEFSKPCFFSECVFEIVSSRGMGNYPLRTLEFNRHGAFRHEIFTRLINHAMSNGLQQLNIAVPSNIGLPYSIFSCHSLTSMYISVSRYDIKKRTRLPKNLDLPGLRSLRLELVGIQADDNGHAEPFSTCTKLTDLNIDECFLVYPGSLSRDGEGIINVTNATLSNLTIKDTLTLKTMKRGPTCKYVIFTPKLVSFTVNGSPFRASSGPERVKVELQSSSMM >CAK8544734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702917571:702919173:-1 gene:gene-LATHSAT_LOCUS13389 transcript:rna-LATHSAT_LOCUS13389 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLIFTVRRFQQELGLPASSTPREVKLLSDIDDQQGLRFNIPFIFIYLREPSMAEKDPVEVLRNALSETLVYYYPLAGRIKEGAGRKLMVDCTGEGVMFIGAEADVTLDQFGDSLHPPFPCLQELLYDVTGSELIIDRPIRLIQVTRFKCGGFIIAINWNHIMGDASGLKQLMNAWAEMARGAHQPSIQPVWHREILMARDPPRITCNHREYEQILPPNNTIKEEDTTTTTIVHQSFCFRPSDIAAIHLLVPFQCTSFELIAASFWYCRTKALQLEPEKDVRIMCLINARSRFNNNHSSFVGYYGNCVAYPAAVTTAGKLCGDSLAYAVKLIRNLKAQVTEEYMKSVADLMVIKERCSYTTVRSCVISDLTRANFEEVNFGWGEGVYGGIAKGGAGSFHGASYIVAHKNAKGEECLILSVCLPLEDMRRFKKELDEIIGNQNQPIMSVHSFVKSTL >CAK8537789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:433770380:433771315:1 gene:gene-LATHSAT_LOCUS7043 transcript:rna-LATHSAT_LOCUS7043 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARVLEYTLKYLLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATAKVGKLEITLPSVSNVQIEPIIVQIDRLDLVFEENSDFKPSETPKTSTSSTPSGASAKGSGYGFADKIADGMTIQIHTANLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNERWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGSSQVGSNRRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLLALLRFMTGIYVCLSRGNVDSQQ >CAK8563025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567107092:567108649:-1 gene:gene-LATHSAT_LOCUS16475 transcript:rna-LATHSAT_LOCUS16475 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLPTLQFNHIIILSSALAYLIIPSHCSNNTCRSYCGNITIDYPFALQYGCGHPGFRDLLFCINNVLMFHIASGSYRVLQIDYAYQALTLHEPHMSTCETLFLGTKGNGFSVEPWRAPYMNPTSDNVFMLISCSPSSPLFQGFPGKHLPCRNVSGMGCEEYYSCPAWDMMGHKKIGSSSFFGSGPPECCAVPYEAIKGINLSKLECEGYSSAYSVAPLKVDGPGDWAYGIRVRYSVQGSDEFCGACQATGGSCGYGSDGIRQVCMCGNFNSTSNCDSVGLLSSGARSMKLKMFAGFLIYLLAWMTASWI >CAK8566166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386886172:386886679:-1 gene:gene-LATHSAT_LOCUS19325 transcript:rna-LATHSAT_LOCUS19325 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQAKQELKMLETLYPNQHNYLKHELRSFIIQHSYSHPIPQYHTSLAFLDTEESTNLEQTKGLKLALPDIEEVKEKKKKGAESSELESPKSVVVKHYPSSRKNKRKDRVDLVLERAQNCLKKIRHFKTSLFSP >CAK8570053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22537173:22539282:-1 gene:gene-LATHSAT_LOCUS22839 transcript:rna-LATHSAT_LOCUS22839 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLKSHRVLFSLRLLLSSTLKPNPILIPSFPFSSSSSSSWLSQPGNPLIHWPSLPSNQPNPAPNPNPISKPDTPTSNPTFSPDDFSLISNLFTNPSISPGSDLHTKLNQTRIKPDSPLLRAVFQHFASSPKLLHSLFLWAENQPGFRPDSSLFDSVVNALAKMREFDSAWTLVLDQIHRDDGEDEKLVSVATFAIMIRRYARAGMHKPAIRTFEFAKDNKSIVDTGSEMSLFGILIDSLCKEGSVREASEYFFRRKERDLGWVPSIRIYNILLNGWFRARKLKHAERLWEEMKKENVRPSVVTYGTLVEGYCRMRRVEKALEMIGEMTKEGIEPNAIVYNPIIDALAEDGRFKEALGMIERFHILKIGPTLSTYNSLVKGFCKAGDLEGASKILKKMISRGFLPIPTTYNYFFRYFSRCGKVDEGMNLYTKMIESGHTPDRLTYHLVLKMLCEEEKLELAVQVSKEMKHKGCDMDLATSTMLTHLLCKMHKLEEAFAEFESMIRRGIVPQYLTFQKLNVELKKQGMTEMARKLCHLMSSVPHSSHLPNTYGEVRDNAHARRKSIIQKAKAVSDLLKDPKKLDKFRSSSEDAVSSANCLIEDIEKKTDVR >CAK8532846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:534281655:534282983:-1 gene:gene-LATHSAT_LOCUS2510 transcript:rna-LATHSAT_LOCUS2510 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGIIQGGGSGSFGLPGSMRVHRHAQMNQHQAHPCQGSAGHSSIREGFPLTMGTLQNCDQKMAMNEFGQGDRSKNSASEEDEIEEGGEGHHQEGSRGKKGSPWQRVKWTDKMVRLLITAVSYIGEDGTSEGGGGGRRKFAVLQKKGKWKSISKVMAERGFRVSPQQCEDKFNDLNKRYKRLNDMLGRGTSCQVVENPALLDIIDYLNEKEKDDVRKILNSKQLFYEEMCSYHNSNRLHLPHDLALQRSLQIALRNRDDHDNDDVRRSYHDDHDEDSHDMETDDHDEFEDNYASHSDSRGIFGGLGGSTKRLRQGQGQEDATTFGNTLNCQEYNKSLYPHGQIVQPDGHQALPENMRAALLQKQWIESRSVQLEEQKLQIQAEMMELEKERFKWQKFSKKKDRELEMFKLENERMKIENERMALELKRKEIVGTSFN >CAK8578941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663092635:663093305:-1 gene:gene-LATHSAT_LOCUS30919 transcript:rna-LATHSAT_LOCUS30919 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSPINPNPYHFPYATKWSAYGMNYEKTLHHCAPGYRTFFDHFEEDDFLWRPYLELEDKDPTESNMWSSTTFIFSFTYVEMHHSDRVKLQFGIKQDIPGPPTCMERYHKSTTNDQWKFDNWRDHNRQERQHWINRRRTVLRGNVMDTECKPSREYMSWYRSVTNLDLSQNRYL >CAK8544666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698303221:698312575:-1 gene:gene-LATHSAT_LOCUS13323 transcript:rna-LATHSAT_LOCUS13323 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQHRKTILDGGWLAARSTEVQFTGTQLTTTRPPTSPISPWIEAVVPGTILATLVKNKIVPDPFYGLQNETIIDIADSGREFYTFWFFTTFRCKLATTNQYCDLNFRGINYSADVYLNGHKTVLPKGMFRRHSIDVTDILHPDGNNLLAVLVHPPDHPGTIPPEGGQGGDHEIGKDVTTQYVEGWDWMTPIRDRNTGIWDEVSISVTGPVKIIDPHLVSSFFDNYERAYLHATAELENRSSWSAECSLTVQVTMELEDNICLVEHLQTQKLSIPAESRVQYTFPELFFYKPDLWWPNGMGKQSLYNVVISIDVKGFGESDSWSHLFGFRKIESHIDDATGGRLFKVNGEPVFIRGSNWILSDGLLRLSKKRYNADIKFHADMNFNMIRCWGGGLTERPEFYHYCDYYGLLVWQEFWITGDVDGRGVPVSNPQGPLDHDLFLFCARDTVKLLRNHPSLVLWVGGNEQTPPDDINAALKIDLRLHPNFESVVENTKSVGDLSPVLRDPSPKDPSQYLDGTRIYIQGSMWDGFADGMGNFTDGPYEIQNPEDFFKDSFYNYGFNPEVGSVGMPVASTIRATMPSEGWQIPVFKKLPDGYVEEVPNPIWEYHKYIPYSKPNKVHDQIQLYGSTVKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKSQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTTSEELSNVAIEASVWDLEGTCPYYKVHENLSVLPKEVASIVEMRYPKSTNPKPVYFLLLKLYNMSDHRIISRNFYWLHLSGGDYKLLEPYREKKIPLKITSKVSVDESTYSIQVRVTNTSKSPPDSKYPTIEYSSISTGSACGTRKENEPGLFKRIHRCFAGKSDGLDVSEINGNDVGVAFFLHLSVHASKTEYKEGEDTRILPVHYSDNYFSLVPGESMPVNISFEVPQGVTPRVSLDSWNYDGRQQTIVEIL >CAK8538735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494519040:494524839:-1 gene:gene-LATHSAT_LOCUS7904 transcript:rna-LATHSAT_LOCUS7904 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAARSPAPSVATPLSRLSTFKNPVSTTASSALDSLASDPIFSAFLSPSFSSTTFSAAALSSGSPASTAEKLHHAIGLLENQLRSEVLSRHDELLSQLSSLHHADHALSTLRSALSSLQSSLRRLRSELSDPHRSIASKTVQLSNLHRTTELLQHSVRALRLSKKLRDLMAVESDKLDLAKAAQFYSEIISLCNEYDLTGIDVVDEELRWVRESGDLLRNEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKVTVEQVINKYKGMGTKSVSVALDMKAITGSSASGFGPGGIRGTGTPQIGGGAKAKEALWQRLGNCMDQLHSLTVAVWHLQRVLSKKRDPFTHVLLLDEVIQEGDPMLTDRVWEAIAKAFASQMKSAFTASSFVKEIFTMGYPKLYSMIENLLERISRDTDVKGVLPAINSAGKEQIVSAVEIFQSAFLGHCLSRLSDLVNSVFPMSSRGSVPSREQISRITTRIQEEIEAVQMDARLTLLVLREIGKILLLFAERAEYQISTGPESRQVNGPATPAQLKNFTLCQHLQDVHSRISTMLKGMPSIAADVLSASLGAVYGVACDSVTPLFQSMLDRLESCILQIHDHNFGMLGMDAAMDNNASPYMEELQKCILHFRSEFLSKLLPSRKTATPGIENICTRLVQSMASRVLVFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGSPYRALRAFRPLVFLETSQLASSPLLQDLPPNVILHHLYTRGPEELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGIKATLDDYATNVRSRRDKEFSPVYPLMIQLGSSLTEKTKASSNS >CAK8579202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683310473:683313357:1 gene:gene-LATHSAT_LOCUS31167 transcript:rna-LATHSAT_LOCUS31167 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNMKEQLALAVRSIQWSYVIFWSQSVNRPGVLSWGEGYYNGDIKTRKTSQGAELSSDEIGLQRSEQLRELFRTLKPVETSPQTKRPTAALSPEDLSDTEWYYLVCMSFVFNIGQGLPGRALANGQPIWLINAYSTDCKVFSRALLAKSASIQTVVCFPFMNGVIELGTTDLVLEDLSLIQQIKTFLLNIQSVDDPINVRATLNSRNNEDVACMAAFDHNDYNVELIPEVGYEIINRTTSPSGSSNALQTNQLRDETFMVESWGVMEDDLSNCVHNSMNSSDCISQTIASAPKGRGEDCNNNDQKMTLVDPLSEDWHYQRILAALSKSNDHLTMGMHFQNFHQESSFCVWNKGGPLDCHRPRQGTSQKLLKKILFEVPRMHMEGLVESQEENDYREGTRLETEEGMNHVLSERRRRAKLNERFLTLRSMVPSNSKDDKVSILDDAIEYLRKLEKRIKEMQGQRDPSDIESRNKRTHHDMMERTSDHYYNNKTNNGKKPMVKKRKICDIDETRRVIYSDGLKGSSTSDLSVKMSDNGVVIEMKCPCRSGRILEIMEAVNNLNIDFNSVQSTEADGSLHLIIKSKFTGSTNATAKRIKQALQKVVASKF >CAK8565869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345608292:345610594:-1 gene:gene-LATHSAT_LOCUS19047 transcript:rna-LATHSAT_LOCUS19047 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTSSLHQSNQRKKNIGTNRHNPKNNDYGTMTNFKYVVKKISGLFTLILSGKSKTSSEAVEHDSARNNNKVRGVSSSTDVSFEGSKSSSKWKSSHPSTPTRISSNQIGVGNFSFEELYKATGKFSQDNKIGEGAFGIVYKGKLYDGTLVAVKCARKDVQKKHLAEFKNEINTLSKIEHLNLVKWHGYLEHGDEKIIVIEYVNNGTLREHLDGMRGNGLEIGERLDVAIDVAHAVTYLHMYTDHPIIHRDIKASNILITDSLRAKVADFGFARLASEDPNATHISTQVKGTAGYLDPDYMRTRQLSEKSDVYSFGVLLVEMMTGRYPVEPKKPLDERVTIKWAMQLLKRGEEVIAMDPRLRRSSASNKAVQKVLKLAFQCLAPVRKSRPSMQNCAEVLWDIRKEFREKVFSRPPPASHHSADFPQKDSRKNRRKTFGIEDDKKYKFVSA >CAK8535439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848383958:848384858:1 gene:gene-LATHSAT_LOCUS4903 transcript:rna-LATHSAT_LOCUS4903 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYREVAEIVLRYLEHRDRLVRLSITSLLPWIAHFLHDRFVINYLTICMNHILSVLKVPQDRDSGFIALGEMALALDGELSHYLLTICTYLREAIAPRRNKPSLEALACVGNIAKAMGSTTEPHIRGLLDVMFSSGLSTVLVETLEQISMSIPSLMPTIQDRLLDSVSMVLSKSPYLGRPVQSIGKEQL >CAK8560609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28430170:28431663:-1 gene:gene-LATHSAT_LOCUS14270 transcript:rna-LATHSAT_LOCUS14270 gene_biotype:protein_coding transcript_biotype:protein_coding MASNISLLLLFSFLTTFLHFIIFTFAQSDNYIIHMDLSAMPKAFSNQHSWYHSILSQVTTTNNYLNSSSSKILYTYTNVMNGFSVNLSPQDHESLQNSPGYISSMPDIPLKLHTTHSPQFLGLNPYKGAWPASEYGKDVIVGLIDTGVWPESESFKDDGMTEIPSKWKGKLSQFDNSNHSSLCNKKLIGARFFNKGFLAKYSNLSTTTVNDSRDTEGHGTHTSSTVAGTKVDGASFFGYANGTARGIASMSRVAMYKTTEGHGFAAPSDMMAAIDAAISDGVDVLSISMGIGEAPFYEDTLAIATFAAIEKGIFVSLSAGNEGPLFQSIQNDAPWVTTVAAGTLDCEFRGILTLGNGVSLTGLSLYPGNFTATNSPIVFMGSCDNITKLNKVKSNIVVCEEKNETLLSTQIYNMVTAKVVAGVFISNIPDINDFDNIIPSIIINRINGKIVHSYIKSPNSKSSTASISFKTTGLGVKPSPMVDSYSSRGRRRVSHMC >CAK8539554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516012430:516020057:1 gene:gene-LATHSAT_LOCUS8637 transcript:rna-LATHSAT_LOCUS8637 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIIDKEPPSDLFPSKHEFPRLVAVLAVASLVAWTSNLLFTSLLYPSTKPFCDTNVNSLDYFPDSCEPCPSNGECNDGKLECLSGYQKHGNLCVEDGDINESARKIVETVERHLCGEYAQFLCYGAGSVWVHDDDLWNYFESVGNVKEGNALYNYTKQRAFDTMDKLLEMRLNSQGMKEFKCPDLLAEHYKPHACRFRQWITQHILVVLPICAMLVGCSILFWNVRRKLRVSRRVEELYSKVCEILEENALTSKSVNGECEPWVVASRLRDHLLLPRERKDPLLWKKVEELVQEDSRVDRYPKLVKGESKVVWEWQVEGSLSASKILTRRDASKTKVNGNIDLNHQQRPTIKAELMEPHF >CAK8541761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:388768665:388769024:-1 gene:gene-LATHSAT_LOCUS10656 transcript:rna-LATHSAT_LOCUS10656 gene_biotype:protein_coding transcript_biotype:protein_coding METVSKISSLLSLSKPKLIHHTNSFLFPASNLKTHSSSNVATRLTKKKTEAALAKEKRRTHSDDCTLEAAGHSTNVSIKSINFSNFVASYCFLFVCIVATNHKLKVANLANLISYVLNE >CAK8570494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:51744363:51745430:1 gene:gene-LATHSAT_LOCUS23238 transcript:rna-LATHSAT_LOCUS23238 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPILVSSALILSLLLLFFFSQQIIFQIYSPLSSSSTSPAAVSSADLFLFHRATHTTNHLSTLTNPKPKIAFLFLTNSNLSFAPLWEKFFAGNNHLFNIYIHADPTSSVASPGGVFHNRFIPSQITQRASPTLISAARRLLASALLDDPLNQYFTLISQHCMPLFSFGFVYNSLFTNQLKLLQSFADYNNFTYPYPSFIEILSDDPNLNDRYNARGENAMLPEIVFEDFRVGSQFFTLNRKHARFVVGEQKLWKKFQIPCINVYSCYPEEHYFPTLLSMEDPNGCTGYTLTRVNWTDCWDGHPHLYTPAEVSPELVRQLRRSNSSYSYFFARKFSPECLGPLMDIADEIIFKD >CAK8540184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543413961:543416576:-1 gene:gene-LATHSAT_LOCUS9214 transcript:rna-LATHSAT_LOCUS9214 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRVFHVREVHFELVVDRIKLSLKKPDIVTATVVHCAALKIGALAYLPASTSLFTLYSKAGDFTSSRALFEDIHNRDVISWNAIISASLENKCYKTAVEFFEKMIKDQTRFDSTTLLLMVSTLFHMKNFDQGKAIHCVSIKSGMLVDISLCNALINMYAKCGDLNSSDSEYLFEEMEYKDVVSWNSIMRGCLYNGDPEKSLCYFRRMIFSQETADHVSLSCAISACSSLGELNFGECIHGQGIKLGYKDSSHVSAANSLISFYSQCEVIDVAESVFREIAYKDIVSWNAMIEGYASNENIHEVFDLLIEMQTTGSFDPDIVTLTTILPLCAELMLSREGRTIHGYAIRRQMLSDHLPLRNGLIDMYSKCNLVEKAELLFNSTKERDLVSWNAMISGYSLNKYFEKAQNLFKELLCCSPNCSSSTVFSILSSCDSPNSLNFGKSVHSWQLKSGFLNHILSVNFLMQMYINCGDLSSGFSILQENSSIADIVSWNTTIVGCVRGDHFQKALETFMLMRQKPHFNYDSITLANVLSAIANMELLNQGKSLHSLAVKSPFGLDTRVQNSLITMYDRCRDINSARKMFKFHSSSNLCTWNCMISALSHNKKSREALELFRCLPFKPDEFTIVSILSACTQIGLLRCGKQVHAYTFRYGYQKNSFISAALVEMYSNCGRLDNAIQVFRHSTKSDSAWNSMIAAYGNHGNGEKAIKLFHEMCESRIKVTKSTFVSLLSACSHSGFVSQGLRYYESMLEKYGIKPEAEHQVYVVDMLGRSGKIDEAYEFTKGLQSNASSGVWGMLLSVCNYHGEIKLGKEVAEHLFKMEPENVGYYISLSNMYVATGSWKDATELRQSIYDQGLRKCAGYSLIDVGLG >CAK8540185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543413961:543414830:-1 gene:gene-LATHSAT_LOCUS9214 transcript:rna-LATHSAT_LOCUS9214-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFHSSSNLCTWNCMISALSHNKKSREALELFRCLPFKPDEFTIVSILSACTQIGLLRCGKQVHAYTFRYGYQKNSFISAALVEMYSNCGRLDNAIQVFRHSTKSDSAWNSMIAAYGNHGNGEKAIKLFHEMCESRIKVTKSTFVSLLSACSHSGFVSQGLRYYESMLEKYGIKPEAEHQVYVVDMLGRSGKIDEAYEFTKGLQSNASSGVWGMLLSVCNYHGEIKLGKEVAEHLFKMEPENVGYYISLSNMYVATGSWKDATELRQSIYDQGLRKCAGYSLIDVGLG >CAK8565490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:241561765:241563362:1 gene:gene-LATHSAT_LOCUS18704 transcript:rna-LATHSAT_LOCUS18704 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNKFIRPELFNIEQGVCTNCQLDCYKLVVHIRPLSLERRREYIEKSAPNIVKRRKMLKKLAKDPSEGNAWHADHIVPVYKGGGECKLENLRTLCVACHHNVTAEQCAERRIIRADVRKQLKALMDTMKNSMKGAAGTNIEKQENMHEDDILVQVPGSAYAVANCQESRDAAC >CAK8572927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571876981:571878791:-1 gene:gene-LATHSAT_LOCUS25431 transcript:rna-LATHSAT_LOCUS25431 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNYVRNYLVGQPGLLSGENGTRNKETCLARDNYGSHGYGLPSDAYDEVQENNGMQPYIPNESIDAKASQQNQVNQETDEAREEDMEIGYDEMPSTPTYEGLEQRFIDEIMKLVRERSDKEDAEISRHKERIVEINEEFQEKMSSLRAHQETRRQEFLHKELKARSNQYQVGKRNHHPNMKIADANGYLCPTTFTAGEATSSSRFHGATEYCKYVGEPTECATTISNGIKTSQSNETRVPLPPGRVYNNNSVHN >CAK8570116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24362445:24386519:1 gene:gene-LATHSAT_LOCUS22897 transcript:rna-LATHSAT_LOCUS22897 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLNRRFFPATTYRHLMFQLRSFHNQLISPPNVNLSNSAAAQSRNRIPFRYFGVINSANILKSVTFVNGFSIPFPVNAVGRSLSFSSAAAPKKNKRKKAVKAAVVRDEPVASSSKPAAEEVENVDLGKSGLSPESKSDKQDSKINAAKKKKKPLPSKKKDATDLSQVVAEEVSGKSSSKGKKTNLKESLSISTSKEVHDDSTSTRSKKKDVNSIHVDPEKPLWKFTGKPLYPPVGKSVVVVESVTKANVIQRYLGDMYKVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSSAWTHLKSINVAMNGAETLILASDPDREGETIAWHIIEMLQQQGALHDNIFLARVVFHEITEKAIKTALQAPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDQFKPKEYWTMEAKFNIKERTLNKDLTFIAHLTSFDSNKLNQFSITSGGEASDIKGKINSAEFRVISIKRYKVRRNPPTPYISSTLQQDAANKLNFSASHTMKLAQKLYEGVELPNGVAVGLITFVRTDGLHISDEAVASIRSLIIERYGQNFVAQSPPKYFKKVKNAQEAHEVIRPTDICKLPSTLVGVLDEESLKLYTLIWSRTVTSQMEPAILEKIQLDIVNVDQSIMLRSPSTRVEFPGHRAVLTDIVTEAGQDKESEGSNHDVAFEVLNSLKTDDLLHLVETEACQHHTQPPPRYSEASLIKKLEELGIGRPSTYASTVKVLQDRNYVTVKNRVLFPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGTTKWKGLLGDYWTRFISYCERTSNVHTHQVEKMLEKKFVDYLFGSLPDKSRSCPSCMEGTLIFRVSRFGSGYFIGCDQHPKCKYIAKTLYADEEEEDTPEPNTMIEEPKLLGFNSGSNEKILLKSGPYGIYVQLGEDRKGYIPKRTSVPHVKDLDSVTLEDALTLLQYPLTLGKHPKDEHPIILKVAKVGYSIRHRHKIAPVPKNMKPSEITLEKALELLSGSDVRQVGRPKGKSKIEEVEAIEVF >CAK8536723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11196497:11198494:1 gene:gene-LATHSAT_LOCUS6057 transcript:rna-LATHSAT_LOCUS6057 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHIKHVHKHKPLSLLFLLLILANCVVSFPSEPQGKRQWHMTSNVEEVAGKSYDYIIVGGGTCGCPLAATLSHNFSVLLIERGGSPYGNPLVIDRRYYGFPLIQKNSHHTTVAQRFTSQDGVDNVRGRVLGGSSAINGGFYSRASKEFVDKVGWDKMLVKEAYEWVESKVVFPPYFLTPWQSVAEFSLLETGILPYNGYSLEHIKGTKISGSVFDGFGQRHTSADLLDAGNPKNLTVLVNATVKSIIFHHNGDKNETSAKGIKFIKSNGNLDETYEAYIKKPKNSTSRGDVILSAGALGSPQLLLLSGIGPKEQLKSFNIPLVHEMKEVGQGMQDNPCIAILVDSKPENRLPDPPQIAGITEDFKIIIEASILPLSINESRVNIAAKIAMPFSKGYLELNNTDPRLNPSVKFNYLENEDDMEECIKMTKLLNRIARSKSIAFFLGETQQNKLASTEFDLRKFCKKNVRTIYHYHGGCSVGSVIDKNYKVHGIKGLRVLDGSTFSESPGTNPMATLLMLGRYQGLKILQERETDSYLNVRENT >CAK8542366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:505517797:505518321:-1 gene:gene-LATHSAT_LOCUS11210 transcript:rna-LATHSAT_LOCUS11210 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRTLLGRRGPTPNFEKGVKGFITWAFAQECCRREGGVRCLCLKCECRPIVSDPEEVERHLKRKCFIKNYWVWTYNGEQLPSNVYVETTNTHTSSNRSHMEFDEYFNLIDDMVGNAFGVNVTYDKPQNFDGKELPNEEAQRFYQLLKEMNTPLFEGSADSKLSIVERNEYTVV >CAK8562675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524516085:524517082:1 gene:gene-LATHSAT_LOCUS16153 transcript:rna-LATHSAT_LOCUS16153 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFKYQISVVCVILLFPVLCNCNKEYYAKSRASYYGTSDGYGNSRGACGFGDYGKIVNDGSVAAVSAKLWKNGGGCGACYQVRCKIAQYCDDNGAYVVVTDYGEGDRTDFILSPRAFSRLGRNAVASQKLKKYGVLDVEYKRVPCTFKGNNIVYQINENSRNPGYFAINILYVGGTYDVNAVKIWQKQQHQWEAMRRSYGAVFEFANPAEGEIRLRFQVSSNAGSSWVRFIVPASWKAGATYSTKIQP >CAK8562866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550705490:550707806:-1 gene:gene-LATHSAT_LOCUS16329 transcript:rna-LATHSAT_LOCUS16329 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWFSTTSSQSSKPSLDELPESCVAAVMQYMDPPQICKLASLNRTFRAASFADFVWESKLPSNYDIILDKIGASFPSQLGKRGIYSRLCSLNTFDDGNTKVWLDKSIGKVCLCISAKGLYITGIDDRRYWNHIPTEESRFSSVAYLQQIWWFEVDGEVEFPFPPGTYSLFFRIHLGKASKRFGRRVCNTEHVHGWDKKPVKFQLWTSDDQHVASHCFLKGTGKWRYYHAGDFVVEDGNVSTKVKFSMTQIDCTHTKGGLCLDSVFVYPSEFRKVKEFLNHS >CAK8536221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:918864612:918867903:1 gene:gene-LATHSAT_LOCUS5611 transcript:rna-LATHSAT_LOCUS5611 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQLKVSVALIISLLWFPQSFCANEPSDTGLKKWECRCSFQANQRYSIANCSKSCDCHSESASIWTCICDTNGLPEVATDSHNLNCFIACNCISGTAKMSLGSKTRISSKIVIIILVMSITCTTIAFLALFVCYIRRRKRPHPIESPMNSSSDKGTSYSNTSNFISRKTSFVPETKGVMNSPISHITRCFRKASILLGSQRETFHGNIVQFSFAELENATENFSASNLIGLGGSSYVYRGRLKNGSNVAVKRLKVQGGPEADTEFFTEIELLSRLHHCHLVPLIGYCSELKGKSVQRLLVFDYMSNGNLRDRLDGVLGKNMDWSTRVTIALGAARGLEYLHEAAAPRILHRDVKSTNILLDKNWQAKITDLGLAKDLRSDDLRSCSDSSERMKGTFGYFAPEYAIVGRASIESDVFSFGVVLLELITGRQPILRSAGKEESLVVWATPRIRDSRRVMTELADPQLKGNFPEDEVHIMANLAKECLLLDPDNRPTMSEVVLILSSISRTRSRRRRYIQLCLFQEPEEAEKTRQASWRRFPPHNSLPRGLDYNLRVENEDKNVDTISTEYMKSLILSTSKGESWHASEEDMVDLSEPRLESFCMTNINFP >CAK8531510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117701334:117701837:1 gene:gene-LATHSAT_LOCUS1297 transcript:rna-LATHSAT_LOCUS1297 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTHRVPLQLPREMESWISRSGLTSLQRTSLTKIDTNLVSAFAERWHLETSSFHMLFGEMTITLDDVSCMLHLPIRGVF >CAK8542647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535023725:535024234:1 gene:gene-LATHSAT_LOCUS11469 transcript:rna-LATHSAT_LOCUS11469 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSILTIIFLSYLASSNAAVDVNAICQKAKNPSFCTNLLTPKAGGDLDSVAQYTLDVARTDVSNTVSLLQTLIGKSGVDPKLQTHYKNCLEYFDEEQALGNILEAIQLLKALDYNGVNIRMSAVMTNVDDCLSDQSPPDTSDLPKSADTVYQVSQISLIITNLLLHK >CAK8565968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:362620943:362622265:-1 gene:gene-LATHSAT_LOCUS19141 transcript:rna-LATHSAT_LOCUS19141 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDEENLPSQSQLSSHFFCDLLDSIIVDVASECHRIAKLGLDANMDEEDEELKLSAQARARLADPSNSNEANGKYVVDLFGQTHPTVANEIFECMNCNRSIVAGKFAPHLEKCMGKGRKARLKVTRSSSGSGAQNRYSRGSSARTYSNQSSNNSSVNRSANGNPSLAGDEHSNGSSQL >CAK8537257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:182286070:182286444:1 gene:gene-LATHSAT_LOCUS6559 transcript:rna-LATHSAT_LOCUS6559 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKTQFLIFICYIIFFCFSYSFSATWNNEVLALLSIKSGLLDPLNTLQDWKLDSPHCNWTRIKCNSAGTVENLDLSHKNLNGMVSNDIQRLQYLTSLIYPMTSNNANLIHPCLSIDKVQINLC >CAK8533987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679386500:679391628:-1 gene:gene-LATHSAT_LOCUS3567 transcript:rna-LATHSAT_LOCUS3567 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHYRENSFMYKRTPTKDNSNMEEDVEENSDLLDNCMGKETSNPSWKRSLPHVLVATIASFLFGYHLGVVNEPLESISLDLGFHGNTLAEGLVVSICLGGALFGCLLSGWIADGVGRRRAFQLCALPMIIGASMSAATNNLFGMLVGRLIVGTGLGLGPAVAALYVTEVSPAFVRGTYGAFIQIATCFGILGALFIGIPVKEISGWWRVCFWVSTIPAAILALAMVFCAESPHWLYKQGRTAEAEVEFERLLGVSEAKFAISQLSKVDRGDDTDNVKFSELLHGHHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDVANVCIGIANLTGSIISTVLMDKLGRKVLLFWSFFGMAISMIIQATGASSLLLNTGALYLSVGGMLMFVFTFALGAGPVPGLLLTEIFPSRIRAKAMAFCMSVHWVCNFLVGLLFLRLLEKLGPQLLYSMFATFCMMAVIFVKRNVVETKGKSLQEIEIALLPQD >CAK8561823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389606194:389606673:1 gene:gene-LATHSAT_LOCUS15377 transcript:rna-LATHSAT_LOCUS15377 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQVKHIEDGVNPISVGEIFFSGKYLSAGIRIGLISGMIALTEAVAIGRTFAAMKDYSLDGNREMVALGTMNIIGSLTSCYVATGIKKRTNSMNHVLVSIQNLVNYMAGCRTAVSKIVMSIVLLLRRY >CAK8577228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545921197:545922434:-1 gene:gene-LATHSAT_LOCUS29354 transcript:rna-LATHSAT_LOCUS29354 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLEFVSCCATPTILERETSVPEDEGRWLVPAPVVSPTVSASSSSSSQRRYRKKHKKSGSADWRPSLGPISEDVVVQPKGTVASAGKEVKKKTAARGAGKVYHRNYSDGYHGSMIMPAFSATPFMF >CAK8533658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646601216:646609095:1 gene:gene-LATHSAT_LOCUS3267 transcript:rna-LATHSAT_LOCUS3267 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALSSIIIAFLISLISLGLILLGRSKKREAPIAKGAWPILGHLPIFNGTQSPHRVLGALADNYGPIFTIKLGSKHALIVNNWEMAKACFTTNDMALSSRPQLVATQHLAYKGAMFGLAPYGPYWRNLRKIVTLEVLTNRRVEQQQHIRVSEVRTSIKELFDVWSSKRTESDSSNYVLVDMKQWFTQLTFNMVLRMVVGKRYFGARTNVDEEEAQRSVKALKKMMHLFGVITVGDVIPCLKLFDFGGHVKAMNETSKELDEVLVEWLKERRHERSLEEKVDGEDKDIMDVLLSLLDGKTMEGFDSDTIIKATIMALFAGGTDTSSITLVWAVCLLLKNPLAMKKAKEELDTHIGKERYVNESDISKLSYIQAIVKETLRLYPAAPLSGPHEFSMDCSVGGYHVKKGTRLITNLWKIHTDPNVWPNPLEFKPERFLTTHKDVDVKGKHFELLPFGSGRRMCPGISFGLQMVHYSLASFLHSFDIFSPTTSEHVDMTEAVGITNTKATPLEVLVKPCLSFNCYEIM >CAK8533659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646601471:646609095:1 gene:gene-LATHSAT_LOCUS3267 transcript:rna-LATHSAT_LOCUS3267-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACFTTNDMALSSRPQLVATQHLAYKGAMFGLAPYGPYWRNLRKIVTLEVLTNRRVEQQQHIRVSEVRTSIKELFDVWSSKRTESDSSNYVLVDMKQWFTQLTFNMVLRMVVGKRYFGARTNVDEEEAQRSVKALKKMMHLFGVITVGDVIPCLKLFDFGGHVKAMNETSKELDEVLVEWLKERRHERSLEEKVDGEDKDIMDVLLSLLDGKTMEGFDSDTIIKATIMALFAGGTDTSSITLVWAVCLLLKNPLAMKKAKEELDTHIGKERYVNESDISKLSYIQAIVKETLRLYPAAPLSGPHEFSMDCSVGGYHVKKGTRLITNLWKIHTDPNVWPNPLEFKPERFLTTHKDVDVKGKHFELLPFGSGRRMCPGISFGLQMVHYSLASFLHSFDIFSPTTSEHVDMTEAVGITNTKATPLEVLVKPCLSFNCYEIM >CAK8543028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567883284:567886624:-1 gene:gene-LATHSAT_LOCUS11815 transcript:rna-LATHSAT_LOCUS11815 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKGIKDSMEMVEKEDSKKMNHRRRGGIRTLPFIFANEACDRFASAGFHSNLISYLTQELNMPLVAASNTLTNFGGTSSFTPLLGALLADSFIGRFWTITIGCLIYELGLISITISTTLPYFRPPPCPTQLNCQEPTSLQLSPLYISLLLTSLGSGGIRPCVVPFSADQVDMSKDGVASRKWNLFNWYFIIMGFASLSALTIVVYIQDNMGWSLGLGIPTIAMLISIILFVLGSPIYKNVKPEGSPLVRLAQVIVAAVKKRNETLPDDPQLLYQNRELDAAISLEGDLLHSNQYKWLDKAAIVTDVDAKDSNAPPNLWNLATVHRVEELKSIIRMLPIWASGILLITSSSHLGSFVIQQARSMDRHLTPSFQIAPANMSIFSVLTMMTGIILYERLFVPFARRFTKNPAGITCLQRMGVGFVINIIATIVSALVEIKRKKVAAEYNLLDDPKAIIPISVFWLVPQYCLHGVAEVFMSVGHLEFLFDQSPESMRSSATALYCITTAVGNYMGTLLVSLVHKYTGKERNWLPDRNLNRGRLDYYYFLISGIQVINLIYYIICAWFYTYKPLGEICEREKEEYLEQESINASDENLKDGKGEDKRGFTKDE >CAK8569473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2253588:2255018:-1 gene:gene-LATHSAT_LOCUS22321 transcript:rna-LATHSAT_LOCUS22321 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSLMFLMFFCVLIVTVNTTKDIFILAGQSNMAGRGGVFNGKWDGIVPVECKPSPSVLRLSSNLKWEEAREPLHEDIDVEKTCGVGPGLAFANEIVRMRGGGDGGCGGGGCVVGLVPCAVGGTRIEEWRKGGRLYNELVKRAIESVRDGDGVIRALVWYQGESDTVREEDAEKYKIRMENFIQNFRLDLQLPTLLVIQVALASGEGNFLKKVRHAQLSIKLHNVTCVDAKGLRLKEDNLHLTTMSEVHLGIRLARTYLAVINHHLLY >CAK8533463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620507729:620510274:1 gene:gene-LATHSAT_LOCUS3086 transcript:rna-LATHSAT_LOCUS3086 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHCSVSLPSSFPKLSNFNTTNKLFSFNSLNPNSIHSSSSSFSTIKSSVSSSSSPSSPTSLEETAMSIDNLRRFFNLNIGKWNGSFYQFDARGKLLQRVSTKLSVSSYGEDELMSLIQSLYIKQPSSNTSVSGDNDDVDWAEYKIKETNMFTVDKYQQIGFFPSEKAFALRYQTVGMLETVLRLGVLGEDDTGEESPRNLKIPSRRPSIVCENCLYSLQRDMRARAFHILEPKGTVDMLIIFLEERSEGSHPQLDSAGDTTNRITPFLGKWKGHSITKRSGVYGSTISEADTVVIHEMDDNGQLIQDVTSTSHPANVTTNVPWTGIVSDNLVTFDGGYQMIVLPGGMYMGSPCDVSKSVEQSKAFHLEFCWLETPDKRQRLVRTFDVEGLAVSSTYFYETKL >CAK8570874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:142514522:142516336:-1 gene:gene-LATHSAT_LOCUS23586 transcript:rna-LATHSAT_LOCUS23586 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDFDLLALVSLFLFIPVALNIWWNLKRKGSSPNLPPGPWKLPVIGHIHHIVTSTPHRKLSDLAKIHGPLMQLQLGEIFAVVVSSPDYAKEVLKTHDIVFASRPKIVAAEILSYGSTNIAFSPYGNYWRKLRKICTMELLTQKRVSSFQPLREEVLTNLVKRIDSQQGSPINITQLVASSTFAIIGRAAFGNECKVQDELASLGNGEAVAGGFDIAELFPSAKWLQLVSGLRPKLERLHRQIDELLEKVIIEHKEAKSKAKQGQGEAEEDLVNVLLNFQGGNEIDQDICLTDDNIKAIILDIFGAGGDTSASTIVWAMSELIRDPRVMKKAQHEVREICKMRGNVGENCIYELEYLKSIVKETLRLHPPAPLLLPRECGQACEIDGYHIPIKTKVIVNAWAIGRDPKYWFEPERFYPERFIGSSIDYKGSNFEYIPFGAGRRMCPGITFGLINVELTLALLLYHFDWKLPNGMKGEDLDMTEQFGVTIKRKDDLYMIPTAPIPSVV >CAK8571613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:448996840:449000606:1 gene:gene-LATHSAT_LOCUS24256 transcript:rna-LATHSAT_LOCUS24256 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKTMFCSSPLFTPRIHPRNTLPSSSYTSVLMLREQVAPTVTSWCTSFSARNFPTSVLLQDQCNEYRPLLHISKKDKTCQATLNTRQMDMVSVQEESDTDNDVQVAHDFRKRLHLLPRLENILTSSRAGEADASSTLQNADSLQCNAVSLTRKAFPSSKQAASIAEDLSLIKADEDADNSISSGLASASLAEPSLGRNKTIRSTRHRERRAKERKVRKSKVKVSETYLTGKDAAQEKLHVQKKINKGLNQKDPLNLFLQSPESKQLLTFEQESQLVVHIQELLRLEELKTSLQSQFGRELTMAEWAEGAGLNIRKLKAQLRHGNRSREKLIQANLRIVHYVAKGFHGRGLSHEDLLQEGSTGLIKSVKKFKPLSGSRFSTYAYFWIKQAIKKAIYHHSRIIRLPPRVYHTFTKVMEAKKLYTEEGNVNPSKEELARRVGISAGKVDLLLFVARSPISMQKTVGADTDTTFQEIIADTAIESTDMSVSKQLMRRHVHNILKKLRPNERRVIRLRFGFEDGERKSFSEIGEILGFSRERARQVESLAHYKLKKRLVGQELDAYAKLLI >CAK8543431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607640077:607644394:1 gene:gene-LATHSAT_LOCUS12188 transcript:rna-LATHSAT_LOCUS12188 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLLLRNSSSSSSKLLSPLLFSSQFHTSLTRSPQSQSPLTNDNTTSSPSSSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITRVLADEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALNGTNEEIGSKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGIIKVGDEVEILGLMQGGPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDIQRGQVIAKPGSVKTSKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELILPVPLETGQRFALREGGRTVGAGVVSKVLS >CAK8577370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557779951:557784776:-1 gene:gene-LATHSAT_LOCUS29484 transcript:rna-LATHSAT_LOCUS29484 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNQRSLIYAFVSRGSVLLSEYTEFSGNFNSIAFQCLQKLPASNNKFTYNCDGHTFNYLVDNGYTYCVVADESVGRQVPVAFLERVKDDFVVKYGGGKASTAAPNSLNKEFGPKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHHQAQDFRNSGTKIRRKMWLQNMKIKLIVLAILIALILVIVLPIVLRNK >CAK8536193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916066099:916070162:1 gene:gene-LATHSAT_LOCUS5585 transcript:rna-LATHSAT_LOCUS5585 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKVAVAAASSKTASEKAVDSVANGIGDIQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPEHMDIYHLSREIEASDMSALEAVISCDEERLRLEKEAEILGAQDDGGGEALERVYERLEAMDASTAEKRAAEILFGLGFNKQMQAKKTCDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEENLKKFDRILVVVSHSQDFLNGVCTNIIHMQSKKLKFYTGNYDQYVQTRAELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKILVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTFLKLITGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALQFMIKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCADQTVTRWEGDIMDFKQHLKAKAGLSD >CAK8569166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678280866:678288739:-1 gene:gene-LATHSAT_LOCUS22050 transcript:rna-LATHSAT_LOCUS22050 gene_biotype:protein_coding transcript_biotype:protein_coding MGATHQVNKPHKSRFSSKSSRNIHKTSVKDRLAIVKSSRNVGKGARATRLQKNKMIREQKKAAVLKEKRELSGSKCPPRVIVLFALCDTVDLEPVAVDLLSLLSSDSSAGLSEPVASSEYRTRVTVLKAPHGDLQSCLEMAKVADLLVFVASVTARSLCEETDSYFIDSFGKHCLSIFKSLGLPSTAVFVRDLPTELKQRNELKKVCTSSLAYEFPEDCKFYPADTKDDLHKFLSLFKEQRLKTPHWRTQRPYLTTDKVDKINDDSSDKCTLVLTGYLRARNLSVNQLVHVSGAGDFQLGKIEVLKDRFALNSKKKQDLMDSDENEEVIRSLVPDPEIQESLVVENTPDLIAGEQTWPTEAEMAQADEDRKQKKLRKRNLPAGTSEYQAAWFDNVSDEEQSDCDNEDEDGMMLDEENGFPGQEGNKYVDLDGDGASLRFGDSDDETDNDSVMMEVDNMTTEKIQDELKELKEAHAADEEYPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPQDYAKIFEFDNFKRTQKHVLAKALELEDESREDNIPVGSYARLHIKEVPRNVVSKLCSLAQTTPVTTCGLLKHESKVSVLHFSVKKHETYDAPIKSKEELIFHVGFRQFVGRPTFSSEFINTDKNKMERFLHAGRFSIASIYAPISFPPLPTIVLKTVGDGAAPVVAAVGSLKTVNPDRIILKRLILTGYPQRVSKRKASVRHMFYNPEDVKWFKPVEIYTKRGLRGRIKEPVGTHGAMKCLLNGVLSQSDTVCLNLFKRSYPKWPTRYFPLLD >CAK8543889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:647489080:647490835:-1 gene:gene-LATHSAT_LOCUS12613 transcript:rna-LATHSAT_LOCUS12613 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSIVISKNGKQFEGKVTPFVLITCLVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPNVYKQMKNETTSTNQYCKFDNELLTLFTSSLYLAALVASFFASITTRKLGRIVSMFAGGLFFLVGALLNGFAANIEMLIIGRMLLGFGVGYCNQSVPVYLSEMAPAKLRGALNIGFSMMITIGILGANLINYGTSKLKNGWRISLGIGAVPAILLCIGSFFLGDTPNSMIERGQKEGAKKMLQKIRGIDNVDEEFQDLINASEEAKKVEHPWRNFVQQNYRPQLIFCSFIPFFQQLTGINVIMFYAPVLFKTLGFENDASLMSAVISGSVNVVATFISIFTVDKFGRRALFLEGGIQMLICQIAVGTLIAMKLGVSGEGSFTKSEANLLLFFICAYVAAFAWSWGALGWLVPSEICSLEVRSAGQATNVVVNMLFTFIIAQIFLSLLCVLKFGLFFFFAGFVLIMSIFIAFLLPETNNVPIEEMNMLWKSHWFWKRFVRDVVPKLERKDSN >CAK8530163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3892995:3896072:1 gene:gene-LATHSAT_LOCUS52 transcript:rna-LATHSAT_LOCUS52 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVMQQGRSLAETPTYSVASVVTVMVFVCFLVERSIYRFGKWLKKTRRKALFASLEKIKEELMLLGLISLLLSQSARWISEICVNSSLFSSRFYTCAEHDVGVIENVMIKNSSSFSDETSIPKGLNRVALHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSVLAVGLAMSKIYSWCRWENQATMAIIDGNLPGKKNKVMRRQITFVFHHTSHPWSRNPILIWMLCFLRQFRSSIQKSDYLALRLGFITEHKLPLSYDFHKYMVRSMEDEFHGILGISWPLWIYTIVCIFVNIHGLNIYFWFSFIPAILVMVIGTKLQHVVSTLALEIRQHQGPSASTQVKPRDGLFWFNKPDILLWLIQFVIFQNAFEMASFIWTLWGFKEQSCFMRHHYMIIIRLTSGILVQFWCSYMTVPLNIIVSQMGSRCKKALVTESVRESLHSWCKRVKQKSKHDHSLRSHTARSICSLESTIDERDEITVVSGTLTRTTSLDLESLNQMTVTSVDQLNFMTSNNLHDSTEFSKSRHINSHYNDVGDKDETKVETLLDLFHKT >CAK8577362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557209101:557210335:-1 gene:gene-LATHSAT_LOCUS29476 transcript:rna-LATHSAT_LOCUS29476 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVTKQKLITILFILITLFTSQALADCESQSTDSCNNKEKALSLKIIAIFSILVTSMIGVCLPLLSRSVKALSPDGSLFVIVKCFAAGIILGTGFMHVLPDSFDMLWSDCLDEKPWHKFPFSGFAAMLSAVVTMMVDSLATSYYSQKGKKGVIIPAEGEGGDQEMGAVHAGHHHHYQTKTESEESQLLRYRVVAMVLELGIVVHSIVIGLAMGSSNNTCSIKGLVAALCFHQMFEGMGLGGCILQAEYKFVKKAIMVFFFSVTTPLGIAIGIAMSSNYKENSPKALITVGLLNGSSAGLLIYMALVDLLAADFMSKKMQGSIKLQLKSYVAVLLGAGGMSLMAKWA >CAK8577468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566754332:566759791:1 gene:gene-LATHSAT_LOCUS29574 transcript:rna-LATHSAT_LOCUS29574 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVQPQNAMSGPNGAAAAAAAAAAAAGGNQFVTTSLYVGDLDVNVTDSQLYDLFNQLGQVVSVRVCRDLTTRRSLGYGYVNYSNPQDAARALDVLNFTPLNNRPIRIMYSHRDPSIRKSGQGNIFIKNLDKAIDHKALHDTFSTFGSILSCKVAVDGSGQSKGYGFVQFDSEEAAQKAIEKLNGMLLNDKQVYVGPFLRKQERETTTDRAKFNNVFVKNLSETTTDDELKQIFGEFGTITSAVVMRDGDGKSKCFGFVNFESTDDAARAVEALNGKKIDDKEWYVGKAQKKSEREHELKQKFEQSMKEAADKYQGANLYVKNLDDSIVDEKLKELFSSFGTITSCKVMRDPNGISRGSGFVAFSTPEEASRALLEMNGKMVVSKPLYVTLAQRKEDRRARLQAQFAQMRPVAMPPSVAPRMPMYPPGGPGMGQQIFYGQGPPAIIPSQAGFGYQQQLVPGMRPGGGPVPNFFVPMVQQGQQGQRPGGRRGGAVQQSQQPVPLMPQQMLPRGRVYRYPSGRGMPDGPMPGVAGGLYSVPYDVGGMPLRDASLPQQIPIGALASHLANASPEQQRTMLGENLYPLVEQLEPDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVAQQQAGGAADQLSSLSLNDSSLVS >CAK8576809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516201629:516205624:1 gene:gene-LATHSAT_LOCUS28975 transcript:rna-LATHSAT_LOCUS28975 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPPAKPLTLQEWETLMENFQSGTGIEKWNSLDPSLTDHLLSSLLRKDFPLQLKFQLLVFLDEFSISIFHSENLNRLIEALKTVIQSPPDAVHISPLFKEQFMISVTSVIVCFSEEDSVQTVIESLVELLLTLINRPTFGSDRHTRAIACECLRELERSKPCLLSDVVGHLWSFCQNERTHASQSYILLFTTVIHNIVDKKLSISILNTSLPLLPFNTPQCLNREDFGLDSGPGLNTKELRRALAFLLEWPQVLTPCGMMEFVSLVISVVVALELQPSMLKVQLFGMIHSYDPLLCHVVLAMFMRFLDAFDGQEGEVSSRLLLISRESHHYLVFRLLAIHWLLGFNQLVFSKQSHTEKKIETGNEACSTFYPSLFDPLALKALKLDLLASCSVSRQKSDSDYKSSSHDGDDGLVNPVKVFEQGLLSVSSFKWLPPGSTEIAVAFRTFHKFLITGSSHSDSDPSTTRNMLDSMIFRTLQVMLVDMTLESRRLVPVVAAFVDRLLSCKKHSWLGERLLQKFDEHLLPKVKMDYKLVYCFPIFDRIAENLTIPPRGLIALLTNFMIFLVEKHGPDTVMKSWSQGSRALGICRTMLVHHHSSRLFLRLSRLFAFTCLFFPDLEVRDNSRTYLRMLVCIPGKKLRDILSLGGTMLGISPSSHQTTFFNVQSPRPSQRFKTFKNLTSCIHFERLTPLLVKQFWSLSLSSLVVSNSKPAYLEGIRDLDPPKEENEFSDSSNSQFIPETGSTNQPNEPLRVMDSKIAEILNTLRKYFSCIPDFRYMAGLKVSISCSLRFESNTFNRMLGINNTATAQEEIDALPALYATVLRFSSSAPYGSIPSSHIPFLLGEPYSKDHASQNGPLSIVPVGNESREEEKYRATVVVDLEPREPTPGIVDVHIETNTENGQIIQAQLQGITIGIEDMFLKAIVPSDIEENARPQYNFDLFTALWEACGSSSSTGRETFQLKGGKGIAAISGTQSVKLLDVPANSLIQATERHLARFVVGVSGEPLIDAVWEGGIIQNVIWEDASPDATAVVNHDAVPLRLTYNNEEYEKGVIINSRQSNLGCFLVLIFLPPRFHLLFQMEVGNVSTLVRIRTDHWPSLAYIDDYLEALYLSS >CAK8578373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624964659:624965570:-1 gene:gene-LATHSAT_LOCUS30390 transcript:rna-LATHSAT_LOCUS30390 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRISSATCNSNVFKFSGFFHKALPRRLKYGKQNCRNLSIGTSTTSLIHPPTCTAFASPADVPQRSDEWFALRKDKLTTSTFSTALGFWKGTRRAELWHEKVFASESQIIQASQRNAMAWGMLNETVAVENYKKITGRDVSSMGFAVHSKKSYDWLGASPDGVLGTGILEVKCPYNKGKPETGLPWSTMPFYYMPQVQGQMEIMDFEWVDLYCWTPNGSTIFRVVRERAYWDIIHGILREFWWENVIPAREALLLGCEEQVESYKPASTHKLTGLAIAKSIKLASESKLICREIAGDVEFYT >CAK8530243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9092653:9093618:-1 gene:gene-LATHSAT_LOCUS125 transcript:rna-LATHSAT_LOCUS125 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFPLRAVWIMAALFLLANTVTVVNCIPNRELNPMLNTLRSRGYRLFRNAILTSDIRFDLLSFDDANSNVTHSFTFFAPTDFSLFALDMTQSASSYTDTLRYHIIPRRISLRQLRLLPNGYTLPTLLHTRHLRVTRHFESSVTFIGGVEVSFPGIFYSRHVVVHGLTGILNLRSHNNHTSPAQILSPMHSPGHRHFSSRTTPHSSKNQTVLDPVPVQNSDSFKFTDRQESSHPVNAPVLEPAQTPEIGIVHVHSTVNFSNAPSVTPVFPQEDSASAISVPPEGYSDAEALAPVVQESSRVSLLEMEGAIEMSVKSEALD >CAK8572215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521628629:521629660:-1 gene:gene-LATHSAT_LOCUS24805 transcript:rna-LATHSAT_LOCUS24805 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLWRAARATIIPAWERAMNHMKELNVNAWKDMIYVPAACWTQSHFKIDTQYDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYITVKIFAQKEKLSRYTGVTSPNIQKVLEKTKRAAKGWIATWHADDDFAIFGVSNGVETYVVNLLQQKCGCRKWDLSGIPCFHAIACIWYNKKEPEEYVSSFYRKSIVLATYNHIIMPTNGPQLWPINVANLISLPVMRRSIGRPKKNRDKVNDEPRIRNILPRTLQSVKCKKCGSFAHNKQTCKGKRDAERAIPEGGNKKPKKKGDKPGKEAGQTVIDGGSQAPPPTQE >CAK8561431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:205133305:205159804:1 gene:gene-LATHSAT_LOCUS15024 transcript:rna-LATHSAT_LOCUS15024 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSSKFHGHNIAAFSSRRSAIFLVLSAFLLSIATFLFLRPFQGNRCLNSNPRSVKIVWEHSTAAGTHDLPNRHKVMGFVGIQTGFGSVRRRESLRKTWFPSDQQGLQRLEESTGLAFRFVIGRTSDNSKMSALKNEVVEYDDFILLDIQEEYSKLPYKTLAFFKAAYALFEAEFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPLSHLLGKEYFFHAYGPIYALSSDVVSSLVVLRNDSFRMFSNEDVTIGAWMLAMNVKHENNLELCATDCTATSIAVWDIPKCSGLCNPEKKMLELHQKESCSQSPTLESDDD >CAK8537297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:210143703:210145220:-1 gene:gene-LATHSAT_LOCUS6596 transcript:rna-LATHSAT_LOCUS6596 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDKDMIMDMDVVPDFLHFSNYTHSTTTPTSEDDDLNNTWSPLLDLDFFTANQDHFHDFIDSLTNHEITTVDPNLINPIAQDEEEEKSSCIGNGSNSNSITDTDTDDRKGLRLVHLLMAAAEALTGTNKSHHLAQVILIRLKDLVSSTHGTNMERLATYFTDALQTLLNGADSSHNSTLHKLCSLTGPHQTDLLSAFLLLQDMSPYVKFAHFTANQAILEAVTHERRVHIVDYDIMEGAQWASLIQSLSSRKDGIPGPHLRITALSRNKERGSGRRSVATVQETGKRLTAFAASVGQPFTFHQCRLESDETFRASSLKLVRGEALVFNCVMNLPHLSYRASDSVASFLNGAKKLRSKLVTLVEEEVGPINDAGFVGLFMDSLHRYSAMYDSLEAGFPMNKWARALVDQVFMGPRIMGSVTQLYMSGEEEGQEKGSWGEWLHAEGFRGVSVSYCNHCQAKLLLGLFNDGYRVEELGTNKLVLGWKSRRLLSASTWTCIAESAFEF >CAK8567613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528842159:528845607:1 gene:gene-LATHSAT_LOCUS20650 transcript:rna-LATHSAT_LOCUS20650 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPPQIQRFLLTKLHKRSTTSSKSNILHKNTIRSRTINIFGAITNNRRSRCYSAIAIDAPSSLTDSPPIRWGSVSLQGPREEMEDDIVLRPNSLQGFSFAAVFDGHGGFASVQFLRDELYKECYEALQGGLLLLGKDFKAVEEAIKQAFAKADTSLLKWLEMKGGEEDESGATATAIFLGDNKLLISHIGDSSVVLCRSGKPELLTSPHRPYGSNKASLQEIKRIREAGGWIVNGRICGDIAVSRAFGDIRFKTKKNEMLRKGVKEGRWTEKFISRVQFNDDLVVARPDIYQVALGSDAEFIVLASDGLWDYMSSSDAVSFVREQLRKHGNTQLACESLAQVVLDQRTQDNVSIIIADLGKTDWKNVTLQKQNVIFELVQAVATVGIVSIGIWFSSQLSL >CAK8567976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560438286:560448264:-1 gene:gene-LATHSAT_LOCUS20980 transcript:rna-LATHSAT_LOCUS20980 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWMLSLVMLLLIWLKTTQKSFGVKGAEEVTYDGRSLIINGHRNILFSGSIHYPRSTPQMWGNLIAKAKQGGLDVIQTYVFWNLHEPQPGKYDFSGRYDLVKFIKEIQDQGLYVCLRIGPFIESEWTYGGFPFWLHDVPGIVYRTDNEPFKFYMHNFTTKIVNMLKEEGLYASQGGPIILSQIENEYQNVEKAFGTAGSQYVEWAAKMAVGLNTGVPWVMCKQTDAPDPVINTCNGMRCGETFTGPNSPNKPAMWTENWTSFYQVYGGLPYIRSAEDIAFHVTLFIARNGSFINYYMYHGGTNFGRTASAYTITGYYDQAPLDEYGLFRQPKYGHLKELHAAIKSCSTTLLQGVQRNFSLGELQEGYVFEEENGGCVAFLINNDKGNNVTVQFRNSSYDLLPKSISILPDCQNVAFNTANVSTTSNRRIITPRQNFSSVDEWQQFQDLIPNFDDTLLRSNSLLEQMNTTKDTSDYLWYTLRFVNNLSCSAPILVVHSAAHVAHAFVNNEFIGGVHGNHDVKSFTLELPVILNEGTNNISILSVMVGLPDSGAFLERRFAGLMNVEVQCSEEETLNLNNSRWGYQVGLLGEQLEVYDEQNSRDIKWTQLGDIIIDETTLTWYKTTFDTPKGDEPVVLDLSSMGKGEVWINGQSIGRYWILFHNSKGNPSQSLYHVPRSFLKDSENSLVLLEEGGGNPLGISLNTVSVTDLQEDFSNLSLPTFT >CAK8561950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:417041710:417042132:1 gene:gene-LATHSAT_LOCUS15495 transcript:rna-LATHSAT_LOCUS15495 gene_biotype:protein_coding transcript_biotype:protein_coding METKIPAIEVTATKVLVIENAAEGASASPGFDAGPFVPGAGGEEVFGVGDEGVAGEGAGEEVGEGEGAGEEVVEGEGAGDVLVEGDGAGAELGDNDCVGAGPGACCAMHEVANNPNIKNTLIAAEPMLLLYICFFEREEC >CAK8577628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579148905:579149951:1 gene:gene-LATHSAT_LOCUS29718 transcript:rna-LATHSAT_LOCUS29718 gene_biotype:protein_coding transcript_biotype:protein_coding MRYADFMEAKSQQRLSGADRKKLKRTIRDKFPRASDSDLDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSVEALKAGKREKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRDIESVQGAEGTFDLNSLNANQLPVAGSFPDHSTPNKSSQQTSLNISPSEPQMKENEFYAPKVLDTNILCKTAPHQTNRQHSAQTVPVASRHTSWQYLLWLVQTAGKQHARQFLHMKATDMK >CAK8579035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668355270:668356016:1 gene:gene-LATHSAT_LOCUS31010 transcript:rna-LATHSAT_LOCUS31010 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSLLQPSFLMSKTRSCALILIGSRLFLTAMAIHLSLRVAPLNLQQGGNSRILYVHVPVARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGDFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALCFQKLPVELAPISIRAGLIDIPIVKSSVNWWNTLHQPGSISRSGTLIHVSMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIEKPSLLPSSN >CAK8567921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556901870:556902821:1 gene:gene-LATHSAT_LOCUS20930 transcript:rna-LATHSAT_LOCUS20930 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCVNLCYYTSFPSLSHFSKTLTRKHGHCSFPLIRAAQAGEPGGSSQPQTNKSDASSSSSSTAQSSTAAPKALPKKPVYSMKKGQIVRVDKEKYLSSINYLSVGHPPYYKGLDYIYEDRGEVLDMRIFETGEHALVAWVGVPTAPAWLPTYMLIKSEKLNYERL >CAK8579108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675357322:675359412:1 gene:gene-LATHSAT_LOCUS31076 transcript:rna-LATHSAT_LOCUS31076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSYQLPFLVDSSSYFTNINNSLAAHTLHVHQDNSLSNQETSCVDDQSSKNSLSPESSMVVDNLEKGEQVTQKVSSMEKKRRVRTNKSSSSSPLSKESKEGRSKKQKKTSGEGKKGEEKKFSEEAPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQQLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKIASVNPIFFDFAMDLDMVRPDHERLSSITSPSPSSTPLQSVPLCSSNHATPFADTTLTSFHHAAANNEYLLDYSSPVFHQGQRSNTFFEHSDGPYWELEEQRQKLLNPYGFSNNTCSLN >CAK8576433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475556353:475558531:1 gene:gene-LATHSAT_LOCUS28621 transcript:rna-LATHSAT_LOCUS28621 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLHLPSPSCASLLTNRESYKFCCGLASIQSRKSKAFRVRAVREKTEEIKSPSKTSSPEDVTKKYGLEAGLWKIFSSKEEGDEQQKSKGDQAKELLAKYGGAYLATSITLSLISFALCYALVNAGVDVQTLLQKVGINTDATGEKVGTFALAYAAHKAASPIRFPPTVALTTIVAGWIGKKAEKDK >CAK8562048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:431070798:431071420:-1 gene:gene-LATHSAT_LOCUS15581 transcript:rna-LATHSAT_LOCUS15581 gene_biotype:protein_coding transcript_biotype:protein_coding MWIEPPEVKPVVKLLKQTLVGTGASLVTGVMLFIFATPVENFLHSTFTIEESKSTLQTTKVNRFNLKEKLLKLPAGVKVDDKLADATADVADGRPVYLSYFEVGYQGGNSEASVVNEWWVEGKRRGLWSMRSNYCLLEEKMRTSS >CAK8539918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531157491:531158872:1 gene:gene-LATHSAT_LOCUS8971 transcript:rna-LATHSAT_LOCUS8971 gene_biotype:protein_coding transcript_biotype:protein_coding MASENKILILGPTGAIGRHIVWASIKAGNPTYALVRKTPGNVDKPKLITAANPETKEELLENFQASGVILLEGDINDHETLVKAIKQVDTVICAAGRLLIEDQVKIIKAIKEAGNVKRFFPSEFGLDVDRHDAVDPVRQVFVEKAGIRRVVESEGVPYTYLCCHAFTGYFLRNLAQFDAIDPPRDKVVILGDGNVKGAYVTEADVGTYTIRAANDPNTLNKAVHIRLPNNYLTANEVMALWEKKIGKTLEKTYVSEEQVLKDIKESSFPHNYLLALYHSQQIKGDAVYEIDPAKDIEAYDAYPDVKYTTADEYLNQFV >CAK8563388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599225108:599226846:1 gene:gene-LATHSAT_LOCUS16807 transcript:rna-LATHSAT_LOCUS16807 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLQPPLVDTTACLCRVDTGLRTVAGAKKYVPGTKLCLRPDIKPSIHPTRNKPARGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLVGNFFYSLRKSIRLAEEWIYVIKRDRDGKISWHAFDPVYQQWQPLPPVPKEYSGALGFGCAVLNGCHLYLFGGKDPLKGSMRRVIFYSTRTNKWHRAPDMLRRRHFFGSCVINNCLFVAGGENEGVHRSLRSAEMYDPNKNRWSFISDMSTAMVPFIGVVYDRKWFLKGLGSHRQVLSEVYQPENDSWCPIQNGMVSGWRNPSTTLNGKLYALDCKDGCKLRVYDDATDSWSKHIDSRMHLGSSRALEAAALVPLNGKLCIIRNNMSISLVDVSKLEDLKGSSAEQLWETIAGKGHFKTLVTNLLSSLAGRNRLKTHIVHSQVLQA >CAK8534396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722987105:722987551:1 gene:gene-LATHSAT_LOCUS3946 transcript:rna-LATHSAT_LOCUS3946 gene_biotype:protein_coding transcript_biotype:protein_coding MENHREDEIEDNMSMSPPSVGSMQIAGSNGFGHIMEFMSQAYLHNRYLEIDIPVEDSTFNQDPPLPVYLKFEDVEFKVRNCQVASKNPVKTMVSKVATQNNVEEKKKYKTILKGITGSTNV >CAK8534515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738159250:738182028:1 gene:gene-LATHSAT_LOCUS4058 transcript:rna-LATHSAT_LOCUS4058 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAIIGAGISGLLACKYVVQIGYNPVVFEANDGIGGVWWRTIQSTKLQNPKEAYQFSDFPWDSSVKQECPSSQQVLDYLDSYAQHFSIVPYIRFNSKVIDIDYVGESDEEMKSWELWNGDGTPFGSKGTWHITVQDAKSLSTEVHKAEFLILCIGKYSGLPNIPEFPPGKGPEIFNGKVMHSMDYSSLDNNIASELIKNKSVTVVGSGKSALDIAAECADANGVTHPCTIIHRSAHWFLLDFYVWSINIGYFYFNRFAELLVYKPGENSLLLLLAILLSPLRWGISKLVETYLKWKLPLKEYGLVPNRSFLQEISASQIAMLPEFFFDKVKEGSIVIKKSQNFSFCKEGLIVNDELKPIETDLVILATGYKGDQKLKSIFKSPIFQNYISKSEKSSVLLYRQIIHPRIPQLAIIGYSESISNILSNELRSQWLTHFLDGNIELPSIKEMEKDVKVWEENMKQYAGKYYWRSCIVSCGIWYNDQLCKDMKCNPKRKKNHFAELFEPYGPEDYNSLVSK >CAK8544983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715918216:715919745:-1 gene:gene-LATHSAT_LOCUS13620 transcript:rna-LATHSAT_LOCUS13620 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDEQVQEVQEIQETRPAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPHIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHFTDYKELAPHRIMEIRRFFEDYKKNENKEVAVNDFLPPTTAVEAIQHSMDLYAEYILHTLRR >CAK8533330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603327988:603328281:-1 gene:gene-LATHSAT_LOCUS2968 transcript:rna-LATHSAT_LOCUS2968 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGGGFKTVTSPVPYLYSGIALMLAIATFALIVLACSCHENSSSTTLTNEDKSMKNVEKVVDLEPKIVVIMAGDSNPTYLAKPVSSTCNTEEMV >CAK8540617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12531292:12534385:1 gene:gene-LATHSAT_LOCUS9603 transcript:rna-LATHSAT_LOCUS9603 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTTLTLCQCQYQSFSTSSPRLPGRVTCRASESQPQLSVSTVDKTLCQIKSSGVIACLRANSAEVAMEAANAAIAGGISVLEIVMSTPGVFEVLEKLVKEHPRIALGVGTVLRIEDAKTAINTGAKFLMSPAIVKDILDYVQGGEILYIPGAMTPTEILSAYDAGAKMVKIYPVSALGGFQYISALKKPFPHVSMVASQGITIDSMEEYIIRGASSVVLSDAIFDKEAMSQCNFRKIYKLAQSATLLGNQAVNR >CAK8536860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29151724:29152074:-1 gene:gene-LATHSAT_LOCUS6187 transcript:rna-LATHSAT_LOCUS6187 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPKRGRGRPKSTVPPPPPLESLTSLKTHEIESRTTTPPDTSSKTPEIGARTNKEVTTTLENENKETLTGTTQAQPEERKLWVDIINDNRNPAKGLTVEYVAPKVVNGVIEIDI >CAK8577740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585751654:585762066:-1 gene:gene-LATHSAT_LOCUS29818 transcript:rna-LATHSAT_LOCUS29818 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSVVYQGEVVLGEVDIYPEVNNKKNYEVKEIQITQFSQPSDRCSPLAVLHTITSCGVCFKMESKTQHQNQLFHLHSLCIRENKTAVMPLFGEELHLVAMHSRNDDRPCFWGFIVAKGLYNSSLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIDSLQRKINSEVDPQRISGMQAEIKRYLDDKSILKQYAENDQIVDNGKVIKAQSELVPALSDSHQPLVRPLIRLHEKNIILTRISPQIRDTSVLVRMRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSKELLGRIVCVKSGLKKSLFNVFQDGSCHPKTALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNTVPVLCVARNVACNVRGGFFKDFDDGLLQKIPQIAYEDDIQDIPTAPDVSNYLVSEDDGSAGSAACGNRDPLLFDGMADAEIERKLKDAISAVASVIPMTTAKIDPRLTSSLQYTMVSPGSVPPPAALASIIQLPHAQFPQPATLVKPMGQIAPLESSLHSSPAREEGEVGESELDPDTRRRLLILQHGQDIRDHTSSEPPFPVRHPNPVQVPARVPSRGGWFPVEEEIGSQPPNRVIPKEIPVDSGPSRIEKYRPHQPPFFPKVDGSISSDRALHEGHQRLPKEIYHRDDRTRINHVPPSYHSLSGDDIPFGRSSSSNRDLDSESGHSALHAETPAVVLQEIALKCGTKVEFTSSLAASRELQFSIEAWFSGKKIGQGFGRTRVEAQYKAAEDSIKHLADIYLSRAKDEPGSAYDVSGFLNASDNGYGDNASSFGNHPVPKEESVSFSAASDPSRVLDPRLDVSKRLMGSVSALKELCMMEGLSVNFLLLPAPVSANSGQKDEIHAQVEIDGQVYGKGTGLTWDEAKMQAAEKALVSLRTMQGQSIQRRQSSPRPFQGFSNKRLKQEHPRTLQRFTSSGRYPRNAPPIP >CAK8532122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:209086876:209092376:1 gene:gene-LATHSAT_LOCUS1857 transcript:rna-LATHSAT_LOCUS1857 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEETSALFPIFILTIMAIPIIPYTITKLCRAASKKSKSIHCQCNDCSKSGKYRKSIFKRISSVSTYSNLTLLLLWVVMIILVYYIKNRNTEVEVFDPFSILGLEPGAAESAIKKKYRRLSIQYHPDKNPDPEAHKYFVEHIAKAYQALTDPVARENYEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLLWIVGVCILLPLVVAVVYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFTKAAEYMEIPVRRTDDEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLVQAQLTREFATLSPSLASDFRRILETAPRLLEELMKMAVIPRNAQGQGWLRPAIGVVELSQCVIQAVPLSARKTTGGSPEGIAPFLQLPHFNETVVKKVARKKVRTFQELYDMDSQERAELLTQTAGLSSDEVQDVEIVLDMMPSLTLDITCETEGEEGIQEGDIVTIHAWINVKRGNGLIAALPHAPYYPFHKEENFWFLLADSVSNNVWFFQKVSFMDEGAAVTAASKTIAESKEGSGASPKETSRAVAEAVEKVKGGSRLVMGKFQAPSEGNYNLTCYCLCDSWLGCDRKTNLKFKVLKRTRAGTRGGVLDEGPIMEDGVEEEEDNEDEEYDDDYESEYSEDEEDDQNSKNKNQAANGTGNKKGKAAESSSSDEE >CAK8571698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462204699:462205175:1 gene:gene-LATHSAT_LOCUS24336 transcript:rna-LATHSAT_LOCUS24336 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRKKNSNSYILAPVFIILAFTFPTLLSASSDSYATKDHLFPEFIKWHVYVVNSLSYNQNLITHCKSSEDDLGVNNLSPGSNITWSFRTDFFHSTMFSCYVTKDSASLSFKAFWYDARLFDKCDWKNCIWVAKDDGVYLKNLSRRLDELVYNWNAGM >CAK8543181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585602610:585603240:-1 gene:gene-LATHSAT_LOCUS11956 transcript:rna-LATHSAT_LOCUS11956 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFISSAKVGSRILEDVPSVKKTILDAFSSRFRKFESTMPMLQLFDCPKLSLANREILHEEFSAEEIFEEFHGTKVLPKALLSSCIALVPKNINPQGLEEYRPISLVGCIYKVISKILAARLGKVISELVSRSQTTFIPSRQILDGVLEINEIIDLATRRKRSCRSIFGRVVFMEYV >CAK8561337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:156780119:156780804:-1 gene:gene-LATHSAT_LOCUS14938 transcript:rna-LATHSAT_LOCUS14938 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAVKRFLSSALLSRSLLRPVVSSASRSFNTNAMRQHDQHSEDRNVDVDRRSFPRTRREDLLLSDVFDPFSPPRSLSQVLNMVDLLTDNSVLSAASRRGWDARETKDALLLRLDMPGLGKEDVKISVEQNTLTIKGEKGAKESEEEEESGRRFSSRIDLPEKLYKIDEIKAEMKNGVLKVSVPKMKEEERNNVINVKVD >CAK8543961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651716824:651717783:-1 gene:gene-LATHSAT_LOCUS12680 transcript:rna-LATHSAT_LOCUS12680 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLSKKLVNTGYALTEATFNYYRGEIRRTNIEASNWIDNIPREKWARAFDGGQHWGHMTSNLAEAINFVLKATRNLPITALVHSTYYRIGSLFGKRGHKWTKMLAIGKVFTYGCNKGMADEVAKANTHNVMQFDRERFCFMVQEKINQNDDRPKGTSSVDLRNRLCDYGKFQAFHLPCSHVIATCSSIRQDYTIHIPKVFTILNIFKYYKESFMGLPHEENWPKYEGFTLCHDDSMRRNKKKRPTSSRIRTEMDDAEKENKRCGICREIGHMRRKYPNVVGPSNRPPK >CAK8530741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46347046:46347363:-1 gene:gene-LATHSAT_LOCUS584 transcript:rna-LATHSAT_LOCUS584 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYSSNLARCADAKTRKLHGMKSHDCHVFMEQLLPIAFSSLPKHVLNPLIEISQFFKDICASTLREDDIFKLNQNIPIILCKLEQIFPLGFFDSMEHLPVHLA >CAK8534702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756723480:756728014:-1 gene:gene-LATHSAT_LOCUS4222 transcript:rna-LATHSAT_LOCUS4222 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRNEYQPGSQPVVQDHMNEIYPSRRPYDLNASEVKPVHHFSIQTGEEFALEFMRDRANPGKPVFSNIGDPNYTTGYMELKGILGISHAGSETGSDISMLSMMEKYPKEFDTPNSSFLGDRSNYGSIRSLPRTSLNQDNRQFVQGYGSSESYYNSSTMMKFLCSFGGRILPRPSDGRLRYVGGQTRILRLRKDISFHELIQKALLIYNQVHTIKYQLPGEDLDALVSVSSDEDLQNMMEECNHLEDREGSQKLRMFLFSISDLEDAQFGLTSMGDDSEIQYVIAVNGMDLESRKNSTMAGFSYSANDINELGGQNIDGQGNAPLTNNFDSSLPTNFSQPGIPTSSNSYEMYPLFYADQMMHHGEPNGHGHGQYLMHHGGVNPSYKPFIEETPINMIPYMPNNQQGVLNEGHPRSGLQVHNSDIPDTLTRTMGDNSIQQETLSLTPSQLFDGYSQNDFPEASVVVTAPEGHSLPPRTNQLQDYDEASSTSGSAFGAPYVDSRSNAVDLSCLHPPPLPKRVYYSERAPRKQVESLNRSSKSDDAQNSQFHVSDLLSGVNSQDSAIDSGNNLHDGNLSNLAEELNITSKPLHTDGKGTEDILNKDNAVTLETENSLNDNHKKSPLEGKSDISARYHDPASNLPDVDWGDTSVKESNDDFNNQALPVPLNANATAKVDSQGQGDILIDINDRFPRELLNDIFSKAILEEDSSSQHPLASDGIGLSIKMENHEPKSWSYFQKLAQDGIDNDNASLIDQDHLGFSPAIVGDIRAQHVTPLTTDEVPLNRAEFHLNFGEEVQKDLHGENGTETTGLKTNYDQSQAKDTESMQFDAMLDNLRAQESHYEVGKFEKKNSSLPPLDPSLEEFDMSTLQVIMNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKTCFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEYMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPNYCDLEWRTLMEQCWAPNPAARPSFTEIASRLRIMSSAASQAKSPGHKASK >CAK8563484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608975095:608978662:-1 gene:gene-LATHSAT_LOCUS16885 transcript:rna-LATHSAT_LOCUS16885 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKINGQISPQPCPHLADFRTTSTKPFLSLHSCLRIKPPGGRASLRRNPHEVPHCSACGVHAPSRLYACISCSTVSCHSSASGVPSHAAAHAASMSPGHQIAIDVDRAELFCCACRDQVYDRDFDAAVVIAQTVASTLGGGESTVIPTPHPENLRKRRRVDYRPATPDLRERALIGSCSAPIDADGSFPEFPRGLRGLNNLGNTCFMNSVLQALFHTPPLRNYFLSDKHNRYFCQKNSNTDGDDSTIAATRKKNGGNNGNNNRRVCLACDMDAMFSAVFSGDRVPYSPAKFLYSWWQHAAKSNLASYEQQDAHEFFISMLDGIHEKVDKDRRKTHSEGSGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCIDISLDLEPNQGGSTKMAAAASSHSGIGEADSSQNRGISTLVGCLDRFTRAEKLGSNQKFFCQQCQVKQETLKQMSIRKLPLVSCFHIKRFEHSSTRKMSRKVDRYLQFPFSLDMSPYLSSSILRRRFGNRIFPFDGDEPEASNDLCSEFELFAVVTHSGKLDAGHYVTYLRLSNQWYKCDDSWVTQVDENIVRAAQGYMMFYVQKMLYYKATDKPVPS >CAK8576210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425688261:425688894:-1 gene:gene-LATHSAT_LOCUS28416 transcript:rna-LATHSAT_LOCUS28416 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSIMENLLVVVKINNVSNDETVVGRPSLMASVDVFKQHLEKQDSIDQQNKLEDFYSKSAFSTRGRVLETYRRFLKPKMAEALICTHNWLKSSFTYFKDLNLMEDFELSEDIIVEFEKISFVARRGSSQSQPQPSDCA >CAK8570145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25734691:25761002:1 gene:gene-LATHSAT_LOCUS22923 transcript:rna-LATHSAT_LOCUS22923 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPRNRLNQFYATKKRKVISPISKAGRIEKGARLVADGSPSAKGSLESYLIPSQGDPIDEPVRPDLVRRKLATDMGSYSLDNQPVSLAQGVQRPNATRTGFEKEVIVVDDPVQENKLSDFRHVGEKSELRQFKAELFSLYCGELHPSISSPLEVRVKENKRHDSLTLVEENGTIPLKCDGTSEPHFGRENILSNKVYNTMKSVPGCSAKASDGGDTNAFDTGFRKCSNTPTSMKIAECQTPGSLIVKACIKGTPKSTRGSSMFSPGEAFWDEAIQLADGLAVPTGNDSSKVIEESNVMGDQVEMNSCNLQNYDGKARKILDQSKNKGLAEMHTKDSIKEASSLPVRHFDFTHEDNNMDENTLQSCHVNNLVNVTCWSGKQYESISVASHAYEQTYKVQEKILVDALGKRVGKDNINMTSNSFNSPNNEARTTINIHASVEAKTPSSHVSLDDHLDLHSWLPPEICNIYRKKGISKLYNWQVDCLRVNGVLQKRNLVYCASTSAGKSFVAEILMLRRVITTEKMAILVLPYVSICAEKAEHLEKLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLVNRLLEEGRLSEMGIIVIDELHMVGDPRRGYLLELMLTKLRYAAGEGISKSSDGDSSGGSSDKNDPAQGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYIKVGNSIYNKNMELSRTIPKGADLGGKDPDHVVELCNEIVQEGQSVLIFCSSRKGCESTARHVAKFLKSFTVDINDNNCEFADITSAVNSLRKCPAGLDPVLEETFPAGVAFHHAGLTVEEREIVETCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGCDFIDGTRYMQMAGRAGRTGIDTKGESVLICKPDELKRIMGLLNESCPPLHSCLSEDLNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFLEVVKSAQESLKWLCQRKFLEWNEDTKLYSTTPLGRASFGSSLCPEESLIVLADLSRAREGFVLASDLHLVYLVTPINVDVEPDWEWYYERFMKLSPLDQSVGNRIGVTEPFLMRMAHGAPMGSNKSRWSNNKRKGQPGISSGTVNSEDQTLRVCRRFYVALILSLLVQETPVGEVCESFKVARGMVQGLQENAGRFASMVAVFCERLGWHDLEGLVAKFQNRVSFGVRAEVVELTTIPYVKGSRARALYKAGLRTPLAIAEASIPEIVKALFESSSWATEGSAQRSLQLGVAKKIKNGARKIVLDKAEEARIAAFSAFKSLGYDVPQLAPPMPTPARNSIRKEVGSSSGSDTADTSHSFVDANHMDNSKIVALEKEKDLNKSSDNDARASVEEKSDRVMPCSLSTVPVVVPTTNELSMTLGAAKIPDVTTLSGPLQKQNDKSSIHDGCHAHGTGEQDHKENLASGNMTNSSRKGPINAVSSPGGLDSFLDLWDTVPAFYFDIHYIKRLELHSAAPFEVHGIAICWENSPMYYINLPRDILLSGNRKEDGFSLSACSYKQKGSSSNSKQDLMNAMIRWSRISRIIGKKNVKKFTWNLKVQIQVLKKPSISVQRFGSVDLLDKNMDLEVVDNSYILLPPIHVKEAIDVCIVAWILWPDEASSSSPNLDKEVKKRLSPEDAGAANQCGRWRNQMRKAAHNGCCRRVAQTRALCSVLWKLLVSEKLVEVLMEIEVPLVNVLADMELWGIGVDLERCIQARKLLVKRLKQLEKEAYKLAGMTFSLNMPADIAKVLYEHLKLPIPDGKIKGKNHPSTDKHCLDALRHEHPIVPIIKEHRTLAKLLNSTLGSICSLARLSSSTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHPVDFKMIGDKNGGDVDESCRINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDSSLIELLSKPDGDVFTLIAARWIRCPEVSVGSQQREQTKKMVYGILYGMGPNSLAEQMDCTSDEAAERISNFKSTFPGVASWLHEAVAFCRSKGYVETLKGRKRFLSKIKFGSSTEKSRAQRQAVNSICQGSAADIIKIAMIKIYSEIVSGIDSPESSSSGASKFHMLRDRCRILLQVHDELVLEVDPSVVKEAAILLQTSMENAVSLLVPLNVKLKVGRTWGSLEPFAPDKFKADTPMAES >CAK8537397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:330085317:330087055:1 gene:gene-LATHSAT_LOCUS6690 transcript:rna-LATHSAT_LOCUS6690 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEKKKGLDDEKSLVEKRLKEFEFAMESILQHLEESNIVESGEDFVPVLSFDGNFDWKKIHSLIVRELRRLEEGLPIYAYRQEILPQIHHQQITVLIRETGSGKSTQIVQFLADSGIGADGSIVCTQPRKIAAKSLAQRVQEESSGCYEESSIQCVSTFSSSKKFDSRISFMTDHCLLQRYMGDRNLSGVSCIIVDEAHERSLNTDLLLALINNLLCKRVDLCLIIMSATTDVKQLSDYFYGCEVFHVNGRNFPVEMRYVPSNYGHHSGSAAVDSYVVDVVKMATEIHKIEKEGTILTFLTSQVEVEWACEKFKVLSAVALPLNGKLTSEEQFHVFQNYPGKSKVIFSTNLAETSITIPGVKYVIDSRLVKDYRFDPCTGMDVLKVCWISQSSANQRARRAGRTEPGRCYRMYSEADYHSMELNQEPEIRRVHLGVAVLKILALGGEECAGF >CAK8560123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8139813:8143512:-1 gene:gene-LATHSAT_LOCUS13833 transcript:rna-LATHSAT_LOCUS13833 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEGLKTTTTTLEAPNDVPAVDYVIADAVEEIEGYVNDSSTEKENSEVEKEVSDYEDEKEEDEDDESENGADDPELETGLSLDDGFFEVEAIRRKRYSKGKLEYLVKWMGWEESANTWEPRDNLVALPDIVQAFEEGLKSTPHQKRKRKQSSNSAKLNKRVERSATPYSLRSIKTSTTHSKASSSNSKPAATPYSLRSIKTSTNNSKASNSNSKPDTSLNEQPGIPDFPAFPQTVLFADEVETYGDGSSLEKVNHANDDMSVDPPEEVPRNNEENESDPMLTPNIEENRSDDPKLTSNVEENRSDDPKLTSNVEENKSDDPKLTSNIDKNKSDDPKLTPNIEENKSDAPKLTPNIEENRSGRKLTRDNKKSKSGPKLTRNNKESKSAPKLTQNNKESKSAPKLTRNSKEYKSDKLIPNIEENKSASKLTRNNKVNKSDPKLTPNLEENKSGPKLTPNPEEKESDPKLTPNMEEDKSDPMLTQNSKENKSDPKLTPNIEEKEYDPKLTQNIEENKSDPKLTPNIEENKNDPKLTPNKEENKSDPKLSELKATATNGNGADNLAIQFEEVVTPPVKPHMNEQPNVVFTEAITKKNAGSAEPVQTGSGRSGTRRRKTTAVKRFTRTTLASKTGNTGITDIAAPSSHTAAEPVKPESDIVEIVKPVGYSAAEASTKQEASVTFKVKRSDGSEVIVDNNYLKTNHPILLIDYYEQHLQYSAKPS >CAK8564803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10802596:10804902:1 gene:gene-LATHSAT_LOCUS18068 transcript:rna-LATHSAT_LOCUS18068 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQAI >CAK8542993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564556492:564558811:-1 gene:gene-LATHSAT_LOCUS11784 transcript:rna-LATHSAT_LOCUS11784 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSNHVLASSPSPSVAISVEPPRIRRLYQLWKGNNKFLFGGRLVFGQDASSLFLTSFLIGGPAITFCIRMLFIIKDEDTTFNHLVLIGGSVLTILNFMFLFMTSGRDPGIIPRNTHLPESEESFCTNTSSMEWVNNKTPNLKLPRVKDVIVNGHTVKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYPFFILFISSSTLLCIYVFSFSWVNLLRQQGRLWASMSQDALSVALIVYCFIAVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKENPYTKGIMENFKELSCSKIPKTMINFREWVAEEEDIQDESFTSDLEKGFISSKQKFDLDMGVYGKDGMRVPGILQDLDYNGIEDLKKNKAGANDTAFNIFVPSDDLKFSQWKSKVEVNDQDAKK >CAK8540899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30790619:30791392:1 gene:gene-LATHSAT_LOCUS9857 transcript:rna-LATHSAT_LOCUS9857 gene_biotype:protein_coding transcript_biotype:protein_coding MANADALRWIDSIPAEKWTRAFDDGQRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSVVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNFFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKVRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8569410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700061888:700066619:-1 gene:gene-LATHSAT_LOCUS22266 transcript:rna-LATHSAT_LOCUS22266 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVIVAIAAAIGNLLQGWDNATIAGSILYIKKEFRLESEPTVEGLIVAMSLIGATVVTTCSGALSDLLGRRPMLIISSLLYFLSSLVMFWSPNVYILLFARLLDGLGIGLAVTLVPLYISEIAPPEIRGSLNTLPQFTGSAGMFLSYCMVFAMSLTNTPSWRLMLGVLSIPSLIYFALTIFLLPESPRWLVSKGRMLEAKKVLQRLRGCEDVAGEMALLVEGLGSGGDTSIEEYIVGPADELADQEDPSKAKDHIKLYGAEQGQSWIARPLTGQSSLARISRRGSMADPTSLVDPLVTLFGSVHEKVPENGRMGSALFPHFGSMFSVGGNHPRNEDWDEESLAREGDDYISDAAAGDSDDNLHSPLISRQATSMDKDPPHQAHGISNIRQGSLLQANAGEPVGSAGIGGGWQLAWKWAEREGPDGKKEGGFKRIYLHQEGGPGSRRGSVISFLGGDVPTDGEAVQTAALVSQPALYNKELMHQQPVGPAMIHPSETAAKGPSWNDLFEPGVKHALFVGVGLQILQQFSGINGVLYYTPQILEQAGVGFLLSNLGLSSTSSSFLISAVTTLLMLPCIAIAMRLMDISGRRTLLLTTIPILILALLILVLGSLVDLGSTANASISTISVVVYFCFFVMGFGPVPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYSLPVMLNSVGLGGVFGVYAVVCLIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQAEAANHN >CAK8562833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:545338177:545339274:1 gene:gene-LATHSAT_LOCUS16298 transcript:rna-LATHSAT_LOCUS16298 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKRKSTELPDCIISHIFSKLSLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCIPELPKTPLFPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGANRIELLFAYPKPLPDFEKPKPFRYKESDFEIEPYNFFLSESHNSLTYLHPQNCRIMEFSGLKNLTTLVLHLVSVEQNMLQDMCLKCIHLDNLTLNKCTFRSDHLKITSATLLHLNINCGDIIRNQINIDIIASNLSSIRYSSYFLPETLIHTLNIKSHKLSYFSYSGAKISNLVHFSALKNVTTIVLDGLMEGDVRIYGPMEGDVITHLFSKCLQLQHVTISKCWLTCECNAKSSVQSCVI >CAK8568200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581265123:581265959:1 gene:gene-LATHSAT_LOCUS21184 transcript:rna-LATHSAT_LOCUS21184 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYVDQHSKLHQSHSQSQNNPFLPFQLSHHECQTVGEDDNRSGGGAAAQKPNSSGDGATIEVVRRPRGRPPGSKNRPKPPVIITRDPEPAMSPFILEVSAGNDVVQAVAEFSHSKNIGLCVLTGSGAVANVTLRQPSTTPGTTVTFHGRFDILSLTATFLPQSSGGSPPVPNGFSISLAGPQGQIVGGIVAGNLIAAGTVFVIAASFNNPSYHRLPPEEGGNSVSGGDGISPPVSVSGAVDSGQGHGGESCGMSMYSCHLPPSDVIWTPSARPPPY >CAK8534533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739290172:739292739:-1 gene:gene-LATHSAT_LOCUS4074 transcript:rna-LATHSAT_LOCUS4074 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSLLRPIFPSRSFHSSLPLQWKLREETTNLSQPQLIDRISRLLILNRPHSLQNLTFKFTDNLTDSLLRRLRLNPSACLSFFNLAIHHSHYRPHSLSYSILLHILARARMFPETATLLRQLMDLHCTNNYRAYAVCNHVLTVYKEFGFSPSVFDMLLKAFAEKGMTKHALYVFDEMGRLGRVPALRSCSFLLGKLVNKGEGSVAIMVFDQIVRIGIVPDVYMCSIVVNAHCQVGRVDVAVGFLEKMVKEGLEVNVVAYNGLINGYVSQGDFEGVERVLRLMSERGVLRNVATCTMLMRGYCKKGRMDEAEKLLREVEEDELLVVDERVYGVLVDGYCQMGRMDDAVRIRDEMLRIGLKMNMVICNTLVKGYCKDAQVCEAERVFMGMVDWNLRPDCYSYTTLLDGYCREGKMNKAFMLCEEMLGEGITPSVVTYNTVLKGLALVGSYDDALHLWHLMVDRGVSPNEVSCCTMLDCLFKMGDSNRALMLWKEILGRGFTKSAVPFNIMISGLCKMGKVIEAEAVFDRMKELGLSPDEITYRTLSDAYCKKGNVQEALKIKGVMERQAMLPSIEMYNSLINGLFKVRKSNDVTDILVEMQTRGLSPNVVTYGTLISGWCNEQKLDKAFHLYFEMIERGFTPNLVVCSKIVSSLYRDARINEATLILDKMVDFDLLTVHNKCSDNSVKNDTTLVAQKVVDSLNKNAICNSLPNNIVYNIAIDGLCKSGKFDEARSVLSVLISRGFLPDNFTYCALIHACSASGNVDEAFKLRDEMLERGVIPNITIYNALINGLCKLGNIDRAQRLFHKLHQKELVPNAVTYNILISCYCRIGDLDKASKLREKMADEGISTRTLP >CAK8574257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672531637:672532737:1 gene:gene-LATHSAT_LOCUS26617 transcript:rna-LATHSAT_LOCUS26617 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRQTLSSLHLPFDLVADILCRLPAKHLIRLRCVCKSWNSLISADSIFAKKHLRLSTSSHDHHHLIITPNHSSHEFLVLHSPISSTFSSTCTTSVKHFSYSIGEILIKGAYPNSASTCDGMVCLMIDGSSALLCNPSIRKLKILPPLNLPKQLFASYTLVYDRFTNNYKIISFNSTGRKYEINVHTVGTDYWRRIQDFPNPLLAPPVPGIFVSDSVNWLVYVCGDAARFIVSLDLEKESCEKLSLPINMVFDVQVLGTLRGCLSLIDTRDKFSDIWIMKEYGNESSWTKLLTVPSMVGCNFYAYTRALDISNDDQVLMEFLKDGKFKLVVYDFINNIFKTSKFQYSREYATTLQVYVESLISPF >CAK8567474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516622519:516627512:1 gene:gene-LATHSAT_LOCUS20522 transcript:rna-LATHSAT_LOCUS20522 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAAACAERATSDLLIGPDWAINIELCDIINMDPRQAKDALKILKKRLGSKNPKTQLLALFVLETLTKNCGESVFQQIIERDILHEMVKIVKKKPDLSVREKILILIDAWQEAFGGPSGVFPQYYAAYNELKAAGVEFPPRQENSVPFFTPPQTQPIIHSAAEFDDAAIQASLQSDASDLSVLEIKNAQGLADVLTEMLSALNPKDPEGLKEEVIVDLVDQCRSYQKRVMLLVSNTLDEELLGLGLALNDSLQRVLRQHDDIAKGTANSGAREVETPVLPLVNVNHEDDDSEDDFGQLAHRSSRDTHAQNRKPVYDKPESVRMNSLIPPPPASKRPVYSGTGMVDYLSGDTYKAEGSVENAEPTSFAAPHHSIPNPTSSTIPTESSPHPHVTTTSSPIFSKQPTYDEPKSSEQLPPAPWDTQAPVVIPPPPSKYNQRQQFFEKKGSSHSSNGSSSSSDSLVGQTQNLSLNSSTPTKEQKPEDALFKDLVDFAKSKTSSSSKPNRLY >CAK8574739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7839560:7842845:-1 gene:gene-LATHSAT_LOCUS27054 transcript:rna-LATHSAT_LOCUS27054 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMNLLAATLFVSIVCVANALTKNDFPSDFLFGAATSAYQVEGAANEDGRNPSIWDTYADANNGGKSKENGDIACDQYHKYKDDVKLMAQMGLDAYRFSISWSRLIPDGKGPINPKGLDYYNNLIDELTSKGIQPHVTLYHWDLPQVLEDEYGGWVSRRIIKDFTAYADFCFREFGDRVKHWTTVNEGNACSIVGYSAGILQPQRCSSSSFSYCSKGNSSTEPYLVTHHMLLAHASAAKLYRKKYKAKQMGFIGFNLIVIGFIPLTNTTEDIIATQRARDFYIGWFLNPFIFGEYPEVMKKNVGSRLPYFTTKESNLVKGSIDFLGINFYYAYYVKNNAKSLQKKDRDHASDMAADTIVNSGNDTYEIPVIPGILEGELHSLKNDYGNFPIYIHENGQQTSRSSSLNDWSRVESMHVYIGSMLDMLRNGLNIRGYFVWAFLDVFELLYGYKKTFGLYYIDLKDPTLRRQPKLSSVWYSNFLNNRTMDSKISMKIEENSYVLSPNTSLMHATT >CAK8574740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7839560:7842839:-1 gene:gene-LATHSAT_LOCUS27054 transcript:rna-LATHSAT_LOCUS27054-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLAATLFVSIVCVANALTKNDFPSDFLFGAATSAYQVEGAANEDGRNPSIWDTYADANNGGKSKENGDIACDQYHKYKDDVKLMAQMGLDAYRFSISWSRLIPDGKGPINPKGLDYYNNLIDELTSKGIQPHVTLYHWDLPQVLEDEYGGWVSRRIIKDFTAYADFCFREFGDRVKHWTTVNEGNACSIVGYSAGILQPQRCSSSSFSYCSKGNSSTEPYLVTHHMLLAHASAAKLYRKKYKAKQMGFIGFNLIVIGFIPLTNTTEDIIATQRARDFYIGWFLNPFIFGEYPEVMKKNVGSRLPYFTTKESNLVKGSIDFLGINFYYAYYVKNNAKSLQKKDRDHASDMAADTIVNSGNDTYEIPVIPGILEGELHSLKNDYGNFPIYIHENGQQTSRSSSLNDWSRVESMHVYIGSMLDMLRNGLNIRGYFVWAFLDVFELLYGYKKTFGLYYIDLKDPTLRRQPKLSSVWYSNFLNNRTMDSKISMKIEENSYVLSPNTSLMHATT >CAK8562119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:442694348:442697768:-1 gene:gene-LATHSAT_LOCUS15645 transcript:rna-LATHSAT_LOCUS15645 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGAATAPLPEAFLHFLQSNGIDPSIYTSIDSTPRYIRLKPGFEDCIEDVESEIKCKPEKLEWLLGFYTLPPHIQIASTKAYQEGKIYGIDASSGAAVMALGISPGDHVLDLCAAPGAKLCMILDLLGDSGSVTGVDAARHRLAACRTMLQKYKLGDRCRLFVADGTTFSVIPEGFRSDSESCESRSEERMDVFKEWTSRRPWKERKKAKKCGTPQVVSKSHPPELIYYGRHSGVIGLTKGDLYKTVSENEIAGYGYDKVLVDAECTHDGSVKHIQKFEHWGWVTLQRRVLDAERTDNLHVLQLNLLTNGFRLLKVGGSLVYSTCSLTVAQNEDVVEQFLKENITAELTEIDAARKWPCKGGRIQKTWRFDPLTSQTSGLFVAKFRKLAL >CAK8537095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:90605145:90619887:1 gene:gene-LATHSAT_LOCUS6411 transcript:rna-LATHSAT_LOCUS6411 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFWKRAKTFAEEAAKQSQTLTTSSATARIADLVSETTKKSKELAAEASKKAEEIKTAALRQADQIKSFSDTISIPPQISAIAAAAATATANATSTALPSAHQEQDLERFGVTDDLRSFVQGLTSTTFKHFPVNSDESESEASDVPTSASNVRKDLNEFQEKHANLVLTTVKEISRLRYELCPRAMKERHFWRIYFTLVNTHVAPYEKQYMEALREAAKQSEDPKVEQTDVGEGSGKAEVTGKLLKSKSSNASSIEQDLDTFLLGDLEDSDGAPDDGEGSFDDDFDKIGNSDVEDEKHEKRTSAATV >CAK8579028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667858661:667859330:1 gene:gene-LATHSAT_LOCUS31003 transcript:rna-LATHSAT_LOCUS31003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQVVESGRGLGKRKFGRNQGRKKSNKKPKVMQPPRGQKKVKIDQKMKKLYHKRARAREYNSDDDVNETAAPATFKTRGAASMKTRGVVCFTKKKKLEEEDKEIEELSEDEGGQGTQKKTVSDKKVSFSEDEGEDGDEIQPGITKFAEGCKAFKMAFKSIIKKSVSDDLLGPVLSAHKNLVIEKLAEEEAER >CAK8531449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111230888:111233938:-1 gene:gene-LATHSAT_LOCUS1241 transcript:rna-LATHSAT_LOCUS1241 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPRIQTLIFCFIFFIVISQTVADEPVGYGYTITNVTNTTANSLTANLKLINSSSVFGTDIQLLNLTVSFETKDRLRVRITDSNNQRWEIPQEVIPRESSSSPSSVSHHILDDNPQNSTYILTHPDSDLIFTLNNTSPFGFTITRKSSNDTLFNTSPQDPSNPETFLVFKDQYLQLSTSLPSDRSSLYGFGEHTKSTFKLQAGNNFTLWNADIPSSSVNVNLYGSHPFYLDVRKGSSDGKVKNGTTHGVLLLNSNGMDIAYSGDRVTYKVIGGVFDFYFFAGSSPELVLEQYTELIGRPAPMPYWSFGFHQCRYGYKSVTDITEVVQNYSKSGIPLEVMWTDIDYMDAYKIFTLDPVNFPLDKMKIFVDTLHKNGQKYVPIIDPGIGINDTYDTYNRGLKADIYIKRNGTNYQGQVWPGQVYYPDFLNPKTLDYWGEEIKLFRELLAFDGLWLDMNELANFITSPNNQNSSLDNPPYKINSNGGQRPINDRTVPATAIHYGNITEYDAHNLYGLLNSKTTNKVLVNVTGKRPFILSRSTFISSGRYAAHWTGDNAASWNDLAYSIPTILNFGIFGVPMVGADICGFQGSTNEELCRRWIQLGAFYPFARDHSDKNSNRQELYLWDSVAASAKKVLGLRYRLLPYFYTLMYESSKNGTPIARPLFFSFPEDTATYEINSQFLLGKGVLVSPVLQSGATTVDAYFPKGNWFNLFNFSNSVSAESGKTVTLDAPSDHINVHVGEGNILALQGEAMTTEAARNTSFELVVVFNGTGNSYGQVYLDDGEAVDLEAKDQWTFVRFYGELNNTSVSVRSNVTNGKFALDKKWIIEKVTFLGVPKNMTAMRVVNNGKVSMRERVLETNSENSSEFVIVELPNLKQLIGEEFKLETPRK >CAK8566044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371230721:371233699:1 gene:gene-LATHSAT_LOCUS19212 transcript:rna-LATHSAT_LOCUS19212 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISTQLLDIRSNWHVTQKGLDFVAQMLKSVCPVKKCLPENYYQASQLVSKLGLKVKKIDCCKNGCMLYYKDDSKLSECKFCNAPRLIPRKTGMGKYKDIPAKRMFYFPIIPRLQRLYASTESATEMRWHQMNKNSSNVLRHPSDGKAWKHFDDVYPDFAREPRNVRLGLCSDGFTPYIQTSASPYSCWPIIVTPYNLPPEMCMAKPYLFLACLIPGPHNPKLKIDVYLQPLIDDLCLLWSNGVLTYDISTKQNFIMKACLMWTINDFPAYGMFSGWGTQGKLACPHCMEHIDVFTLKSGHKNSWFDCHRYFLPTNHSFRRSKRSFIKNRVVKDDPPPVSTGQDIWALLSNLPKVTEIGWEEKWKEFEGYGVDHNWKKRSIFWDLPYWKDNLLRHNLDAREDLAKLCFRGDLELQPLTNGKRGKPKASYTLTKPEVKLVCKWLKELKMPDGYASNLSRCANVEKGTVHGMKSHDCHVFMECLLPIAFRSLPDLVWKPLTELSRFFKDLCYNTLRMDDLVKLDENIAVIICKLERIFPPGFFESMEYLPIHLSKEAMLGGPVQYRWMYPFERFMGVSKRAVTNKARVEGSICTDYIHRETNYFCSHYFNSFNLLPNTNICNNPRSDHDDILPTMSLLHSGGRPSGKSGKHYLSDKEWKSSHVHVLINYDEVKPYIDIFLESHSISIEDSSGQIHTEFPIWLKKYVNEKTNGVTNKDIIALSHSPSSLEISWNMYFVNGYKYHTEEWSKGKKTVNCGVHVKGLAEGGKYDFYGIIKHIYELDYFGLKEKIPLFYCEWFDPTKNTGTKVHPQYKTVDIKMDKHYRPYDPFILAQNARQVYCVPYPEMCRDMRGWCAAITTKPRGHVMIDNIEDEMPYQSDGMLPVLPTIEIESISCLCDDTQVDVFEEIFDT >CAK8573910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646459349:646459726:1 gene:gene-LATHSAT_LOCUS26305 transcript:rna-LATHSAT_LOCUS26305 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTFLTFILLVSFFVSLAPYLSEARPLFRPSQSNEGAIGEMNGVFRTLKGAGPSPGVGHRIKNLQTIQGMKHSGPSSGGVGHKLQSFEGHKVNTVHVLGVTSHSGPSPGEGHKYKTNNNNKHS >CAK8532649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:345638092:345641586:1 gene:gene-LATHSAT_LOCUS2332 transcript:rna-LATHSAT_LOCUS2332 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRVCGHKPLRFDALSRSHGHNSRGVVVSMAIKRSPKRLKYTDTTRFNKEGGLVYIEADTSGSDTWKLEPVVNLLRQGAVGVIPTDTLYAIACDLTNHSAIERLRRIKNIDVSKPLSILCHSFRDIDKYTAGFPRGDGQGHANLFKAVKLCLPGPYTFILMASKALPKQCIRFGTTSAKYASRKNVGVRMPDDAICQAILKKMTAPLICTSIKFLNEDEWMIDPVMIADTYGPEGLDFVVDGGVRLAQSSTVVDMTKLPPRVLREGKGTISDWMVLEEDQETDVEEDLIPAAI >CAK8567015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479885711:479886373:1 gene:gene-LATHSAT_LOCUS20106 transcript:rna-LATHSAT_LOCUS20106 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIQPCNVGIIRAFKMHYRRRFYRKMLEGYEVGQFDPGKINVFDAINLAIPAWTIDVRKETIANCFRHCKICSTSDVARNLDESTFDEEIQDLETMINQCGYHNKMDIENLMNYLGENEACSEVQSLEDIVSTIIENNVEDDDEDDTVSLELVMRKESLMPSNTLHNFMIQYKNTTPELLDAIRKVRYELQIDLNFKEKQTTIESYFNSVIYIFFQFL >CAK8538332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477734863:477735455:1 gene:gene-LATHSAT_LOCUS7544 transcript:rna-LATHSAT_LOCUS7544 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGLFLCPDTSGSAVHSMYLPLLDDVDKIKTYSWGSATLAHLYRSICHNSIVNTGNWTGCGVLLQSWGWSRMTNLAHIQQNQFEFSFAKRWSSLGMNYDNCSHFSITQYRNRIDHLAQEDFIWRPYLGLEAFRQVE >CAK8567156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491524806:491526403:-1 gene:gene-LATHSAT_LOCUS20231 transcript:rna-LATHSAT_LOCUS20231 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAKSLGQWDWENLFFVNSKPAENHKSQSTDWSAETDRETNVGMLFPSGGSGYSASKLLQVSSSRSSKSASNNSSSNGESKTSMSTREGSQDDSTDKKELSKGDPIETSPAAEPLLTLKLGKRFYFEDVTPGSNSKKASSSTVPAAPPSGKKSKMGSQNMLHCCQVEGCGFDLSSAKDYHRKHRVCETHSKSPKVVIAGLERRFCQQCSRFHSLSEFDEKKRSCRRRLSDHNARRRKPQPGAMQLNPSPLSSSPYDQRQTMGPFVFPRNTNLAWQDMHHIKLPETQDFMLKPPKAFNKIVTMLSDDSKGIGSRSTFPGTEDPTPLSDPNATQDVNRALSLLSTNSWGAYDTKSLSLEHSNRPNGATHSVAHATANRSPFPSLEYWHTDLQQANSSGCISFSGYENSNRFQDFQLFSASFESSFPCNQLD >CAK8579616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712757501:712757893:1 gene:gene-LATHSAT_LOCUS31547 transcript:rna-LATHSAT_LOCUS31547 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAAAEAIMVLLLTIPGLDALRKGLVAVTKNLLKPFLSVVPFCLFLLMDIYWKYETRPSCEADSCTPTEHLRHQKSIMKSQRNALLIAAALLFYWLLYSVTHLVVKIDLLNQRLERLKRTE >CAK8533008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:565189099:565192137:-1 gene:gene-LATHSAT_LOCUS2661 transcript:rna-LATHSAT_LOCUS2661 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRKLIVEVIDAQNLAPKDGHGTSSPYIVIDFYGQRKKTRTAVRDLNPVWNETLSFNVGEHNEIFGDVLELDVYHDLKHGPIRRENSLGRVRLSSTQFVRKGEEALIYYELKKKSLFNTAQGKIGLKIYYVDEEIALEPAPVKPASPPPEKIDEAKINETEVEPSPAPPSEPSPEDLPEVEKTEPAPPPEADPEPKSKPKLKEEGEDPVDALPPEAFEMAAASISRSNSEIRFSGINGPQPIRRSASTTSFTSEASVDSMLIERSTFDLVEKMHYLFVRIVKARYLPTNGNPIVKISVSGSDHTVNSKPALKTTLFEWNQTFAFTRDTQDSSPILEITVWDPQADVENHSLLGGVCFDVNEIPVRDPPDSSLAPQWYRMEGGGAQHGDIMIATWVGTQADESFSDAWKSDTSNHVTSKAKVYQSPKLWYLRVTILEAQDNNTTPLTPLKEKWFQFQIRAQIGFQVFKTKTAVTKNGVVSWNEDLLFVVAEPVSISDCIVINLENRQPKASVTMGIVKIPLATVERRVDDRNVGSRWFTFENPNEENKNGYKGRVHLRLCFDGGYHVMDEATHVSSDYRPTARQLWKPPVGSIELGIIGCKNLIPMKTVNGKSFTDGYCVAKYGDKWVRTRTVSDSLEPKWNEQYTWKVFDPSTVLTVGVFDSCSVFEWNDSKTETISGSTKSDFRIGKVRIRISTLQNGRVYKNVYPLLVLTHGGLKKMGEIEIAIRFVRTVQRLDFLHVYSQPMLPLMHHIKPLGVVHQEVLRNTAVKMVAGHLSRSEPPLRKEVVFYMLDVDSHNFSIRKVRVNWYRIINVVAGLIEIVRWIEDTRGWKNPTATILVHALLVMLVWFPDLIVPTLAFYVFAIGAWNYRFRARGPLPHFDSKISLVDVVDMEELDEEFDTMPSSRSGEAIRMRYDKLRTLGARVQTVLGDLATQGERVQAVVTWRDPCATGIFIFLCLVVAMILYLVPSKMVAMAFGFYYLRHPIFRDRLPSPVLNFFRRLPSLSDRIM >CAK8537980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452882210:452883232:-1 gene:gene-LATHSAT_LOCUS7225 transcript:rna-LATHSAT_LOCUS7225-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQCDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSFVTTTQPFLSQPTYLADPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPQSMPTYTPYQQQPPYMPPNQSQPQPSHQYSPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFYYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMMQDLADTSGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDKADH >CAK8537979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452882210:452883553:-1 gene:gene-LATHSAT_LOCUS7225 transcript:rna-LATHSAT_LOCUS7225 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPINRNSFTFPYAKKWSVPGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQCDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSFVTTTQPFLSQPTYLADPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPQSMPTYTPYQQQPPYMPPNQSQPQPSHQYSPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFYYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMMQDLADTSGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDKADH >CAK8566081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377990929:377991606:-1 gene:gene-LATHSAT_LOCUS19247 transcript:rna-LATHSAT_LOCUS19247 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFIVNDAARQATYKKRKKSVLKKIDELSTLCGIEACAIIYSPYDPQPEIWPSPCGVQNVLSKFKSMPEFKKSRKMVNQETFLEQRILKGKEQLNKQMTDNKEKEMTMYMFECLNARKIVSNNMSKVDFYNLSCMIDMNLNNIGRRLDNNINSQVQSDMALLPPQASVSQNEEIEMNNVDIMQGQFFKDTTVHGYGDEVVLFDNDDNLENEFWSNLLS >CAK8542928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560519998:560520417:-1 gene:gene-LATHSAT_LOCUS11725 transcript:rna-LATHSAT_LOCUS11725 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPAAEKSPAEKKPKAVKKISKEGGSDKKKKKAKKSVETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8534318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716053330:716055476:-1 gene:gene-LATHSAT_LOCUS3870 transcript:rna-LATHSAT_LOCUS3870 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHFLFKRQGFDVSSYGTGTHVKLPGPSLREPNVYEFGTPYKHMLDELRRKDPELYKRNGILPMLKRNASVKLAPQRWQENAADGIFDVVITFEEKVFDMVVEDLHNRDHVLLKTVLIVNLEVKDNHEEAAIGARLTLDFCHELEAVESWEESIDDIISGFEKRHRRKLLYNISFY >CAK8578295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618128067:618128426:1 gene:gene-LATHSAT_LOCUS30320 transcript:rna-LATHSAT_LOCUS30320 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEHVNKKECMTDELDSDAKDDNGDDRPNVVRFNEEDEITKEYKLKVGMEFSSLKHFKSAILEHNVLNERNVRFGKNYAVRCRVVCKEKSQCNYTIILKGLGRHPEDPVVLTKEDTSK >CAK8560543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24390665:24391658:1 gene:gene-LATHSAT_LOCUS14207 transcript:rna-LATHSAT_LOCUS14207 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGPAIGIDLGTTYSCVAVWQEQNNRAEIIHNEQGNRITPSFVAFTDSQRLIGDAAKNQAASNPANTIFDAKRLIGRKYSDITIQNDLLLWPFKVIGFNDNPMILVNYRGEEKSFSPEEISSMILTKMREVAEKFLETPIKNAVVTVPAYFNDSQRKATIDAGTIAGLNVMRIINEPTAAALAYGRLQKIPHCVEDRNVFIFDLGGGTFDASLLRIKNNVFHVKAVAGDTHLGGEDFDNIMMNHFVEEIKRKNKVDISGNPGSLRRLRTSCKRAKRILSFSEN >CAK8533631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:643640859:643641521:1 gene:gene-LATHSAT_LOCUS3243 transcript:rna-LATHSAT_LOCUS3243 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFQHIEFVIFKNKIKNEALRSRNQHTTTLFQGGKGKKTTSNQATFFRLRTRSFLSLDLDFTFTCWLASIPIRTHFAAGRSVYGSR >CAK8573581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621824895:621825473:-1 gene:gene-LATHSAT_LOCUS26014 transcript:rna-LATHSAT_LOCUS26014 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSRAFPTCFRHFQTKKGKDLLSSLALTAYKSLYRLGNNRYELTEIHNIQQHQKSVQKPKNRKNKELIILEAPPRIAIYHCETLIAITHKPPSKPSRRKPFLCRFALDHPHFAVSEAAINYHFRKPAKRPIFKTVSFDLLTFYSRFFLCRKRREIVGERNKREIHNKEEITEEVEAKKTKREKRREAEKK >CAK8531268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94632997:94636195:-1 gene:gene-LATHSAT_LOCUS1072 transcript:rna-LATHSAT_LOCUS1072 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKEEEKKEETKEEKKEEEKKEEEKKDEEQLPQEIVLKVDMHCEACARKVAKALKGFEGVEEVSADSKSSKVVVKGKAADPIKVLKRLQKKSGKKVELISPLPKPPQDKKEEEIKEPPKVEEKKDEGPTVVTIVLKIRMHCDACAQVIQKRIRKIKGVESVETDLGNDQAIVKGAIDAAKLVEEVYKRTKKQASIVKEEEEKKEEEKKEEEKKEEKKEGEEEEKKESEEENKEEDDNKTEIKRSEYWPSKDYIDYAYAPEIFSDENPNACSIM >CAK8574462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:683417088:683442551:1 gene:gene-LATHSAT_LOCUS26806 transcript:rna-LATHSAT_LOCUS26806 gene_biotype:protein_coding transcript_biotype:protein_coding MERHWSSESGHVMERHWSSESGNVISSSSPSHSRNGGHARSSSLTGISTIKRTQNVAAKAAAQRLAQVMASQTVDDDDDDDLGFRYSAPPPLALSRKSSATTTTTHTHRSPSNKEESAVVASNNRPPLNQRTPPPVLPIQPSIHNTKPKDKRFPFHNGPIQPKDLGDNREASGLRDEVDVLREENGSIFDKLRREEERCKETEARVKELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKSSRESKDGVDKEITSLQAEVERAKVETEATVRQQNGAESEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLATKYGICADVAVSKYELWSSLAPLPFEIVVSAGQKAKEESWEKGDDATEKRSNLVPDLNDLTGEGNIESMLSVEMGLKELASLKVEDAIVQALAQQRRPSPNSARQLVLDIKSPGDPKFMEAFELSPEESEDVLFKEAWLTYFWRRAKAHGIEEDVAKERLLFWIGRSRHSPTSHDAVDVEQGLSELRKLGIEHRLWEGSRKEADQELSIAPKIVVETYEL >CAK8572267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525537715:525540950:1 gene:gene-LATHSAT_LOCUS24851 transcript:rna-LATHSAT_LOCUS24851 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSFVSLPSFFKPQLPKTNLPSSNGSLFPCYATSSNISQRKSANYQPNIWNYDSLLSLKHDYADVNYVNRSRELQEEVRKMINDENVEILELLENVKRLGLSYHFEKEIIEALDRFLSLEKHGDIVIEKSLHKTALKFKLLREYGYHISPDIFEKYKDDDGNFKACLVKDIKGMLSLYEASFMSYEGEIILDEANSFTSFYLRRGLHDNISDFLFEQVNHSLELPIHRRFQRLEARWYIESYEKRKDANKVLLEAAKLDFNIVQSNLQQDLIEMSRWWKGTGLASRLGFGRDRLMECFFLSVGMAPLDPKFNDLRRGLTKVFSLITLIDDIYDVYGTLDELELFTRAVESWDINAIKVLPEYMKIFFLALYNTVNELAYDALKETGHDILSYLVKAWSDMLKAFLQEARWCDDKHMPKFDDYLKNASVSISGVVLHTHSYFLLNHTITKEGLEYLENFHKGLQRPSIISRLCNDFATSSAEFQKGETTNSIMCYMNENGVSEEVAHTHIQEILNEVWKKMNKDQIMDSTLPKYFLETLINLARISHCVYQYEDGLGAPKTIVKNQIMKLILEPIN >CAK8572678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555017636:555017905:1 gene:gene-LATHSAT_LOCUS25211 transcript:rna-LATHSAT_LOCUS25211 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPEELLLTPNPYRSACSQFNTLKSGKCTNKVEASFFFLPQKKSISLVSLTNGELHFVSHVLAFFSASDGIILENIVGRFMKEVQIS >CAK8535104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814200152:814201117:-1 gene:gene-LATHSAT_LOCUS4581 transcript:rna-LATHSAT_LOCUS4581 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTVFVDKTFTLVKARYLSLFMDLEGLSEYSWGAAVLVTLYRYLGDASMFSCKQLGGYPTFLQCWIHEYFPTLVKEGENWIPANNVGLPRAMRWSYRQCVLKVDDLRPILDELTPSDFIWRPFEEHRVWNQFDELCIYRGCPRWGDTIIPYFLDRCMRQFGYRQHVPPPPLDYMMTGDIDVEWISYHQSIEDLIRRTTLATTPFETDDGYLQWYYLVPHSRLLPPHRDAPSEVPVPVYDAGPPDPSWARVSSLIHHYLQQTSVEEDNPQFTELFEALRIARSH >CAK8564899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15111168:15113239:-1 gene:gene-LATHSAT_LOCUS18154 transcript:rna-LATHSAT_LOCUS18154-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSKIAPHFNSTPLSHHQFLLQRFNGFSQRKCGTFFMHNHLPEMNNNPYLSLTFHTRLCSSNTNGNVSQTYELSDSSSHEGSEESKESKKGESLSINEIMKKLKRYGVSGILSYGLLNTAYYLTTFLFVWFYVVPAPAKMGYRPAVERFLKLLAMVWAGSQVTKLLRAAGALALAPFVDRGLTWFTNKFKFQSQGKAFAAIVGFCFGLAVVVFLVITLLWA >CAK8564902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15111312:15113239:-1 gene:gene-LATHSAT_LOCUS18154 transcript:rna-LATHSAT_LOCUS18154-4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSKIAPHFNSTPLSHHQFLLQRFNGFSQRKCGTFFMHNHLPEMNNNPYLSLTFHTRLCSSNTNGNTYELSDSSSHEGTCIEIELLNYTDNGNNNREVRYIEVIRITLDNCKIFLGSEESKESKKGESLSINEIMKKLKRYGVSGILSYGLLNTAYYLTTFLFVWFYVVPAPAKMGYRPAVERFLKLLAMVWAGSQVTKLLRAAGALALAPFVDRGLTWFTNKFKFQSQGKVT >CAK8564901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15111168:15111245:-1 gene:gene-LATHSAT_LOCUS18154 transcript:rna-LATHSAT_LOCUS18154-3 gene_biotype:protein_coding transcript_biotype:protein_coding AFAAIVGFCFGLAVVVFLVITLLWA >CAK8564900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15111168:15113239:-1 gene:gene-LATHSAT_LOCUS18154 transcript:rna-LATHSAT_LOCUS18154 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSKIAPHFNSTPLSHHQFLLQRFNGFSQRKCGTFFMHNHLPEMNNNPYLSLTFHTRLCSSNTNGNTYELSDSSSHEGSEESKESKKGESLSINEIMKKLKRYGVSGILSYGLLNTAYYLTTFLFVWFYVVPAPAKMGYRPAVERFLKLLAMVWAGSQVTKLLRAAGALALAPFVDRGLTWFTNKFKFQSQGKAFAAIVGFCFGLAVVVFLVITLLWA >CAK8538744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494923781:494924689:-1 gene:gene-LATHSAT_LOCUS7911 transcript:rna-LATHSAT_LOCUS7911 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPITIADLKIGNNVWKLAARVVDLWIVKDRNGQQHFEGVLQDSKCDKIHVVTRNRDFNLWKQRLREHQTVMVYNGDPLNNDLALKVCDNPLKLVFNGGTTVTVVDMPEIPAYQFCFKPIVDFLHGDFRVNRLYDVIGVLHEVGKTQVVGGGKKACLNLIISDEIGSEIDLTLWEAYAT >CAK8568399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602647944:602650822:-1 gene:gene-LATHSAT_LOCUS21358 transcript:rna-LATHSAT_LOCUS21358 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFDWGAKDSHRGTPVVVKMENPNWSMVELEGPSEEDLLLTNPSSRDKGRGKNAKQLTWVLLLKAHRAAGCLTSIAPALYGLVSAVKRRVASGRTDAEIDTEGGAGDGGWEKENPTVKSRFYTFIKVFLSLSVFLLCFEVVAYFKGWHFSAPHLELWAPAFGVKDVFDWFYARWVLVRVDYLAPPLQFLTNACIVLFLIQSIDRLVLCLGCFWIRCKKIKPVPKGGGGGVSDLESGEKGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLIQVLDDSDDPITQSLIKEEVQKWQQEGANILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVTIFDADFQPTPDFLKKTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDVIRAKISIWKKFNMIFLFFLLRKLVLPFYSFTLFCIILPMTMFVPEAEIPAWVVCYIPAVMSFLNILPAPKAFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKPKHQRGSSAPDLEEMKEEIRKQEEKVTKKKKKHNKMYMKELALACLLLTASARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQVD >CAK8535517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856993702:856995459:-1 gene:gene-LATHSAT_LOCUS4972 transcript:rna-LATHSAT_LOCUS4972 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKMEHPLERRQAEAARIREKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGM >CAK8566773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461409784:461411413:-1 gene:gene-LATHSAT_LOCUS19882 transcript:rna-LATHSAT_LOCUS19882 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLHVCNMDSSADHWLQGTIHDESGMDSSSPLSGDMLTCSRPSSMIDQRRLRPPHDLSLKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSKKPNEHHLANNIQNHQNQPQQGSSYSYHHHNHINNNKDLQLSFPDVQFSHLSNLLGSTNSSALGNPSFNVGMLENPRPIDFMMESKLEGIIGSSSRNFDNFFGNNDHMSMNMSVGDMMNGQNQNVLQLQQNFHSSFGGMSSFDGHNNNGAYLMDSCQRLMLPYDANDEDHNASIDVKPNPKLLSLEWQQDQGCSDAGYGSWSGMMNGYGSSTTNPLING >CAK8540263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547628377:547633013:1 gene:gene-LATHSAT_LOCUS9285 transcript:rna-LATHSAT_LOCUS9285 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAISKQAGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRESDILYFVFEYMECNLYQLMKDREKLFSESEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVSNDIIKIADFGLAREINSQPPYTEYVSTRWYRAPEVLLQSYIYNAKVDMWAMGAIMAELFSLRPLFPGASEADEIYKICGVIGSPTTESWAVGLKLARDINYQFPQLAGVNLSALIPSASDHAISLIQSLCSWDPCKRPTALEALQHPFFQSCFYIPPSLRSRAIARTPPPGTRGSLDQQGVKRYPGALPNSKLTNYFPSPKLQPSSGVQRKLDMVNQDDIKNDKSMKTTTQLKYRQPGKDSQTSINKGRSTRGALETAERLANMSIGTRRQSIEQPRAPPMKAGVNWSSESPNFMLRPAPQISTGRTYPRKVAG >CAK8540237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546524736:546539496:1 gene:gene-LATHSAT_LOCUS9263 transcript:rna-LATHSAT_LOCUS9263 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALSCSYRIARAGAIPFSPPLASPFSHHRNNPISSSIITFRRKRLFEKFISKMANYEGQVSSGSSDVRSGNMIFEPILVDGVFRFDCSADDRDAAYPSISFMNSKARETPITNNTHKVPSYTPRFECLLEQQIVQLELPTGTSLYGTGEVSGQLERTGKRVFTWNTDAWGYGPGTSSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRKESTIRFISPSSYPVITFGPFASPTEVLISLSKAIGTVFMPPKWSLGYQQCRWSYLSDQRVLEVAKTFREKSIPCDVIWMDIDYMDGFRCFTFDKERFRDPKSLVEGLHYSGFKGIWMLDPGIKQEKGYFVYDSGSENDVWVQKADGTSFVGDVWPGPCVFPDYTQSKVRAWWANLVKDFVSNGVDGIWNDMNEPAVFKTVTKTMPESNVHRGDGELGGCQNHSFYHNVYGLLMARSTYEGMKMANENKRPFVLTRAGFSGSQRYAATWTGDNLSTWEHFHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGSLFPFCRGHSEAGTTDHEPWSFGEECEEVCRLALKRRYRLIPLIYTLFYFAHTRGTPVATPTFFADTKDPSLRKLENSFLLGSVLVYASTTRNQGLDKLEVNLPKGIWLGFDFSDAHPDLPALYLKGGSIIPVGLPVQHVGEAKPSDELTLLVALDEYGKAEGFLFEDDGDGYEFTKGNYLLTHYVAELQSSVVIVSVHKTEGSWKRPKRGIHIQLLLGGGAMLDTRGVDGEVLHVNFPSEEEASTLVSTSYEQYKERLEKAIQIPDVEDEDSGPKGMELSRTPIELKSSEWLLKVVPWIGGRIISMIHFPSGTQWLHGRIDISGYEEYSGTEYRSAGCSEEYSITNRELGHAGEEESVVLEGDIGGGLVLQRKIYFPKNAANIIQINSSIIAHSVGAGSGGFSRLVCLRIHPTFNLLHPTESFVSFTSIDGSTHEVFPDGGEQIFEGRLIPDGEWRLVDKCLGLALVNRFNVTEILKCLVHWDFGTVNLELWSESRPVSEQSPIQISHQYEVIRIP >CAK8533701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651259653:651260909:-1 gene:gene-LATHSAT_LOCUS3304 transcript:rna-LATHSAT_LOCUS3304 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHTETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVGLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSLRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSRIDVYLQPLIDETVSSS >CAK8568083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:571490229:571493941:-1 gene:gene-LATHSAT_LOCUS21079 transcript:rna-LATHSAT_LOCUS21079 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGTAAGDGDQRRRRREQRKDSSEGRNNVVSVREKQTSRHTGDFPGNLPALEHRKPMLDPCAVNQQGWPSWLMAVAGEAIGDWTPRRANTFEKLAKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILVMRKLDHPNVLKLEGVVTSRMSCSLYLVFEYMEHDLAGLSAGQGVKFTESQVKCFMKQLLSGLDHCHSRGVLHRDIKGSNLLIDNEGILKIADFGLATFYNPKQKQCMTSRVVTLWYRPPELLLGATFYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWRKYKLPNATIFKPQQPYKRCISETFKDFPQSSLPLIETLLAIDPDGRSTASAALNHEFFTTEPYACEPSSLPKYPPSKELDVKMRDEEARRQKALNGKANADGGKRVRARERGRAMPAPEANAEIQTNLDRWRVVTHANGKSKSEKFPPPHQDGAVGYPQDASSKGPVSFGAPDTSFSSGIFNMKSSGSSRNHDATGLHKGTKTKKEESQMASSWKFMRPFKPSTIGLSMDLLFRSK >CAK8537551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:392926464:392927435:1 gene:gene-LATHSAT_LOCUS6840 transcript:rna-LATHSAT_LOCUS6840 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQRKLFPTETTSNQTLDCYGFCDPLCPSNCYTNTNYYFTPPPLEHTTQVNHIGSYFIILISLFSLIFIIIVFYLIKVKCYNEMCSWRINNSVRGNSEELLNENQVNRDQNHDHPVWLIATVGLQQSIINSITVCKYRKNEGLIEGTDCSVCLNEFHEDETLRLLPKCSHAFHIPCIDTWLRSHTNCPLCRAGIVSNNVTSEVTLSSEHENNNLGRNQETQMENLRSDQENVLNNNIVINVPFENMIGESSDESSDPRRYHQVVEKEMHKISVWKVITQKSSKTMRRCSIEERLHVRPVAMKKSLNLHGRKSFKKRYATII >CAK8573362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605711433:605716130:-1 gene:gene-LATHSAT_LOCUS25821 transcript:rna-LATHSAT_LOCUS25821 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHIEDLPQYININQNDFFMRRHKKQKEEDIAVCECRYNEDDPYSACADGCLNVLTSTECTPGHCPCGILCKNQKFQKCEYAKTKLFKTEGRGWGLLADEDIKAGQFVIEYCGEVISWKEAKRRSHTYESQGLKDAYIISLNASESIDATRKGSIARFINHSCQPNCETRKWNVMGEIRVGIFARHDIPIGTELAYDYNFEWFGGAKVRCLCGALKCSGFLGAKSRGFQEDTYLWEDDDDRYSIEKIPLYDSAEDEPMSNTDGLSEQSMDIIVKVEELSDSTAFNVQPVDSIEIKDLDVQNIKTEIVSEDMKLYSQDTEHDLPQKNTMISRIRSNTAGRNYRIGPRSISTKRSKAQSGGKFKNRIQKKVDAKYAAGLLASKEAQEEILDYEKRKDDATDALDSLYNEIRPAIEEHERDTQDSVSTTVAEKWIQACCLKLKAEFDLYSSIVKNVACTAQKAPGQAKATEVDNENKINLLTD >CAK8567422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513496743:513497159:1 gene:gene-LATHSAT_LOCUS20477 transcript:rna-LATHSAT_LOCUS20477 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSEIKMLGVNNHVMMKGKRQSKKNKKEVKVTYISSPMKVKTSASNFRALVQELTGQDSNVADMFVEFNDCVHVDDDVENMNKGSTHQQWRSDDNSSEAYVHENSTWMKLEDDYNFRSSLEPLSGQFQFDFLSFDIA >CAK8567423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513496764:513497159:1 gene:gene-LATHSAT_LOCUS20477 transcript:rna-LATHSAT_LOCUS20477-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVNNHVMMKGKRQSKKNKKEVKVTYISSPMKVKTSASNFRALVQELTGQDSNVADMFVEFNDCVHVDDDVENMNKGSTHQQWRSDDNSSEAYVHENSTWMKLEDDYNFRSSLEPLSGQFQFDFLSFDIA >CAK8533484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:623343878:623346094:-1 gene:gene-LATHSAT_LOCUS3107 transcript:rna-LATHSAT_LOCUS3107 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLSKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKNDALLSSSDNVHSKTASNLSHMAQWESARLEAEARLVRESKIRSHNSLLHNNHNHLKAWNINLESPTSTLSYNENVPPIIMSSEGIGGEKNINDIEKNSNNNNNVNDDENNVVVSMIEFVGTNSSSIVKEEGGDDQENWKDYESFTSNLHHELTMSMDQGGVGDVIAEEGFTNLLLKTNSEDLSLSESGGESNNGGDGGSGSEFYEDNNNYWNSILNLVNSSPSHSPMF >CAK8571670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:458874799:458876337:-1 gene:gene-LATHSAT_LOCUS24310 transcript:rna-LATHSAT_LOCUS24310 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQNHHPSTLLSMDSSASSHEELDLEMNRQIILSRPPDINLPLSAERSPPPQSWNSDPCDILDVGLGTQGYETETFLTLPKVGRKCAKRVDSIWGAWFFFSFYFKPSLNDKSKAKIIRDGNGISGFEKSDLNLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVYPSPNLMNLDEDDRKRWIELTGRDLNFTVPTEASDFSSWRNLPNTDFELERPLPSIKSAANGHPPKKLLNGSGLNLSTSLSNHTNGDLLDLPSANGKKRKDFFPHVNGNGNGTLNGNLNGNLNDEECYLAVNPPSDRIQDIEMHPSEPHWLNDFSGVIKNVYGPVTAAKTIYEDEQGYLIIISLPFVDLASVKVSWRNTLTHGIIKVSCMSTSRKPFIKRRDRTFKLTDASSEHCPPGEFVREISLSTRIPEDANLEAYYDEPGSVLEIMVPKHRVGPEEHEVRVCLRPHLGGNDLMLT >CAK8530508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26322935:26326126:1 gene:gene-LATHSAT_LOCUS366 transcript:rna-LATHSAT_LOCUS366 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRLCGLKPLLFRRKSSLNESMRSSTSPKKSPKANNDDLKCDLKCESMELSFVGMDQLMLMMEVHKKIFVFRDIMDLVPLQTSASLRQMVITTLEDLQRLYPMIIPIKKVANINDKSTDQGLVYFCEALKSLGESWLIYDFKDKNNNELPIPSCKDNTNMKELGVTMLATLDCLIKIASERFDVMEEDSPKRVFKSSCISDISPSSPLTPTSVLPEPIEYTAKLVSCNARLWSLRIQGVEKLNPIDFKRLSYYMSPQIVETLDNKIEKEVIVDEEKDQKVENYEKDQKVENYEKDDTIKDHVFDLEKTFPLPLPLSPPPPLPPSTARLQQSEVKVKTPPPPPPPPLPPSTAPLQQHEVEVKIPPRLSLGLASVKPGSAPAPPPLRGNGSAIPPSPPPQPLPPPLPPSTTPQLQQIEVAVDMPPPLPAPLPPSIPPPPPPLSMKPGSLPAPPPPMPHGNGNAAPPPPPLGAGRILKPRATTKLKRSTQLGNLYRILKGKVEGSSLKGKSSSGKNNAIGAKSNGGKQGMADALAEMTKRSSYFQQIEEDVQKYTKHIMELRSTITNFKTSEMTELIKFHKDVESVLENLTDESQVLSRFEGFPSKKLEAIRMAAALYNKMDSILKELQNVNIVSPVTQILDKVERYFNKVKTELDTLERTKDEESKKFKSHNIEFDFYILVKIKEAIVDVSSNCMELALKERRDKNAESNLNGVSDQKRKEYGKLLWRAFQFAFRVYTFAGGHDDRADKLTRELAEEIESDPNQIKHSHA >CAK8571565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:440646692:440652540:1 gene:gene-LATHSAT_LOCUS24213 transcript:rna-LATHSAT_LOCUS24213 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVFSTNKRDPIKSSVGSVAGSRRRQHAVTVGKERRESLVRAKRLCRVGVSEGEVDVEGEGEMMIDEEQSILESQTLLAVENLKSALAFQGKGAVQKRVSALQELRRLLSRSEFPPVESAVKAGAVAILVQCLSFGSPDEQLLEAAWCLTNIAAGNPEETKALLPALPLLIAHLGEKSSSPVAEQCAWALGNVAGEDEELRNVLLIQGALIPLARMMLPNRRSTVRTAAWALSNLIKGPNPKAANELIRVDGVLDSIVRHLTKADDESATEVAWVVVYLSSLSNLATSMLVKSDVLQLLLNRLATSNSLQLIIPVLRSLGNLIAGDSHASYAVLVPGLEVTDTAIQVLIKCLKSEHRVLKKEAAWVLSNIAAGSVEHKQLIYSSEAMSLLLHLLSAAPFDIRKEVAYVLGNLCVAPTKGDKKPNLIPGHLVSLVEKGCLPGFIDLVRSADIEAARLGLQFIELVLRGMPNGKGPKLVEQEDGIEAMERFQFHENEDLRTMANSLVDKYFGEDYGLDE >CAK8543855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644653418:644659000:1 gene:gene-LATHSAT_LOCUS12580 transcript:rna-LATHSAT_LOCUS12580 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERFSSEQLKSKMEAETLRSNHAPGFLSRALPAVVPVLLISIGYVDPGKWVASIEGGARFGFDLVAFTLIFNFAAIFCQYLSARVAVITGRDLAQICSDEYDTWTCLFLGIQTELSVIMLDLNMILGMAQGLNLIFGWDLFTCVFLTATGAVFHILLSVLLDIEKAKFLGQFVAGFVLLSFILGLLINQSEVPLSVNGILIKLSGESAFMLMSLLGATLVPHNFYLHSSIVQWHQGPKNISKDALCHNHFLAILCIFSGLYLVNNMLMTTSVNEFYSTGPVLLTFQDALSSMEQVLRSPIALLGFVFILFLANQTTALTWSLGGEVVANGFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGMYQLLIFTQVLVALQLPSSVIPLFRVAMSRSIMGAHKISQSLELLALTIFIGMLGLNIVFLVEMIFGNSDWAADLRWNVGNGVSVSYAILLIAGFMSLCLMLWLAATPLRSANIHQLNAKELNRDVPEAVLTPMVDGEESYITETARHEEASVEVDEPKPALASTFEYPEVSHESFCPILPKTIMEPDPQVNAVKGDNSVAPSVSTSETGEASTVVNDSSDSRLADTKTIMERDAPIEKTVEIENDSNAERDDDDGELWETEESSRVVLPNAPSSTSEGPPSFRSISGKSDDGGSSFGSLSRIEGLGRAARRQLTAILDEFWGQLYDFHGNPSQTAKTKKFDVLLGMEVDSKPTPALQKKDACGKDFSEYLVSAGSRTSDTSINAGPYDYSAQPRMQSNSESSYGLQRSSSSVRANPIQLLDAYAQNSARNFLESGERRCSSVRSLHSSEAWDYQPATIHGYQTASYLSRGVKDRSSENINGSMQLSSLKSPSTGNSNYRDSLAFALGKKLHNGSGVSHPPGFENVAVSRNRQLLSERSNYDSCTSGPAANTVNSINTKKYHSLPDISGYSIPHRAGYVADKNAPWDGSVGYGSFTGRMGYEPSLYSNAGLRAGAHLAFDEVSPSKVYREALSSQLSSGLDTGSLWSRQPFEQFGVADKIHNVAMEGAGRRPNAIVQETTFEDIEGKLLQSFRLCIMKILKLDGSDWLFKQNDGIDEDLIDRVAAREKFVYEIEARETNQAVHMGDTRYFPSDRKSVSSMKSEASASSLLVSSVPNCGEGCVWRLDLVMSFGVWCIHRVLDLSLLESRPELWGKYTYVLNRLQGIIDPAFEKPRTPPAPCFCLQVPSTHLQKSSPPLSNGMLPPTVKAGRGKCTIPSTFIELIKDVDIAISSRKGRTGTAAGDVAFPKGKENLASVLKRLKRRLSSSKLYANQNKIPS >CAK8530703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42515538:42516696:-1 gene:gene-LATHSAT_LOCUS547 transcript:rna-LATHSAT_LOCUS547-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKFDIFVTNLKYITESNAKRESPHSALLGLTKLADWSFKEFKEKYMTMNTDTMNIVNTDDARGSTCSNPPRSWDWRKENAVTSVKDQGPCCGSCASFSAVGAIEGIVAIVTGKLLDLSAQELVDCIGNGCKGVFVYEAFQWILNKNKKGLALESKYPYTGVVVPCKASKIQNSATSKIDSFYHVDKSDKALLCAVAKQPISICIYAATQEFQHYYNGILQGRGCPVDSTDITHCMLIVGYDSYEGQDYWIVKNSYGKYWGMNGYMYIKRNTPKKYGVCAINAWGTYPNKKK >CAK8530702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42515538:42516951:-1 gene:gene-LATHSAT_LOCUS547 transcript:rna-LATHSAT_LOCUS547 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYRSNSFRFFIIFISFFFIYFSFLKKKTNEDLKISSRFEIPTNKYSSILGPKLDKLPNQDDAKKLFQLWKKEHGRVYRDQEEMSKKFDIFVTNLKYITESNAKRESPHSALLGLTKLADWSFKEFKEKYMTMNTDTMNIVNTDDARGSTCSNPPRSWDWRKENAVTSVKDQGPCCGSCASFSAVGAIEGIVAIVTGKLLDLSAQELVDCIGNGCKGVFVYEAFQWILNKNKKGLALESKYPYTGVVVPCKASKIQNSATSKIDSFYHVDKSDKALLCAVAKQPISICIYAATQEFQHYYNGILQGRGCPVDSTDITHCMLIVGYDSYEGQDYWIVKNSYGKYWGMNGYMYIKRNTPKKYGVCAINAWGTYPNKKK >CAK8571979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499970707:499972165:-1 gene:gene-LATHSAT_LOCUS24590 transcript:rna-LATHSAT_LOCUS24590 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHTGEFLRDSKTSRINDSIIKPNNHSSEEEFLSSQDSLDSSVTQDTRIRSTSESEVHDSGCEPSKAQFLTSTNSLDVGKTTMFQEFYHCVNGVSMLEERTNGKLQQRVKQSSRVGRNDIHSFHPASGHPCSFGNPQKQQLSVATPTNYESYYSYIQGLNTFQMNGEEFSWPNTASIHNEFQNNTYMRFGITGVGDSVDKPTEMQHENGTLGCPELPTMNLYRQLSEHSAFIGNTPQSRSNTNYNQTSPNHHLEGQKTFQSEGKTYVESSHNSHILGKERGMNNMLAERIKEFLNRLVRDHGSIDLEWLRHAPPDKVKDYLLSMRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWPKNYQYQDILMLVLVEAL >CAK8543065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:573306709:573309254:1 gene:gene-LATHSAT_LOCUS11852 transcript:rna-LATHSAT_LOCUS11852 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDARTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEARMQDIFGDSDDEDNEDMDVTPPIRAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHSVDYWVHKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPQSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8565346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:144237830:144239576:1 gene:gene-LATHSAT_LOCUS18567 transcript:rna-LATHSAT_LOCUS18567 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMRCCAHVLNLIVKEGLKDIDNSVYRVRHDVWYARSSPARLAKFKACIDEESMDYKGLVSLDVETRWNSTYLMLVSASKHEITFEELGFRDKRYVNELTKKGKGVSTKDDWKHINLTIPFLKLFYDATVHISGSSYLTSNIYMFEVLGIGNSIADMCASEDEHLLSAAQKMKKKYDKYWGSHEKLNMILLIALVFDPRRKIKLVD >CAK8570004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20467678:20468214:1 gene:gene-LATHSAT_LOCUS22795 transcript:rna-LATHSAT_LOCUS22795 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLSALLLLISFLSYSMYSHACESCNPKPKPTPPPPAPCPPPPSTTPKASPPPTPSTAHPPPTPSTTPTTSTPPPSTTTPTASPPTPSTSQKCPSDTLKLGVCANLLGLVNVVVGSPASSKCCTLIQGLADLDAAICLCTAIKANVLGINLNVPVTLSLLLSACQKSIPSGFQCS >CAK8560400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17464879:17466063:1 gene:gene-LATHSAT_LOCUS14074 transcript:rna-LATHSAT_LOCUS14074 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWNKPSRDHRKQHQRENPSFSSTLLDVIYRSIDEGHHKTEEKQEKLIFYKESSTTMRKMKSVVEAEKSNFRKTRKVENLNGRNSLTEYERTTRSNSNTLSMHSSSSSSESSSAGGFSSSDSESFYGLHKPKPIRTSVSEKPNIDSLNHSHNHNHFVQTQNPKNENSFGKTKSKALRILYGDLKKSKQPISPGARLASFLNSLFTSSGNTKKSKVSSSATKIATNSVLEAKSAQASTCSSVSSFSRSCLSKTPSSRSGTKRSVRFCPVSVIVDEDCKPCGHKNLHEGEKGLVANSMYDGKNTSEELRLHVIQESRRVQELARDLLKNYQKKNEVDFDMKFEDDDDDGASCSSSDLFELDNLSVIGIERYREELPVYETTHFNPNRVISNGFLM >CAK8567724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539074668:539077206:-1 gene:gene-LATHSAT_LOCUS20747 transcript:rna-LATHSAT_LOCUS20747 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDCVPSLEDGAAVLSLNSLPLGFRFRPTDEELIDYYLRSKINGNGDEVWVIREIDVCKWEPWDMPDLSVVRNKDPEWFFFCPQDRKYPNGHRLNRATNHGYWKATGKDRKIKSGTLLIGMKKTLVFYSGRAPKGKRTNWVMHEYRPTLQELDGTNPGQSPYVLCRLFKKNDESIEASNCGEVEQTNSAPMAANYSPEEIQSNPAPITAPTSQVTEEDKQLAVIPDIYEETISNAINSVECHSDGYDAHDVQKQIEKLAAEEDHAMNFDIYYNPKDGLLLDDRLFSPVLTHMPPEFDYQANSESDGQCGLQYGTNEINISDFLDSNLNWDQIPCEESSSYPQNFTPLLTVKDNGSGSDSDAEVANMMTCTQVAYPPEVIDRRIPFVTTPSFFRTFDYNGDDQKSNVVLLQNNFQTTFPSDVNYGEVYNINAYDQPRNYNNTFISGESGIIRRARPARDEQLKPNSKQGDAERRIRLANMGHDSNKSSKQEQHSSKPITTVEKKAAENHAADDENSTATNHTYKKRKASKSVVHRNISVLLGRVRAPFRSKTSSNCALWSSVFVVSASVLVSLVAFTNIWGCIKF >CAK8531260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94054721:94055074:-1 gene:gene-LATHSAT_LOCUS1065 transcript:rna-LATHSAT_LOCUS1065 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGVATITILILSIIIFFFTTLSSAQAPPAVSPPPSTCPNLRVCVSLLQNLVGIVVSPLQSKPCCSLIANLVDLDAALCLCTAIKLNVLGINPNIPLNLALVVCGRNTSINFVCS >CAK8569126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674317485:674319443:1 gene:gene-LATHSAT_LOCUS22010 transcript:rna-LATHSAT_LOCUS22010 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVIDQFKAFAKSGHDFFDGAFRRRNPFEILKRLQREAFSDLMKLRDRQEKVERMLSFYKSSKGGPFKESSTHVRGQMDFTGALLVIGDFNQQNLDIINRAGIKTGIDSRFVFETTIGKDNALAAEFVAIRKGKEHHGNAFEMPLSLAKLSYTTNVNDWFSLTAVPVGAQCRDVAVGSNSFDQSGKGLTDFSSFGPPLLNLRNGSAIGIAARKSCFIASLAQFVSGLGIPFDSNTMENSYSTFVQLACQFPRGTKLSVLGNHQLPFVSKQLRKFGAFTIPLVLSNQQEASETEPEASPYIGTRAELSGGSTAIMLESELDGFTKLGGWVEMNALNPKSAQWGVTLSDVSEDSFGWGMSLGGIGADHFQAESYLKFNMGDKFCLKPGLAFATDGNSTIGALMLRSNWSL >CAK8542650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535140869:535141123:-1 gene:gene-LATHSAT_LOCUS11472 transcript:rna-LATHSAT_LOCUS11472 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVVVMVEMFSVVEGGCNAIQLSLCLPAIRSNSAPSATCCSRLNDQKSCLCGYLKNPLSKPYVNSPGSRRVAEACGVGVPNC >CAK8537468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365267269:365267731:1 gene:gene-LATHSAT_LOCUS6758 transcript:rna-LATHSAT_LOCUS6758 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNYGSSSSTPEQIIRFSDWILQISDDRVSEPSDGYAELSIPNEFLLFDFTDHIEAIVTSIYPNFITNYKDPYYVQCRKILASTINDVDYINAYVPNLITRNEKEYLSCDSIHHSEATNFDAF >CAK8536884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33425858:33428026:-1 gene:gene-LATHSAT_LOCUS6210 transcript:rna-LATHSAT_LOCUS6210 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKTSTSYFVSRFLFRVLVVVGFVSFMFIASLVSGGRTTSTTTTKHDHQQVVVVGNHDAQLDFNYMSKRRVPNGPDPIHNRRAGNSGRPPGQS >CAK8565907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:350379890:350380898:-1 gene:gene-LATHSAT_LOCUS19082 transcript:rna-LATHSAT_LOCUS19082 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGKSSSVAGLTATVSKEPETGEFCIEAGALMLVDNGICCIDEFDKMDIGDQVAIQEGMEQQTISITKAGIQATLNTRTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDDP >CAK8561444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:218564668:218574339:-1 gene:gene-LATHSAT_LOCUS15036 transcript:rna-LATHSAT_LOCUS15036 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPQSSTLSDLLHFPRPLTASASLPFLSTPHQPPSHHPTDHRILTTLNRPTVIVGNLTLPTHTTPSSSHFPCSCFKFSDGSATVCCDILSFRLAAIGKQIRITAWNFIPLKHPGDRGIQTGFLEIIKWCFSDPNESNLPDFLPVTPNFPGTNCNNGSKNFRAVHGVVKSVSPISIVPCATPMSRGYNLNSSSKVNLLGFLVQLLCCECRLCGSRGLVNNLMNGSFEIENVNGHSFTKMEILYFCGNASLFHPVMTKLIGNRVVVSGLKKKLVYLTKEESCLMYLTLDETVLRVCLRLEKLTLCLKSEIKGKGECGSYTGVIRGVYMKGMVLELDNDVWFLLTDQLHTMIHGLRVGSIISVRNVHFVDPKFSWTKVVILGACVKTSIVVESFSPLETVCNVVLQSTSMLGKFIQSLPFSARLWVLLLVTSLRKKFACMLSDKDILGSKHKEGLAQMHASSLLPPSVFQTQHGAFMGMCRHDFNGCGREMHCGFLKLVVPMSIFIHHCINTLQRMLNPESHCKLSSVGKHFSILSRQARYNGRSGRRIISSEDVGIVLLGYLKVDPLTRRLQLVDATSGIDVLIPDLPLTWNSNDIFEVTNYDVIVDGIDELVDQLKFSESLSCRMIFNCIQVRREFSASISVYCLWKNVKCRNFPLYPCIYSKNETKILETGSYHLLRVSHKFPPQEKHSNNVRSNKSSTFVEAILLPYILLLDGKSGVSCPCDVYGDKTIEISKYCFNGNNEEQISIKRQKLIKKSVNTSKDKFRTSVYELNACSNSFSESKENKNCDNLSSLDISCMVTFRGLKKENMVFPALLRSTSSPRKDTGFNSKPTAKNVLLEFSSDRFLKYQLLQIGGYYIIDHNAKDCFSTTNGADFGSSSTAKFVIDYGKHIWSLAFIYDDVLFNGKSAYASVEDSSPPVTDVVLPKVQIEKQLLSSNDDSSSVCSDVCLYLPVNLTALLENTIMESEDDKIKKFATSEQSANISFNIGTVVAWPSFCSMPPSSNRLFPEGKLISFKGNVVDIHDINSSFCNSCTSGASLDALQMKCLVGTRGNFCIHVLVHHNIMNIFGSISKHAFPTGFGPGATATFHRILDARAQKFILLPVSFIEINSIEVFDKQCSDRFFTLRPLKDAYIACQDSFSCLISQLPQCQSQKQIVLRCRVVAVIFSILERKTMNLHAETKLNSKGILLDIPLTCFLLDDGSSSCCCWANAERAATLLRLQEEPTTSYHLGRILKKHKRITVKNCGSFIDFPYQDLVVSVVSGDALNSSDENLIKYIIFNACVGRTWNLVASLMDSEEVTQLKNEYVTQMVNMQSMQNIWAKEVYCSHALAEARNTIQELLNC >CAK8561445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:218564668:218565369:-1 gene:gene-LATHSAT_LOCUS15036 transcript:rna-LATHSAT_LOCUS15036-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHADDGSSSCCCWANAERAATLLRLQEEPTTSYHLGRILKKHKRITVKNCGSFIDFPYQDLVVSVVSGDALNSSDENLIKYIIFNACVGRTWNLVASLMDSEEVTQLKNEYVTQMVNMQSMQNIWAKEVYCSHALAEARNTIQELLNC >CAK8561446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:218572462:218574378:-1 gene:gene-LATHSAT_LOCUS15036 transcript:rna-LATHSAT_LOCUS15036-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLTNKHQALQMEHPQSSTLSDLLHFPRPLTASASLPFLSTPHQPPSHHPTDHRILTTLNRPTVIVGNLTLPTHTTPSSSHFPCSCFKFSDGSATVCCDILSFRLAAIGKQIRITAWNFIPLKHPGDRGIQTGFLEIIKWCFSDPNESNLPDFLPVTPNFPGTNCNNGSKNFRAVHGVVKSVSPISIVPCATPMSRGYNLNSSSKVNLLGFLVQLLCCECRLCGSRGLVNNLMNGSFEIENVNGHSFTKMEILYFCGNASLFHPVMTKLIGNRVVVSGLKKKLVYLTKEESCLMYLTLDETVLRVCLRLEKLTLCLKSEIKGKGECGSYTGVIRGVYMKGMVLELDNDVWFLLTDQLHTMIHGLRVGSIISVRNVHFVDPKFSWTKVVILGACVKTSIVVESFSPLETVCNVVLQSTSMLGKFIQSLPFSARLWVLLLVTSLRKKFACMLSDKDILGSKHVLTERRTSSDAC >CAK8543966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652709179:652713006:1 gene:gene-LATHSAT_LOCUS12685 transcript:rna-LATHSAT_LOCUS12685 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCVSTLAAFAALAVTVTVVYGSFPTSFLPLERTIPLNHRVELTTLRARDRARHGRILQDTGILDFSVQGTSDPYLVGLYFTKVKMGSPAKEFYVQIDTGSDILWLNCNTCNNCPQSSGLGIELNFFDTASSSTAALVSCSDPVCSYAVQTATSQCSSQVNQCSYTFQYGDGSGTSGYYVYDTMYFDVIMGQSMFSNSSSTVLFGCSTYQSGDLARTEKAVDGIFGLGPGALSVISQLSSRGMTPKVFSHCLKGDGNGGGVLVLGEILEPNIVYTPLVPLQPHYNLNLQSIAVNGQLLPIEQNVFATANNRGTIVDSGTTLAYLVQEAYDPFLNAITTTVSQFSKPIISKGNQCYLVPTSLGDIFPKVSFNFMGGASMVLKPEQYLIHYGFLDGAAMWCIGFQKVQKGFTILGDLVLKDKIFVYDLANQRIGWTDYDCSLSVNVSVATSKSKDAYINAGQISVSSSHDGFLPKLLLISAAAFLLHIVLFIEPQIL >CAK8574995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20356156:20356480:-1 gene:gene-LATHSAT_LOCUS27288 transcript:rna-LATHSAT_LOCUS27288 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKCGSSCNCGDSCNCNKSGLSYSEMETKETVVLGVAPAKIHSDGAEMSVTAEDGGCKCGDSCTCDPCNCK >CAK8565039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:30766354:30766611:-1 gene:gene-LATHSAT_LOCUS18280 transcript:rna-LATHSAT_LOCUS18280 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANKSWPGERSEEVKDEVFQHFSEAFIEDDQDRPTPNGISFNRISEDERMQIEAVFMDNEIKETFWSCDGSKSLRPDKFNFFS >CAK8538450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483012187:483018323:1 gene:gene-LATHSAT_LOCUS7642 transcript:rna-LATHSAT_LOCUS7642 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFDAINIRDLLSAQDLSDRSSPLSAPDLRLLIDRVESHSLQIRSQVQSYLASHHDDFASLFSLCNDAVSQTLQVSDDLAGVLRLVSERPADVEVREVVEEMKSKSEELKVKRELLGLVGIIVNLNKRLESVKEALKNGKFQFAAQWLKELKVALRIGDEDDREPLVYGLLRKEWSQCFEEIQEVLVKFMDKAVRFDGDLNQVEVKYHLEVQNLSGIQLQMVLEAMEVVGILEYGLAKVADLMIKYVIIPFINRGQPLSFLDESNQNSAVLKIVPTPDSKLEYLDGELLYSGIVLFIKFIYRSICFKNSSWIRSFGRLTWPRISELIISSFLSKVVPTDASKLPDFQKIIKCTSDFETALKELMFISSDDKDNRLSNFAENVEVHFAFKKKTEILAKARNLLHECDFSIPQEYTRDGYIWKNDETSDLSSSHVVDLLFSSERCLVSKAAKQLMELIHQTLQDVCLSSTKVALEFYNAARDAILLYEVVVPVKLERQLGSFNQVAVLMHNDCLYLSQEILGFAFEYRADFPSSMKEHAMFADLAPRFQLLAEEILQRQVHLVIYNLKEAIESADGFQNTHQMQQFESAKFSIDQVVFILEKVHIIWEPFLLPSTYKKSMCAVLESVFSRISRDILLLDDIAADETLQLQRLIHLMLESLSSLFESLATGDPNLREFSAEFLEDLIPSLRKIRKLSELLDMPLKSITASWENKELLSCGFTITEVEDFIKAIFADSPLRKDCLRRIQNTSF >CAK8574024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654549688:654551988:1 gene:gene-LATHSAT_LOCUS26415 transcript:rna-LATHSAT_LOCUS26415 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHLFCFLLFCHLMLILSSGHGSRYQSNCPPSFTCGNRGIFRHPFTTSEQPECGFIFIHGCNGSYYSPKWIQLEKNAKTTELTAVIDQNTITLSDPDFYQRLQYNVCDTLNHNYTLPPPSPLVSFYINYNVTLFQCNNSRNLNPPSHYFKYNCSSYIIYYDRKQHSNVTKEKAHSFFSSCSLLQFPSKDLTDTKNILSFVSGQMVVKIVLSADCEECCNHRRGRCRLNANNKFYCHYEPKNKRQYFKLLLGLGIGLGITLVALGILIVRCLSRRKRALSDLQNQSKRKSYNDEIDPYRNQDLENDTVYFKIPLFSYKELEEATNNFHQANQLGSGGFGIVYYGRLQDGREIAVKRLYEHNWRRVEQFTNEIEILARTRHTNLVSLYGCTSHHSDELLLVYEYVPNHTVDFHLQGDLARTDTLPWHIRMRIAIETASALAYLHASGIIHRDVKTKNILLTNSFSVKVADFGLSRLFPHDITHASTAPQGTPGYVDPDYHQCYQLTNKSDVYSFGVVLVELISSKLAVDMNRHKDEINLSNLALKKIQKGEFSELVDPNLGFDSNNEVKTMIVSVAELAFQCLQREKELRPSMDEVLRVLMRIESGKDEPEHIVEDMHPPSPDGNEDEIGLFQKTMRQPSPKAVVDEWDSQSTTSNTISGR >CAK8543642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628611715:628613625:-1 gene:gene-LATHSAT_LOCUS12388 transcript:rna-LATHSAT_LOCUS12388 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFRTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8544673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698644917:698645941:-1 gene:gene-LATHSAT_LOCUS13330 transcript:rna-LATHSAT_LOCUS13330 gene_biotype:protein_coding transcript_biotype:protein_coding MACFNLNLLLLASLCAFLFLSNPAHSSDKVDSVLKGINSYRQTKNLAPLNKNDKASCLADEVAEEIQHTPCEKVTQYYPTSGPGGNLRIPNLLRHVDKCDINVNTTADGVILPVCVPKLEPTVVLSSYTHNDIYAKFLNNSKYTGVGLNSEDDWMVLVLTTNTTTGTFSAATSLHANVVWMALLFLVILIINYIP >CAK8541236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:93870276:93872594:1 gene:gene-LATHSAT_LOCUS10169 transcript:rna-LATHSAT_LOCUS10169 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPRFARKFSYLSRDFLKNPSVKSEISTVIPLQPSSTFSPSPSHFNTFLYQNHYAQFEEQAHKETNASTNGFQENIFDGQNPMTFAPNNTKKEVMHGRLNTSNGIWDLSRKNLFRHGETSQHQVRPSFSPSLVYDANPSIAVKSNLRGDLPFIGRIGNKSLDNDQELVPSDKKRHKRVHKNVETQQRDLNIIKGQWSDNEDRILIQLVDQFGTREWSRIAKFLNGRIGKQCRERWNNHLRPDIKKDSWSQEEDRILIEAHKKLGNKWSEISKKLPGRTDNTIKNHWNATKRSQIAKKRRNKRKSLKLSPLQKYIIEVEAAKEAEKKRLANSISRMNIGNQPSFNNGFRSQGLATPGGETEAYVPMMVNGGDDGMGNGSGAMSYDYDSYAMEFFPEVPLKQEIDLMKMFHKNS >CAK8535142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817138710:817139075:1 gene:gene-LATHSAT_LOCUS4618 transcript:rna-LATHSAT_LOCUS4618 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRVAPKNILADIKQERPESVSNIKQVCNARYRNNMAIEGPISETQQLLKLLDDNHYVSMYELCEDKVTVHDIFWTHAESIKLFNRFPTVLIIDSMYKTNKYKLPLLEIVDVTSTEKTF >CAK8572432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536735733:536736909:1 gene:gene-LATHSAT_LOCUS25002 transcript:rna-LATHSAT_LOCUS25002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEQKFPPQKQDTQPGKEHVMDPLPQFTNPDYEPSNKLQGKIAVITGGDSGIGRAVCNLFSLEGATVAFTYVKGDEDKDAKDTLEMIKKAKSVDAKDPLALAADLGFDENCKRVVDEVVSAYGHIDILVNNAAEQYECSSVEEIDEPRLERVFRTNIFSYFFMTRHALKHMKEGSSIINTTSVNAYKGNAKLLDYTSTKGAIVAFTRGLSLQLVSKGIRVNGVAPGPIWTPLIPASFKEEETAKFGGQVPMNRAGQPIEVAPSYVFLASNQCSSYFTGQVLHPNGGSVVNG >CAK8577324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555441686:555447693:1 gene:gene-LATHSAT_LOCUS29440 transcript:rna-LATHSAT_LOCUS29440 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGSFFFNFRGRIQPWIRDYDSLQSFAVILIYIQIGCALLGSLGASYNGVSLINLAISLFALVAIESSSQSLGRTYAFLLFCCILLDISWFILFTREIWNISSDSYAKFFIFSVKLTLAMQIVGFIVRLSSSLLWIQIYRLGASSVDTASRAADYDLRTSFLSPSTPALARQRSNSNEILGGSIYDPAYYSSLFEDNQENKSTYGMPCHDIAQNGSTSAAGISQKISTERSFQAVDEENV >CAK8562444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493035975:493036271:1 gene:gene-LATHSAT_LOCUS15939 transcript:rna-LATHSAT_LOCUS15939 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTLMKTTFNYYHREIRRTDIEASNWIDNIPREKWARAFDEGQRWGHMTSNLAEAKATRNLPITTLVQSTYY >CAK8571186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:330464802:330465370:1 gene:gene-LATHSAT_LOCUS23875 transcript:rna-LATHSAT_LOCUS23875 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVIVEALKMDSLQRLYSSVETLLKKIVSEEVERALAKLDHDKLDDSLHRRGKRICNFISEQECHHICSWEGRLRESKERSSMLSFGNTIISSIRTIHGMVHG >CAK8564065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649170727:649171424:1 gene:gene-LATHSAT_LOCUS17406 transcript:rna-LATHSAT_LOCUS17406 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPDFDHMMSSSIFLLYCLLTFSLYSISQNTSHSYSLDFTPSRKLSMSSPGHDHKFHETQVADERMESAPTNADFTALEITSNSYSPHDLIYHTDYHGVTTHPTPKHPKP >CAK8538159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466248401:466248874:-1 gene:gene-LATHSAT_LOCUS7389 transcript:rna-LATHSAT_LOCUS7389 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVSLLVAWIFQRFPMIVGWGSAPDYTELMPHARAFLPLRGNQAVDPYRVYLDRLAAEDIRYDVYADHHVTRPFDDISLFSGCLTCSSTIIVPYLADCVMRKFGYTHGTGRGSGDQSAERLELR >CAK8564635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3561285:3562121:-1 gene:gene-LATHSAT_LOCUS17920 transcript:rna-LATHSAT_LOCUS17920 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKSLTNSNCTTDGDWTVILPRRGRQRRKDTEVERVSEETQEPWAPTDSQKDPIREAALMQRMERYISKIENSQFYQTFRDQIETSILDYFHIVLGSETSMQMVIYGIGSIELYEPPCLQLSIAMLMKRDLNLIGNIEVFDPILSVTESCVLEALGCSVMSINEHGKREAVKPTMFFMPHCEAELYNNLLQANWKPSFLNNMVLFGNSFEEYEQHVSLCKNSPVLNSVKYILAARSFTDEIKIETIPDDYYNAFHDSSWHFFSPVHDTELQFINS >CAK8537843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441277038:441279502:-1 gene:gene-LATHSAT_LOCUS7097 transcript:rna-LATHSAT_LOCUS7097 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLECWPTRTTTTATTTEDDTAEQQVLMRTHHRSEVPSTTSSSLPSSSKDSSTLIHKNKFHKFSRNFSDAITSFKNTLNLNNNNNPESPPSSKKLAWASVLRNLTQLYPGTQLPDNLISTIRNHYHSLPLSYAQAEFDMKDVFLHIKLIEQTLESDQPAIVIQQERGGGGGGEVQVEGSVLKLSFACNALISWPAMSAVLGSFSICSKRAQIFEKKGFTLGVVLVVVRSGHEKMVRNQVENALKFSLKKSKTGAVNVKLPFGLCGCQEESFKGRELGEIGEDSSSGKEFENSSQKIQLQVPLPTSSFIISVDEWQTIKSGGGEIEKWLLNADNIEFTEQIRHNSYKGVYMGKRVGIEKLKGCEKGNSYEFELHKDLLELMTCGHKNILQFCGICVDDNHGLCVVTKFMVGGSVHDLMLKNKKLHSKDIVRIAVDVAEGIKFMNDHGVAFRDLNTQRILLDRHGNACLGDMGVVTACKSVGEATEYETDGYRWLAPEIIAGDPESVTETSMSNVYSYGMIIWEMVTGEAAYSALSPVQAAVGIAACGLRPEIPKDCQPNLKYIMTKCWNNTPSKRPRFSDILAILLQPCNNNR >CAK8541794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:397685983:397686786:-1 gene:gene-LATHSAT_LOCUS10689 transcript:rna-LATHSAT_LOCUS10689 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQEEVKLFGVVGSAFVHGVQIALKLKGIEYEFVEEDLANKSDLLLKFNPIYKKVPVFVYNEKPISESNVILEYIDETWKQNPILPSDPYQKALVRFWSKFIQDKVVASFSKAVRAFNDEKERAKNIAETSEALQILENELKDKFFGGEDIGFLDIAAVFVAFTIPLIQEVAGFQFFTAEKFPNLYNWSQKFLNHSIVKEIVPAREPTLVYFKARYELLVSASK >CAK8565695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:308375961:308378687:-1 gene:gene-LATHSAT_LOCUS18885 transcript:rna-LATHSAT_LOCUS18885 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVSLVIDQLLPLITKETKLLRGIHKEFADIKDELESIQAFLKDADKRSATTEGIKTWVKQVREAAFRIEDIIDEYMILVGQQPLHHGFLSLLHKLKAMISRRRIASEIQDIKSYVRGIKERSERYGFQRSLEQGPSNSREIRNSKWHDPRLAALYIEESEVVGFEEPRKRLIGWMVKGRDERTVVSVVGMGGQGKTTLAKKVFDSKDVVRNFDCRVWITVSQSYTVEELLKDTLIKFCKATGDDAPRDISQMDRGSLTEEVRKYLQQKRYIVVFDDVWSVHFWDDIEFAVIDNQNGSRVFITTRNQNVAVSCKKSSFIEMLELQPLTQEQSLELFNKKAFKFDYDGCCPKELTGIANEIVQKCNGLPLAIVAIGGLLSTREKNVFEWQRFRENLSLELKKDTNLIGIKEILGLSYDDLPYYLKSCLLYFAIYPEDYVVRSKSVIRHWIAEGFVKEERGKTLEEVAEGYLTELIHRCLVQVSSLRYDGKVKRCRVHDLIHEMMLEINEDLNFCKHIIDDGQSNLSGIVRRLWVTTKSRTGLDDLNLHIERLHLRSLYYFKSGRFYLMDYLRIPTKFRLLKVLDSEGDDLMYGLHEVGSLIHLKYLKLNYIGNTKPPKSIGMLQNLETLDARRAKIELPKEISKLRKLRHLIGYRISLVHLKNGIGEMTSLQTLRNVDLNMDGAAEVIKELGKLKQMRDLGLVNVHEEVESILSSSINEMQHLEKLNVELPFQSDKSIGLDLISTRMMLRKLSLNGMLKRLPKSIPMLQNLVVLRLKCSYLTKDPMQSLKSLQHLLILSLTYSAFEGLCLHFEDGGFQKLKELYVENLSELRDIIIEKGALPSLKKLQLLWLFNLKNLPIGILHLEKLEVLNIQDTRCKIEQNIYTEDLSWITEHMPSVKINCYDS >CAK8570513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53653686:53654635:-1 gene:gene-LATHSAT_LOCUS23256 transcript:rna-LATHSAT_LOCUS23256 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVYGKAINSPTEVTNDVCMENLGVEPTEADKNRGSVRITWLENLYEVLKNNPAPTQKHTILQAKVYILLVIATILFLDKSQNLLHSSWIPFVGDLEKYNTFSWGSACLAKLYRKMCKTAIKDVKSMIGCVILLTSWTFTCIPLFDPVSTLQPSYPYAQRWTQRRINYDVNPRFHLQGYRNALDHMQEKDVSLKP >CAK8573587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623237922:623243560:-1 gene:gene-LATHSAT_LOCUS26020 transcript:rna-LATHSAT_LOCUS26020 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNPLLRPEIGPDGLAREASVIGYTERSIEEEQLQLHKYIQENYSKIRNVERELANLTLEMKLTAGPKKSALEHLRKKIEQSTEKIRVAKIKEEQARKAWESAVQAVKDEEAIKEKLCEDLSNLVQESSQSQFSRLEELKRRLEALNPSHVTTNHDGRSESTSQDSSSNPNARESSGGSAASVNTDQSNGQKVAVTDRPNQQPPNEGEGRNKKKVNFQLKGKGIGAVSKGRSSAHGWTGAGFDA >CAK8572229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523409488:523411866:1 gene:gene-LATHSAT_LOCUS24817 transcript:rna-LATHSAT_LOCUS24817 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDDDSLNSLRPVYGLVFLFKWRPGEKDERAVIKDPNPNLFFASQVINNACATQAILSILINSPDIDIGPELTKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPDEQKAAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCAGGQGDMEWLKLVQPVIQERIERYSQNEIRFNLLAIVKNRKEVYTSELKELQKRRERILQQLAASKSERLVDNSSFEVLNNSLSEVNAGIEAATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSSR >CAK8564356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669639439:669640074:1 gene:gene-LATHSAT_LOCUS17670 transcript:rna-LATHSAT_LOCUS17670 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNASNSLFLCLILFSASQFLVINCAEFEVGGRVGWVVPTSKDSNEMYNQWASQNRFKIDDTIHFKYDKDSVMMVKEKEYEKCKSDRPLFFENNGSTVYKFKRPGMFYFISGVSGHCTRGQKMVIKVLDIEPIKTPSPQSANETAPIAHSKATQMAPISVTAFTLFVLSFLGMVYV >CAK8563946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640881099:640881529:-1 gene:gene-LATHSAT_LOCUS17300 transcript:rna-LATHSAT_LOCUS17300 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVRTAVKVSGDHKTTPSSLDLNGGIGVTPPYNSSYVLATRSSRQVLSYWTCSKLCAIFFVGGVVFGYTLRGRVKRWASKILKKLN >CAK8542576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526973665:526974186:-1 gene:gene-LATHSAT_LOCUS11404 transcript:rna-LATHSAT_LOCUS11404 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTFNVRGCCSSGKRQRISQIIQRGNADICMIQETKCNKMEAGNVNNMWSIVDKDWLAQNSVGNSGGILSMWNTTRISAFSSFSGKGFLGLHLAWNNHNLVIINVYAPCDPADKRRLWRDLINIKNNYPDVGWIVGGDFNSVKNGEERKGLSGNNSRDMKEFNEFIEEFNV >CAK8535706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875780996:875781682:1 gene:gene-LATHSAT_LOCUS5138 transcript:rna-LATHSAT_LOCUS5138 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVFVDGAHAIGSLCVDVKEIGVDFYVSNLYKGFFSPPSVACTYCNKKLKDVLHYVVAHEYGKGLPAESAWVGMRDYSPQFVVPSILEFVNRFEGGIEGIMRRNHDLVVKMGIMLKESWGTILGAPPEMCTAMIMVGLPSKLRVTSEDDALRLRFYLRVYHAIEVLVYYQALRNAERDPRDKDGFITGCVRISHQVYNTVEDYHRLKTAIVQILDDEKFAVNFLKSD >CAK8576391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469086464:469087907:1 gene:gene-LATHSAT_LOCUS28580 transcript:rna-LATHSAT_LOCUS28580 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSFFKSLLFFLLTISDSFMQINCLSFGINYGQIANNLPSPSRVAVLIRSMNVTKIKLYDADPNVLLAFSHSNVEFVIGLGNENLQNMTNPSKAQNWIQHHVLPYLSQTKITCINVGNEVLNSNNTQMMLNLLPSMRNVYTALVNLGLDRLISVATAFSFNNLENSYPPSSGSFKQDLIQYIQPLVEFLDEMKSPFLINAYPFFAYKDNPNEVPLNYALFQPNQGLVDPNTNLHYDNMLYAQIDAVYAAIKVLGFTNIEVRVSETGWPSNGDSGEIGATIQNAKLYNGNLLRRIEEKQGTPGKPLVPINVYVFALFNEDLKNGPASERNYGLYYPNGSPVYNIGLQGYLPQMALPSKSNVLSFNFLVWIVVTYLIFAWEL >CAK8560066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6314286:6323161:1 gene:gene-LATHSAT_LOCUS13779 transcript:rna-LATHSAT_LOCUS13779 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIIYLTNHPHFLKKAKEFSLEEVSSMKHPVELLKEVKKVSFTAIVHVFMGSCNHNVVKKIESLFEDLMNGLNSLPINVPGFTFHKALKAQEKIVKILEPVVSERRMKIKNGQHMGEKKDFMDILLDMKDVNGRKMKDEDISDLLIGLFAAGHESTTTGIMWTIVYLTNHPHFLKKVKHFMMFFLHLRSPQFSSPVCLTLVEDQGDAWLPP >CAK8572383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533547899:533553789:1 gene:gene-LATHSAT_LOCUS24954 transcript:rna-LATHSAT_LOCUS24954 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISREVESGIVTEVKEDNSLRVESSRKVEEVSTSRGEGNVVEVRVKKVKEKEEKIDGDGVQRPKGERRRSKPNPRLSNLPKHLQGEQVAAGWPSWLTAVCGEALNGWIPRKADTFEKIDKIGQGTYSNVYKAKDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSWSLYLVFDYMVHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDSEGVLKIADFGLASFFDPNRRHPMTNRVVTLWYRPPELLLGATDYGVGVDLWSAGCILGELLYGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYRRSIRELFKEFPPSALPLIDTLLAIDPVERKSASDALRSEFFTTEPYACDPSSLPNYPPTKEMDVKRRDDEARRSRAAAKARVEGGKKHRTRDRAVKAAPEANAELQHNIDRRRLITHANAKSKSEKFPPPHEDGQLGFPLGASNHIDPDIVPHDVSLDSMSYTFSKEPFQAWSGPIGNTTGNGFTKRKKNTANTANDALDLSKPYKGTLKDKSKGKKIIA >CAK8531816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:156611598:156612633:1 gene:gene-LATHSAT_LOCUS1581 transcript:rna-LATHSAT_LOCUS1581 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPSVMPPSSHGISSVPLKGASVAKRKTPSELRGEQLKREIFVDYTDESSTSAGSSKAAEMDNRLKKPGSFRAPRYNDTRLDDVFSAKKPRYRHAYGKENVKAMG >CAK8573291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600971541:600976081:-1 gene:gene-LATHSAT_LOCUS25758 transcript:rna-LATHSAT_LOCUS25758 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAQHRCVFVGNIPYDATEEQLIEICQEVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYDINGRQLRVDFAENDKGNDRNKDQGRGGPGMTPIVDPQKQVGIPAVHGESVQAAQHQPIGLHFAVTAAAVMTAALGGAQTGIQSNQSGLQNQSALTNDPLTLHLSKMSRSQLTEIISEVKGMATHNKELSRQLLLSRPQLPKALFQAQIMLGMVTPSMLQMANLRQGSDQTSQLINEGHIGQTPQTLAQTVAGLPPYGQSKLQSGLTPYFQEGQANPLPHNPLAPNQSTANPRPPLQPRLPLQHHPSNHFVQPVTGQNNLILPSVRPPTLGSLSIRPPIQPVNSTAMNQQMHASFLQHPVRVGSSTVSHNIQMVRPDASFQADPSMSSGTSQLFSKEGDRSSKAPEDWTKNSSNYSNMSAGLENIGMTRDIPESFTRPLKQTRLNDGRKSSLASGTSDVPVSNGSSHVLGSSLLLAPAVPKAEVRHPDQQSSQLPTDVESVLLQQVLNLTPEQLSSLPPEQQQQVIQLQQALKRDQMQPA >CAK8542204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:488736103:488739032:1 gene:gene-LATHSAT_LOCUS11063 transcript:rna-LATHSAT_LOCUS11063 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREESAVISNAQVQQLHPAAGARNQTTGAGGAIERKNSSLRHHLTDSASDLSESCSTPRWNNANNNNTLLYTHVIAFTLYELETITKSFRADYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLTWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPGKEQSLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSTVGADEVSLSGSNSGSGGPFAMNKISDYRMRHKFSNNVGPGATCRSPNPNCSPGPAAALRVR >CAK8532651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:351615760:351616103:-1 gene:gene-LATHSAT_LOCUS2334 transcript:rna-LATHSAT_LOCUS2334 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSKWGNEKYTCKKTLGCRRMKLQMVLPLPFLPTYVVVTSFEAVPCSVTIELYHRVRFWYSFDFPKPLCLFLVPARQTRVAISATSNE >CAK8538230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472100698:472101426:-1 gene:gene-LATHSAT_LOCUS7452 transcript:rna-LATHSAT_LOCUS7452 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASDRFCSPNSLDAKLVKGKIVLCEGSQDTDEALKVGAIGALTQGQGFRDVADYFPLVESYLQPKDASNIHKYIHYARTLMATIFKSHELENALAPVVASFSS >CAK8560634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:32388884:32390594:1 gene:gene-LATHSAT_LOCUS14294 transcript:rna-LATHSAT_LOCUS14294 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHIDNLWIFALASKCTQENIVYSLLIMALLWLTMNFFYWSHPGGPAWGKYYYSNNINKNKNNLNSSPMFIPGPNGYPLIGSMNLMSSSLAHHHIASAAKTCKATRLMAFSLGVTRAIITCNADVAKEILHSSVFADRPIKESAYSLMFNRAIGFAPYGVYWRTLRKISTNHLFSPMQIKSSGPQRTEIATQMIDLFRNRGFGPVRDVLKKASLNNMMCSVFGQRFKIDEVNERVMELSGLVEQGYDLLGSLNWGDHLPFLKDFDVQKIRFNCNNLVPNVNRFVGSIISDHRADKNQTNKDFVHVLLSLQEPDKLSDSDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPDVQRKVQAELDTVAEGGACGIMEEDMAATVYLQAVIKEVLRLHPPGPLLSWARLAITDTTIDGYHVPAGTTAMVNMWAIARDPKVWRDPLEFNPERFMSEEGVEFSVLGSDLRLAPFGSGRRSCPGKNLGLATVTYWVAKLLHEFEWKPLDGLDGMVGVDLTEVLRLSCEMANPLNVEMVPRRLVK >CAK8566156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386062337:386063611:-1 gene:gene-LATHSAT_LOCUS19316 transcript:rna-LATHSAT_LOCUS19316 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDCSILTNPMEVTGEPIRVQSLLQSGLSRVPPEYIQPPQKRPIQTDPDANIPVVDLFHFNTNHRDSTREAIAGACHEWGAFHVTNHGIPATLLDTVRRAGLSFFNECPMPEKLRYSCTAGAAASEGYGSRMLVSSKEEGNDSVSEVLDWRDYFDHHTFPLSRRNPNRWPNFTPDYRESIAKFSDEMKILAQKLLALISESLGLRSSCIEDAVGEFYQNITISYYPPCPQPDLTLGLQSHSDIGAITLLIQDDVGGLQVLKNGDDRWVTVQPLSDAILVLLADQTEIITNGKYRSCVHRAVTNPDRPRLSVATFHDPAKTVKISPASELITDSSPVKYRGVVYGDYISSWYTGPEGKRNIEALILES >CAK8566157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386062337:386063578:-1 gene:gene-LATHSAT_LOCUS19316 transcript:rna-LATHSAT_LOCUS19316-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGEPIRVQSLLQSGLSRVPPEYIQPPQKRPIQTDPDANIPVVDLFHFNTNHRDSTREAIAGACHEWGAFHVTNHGIPATLLDTVRRAGLSFFNECPMPEKLRYSCTAGAAASEGYGSRMLVSSKEEGNDSVSEVLDWRDYFDHHTFPLSRRNPNRWPNFTPDYRESIAKFSDEMKILAQKLLALISESLGLRSSCIEDAVGEFYQNITISYYPPCPQPDLTLGLQSHSDIGAITLLIQDDVGGLQVLKNGDDRWVTVQPLSDAILVLLADQTEIITNGKYRSCVHRAVTNPDRPRLSVATFHDPAKTVKISPASELITDSSPVKYRGVVYGDYISSWYTGPEGKRNIEALILES >CAK8578689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647199675:647204376:-1 gene:gene-LATHSAT_LOCUS30679 transcript:rna-LATHSAT_LOCUS30679 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSLPSCINLRNQPWSPSSLLLKPSSLPNIRFYSHHSNKKNLTSFSISAQSSAFDLSPPPIDHDFLDTVKTAGAEVSGEGIIETFHNDDEALDAADNGVVVVDLSHFGRIRVSGDDRVQFLHNQSTANFESLQAGQGCDTVFVTPTARTIDIAHAWIMKSAITLVVSPETCRTITEMLNKYIFFADKVEIQDITKQTSLFVLVGPKSGQVMENLNLGDLVGKTYGTHQHFYVDEQPITIGVGNIISEGGFSLMMSPAAAPSVWKAILAQGAVAMGSNAWNKLRVIQGRPAPGMELTKEFNVLEAHLWNSISLNKGCYKGQETIARLITYDGVKQRLWGFHLSAAAEPGSIITVDGKKVGKLTSYTSGRKQSEHFGLGYIKKQAASEGDSVTVGDNITGTVVEVPFLSRQRPLSGSSTS >CAK8578838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657743842:657756292:-1 gene:gene-LATHSAT_LOCUS30821 transcript:rna-LATHSAT_LOCUS30821 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRCILEISSRQTFRRNPRHFINQKIPSHLSSRKEFSNASRPAGAPAPGSTGKPPESHGSRSKFLIGSAAVSAALLAAYQFGFLDKYLEKEKLSDPQEAQIDDAIGDLKSGQHSIEELVSTSNEKSNNENPAVEHAEQKVDTSLTQPEIVIEDSSDKPIPVQDISDIAEDRNAGAKENQFLEYSQSSLTSDDPSKESVVQSDVIVGIKSTEIDVSLKPEEEIQHTSTSTENNAFLNENGIENIQPKQNEEIEERSENVLAKDIEQPPTLLEEYHLRNKSEGSLPIYLHGHGLTENGHFSEEKEALSGVIEDLKDGYATSKDGKLVIEFVQAIHAAEKRQAAIDARAFNEEKKTLKEKYEKKLKDAAARELMLAEESAMLDRELKRERAKASLAIKSLQEKMEDKLKIELEQKNIEAELKLKAVQELAQAELNAAIANEKASQLEKMSEANININALCMAFYARSEEARQSNAAQNFALRALALEDALSNGLPIQTEIESVQSYLEGIDKDSVLDLVLASLPEETRNKGTDTQLQLKQKFDFLKGNIRHFVFFPPGGGGILAHSLARVASLLKVREADQSGDGIESVINKVESYLAEGKLAEAADFLEESVRDTQAEEIVAGWVKQARNRAISEQAVVFLQSYANSISLT >CAK8540592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11603673:11604200:-1 gene:gene-LATHSAT_LOCUS9584 transcript:rna-LATHSAT_LOCUS9584 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRGKLKKQTSRPPKQSNSSGKATSVVEEELENVEALEPVATEGEKVKEKDVLGDAENPKKEDGENLNESKETLWVDVIRGNQNTMNGMDIQYVAPKIIDGQVEVEIAEEDVESEVWFYETSLIMYVIGTNLSTHAIKNYMTRTWNFATLPKMYYNDECYFILKFKSERIGIR >CAK8538630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489485840:489486956:-1 gene:gene-LATHSAT_LOCUS7810 transcript:rna-LATHSAT_LOCUS7810 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDDVPEVSERGLFKSEKDKEDDKEEKNDGFVEKVKDFIHDIGEKIEGVIGIGKPTADVKGIHIPTVNLHKIDLVVDVLIKNPNPVPIPLIDINYLIESDGRKLVSGLIPDAGTIHSHGEETVKIPLTLIYDDIKKTYADIKPGSIIPYLIKVDLIFDVPVLGRFTLPLDKTGKIPIPYKPDVDIDKIQFKKFSMEETIANLHLKLENMNDFDLGLNALDYEVWLGDDNIGGAQLSKSANLEKNGISYIDVPITFRPKDFGSALWDMIRGKGLGYTMKGNIDVDTPFGGMKLPISKVGGTTHLKKDKGAGGDDDDDDED >CAK8531317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100524044:100529026:1 gene:gene-LATHSAT_LOCUS1119 transcript:rna-LATHSAT_LOCUS1119 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDTTSSSSPSTNTGRIRHRKRSNEVIPEVSKSNGAQLLVNDKSKYKSMLIRAYSSVWMIGSFVLIIYMGHLYITAMIVVIQIFMARELFNLLRRAHEDKQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVNSDKVLYRLVSSLIKYHMVVCYSLYITGFIWFILTLKKKMYKYQFGQYAWTHMILIVVFGQSSFTVPSIFEGIFWFLLPATLIVINDIAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFMLANIMGRSPWFTCPRKDLSTGWLDCDPGLLFKPESYSLLGWTPHWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSLSVEMILDQILMNLSFDEQEALYRRLGEMLQQGIERMS >CAK8563334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593192991:593195736:1 gene:gene-LATHSAT_LOCUS16758 transcript:rna-LATHSAT_LOCUS16758 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGIVLKLLQAMNTDTRVTGDHRSPLLQLIGIVPALAGSDLFSNEGFYLNLSDSLNSTYVLLSHPDTELILSNRLQLGQFLYVDRFHFNTPLPTVSNIRPLTTRHPFVGTPEPLIARISPSTRHFSVQPLSDSDDPLSQYLSTNTTTPSPIPMNYQHHQQKQPQPQKANESRKPLAQRDNLPPPQRFSSPATAKRSQSAGKFNKTSAERDPSPAGKGKRSSSPVPSKCVVPSLVSAREENRKVSREAAIIVPSRYRQPSPTARKQPSPNPRRASISPGRRLSGGIKFSPAVDSSGKKKIVAGISKISDALAGKTRKNWDEQNIEGGESNEKEKTRVDSNSIIRTQAAMLRRLSDVKSQKSDNNDSEENTIVSSPQSCLETKNSKFSGLGITIHEKKWTDGSVPLDAVSSKLSRLAKDAMQRKALASAAAAAALEEANATECIIRNLSMFSDLCSVCKAKNPLPTIDRFFIIYEDVLRSIAVAKSVATGHNFEISDDNIPTDQSKSLSLWVEAALATDLQIVSLLTETGTDTPSSLQKSLSKRQSLCTPKSHLNVNVLSSPHSSPSGGVWTRSNGMKETVELGTNLLSEMQLWFLHFVEESIEAGFKVFGDSSGGKKALPLDGGSIAIVLSHLKRVNAWLDSVVSKGNHSLTDKIEKLKRKIYGFVIQHVGSTYDSASHASS >CAK8573901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645386127:645387572:-1 gene:gene-LATHSAT_LOCUS26296 transcript:rna-LATHSAT_LOCUS26296 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEALTHLLLISYPAQGHINPLLRLAKCLASKGASVIFLTTEYAGKDMRTVNNITDKSITPIGDGSLTFHFFDDGLPDDDPLRTDLPAYIQQLKLVGEPFLSQMIQNHADSNKQFSCIINNPFLPWVCDVASQYHIPSALLWTQSVSVFIAYYNYLHKLVPFPSISEPYIDVPLPCLPLKFNEIPDFLHPFSAFPFLGELILEQFKNLSKVFCVLADTYEELEHDFIDYISEKSIPIRTIGPLFNNPMIKSASNIRGDFVKSNDSSIIEWLNSKAKRSVVFISFGTVAYFPQEQMNEIAYGLLESKVSFLWVVKPPSKELRLKEHVLPEGFLEETSGRGKVVKWSPQEEVLSNPSVGCFMTHCGWNSSMETLTLGVPVVTFPAWGDQLTNAKFLVDVFEVGIRLGYGHLENKLVTRDEVKKCLLEAMTGEKAERLKLNAMKWKKAAQAAVAVGGSSDRNIDEFMEDIKKRGGVDVKKNVM >CAK8536429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940851387:940851698:-1 gene:gene-LATHSAT_LOCUS5798 transcript:rna-LATHSAT_LOCUS5798 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8566274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399124194:399124569:-1 gene:gene-LATHSAT_LOCUS19417 transcript:rna-LATHSAT_LOCUS19417 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFVDVRIGHVTSCFGHSVVRTRLGFGTSFTSISAGWKGLRGSVLSWRWNCESCFINFVQDGSYKSFEIR >CAK8579797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722917641:722918213:-1 gene:gene-LATHSAT_LOCUS31712 transcript:rna-LATHSAT_LOCUS31712 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEGTNLVFHNNVICGTAIKQLISRLINHFGMAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDVEQQSSVLEKHNHYGNVHAVEKLRQSIEIWYATSEYLQQQMTPNFRMTDPFNPVHMMSFSGARGNASQVHQLVRMRGLMSDPQGKMIDLPIQSNFHEGLSLTEYIISCYVSR >CAK8542552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525397008:525402439:1 gene:gene-LATHSAT_LOCUS11382 transcript:rna-LATHSAT_LOCUS11382 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGQIPINTMVSQQDQMPRIPMQHPMHMPNMHMPMQMHIPMQMQMPYRMPLQVPYSTQDAAGYPAPGKRRRDEEDPGISVSAELSAAKRVKGQDVIFRIVVPSRQIGKVIGKEGCRIQKIREGTRANIKIADAIARHEERVIIISSKDNDEMVTDAEKALEQIATLILKEDDSSLDTSKVTAGHVAANTIRLLIAGSQAGGLIGMSGQNIEKLRNSSGAMITVLAPSQLPLCASAHESDRVVQLSGDVSTVMKALEEIGYQLRENPPRQVISISPTYNYAAVRPSQPYFDPNSVDYVTFEMLISETMVGGLIGRSGSNISRIRNESGAMIKVYGGKGEQKHRQIQFGGSAQQVALAKQRVDEYIYSQLVQQSDT >CAK8572271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525934531:525936974:1 gene:gene-LATHSAT_LOCUS24855 transcript:rna-LATHSAT_LOCUS24855-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLFEGLPPPSSNTHFQLPPPPPPPVLSVSTAESSTVPSIPKPILKSSLKRPNPTQSDDTQAPKKSLKFKTSTDASEAQVIDAMKKISSHIKNPAKFSKAAKLAIQLIEAGSVKSGVSDYFFAILEAAMLSPVPCTDPSVRADYHSLFSAVQDAKEHFNKKQKNQLATWIISAVVANDLYTDDSFVFSKAATQIKEAISNLPVATEEDDTEEATSLKDITVTVDEGGQTLATKEDNNGVEEADPFGLDALIPESTKKSAKNDGAINIRKEDEEETKRILKLQRKALITCLEIAARRYKTPWCQTVIDILVKHASDNVARFTAHQRDAVEKLWASIREQQTRRRQGKSVNGKLDVNAFEWLQQKYSTEKISIRHSVGGSGDRRATQWLG >CAK8572272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525934531:525936974:1 gene:gene-LATHSAT_LOCUS24855 transcript:rna-LATHSAT_LOCUS24855 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLFEGLPPPSSNTHFQLPPPPPPPVLSVSTAESSTVPSIPKPILKSSLKRPNPTQSDDTQVAAPKKSLKFKTSTDASEAQVIDAMKKISSHIKNPAKFSKAAKLAIQLIEAGSVKSGVSDYFFAILEAAMLSPVPCTDPSVRADYHSLFSAVQDAKEHFNKKQKNQLATWIISAVVANDLYTDDSFVFSKAATQIKEAISNLPVATEEDDTEEATSLKDITVTVDEGGQTLATKEDNNGVEEADPFGLDALIPESTKKSAKNDGAINIRKEDEEETKRILKLQRKALITCLEIAARRYKTPWCQTVIDILVKHASDNVARFTAHQRDAVEKLWASIREQQTRRRQGKSVNGKLDVNAFEWLQQKYSTEKISIRHSVGGSGDRRATQWLG >CAK8533056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573164124:573164795:1 gene:gene-LATHSAT_LOCUS2708 transcript:rna-LATHSAT_LOCUS2708 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDTGSLNALINDYGVNGCAKEAFEVFDVMLREGFEPNEITMTTVLSACNHCGLVEEGRRCFKAMERIGFGPQIELYGCMVDLLGRVGCLDEAERLIQAMPYNANEIILTSFLFACCYFKDVSRAERVLKEVVKLEKEGAVDYVMLRNLYATERRWADVEDVKQMMKKKGSNKEVAWSVIEVDGRFREFVADYYLHLHSHLEAIQSTLGQLWKHMKVETVY >CAK8568135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575784465:575787679:-1 gene:gene-LATHSAT_LOCUS21127 transcript:rna-LATHSAT_LOCUS21127 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRVRGSGRKIIINLSELSFFSSKGLMQKRRKLPLSILLWRFFGATVFSIAISCFFFVHRVHVSTTSPIHHEKFNEKIHTFRDLQSWTQELVPLHLSKDQLSASKLNDSKEDTNLNYEKLWKPPPNHGFLPCTKPTLNYSTHENSRGYLLVHTNGGLNQMRTGICDMVAIARIINATLVIPELDKKSFWQDNSNFSDIFDEKRFISSLADDIKIIKKLPKDLADATKTVKQFKSWSGMDYYKKEIAALWDDFKVIQASKSDSRLANNNLPPDIQKLRCRACFEALRFSPRIEQMGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTHDLSTSEAEELRIIRENTSYWKRKHIDPAEERSKGNCPLTPKEVGIFLSALGYPTKTPIYIAAGEIYGGESHMTELRSRYPFLMSKEKLASIDELEPFSSHASQMAALDYIVSVESDVFVHSYPGNMARAVEGHRRFLGRGRTISPDRKALVRLFDKLKKGSMVEGKKLSNKVNELHQGRVGLLRKRKGPISGTKGVDRFRSEETFYANPLPDCLCRAENTYSS >CAK8568136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575784465:575787515:-1 gene:gene-LATHSAT_LOCUS21127 transcript:rna-LATHSAT_LOCUS21127-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRKLPLSILLWRFFGATVFSIAISCFFFVHRVHVSTTSPIHHEKFNEKIHTFRDLQSWTQELVPLHLSKDQLSASKLNDSKEDTNLNYEKLWKPPPNHGFLPCTKPTLNYSTHENSRGYLLVHTNGGLNQMRTGICDMVAIARIINATLVIPELDKKSFWQDNSNFSDIFDEKRFISSLADDIKIIKKLPKDLADATKTVKQFKSWSGMDYYKKEIAALWDDFKVIQASKSDSRLANNNLPPDIQKLRCRACFEALRFSPRIEQMGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTHDLSTSEAEELRIIRENTSYWKRKHIDPAEERSKGNCPLTPKEVGIFLSALGYPTKTPIYIAAGEIYGGESHMTELRSRYPFLMSKEKLASIDELEPFSSHASQMAALDYIVSVESDVFVHSYPGNMARAVEGHRRFLGRGRTISPDRKALVRLFDKLKKGSMVEGKKLSNKVNELHQGRVGLLRKRKGPISGTKGVDRFRSEETFYANPLPDCLCRAENTYSS >CAK8564440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674230857:674232728:-1 gene:gene-LATHSAT_LOCUS17745 transcript:rna-LATHSAT_LOCUS17745 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVNLSIGGPSSILTTSRRSQFTKQLDSVDQKWSNLQHKLNTNARFTCLFSDNNKKEDQARKALEGALSGKKVEFQKWDKEIKRREEVGGGGGGTSGGGGGWFGWGRWFGWSNDDNFWHEAKQASLTILGIVLVYLLVSKGDLILASIINPLLYGLRGVRNGFGLTASGVLTNSSNRDQPDFDGSLKKEGYKSASAKENVVRKWGSD >CAK8544321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678318767:678320170:-1 gene:gene-LATHSAT_LOCUS13011 transcript:rna-LATHSAT_LOCUS13011 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMSGFADRVVTLLKFSCRSRIHVKQIQAQIILHNLQSNTTIAYNFITASQSHNLLNSVTPLFTLLIPKPHVFIFNSLIRAFSHSHIPNTPLSLYSNMHKNSIFPNNFTFPFLFKSLSDSRSFVQSQCVYTHVVKLGHGNDVYVNNSLLDVYASGGYVALCRQLFDEMPQRDVVSWTVLIMGYRFGGMYDDALLVFEQMQYAGVVPNRVTMVNALAACASSGAVEMGIWIHDMVRRNGWELDVILGTALVDMYVKCGRVEDGLRVFSYMKEKNVFTWNAVIKGLALAKSGEEAILWFNRMEFDGVRADEVTLVAILSACSHSGLVDKGQLIFSMLVDGKYGFCPNAKHYACMVDLLARAGRLQEAFKIMRCMPFEPTKAMWGSLLIGSKFQGNFEFSEFVARKLVEMEPDNTSYYVQLSNLYAEAGRWNDVERVRGIMKERELAKDLGCSSVEVEHQRPASEVLAL >CAK8535653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872528867:872529368:1 gene:gene-LATHSAT_LOCUS5089 transcript:rna-LATHSAT_LOCUS5089 gene_biotype:protein_coding transcript_biotype:protein_coding MAICVSEIVGLGSTYNNCNFSCFRRKVNVVTSQNTSLLFPATTTTRFSIVVPRALDPKTGEQNSITSSSQEDLVYVAKLAVGSFAGAAAVKYGSSVFPEITTPNLVLALVIISTPVIVAVLLLINQSLRSKP >CAK8532178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:215876565:215884672:1 gene:gene-LATHSAT_LOCUS1909 transcript:rna-LATHSAT_LOCUS1909 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRSVSAFSRLRSRVGQQPSLANSVRWLQLQTSTNTDLYSEMKELVPEYQERVKKLKKDHANVELGKTTLDMVLGGMRGMTALVWLGSAVDPDEGIRFRGMTIPECQKALPGAFPGGEPLPEAILWLLLTGKIPNKEQVDSLAQELRRRAKIPEYAFKAIDALPVSAHPMTQFSTGVMALQVESEFQKAYEGGIHKSRYWEPTYEDSLNLIARLPGIAAYIYRRIYKDGKIIPLDDSLDYGANYAHMLGFDDPEMLEFMRLYISIHSDHEGGNVSSHTAHLVGSSLSDPYLAFAAALNGLAGPLHGLANQEVLRWIRNVVKEFGTPNISTEQLSDYIHKTLNSGQVVPGYGHGVLRNTDPRYTCQRVFALKHLPNDPLFQLVSKIKEVVPPILTKLGKVKSPWPNVDAHSGVLLNYYGLTEENYYTVLFGVARSIGIGPQLIWDRALGMPLERPKSVTLEKLEKLAGASS >CAK8563197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582384371:582386017:1 gene:gene-LATHSAT_LOCUS16631 transcript:rna-LATHSAT_LOCUS16631 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGSVPATGKRVIQEHVFSLLQSCNSETNIAQIHSQVVLNGLSHKNNIIAKLLSFYTASGKLQHAHKLFEKIDNPNTTVWNHMIRGYASSHTPWKSVQFYNQMVSTESEPDGFTYSFLLSACVRGELVKEGEQVHATVLAKGYCSDVFVNTNLINFYANQGGVEQARHVFDDMTQRSVVSWNSILAGYVRCCDFDAARIFFDKMPQKNVVSWTTMIAGCAQNGQSKQALLLFDQMRRARVELDQVALVAALSACAELGDLKLGRWIHWYVKMRQKEPSVRLNNALMHMYASCGIIDEAYQMFTKMSRKTRVSWTIIIMAFAKQGLGKEALDLFKDMLSDGLENSGVRPDGTTFIGVLCACSHAGFVEEGRRIFQSMIHTWRISPKIEHYGCMVDLLSRAGCLDEAYELIETMPFKPNDAIWGALLGGCRIHKNSDLASRIANKLVAELDNNDQAAGYLVLLSNIYAFAERWKDVVAVRQKMIEMGVKKPPGQSWIQIYGVVHNFVVGDMTHKHSSLIYETLCEITEQARVEGYKPDITEVLLDVEE >CAK8563335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593260760:593276903:1 gene:gene-LATHSAT_LOCUS16759 transcript:rna-LATHSAT_LOCUS16759 gene_biotype:protein_coding transcript_biotype:protein_coding MENAANVSAATNSAPPPLEEPEYLARYLVVKHSWRGRYKRILCISSVSVITLDPSTLAVTNFYDVATDFESAAPVLGRDENSIEFSINVRTDGRGKFKAMKFSSRYRASILTELHRIRWNRLAPVAEFPVLHLRRRASQWVPFKLKVTYAGVELIDTKSGELRWCLDFRDMDSPAIVLLSDAFGKKNVDHGSGFVLCPLYGRKSKAFQATSGCTTSAIISNLTKTAKSTVGLSLSVETSQTLSVSEYIKQRAKEAVGAEDTPLGGWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGDHGDAVSRQLILTKVSLVERRPENYEAVSVRPLSSVCALVRFAEEPQMFAIEFSDGCPIHVYASTSRDSLLAAVRDALQTEGQCAIPVLPRLTMPGHRIDPPCGRVYLQYGQQKPVADAEGASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPSNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIACLRRLLSSRSAASHVMSFPAAVGRMMGLLRNGSEGVASEAAGLVAVLIGGGPSDASVIDSKGEWHATIMHNKSVLFANHSYIIILVNRLKPISVSPLLSMALVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRSIAEEDAIAAESMRDASLRDGALLRHLLHAFFLPAGERREVSRQLVALWADSYQPALELLSRILPPGLVAYLHTRSEGVLADDTNQEESSSRRRKRRLLQQRKGRTGRGLTSQEQSFPSTNNFDVSDSGRQTGDAVGKGSDNYPNTSVDPSSVQASSFQSSIAHTSESLANGSTGEAQVGFSTIAASTIVASENSNEAPDFSNSVDPDSSGVDSQNAGIPAPAQVVVENTPVGSGRLLCNWPEFWRAFDLDHNRADLIWNERTRQELRESLQAEVHKLDVEKERTEDIVPGGTTLELMTGIESVPQISWNYSEYSVRYPSLSKEVCVGQYYLRLLLESGSGGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMSIVYEQHSKTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKALMKVLSNVEACVLVGGCVLAVDLITVVHETSERTSIPLQSNLIAASAFMEPLKEWMYIDKDGSQVGPMEKDAIRRLWSKKAIDWTTRFWASGMLDWKKLRDIRELRWALASRVPVITPPQVGDTALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAILSGEPSIVEAAAALLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIGKLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDYPQKLSQHCHVLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLEDVSSDDVNKKNSFETVDGAFSLSKQIENIDEEKLKRQYRKLAMKYHPDKNPEGRERFLAIQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGSILEPFKYAGYPMLLSAVTVDKDDNNFLSSDRAPLLVAASELVWLTCAASSLNGEELVRDGGVHLLGTLLSRCMCVVQPTTHGNEPSAIIVTNIMGTFSVLSQFEAARAEMLEFSGLIEDIVHCTEFELVPAAVDAALQTIASVSVSSELQNALLKAGVLWYLLPLLLQYDSTAEESNATESHGVGASVQIAKNMHAVRASEALSRLSGLYGDGSLVPYNQAAADALKVMLTPKLSSMLKDQMPKDLLSKLNANLESPEIIWNSSTRAELLKFVDQQRAAQGPDGSYDIKDSHDFVYEAISKELFIGNVYLRVYNDQPDFEISEPEAFCVALIDFISCLLHNQCVEEPNHNVEETSSFTENSEHLNEAVDGSVNQHQIPNNSGTMSDEQSLGKEEPELIKNLRAAMISLQNLLTSNPNLASIFSNKNKLLPLFECFSVAEASDSNIPQLCLAVLSLLTAHAPCLQAMVADGSSLLLLLQMLHSAPSCREGSLHVLYALATTPELAWAAAKHGGVVYILELLLPLTEEIPLQQRAMAASLLGKLVSQPMHGPRVSITLARFLPDGIVSIIRDGPGEAVVVALEQTTETPELVWTPAMAASLSAQISTMASELYREQMKGRVVDWDVLEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYEAQTVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVGAVAFEGRRETMSTGEINDGKHADKTNGPDNETTENTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVDVLLGLLDWRAGGRNGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHCTRVREILNNSDVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENSSSSRLTYALTAPPPQSTTSRPPPSSPSDLSGKQD >CAK8576678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505298088:505298369:1 gene:gene-LATHSAT_LOCUS28851 transcript:rna-LATHSAT_LOCUS28851 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPFSGIIRKASFAANRAASKTADMPKGYLAVYVGEKQKRCVIPVSYLNQPSFQDLLSQAKEEFGYDHPMGGLTIPCTEDVFQNITSRFNGL >CAK8576325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459236461:459237861:-1 gene:gene-LATHSAT_LOCUS28522 transcript:rna-LATHSAT_LOCUS28522 gene_biotype:protein_coding transcript_biotype:protein_coding MENHNTPFSLSLHTKDVIMVKPSKLTPSEILSLSTIDNDPNINILCQTIYVYKANHDFPNDQKDPASLIKEALSKALVYYYPLAGKITTIDDEKLGINCNGDGVPFLEANANCELSSLNYLEGIDVPRAQKLVFDNPSQDQTSPYPLVFKVTKFLCGGFTIGMGLSHSVCDGFGASKFYRALLEFASGKNEPSVKPVWERERLTVKKTTFKEEPFKFLIDETSLATSPFLPTKEISHECFNLNSETIKRLKMKLIKKVDSDNVMKESFTITTVEALGAYVWRSKVRALKLNNDGRTLFCLAVGVRHLMDPPLHEGYYGNAFVASNVVLKVKELNEKPLVETVKLIKESKKVSMNEEHIRSSINMLEIMRRRKVKIEGTGASLVLTDWRQLGLLQEVDFGWKGSVNIVPVPWNMFGFVDLCLFLPPNNLDPSMKGGVRIFVSLPKASMDKFKEEMELLKVMKLDEDI >CAK8565076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33596385:33596801:1 gene:gene-LATHSAT_LOCUS18316 transcript:rna-LATHSAT_LOCUS18316 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVVTVWTVISFTELSRPFHEQDCVGILDCLSYLMKVSSFIVGNDLLMRTVSSFVVHSRVDAAVRFVLLSFAAGNIFCNWCMVRNKFLTCWLRVMLSNSKAFSMVTMN >CAK8572981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574331687:574337085:1 gene:gene-LATHSAT_LOCUS25478 transcript:rna-LATHSAT_LOCUS25478 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFQPLQQKPESADSHADFEHGLENFMRGQLDDCMSFASCSSSRAPEDEDDESDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPSSGQEGTVERPSTQASSILQMWRELEDEHLLNRARERMRERLRQQRSSDANTNVSSTMSDSRGSENRGSLGDASESENDYGTWSHDQIGSRNAHVNRNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNHRSEWLGETERERVRIVREWVQMTSQQRGSRGSRRDAQASESAQAVRTRDMAADHDEGQPEHVRRDMLRLRGRQALVDLLVRVERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNETVEDERPPSTAASELVQLRQRHTVSGIREGFRSRLENIVRGQAGPNPDATSNSNNDETRHDENQSISIGDAQEENHDPEQIRGPETDTRQLPNQTGTLESSTSETISWQEASNQGGDWQEQIAENGGENWQQRINGPFNQLRDGREASDWPQETPRSSAGEDPHPQEAQRVWEDHNTREADGNWPEEPSTASRNRRGVPFRRLNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRAPVDWDLHRNLPATTPASPERDPDQQGDERNEGQHEAINRPSLVFPPPPVPPPQPLWHQDLHQTGWSRHTMHRSEIEWEIMNDLRSDMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGENGLGGETSDDGSKWAHVKKGTCCVCCDNHIDSLLYRCGHMCTCSKCANELIRGGGKCPLCRAPIVEVVRAYSIL >CAK8531062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76893151:76893619:1 gene:gene-LATHSAT_LOCUS878 transcript:rna-LATHSAT_LOCUS878 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIELDDDLFFANLSKEIALLIMDEDEDPLVPQPQNSFQAFSRAIHPPPQFDIFYEQALVRRESKGTGVFIPQATQPRRKNKKGRSNSYSKYPKQSQDTRMDSQVHSKNSLKQ >CAK8530620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35987714:35987923:-1 gene:gene-LATHSAT_LOCUS472 transcript:rna-LATHSAT_LOCUS472 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVHPQKQWISYVTQTGRLMNVMMTKIHPVGKVYHFRAKRQMAESLGQIAKFRRRFGLENPEAGEKK >CAK8570963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:198737825:198738280:1 gene:gene-LATHSAT_LOCUS23667 transcript:rna-LATHSAT_LOCUS23667 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITIGIGFKLSPAPSHQWTPDVYEGVWFVREIPTDFSISF >CAK8570417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44222388:44224236:1 gene:gene-LATHSAT_LOCUS23165 transcript:rna-LATHSAT_LOCUS23165 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLCFITHQEIFNVVRSGDLEGLKELLKHVNQGESSNGSSSSSSISEFMFMQNDAGETLLYIAAEYGVKEVFSFLLSFCDLEILKIRSKSDMNAFHVAAKRGHLEIVREILRIWPEACRLCDSSNTSPLYFAAVQDHLDVVNAILDVDVSSMMIVRKNGKTALHNAARYGILRVVKALIARDSAIVCVKDRKGQTALHMAVKGQCTSVVEELLQADPIVLNERDKKGNTALHMATRKARSQIVSFLLSYTSMNVNAINNQLETALDLADKLPYGDSFLEIKEALSESGAKNARHIGKLNEAIELKRAVSDIKHEVQSQLIQNEKTRKRVSTIAKELRKIHREAIQNTINSVTVVAVLFASIAFMALFTLPGQYRMIQPEAGKANISHNVAFSVFCLLNATSLFISLAVVVVQITLVAWDTTAQTQIVSVINKLMWTACTCTCAAFLAIAFVVVGKERWMAITVTVLGTPILVGTLAYLCYFVFRRHFGFQRESHRGIVKRPSGSKSRSWSYSAHISDEEEYNSDVEKIYAL >CAK8537100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:93506869:93509614:-1 gene:gene-LATHSAT_LOCUS6414 transcript:rna-LATHSAT_LOCUS6414 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKVNHEEDEYDEEDFNSSKKQGTSSAPNTNKDGKATDKASVIRSKHSVTEQRRRSKINERFQILRDLIPHCNQKRDTASFLLEVIEYVQYLQEKVQKYEGSYQGWSQEPSKLMPWRNSHWRVQNFVGQPPVVKNGSGPALPFPGKFDESNISISPTMLSGTQNMMDHDLSRDIVRKTMERQPDLASKGIPLPVLPMHANMSVPVRSDGVFSHPLQGTVSDTQSSECPTTSEQLNQQDELTIEGGTVSISSVYSQELLNNLTQALQSAGLDLSKANISVQIDLGKRANKEPSGTTSSPKDHDNSLSGNQNIAHFRDVDIREDSSQAQKRMKAYK >CAK8537099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:93506869:93509614:-1 gene:gene-LATHSAT_LOCUS6414 transcript:rna-LATHSAT_LOCUS6414-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKVNHEEDEYDEEDFNSSKKQGTSSAPNTNKADGKATDKASVIRSKHSVTEQRRRSKINERFQILRDLIPHCNQKRDTASFLLEVIEYVQYLQEKVQKYEGSYQGWSQEPSKLMPWRNSHWRVQNFVGQPPVVKNGSGPALPFPGKFDESNISISPTMLSGTQNMMDHDLSRDIVRKTMERQPDLASKGIPLPVLPMHANMSVPVRSDGVFSHPLQGTVSDTQSSECPTTSEQLNQQDELTIEGGTVSISSVYSQELLNNLTQALQSAGLDLSKANISVQIDLGKRANKEPSGTTSSPKDHDNSLSGNQNIAHFRDVDIREDSSQAQKRMKAYK >CAK8573862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643037384:643037771:-1 gene:gene-LATHSAT_LOCUS26262 transcript:rna-LATHSAT_LOCUS26262 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKYR >CAK8541102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:66184603:66185747:-1 gene:gene-LATHSAT_LOCUS10053 transcript:rna-LATHSAT_LOCUS10053 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKMRRGGDVPPSPTKVAEPFLFSPNRNIYTIINLCKQQGNNSRIIDIPQNIPFVCEIYTDTKTMKDAFYTRACYHTYCSNCVVMYIDSNLQNNIASISCPFIGCSGLLEADSCRRILPAEIFDRWGKASCEALFDVSLKVYCPFADCSALMIKGRKEDVFGRSKCPYCKRMLCAECKVSWHEGMECIEFEKLNADEKETEDVMLMCLAKDKEWRRCPSCRFYVARSQVCDQMICRCKCRFCYKCGDAFNLEDPCSCFKPTVPIPVHRVQQPKRPNIFFIILFIVLSINLTRCS >CAK8541251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:97461969:97462901:1 gene:gene-LATHSAT_LOCUS10183 transcript:rna-LATHSAT_LOCUS10183 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSQIVSEDVAHPLEQEQEVQQIQGEGLSSLPPSALIEVSKRKPSRKPSTVWKDFKRVNDKAICKYCGKQYAANSGSHGTTNMHKHLKVCLKNPNRVVDKKQKTIAIGKESEDDPNSVSLKLVDFNQERTRLTLAKMIIIDELPFKYVENEGFNMFMKEAQPRFKIPSRVTVARDCLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNYMCVTAHYIDDEWNLKKSILSFGIIADHKGETIGITLENCMKEWGIKSICCVTVDNASANNLAIDYLNRGMSFWNGRTLFNGEYLHMRCSAHILN >CAK8569774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10521304:10521558:-1 gene:gene-LATHSAT_LOCUS22586 transcript:rna-LATHSAT_LOCUS22586 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNKFSRSTCIRVNPFKCKTYFEGVVGHTKEEINKLPFFIEGSLPLRYLGVPLTSKKTVYSPLYELDKQNYGEDQTLECKTA >CAK8543992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654604821:654605223:-1 gene:gene-LATHSAT_LOCUS12707 transcript:rna-LATHSAT_LOCUS12707 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNANPRFHLQGYRNTLDHMQEKDFIWRSYIQYPMPNLRDRQIWSATTSLICFYTVEMHQTNRVKLQYGFEQQIPSSLRCLKEHHTMTMRKAQKVHWRDLNKEDVRE >CAK8531444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110699033:110699476:-1 gene:gene-LATHSAT_LOCUS1237 transcript:rna-LATHSAT_LOCUS1237 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDEKTRRFFKHSSVQVLLCPHIGGKRHSWAKQKEVETIYTHHQKIIIVDANAGNNRRKSVAFIGKLDLCDGRYDTPHHPFFRSLQTLHKDDYHNPTFTGSTGGCPREPWHDLHSKIDGPTAYDILTNFEKRWLRAAKPTGIKKV >CAK8576658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:502318275:502321866:-1 gene:gene-LATHSAT_LOCUS28831 transcript:rna-LATHSAT_LOCUS28831 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGFTALTWSHSVCPSLHLPQPLFTREARRLRVWCGTGQTSKGNQMIISVTGATGFIGRRLVQKLQAENHSVHVLTRSKSKAELIFPVKDFPGVKIAEEPEWKDCIQGSTGVVNLAGLPISTRWSSEIKKEIKQSRVRVTSKVVELIKSAPDDIRPKVLVSATAVGYYGTSETQVFDEQSPSGKDYLAEVCREWESTALKVNGDVRVALIRIGVVLGKDGGALAKMIPLFMMFAGGPIGSGNQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRFSELCEQLGHVLGRPSWLPVPDFALKAVLGEGALVVLEGQRVVPTQAKKLGFSFKYSYVKDALKEIIS >CAK8537842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441270680:441271113:1 gene:gene-LATHSAT_LOCUS7096 transcript:rna-LATHSAT_LOCUS7096 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMSHQAGQAHGQVQEKLSHHAGQAQEKLSHHTGQAEGQAQEKTSNLMDMASNAAHTVKETVQEAGQHVKASAQGAAEAVKNATGLNQK >CAK8572897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570291351:570295101:-1 gene:gene-LATHSAT_LOCUS25403 transcript:rna-LATHSAT_LOCUS25403 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFCLMTSYGFPSELGFQQEFVMKGCQQLVPYHVAKPEIVRYQCPNLRLSSYEESRMASKEWFGYNQFVNLELSALKEMVIDVKATCPSTLLFSFGAVNQCTEHDKTLHCITSEAAELGGVRKALLSDLMSLHLSGIDIPQISLHPLIYPNRTFYISRPLLDIFQDSALSSKITAHPDGQVTFMGTEIEMKDFLALVTESYLSESTHKGEKQFMLVPHFSRLNMNELEARSHSSILDIHSTLTVPIKSPEKVKVKPSRKKSKKVARERDLFKKNHIHACESLLFLMTDKSHHRETAILSLKKSGPELPELLTQFSAGIAGTGLAVVLSVICKLACGRVPFCASKVFNTGLGFGLVWLSWGVNKLRNTIVSINKNTGKMRLKDKDMIQKVDKSLKEVYFRAAALLVVAVLRLA >CAK8569464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1972554:1974156:-1 gene:gene-LATHSAT_LOCUS22313 transcript:rna-LATHSAT_LOCUS22313 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTSFLLGDHQHNDKYPACDEEIPTIDYSLLLSDDIDQRSVALQLLAHACKEYGFFYLVNHTMPEDVLKNVLKRISDYFDPTTVDKRRISYKKYYSDKIRWQQNANDGENREYLKVIAHPKDQVPSNPISLSKITEEYNNEMRKIVVGLAKAMSKNLGFDENYIEKAFDMKLGFDVININIYPPNSKAKSDIGLAEHTDPGFVIALMQDVNGGLQVLSHKGKWVNVYIPRHAILIQLGDHLEILTNGKYKSHVHRVIVNNNKVQRISIATLHGPSLDKFIVPDTKFIDDESPQSYIGMTYKESLEANGDSPIDVQSSLELIKLS >CAK8560040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5839543:5845256:1 gene:gene-LATHSAT_LOCUS13757 transcript:rna-LATHSAT_LOCUS13757 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQQACTKLGDYGGSDNNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIDDGEKEYAEFLHRQGRKITDYAVVRQEIQDETDRVTGKTKQISPIPIHLSIYSPYVVNLTLIDLPGLTKVAVDGQPESTVEDIETMVRSYVEKPNCIILAISPANQDIATSDAIKLSREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIIARRKEVEYFETSPDYGHLASKMGSEYLAKLLSQHLESVIRARIPSITSLINKSIEELESEMDHLGRPIAVDAGAQLYTILELCRKFERVFKEHLDGGRPGGDRIYNVFDNQLPAALRKLPIDKHLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIEGALSYFRGPAEASVDAVHFVLKELVRKSIGETEELRRFPTLQTELSAATNEALERFREASKKTTIRLVDMESSYLTVDFFRKLPQEMDRAGNPAQPSNPAQPTNPAQPSNPSNRSVPNPNEDRYGEGHFRRIGSNVSSYIGLVSDTLRITIPKAVVYCQVREAKQSLLSYFFTQIGKKEAKQLSLLLDEDPTVMERRQQCFKRLELYKAARDEIDSVSWVR >CAK8570519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55072338:55075202:-1 gene:gene-LATHSAT_LOCUS23261 transcript:rna-LATHSAT_LOCUS23261-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEAAFEESPPESPIETEPDPDADTEIPPSSSLISQTNNAPEEDNQFKNTTSGNNATTAKNKDEDDDEEEDNMDVELAKFPTAGDPHKMAKMQAILSQFTEEQMSRYESFRRAGFQKANMKRLLASITGTQKISIPITIAVSGIAKVFVGEVVETARMVMKERKESGPIRPCHLREAHRRLKLEGKVFKRTTSRLFR >CAK8570518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55072338:55075217:-1 gene:gene-LATHSAT_LOCUS23261 transcript:rna-LATHSAT_LOCUS23261 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRMKQSKDPFEAAFEESPPESPIETEPDPDADTEIPPSSSLISQTNNAPEEDNQFKNTTSGNNATTAKNKDEDDDEEEDNMDVELAKFPTAGDPHKMAKMQAILSQFTEEQMSRYESFRRAGFQKANMKRLLASITGTQKISIPITIAVSGIAKVFVGEVVETARMVMKERKESGPIRPCHLREAHRRLKLEGKVFKRTTSRLFR >CAK8538801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496730250:496733589:-1 gene:gene-LATHSAT_LOCUS7959 transcript:rna-LATHSAT_LOCUS7959 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSGKFQYPPLGITYRNVNQTHDSDLQPAKPFQRRKPKTPRTRLRKYGGSGCGKRSRPETPLLKWKIHDKNEDSVEVDEDEKSSPVRLSRRTGRDVRKQTGVGVSARRIAAGLWRLHQPEMVVGDSQRRLGFQNGSSHVGLPFLGRPNDMTHDPDPKNHSQSPRSVFGTKSGHNCELKPFQLLNTEMEGATKWDPVCLKTSDEAKHIYAKLLDQKVSTVSVVSALEAELQQARSRIQELETEQHSSKKKLDHFLKKVGEEKAQWRSREHEKIRAYIDDIKTELNRERKSRQRIETVNSRLVNELANVKLSAKRFMQDFDKERKGRELIEEVCDELAKEIGEDKAEVEALKRESMKFREELEEERRMLQMAEVWREERVQMKLIDAKVALEEKYSQMNKLVTDFEALLKSKNVHLNTKEIKEAQSLQQAASAMNIEDVTEFSYEPPKSDDIYAIFEDLNFGEHSEREIEPCVSHSPPNHASKIHTVSPEANVMSKDDIPRRSDVYMDDNGDIEGDESGWETVSQAEDQGLSYSPDESDKSFNKNQRESNVSRRSVLEWEENAGEETPITEINEVCSIPTKQSKKASSIKRLWRSGLNKEDNYKIISVEGVNGKLSNGRLSNGSIASPDRGSGKGGLSPHDLQYQFSPSDSGSPHSQRVMKGCIPRGAQKNSLKAKLLEARMESQKVQLRHVLNQKI >CAK8537951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449980353:449980817:1 gene:gene-LATHSAT_LOCUS7198 transcript:rna-LATHSAT_LOCUS7198 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPLPFEDHAIPAVDDDEDYTGGDDTMDELEEETHVNSVNASASANHDGVVLPITRTGELTLSFEGEVYIFPAVTPQKVQAVLLLLGGRDTQAGMPTDELPFDQSYRGMSDITRRSNLSRRIASLVRFHSKHQTTRSDNNIDITRSLFASYN >CAK8563808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632333537:632334601:1 gene:gene-LATHSAT_LOCUS17183 transcript:rna-LATHSAT_LOCUS17183 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNVKEECGGEVDIESGLVIIEDDSGNTKQGRTLFEKIYCDFVGDSIKSDDRNSDLGGVSMDMVKVTTDKLLPGKDSVKSVENCLVKEKRKKSGNKKAAKPPRAPRAPSLDAADQKLIREITQLAMVKRARVERMKALKKMKAAKSLSPSPGSGVFSLVLTVVFCIVILVQGMSASSGKSSVTSFQGSPVSAEGAEAGLIAVQLQHHLNPSSIEHRAPLESHKIVHVSYLPRKLR >CAK8540672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15738934:15746181:1 gene:gene-LATHSAT_LOCUS9655 transcript:rna-LATHSAT_LOCUS9655 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHFSTHFLPPSSSNHHFSFSSSSPLFFLHPFSKPSLGAAVSFAAKDKREKRCRAISKSRTQEYEEVFQTNVATLKLSEINVEDVRVIDDGEKQDIRKVGLVNKIKSILSSLEDGDITISAYDTAWVALVEDVNAIGTPQFPSSLEWIAKNQLQDGSWGDSRLFSAHDRIINTLACVIALRSWNMHSEKCEKGMMFFRENLSKLENENEEHMPIGFEVAFPSLLERARGLNIDVPNDSPILKNIFEKRDEKLTRIPKEIMHKVPTTLLHSLEGMSGLDWKQLLKLQSQDGSFLFSPSSTAFALMQTKDGNCLKYLNNVVKKFNGGVPNVYPVDLFEHIWAVDRLERLGISRFFRHEIKDCMNYVSRYWSEKGICWARNSNVQDIDDTSMAFRLLRLHGHQVSADVFKHFERNGEFFCFVGQCTQAVTGMYNLFRASQVLFPGEKILEHAKHFSAKFLKEKREANELIDKWIIMKNLPEEVGYALDIPWYANLGRIETRFYIDQYGAESDVWIGKTLYRMAYVNNNNYLELAKLDYNNCQAQHLTEWNAIQKWYLESRLGEFGLSKRDLLLAYFLAAGSIFEPERSHERLAWAKTTALLETIKSYVRDEDSRKDFVKKFNDYIDLRDYSIGWRLKRNKIEHGEHELVETLFATIDEISWDVRLSYGHEIGYHMHQCWKKWLSSWQSEGDKCEEEAELLIQIINLSSNHWTSEEQICNPQYKHLLQLTNSICHKLSCYQKDKELKGIIYQENITISEVESKMQELVQMVFQKCPNDIDFNVKNTFFTIAKSFYYAAFCDSKTINFHIAKVLFEKVV >CAK8540671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15738934:15746181:1 gene:gene-LATHSAT_LOCUS9655 transcript:rna-LATHSAT_LOCUS9655-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHFSTHFLPPSSSNHHFSFSSSSPLFFLHPFSKPSLGAAVSFAAKDKREKRCRAISKSRTQEYEEVFQTNVATLKLSEINVEDVRVIDDGEKQDIRVGLVNKIKSILSSLEDGDITISAYDTAWVALVEDVNAIGTPQFPSSLEWIAKNQLQDGSWGDSRLFSAHDRIINTLACVIALRSWNMHSEKCEKGMMFFRENLSKLENENEEHMPIGFEVAFPSLLERARGLNIDVPNDSPILKNIFEKRDEKLTRIPKEIMHKVPTTLLHSLEGMSGLDWKQLLKLQSQDGSFLFSPSSTAFALMQTKDGNCLKYLNNVVKKFNGGVPNVYPVDLFEHIWAVDRLERLGISRFFRHEIKDCMNYVSRYWSEKGICWARNSNVQDIDDTSMAFRLLRLHGHQVSADVFKHFERNGEFFCFVGQCTQAVTGMYNLFRASQVLFPGEKILEHAKHFSAKFLKEKREANELIDKWIIMKNLPEEVGYALDIPWYANLGRIETRFYIDQYGAESDVWIGKTLYRMAYVNNNNYLELAKLDYNNCQAQHLTEWNAIQKWYLESRLGEFGLSKRDLLLAYFLAAGSIFEPERSHERLAWAKTTALLETIKSYVRDEDSRKDFVKKFNDYIDLRDYSIGWRLKRNKIEHGEHELVETLFATIDEISWDVRLSYGHEIGYHMHQCWKKWLSSWQSEGDKCEEEAELLIQIINLSSNHWTSEEQICNPQYKHLLQLTNSICHKLSCYQKDKELKGIIYQENITISEVESKMQELVQMVFQKCPNDIDFNVKNTFFTIAKSFYYAAFCDSKTINFHIAKVLFEKVV >CAK8543734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635400934:635403265:-1 gene:gene-LATHSAT_LOCUS12474 transcript:rna-LATHSAT_LOCUS12474 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRVSDASVQSDMKLWPFKIVAGPAEKPMIVVNYKGEDKQFAAEEISSMVLMKMREIAEAYLGSAIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSPITRARFEELNMDLFRKCMEPVEKCLRDAKMDKKSIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKIAGKLDSADKKKIEDAIEQAIQWLDSNQLAEADEFEDKMKELEGLCNPIIAKMYQGGAGPDMGAAAADEEYAPSGGSGAGPKIEEVD >CAK8572863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568562964:568564578:1 gene:gene-LATHSAT_LOCUS25378 transcript:rna-LATHSAT_LOCUS25378 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVTMKNQPSKTHWWWLDEHTAVRRSPWLQSTLTELNEKTKAMLKLIEEDADSFAQRAEMFYKKRPELVSMVEDFYKIHRLLAERYDQVRPEAGTRLLPPSACLKHSQSEKLMSFDYRGYDSYSENCDVEESVESEIDDPEHEGEVRFAAPNEVMKLLKDIERVGEVKKIDKDQIKQKDEILDEVMMLREEIERIRKENEAHKDELAQKDIVFNDVVLNLREEIEKLKKDNKAKNEVHQKYAIRGEVMKLREEIERLRKENEAQKEELKKKDTYKNGVMKLREEIERLRKENEAQKEELKKKDSICNEVMKLRKELERHKEENKAQNNELKHKDTIHDEITMLKEERESLREQNKTQKADLKRKDKEKIEVIRQLSSTIDLLKQETVKMKSFIAKESAKKWKTPFEFNKLVETFSGKLFKGGPRRNKPSVVAL >CAK8531766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148879822:148881078:1 gene:gene-LATHSAT_LOCUS1532 transcript:rna-LATHSAT_LOCUS1532 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPQITLTFMFYLLILSPTPSFSLNITALLSTFPDLSQFTALLSAATPLTADLSHRTSISLLAVPNSFLSTDPNLSHHQLPPSALTDVLRYHVLLQFLSWSDLHSLPPSGKLVTTLFQTTGRATNNFGSVNITHDPHSNLVSIRSPAPYSSSNATVIELVKTLPYNVTIFAVNSLLIPYGFDLMASETRPSIHLNITKTLIDAHNFNVAASMLSASGVVNEFEAGEGGSGITLFIPVDEAFADLPPSVSLQSLPADKKAVVLKAHVLRAYYPLGSLQSTANPLQPTLATEAMGAGSFTLNISTFNGSVAINTGIVQGIITQTVFDQNPIAIFGVSKVLLPREIFGKNPIVSVKSPPDIIAPPPYDDASSPSGFDGQPSHLSSPPGFGEDVSPNDARVYGSELFNFLLCCINLYLLV >CAK8574777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9039260:9041582:1 gene:gene-LATHSAT_LOCUS27087 transcript:rna-LATHSAT_LOCUS27087 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFNNNHLFNNNNNLTTTFDIAKLVGTSPQLKTHDDHVNFELDQVIYNTSYSDFPPGFSPSELLNSPSFLSHSNNIPSFYTTEPPCGQIINQQHSMDLEDRNFSELSFQTQKQNLHEPFQSSTTMYQVEEPIKKHDTLTFNETNVSMSIKEQKRSEEDGYKWRKYGEKQVKGSENPRSYYKCTNPICLMRKKVERSLEGHVTEIVYKGSHNHPKPHCTKRKTSSQPFSSSNNSLISDHSFGEDEFEQTSQTSFSGGDHNELGIEAKRWKGEKENENESCCYSNEQSRTVREARVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCVIQDCPVRKHVERAAHDMKAVITTYEGKHNHEVPLGRGSSSYNMNKTSLNNNNNSTCNVTPIRPSPVTISNFTNSTLDSKLPNSGTQVQPFQLDMMLNSTTLLDKSIGSNADYERYS >CAK8567901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555563709:555565581:-1 gene:gene-LATHSAT_LOCUS20915 transcript:rna-LATHSAT_LOCUS20915 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTHLRKPFKQLRIPILTSTLFLLSMASLSFLFLFLFLSLSFSRSHSSTTLHIQQACKATRFPHQCQTSLSSHSQNLPANPNPLHIIHSAISLSSLNLKVAQSMVNSILDASAGNQSRINVAKSCLQVFQYSHHRTSLTIDALSRGRIKDARAFMSAALSYQYNCWSGLKYANDTSLVFKTMSFLESLTSLSSNALSMILSYDLFGNDTDSWRPPHTERDGFWEDSGSGVFGSGPSVPVNLTPDVKVCKDAGSGCYGTIQEAVDAAPDNIDIGGGRRFVIHIKKGVYEERVRIPLRKRNVVFLGDGIGKTVITGSASVGLQKGMTTYNSATVGVVGDGFMAKDLTFENTAGANAHQAVAFRSDSDLSVIENCEFVGNQDTLYAHSLRQFYKSCRIIGNVDFIFGNSASFFQDCELLVQPRQARPKKGENNAITAHGRTDPAQSTGFVFHNCLINGTEKYMELYYDKPKVHKNYLGRPWKEYSRTVFINSFMEAIITPQGWMPWSGDFGLNTLYYGEIGNSGPGSNLTKRVSWSSQVPAEHVYTYSVQGFIQGDDWDSRISY >CAK8539966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532652997:532654509:1 gene:gene-LATHSAT_LOCUS9013 transcript:rna-LATHSAT_LOCUS9013 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYYIYCIERERKGYTRERKKQTLYSNNKSLDVFTPYSMLYQTPYPLLFQFHPLPSSSSSSTIPQQVPLFSHLPLHQFEKTEMECVETALKTSLRKDMVPQTIVDEVTGLNGPNGTTSDEFFVDDLLDFSHVEEEEQNEDEEQQDYVCVTLKQSNEISNLDNTFSLQQDYGSLPTSDLNVPSDDVADLEWLSHFVEDSDSFSEFSAAMPVITLTTTEKKPMVLPEPKPEKKNPVFTFKTPVQTKARSKRTRTGVRVWPFGSTSLTDSSTSSTSSSTSSSPTSPLLIYTNVPQSFDSVPARKPKKMTCFSGSGHGAVALAPRRCSHCGVQKTPQWRTGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKEVVGGVEVEIETETKTGLSPSPVVPSF >CAK8539967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532653114:532654509:1 gene:gene-LATHSAT_LOCUS9013 transcript:rna-LATHSAT_LOCUS9013-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQTPYPLLFQFHPLPSSSSSSTIPQQVPLFSHLPLHQFEKTEMECVETALKTSLRKDMVPQTIVDEVTGLNGPNGTTSDEFFVDDLLDFSHVEEEEQNEDEEQQDYVCVTLKQSNEISNLDNTFSLQQDYGSLPTSDLNVPSDDVADLEWLSHFVEDSDSFSEFSAAMPVITLTTTEKKPMVLPEPKPEKKNPVFTFKTPVQTKARSKRTRTGVRVWPFGSTSLTDSSTSSTSSSTSSSPTSPLLIYTNVPQSFDSVPARKPKKMTCFSGSGHGAVALAPRRCSHCGVQKTPQWRTGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKEVVGGVEVEIETETKTGLSPSPVVPSF >CAK8534487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:734460256:734467519:-1 gene:gene-LATHSAT_LOCUS4030 transcript:rna-LATHSAT_LOCUS4030 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRGSSSTGSTSARAIPGRPVRHGALYQFTQQNLPACKPILTPAAVISTFLLMGLIFIPVGIVTLRASYSVVEIVDRYDNDCVPEEYRGNKVAYVKDDSISKNCSRFLKVPKSMKAPIYVYYQLDNYYQNHRRYVKSRSDRQLLDGLGYNDTSSCRPLESSNDLPIVPCGLIAWSLFNDTYKFSRGPSELKVNRKDIAWKSDRNHKFGKHVYPLNFQNGTLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGKIEEDLEEDDVITVKLKNNYNTYSFGGKKKIVLSTSSWLGGKNDFLGFANLFVGSFSILISIIFLLLHVKSPRTYGDTTHHSSSWNKKSISKSISS >CAK8565638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296743747:296744597:1 gene:gene-LATHSAT_LOCUS18832 transcript:rna-LATHSAT_LOCUS18832 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKFLSLLHSSSRSSFLKPSTPFLYNTIKNYGQEIKEKQSHLIKERAPSTAEEFLRVAEERANETPKVKSQTVDKAFEAAEEATKSNSNTENVKNKYKEH >CAK8541166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79833668:79834816:1 gene:gene-LATHSAT_LOCUS10106 transcript:rna-LATHSAT_LOCUS10106 gene_biotype:protein_coding transcript_biotype:protein_coding MKIETLRMYAPDVNDRFLALSRAGPNDFYFTVASNSLLVLCDVRKPLMPILQWKHNIDEPCYMNVLSLSMLRSHSKVDNFKLASKMGFCIILGSFWNSEFNIFCYGPTFPFQKGSITSKLSKISTIFCAWELPSEINLSSRECHCGICLFREELSKDALPEWIDWQLKKEMVLGFGIVSNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSLDRKLEDCHEANLCMESHLLCPRSVKENKSVELHYLKLDYLCAYANGNLAQVLTTKLDKTYSNDQEEAPFCLEVHELLCKKLNACGLGHSRSSPTITSIFNDVKLPASFHEVALRKLWTDLPLELLQLAFLSYSECREVNGFNQHQTNSHTQNQQSNLPQPYTIME >CAK8541167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79833689:79834816:1 gene:gene-LATHSAT_LOCUS10106 transcript:rna-LATHSAT_LOCUS10106-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPDVNDRFLALSRAGPNDFYFTVASNSLLVLCDVRKPLMPILQWKHNIDEPCYMNVLSLSMLRSHSKVDNFKLASKMGFCIILGSFWNSEFNIFCYGPTFPFQKGSITSKLSKISTIFCAWELPSEINLSSRECHCGICLFREELSKDALPEWIDWQLKKEMVLGFGIVSNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSLDRKLEDCHEANLCMESHLLCPRSVKENKSVELHYLKLDYLCAYANGNLAQVLTTKLDKTYSNDQEEAPFCLEVHELLCKKLNACGLGHSRSSPTITSIFNDVKLPASFHEVALRKLWTDLPLELLQLAFLSYSECREVNGFNQHQTNSHTQNQQSNLPQPYTIME >CAK8560616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29440784:29441632:-1 gene:gene-LATHSAT_LOCUS14277 transcript:rna-LATHSAT_LOCUS14277 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQNQRSLTTAPPPSRSVQFHPARVPILDLFTLYLELGRNSRNKLDDSLREPPNKTQKRVHAINRELPPPNEQFILDFEQLQSQFPDYEQLRFVAEAVLIPLVTQCSGHGSRSDFLIFILRSLSGIGCINWDSFLPSLLSSVSSAELPVGQMSQAVPTVTSSSLSQSGMLPPPNTITNSSNFQSSNPASPLNSVHTIGSPAQSSIEPLSGAALSPVKSSDISSSGLQFKLRGNPSVRNNDISNSSLRQLCCKIILTGLEFSFKPVTYAEIFNHMLNWLVN >CAK8542606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:529902439:529903146:-1 gene:gene-LATHSAT_LOCUS11431 transcript:rna-LATHSAT_LOCUS11431 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSFWWGRNLDNSKCMNWLSWDHLSMDKKYGGMGFKNLSAFNYAMVGKETWNLMSKPNNRVSRLYKARYFPNSDFLDSVLGHNPSYVWRSIWSSKFVVRGSYKWSIGSSESISIWNQNWLHDRTSLTNPWSHIPDVSNMKVVDLISNHGKQWNSDLIVPLVGEEVANKILNTALSEMVQVDKMVRNFERGGNYSMRSAYRFCINEAIDTSHLRIDGNWDLIWKLKTLPRMKNFL >CAK8572340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530799301:530802251:-1 gene:gene-LATHSAT_LOCUS24916 transcript:rna-LATHSAT_LOCUS24916 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVDEIPDHFWSPPIHVSGADESSKMSRSASEWAFQRFLQEASVSATSPPSSSSVADVDPQSKPTTIAPRDAAVLSNGHPHPPPPVVAVDSEEYRAVLKSKLNLACAAVAMTRGSLPKSQDLASFPENGSQSSNPSQVGPQPVFEGSAPSGNDPPILQDKDAKVSPAIPSIPPVQKKTVVAIRPSTSGSSRELSDDDEAEGETDMNDNTDPADVKRVRRMLSNRESARRSRRRKQAHLTDLETQVSQLRGENSTLVKRLTDVSQKYTDSAVDNRVLKADVETLRAKVKMAEETVKRITGLNPMFHVMPDISSMGMPSFDGSPSDNSADAAVPVQDDPHHHFYQPTSNNPMPCHEMRVNSGLAEISSIENVQQNNAAVVGGGNKVGQTAPPLHRVASLEHLQKRIRGGVDSCGPSNGEQ >CAK8541602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:295455001:295455534:1 gene:gene-LATHSAT_LOCUS10510 transcript:rna-LATHSAT_LOCUS10510 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVALGVVDGEVEIEIEAVDVESKVKFWESVLIMHVLGEELSVNVVKLFMKNWNFVKLPNMFYNDEGFFILQFHSFHDKDSVLTKGPYTIHNRPMLLREWKSNFNMKKDMLKTMPLWVKLFQLPLHLWGARSLSKIGSAIDAPVVTDEFTTNKLRVSYARILVEVGITLSYPNFYP >CAK8577839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593233119:593234162:-1 gene:gene-LATHSAT_LOCUS29908 transcript:rna-LATHSAT_LOCUS29908 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEKQERKVEEEEKLLENVAVLDFDVLCSSVALKASQGKWGNLGTMEEEEEENGVFGGVLRMWEGELFDCFDHRRIAIESTFCPCYRFGKNMKRAGFGSCYIQTAIYVILAMGAFVNFIAYAVTRHRCFLYIFIFFIIFVGAYLGFFRTLMRKKFNIKDSESSLDDCAYHFACPCCTLSQESRTLEMNNVQDGTWHGRGDTKCIGSFGEKSKTYLELLPPPLVSIKS >CAK8539163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505782512:505782946:-1 gene:gene-LATHSAT_LOCUS8283 transcript:rna-LATHSAT_LOCUS8283 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQFTPLTLFKQTTKFPSSSSSQFNTNKKSPSLRFTVKSSYKVVIEHDGKSTQLEVEPDETILSKALDSGLSVPHDCKLGVCMTCPARLISGKVDQSDGMLSDDVVERGYALLCASYPRSDCHIRVIPEDELLSMQLATAND >CAK8544588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694007016:694007626:-1 gene:gene-LATHSAT_LOCUS13249 transcript:rna-LATHSAT_LOCUS13249 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLKFQWSLGEDSKRREAFVRIQDLAMECSNVPNIQPVKHSVFTSGLNPSVPLFARVYLNLGSWKWTLSPGLVDESIKDILDAFSKATQYANKWAKAWHKWALFNTAVMSHYTLRGFPDIAAQFVVAAVTKYFHSIACAANSKVVDGSL >CAK8560194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10200122:10209630:-1 gene:gene-LATHSAT_LOCUS13890 transcript:rna-LATHSAT_LOCUS13890 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEPTTTRIVIEPHSQFDLSTHSRTTTVRSLAITTLSNNRTILYVGTHSGTLFSLSANLNDTNASQNATVPTNSPSFLQKLSFLRSVSVSNSSVDDVLVLADLGKVLLLSDGSLFLVDSELSNRAVRLGFSKGVAVVTRRKMRNNESEILGLGLDINSNQRFLHKLGGLIVKDGESHSEACGGCVLALAIGRRLVIVELVLGGKSGKSDKDVNNASLVVLKEIQCVDGVVSTMVWLDDSIVVGTANGYSLISCVSGQSSVIFSLPDVSRPPRLKLLYREWRVLLLVDNVGIIVDAQGQPVGGSLVFRNGLDSVGELSFYVVVVSDGKIELYNKKHGGCAQVLPFGGEGIGPCVVASEEDKGGKLVAVATATKVVCYQKLPSVEQIKDLLRKKNYKEAISLVEELDYEGEMSKDLLSFVHAQVGFLLLFDLHFEEAVDHFLLSETMEPSEIFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLMTIQRASFLRKAGVETIVDNDLFLNPPNRADLLESAIKNISRYLEACREKKLMQSVSEGVDTLLVYLYRALNRVEDMERLASSTNWCIVEELEHMLEESGHLRTLAFLYASKGMSSKAVSIWRILARSYSTSLRKDLALETIIQDSGENLISGKAIAAAEASRILEESSDQDLILQHLGWIADISQVLAVEVLTSDKREIQLSPDEVVTSLDPQKVEILQRYLQWLIEDQDCFDTQLHTLYALSLAKSAIEAFEFEVISENIASGNTKRENLATLRNSIFQTPVRERLQIFLQSSDLYDPEEVLDLIEGSELWLEKAILYRRLGQETLVLQILALKLEDSEAAEQYCAEIGRADAYMQLLEMYLDPQDGKDPMFTAAVRLLHNHGESLDPLQVLEKLSPDMPLQLASETLLRMFRARVHHHRQGQIVHNLSRAVDTDARLSRLDERSRHVQINDESLCDSCNARLGTKLFAMYPDDTVVCYKCYRRQGESVSVSGRNFKEDILIKPGWLVSR >CAK8572699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556883492:556884112:1 gene:gene-LATHSAT_LOCUS25232 transcript:rna-LATHSAT_LOCUS25232 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVETFKLNGAHIKTTVTSTQKDVDDILWSFLRSANYNGSKVIGFDIELSMFEDKVSEEGTREDSECATLHLCNGVSCLIIQLCHLDSIPTSLLNFLRLPDFTFVGVGINHNLVKLEKDYGIRCRNAVELGPLAATVMKMPHLGFCGVDELAFAVKKFDLGNHRPLTTLYKDWGQSNFGKKLAKLATINVYSYYMIGNTLLSANN >CAK8540702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16504561:16505465:1 gene:gene-LATHSAT_LOCUS9681 transcript:rna-LATHSAT_LOCUS9681 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHSLVPLFFFMMFLVQAMSSGIDPTWYDARATFYGDESGGETMNGACGYGDLFKQGYGLATTALSTALFNNGLTCGACFQIICVNDPQWCIKGAKPITVTATNFCPPDYSKTSDIWCNPPQKHFDLSYKMFTSIAYYKAGVIPVKYRRVPCVKSGGVKFELKGNPYFFMVLVYNVANAGDVSNVSVQGSKTGWITMSHNWGQNWDANVNFIGQSLSFLVTTNDGKSLNFPMVVPSNWQFGQTYEGKQNF >CAK8530727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44880192:44880572:1 gene:gene-LATHSAT_LOCUS571 transcript:rna-LATHSAT_LOCUS571 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRYKEYDPFIMSHIVKQVYYVPYPSIVPHKRGWSVVIKTKPLGHIETGDLVEDVAYQVNKVEQINDTIAVEQITSLSGTTVEGHQVDASILLDENEMDNEHEKFGSEDNITSDYDNDMDEHDFE >CAK8572756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:560780970:560784985:-1 gene:gene-LATHSAT_LOCUS25284 transcript:rna-LATHSAT_LOCUS25284 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSHSPNLFHRGVLCNAGAGAAAGVIAATFVCPLDVIKTRFQVGTPQLANRSVRGSLIVGSLEQVYHKEGLRGLYRGLSPTVMALLPNWAVYFTVYEQFKKLLSDDENHRLSVGANMAAASGAGAATTLVTNPFWVVKTRLQTQGMRSGVLPYRSTLSALKKIAHEEGIRGMYSGLVPALAGISHVAIQFPTYEKIKCYLANRGNTTVDKLGARDVAIASSVSKFFASTLTYPHEVVRSRLQEQGPHSEKRYSGVGDCIRKVFQQEGVPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVSLSPSDPEPPSAAELHNL >CAK8568650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:627310815:627312113:1 gene:gene-LATHSAT_LOCUS21590 transcript:rna-LATHSAT_LOCUS21590 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMNHHDMVVNAISSMLDETEPPVTADCCIYKVPFVIRRLNDDAYTPKVISIGPFHHRHHHHPRLQNMERHKLIYFKAFLRRTDSTLHIFVHYIESIIPIFTRSYSETLDFTHQELVKLILIDSGFIIELFWRFYYDDWSQDDAFLLKPWLASHIRLDLLLLENQLPFFILEKIFNISFTTSNSTHTSAKTKIPSFLDLTFDYFAYYNKSNLVSDNSDISIRHFTDLIRIFHLQHPLERRPRRIDEPMKHLHCVTELLEAGVRFKVNTKSECLLDLRFSGRVLEIPQLKVEDWTEILFRNMVALEQCHYPYESYITDYVAVLDFLINTGRDVDILVQKGILVNWLGNSDSVANLFNSLWKNVTHLNFNSHYSVLCEDLNGFCSDPLHKLKATLRRDYCSSPWQTAASIAGILLLILSLIQSVCSVLQVVQQ >CAK8568651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:627310824:627312113:1 gene:gene-LATHSAT_LOCUS21590 transcript:rna-LATHSAT_LOCUS21590-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHDMVVNAISSMLDETEPPVTADCCIYKVPFVIRRLNDDAYTPKVISIGPFHHRHHHHPRLQNMERHKLIYFKAFLRRTDSTLHIFVHYIESIIPIFTRSYSETLDFTHQELVKLILIDSGFIIELFWRFYYDDWSQDDAFLLKPWLASHIRLDLLLLENQLPFFILEKIFNISFTTSNSTHTSAKTKIPSFLDLTFDYFAYYNKSNLVSDNSDISIRHFTDLIRIFHLQHPLERRPRRIDEPMKHLHCVTELLEAGVRFKVNTKSECLLDLRFSGRVLEIPQLKVEDWTEILFRNMVALEQCHYPYESYITDYVAVLDFLINTGRDVDILVQKGILVNWLGNSDSVANLFNSLWKNVTHLNFNSHYSVLCEDLNGFCSDPLHKLKATLRRDYCSSPWQTAASIAGILLLILSLIQSVCSVLQVVQQ >CAK8571119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:304376543:304395614:-1 gene:gene-LATHSAT_LOCUS23811 transcript:rna-LATHSAT_LOCUS23811 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWVAVNAFYRFTINNSDSCLLFFSLVDMLMITNFSMYPYTGIIGVSHSNRSHFKSTQFPAYTTTFDNVILPAYANINNVIGRRFVHKTSSEVFFEKGSNVVSNGQLQNFPASSYEVAMEKLSSLITRQRRGEKPPLPNKLGRMSMYLKILGLEEDMNILKIIHVAGTKGKGSTCIFCEAILRECGFRTGVFTSPHLIDVRERFRIDGIDISEDKFLECFWDCWNQLEEKATEQLPMPPLFQFLTILSFKIFTSEQVDAAIIEVGLGGTEDSTNAIKEPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQPPEAMDVILERAKELRVPLEVTEPLDCKQLKGLKLGLSGDHQFYNAALAVSLSRCWLQRTGNWEKICQNASQDSNLPDDFIRGLSSAHFSGRAQIVCDSSLYSDCSEITSQNCGELVFYLDGAHSPESMDACAKWFSNAVKGCKNPSNLSASLKNTEESSKNGHFLHESKALGQFENSSRQILLFNCLDVRNPNILLPRLVNGCASTGIHFSRALFVPSVSKYTKVTSGASVIPSDLSGIELSWQFNLQRIWEKIVHGKEMTTLLEKDFKAESKLMLPPNEFLYDNALKGSPSLNYFPSSAVIPSLPLTIKWLRDCVREHPSTRLQVLVTGSLHLVGDVLKLLKR >CAK8571120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:304376543:304395124:-1 gene:gene-LATHSAT_LOCUS23811 transcript:rna-LATHSAT_LOCUS23811-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMITNFSMYPYTGIIGVSHSNRSHFKSTQFPAYTTTFDNVILPAYANINNVIGRRFVHKTSSEVFFEKGSNVVSNGQLQNFPASSYEVAMEKLSSLITRQRRGEKPPLPNKLGRMSMYLKILGLEEDMNILKIIHVAGTKGKGSTCIFCEAILRECGFRTGVFTSPHLIDVRERFRIDGIDISEDKFLECFWDCWNQLEEKATEQLPMPPLFQFLTILSFKIFTSEQVDAAIIEVGLGGTEDSTNAIKEPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQPPEAMDVILERAKELRVPLEVTEPLDCKQLKGLKLGLSGDHQFYNAALAVSLSRCWLQRTGNWEKICQNASQDSNLPDDFIRGLSSAHFSGRAQIVCDSSLYSDCSEITSQNCGELVFYLDGAHSPESMDACAKWFSNAVKGCKNPSNLSASLKNTEESSKNGHFLHESKALGQFENSSRQILLFNCLDVRNPNILLPRLVNGCASTGIHFSRALFVPSVSKYTKVTSGASVIPSDLSGIELSWQFNLQRIWEKIVHGKEMTTLLEKDFKAESKLMLPPNEFLYDNALKGSPSLNYFPSSAVIPSLPLTIKWLRDCVREHPSTRLQVLVTGSLHLVGDVLKLLKR >CAK8577265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:550981839:550987515:-1 gene:gene-LATHSAT_LOCUS29386 transcript:rna-LATHSAT_LOCUS29386 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSKDKEKARVSRTSLILWHAHQNDVAAVRKLLQEDPSLVNATDYDNRTPLHVASLHGWAEVAKCLIEFGADVNAQDRWKNTPLADAEGSKRSNIIELLKSHGGLSYGQTGSHFEHRAVPPPLPNKCDWEVDPSELDFSSSARIGKGSFGEILKAHWRGTPVAVKRILPSLSEDRMVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKDKGSLNPSTAINFSMDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLITVQSSHDVYKMTGETGSYRYMAPEVFKHRRYEKKVDVYSFAMILYEMLEGEPPFANYEPYDGAKYAAEGLRPVFRAKGYIPELQELTQQCWSADMNQRPHFIEILKRLEKIKENLPSDHHWNLFAS >CAK8563131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576148222:576151016:-1 gene:gene-LATHSAT_LOCUS16570 transcript:rna-LATHSAT_LOCUS16570-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTMKKNEKESVEINQVPNINYRGWKVMPFIVGNETFEKLGTTGTLSNLLVYLTTVFNLSSIEATNIVNIFNGSASLATLLGAFLSDTYFGRYKTLGFSTFASFLGLLVIQLTAWIKKMHPAECGNEKTTCTQPSTGQMAFLLLGFGFLIIGAAGIRPCNLAFGADQFNPNTDSGKKGINSFFNWYFFTYTFAVMVSLTLIVYVQSDVSWALGLGIPAALMLFSCIVYFLGSKYYVKVQATGSPVTSIVQVISVAIKKRKLHLQEDEYSLVSLFSYISPHSINCKLPHTPQFRFLDKAAIITPEDTINPDGSASDPWNLCSIQQVEELKCLVRVLPVWVSGILYYVALVQQSTMLVFQALQSDRTFFNTNFKIPAASFTIFTMLSLTIWLPIYDRIIVPTIRRFTGKDGGITLLQRMGIGMFISILCMLVSGVVEWKRRTMAITNPIGFEPRKGAISSMSAMWLIPQLTLAGFSDAFTLVGQVEFYYKQFPENMRSLAGSLFFCGLALSSYLSSLLISIIHRSSDKSANGNWLPQDLNKGRLDYFYYVISVLEVVNLGYFVLCSRWYRYKGEDGNGGSGVELDQMSKQSETKINGV >CAK8563130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576148222:576151016:-1 gene:gene-LATHSAT_LOCUS16570 transcript:rna-LATHSAT_LOCUS16570 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTMKKNEKESVEINQVPNINYRGWKVMPFIVGNETFEKLGTTGTLSNLLVYLTTVFNLSSIEATNIVNIFNGSASLATLLGAFLSDTYFGRYKTLGFSTFASFLGLLVIQLTAWIKKMHPAECGNEKTTCTQPSTGQMAFLLLGFGFLIIGAAGIRPCNLAFGADQFNPNTDSGKKGINSFFNCKYYVKVQATGSPVTSIVQVISVAIKKRKLHLQEDEYSLVSLFSYISPHSINCKLPHTPQFRFLDKAAIITPEDTINPDGSASDPWNLCSIQQVEELKCLVRVLPVWVSGILYYVALVQQSTMLVFQALQSDRTFFNTNFKIPAASFTIFTMLSLTIWLPIYDRIIVPTIRRFTGKDGGITLLQRMGIGMFISILCMLVSGVVEWKRRTMAITNPIGFEPRKGAISSMSAMWLIPQLTLAGFSDAFTLVGQVEFYYKQFPENMRSLAGSLFFCGLALSSYLSSLLISIIHRSSDKSANGNWLPQDLNKGRLDYFYYVISVLEVVNLGYFVLCSRWYRYKGEDGNGGSGVELDQMSKQSETKINGV >CAK8567592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527514155:527519581:-1 gene:gene-LATHSAT_LOCUS20631 transcript:rna-LATHSAT_LOCUS20631 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFRSKHSNYKDKAALALSQLRYFAFNYTNVGNSHSPPRSPLINTPYHFTSFKPLSLRGDFTQNNRKGGTNTAHFDDSSPPNQNPNLVPYDRTAYRVLVSSFGDPPEVWSGAGIVVRPGNSGYDVSGGGGGGGGGGASSGGDSGSMNSKDGCWGGSNLGGSFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIFHEKSMWPAGDSSNDSKANVVVDDDDDEVELEKSNILLMGPTGSGKTLLAKTLAKFVNVPFVIADATTLTQAGYVGEDVESILYKLLMAADYNVAAAQQGIVYIDEVDKITKKSESLNISRDVSGEGVQQALLKMLEGTVVNVPEKGARKHPRGDNIQIDTKNILFICGGAFIDLEKTISDRRQDSSIGFGAPVRAKMRAGSMTEAAVASSLLGTVESSDLIAYGLIPEFVGRFPILVSLSALTENQLIQVLTEPKSALEKQYKKTFKINGVKLHFTESARKSIAKKAMSKNTGARGLRAIIENVLADAMYEIPDVRTGDDVIDGVVVDEDSVGLGSEGFMKGAKILYGRGALDRYLSGEKNDSETVEISGGDQEAETEIPSIVASM >CAK8568442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606557186:606560018:-1 gene:gene-LATHSAT_LOCUS21398 transcript:rna-LATHSAT_LOCUS21398 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPSGPPQQNITMVAVDKDKNSAYAFRWAVTHLDNPVIVAVHVKHKNLPNQGTNVFPPDEDDVSNIFNNLRAMCQRKAITMKEAVIDAHDVVKGLLEFANRNVIHSIVVGASTKNHMPSIKKFKANHDIPTTMIKLAPDYCSVYIISKLKIVSARSAVRSTGNQIIPTKIIPIQASSPYSEFQGGMRSILPRTGGSYEGSSESRSFDSITTVKGSSRERPRSAGSIMSMDCIDVPISRGRSWASMDDRDIAALGPIVESNRSDMDEIDSYGTASTSYTSKELEVEMKRLRLELKQTMDMYSSACKQAISAKNQADQIRRWRVEEEKKVMEVRLSQEAALAMAEREKARAKAALEAAEEAKWKAEQEARRRREVEMKAMKEAAERDRALTALAQNDNRYRKYTMYEIEVATDKFSPSKKIGEGGYGPVFKGHLDHTAVAIKLLNPEASQGRKQFQQEVEVLSCIRHPNMVLLLGACPEHGCLVYEYMDNGSLEDRLFRKNNSKPLSWQKRFQIAAEISTALLFLHQTKPEPIVHRDLKPSNILLDRNYVSKISDVGLARLVPPSVADNVTQSYMTSAAGTFCYIDPEYQQTGVLTTRSDVYSLGIMLLQIITARPPMGLTHQVKKAIEKDCFEQILDPAVTDWPVEEALSFAKIALGCAELCKKDRPRLATVVLPELNRLRDFGDTDNQVFTDTKSNGHSSSNAILKSIVEDSL >CAK8536290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:927140950:927141982:-1 gene:gene-LATHSAT_LOCUS5674 transcript:rna-LATHSAT_LOCUS5674 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSQQMSRQSSMENMLVCSKEQQESKPKPQPEQALKCPRCDSTNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSKRVQEQAFAPSHNPFSNLPHFDQSNDFALALARLQKQSCGGQMGYDENELSMLGNSGSSMNQSMNMNHGFMDAIRSGLFLGNGMHYNNNNVQNMYGGGYGNGDNGEVNSGNNNCGVSEEMMFNYDQEMNHCNAAINMKQEQSESRVFGGFPWQINGGIANMGEVEQARASWNNGFTTPSWQGLLHSPLM >CAK8570429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45623929:45631675:1 gene:gene-LATHSAT_LOCUS23177 transcript:rna-LATHSAT_LOCUS23177 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDHGGGGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDDGQQDYGEFLHAPRKRFTDFAAVRQEISDETDRITGKSKQISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQSETIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKIAKEVDPSGERTFGVVTKLDLMDKGTNAVDVLEGRHYRLQHPWVGIVNRSQADINKNVDMIIARKKEREYFETSPEYGHLAHKMGAEYLAKLLSEHLEIVIRQRIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDKVFKEHIDGGRPGGDKIYGVFDNQLPAALKKLPFDRHLSLKNVQRVVTEADGYQPHLIAPEQGYRRLIEGCLGYFKGPADASVDAVHLVLKELVRKAIAATEELKRFPTLKNEIATAANDSLDRFREESRKTVTRLVDMESSYLTVEFFRKINLESETNPGRNTPNNPNPNLDNYSDNHLRKIGSNVNAYINMICDTLKNSIPKAVVYCQVREAKRSLLNRFYVQVGRKEKEQLGAMLDEDPALMEKRTQLAKRLELYKQARDDIDSVAWK >CAK8575438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119145158:119146460:-1 gene:gene-LATHSAT_LOCUS27705 transcript:rna-LATHSAT_LOCUS27705 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSKLFKGQSKKKSIPANRHGKVPQTRKGKRFIKPSKVTKDMDADREVSKFINHCNEIKAATGATKDGGYLSIVKTVPASASGADK >CAK8570789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115068063:115069765:-1 gene:gene-LATHSAT_LOCUS23511 transcript:rna-LATHSAT_LOCUS23511 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVATDGGRHYEGKVTAFVLVTCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYKQMKDKSGHESQYCKFDNQLLTLFTSSLYIAALIASFFASITTRLLGRKPSMFIGGLFFLVGALLNGFAVNIEMLIIGRLLLGLGVGYSNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILIANLINYGTSKRENGWRISLGLGAVPAILLCLGSFFLGDTPNSLIERGHHEKAKTMLQKIRGTANVDEEFQDLMDTSEQAKKVEHPWKNIVEPKYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGSEASLMSAVITGGVNVVATFVSIFTVDKFGRKFLFIEGGVQMLICQIAVGGMIAAKFGISGEGSLAKGEANLLLFLICAYVAAFAWSWGPLGWLVPSEICSLETRSAGQAINVSVNMLFTFFIAQAFLTMLCHLKFGLFFFFAGFVVIMTIFIVLFFPETKNVPIEEMNRVWKSNWFWAKFILDEDVSGDKHNASD >CAK8544824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707440178:707443798:-1 gene:gene-LATHSAT_LOCUS13472 transcript:rna-LATHSAT_LOCUS13472 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVVDDIISRLLEVRNRPGKQVQLSEAEIRQLCVVSRDIFIQQPYLLELEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDEKILCMHGGISPDLHSLDQIRNLQRPTDVPDTGLLCDLLWSDPNKDVQGWEMNDRGVSYTFGADKITEFLQKQDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKTKINFGSTTTAKPGNSPASVKSFLGAKV >CAK8562061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:433536404:433536742:1 gene:gene-LATHSAT_LOCUS15593 transcript:rna-LATHSAT_LOCUS15593 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISLVSTMFVFLLLLVATGPSMVAEARTCESQSHKFKGFCLSDTNCASVCITERFTGGHCRGARHRCFCTTHC >CAK8535237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828803411:828803878:1 gene:gene-LATHSAT_LOCUS4710 transcript:rna-LATHSAT_LOCUS4710 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIKKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8576502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:485361879:485362330:-1 gene:gene-LATHSAT_LOCUS28684 transcript:rna-LATHSAT_LOCUS28684 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLETADSDVQNSSPSVRVLEPGNPAVTAKVFIMQQLITKETCDCGMLRSIEREMLAAA >CAK8577805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589626926:589629456:1 gene:gene-LATHSAT_LOCUS29876 transcript:rna-LATHSAT_LOCUS29876 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVPRRVGPDFFGYYSSEVVNLLSRDENVLPVTTQLSELPQNNCGEGVKNLVNQSYDFSGPLYSNVVGAGLSDFEKDRLKSLLRQSAVTLSSEVDEVVGPVFNMYHLQSSLRNKTHSLNLSTMTTSEDSLQIPSKKQKVSSPPSSARPDPHAQNSDVSPQSSMKERNDLQFLMENDSVEVDEMVKIYSDELSGTLGYMEQELEILLDAVMSKCRPMALVEKQQLQRLIQRLPAENLDRVVEIICRRRPAEEQSSDKIFVDLEKEDNATLWRLYYYVEAVEKAKSLSCSEEV >CAK8543904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648575782:648576954:1 gene:gene-LATHSAT_LOCUS12627 transcript:rna-LATHSAT_LOCUS12627 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTQANVNYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKVGAKLTEVRKNKTCPWLRPDGKTQVTVEYQNDNGAMVPIRVHTVLISTQHDETVTNEQIAANLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDSYKTGKIPDKDILVLIKENFDFRPGMISNNLDLKRGGNFRYQKTAAYGHFGRDDPDFTWETVKILKPKA >CAK8577369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557766452:557768743:1 gene:gene-LATHSAT_LOCUS29483 transcript:rna-LATHSAT_LOCUS29483 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYPLLLLLLLLSTSYLFLQPSSSATTNSIGVNYGTIADNLPPPSKVASFLKTQTTIDRIKLFDANPDILRAFANTNISVTVTVANIDIPSLTKLPSAQSWITTNILPFHPETAFNRIAVGNEILATSDKNLIAHILPAMKALHQALTLSNLTHIQVVSPNSLGILSSSEPPSAGSFRRGYDRAIFAPILDFLRQTKSPFMVNPYPFFGFSPTKPETLNYALFKPNGGVFDKATGINYTNMFDAQMDAVYSAMKKLGYDDVELVVGETGWPSLGDPDQPGVSLENAVSYNGNLIKHVNSGKGTPLMPNRTFETYIFSLFNENLKPTVSERNYGLFKPDLTPVYDVGVFTQQHQQAMGPASGPTTMDPASGPKAMGPAMGPAAGPTTMGPAGSPESSVSKKWCVPKTNVTEKALQANIDFVCSNGIDCGPIKNGGPCFKPNSLRSHAAYAMNAYYQQSGHHNSDCDFGNTGVITDTDPSYGTCKYPYAATASGQNVKKPDTDGGGSLKSDTSNLRLYGFLSHLQFLICLCFL >CAK8537525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:385476741:385480133:1 gene:gene-LATHSAT_LOCUS6814 transcript:rna-LATHSAT_LOCUS6814 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRVLHIEETSQIERSRWVLDSPNPPPFWKKLFCLIKETLLPHGNKLWFCSKKKSFQSHALYFLQSLFPILVWLKDYTASKFKDDLLSGLTLASLCIPQSIGYASLAKVDPQYGLYTSIVPPLIYAVMGSSRDIAIGPVAVVSMLLSSLVTKVIDPVANPHAYRDFVFTVTFFTGIFQAGFGIFRLGFLVDFLSHAALIGFMAGAAVIIGLQQLKGLLGITHFTAKTDAVSVLVSVYKSLHQQITSSEEKWSPMNFVLGCSFLIFLLVTRFIGRRNKKLFWLPAIAPLLSVILSTLIVYLSKADKQGVNVIKHVKGGLNQSSVHQLQFHGQNVGQAAKIGLVCAVIALTEAMAVGRSFASIKGYQLHGNREMLSMGIMNVAGSLTSCYVATGSFSRTAVNFSAGCQTAVSNIVMAVTVILFLQLFARLLYYTPMAILASIILSALPGLIDLNEASYIWKVDKLDFLACIGAFFGVLFASVEIGLLVAVTISFAKILIQSIRPGVEILGRVPRTEAFCDVTQYPMAISTPGIVVIRISSGSLCFANANFVKERILKWVVEEDEIQETAKGNVRAIIMDMTNLMNVDTSGILALEELHKRLLSRGVELAMVNPRWLVIHKLKLAHFVDKIGKQWVFLTVGEAVDACLSSKIATA >CAK8575930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365028391:365028837:-1 gene:gene-LATHSAT_LOCUS28155 transcript:rna-LATHSAT_LOCUS28155 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKESGAAAGDKKKKRNKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8560252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11965083:11967316:1 gene:gene-LATHSAT_LOCUS13942 transcript:rna-LATHSAT_LOCUS13942 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPPPASQNPIAQPPQPPLHPRGGNNNWGGYGYSGGGGGDSSTSFPQIPPNSNYQHHHHHHAPPPPPPSNYYPYPPPPPPPPDNSYQPPPPPPSAPMYYPSNNQYNHQQPPPPPPPLSPGSSMPPPPPPPPPTSPPPLSHNNDERAINKGSSGRRDGVSHRQQQKPSHPHPPRKVETDEEKRMRKKREFEKQRQDEKHKQQKKLKESQNSVLQKTQMASSGGTGKVHGSIAGSRMGERRTTPLLSSERVENRLKKPTTFLCKLRFRNELPDPTAQPKLMAFKKDKDQYAKYTITSLEKTYKPKLFVEPDLGIPLDLLDLLFDVLPKCSDVVSPYMFSGES >CAK8560253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11965083:11965925:1 gene:gene-LATHSAT_LOCUS13942 transcript:rna-LATHSAT_LOCUS13942-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPPPASQNPIAQPPQPPLHPRGGNNNWGGYGYSGGGGGDSSTSFPQIPPNSNYQHHHHHHAPPPPPPSNYYPYPPPPPPPPDNSYQPPPPPPSAPMYYPSNNQYNHQQPPPPPPPLSPGSSMPPPPPPPPPTSPPPLSHNNDERAINKGSSGRRDGVSHRQQQKPSHPHPPRKVETDEEKRMRKKREFEKQRQDEKHKQQKKLKESQNSVLQKTQMASSGGTGKVHGSIAGSRMGERRTTPLLSSERVENRLKKPTTFLCKLRSVFLRYVTLS >CAK8541793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:397293283:397294058:-1 gene:gene-LATHSAT_LOCUS10688 transcript:rna-LATHSAT_LOCUS10688 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLIGIVGSPYVMGVQIALKLKGIEYEFLEDDLDNKSELLLKYNPVYKKVPVFVHNGKPISESLVIIEYIDETWKQNPILPSDPYQKSQVRFWSRVIQDKICTPIIKVVRAVSDEKERDKSLAESSDALQFLENELKDKFFGGKEIGLVDIAAMFVAFSLPLLHDAAGFNLFIAEKFPKLYKWSQEFLNHLIVKEIVPPKEPLLAYLKARIELRVSASK >CAK8566670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447265510:447271511:-1 gene:gene-LATHSAT_LOCUS19785 transcript:rna-LATHSAT_LOCUS19785 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLLAAKAMRPTSRLLNFQNPNNLLLRTIVSKPELRKPEPAAAQPQPEPPLVDLSPRTPVGGARVHFSNPEDAIEVFVDGFPVKIPKGFSVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTDMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVLRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKPVNWGDALAVVAEAAHKVKPDEIVGISGKLSDAESMIVLKDFLNRMGSNNVWGEGIGENTNADLRSGYIMNTSIAGLEKADAFLLVGTQPRVEAAMVNARIRKTVGSNYAKVGYVGPATDFNYDHQHLGTGPQTLVEIAEGRHPFSKTISNAKHPVIIVGAGIFERKDQDAIFAAIETIAKQGNVVRSDWNGLNVLLLHAAQAAALDLGLVPQSEKSLESAKFVYLMGADDTNIDKIPKDAFVVYQGHHGDKSVYRADVILPAAAFSEKEGTYENTEGCTQQTWPAVPTVGDSRDDWKIIRALSEVAGVRLPYDTIGGVRGRLRTVAPNLAQMDEREPTALPSSLRPTFTQKVDPTPFGVAVENFYMTDAITRASKIMAQCSAMLLKK >CAK8573350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605074027:605075434:1 gene:gene-LATHSAT_LOCUS25809 transcript:rna-LATHSAT_LOCUS25809 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKAEKKAAVDAAAWMFNVVTSVGIIIVNKALMGSYGFGFATTLTGLHFVTTTLMTVVLRMLGYVQPSHLPFSELLKFVFFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVCLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNTKGFVAALIAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAGSLLLLGPILDYWLTNNRVDRYAYDTPSVMFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLVMGFFFFGKEGLNLHVVVGMIIAVVGMMWYGNASSKPGGKERWSHSLPTNKTESRS >CAK8542629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533613100:533613651:-1 gene:gene-LATHSAT_LOCUS11453 transcript:rna-LATHSAT_LOCUS11453 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGPRYDEYAKLREKKLRLNYQTQQQDYQEEQQEKENVFESKIPTISTKQVKFQVGVASVRKGSSLVAQSVPDFSSLLRKENRKPTNNMVPSTMTLTPPLKNKNKGCGVMSSSRGGSRSVNANAEKRKGCGGGGILTARKSYGNFDELRSFSSATANAINGEIRNSRVVGKKSVLRCRDL >CAK8563580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617778677:617781070:-1 gene:gene-LATHSAT_LOCUS16975 transcript:rna-LATHSAT_LOCUS16975 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATIKLALFSVFTLFSFHAVVSVTPLHFQHPLDPLTKEEFLAVQTIVQNKYPISKNKLSFHYIGLDDPEKDHVLRYETHPTLVTIPRKIFVIAIINNQIHEIVINLKLRSIVSDNVYNGYGFPVLSISDQEFASGLPLKYPPFIASVNKRGLNISEVVCSSFTMGWHGEEKNIRTLRVDCFLKEITANIYVRPITGITIVVDLELRKIVEYQDRNIEAMPTAENTEYQVSKQSPPFGPKQHSLTTHQPQGPGFQIKGHSISWANWKFHIGFDVRAGMVISLASIYDLGKHKSRRVLYKGYISELFVPYQDPTEEFYFKTFFDSGEFGFGQSTVPLIPNRDCPPHAQFIDTYIHSADGTPSLLKNAICVFEQYGNIMWRHTETGIPNESIEESRTEVNLIVRTVVSVGNYDNVIDWEFKASGSIKPSIALTGVPEIKATNIKHKDEINEDLHGILLAANSIGVYHDHFYMYYLDFDIDGVDNSFEKTSLKTVRIKDGSSKRKSYWTTEAEIAKTESEAKIKIGLAPAELLVVNPNIKTAVGNEVGYRLIPGNTVHPLLTEDDYPQIRGAFTNYNVWVTPYNRTEKWAGGLYVDHGRGDDTLAVWTKQNRDIENKDIVLWHVVGIHHVPAQEDFPLMPLLSTSFELRPTNFFERNPVLKTLSPRDVAWPGCSN >CAK8538168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466516485:466521060:1 gene:gene-LATHSAT_LOCUS7397 transcript:rna-LATHSAT_LOCUS7397 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCSIKDTGITVSHLSWWYVVSSYEELRTGKQKVKTSAETFSCPYCPERKQDYKYNELLNHATGIGRSSSDKRSDKEKGCHLALVKYLEQDLMSKDGALKSVDQGSNTISPGQTVMSHCSIKDTDISWWYVDTAYEELRNGTRNVRTSDESFICPYCPQRKQDYAYRELLEHAFMVGRSSSEKRSARERANHLALLKYLEKDIVSMPGPSKPVDKTSFCLSDTKLISQGQTVTPQCSNKVTDSSESQISRLYVDKFYKELKKGSLKVRISEETFTCPYCPKMKRPNYVYRELLEHASGVGQSSSLKRSVKEKATHLALMKYLKNDIIVNVIAPARPVNEGTAVPSREARTVVPRWEENVTPLCSDKDSDKSASQINARQIKKAYEQLKKGSQNVKTSNSTFICPYCPNRKRKRDYVYREIIEHASGVGWSISQSRSGIEKANHLALVKYLKRDLINVSGSSEPTNEGTTTSNRGETLSGHTYENDTNKRASQINVLFVNKSYEELKRGRYKVKTSKETFSCPYCPKRKCDYRYSELLNHALGVGQSDSQKRSVLEKANHLALVKYLEKDLMIMNVEHPIKPVNLEKNLVNVRQHPTKPTNRGILVDSEMQFVWPWTGIVVNIPTKMTEAGRCFGESGSKLRDEYRVRGFNPRRVRPLWNSWGHTGAAVVEFNKSWLGLYNALAFERAYELDHHGKKDWLSYTEQKSGLYAWIARADDYQMNNTIGEQLQKMDVKTISEIMEHDARMFNKFVSSMTNIIQVKKNKIKEMEVVCDEITLRMDVVMDEIDRLSQSHCQEMKKIQSSATQHFQSILNGHERLKLQLESQKKELELRRIELEKREANNESERKKLEDEINETSTKNRFLQMAALEQQKAGENVLKLAADQKRQKEQLHAKIIQLEKQLNLKQKLELEIQQLKGKLNVMKHMENDGDFDVLDMMDALHIDLREKEQSLRDMDALNQTLIVKERKSNDELQEARKELITTIKEIPSRSNIGVKRMGELGYRPFLEAMKRKFNADDAEDRASELCSLWEEYLKDPDWHPFKIALIQGKHEEVIDDGDEKLKELKNEMGEEVYGAVVAALKEINEYNPSGRYVTSELWNYAEGKRATLLEGVQVLLKQWKLNRQKMGMI >CAK8572892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569992449:569993510:1 gene:gene-LATHSAT_LOCUS25398 transcript:rna-LATHSAT_LOCUS25398 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNSPLHGLLSFLFLISCSSLHHGVTAITVTTTSIHLDVIRKPAPDVPIFREAPAFRNGDTCNKESIHISMTLDSNYLRGTMAAVLSILQHSTCPENVEFHFLWARFEPQVFLSIRSTFPYLKFKIYRFESNRVRGKISKSIRQALDQPLNYARIYLSDILPLYVKRVIYLDSDIVVVDDIAKLWQVDLQGKVLAAPEYCHANFTEYFTDLFWNDVELSRTFDGRKPCYFNTGVMVMDVEKWREGRYTQKVEQWMSVQKRKRIYELGSLPPFLLVLAGDLKSVDHRWNQHGLGGDNLEGKCRSLHPGSISLLHWSGKGKPWLRLDSRRPCSVDHLWAPYDLYRPNTHSLEE >CAK8541600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:289728494:289746598:-1 gene:gene-LATHSAT_LOCUS10508 transcript:rna-LATHSAT_LOCUS10508-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKGFTILHNLIDIATEISQISTFNSLIKTQCFDLSRRINFLIPLFQHLVNDVVFVPYETLVSLQEAFLSAKSLLRFCSRSSQLYMILEREKIKCRFTDLASRFEQAINEISYDKLHISEELKEQIALVAAQFRRAKEHFVPPGLELYEHLLSVYNQSCDVTTESDALRLICEKLQFASAEDIKQESFALHKVIVDGGGYYEKSLQELAAVLRKIEDFLLKDSENKGDGCFEKRTQVLSSGDLPLHTNEQCVNLCCQSPVVPEEFRCPISLELMKDPVIISTGQTYERACIRKWLDTGHRTCPSTRQIVSSPILIPNHVLYNLISNWCEANGVEPPKRLESLRLCKETSDGSSELIDLDSLMRKLVSRYIEDRRCAAGEIRLLAKHNSENRKLIAEAGAIPLLTDLLYTPDAGTQEHAVTALLNLSIYEENKERIMASDAVPGILHVLKNGSMEARENAAATFFNLSSADENKVAIGASGAIQALVTLFCEGSQRGKIDAASALFKLCIYQGNKGLAVKAGIVPKLIEMLAEPGGEMRGEALAILAVIASHPEGKAAIGSMNAIPILVELITNGSYRNKENATSVLVCICKGDPLHLPIVASHKVIDPLMELAENGSERGKRKAEQLLELLRI >CAK8541599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:289728494:289746610:-1 gene:gene-LATHSAT_LOCUS10508 transcript:rna-LATHSAT_LOCUS10508 gene_biotype:protein_coding transcript_biotype:protein_coding MRATMAKTKGFTILHNLIDIATEISQISTFNSLIKTQCFDLSRRINFLIPLFQHLVNDVVFVPYETLVSLQEAFLSAKSLLRFCSRSSQLYMILEREKIKCRFTDLASRFEQAINEISYDKLHISEELKEQIALVAAQFRRAKEHFVPPGLELYEHLLSVYNQSCDVTTESDALRLICEKLQFASAEDIKQESFALHKVIVDGGGYYEKSLQELAAVLRKIEDFLLKDSENKGDGCFEKRTQVLSSGDLPLHTNEQCVNLCCQSPVVPEEFRCPISLELMKDPVIISTGQTYERACIRKWLDTGHRTCPSTRQIVSSPILIPNHVLYNLISNWCEANGVEPPKRLESLRLCKETSDGSSELIDLDSLMRKLVSRYIEDRRCAAGEIRLLAKHNSENRKLIAEAGAIPLLTDLLYTPDAGTQEHAVTALLNLSIYEENKERIMASDAVPGILHVLKNGSMEARENAAATFFNLSSADENKVAIGASGAIQALVTLFCEGSQRGKIDAASALFKLCIYQGNKGLAVKAGIVPKLIEMLAEPGGEMRGEALAILAVIASHPEGKAAIGSMNAIPILVELITNGSYRNKENATSVLVCICKGDPLHLPIVASHKVIDPLMELAENGSERGKRKAEQLLELLRI >CAK8566033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369858997:369860466:1 gene:gene-LATHSAT_LOCUS19201 transcript:rna-LATHSAT_LOCUS19201 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQEETLNLQMKDFVLVKPSKSTPSCILSLSTIDNRDIYNNHCHIVHVYRSSPIHDSDSSFDIFHVFKEALSKALFYYYPLAGKLVESADDGKRRVHCNPNAENYGVPFLEATANCTLSSLHYLDNTDLEIAKYLVFDPQDKSYPLVLKVTKFLCGGFTIGMGVLHAVCDGFGVSQFLKSIVELSKGRTEPSVIPVWERERLVGSITKQPFPESPMNNVAFSPFLNETSSTVIKKYCFKVEGEMMRRLKLSLMKENENLGFTTFEALAGFVWRSRARALKLNNNGETMLSVLVAMRRNLKDFEPLPKGYYGNSCVDANLVLKVSELNERPLYEIVKLIKETKKVGSTSEYVKNSIDTWETYGKESWKMKSGGAVTVLTEWRHLGFESVEFGGNEVVNLVPAPCNLFANVDISIFTSSNKIDDENDPSMKGGVNLFTSLPVDAMPRFKEEIESLRFLS >CAK8533791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660367220:660375334:-1 gene:gene-LATHSAT_LOCUS3388 transcript:rna-LATHSAT_LOCUS3388 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQVSASEYYLHELPSTYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDSIDLSDYERRLSQIKDIFSSIDHPHVWPFQFWQETDKAAYLLRQYFFHNLHDRLSTRPFLSFVEKKWLAFQLLLAVKQSHEKGVCHGDIKCENVLITSSNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYENGGEMQMAHDTPLKPSMDLFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGIRRMIQHMIQLEPESRFSAEIYLKEYAGIVFPTYFSPFLHDFYRCWSPLHSDMRVLLCQSAFQEILKQMMNKQSSDDAGVTSGELLEEMVAKESVSFMKDTQRKREDIGKGLVHEQYELLGDINGLLRDAKNNNKNPSGPQQVIGNAQNSTFPENLKSLQSPGELLQTISNTFRGNDHPFLKSITMEDLNSLMSEYDSQSDTFGTPFLPLPNDTMRCEGMVLITSLLCSCIRNVKLPHLRRAAVLLLKASALYIDDEDRLQRVIPYVIVMLSDSAAIVRCAALETLCDILPIVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSMSLSEAGVLDELSLPQKPLTSPTQASGRMKMINSDVQLLQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCYFFGVRQSNDTLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDMTEAVIVRALECLTILCKNGFFRKRILLQMIERAFALLCYPSEWVRRSVVSFISASSESLGAVDSYVFLAPVIRPFLRRQPVSLASEKALLSCLKPPVSRQVFYEVLESSRSSDMLERQRKIWYSSSQSKIWEMDLLKKGMDELESLKNWAEKQQGSGVQQTTGTSLQQPGLTDCDKAESKLRDMGAFMHTDSNMGGHRDPQCLEKLQFSGFMSPTFSGVSSLTYDKPSEGIPLYSFSMDRRGMGIPPAASDSPLQMNSLGVSSSAMPWVNPLSKSFNLANSVPAPKLFSGSFNISNGSKQFHRVVHEPDPKESETAFVNSPFQDLGLSSNNKGTSISLEEATAQADLSGFQSFARTSIPDSGWRPRGVLVAHLQEHRSAVSNIAVSYDHSFFVSASDDSTVKIWDSKRLEKDISFRSKLTYHLEGSRALCVAMLPGSAQVIAGASDGFIHMFSVDHISRGLGNVVEKYSGIADITKKDTKEGAILGLLNCPTDNNSIMYSTQNCGIHLWDTRSNSNSWTLKAIPEEGYALALASGSCSNWFVSGSSRGVITLWDLRFLVPVNSWKYSPACPIEKICLFLPPSNASLSSTTRPLVYVAAGCNEVSLWNAENASCHQVLRMANYDSDAEMSDMPWALAKPSSKPTSQSDPRRNVNRKYRVDELNEPPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYCICGPSLKGVGNDDFYETKSSFGVQVVQETKRRPLAAKLTPKAILAAAATDSAGCHRDSVVSVASVKLNQRLLLSSGRDGAIKVWK >CAK8531316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100467963:100468581:-1 gene:gene-LATHSAT_LOCUS1118 transcript:rna-LATHSAT_LOCUS1118 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGGIIVFAVVAVVAAMTMSIDVAAAGEVNHVVGGDHGWDPTSDILYWSSDRIFRVGDQIWFTYSAAQGLIAELKSREEYESCNMSNPIMMYTEGLHTVPLEKEGMRYFVSTDSQNCENGLKLRVEVQPKDSGSRALPVTQTVVADGPAAPSGSARYGHNLILSLLLCVIVVLAY >CAK8572342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531128962:531132438:-1 gene:gene-LATHSAT_LOCUS24918 transcript:rna-LATHSAT_LOCUS24918 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESATAIHKGQVDLLDFIDWTGVECLNQSTTHSLPNAIKQGYREDEGLHLESDADEQLLLYIPFTQVIKLYSIVIIGPEVEGPKTVKLFSNKEHMGFSNVNDYPPSDTAVLSSENLKGKPVLLKYVKFQNVRSLTIFIEDNQTDSEITKVEKILLIGSTVETTDMKGLKKIEDH >CAK8571753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:467866318:467867738:-1 gene:gene-LATHSAT_LOCUS24387 transcript:rna-LATHSAT_LOCUS24387 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEEEEGFEHTLLVVREVAVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSRRDRCEIRLEDPNSGDLFAACFVFPGQREGSVEPVLDSSRYFVLKIEDGQGKHAFIGLGFTERNEAFDFNVALSDHEKYVRREHDKESGNADAAEDSQIDIHPAVNHRLKEGETIRINVKHKAAGGAGMLSAAGLTGAHVATPKPKVLNLAPPPCGAGKIRSALPLPPNDPVAARIASTTITGSSIKGTHEGVKHSTADSLSDLSQLQKNLPSSTTPGSTAASGWAAF >CAK8538336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477850185:477850727:-1 gene:gene-LATHSAT_LOCUS7548 transcript:rna-LATHSAT_LOCUS7548 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYEPRSSSSCAACKFLKRRCIPNCIFAPYFRADECKKFAKVHKVFGASNVSKILIEVPEEQREETVNSLAYEADARLKDPVYGCIGAIAILQRKMVELQHDLAIAKDRLACCAAVAATAAATPSSSSNDLMNSNVSLPPFPEFFSCSDFNDNFSHSSSSQSFSRNETVDDIFQIPYIF >CAK8579601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711646454:711656621:-1 gene:gene-LATHSAT_LOCUS31534 transcript:rna-LATHSAT_LOCUS31534 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKAMPLMVLRPRPSFFFLSRVSTTIPRLISTTRTVSSVSASVQPLPSASETLTSNAKPSPPPLPLNESLQWVTRTHFCGELSLNDVGKKVQLCGWVALHRVHGGLTFLNLRDHTGIVQVTTLPDDFPVAHSVINDLRLEYVVAIEGVVRSRPSESINKKMKTGFIEVAANEVHVLNSVNSKLPFLVTTSDDAKDSLKEEIRLRYRCLDLRRQQMNFNILLRHKVVKLIRRYLEDIHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVAGFDKYYQVARCFRDEDLRADRQPEFTQLDMEMAFTPLEDMLTLNEELIRKVFLEIKGVELPNPFPRLTYAEAMNRYGSDRPDTRFDLELKDVSDIFSGSSFKVFSDSLERGGIIKVLCVPNGAKKYSNSTLKKGDIYNEAFKSGAKGLPFLKITENGDIEGISALVSSMDPATKEDLLGRCSAGPNDLILFAVGHHASVNKTLDRLRVYLAHELGLIEHDRHSILWITDFPMFEWNDSEQRLEALHHPFTAPNPEDMNNLATARALAYDMVYNGVEIGGGSLRIYKRDIQQKVLEIVGISMEQAEAKFGYLLEALDMGAPPHGGIAFGLDRLVMLLAGASSIRDVIAFPKTTTAQCALTRSPSEVDPQQLKDLSITT >CAK8573137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:588978171:588978491:-1 gene:gene-LATHSAT_LOCUS25618 transcript:rna-LATHSAT_LOCUS25618-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYTGGRDIIRPSVTRFVTQFLQLQAIVRQKNGLENMFNSEEFRKTKYDKEKKGPGYEARKFVMCRDFWSKANVILKVFKPIVKVLRLVDGDETPTMGFIYEAID >CAK8573136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:588978171:588978536:-1 gene:gene-LATHSAT_LOCUS25618 transcript:rna-LATHSAT_LOCUS25618 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTFIYNHTYIVSLMKKYTGGRDIIRPSVTRFVTQFLQLQAIVRQKNGLENMFNSEEFRKTKYDKEKKGPGYEARKFVMCRDFWSKANVILKVFKPIVKVLRLVDGDETPTMGFIYEAID >CAK8579365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694371694:694378089:1 gene:gene-LATHSAT_LOCUS31317 transcript:rna-LATHSAT_LOCUS31317-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSKKRWTVTYTKHIKQKRKLYQDGFLVLNDCTAKLSLYDECEKLLECRLLKSDETVASGETLTFNGHLVDIGSLEGENKPKCQLNVDKTQKNVFRFRTPDVKVNAKETITRTQKPLSPSQKIIKEFKKREILKYQSPKISQETPKPSSTEWQVLYTSQMTQKAKKYHDGFLGLDSYGSQGAQVRLFDASRKLLDSRFLKKDDVIKPGESIAFDTYLVDISKDQVSHTPDSSVQGNNCTNIKRMEKIDRQKASLDIDSHVIGKREWKVLYTTQLTQKAKKYHDGFLQLECCGSLGRQVILYDLSKRPLERRFLKKDEVIEAGSMVYFAGHLVDVGEPEGSHQSPVKFSERGTGGENVEKRQQRHGKKVCRELHPSTARGQPSSGPCLRKDAGLNSQFSEIEEIKPSKTVPVVKPLHDVNQILSFLQDPTKAKSHERNIAGGRSPNKSYQNIWDIESTETMKSPDTTPTKATSGDGSFQCRENVKVSHQSSDKEAQQSINEADFDLLLSPDVHSSCVISNEGESAVESCLISNEGESAEEFSRESKAFPSFDLGF >CAK8579366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694371694:694378089:1 gene:gene-LATHSAT_LOCUS31317 transcript:rna-LATHSAT_LOCUS31317 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSKKRWTVTYTKHIKQKRKLYQDGFLVLNDCTAKLSLYDECEKLLECRLLKSDETVASGETLTFNGHLVDIGSLEGENKPKCQLNVDKTQKNVFRFRTPDVKVNAKETITRTQKPLSPSQKIIKEFKKREILKYQSPKISQETPKPSSTEWQVLYTSQMTQKAKKYHDGFLGLDSYGSQGAQVRLFDASRKLLDSRFLKKDDVIKPGESIAFDTYLVDISKDQVSHTPDSSVQGNNCTNIKRMEKIDRQKASLDIDSHVIGKREWKVLYTTQLTQKAKKYHDGFLQLECCGSLGRQVILYDLSKRPLERRFLKKDEVIEAGSMVYFAGHLVDVGEPEGSHQSPVKFSERGTGGENVEKRQQRHGKKVCRELHPSTARGQPSSGPCLRKDAGLNSQFSEIEEIKPSKTVPVVKPLHDGQPPSRACLPQDAGLNSQSAIKSNKAFPVVKPLRDVNQILSFLQDPTKAKSHERNIAGGRSPNKSYQNIWDIESTETMKSPDTTPTKATSGDGSFQCRENVKVSHQSSDKEAQQSINEADFDLLLSPDVHSSCVISNEGESAVESCLISNEGESAEEFSRESKAFPSFDLGF >CAK8537811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:437896392:437898410:1 gene:gene-LATHSAT_LOCUS7065 transcript:rna-LATHSAT_LOCUS7065 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSVFASFFSLLFILSLQCQKASSLHHHHHHSHFHHQKPILKSYNNQSTCSLFVGTWVHDESYPFYQSSSCDIIDPEFNCQMYGRPDSDYLKYRWKPLNCELPRFNGVEFLMKMRGKTVMFVGDSLGRNQWQSLICMISAAVPQSLTQQVSGDPLSTFTFLDYGVKISFHRAPYLVDMDMVQGKRILRLDEADKNGNTWKSADVLLFNTGHWWTHQGSLQGWDYLELRGEYYPDMDRLGALESGMKTWATWVDANIDRSRTQVLFQAISPTHYNQNEWNAEAGRTTSMMTEKNCYGETAPISGTTTNHGVGETYTEQQMRIVDMVIREMRDPAYLLDITMLSALRKDGHPSIYSGDLNPQQRANPDHSADCSHWCLPGLPDTWNQLLYVALFY >CAK8571840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482427967:482428599:-1 gene:gene-LATHSAT_LOCUS24465 transcript:rna-LATHSAT_LOCUS24465 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIRQFYNKSQNIAKCLSCDLCNQLLIDATKIIDCFHTFCKECLDSKMEQEELECCPVCGIDLGTDPSTKMRADISLQNMRNRLFPVGKEKEAFENLPKVLMKANGHPIPKVQQTGTSSSRPKRKFKKSKVTNSEEQINKKG >CAK8568555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:616467967:616468251:-1 gene:gene-LATHSAT_LOCUS21502 transcript:rna-LATHSAT_LOCUS21502 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKKLHMDQRDYLIRPISENDITIALKGIGNLKAPGLDGFGAKLFKTSWTTIKDDVIAIVKDFFEAGKIYKAFNNDVVSLIPKGHNASVIQD >CAK8571541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:437288629:437290618:1 gene:gene-LATHSAT_LOCUS24192 transcript:rna-LATHSAT_LOCUS24192 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSHSMSSTPPTPTSNDVSAGKKVRKPYTITKSRESWSDEEHDKFIEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPPRPKRKAIHPYPQKATKNVLVPLPASIAYGCSSNNLLPAGYVTWDETSMLMNTCQDELNNLHGNEADIIGSKGISNSGLSGVEDSNTRLPASQIPMQGKQNPAVHGLPDFAEVYGFIGSVFDPDTNGHVQKLKEMDPINFETVLLLMRNLTVNLSSPNFDPIKKAMSTYDVNSIVAVGVTDAKKQTNDDVSCQTT >CAK8544355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679500694:679503111:-1 gene:gene-LATHSAT_LOCUS13042 transcript:rna-LATHSAT_LOCUS13042 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKPPRRKVVQSNGDDSGDKQDQLLLSSAICNNEDLGPFIRKAFASGKPETLQHHLKHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSEVESLKSSLSDSNSKLQSVARPLLSSLDSFVETRNVSRNVNLAIESVGACVQLMEVCSRANSHLSGDNFYMALKCVDTIERDYLDKTSSSTLKKMLEKKIPEIRSYIERKVNKEFGDWLVEIRVVSRNLGQLAIGQASSARQREEDLRIKQRQAEEQSRLSVRDCIYALEEEDEDGIAAGIGDEAHSNGNGNGGGVLGFDLTPLYRAYHIHQTLGLEDGFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFVVEDRVYRTGGGLISKMEVENLWEIAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRFGYPIDALLDVLSKHRDKYHELLLSDCRQQIAEAVAGDKFGQMVMKKEYEYSMNVLSFQIQTSHIMPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFYDVVKKYLDKLLGEVLDESLLKLINTTVSGVFQAMQMAANMAVMERACDFFFRHAAQLSGVPLRMVERSRRQFPLRKARDAAEETLSRLLKSKVDGYMTLIENVNWMTDDPPQGGNEYVNEVIIYLETSFSNASQILPTQVLKRVIPDVLSHISETIVGTLVSDSVKRFSVSAVTGIDTDIKLLESFAENQATLFFDGDADQLKSSLAESRQMVNLLVSNHPENFLNPVIRERSYSALDHKKVVIVSEKLKDPSDRLFGTFGSRGSRQNPKKKSLDTLIKRLRDVS >CAK8532757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510768278:510768652:1 gene:gene-LATHSAT_LOCUS2430 transcript:rna-LATHSAT_LOCUS2430 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEVQVHTICMGLAASMASLILAGGGISKGLAFPHAWRQ >CAK8542997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564824516:564829309:1 gene:gene-LATHSAT_LOCUS11788 transcript:rna-LATHSAT_LOCUS11788 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDKLFIGLSGLATDSQTLYQRFVFRHKLYKLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDDDKPFICTMDAIGAKELAKDFVVAGTASESLYGACESMFKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >CAK8562307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469501776:469502171:1 gene:gene-LATHSAT_LOCUS15814 transcript:rna-LATHSAT_LOCUS15814 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRSYSDRHGLKEEFVRGVKKFVKRALKQPICKSEGGIRCPCINCKCLKISTTTNVRLHLYRDGFQPDYWIWTQHGEVELNVDTGGGSNSSKHVRQAGQFEPMDQMVYDAFRPHGGFSQANDKID >CAK8531768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:149090749:149094597:-1 gene:gene-LATHSAT_LOCUS1534 transcript:rna-LATHSAT_LOCUS1534 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMKTLLTFSLLLCFFSSGLLVLCSENEMITKEVLLEVKSSFLNDPENVLGNWSEDNNTDYCTWRGVSCDLSHVVVGLNLSDLKLTGSISSSLGLLQNLLHLDLSANHLVGPIPPSLSNLTKLESLLLYSNQLTGQIPNELGFLVSLRVLRIGDNKLTGEIPSSLGNLVNLVVLGLASCKLTGSIPRQLDRLTELQNLILQDNELTGPIPSELGNCTNLIRFTASDNKLNGTIPSQLGQLRSLQILNLANNTLTGEIPSQLGQLNELNYLSLTKNKLEGHIPPSLSQMGNLQNLDLSMNKLSGGIPEEFGNMHQLKYLVLLGNPLSGKIPKKLCSNATNLEHLLISMNGLYGEIPSELSQCKSLKQIDLSNNFLNGTIPIEIYGLVNITDLLLHNNSLTGSVSPFIGNLTNLKTLALYHNKLHGVLPKEIGMLGMMEILYLYDNQFSGNIPNEIGNCSNLKMVDFFGNNFGGRIPITIGRLKELSFLHIRQNELVGELPATLGNCHKLTVLDLADNNLSGGIPATFGYLKDLQQFMLYNNSLEGGIPRQMANVANLTRVNLSKNRLNGSLAPLCSSRDFLSFDVTGNEFDGEIPSNLGNSMSLKRLRLGGNKFSGEIPRTLGKIVDLSLLDLSGNSLTGPIPGELSLCNKLSSVDLSNNLLIGHIPSWLGNLPSLGKLNLAFNQFSGPFPLDLLKLPMLLVLSLNKNSLNGSLPDGIGDLVSLNVLRLDRNNFSGPIPHAIGKLGNLYELNLSRNVFSSDIPNEIGNLQNLQVVLDLSYNNLSGQLPASLGSLSKLEALDLSHNQLTGEVPRSISQMISLDKLDISYNNFQGALDKQFSRWPYEAFVGNFHLCGASFRSCNRGDASQNKLAGLSQTAVVIISAISTLAAIVILVVAVKIFLRNRQFFWNKDSELACVFSSSTSQAKKRLLFPVSTPGKRDFRWEDIMAATNNLSDEFIIGAGASGTVYRVELPTGETVAVKKISLKDEYLLHKSFIREIKTLGRIKHRHLVKLIGCCSNRNKGNGCNLLIYEYMENGSVWDWLHGNALKLKRNLDWETRFKIALGLAQGMEYLHHDCVPMLIHRDIKSSNILLDSNMDAHLGDFGLAKALIENHDSNTESTSCFAGSYGYIAPEFGYSLKATEKSDVYSMGIVLMELVSGKMPTDEAFRTGIDMVRWVERFIDTKGTEREELIDPELKPLLPLEEVAAFQVLEIALQCTKTAPMERPTSRKVCDLLQHVSKNKKVEFKKMNLD >CAK8565508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249301391:249301900:1 gene:gene-LATHSAT_LOCUS18717 transcript:rna-LATHSAT_LOCUS18717 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMDALSKICEDVPQIIDADVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMLSALCVSMDQYLQGLFILANDPTSEVWKLVCATFVQLIEVHPLVLAALLSLNFEILSEIFVNVISELIHPGVLLVLPQVRILKSKLDRSVRTLIMSSMDQTLSWH >CAK8539174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505970285:505972158:1 gene:gene-LATHSAT_LOCUS8294 transcript:rna-LATHSAT_LOCUS8294 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFQVKNVGKTLVSRTQGTKIASDGLKHRVFEVSLADLQGDEDNAFRKIRLRAEDVQGKNLLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRANQVKRTCYAQSSQIRQIRRKMREQMINQASSCDLKELVRKFIPEMIGKEIEKATCGIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVERPADETAVEGTPEIVGA >CAK8570882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:144416226:144419276:-1 gene:gene-LATHSAT_LOCUS23594 transcript:rna-LATHSAT_LOCUS23594 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEIQKIDPETEFLSSKRETGNEWETFKENVRPLKRGRNVNILNNALKSHTNNYLKKSLLHQRRELIEAIDDYEGEDPLFPWIQCMKWVQEAFPPGGDSSGLVVIYEQCLRAFWHSEQYKDDLRYLKVWLEYADNCYDKEVIYAFLDANGIGKTHSNFYISYALHLESKNKFKAANQTFELGIARNAQPIEKLKAAYRKFLVRSMSRTNAIDEPMEKQAPVRSFGTLLAKGENNTRLASLNSDHSAKSDRTRAAPLSIYKDSNASGETCPPCQPDARHSWRNLGARAERNKENNAIPAKWKSYKVPQRQGTRTAVASASASCIPVFVDEECQGSPSLKAEGKVKSKSLKIRQEDEKDLKREAELLRKNPLRNFPHTSLPR >CAK8531219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91107896:91112800:-1 gene:gene-LATHSAT_LOCUS1025 transcript:rna-LATHSAT_LOCUS1025 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYHWDGMEYVVDDNEMTEVEDDMYFRGRALGESDSDEDDDDEYDLLENKITDTTAAEARRGKDIQGIPWDRLSISREKYRQTRLEQYKNYENIPQSGELSEKECKVTQKGGMYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVAHYSIVHWSSLSSKRSEVLNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDNLLIAGGFQGELICKYLDRPGVSFCSRTTYEDNAITNAVDIYEHPSGAVHFMASNNDGGIRDFDMEKFQLTKHSCFPWPVNHTSLSPNGKLLAIVGDNPEGLLVDSQTGKTIAPLCGHLDYSFASAWHPDGCIFATGNQDKTCRIWDVRNLSKSVAVLKGNLGAIRSIRFTSDGRYMAMAEPADFVHVYDAKQGFEKEQQIDFFGEVSGVSFSPDTESLFIGVWDRTYGSLLQFNRRRNYTYLDYL >CAK8534160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701053560:701054246:-1 gene:gene-LATHSAT_LOCUS3727 transcript:rna-LATHSAT_LOCUS3727 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYPNQYGTQTRKTDEYGNPVNEVDQYGNPISGGDRLTGEAGRQHFGTTGATGHGHGQQHRGVAQATGYGTHTGGVGGYGTKPEYGSTNTGSGYGTGTGYGTNEYVREEHHGDKKGVMDKIKEKIPGTEQSRTNNEGTGYGSTGYGASGGGIGSTGQGYVREEHHVHPGDKKHGSTGQEYVREERRGTGNNGQEYVREEHRENHGEKKGIMEKIKEKLPGTGGCTGH >CAK8562464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496140758:496142656:-1 gene:gene-LATHSAT_LOCUS15957 transcript:rna-LATHSAT_LOCUS15957 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLFTTAPPPPPPSTTAAPPSTHSNQVDTLALLIDKSKSKNHLLQIHATLIRHGLDDHTILNFKLQRRYSTVGHLHYSVTLFNRTRNPNVFTWTSIIHAHTQSQLNDQALSYYAQMLAHRIQPNAFTFSSLLNGTTLRPTKAIHCHIIKFRLSSDTYVATGLVNGYARWADVISAEKVFDEMPQKSLVTFSAMLTCYAKHGKLREARLLFDEMGENRDVVVWNVMIDGCARNGSPNECLLLFRRMLVEKVRPDVVTLLAVLSSCGQLGALESGRWVHSYIENKRKDIVAVEVRVGTALVDMYCKCGSLEDARNVFDKINGKDVVAFNSMMMGYAVNGCSEEALKLFHEMCDMGVKPGHVTFIALLTACGHSGLVAKGLEIFNLMKNKYEMEPRVEHFGCMVKLLGRAGHLQEAYDLVRCMRIDPDPVLWGTLLWACRLHNNISLGEEIAEFILSSDLASSGTYVLLSNIYAASGNWVGAAKVRSLMKDSGVEKEPGCSIIEVNNRVHEFIAGDLRHPKSKDIYMMLEEMNSWLKGNGYTPKTDVVLHDIEEEQKELSLEVHSEKLALAFGLISTSRGTTIKIVKNLRVCLDCHAVMKMISRITGRQIVMRDRNRFHHFNNGLCSCKDYW >CAK8530928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64061955:64062992:1 gene:gene-LATHSAT_LOCUS755 transcript:rna-LATHSAT_LOCUS755 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERKPMLLYFTGKALKTQTYCSISDTSKSNSIRILEFGKSKLLTVQHGWFLWENIISKYVSNLVLWNPYNLNKIILPPLEHNGTAIGDCILSSPPSANHQTCSIYLFSSHRPSIFYYQLGDQQWIEVCFFNGLVRGFATQGTTPSVTCFDNPVYCNGCVYAGYHSTHYNDSLCAEYHSIVVVIEKHQLNGFTINCLFCLMRKHQPTSFQQLISHLIGSNNQLFRIEIFHVLGRVTAVVVYKFDCSQQVWETVQVFKLNESNMTWIRVESLKNHMLFLGKTSFSAVASIPGMENKIYFSRFYEHSLVFYSLETNNYHTFQHDQVVDIHNVKEHLKGTWIQPRWH >CAK8578971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665391919:665392294:1 gene:gene-LATHSAT_LOCUS30948 transcript:rna-LATHSAT_LOCUS30948 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRKEVMIKSILQDIPSYVTSVFVILDGIVIFIEKMMNSFWWGGSSNNKGIKRLAWDKLSCTKKDGGTWTRRFKSFRLGYGGKTMVALDDKSRVVGG >CAK8562422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:487651163:487660921:-1 gene:gene-LATHSAT_LOCUS15918 transcript:rna-LATHSAT_LOCUS15918 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNNGPNERASNGVIDGPTNPMVTPLLNDLYQFTMAYAYWKAGKHQERAVFDLYFRKNPFGGEYTVFSGLEECIRFIANFKLTEDDIDFVRQSLSTSCEDEFFDYLRGLDCSDVEVYAIPEGSVVFPKIPLLRVEGPIAAVQLLETPFVNLINFASLVSTNAARHRKVAGKSKTLLEFGLRRAQGPDGGVGASKYCYLGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMSLDEITDKSLRRKDGSSTCEDFVSLVQTWLSKIKLVKGVFGETNQSELAAFTSYALAFPDNFLALVDTYDVMRSGIPNFCAVALALGDLGYKAAGIRLDSGDLAYLSCEARKFFCSIENEFRMPGFGKLIITASNDLNEETLDALNKQGHEVDAFGIGTYLVTCYAQAALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKKSYRLFGKEGYPLVDIMTGENEPSPKVGERILCRHPFQESKRAYVVPQRVEELLRCYWPGKSGKTRETLPPLKEIRERCINQLEQMRPDHMRKLNPTPYKVSVSAKLYDFIHFLWLNEAPVVELE >CAK8564420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672975444:672978731:-1 gene:gene-LATHSAT_LOCUS17726 transcript:rna-LATHSAT_LOCUS17726 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSTLKSGSYNKLHSFKHIINGFSVHTTPSQAKRLKATPGVKLVEKDRGVKKMTTYTPNFLDLPKGVWAEEGGEKNAGDGVVIGVIDSGINPLHPSFGSQTFSTNVSHFSGACETGPHFPPESCNGKIVSAKYFSAGAQATPKFNASVDFLSPFDADGHGSHVASIAAGNAGVPVQVNGFCYGRASGMAPRARIAVYKAIYSSVGTMADVVAAIDQAVQDGVDIISLSIGPNRPPQDTLTFLSIFDISLLFARKAGVLVVQAAGNNGPSSSSVVSFSPWSVGVAACNTDRRYTSSILLGNGQTIDGVGLSGPSFGNGTILRKLVFAKDAVKINGTFPNTPEYLEECQHPEALDPIKVFGSIIICNFSEGFLNGTSTVAGIISTAKALGFEGFILTANPSYGDYIAEPIPFPIPGILIPSVADTKAIEKYHEEHTKRDEKGTVTEHGAMASKAEGRVAYFKGRSPVVSRFSSRGPDIIDAKKNLADVLKPDILAPGHQIWAAWSPISAKQPMLTGHDFALLSGTSMAAPHVAGIAALIKQYNPSWSPSMIASAITTTGKKYDNLGDPMMAEGYEVNTSHPSTPFDFGAGIVNPSRAIDPGLVLPSDFQDFISFLCSLPNIDPSTITTATGEPCNNPFDYPSNLNLPSVTISALKGSIFVRRTVMNVGNSTETYLGSVLPPNGTSINLNPTWFTISPQATQDLEIHINVNQPMENFSFGEIVLTGSLDHIVRITLSVLPVSLEEHKL >CAK8539646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519563666:519563941:-1 gene:gene-LATHSAT_LOCUS8724 transcript:rna-LATHSAT_LOCUS8724 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFAEAVNNIYDILISQLPQPVINGDIMVITIPEDEYQAEFEGCKHNLHARIIWSKGTTPLKLVPYVGTFWENRALLILVKDAMNYPFHP >CAK8569330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694893849:694896303:1 gene:gene-LATHSAT_LOCUS22192 transcript:rna-LATHSAT_LOCUS22192 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLISLFFLLLPLGVSSLYDGPLYDSTAYTQCIGYPEKPLYGGGLFKDNNIAQINTNIVAIYNLTQHTIYSFSAWVKVEGSYSVMIRARLEAENDTYNCIGTVSAKRGCWSFLKGGFALNSPSNSSAIIFENADGKDVDMDIASLSLQPFTKQEWRFNQEYIINTKRKRAVTIHVSDTNGMKLQGASVRVEQISKDFPIGSAIAKTILGNTPYQNWFVKRFNAAVFENELKWYATEPHQGRTDYTISDQMMQFVRSNKIVARGHNIFWEDPKYNPAWVLNLTGTELESAVNSRIKSLMNKYKTEFVHWDVSNEMLHFDFYEQRLGPNATFQFFEAAHESDPLATLFMNDFNVVETCNDVKSSVDAYIFKIRELRQHGVFMDGIGLEGHFTIPNPPLIRAILDKFATLDLPIWLTEIDISKTLDQETQAIYLEQVLREGFSHPSVNGIMLWTALHPYGCYQMCLTDNDLKNLPSGDMVDKLLQEWQTGRVEGVTEEHGSHSFYGFLGEYRVSVEYGNRTIDSTFSLSSGDETRHVTVTL >CAK8561406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:201601808:201602461:1 gene:gene-LATHSAT_LOCUS15004 transcript:rna-LATHSAT_LOCUS15004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAFDVGAVPFNPDGWGPMDSTTAANNNNDLPLNVPFAPFSRSDKLGRIADWTRNFNNQTRSKNPADSAFDFTLDDSFPGNADDDATFRLVDGKPPPRPKFGPKWRYQQQRQLPQRRDEEVEAKKREAEKERARRDRLYHQNRSNPNNPRREAAVCKSSVDIQPEWNMHDQIPFSTFTKLSYNVPEPEDLLLCGALENYNPSFSSFLFVLYFFIY >CAK8561316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144497834:144507090:1 gene:gene-LATHSAT_LOCUS14918 transcript:rna-LATHSAT_LOCUS14918-4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIKGKLRVGSSRASVGGQSRPTVGGKNFKVFSDNDRIKVGDGSKTSARQVGAASRKSAIAVDKGMEKSSGTYDTSSNINSRKALTDVTNDHGNSTTAAKRCYISKVSAGSTTKKVGISLRKSLTVKEQRNTSHSGVQLDAPSRGLRVPLGDQKNSLNGGQSAASKDRFGRKPILPTTTRKSLPVTLGTGLMVSLGDQKNSSNGGPSVVTKDRFARKHMLPTTTSTSRKSLPVPRRVNRVDTNNTKENAGSSESSNSQNGLPSKLTAGRRVSSQLIKARSHILKTRVSDGFVQTVTINANQTSSRNSTKPIVKTTLKACTSQRTLKSKSIADQNKSKPTTAVASKDEDKISSSLPKGEKNVSSSLPKDEESISFSLPENSSVVISDGANQRHQPTDRDGSLNSDLSELIPRKSSSRRKSYTTSLIEKSKILKENGEVRKQDSLPNIDDECNHLEVSEYIDDIYHYYWVTEAHSQALSNYMSIQTEITPHMRGVLVNWLIEVHFKYDLMPETLYLTVTLLDQYLSQVTVKTSDMQLVGLTALLLASKYEDFWHPRVKDLISISAETYTRDQMLGMEKLILRKLKFRLNAPTPYVFMVRFIKAAQSNKKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYLARCTLQITPSWTPLLQKHARYDVSQIRDCADMMLKFHKAAGKGKLTVAYEKYSRKELSAVAGVKPLDRLPHY >CAK8561312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144497834:144507090:1 gene:gene-LATHSAT_LOCUS14918 transcript:rna-LATHSAT_LOCUS14918 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIKGKLRVGSSRASVGGQSRPTVGGKNFKVFSDNDRIKVGDGSKTSARQVGAASRKSAIAVDKGMEKSSGTYDTSSNINSRKALTDVTNDHGNSTTAAKRCYISKVSAGSTTKKVGISLRKSLTVKEQRNTSHSGVQLDAPSRGTGLRVPLGDQKNSLNGGQSAASKDRFGRKPILPTTTRTSRKSLPVTLGTGLMVSLGDQKNSSNGGPSVVTKDRFARKHMLPTTTSTSRKSLPVPRRVNRVDTNNTKENAGSSESSNSQNGLPSKLTAGRRVSSQLIKARSHILKTRVSDGFVQTVTINANQTSSRNSTKPIVKTTLKACTSQRTLKSKSIADQNKSKPTTAVASKDEDKISSSLPKGEKNVSSSLPKDEESISFSLPENSSVVISDGANQRHQPTDRDGSLNSDLSELIPRKSSSRRKSYTTSLIEKSKILKENGEVRKQDSLPNIDDECNHLEVSEYIDDIYHYYWVTEAHSQALSNYMSIQTEITPHMRGVLVNWLIEVHFKYDLMPETLYLTVTLLDQYLSQVTVKTSDMQLVGLTALLLASKYEDFWHPRVKDLISISAETYTRDQMLGMEKLILRKLKFRLNAPTPYVFMVRFIKAAQSNKKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYLARCTLQITPSWTPLLQKHARYDVSQIRDCADMMLKFHKAAGKGKLTVAYEKYSRKELSAVAGVKPLDRLPHY >CAK8561314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144497834:144507090:1 gene:gene-LATHSAT_LOCUS14918 transcript:rna-LATHSAT_LOCUS14918-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIKGKLRVGSSRASVGGQSRPTVGGKNFKVFSDNDRIKVGDGSKTSARQVGAASRKSAIAVDKGMEKSSGTYDTSSNINSRKALTDVTNDHGNSTTAAKRCYISKVSAGSTTKKVGISLRKSLTVKEQRNTSHSGVQLDAPSRGTGLRVPLGDQKNSLNGGQSAASKDRFGRKPILPTTTRKSLPVTLGTGLMVSLGDQKNSSNGGPSVVTKDRFARKHMLPTTTSTSRKSLPVPRRVNRVDTNNTKENAGSSESSNSQNGLPSKLTAGRRVSSQLIKARSHILKTRVSDGFVQTVTINANQTSSRNSTKPIVKTTLKACTSQRTLKSKSIADQNKSKPTTAVASKDEDKISSSLPKGEKNVSSSLPKDEESISFSLPENSSVVISDGANQRHQPTDRDGSLNSDLSELIPRKSSSRRKSYTTSLIEKSKILKENGEVRKQDSLPNIDDECNHLEVSEYIDDIYHYYWVTEAHSQALSNYMSIQTEITPHMRGVLVNWLIEVHFKYDLMPETLYLTVTLLDQYLSQVTVKTSDMQLVGLTALLLASKYEDFWHPRVKDLISISAETYTRDQMLGMEKLILRKLKFRLNAPTPYVFMVRFIKAAQSNKKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYLARCTLQITPSWTPLLQKHARYDVSQIRDCADMMLKFHKAAGKGKLTVAYEKYSRKELSAVAGVKPLDRLPHY >CAK8561315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144497834:144507090:1 gene:gene-LATHSAT_LOCUS14918 transcript:rna-LATHSAT_LOCUS14918-5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIKGKLRVGSSRASVGGQSRPTVGGKNFKVFSDNDRIKVGDGSKTSARQVGAASRKSAIAVDKGMEKSSGTYDTSSNINSRKALTDVTNDHGNSTTAAKRCYISKVSAGSTTKKVGISLRKSLTVKEQRNTSHSGVQLDAPSRGDQKNSSNGGPSVVTKDRFARKHMLPTTTSTSRKSLPVPRRVNRVDTNNTKENAGSSESSNSQNGLPSKLTAGRRVSSQLIKARSHILKTRVSDGFVQTVTINANQTSSRNSTKPIVKTTLKACTSQRTLKSKSIADQNKSKPTTAVASKDEDKISSSLPKGEKNVSSSLPKDEESISFSLPENSSVVISDGANQRHQPTDRDGSLNSDLSELIPRKSSSRRKSYTTSLIEKSKILKENGEVRKQDSLPNIDDECNHLEVSEYIDDIYHYYWVTEAHSQALSNYMSIQTEITPHMRGVLVNWLIEVHFKYDLMPETLYLTVTLLDQYLSQVTVKTSDMQLVGLTALLLASKYEDFWHPRVKDLISISAETYTRDQMLGMEKLILRKLKFRLNAPTPYVFMVRFIKAAQSNKKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYLARCTLQITPSWTPLLQKHARYDVSQIRDCADMMLKFHKAAGKGKLTVAYEKYSRKELSAVAGVKPLDRLPHY >CAK8561313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144497834:144507090:1 gene:gene-LATHSAT_LOCUS14918 transcript:rna-LATHSAT_LOCUS14918-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIKGKLRVGSSRASVGGQSRPTVGGKNFKVFSDNDRIKVGDGSKTSARQVGAASRKSAIAVDKGMEKSSGTYDTSSNINSRKALTDVTNDHGNSTTAAKRCYISKVSAGSTTKKVGISLRKSLTVKEQRNTSHSGVQLDAPSRGLRVPLGDQKNSLNGGQSAASKDRFGRKPILPTTTRTSRKSLPVTLGTGLMVSLGDQKNSSNGGPSVVTKDRFARKHMLPTTTSTSRKSLPVPRRVNRVDTNNTKENAGSSESSNSQNGLPSKLTAGRRVSSQLIKARSHILKTRVSDGFVQTVTINANQTSSRNSTKPIVKTTLKACTSQRTLKSKSIADQNKSKPTTAVASKDEDKISSSLPKGEKNVSSSLPKDEESISFSLPENSSVVISDGANQRHQPTDRDGSLNSDLSELIPRKSSSRRKSYTTSLIEKSKILKENGEVRKQDSLPNIDDECNHLEVSEYIDDIYHYYWVTEAHSQALSNYMSIQTEITPHMRGVLVNWLIEVHFKYDLMPETLYLTVTLLDQYLSQVTVKTSDMQLVGLTALLLASKYEDFWHPRVKDLISISAETYTRDQMLGMEKLILRKLKFRLNAPTPYVFMVRFIKAAQSNKKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYLARCTLQITPSWTPLLQKHARYDVSQIRDCADMMLKFHKAAGKGKLTVAYEKYSRKELSAVAGVKPLDRLPHY >CAK8535451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849793091:849793596:1 gene:gene-LATHSAT_LOCUS4914 transcript:rna-LATHSAT_LOCUS4914 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLIPTSVFLLVLFFLLLMRKSSGCNSSYACFDANGGSLKLSHNRKMLSSLEVKKAMMKVNVEGSSTWMKKSDKEVIGELRKVPTGPDPLHHHSIGNPIKPQTP >CAK8578579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640087857:640088627:1 gene:gene-LATHSAT_LOCUS30577 transcript:rna-LATHSAT_LOCUS30577 gene_biotype:protein_coding transcript_biotype:protein_coding MACKMQKRVSLRRRLHILRFLTNSNNNVNINRNSIAKSTFLQLQKLKVALENVKREYENLVATRRDYVSLLKNNVNDNKDVKIVKISEGTFMVKVTCEKGGGKLVAILEAFEEICVNVEEAKVSCENEFSMEAIIVSEDQSLDVTDVTEVILKAIEK >CAK8540219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545836692:545839714:1 gene:gene-LATHSAT_LOCUS9245 transcript:rna-LATHSAT_LOCUS9245 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAFAALSPSLSASSVARRMSTSPKFPKFISVEAADIHSRTKPDGFRFSLVSYNILAQAYVKSIIFPYSPRSSLKWNRRSNSILDLLKNLGADFFCLQELDEFDSFYKGKMQELGYSSIFMKRSGEKKRDGCGIFYKDELAELVLEEKIEYNDLVKTIPDGNSSKDDEHNNVQTTNKQKDVATNTGPKSGIEDRGDPNDPRVRLKRDCVGILAAFKFKGPSHQFLIVANTHIYWNPEWADVKLAQAKYLLSRLSQFKTLVADTFDCTPEVIVAGDFNSQPGDPVYQYLISGNPSSELITDCIEDRPIPLISVYASTRGEPPFTNYTPGFTGTLDYILCCPSNFMKPISYLELPDSEAADIAGGLPNLSHPSDHLPIGAEFEIETN >CAK8534155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699729622:699729927:-1 gene:gene-LATHSAT_LOCUS3722 transcript:rna-LATHSAT_LOCUS3722 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFAAFSWLNEACSKWGHLAKFGYCHCVECGSRTNIMKGYGVHLLRNDFVVFLCDNGFWYDGCA >CAK8538058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460882142:460882504:1 gene:gene-LATHSAT_LOCUS7298 transcript:rna-LATHSAT_LOCUS7298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFEIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >CAK8540231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546031001:546032900:1 gene:gene-LATHSAT_LOCUS9257 transcript:rna-LATHSAT_LOCUS9257 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQKRNAEKNQKSKGSQIEARAEGLKITCPICKVQLANPNQLNDHYASKHPKEKPPAESS >CAK8573217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593939233:593939745:-1 gene:gene-LATHSAT_LOCUS25690 transcript:rna-LATHSAT_LOCUS25690 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKEETIKAASHQVRGFDRHDYSFIVDEINDHNKGWPTRYYRVETHKNWCDCGKFQTFCMPCFHVIAACFNVRQNPFLQLSEVYKVSNLFGISKNRFPMVAIEDYWPTYQGGTSYHNENMRRNKKGRPRSTGIRNEMDTTEKMERLCGICRLPGYTRKRCPNIGTSSK >CAK8573218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593939233:593939736:-1 gene:gene-LATHSAT_LOCUS25690 transcript:rna-LATHSAT_LOCUS25690-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETIKAASHQVRGFDRHDYSFIVDEINDHNKGWPTRYYRVETHKNWCDCGKFQTFCMPCFHVIAACFNVRQNPFLQLSEVYKVSNLFGISKNRFPMVAIEDYWPTYQGGTSYHNENMRRNKKGRPRSTGIRNEMDTTEKMERLCGICRLPGYTRKRCPNIGTSSK >CAK8560718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37858595:37859393:-1 gene:gene-LATHSAT_LOCUS14373 transcript:rna-LATHSAT_LOCUS14373 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEELHFKTILRRKNAAKARIYRKSVIDDKKSKRLKTALKENGTFDKRRGNDSTTLRIPSELSPNILNDGRGIANVEVSRQLHSSLKTKPSTNNNIRSKRISSRNITKLRVNLSKRFDNTFAATTSNQDPIPELQLNELFASDSGDDNMNDESDGYSSTTNSSFDEDEMSNRTDAMETFEITSGGYYDIRDPVIECQYCGANM >CAK8564012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645999147:646001078:-1 gene:gene-LATHSAT_LOCUS17360 transcript:rna-LATHSAT_LOCUS17360 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSSVAVPFTLGNLIQKDAAVTTHMEITGLKLMANTAAALMLNPAGGNESHADVSLQRKMMVSAEVKENQVGVVSEMVIECESNWVLSESGKQTNKEDEIMLAVDFQCLRDSGSRSGADSPIIVTVGDDIHGNFSSINEVLPGLKPEQNTVSIAMDVESESRYTSEEADPNLSAMILDQLPVENKTSRTSNQNGLELSNGPLWGFSSICGNRPEMEDAIAVKPQLFQVHSRMLMDDEHVNENSKYSPAHFFSVYDGHGGFQVANYCRERLHSVLIEEIEAQQSSLAETNGRNDWQEDWKKVFFNCFQKVDDEVGGIGAGSSGSNGGGSESIIEPIAPETAGSTAVVAILSQTHIIIANCGDSRAVLYRGKEAMALSADHKPNREDERARIEAAGGRVIHWKGYRVLGVLAMSRSIGDRYLKPWIIPEPEVNIMQREKNDECLIIASDGLWDVITNEEACEVARKRILLWHKKYGDNGTTVYDKGEGGVDLASHSAAEYLSKLALHRGSGDNISIIVIDLKAVRKLKRKT >CAK8539661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520296056:520298353:-1 gene:gene-LATHSAT_LOCUS8739 transcript:rna-LATHSAT_LOCUS8739 gene_biotype:protein_coding transcript_biotype:protein_coding METLMKALVVTLFVILSVCDVSLARAKKSENQKNTYIVHVAKSRMPTSFDHHSIWYKSILKSVSESAEVLYIYDKAINGFSTSLTVEEYQLLKNQPEILKVTPDKKYKLHTTRTPKFLGLDKIASLFPVTDKSNDVIVGVVDTGVWPESKSFDDTGYGPVPRNWKGKCETGKNFTTSNCNKKLIGARFFLKGFEASVGPINETILSRSPRDDYGHGTHTASTAVGSPVENASLFGLANGTARGMAIGARVAMYKACWLAICTFSDTLAAIDQAIADNVNILSLSLGDLARNYFEDNMAIGAFAAMEHGILVSCSAGNSGPRSLSLANIAPWITTVGAGTLDRDFPTYVILGNGKNYSGVSFYNGNSLPNTLVPFIYAGNASSSDEGKGNGTCVSGSLDPKKVTGKIVLCDSGEVGRVEKGNIVKSAGGLGMVLANTEIDGEIPIPDAYIFPATAVGFTDGEAIKKYLFSDPKPSATIVFEGTKLDVEPSPVVADFSSRGPNSITPQILKPDLIAPGFNILAAYPNNLSPTGFTSDHRRVDFQIMSGTSMSCPHVSGLAALIKSIHPDWSPAAIRSALMTTAYNTYKNKQTLLDNATKKPATPFDFGAGHVNPISALNPGLVYDLGVDDYLSFLCALNYSPVNIQIVARRMYTCDPKTQYSVTNLNYPSFAVVFEGEHDEIKHRRTLTNVGEAGTYKVSVKSGVPSVKISVEPEVLSFKKNEKKSYIVTFTTSPSKQNITQSFGNLEWSDGRTVVRSTIAFSWKLK >CAK8564153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654646464:654647822:1 gene:gene-LATHSAT_LOCUS17482 transcript:rna-LATHSAT_LOCUS17482 gene_biotype:protein_coding transcript_biotype:protein_coding MITIIGSSSRLKEMSDLIEQMKQDSCECKDSVFVSAIKTYAKQGLVDEAISLYKNIPQFNSVNWMQSFNTLLEIMVNEGKLEDAHGLFVESSCGWEVKSRVRALNLLMCALCRKSRSDLALQIFQEMDYQGCYPDRDSYLIVMKGLCKDKRLHEATHLLYSMFWRISLKGNGEDVVIYRTLLDALCDDGKFKEAVEILGKILRKGLKAPKRCYNQLDLSQCGDGKDAEVTKRWIHEALVRGSVPSTASYNAMAVDLYEEGKIDEADKVIVEMKNKGFKPKHLIFEAKVVALCNVDKVDEAIKVIEEDVVEVNCLPNARVYNILLKNLSNVGSSTLVLKSLNKMSKKVGCMGDKETYSILLEMLCHERNYLEASKLLEQMSIKSYWPCANSYNLLIEGLCSLGRQYEAVMWLEDMISQGKLPEISVWCSLASSFCNSDLLKVSVEMFNRLRSL >CAK8536086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906159590:906160663:-1 gene:gene-LATHSAT_LOCUS5487 transcript:rna-LATHSAT_LOCUS5487 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTNSIFHGPATFHRQSQPSKFLLSKPLLFPRLPRVVNFGKLKFNSLFSVKNSFRRFDVKSSVNSSSEVLESIDVGVPHSSLQKHSVKIPVGDRHILVETGHIGRQESGFVTVTDGETILYTTVCLNDTPSEPSDFSPLSVNYQERFSAAGRTSGGFFKREGKTKDHEVLICRLIDRPLRPTMPKGFYHETQISSWVLSYDGSHAPDSLAITAAGIALALSEVPMSKAVAAVRVGLIGDTYIVNPTTEEMENYELDLMLAGTDSAILMIEGYSNFLPEENLLKAVEVGQDAVRAICNEVELLFKKCGKTKMIDAIKLPPPELYKHVEVVSRLKIRVQYVIIATSPSFGCTMAEFC >CAK8537576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:400593018:400595253:-1 gene:gene-LATHSAT_LOCUS6861 transcript:rna-LATHSAT_LOCUS6861 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDAAQENSNTNSIPKKSIPPYMKAISGSLGGIMEAACLQPIDVIKTRLQLDRSGNYKGILHCGNTIARSEGVRALWKGLTPFATHLTLKYTLRMGSNALLQSAFKDSETGKISNHGRLLSGFGAGVLEAVVIVTPFEVVKIRLQQQKGLSHELLKYRGPVHCAGMIIKEEGFRGLWAGVTPTIMRNGTNQSVMFTAKNAFDVLLWKKNEGDGKVLLPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQVKEGGEVRYTGMIHAIRTIHAEEGLVALWKGLLPRLMRIPPGQAIMWAVADQVIGLYERRYLQT >CAK8574127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663996922:663997443:-1 gene:gene-LATHSAT_LOCUS26501 transcript:rna-LATHSAT_LOCUS26501 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGLPCEHIIALLIFLDVTELPKTLVANRWTKNVKKEICSTNKHLPKYWDSYKASRYCALMFRYMRLSKLVSENRDDFNNHMKQAADDIRKMEEKKGSHDGGATNSSETVHETLKDSALARIKGCVGESSTRQKKRKRTQCSTCRKFGHNKQTCSIRRHHANTTHSAQQQE >CAK8572494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541163969:541165865:-1 gene:gene-LATHSAT_LOCUS25055 transcript:rna-LATHSAT_LOCUS25055 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDNKSKKRIVIICTSGFLLVAMVVAVTVGMNNGGEDNNDKNRIVSTQKAVKTFCSPTSFKKECEESLLSEVGNTTDSRELMKFAFNITVAKIKKGLEETNILQQLEKEPRANMALGTCKKLMNLSIGEFHRSLEGISSFNMNNLDSILVSLRVWLSGAMTYQETCLDGFANVTGDAGTKMKDILTFSRRMSSNALDVVTDLQNAVRDMNATGDHRRLMDDYKGSYVGEQVVAHDDVNEVPSWVGDGSSAGVRRLLQVAQNKLKANVVVAQDGTGQFRTINEALKLVPVNGQKPFVIYIKKGIYHEYVDITILMTNVVMVGDGDKKTIITGNKNMKDGIPTYATPTLAVGADFFVAMSIGIENSAGPLKDQACALRVQGDKAIFYQCTVDGYQDTLYAHTMRQFYRDCIISGTIDFVFGNAQAVFQNCTFVVRKPLDYQQCIIVAQARAEENQPSGIIIQNSRIVSDPPGAPATYKAYIGRPWKHYARTIVMDTFIEGVIQPEGFMPWEEPDKTLTGMDTCFYAEVNNTGPGSDKSKRVTWPGIKNLTPDSAHVFYPALFFQGDDWIRSTRIPYTSGESPLPTPLPTPKL >CAK8568026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565547759:565555575:-1 gene:gene-LATHSAT_LOCUS21026 transcript:rna-LATHSAT_LOCUS21026 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLEADVAGIRGYELRLIRCTLSPSPATPLNPVSQNESTDDLVNKLLASIESGNYAEALTSQSCRLIFQLSPNSPPPISADTFYSELVDRAESFITDASVSSGEQARRSTLVLCIAVAAFLGFTQCNFTGPLKEKVLPRFPLPMVEFECAEWDIWARNYLMSDGSDLLGKFSNLQYIVFAKMLLMRMKDLSVDIRSLTWWLGRVLLLEQRILDERSSTLFDLLHAYMGEALQQFGTSEQVQSYWEVGLLDGESSAIVSLLHLEAGIMEYVYGRVDPCRTRFESAEMAAGLQLSVTGALGFRTVHQVEPKAQMVLVACSNSSNSEDNHSLTGTGIQTCDGSNGGNNLRQHQASEASDILVIPKLLENTDDSKTRSQGMENGATATSNLTATQQAVVLAHCLLIEKSSRHDELQRWDMAPYIEAIDSQQFSYFIIRCFCDILRIRWESLRSRTKERALMMMDNLVKHIYESSPEIPERIPFSYGVYMASIPALRKEYGELLVRCGLIGEAIKEFDDLELWDNLIHCYSLLEKKALAVDLIKKRLLERPNDPRLWCSLGDITIDDACYKKALEVSNNRSARAKRSLARSAYNRGDYETSKILWESAMSMNSMYPDGWFAFGAAALKARDVDKALDAFTRAVQLDPENGEAWNNIACLHLIKKKSKEAFIAFKEALKFKRNSWQLWENYSHVAVDVGNISQALEGAQMVLNMTKNKRVDTVLLERITNEVEKRLSTSNLVPPVTTDNEANPDQFCTVDSGSEPQEQVSGLHVAGRTRENEQLISLLGNVLQQIVKNGSGYGPEIWGLYSKWHRIKGDLTMCSEALLKQVRSLQGSDTWNDRDRFQKYAKASLELCKVYMEISASTGSMKELFTAEMHLKNICRQGQSFSNTEEFKNIQGCLDEVKIKLQSNA >CAK8535502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854940146:854940736:-1 gene:gene-LATHSAT_LOCUS4957 transcript:rna-LATHSAT_LOCUS4957 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLYAVIFAEMLMIMSFLFKTPIRKLVIMALNTVKRGRGPVVVKTVTATVVVMLGSALYSIYEIRCRFIESVSNIDPTEQVLLSNHLLQASLLGFVLFLSLVIDRLHHYIREIRVLRKSMESIKNQNRSFESSKSVGALENKALKEEIGALKDKIEKLESDQCEGKRKQG >CAK8534104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695111471:695112088:-1 gene:gene-LATHSAT_LOCUS3674 transcript:rna-LATHSAT_LOCUS3674 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIGNGFFMILFRIRLDLSILLYIIGNDSAALLEILWKTVLPEKVQTFIWRMALYRLPTRSNLMKRRVIDYYQNSDCAFCSSSYEDVSHLFFSCSKSSLVWNRICEWVDIENTWEDCCNLHAKVWESRFLGRCKENKINSIWFISCWSLCRARNNIIFNNASIDVEDIVSDIKVISWSWLILSRQDSNHYSLYDWFKFPFDFM >CAK8534667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754099582:754100477:1 gene:gene-LATHSAT_LOCUS4193 transcript:rna-LATHSAT_LOCUS4193 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRTSTTSLHVSTAHPFPISKDFQGSENPIPLSPQWLLPKPWEGKPGAGTVESHVISTPSLRNRSEIVKTSGNGEDAHDDHKRKDVFRPSVLDSESGCHDRWRDEER >CAK8574695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6772794:6774706:1 gene:gene-LATHSAT_LOCUS27016 transcript:rna-LATHSAT_LOCUS27016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETTPQLPLIDFNNLNLETKSPNWELVKSQVYKALVEYGCFEAIFDKVSLDLRKAIFDSLEELFDLPLQTKQLNVSKEPYHGYIGQYPVVPLYESIGIDDANVFEEVKSMTNILWPHGNQSFSKTIHSFCEEQTELDHIIRKMIFESLGVEKYLEEHMNSTNYLLRVMKYKGPQTSDAKLGLSKHSDKNVVTILYQNQVEGLQVMTKDGKWISYKPSPGNFVVIIGDSLHAWSNGRLHPPIHRVMMRGNEARYSTALFSIFKGGSIIKAPEELVDEEHPLLFKPYDRVEFLKYYFSEEGQRDQNALRTFCGV >CAK8561913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409576929:409579005:-1 gene:gene-LATHSAT_LOCUS15461 transcript:rna-LATHSAT_LOCUS15461 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIFKIMLWTRSLTHFRSTHHIYSRKISNFNKDPDRGSWESIEGLLHCSANSVPLSPINFLERAAKVCRDRTSLVYGSLTYNWGQTHQRCLKLASSLTQLGISRGDVVATLAPNVPAMYELHFAVPMAGAILCTLNSRLDATMVSVLLEHSQAKILFADYQLLEMAQGAFDLLRQRGRELPTLVVITDSDCSSTVDNTSTSYEYEKLMDVGHTGFNIVKPKSELDPISINYTSGTTSRPKGVVYSHRGAYLNSLATVLLFQMNIFPVYLWNVPLFHCNGWCLPWGVAAQLGTNVCLRKVSPKDIFDNIIQHKVTHMGGAPTVLNMIVNSASTHRKPLNHKVLVVTGGSPPPPQILSKMEEIGFSVSHLYGLTETYGPGSFCAWRPEWDTLPAEERSKMKARQGVPHAGLEEIDVKDSETMESVPGDGKTVGEIMFKGNTVMSGYYKDLKATKEAFKDGWFHSGDLAVKHSDGYIEVKDRLKDIIVSGGENISSVEVETVLYSHPAVDEAAVVARPDDHWGQTPCAFLKLKEGFEADAQEIIGFCRERLPHYMAPKTIIFQDMPKTSTGKIQKYILREKAKALGSITIYNQPL >CAK8572285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526525327:526526697:1 gene:gene-LATHSAT_LOCUS24867 transcript:rna-LATHSAT_LOCUS24867 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSTEFLSHTLYFKIHPNRLSCHSNPNTIVTCSTPLLNEGNTNKRRTRINQQQIRVNETKPKLDQNYDFRDTNSIETLNKLCKSAKYDEALYSLQHTVNRGYKPDVILCTKLIKGFFDSKKIEKAIQVMEILEKHGEPDVFAYNSVMNLLCKADRVDAANKVFDRMKKRGFLPDIVTYNILLRNLCGKGKLDLALKVMDQMLKDNCRPDVITYTILIEATIIEGSIDQAMKLLDEMLSRGLRPDAYAYNVVVTGMSRQGLLDRAFLCKNGHADKALNILEKLNEVGCPPNADSYNTLFCALWSIGDKIRALEMILEMLGKGIDPNMITYNSLISYLCRDGLVDQAIELLVDMMESRKFQPIVISYNTVILGLCKVGRIIDAIEVLAAMNQRGCLPNETTYLLLVEGIGFGGWRNDAMELANSLVNMGAISEDSFKHLNKAFPVFDVHKELAISE >CAK8532866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539515944:539519093:1 gene:gene-LATHSAT_LOCUS2529 transcript:rna-LATHSAT_LOCUS2529 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEEESGGGTREKNVASAGGGIREKNVAKNVASAGGGTREKNVASAGGGGGARYVDTSKALNPIWLMKCPPVVAQSLRALPSSSDPSLPGAKVIVSVDPLKPEDYPSEFTMELGGGEGNASRCFAMDMSKDFMPMSVFSDSTQGKISVEGKVVSKIDMRSDNQNLDVYGKICRERTKKYTVKNRQIQVIDNDNGVHMRPLPGMISFSVAGPAPEKKKAPARGSETKRTRRDRGEMEEIIFKLFERQSNWSLRNLIQETDQPEQFLKDILKELCVYNNKGTNQGTYELKPEYRKAGD >CAK8569066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667808299:667816330:1 gene:gene-LATHSAT_LOCUS21958 transcript:rna-LATHSAT_LOCUS21958-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEEGNTVERIFVAIRVRPLSEREKARQDVSEWECVSHNSLRLKNHGHAEQRSPADAYIFDRVFGEKCPTQQVYEEGIKDVSLSVVRGINSSIFAYGQTSSGKTYTMAGITELAVRDIYEYIEKHKEREFIVKFSAMEIYNEAVRDLLNSNATTLRLLDDPEKGTIVEKLTEETLTERSRLQLLISKCAAERTTEETAMNDTSSRSHQILRLTVESSPGDFVGTARSGALFASVNFVDLAGSERASQALSAGTRLREGSHINRSLLTLGTVIRKLSKERNGHIPYRDSKLTRILHNSLGGNARTAIICTISPARSQVEQSKNTLFFAGCAKQVTTNARVNVVMSDKVLVKRLQKELSRMEDELKSLNTIILKERELQIKQMDKEIKELTRQRDLFQNLLQSVGKDGALRVGQDWASEWSGVANDPTPVRCINSEHFNTTTSVSSISNEHLFKQSENSEDNFLLDGCPPTFVGPDPCQGWEEMDRRSESEDNCKEVPRIEIKEVETEHKTDINMSIPAFEESERNIEIKEVETEHKTDINMCIPAFEESERNSPVIQFKEVDDKSSSGNGHSDQDALQKKTEDIKRTKDHFVDLSEKYNDTFESKSSCLAAMSPQVGKVDQEDSPHSQFGMLEQNVSTPSFNELDQESTSPLQYEQEELNIESSPELDDVDQVSFKSSAGVEKDYSLQSDQESTPPLQSDQESTSPPQSYQEGLKTVSAPEFDDLEQVSFKSPAGVEKEYSTNLECFPEKLFEPKLRTKRKKSSLIHKMNASGEDAESVMDSDTEETASILNFEASMNESKPKPVDMDINNLMVPVSTPGINKNENRVKGFSFPGVWGDLIPSKFEMQQKDIIDLWDTCNVPLVHRSYFFLLIQGEEGLDVTPNSSLASLTRERKMLSKQVHKKFSRKAKEELYLKWGIDLKTKDRSTQLAWLVWTDTRDLNHVRESAALVGKLVGFINTGEASKKTFGLGFLMRRKSGESPDWKDTMSSL >CAK8569067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667808299:667816330:1 gene:gene-LATHSAT_LOCUS21958 transcript:rna-LATHSAT_LOCUS21958 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEEGNTVERIFVAIRVRPLSEREKARQDVSEWECVSHNSLRLKNHGHAEQRSPADAYIFDRVFGEKCPTQQVYEEGIKDVSLSVVRGINSSIFAYGQTSSGKTYTMAGITELAVRDIYEYIEKHKEREFIVKFSAMEIYNEAVRDLLNSNATTLRLLDDPEKGTIVEKLTEETLTERSRLQLLISKCAAERTTEETAMNDTSSRSHQILRLTVESSPGDFVGTARSGALFASVNFVDLAGSERASQALSAGTRLREGSHINRSLLTLGTVIRKLSKERNGHIPYRDSKLTRILHNSLGGNARTAIICTISPARSQVEQSKNTLFFAGCAKQVTTNARVNVVMSDKVLVKRLQKELSRMEDELKSLNTIILKERELQIKQMDKEIKELTRQRDLFQNLLQSVGKDGALRVGQDWASEWSGVANDPTPVRCINSEHFNTTTSVSSISNEHLFKQSENSEDNFLLDGCPPTFVGPDPCQGWEEMDRRSESEDNCKEVPRIEIKEVETEHKTDINMSIPAFEESERNIEIKEVETEHKTDINMCIPAFEESERNSPVIQFKEVDDKSSSGNGHSDQDALQKKTEDIKRTKDHFVDLSEKYNDTFESKSSCLAAMSPQVGKVDQEDSPHSQFGMLEQNVSTPSFNELDQESTSPLQYEQEELNIESSPELDDVDQVSFKSSAGVEKDYSLQSDQESTPPLQSDQESTSPPQSYQEGLKTVSAPEFDDLEQVSFKSPAGVEKEYSTNLECFPEKLFEPKLRTKRKKSSLIHKMNASGEDAESVMDSDTEETASILNFEASMNESKPKPVDMDINNLMVPVSTPGINKNENRVKGFSFPGVWGDLIPSKFEMQQKDIIDLWDTCNVPLVHRSYFFLLIQGELSNSVYLNVELRRLSFLKDAFSSGHYITGEEGLDVTPNSSLASLTRERKMLSKQVHKKFSRKAKEELYLKWGIDLKTKDRSTQLAWLVWTDTRDLNHVRESAALVGKLVGFINTGEASKKTFGLGFLMRRKSGESPDWKDTMSSL >CAK8537800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:435694343:435694860:1 gene:gene-LATHSAT_LOCUS7054 transcript:rna-LATHSAT_LOCUS7054 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNGDPLNNDLALKVCDNLLKLVFNGCTTVTMVDMPETPAYQFCFKPIVDFLHGDFQVNRLYDVIGVLHEVVKTQVVGGGKKACVNLIISDEIGSEIDLTLWEAYATQFMAYTN >CAK8566421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:421284847:421286286:1 gene:gene-LATHSAT_LOCUS19553 transcript:rna-LATHSAT_LOCUS19553 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVDNGIIISPRNEIEESNGTQVKEFASVDISTPSEKDLISQSVPSPSPHSNGLKSRLRMMFAQKLDWSSVKKMCMEWIENPMNMALFAWIVCVAVSGAILFFVMTGMLNNVLKTKSERNTWFEINNQILNALFTLMCLYQHPKRFHHLVLLCRWRTSDISTLRMIYCKNGTGKPHEWRHMMVVVILLHVNCFAQYALCCLNLGYTRSQRPAVGVGITISVAIASPAVAGLYIILSPLGKDYDCDKDEESQDQIKKPFEKKYAFASKDQQGEVVELENRPNWSGGILEIWHDISVSFLSFFCSFCVFGWNMERLGFGNMYVHIATFMLLCMAPFWVFVLASGNIDDDNVRIALIAIGIILCFCGLLYGGFWRIQMRKRFNLPAYDFCFGKPSASDCTLWLFCCWCSLAQETRTRNSYALLEDKLCLKEIDTCDQVSISTLDREGVVVSTKSDTSFALEGGKDGTMTPPTPSLIQKEDH >CAK8535847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885565353:885565886:-1 gene:gene-LATHSAT_LOCUS5267 transcript:rna-LATHSAT_LOCUS5267 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVISKRVWSLVRVAFFMMKKGISKGKLMMNLNIILKRHRKLAGKAVANLISHHPNHGGSTTNSHSYDSRHQFTSSREYEFSCSNTPNHFFSIGKRRHIHNRNHNTQAPPTHDNEVTTVNAMKAVMEMLNNDQAIVEVSPDFPLRDDDEKDNQVDKAAEDFIKRFYSQLRNQD >CAK8562579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511572821:511575477:-1 gene:gene-LATHSAT_LOCUS16066 transcript:rna-LATHSAT_LOCUS16066 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVVVVVSTTLVVVAVGALVRRWKREKEQQSRQTKNIIRKFARECATPVTKLWHVADDLVSAMEASLANSNETGSTLNMIISSVTSLPNGEEEGVFYGVNLQGTKFLILRARLGGKNMPISGLHREEISVPSAVLAATPQEIIDFVATEIAKFVSAHPCNEAGTAAKKKKLGFTLSHPVHKAMPFTNNPVGKELVKDINQALKNHGMEMQVFALVDVAIGGLAGGRYYNRDNVAAITLGISTKAAYAEPAQSPNSNELVISMELGNFRSSHLPLTPFDTSLDAESSNPGNGTFEKLISGMYLGDIVRRVLLEIAQKTDLFGSKVPPKLKTPYLLRSLDMAAMHQDTSEDREVVAEKLNEVFGITRTTKMAREVVAEVCDIVSERGARLAGAGILSIIKKLGRIENKKSVVTVEGGLYEHYRIFRNYLHSSVWEMLGNDLSDNVIIEHSHGGSGTGALFLAAAATRTHTQLGDS >CAK8539280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508251316:508253144:-1 gene:gene-LATHSAT_LOCUS8390 transcript:rna-LATHSAT_LOCUS8390 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVQCKANYVPLTPISFLERSAVVYHNNLSIAYRDVTYTWSQTHQRCIKLASSISQLGVSPRDVVAVLAPNIPAMYELHFGVPMSGAILCTLNTRHDSSMISVLLKHSDAKVLFVDHELLDIAKGALEILSKSTSKLPILVLILDCEAHPSSHNNSSVSPGILIYENLIAEGRLDFEVKRPKDERDPISLNYTSGTTSSPKGVVYSHRGAYLNALSTILLNDMTSMPVYLWCVPMFHCNGWCLPWSIAAQGGTNVCQRNVTGEGIFDNVFKHKVTHMAGAPTVLNMIVNSPPGVRKSLPGKVAVLTGGAPPPPAVFFKMEELGFNLVHSYGLTETYGPASICTWKAEWGSLSQDAQAKMKARQGVQHVGLEGLDIKDPVTMKSVPADAKTIGEVMFRGNTVMNGYFKDLKATQDAFKGGWFRSGDLGVKHSDGYIELKDRSKDIIISGGENISTIELEGVIYSHPAVVDAAVVGRPDEYWGETPCAFVKLKEGYNATEKEIIQFCRERLPHFMAPRTVVFSDLPKTSTGKTQKFLLKEKAKAMGSLSKKKNNSRL >CAK8543038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:569359338:569364208:1 gene:gene-LATHSAT_LOCUS11825 transcript:rna-LATHSAT_LOCUS11825 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCFSNDAVSGFKDKESMVDPFLVEALQNPRHRLTILRMELDIQKFLNNADQQHFEFQHFPSSYLRLAAHRVAQHYSMQTMVQDNGLDGQSKILVRKLPESKYPVVRLSEIPVKQLDNEKSEQKKIVIRPRPHKSCLNGANDSARKGNPLRSVEERKEEYDRARARIFNGSTSFDSGDTPTLVPIDGRSSLMCKDESETSKIPMTDSERCFSVRDNSYPRVAIIRDREKDRSDPDYDRSYGRYARSLSASAVNLVPFNLPKAQPSFAQYDITFNQLGQMAQTQASLGYGPPSTPIMSPFCTPGLNPASGDGAYLQWPSPTMMYAHSYDQFRHAVYQAPFGQPLSFDYSQNY >CAK8534901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788985057:788994176:-1 gene:gene-LATHSAT_LOCUS4403 transcript:rna-LATHSAT_LOCUS4403 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKNFSGTSLLVPSVQELAKENISAVPPRYVQSQLEELVINESDSILEIPVIDMDKLLSLEFGSQELAKLHLACKDWGFFQLVNHGVDSSLVEKVKSEMQDFFNLPMLEKKKFWQTEQHMEGFGQAFVVTEEQKLDWADMFFMSTLPKHSRMPHLFPQLPLPIRDTFELYSIEMKKLSMTIVECMGKALKMDEKETNTIFEDGIQSMRINYYPPCPQPEKVIGLTPHSDASGITILLQLNDVQGLQVRKDGVWVPVKPLPNAFIVNIGDILEIVTNGIYRSIEHRAIVNSAKERLSIATFCITRHNGEVGPATSFITEETPARFKRVGLEEYLKNVFLRKLDGKSYLDAMRT >CAK8534902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788985057:788987442:-1 gene:gene-LATHSAT_LOCUS4403 transcript:rna-LATHSAT_LOCUS4403-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKNFSGTSLLVPSVQELAKENISAVPPRYVQSQLEELVINESDSILEIPVIDMDKLLSLEFGSQELAKLHLACKDWGFFQLVNHGVDSSLVEKVKSEMQDFFNLPMLEKKKFWQTEQHMEGFGQAFVVTEEQKLDWADMFFMSTLPKHSRMPHLFPQLPLPIRDTFELYSIEMKKLSMTIVECMGKALKMDEKETNTIFEDGIQSMRINYYPPCPQPEKVIGLTPHSDASGITILLQLNDVQGLQVRKDGVWVPVKPLPNAFIVNIGDILEIVTNGIYRSIEHRAIVNSAKERLSIATFCITRHNGEVGPATSFITEETPARFKRVGLEEYLKNVFLRKLDGKSYLDAMRT >CAK8573190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592724406:592724627:1 gene:gene-LATHSAT_LOCUS25665 transcript:rna-LATHSAT_LOCUS25665 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTYSGRRGLKPYFKEGVVAFLTYVFAQECCRSEGKVRCPFLKCGCRNIISDPNEVKRHFEKDGFRPNYCV >CAK8562648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:520882366:520882749:1 gene:gene-LATHSAT_LOCUS16127 transcript:rna-LATHSAT_LOCUS16127 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIDQKHSGVFFVDGPRGKGKIFLYRALMASLRSRGEIVLATASAGIAATLLPDGRTAHSRFKIPIDIHPSSICGIQKQNDLANLIRVSAAIIWDEALMINKNYLEALDRSLHDICSNNTHLEEKL >CAK8563981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643884577:643887903:1 gene:gene-LATHSAT_LOCUS17333 transcript:rna-LATHSAT_LOCUS17333 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPNVEEPSSWDELYNINLMPSELFLKFRKEVQGVRVALNMEIYNAPINECQAKLVFKPLTPEWKWKFIYEPIQHDVRVLSKKIPITKFLNLQVGVGHSFKMHATGWKWKLTTCLGGDNVSRIRNKTSVGLFPGFDLRFGWRAEYVLPELTGGLGTGEPMLNMQSGRLQASLDRVEAIITHSDAN >CAK8576485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482899385:482899633:-1 gene:gene-LATHSAT_LOCUS28668 transcript:rna-LATHSAT_LOCUS28668 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNKRGLNKVGKIREIRSHLHELRHTIIILIETRVKETKAKSIRENIQIYDNYMDNYKDHTNGRIWIHWDSNIVDVKFV >CAK8567366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508788685:508795094:-1 gene:gene-LATHSAT_LOCUS20428 transcript:rna-LATHSAT_LOCUS20428 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTHPMDIDGADQTNSFSTNQDKGKTVILAGNPSHGGKASLWVEKYRPQSLDDVAAHRDIVDTIDKLTTENRLPHLLLYGPPGTGKTSTILAVARKLYGPQYHNMILELNASDDRGIDVVRQQIQDFASTQSLSFGVKPSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALICNHVNKIIPALQSRCTRFRFAPLDAVHVSERLKHVINAERLDVQDSGLSALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPKDIEQISYWLLNEQYSDSFKRINDMKTRKGLALVDIVREVTMFVFKIKMPPAVRVQLVNDLADIEYRLSFGCNDKLQLGSVVASFTRARSALVAAAAA >CAK8570402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43310600:43311641:-1 gene:gene-LATHSAT_LOCUS23151 transcript:rna-LATHSAT_LOCUS23151 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDGKQLEEGFVGGIEDTKQNRVHENVLQKHAAFFDVNKDGLIYPWETFRGMREIGSGVLLSTAAAVFINVSLSQATRPGKFPSPLFPIEVKNIQRGKHGSDSGAYDSEGRFVESKFEEIFMKHAHTHPNALTHDELDELIKANREPKDFKGRVGSFVEWKILYKLAKDKNGLLQKEAIRGVYDGSLFEVLKKEHSKNVS >CAK8567534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:522551503:522553236:-1 gene:gene-LATHSAT_LOCUS20580 transcript:rna-LATHSAT_LOCUS20580 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLYDIFEDRTDDDLFAILESLENFTDFPLINNNNQTETVITPKENDSTSTSRLLSQKSVSSQQDSETEVETEHKNKRQKLTPSLLQEQIINSDGQQRVSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQLLQALEAKKQRKVYNEVLSPRLVSSSPRPSPLSPRKPPLSPRLNLPISPRTPQPSSPYKPRMQQSYNNILLSPLDPSPTTSSASSVNDNINELVANSKSHIAEVEVKFSGPHVLLKTVSQRIPGQALKIISALENLALEILHVNINSASDDTMLNSFTIKIGIECQLSAEELAQQIQQTFC >CAK8535299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836140721:836143753:1 gene:gene-LATHSAT_LOCUS4769 transcript:rna-LATHSAT_LOCUS4769-2 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKKYRQQLSPERSKVWKEKPPKYYKNRKVPVVYYLCRNRQLEHPHFMEVPIKSPDGLFLRDVIDKLDALRGRGMADLYSWSCKRSYKNGYVWHDLSEDDIILPAHGNEYVLKGSELFCESNSDRFSPISNVKLPSLKRLPEPVSCRSHDEASSSSSSMNEREGRNSQEDEISPREHHAGSSDVSPQSSDGKSDCQSLPLTEYKIYKADGSSDASTQTEDRVSRPQKQKTCTRGVSTEDTSLESECNEICEIQAPQVKDDSEIRTDVVFPPLSNSSPSSSAGKTETLESLIRADASRMNSFRILEEEGMQMQTTTRLKASSLLMQLISCGSISVKNHSLDLIPSYKARFSHSKFPSPLFSNSVMLGELDCMAGNPKVTSFRMEDKEYFSGSLVESKMAKEEDEHNVLKRSSSFNAERTSKELKSQDNEDSSSSRNSKCNSHPVKASSTTIRSPISDESRNSADRINDTGVMPVPSNGSCQNITRPSSEKKQPTKINSFREEKVIKIEES >CAK8535298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836140721:836143949:1 gene:gene-LATHSAT_LOCUS4769 transcript:rna-LATHSAT_LOCUS4769 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKKYRQQLSPERSKVWKEKPPKYYKNRKVPVVYYLCRNRQLEHPHFMEVPIKSPDGLFLRDVIDKLDALRGRGMADLYSWSCKRSYKNGYVWHDLSEDDIILPAHGNEYVLKGSELFCESNSDRFSPISNVKLPSLKRLPEPVSCRSHDEASSSSSSMNEREGRNSQEDEISPREHHAGSSDVSPQSSDGKSDCQSLPLTEYKIYKADGSSDASTQTEDRVSRPQKQKTCTRGVSTEDTSLESECNEICEIQAPQVKDDSEIRTDVVFPPLSNSSPSSSAGKTETLESLIRADASRMNSFRILEEEGMQMQTTTRLKASSLLMQLISCGSISVKNHSLDLIPSYKARFSHSKFPSPLFSNSVMLGELDCMAGNPKVTSFRMEDKEYFSGSLVESKMAKEEDEHNVLKRSSSFNAERTSKELKSQDNEDSSSSRNSKCNSHPVKASSTTIRSPISDESRNSADRINDTGVMPVPSNGSCQNITRPSSEKKQPTKINSFREEKVIKIEESFLRELGL >CAK8577778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588159714:588159899:1 gene:gene-LATHSAT_LOCUS29852 transcript:rna-LATHSAT_LOCUS29852 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARSNNGMMNGGGRRSMICQRRPIPKRGQVKVGIVVGLAISVASIFSRRRIAPRSCSSQ >CAK8532733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:507112121:507112447:1 gene:gene-LATHSAT_LOCUS2409 transcript:rna-LATHSAT_LOCUS2409 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGCSNKKKPVKIVIVTTEYVETDAMNFKSVVQKLTGKYSYDESVVVDEATEAQKVNNLRGFDVAACVNGHAGRSSFYMSDLLLKEYDMLHRELLPNEHFLFQSQI >CAK8573107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585383324:585384022:1 gene:gene-LATHSAT_LOCUS25593 transcript:rna-LATHSAT_LOCUS25593 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMASASLTLALAILFISFPSEISANHYSYSSPPPPVYSPPPPKSPYHYSSPPPPPVHTYPHPHPVYHSPPPPVHTYPHPHPVYHSPPPPVHTYPHPHPVYHSPPPPTPHKKPYKYPSPPPPPVHTYPHPHPVYHSPPPPPPPHKKPYKYPSPPPPPVHTYPHPHPVYHSPPPPPTPHKKPYKYPSPPPPPTHTYPPHVPHPVYHSPPPPVHSPPPPAYYYKSPPPPYHH >CAK8567616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529140173:529144653:-1 gene:gene-LATHSAT_LOCUS20653 transcript:rna-LATHSAT_LOCUS20653 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEWHDWQSLLQSIFLGLIFSYFLAKLISIVVSFNNDNLTITRNTNIAATEHDDDASKHNDVVSDIGPRFEAAERESVMAEQGSIRNESVDGGDDDYDDDWEGVESTELDEAFSAATAFVAAAAADRLSQKVSNDIQLQLYGLYKIATEGPCSTPQPSPLKMTARSKWQAWQKLGAMPPEDAMQKYIDIVSELYPTWLDGAPLRSKTGDSEGPSSEARGPMGPVFSSFVYEEECSSDSKMDAIHGFAREGDMTNLLKCVENGISVNLKDSEGRTPLHWAVDRGHLNVTELLLSRNADVNAKDNDGQTPLHYAVTCEREAIAEYLVKHSADINSKDNDGSSSRDICSSKWPFMQHEGEAK >CAK8536155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912287753:912288664:1 gene:gene-LATHSAT_LOCUS5551 transcript:rna-LATHSAT_LOCUS5551 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFTMVVIEISQCVCEMVNGAYKNSMNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8564478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677210109:677211045:-1 gene:gene-LATHSAT_LOCUS17780 transcript:rna-LATHSAT_LOCUS17780 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKVTTIMKLKVDLQCNKCYKKVKKVLCKFPQIQDTVFDEKNNMVIIKVVCCSPEKIRDKICCKGCGVIKSIEILEPPPPPKPKPKEPVKPKEPEKPKEPEKPKQPEKPKEPEKPKEPEKPKEAEKPKELPPPPPAPKPEPPKEPAKPPPQPEYIPGPPPSIPVCPPQMVVPIGVCCGSCYEGRGGGPCFQGYGGPPQVSCYDGYYGRPIYDSYGGGRPCYEYLCEENASGCTIM >CAK8563621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620806389:620808935:-1 gene:gene-LATHSAT_LOCUS17014 transcript:rna-LATHSAT_LOCUS17014 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKEASQRRKEDVEVAKVEEVKCEVQIQNGESEKEGGGEEEGKRRRQRERRERRRSLKPNPRLSNPPNYIHGEQVAAGWPSWLSKVAGEAIHGLMPRRADSFHKIDKIGQGTYSNVYKAKDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLSTSPDIKFTVSQIKCYMHQLLSGLEHCHNRNVLHRDIKGSNLLVDNEGVLRIADFGLASFFDPNHKQPMTSRVVTLWYRSPELLLGATDYDVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHNIFKLCGSPSEEYWRRAKLPHATIFKPRQSYKRCIVEKFEEFPISSLPLIETLLAIDPAERQTATAALHSEFFTTQPYACEPSNLPKYPPSKEMDTKLRDEEARRLRAASKGNAAGSKKSRLRDRSGRGIAVPEVNAELQENIDRWRLITRANAKSKSDKFPPPHQDGTLGYPLSSSYHIEPVFDPPDVPFSSTDFSYHKASIQTWSGPLMEPRMSAPRRKKNKAGDQHMRSKSSKRNINR >CAK8534725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759539711:759544202:-1 gene:gene-LATHSAT_LOCUS4244 transcript:rna-LATHSAT_LOCUS4244 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIERGHQMYRDGLYKEALGFYTEAIAMAKTNPQKIALHSNRAACFLKLHDFKKAAEECTSVLELDSNHSGALMLRAQTLVTLKEYHSALFDVNRLLELNPSSEVYQNLHARLKTQLSLTPIPESEEEFEEQEDEKAEVMLKGEQKKEDIGQKHAATSNVRIDQKVELGKGVINIECTPKETDPKFSSKQGRDQNYEPIQSTEELKIPRALNNESAEQGWQTIPKPKGHSALDYARWDSVDNDSSEDDEDDDDESFPQYRFRVKTIGVRPVK >CAK8542520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522599838:522602858:-1 gene:gene-LATHSAT_LOCUS11355 transcript:rna-LATHSAT_LOCUS11355-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLIVGTLSRQVFKTNISTRLFPHSFPPSSSTFSRSPSPSSLFFNPKIPLSASFSAATTMSQTPAAVDAGMDAVQRRLMFDDECILVDENDRAVGHESKYNCHLWEKIESENWLHRAFSVFLFNSKHELLLQQRSATKVTFPLVWTNTCCSHPLHRESELIEENALGVRNAAQRKLLDELGIPAQDVPVDQFTPLGRIHYKAPSDGKWGEHELDYLLFIVRDVNVNPNPDEVADIKYVNRDQLKELLRKADAGEGGLKLSPWFRLVVDNFLFKWWDHLEQGTLGEVIDMKTIHKLT >CAK8542519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522599838:522602864:-1 gene:gene-LATHSAT_LOCUS11355 transcript:rna-LATHSAT_LOCUS11355 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAQSLIVGTLSRQVFKTNISTRLFPHSFPPSSSTFSRSPSPSSLFFNPKIPLSASFSAATTMSQTPAAVDAGMDAVQRRLMFDDECILVDENDRAVGHESKYNCHLWEKIESENWLHRAFSVFLFNSKHELLLQQRSATKVTFPLVWTNTCCSHPLHRESELIEENALGVRNAAQRKLLDELGIPAQDVPVDQFTPLGRIHYKAPSDGKWGEHELDYLLFIVRDVNVNPNPDEVADIKYVNRDQLKELLRKADAGEGGLKLSPWFRLVVDNFLFKWWDHLEQGTLGEVIDMKTIHKLT >CAK8537308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:219142333:219144261:1 gene:gene-LATHSAT_LOCUS6607 transcript:rna-LATHSAT_LOCUS6607 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNFGTVLSCLSSSNRQPNLSIRFNPNFISISTNLSLRFITSNSNEQPKHPCFRSIFCVAALIPQRLPTRLHGLLADDDSVTVPPPQESHNSEPDASIDLNLPRRSLLLQFTCDLCGERTKRLVNRLAYERGAIFVQCAGCQRHHKLVDNLGLITEYDFREKMNTDSEVDQI >CAK8539038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502220994:502224776:1 gene:gene-LATHSAT_LOCUS8175 transcript:rna-LATHSAT_LOCUS8175 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLNGNGNGSTAVTEFPCNADGVCMACKKTPLETDSLFCKTCGSRWHVPCLPVVPVSVVDWECSDCALPVENAVASAPAPSVAGDLVSAIRAIENDASLTDEEKAKKRQELVGGSVKPVEENNATKRNGVMDIFHSGLNCSTCMLLLERPVTTPCGHNFCLKCFQKWIGQGKRTCSNCRAAIPAKMASNPRINAQLAMAIRMAKLAKSETVGGSSAPKVYHVVHNDERPDQCYTTERAKKTGKANACSGKIFVTIPKDHFGPILAENDPNRNRGVLVGDTWEDRMECRQWGAHFPHVAGIAGQSSHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSFDQQFENMNEALRVSCKKGYPVRVVRSHKEKRSNYAPEAGVRYDGVYRIEKCWRKVGQQGHKVCRYLFVRCDNEPAPWTSDLIGDRPRKLPTIKEFKGAVDVTERKGDPSWDFDEEKGCWLWKKPPPPSKKPMNVVDPFDPTKIKVVKPKAPKVSFKIKDRLLKEFGCNICRKVLASPLTTPCAHNFCKGCLEGAFSGQSYIRNRNTKSGRALRTQKNIMKCPTCSTDIADYLQNPQVNREMMGVIESLQRQAEEMAESAEESSSKSDENLKPEEESDVSKPSDSGEEVLEVIKENEKEEEEPPQKKRKGARGKAVANVEEPPVDAAEVASNAVACN >CAK8560898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:55698480:55702179:-1 gene:gene-LATHSAT_LOCUS14537 transcript:rna-LATHSAT_LOCUS14537 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNLPASKRTSNINLIYNPRQWRLLDLISAVFFGLVFLFFVLVFTPLGDSLAASGRQTLLLSGSDPQQRLRLVAAIEAGQPRVVEACPAEAVDHMPCEDPRLNSQLSREMNYYRERHCPMLENTPLCLVPPPRGYKISVQWPESLHKIWHSNMPHNKIADRKGHQGWMKLEGPHFIFPGGGTMFPDGAEQYIEKLGQYIPMNGGVLRTALDMGCGVASFGGFLLSQNIVTMSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFLEVDRLLRPGGYLVVSGPPVQWPKQDKEWSDLQGVARALCYELIAVDGNTVIWKKPAGDLCLSNQNEFGLELCDESDDPNSAWYFKLKKCVSRLSSVKGEYAIGNIPKWPERLTSPPPRSTLLKNVADVYEADTRRWVRRVAHYKNSLNIKLGTAAVRNVMDMNAFFGGFAAALKSDPVWVMNVVPSRKPSTLDVVFDRGLIGVYHDWCEPFSTYPRSYDLIHVTSIESLIKDPASGKSRCNLVDLMVEIDRILRPEGTVIVRDTPEVIDKVARVAHAVRWKPTIYDQEPGSHGREKILVATKTFWTL >CAK8538062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461017680:461018999:-1 gene:gene-LATHSAT_LOCUS7302 transcript:rna-LATHSAT_LOCUS7302 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWTKGSRVFRRARKGKESLSNSCNDLQVEIAIPTHFRCPVTLDLMKDPVTLSTGITYDRDSIEKWIESGNKTCPVTKTELTSFDIIPNHSLRRMIQDWCVQHRSYGVERIPTPRIPVSSYEVKDTCTRILSAAQMGDENKAIELVKKIKGWGKESERNKKCIVSNGAALVLSNVFDSFSRGSMEKNFVVLEEILEVLSWMRPIPEEGRFLYLGSSNSLSCLVWFLNDKQQVSTRQNASLLLKEIHVESLVKVEGIVESLVNMVKVNVGDVSTKACLSTIFHLVYSSKSKKVIIERFVELGLVSILLEILVDAEKGTCEKALGVLNCLCDSENGVQIAKSNALTLPLVIKKLLRVSELSSSFVVSIVYKICDKAEEGVLIEAIQVGMFQKLLVLLQVGCVDSTKEKATELLKLLNGYKSKAECVDSSLDLMHLKKPF >CAK8534491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:735048091:735048936:-1 gene:gene-LATHSAT_LOCUS4034 transcript:rna-LATHSAT_LOCUS4034 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLMINAFKSCLFGFAIEDTFMLAATRFLSCKQGRFMFPFLGLTIGGNHRRVEFWKPVIDRLKARLSSWKGIMLSIGGRVTLLKSVLTNLPIHYLSFFKASRKELDGLGIKDIGVFNISLLSKWLLRFVSSDHPLWCGMLEARYGSYRSRVLSHEDFVPKSNQSIWWRDIMYVVDFMVEGSFSRVVTCKFGDESDIPLWNSRWIEGSTLKDLFPAPFAAATNKHGLVAVLVAGMVTTFCGTSIS >CAK8534524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738936128:738938792:-1 gene:gene-LATHSAT_LOCUS4066 transcript:rna-LATHSAT_LOCUS4066 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTKSIFPSPLMSSSSSSESRSSKISNLSFLSPKNINNIKLSCQKRYFVVNSVLKTVEQTKQTTQTISNNNNNVGNEKPTILVSEKLGEAGLQVLRKLGNVVCAYDISPEELCAKISTCDALIVRSGTKVTRKVFEAAKGKLKVVGRAGVGIDNVDLQAATEYGCLVVNAPTANTIAAAEHGIALLAAMARNVAQADASLKAGKWLRSKYVGVSMVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAVGVELVSFDQAITTADFISLHMPLTPTTNKVFNDNTFAKMKKGVRIINVARGGVIDEDALLRALDSGIVAQAALDVFTEEPPAKDSKLVQHENVIATPHLGASTKEAQEGVAIEIAEAVVGALNGELSATAVNAPMVAPEVLSELAPYVVLAEKLGRLAVQLVSGGSGIQSIKVVYRSARGPDNLDTRLLRAMITKGIIEPISNTIVNLVNADYIAKQRGLRISEEKVTVDSSLELPIESIQIQISNVESKFASAVSDGQISIDGKVKYGTPHLTCVGSFGVDVSLEGNLILCRQTDQPGMIGHVGNILGQQNVNVSFMSVGRTSRRQKAVMAICVDEEPNKEALDNIGAVPAIEEFVFLKL >CAK8575965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374981677:374987967:1 gene:gene-LATHSAT_LOCUS28187 transcript:rna-LATHSAT_LOCUS28187-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNSPVNGSASNLQDGAGRSYATSFSSQSGAASPNFHHTGAIQGMHNIHGSFNVPNMSGTLPPRNSTLNNVPSGGVQQQAGSLSSGRFASNNLPIALSQLSHGSSYGHSGVTNRGGTSVVGNPGFSSSTNEFGGSIPGFSASIGNRSAVPGLGVSPISGSVDPRITSSLGNMVGGGNIGRTGGLSVPGLASRLNLNANSGSGGLGMQGQNRLMSSVLPQGSPQVLSMSGNSYPSAGGPLSQSHVHAVSNLNTMRMMNDVNSNDSSPFDLNDFPQLTSRPGSAGGSQGQLGSLRNQGLGVSPIGQQNHEFSIQNEDFPALPGFKGGSADYDMDMHQKEQLHDNAMPMMQSQHFSMGRSAGFSLGGTYSSHRPQPQQHNPSVSSGSVSFSSVNNQDLLHQHGSDIFPSSRSAYQSQTNGPPGIGLRPLNSSNTVSGMGSSYDQLIQQYQQQKNQSQFRLQMPSLNQSFRDQGMMSMQAAQSPDPYGLLGLLSVIRMNDLNLASLALGIDLTTLGLNLNSSENLHKTFKSPWAEESAKGDPEFNVPQCYYAEQPPALHLGYFSKFSVETLFYIFYSMPKDKAQLCAAIELNKRGWFYHKEYRLWFTRAPNMEPLVKTNTYERGTYHCFDPSSFETVRKDNVVLHYDMLEKRPHLPQH >CAK8575964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374981677:374987967:1 gene:gene-LATHSAT_LOCUS28187 transcript:rna-LATHSAT_LOCUS28187 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNSPVNGSASNLQDGAGRSYATSFSSQSGAASPNFHHTGAIQGMHNIHGSFNVPNMSGTLPPRNSTLNNVPSGGVQQQAGSLSSGRFASNNLPIALSQLSHGSSYGHSGVTNRGGTSVVGNPGFSSSTNEFGGSIPGFSASIGNRSAVPGLGVSPISGSVDPRITSSLGNMVGGGNIGRTGGLSVPGLASRLNLNANSGSGGLGMQGQNRLMSSVLPQGSPQVLSMSGNSYPSAGGPLSQSHVHAVSNLNTMRMMNDVNSNDSSPFDLNDFPQLTSRPGSAGGSQGQLGSLRNQGLGVSPIGQQNHEFSIQNEDFPALPGFKGGSADYDMDMHQKEQLHDNAMPMMQSQHFSQMGRSAGFSLGGTYSSHRPQPQQHNPSVSSGSVSFSSVNNQDLLHQHGSDIFPSSRSAYQSQTNGPPGIGLRPLNSSNTVSGMGSSYDQLIQQYQQQKNQSQFRLQMPSLNQSFRDQGMMSMQAAQSPDPYGLLGLLSVIRMNDLNLASLALGIDLTTLGLNLNSSENLHKTFKSPWAEESAKGDPEFNVPQCYYAEQPPALHLGYFSKFSVETLFYIFYSMPKDKAQLCAAIELNKRGWFYHKEYRLWFTRAPNMEPLVKTNTYERGTYHCFDPSSFETVRKDNVVLHYDMLEKRPHLPQH >CAK8578914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661916346:661917330:-1 gene:gene-LATHSAT_LOCUS30893 transcript:rna-LATHSAT_LOCUS30893 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKEQVESSLNSKLNPSHLEVIDISGGCGASFSVEIVSEQFEGKRLLERHRMVNAALEEEMKEIHALSVKKAVTPEQWKKQQESNQSNPAA >CAK8542426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512417603:512418190:-1 gene:gene-LATHSAT_LOCUS11266 transcript:rna-LATHSAT_LOCUS11266 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFRYFRSWMYHRTLPGRRGLTANFEEGVKGFIAWAFAQECCRREGRVRCPCLKCECRRIISDPKEVERHLKRKGFIKYYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNMTYDEPQDFDGEELPNEEAQRFYQLSCIVAPTQEILHLRNLSRGLVQRAIEWHTYFVNGYKFHT >CAK8577081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535576390:535588596:-1 gene:gene-LATHSAT_LOCUS29221 transcript:rna-LATHSAT_LOCUS29221 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLLRSLWSSTRKSFSTPHPSRYFSRAFSAASSSDPTGSLDPGRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSITLERERGITISSKVTSIAWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVTEEICDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASTTYTKDPPAEAKNMSQLLDAIVTHVPPPNANIDAPFQMLVSMMEKDFYLGRILTGRVYSGIVRVGDRVHGLRNKDSGAEKIEDGKVVKLMKKKGTAMVVTDSAGAGDIISIAGLSSPSIGHTVTTVEIMSVLPTVELDPPTISMTFGVNDSPLAGRDGIHLTGGRIGDRLTAEAETNLAINVLPGLSETFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDKGQKLEPIEEVTIEVNDEHVGFVMEALSHRRAEVTDMGPVAGAIGRTRLSLTCPSRGLVGYRSVFSSETRGTGFMHRAFLTYEKFRGPLGNVRKGVLVSVGYGPITAHALMSLEARGTLFVSPGMETYDGMIVGEHSRDTDLDVNPVRAKQLTNIRSASKDENVKLTPPRLMTLEEAIGYVASDELIEVTPKTIRLRKKYLDANKRKTMSKKPKE >CAK8566991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478338425:478338640:-1 gene:gene-LATHSAT_LOCUS20084 transcript:rna-LATHSAT_LOCUS20084 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVDTIRTRQFMTNKLISRKQFSIDVFHLGMANVSKTEIKKKKWPMSYRTCLVFNFITYFILEEASPLV >CAK8532940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553013610:553013822:1 gene:gene-LATHSAT_LOCUS2599 transcript:rna-LATHSAT_LOCUS2599 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEDVSERCGSYSPSADISESESSSSFYGRRFDAEGASSSVNLSPRQRRAFQLTDGGASHASGHRW >CAK8561911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409461402:409465466:1 gene:gene-LATHSAT_LOCUS15459 transcript:rna-LATHSAT_LOCUS15459 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPNTNLRTRPRFLTPFLAIVLPVFVAAAVVYRLDPFEPAHIPLNEVNRSTLTTPLRNERMRIGSEDLAKGQVVGPEDLVYDAATGVVYTGCADGWVKRVTLNESVVDSVVENWINTGGRPLGLALEKTGELIVADADKGLLRVTREKKIELLVNEVEGLKFKLTDGVDVAHDGTIYFTDASHKYSVKDSLLDILEGKPNGRFLSYDPSTKETTLLLSDLYFPNGVVVSPDQHHVVFCETLMMNCKKYYIQGPKKGSTEKFCDLPGMPDNIHYDGHGQYWIGIATAISPELDILLKYPFIRKVLAIIIKQFPSLSLTKNGGVIAMDLEGKPVTHYYDSKLSLTSGIKIKNHIYCGSLSYPFIIRLDIEQYPALTTI >CAK8568631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625512874:625516054:-1 gene:gene-LATHSAT_LOCUS21571 transcript:rna-LATHSAT_LOCUS21571 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLHGMLTVTISEVDRLQSGCNLNFCRKGTTHKGKRFLAQVKGCLLCRPEIVGTRLYATVDLDRARVGRTRMIGNQPSNPKWNETFEIYCAHYISNLVFTVKDDNPIGATLIGRAYIPTEKVLKGNIIDRWVEILDEEDHRPVPGGSKIRVSMHFSSVTEDRNCLWSQGIHMPFLGVPRIFFDQRQGCSVTLYQDAHVPDSIHPWIPISGTKSYVPGRCWEDIYNAIMDAKHFIYITGWSVHTEITLIRDPSKPAETSITLGEMLKRKADEGVNVLMLVWDDRTSVPGFKDDGLMGTHDQETAYYFRDTKVHCVLCPRNPDGGRSIVQGFQISTMFTHHQKTIVVDGNHVDGNHVDGNHVEGHHVDGHHVEGSKKRTVISFVGGLDLCDGRYDTMEHPLFSTLNTVHHDDFHQPNFPGASINKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWEKQVGKQFLLPIELLERILIHPSDAMISEKDGDTWSVQLFRSIDGGAVSHFPQAPDEAIELGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKASDIKVEDIGALHLIPKELSLKIVSKIEAGERFVVYVVIPMWPEGIPESASVQAILDWQRRTMEMMYSDIADAIKKKNIEAHPRDYLTFYCLGKRESKKDGEYTPPQEPKPNTDYHRAQKSRRFMIYVHSKMMIVDDEYILIGSANINQRSMDGGRDTEIAMGAFQPHHLASIGPQRPQGQIYGFRRALWYEHIGGNSDDFDEPESVECVKLVNRVAERNWKLYCDDTLDESVTTHLLRYPVEVGEDGSVTSLPGMQYFPDTKALIVGSKSELLPPILTT >CAK8543797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640276231:640288834:-1 gene:gene-LATHSAT_LOCUS12532 transcript:rna-LATHSAT_LOCUS12532 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFVILGSSIITSPPNSHPPPFSSSSSTPQHRHHFIKPPSHPKLNPLSPRNNSPALLQTPLKLKQSDTLDIDSEVTAKKLANEVLLGIKGKNVRSVVESLNKLEGLGMVSLLAHLDAYVLANECRQLVTSGHVEEAVELMEVLSRFQLSVGKLVDPNDIIKRCVLSHKPTLAVRYASSHRQAHILFGRIISEFGKSRDLDSALKAYDAMKKNLESPNMYIYRAIIDACGHCGDFMKSRYIYEDLLNQKITPNKYVFNSLMNVNAHDFSYSFSLFQNMKKLGLKPDMTSYNILLKACCVAGRVDLAQDMYKELKHLESVGQLKLNVYTYSTILKVFADAKLWQMALKIKHDMLSAGVSLNTIAWSSLINACAHAGLVEQSIQLFEEMLLAGCEPNTQCFNIILNACVKGYQYDRAFRIFHSWKGNKMLASFDESNNNNSEQGGMHNVTTVPTGISSPHFLSFIKTFPFAPTASTYNILLKACGTNYYHAKALINEMRTVGLSPNQISWSILIDICGGSENVDGVVEILRTMIDAGVKPDVVAYTIAIKVCVESKNFTQALTLYEEMKSYGTRPNLVTYNTLLRARSKYGSLREVQQCLAIYQDMRKAGYKPNDYYLEELIEEWCEGVIQDSREYKCEFSYSNKPEIERSQSLLLETIAAHLLKRVAGILAINVQGLTKVEARLVILAVLRMIKENYAFGHSVNDDILIIIGATKADESPSKEILEVQEAIIKLLWNELGLEALPAKTIFAPNDTSKSQNPKLSNLTLKELPADNELPTTTGFQTRRRPAVLQRLKVTKKSLIRWLQRKVSGN >CAK8573379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606992871:606996820:1 gene:gene-LATHSAT_LOCUS25833 transcript:rna-LATHSAT_LOCUS25833 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNGIEYLCKHVLEEKKGFSFRQMNDDKLVNVREEVMSQEVVDSVSSKMEEDSKADFGHQSSESEATSLPNIGLEHRITTTSGTERNLLMAEDEIMISRSMTEKRALRRHDLMLDRLSEHEKEKLIANLVKIQKDGTVEVDLERSASVASELLELQSYEESTMSGSFIISDSKKSVPRLQIVILVVGTRGDVQPFVAIAKRLQEYGHRVRIATHANFKTFVRSAGVNFHPLGGDPRVLAGYMARNKGLIPSGTTEISIQRKQLKAIIDSLLPACTAPDSETGIPFTAQAIIANPPAYGHVHVAEALGVPIHIFFTMPWTPTYAFPHPLARVPQGAGYWLSYIIVDLLIWWGMRGIINDFRKRTLKLPPIAYFSMYRGSISHLPTAYMWSPHLVPKPNDWGSLVDVVGYCFLRLGSKYQPREDFVQWIKKGPPPIYFGFGSMPLEDPKVTTDVILEALKETEQRGIIDRGWGNLGNLAEISDDVFLLEECPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIHQKELGPEPIPIYELNVENLSNAIKFMLQPEVKSRAMEVAKLIENEDGVAAAVDAFHRQLPDELPLPAPSPIEEDHLSHVEWFFDQLAKWCCVPCGGV >CAK8541061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:54720050:54720364:-1 gene:gene-LATHSAT_LOCUS10012 transcript:rna-LATHSAT_LOCUS10012 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLSARRAENERKRRQNMNLKQRENYLSIRRDNYSRRKEKDKQAQTSRTMNSRIRVPFQYFTNMRSPISHFQGTHDNEVGPSRITHVNDVALGW >CAK8536272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924135331:924139617:-1 gene:gene-LATHSAT_LOCUS5656 transcript:rna-LATHSAT_LOCUS5656 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKILLLLLLFFPAMFCTNVEYDHRALVIDGKRRVLISGSIHYPRSTPQMWPDLIQKSKDGGLDVIETYVFWNLHEPLQGQYDFDGRKDLVKFVKTVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIKFRTDNEPFKTEMQRFTAKIVDIMKQEKLYASQGGPIILSQIENEYGNIDTAYGSAGKSYIKWAANMATSLDTGVPWVMCQQGDAPDPIINTCNGFYCDQFTPNSNTKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSSGGPFIATSYDYDAPIDEYGIIRQPKWGHLKDVHKAIKLCEDALIATDPKISSLGPNLEVAVYKTESVCAAFLANVDTKSDKTVNFSGNSYHLPAWSVSILPDCKNVVLNTAKINSASAISSFVTEFSKKDIGSLETSSSKWSWTSEPVGISKDDSLSKTGLLEQINTTADRSDYLWYSLSIGLEGDPGSQTVLHIESLGDALHAFVNGKVAGSRAGNSSKAKLNVDIPITLVSGKNKIDLLSLTVGLKNYGAFFDTRGAGITGPVILKGLKNGNNTLDLSSQKWTYQIGLKGEELGLSSGSSELWNSQSTFAKDQPLTWYKTSFGAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYVSSNAGCTDSCNYRGPYTSSKCRKNCGKPSQTLYHVPRSWLKPNGNILVLFEEKGGDPTQISFATKQLQSLCAHVSQSHPPQIDLWNSETESERKIGPALLLECPDHNHVISSIKFASYGTPLGTCGNFYHGRCSSNKALSIVQKACIGSRSCSVGVSTDTFGDPCRGLTKSLAVEATCA >CAK8572252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524504976:524506409:-1 gene:gene-LATHSAT_LOCUS24837 transcript:rna-LATHSAT_LOCUS24837 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVFTSYIVVIFGLSNGYINGVDAARAFFVFGDSLVDNGNNNYLATSARANAPPYGIDYPTGQPTGRFSNGLNIPDFISKELGSEPTLPYLSPKLSGKNLLVGANFASAGVGILNDTGFQFIEIIRMPKQLGLFEEYKKKLSAIIGDEEAIRLVNGALVLISCGGNDFVNNYYFVSDSLRHRQYDLPKFVKYLTTEYKKILRRLYNLGARRVVVTGTGPMGCAPAELAQRGGPNGECSSELKRAETLYKSQLFQMIVGLNRKIGSDVFVIVTMDAMLEFQNEFAETKKACCGQGPFNGIGLCTVESNICQNREDYLFWDSFHPSEKANKLIVEKIMTASTEFIYPMNLSTILALDSKMNNTV >CAK8570438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46286748:46287680:1 gene:gene-LATHSAT_LOCUS23185 transcript:rna-LATHSAT_LOCUS23185 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEAPSSRRPRRQRRNIERNHEEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTAVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFPGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEAMASHDLWIWHAFFGVAGSNNDINVLNQSNVFNNVLQGRAPEVHYTINRTEYNKGYYLSDGIYPEWATFVKSTPMPQGDKRKLFAQHQ >CAK8563917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638915510:638915716:1 gene:gene-LATHSAT_LOCUS17275 transcript:rna-LATHSAT_LOCUS17275 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGRPKTMVPLSSVTLSRSEIQEDESRTTTVPYDDAANNTEDLKIDQSETLMEDVKSMMEERKL >CAK8536267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922940328:922941335:-1 gene:gene-LATHSAT_LOCUS5651 transcript:rna-LATHSAT_LOCUS5651 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRGLKPHFKEGVVAFLTYAFAQDCCRSEGGVRCPCLKCGCRNIISDPNEVKRHLEKDGFRPNYWVWYSNGEILPEMNREVASSQTRIGVEIGRETSSSQSHLQDHEQFNLIDDMVGDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSMLSMCVRLLAAKSNWNVPDQCLEFFAKMMLDATPVKENMPKSYYDEKRMVSKLGLKVKKIDCCIGGCMLFYDNEFGTNDGGLEECKFCQSPRYLVHSKGVDQKQKRVAVKSMFYLPIIPRLQRMFASIHSASQMTWHHTNSNSSGMMRHPSDGEA >CAK8540367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554731974:554733002:-1 gene:gene-LATHSAT_LOCUS9376 transcript:rna-LATHSAT_LOCUS9376 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLAWDKKSHENDLPDHDFASTENANKHVVVVMDGMTEFTTEPLQWALDNVVTVECAVTLVGVMPWLNIPLSSKTRYDFWMVEMEDPPLVKEKNECRNDKYLKCHAVIDLCRKYGVVPQKKIVMGYPLRMLVVEHLVTLSPTWVLFDRSHQRNREFYAKKIPCNILMMNEDGRIDMIKSKQMMIHKDDSFSREFSMSSCATPQLTVSEESRQGIK >CAK8531637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133451018:133451739:1 gene:gene-LATHSAT_LOCUS1413 transcript:rna-LATHSAT_LOCUS1413 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNFEDEITSIVAPAILYKALVIDADTLTPKVIDAIKSIEIVEGNGGAGTIKKLTFVEDGETKHVLHKVDLVDVANLAYNYSIVGGVGFPDTVEKISFEAKLSAGPNGGSVGKLSVKYFTKGDDAPSEEQLKSDKAKGDGLFKALEAYCLANPDYN >CAK8567860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551329901:551332310:1 gene:gene-LATHSAT_LOCUS20877 transcript:rna-LATHSAT_LOCUS20877 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQLGPYLAMFFIQFIYSGMTLLSKSVFNGGMKTSVFVFYRQLIGAIIMVALSLIFERKQAVPVTFSFMAIFKIFMLSLLGLTLALNVHGIALTYTSAMLAAAIVNCLPASTFFFAVLLRIEKVNLRTRSGIVKIGSVLFCMGGVSILAFYKGPQLHIVDHHRDDRQPKDHLSYNNKWIFGSLLLFLSTTMWSLWLVLQSQLLKSYPSKLTFMSIQSVSSAIQSFGIAIAFERDFEQWKLGWNMRLLAVVYCGILVTAVSYYLQALVIEKKGPVFPATWNPLSFVIATIGSVILLDEPLFLGR >CAK8530717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44220056:44220625:-1 gene:gene-LATHSAT_LOCUS561 transcript:rna-LATHSAT_LOCUS561 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTEIKLEKEREAMRNSIRCCISCILPCGALDVVRIVHCNGRVEEISGTVKASEIMKAYPKHVLKKPSSSSEDGVVPKIVVVPPDADLQRGKIYFLMPLPSPPAEKNNHRHGKNSSNGRKKRKEDNNNNSTIATTNNNIGNSGLVVSSDQYLTEILSEKLSCQRDRRRGRVAVWRPHLESISESPNHL >CAK8560309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13890733:13894969:1 gene:gene-LATHSAT_LOCUS13993 transcript:rna-LATHSAT_LOCUS13993 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTVKFSLLFVLWFWNCNFLPLSQGKDDYPYIKKASSFSSPSISDTSLNKAYDYIVVGGGGAGCPLAATLSQNFSVLLLERGGVPFTNPNVTFLENFHITLADLSSTSASQYFVSTDGVFNARGRVLGGGTSINAGFYTRASSRFISKVGWDAKLVNESYPWVEKQIVHRPKFSPFQRAVRDSLIDTGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAVGVIFNDENGKQHEAMLGNGMRSEVILSSGAIGSPQMLLLSGIGPKAELENLNISVVLDNRFVGKGMIDNPMNALYVPSKRPVHQSLIQTVGITKKGIYIEASSGFSQSNSSIHCHHGIVSAEIGQLSTIPPKQRSIEAIQAYAKNKRDIPVEAFKGGFVLSKVSSAWSVGELKLINTNVNDNPSITFNYFSHPHDLKRCVDGIRMALKVIQSEHFTNYTLCKKKTAEKLLNLSVKANVNFIPKNANDTTSLEQFCKDTIITIWHYHGGCHVGKVVSPDYKVLDVDRLRVVDGSTFTESPGTNPQATVMMMGRYMGVKILRDRLGKLAGI >CAK8565189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:62405948:62408354:1 gene:gene-LATHSAT_LOCUS18423 transcript:rna-LATHSAT_LOCUS18423 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNGSGPNIHHTTFPSTSSSSSEPLTSQNKSQLSHDDHIHFMPNSTTTTHHHENASFLHTNDQTNLANPFGEFHSPYQNNKGPSFSSTQNPFLLLSHHDTNESQKLHDHAPFEPNFHQLPKNESSLGNPFHDSHKFVQHHDHGNNWHHQDHWNFPNHEVTTTHASSSSHLLDPLFTLESTQNQQLDNASHKNPKGYESSTQQNMHESHPTGFESSTQQHKHDSPSASSNTEKDTHVHPKSREGNMLVSESNDDDFPVRSMPKHEQKKSSTVASVPETIQNPPIQIMERQERQENCSTSPSSTPRIPLHVFDKDKLNAQWSSTSNESLFSIQMGKSFSNDMAWLSKSGELDKNVDHMNMSSGVQSNHPPLSPQTQATKFTDISPNFTEQHESNSKVTEAKAAETMREVIMETSKTPEHNKSKGFTPISNAAMHSDALFSSNHDHHDHDHSRYSNGSTQSFAFKSYADGDKPLWSKQDEETKKQQKQPELQNVKATVAPPSAQNTKPASNASSNASQNRWLSCFTCCH >CAK8537978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452698814:452700440:-1 gene:gene-LATHSAT_LOCUS7224 transcript:rna-LATHSAT_LOCUS7224 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIVPVKSVVYALSPFQQKIMSGLWKDLPTKIHHKVSENWISALLLVTPVVGTYSYAMHFVEQEKLHHRY >CAK8565557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:276917850:276920414:1 gene:gene-LATHSAT_LOCUS18758 transcript:rna-LATHSAT_LOCUS18758 gene_biotype:protein_coding transcript_biotype:protein_coding MENHNNDTGSVLSQRPDSFGRFGRFGGKYVPETLIHALTELEAAFHSLATDQDFQKELDGVLKDYVGRESPLYFAERLTEHYKRDNGEGPHVYLKREDLNHTGAHKINNAVAQALLAKKLGKKRVIAETGAGQHGVATATVCARFGLECVVYMGALDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVCGPHPYPMMVREFQAVIGKETRKQALEKWGGKPDILVACVGGGSNAIGLFHEFIDDKDVRLIGVEASGLGLESGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDVGRAEYYSVTDDEALEAFKRLSQLERIIPALETSHALAYLEKLCPTLPNGTKVVVNCSGRGDKDVQTAIKYLKI >CAK8567963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559487422:559488283:-1 gene:gene-LATHSAT_LOCUS20968 transcript:rna-LATHSAT_LOCUS20968 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPSQTNFEYLDDDGRPRRTGTIWTTSSHIITAVIGSGVLSLAWAIAQLGWIAGPTVMILFSFITLYTSSMLTECYRYGDPLFGKRSYTYVDAVQNILGSYHYTVSGLIQYICLCCTATGYTIAAAISMM >CAK8534405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723417226:723425307:-1 gene:gene-LATHSAT_LOCUS3954 transcript:rna-LATHSAT_LOCUS3954 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVRTELNPSVDSESLKRWVLAFCAIRFDLEQGQLVEECYPHGVLSHDEELEIAYSSFPDSVSQHQNRSSIHDCIFFFRICRNFKPPAGNVNASLKSSEYLYGYVFNRQRHDERLKRGGEQKSVVILSHRPYSSVFRPLLQIVGPLFFDIGKKALEHIAAYVSKWPPPVPGQVMDLPIGNASLKVNLPPALSLPVENGLSFEESASSANNQSALQGLFHDSDLFGSFRGLLLQLWLLWELLLIGEPMLVIAPTPPQCCEAVASLVSLVAPLLCSVDFRPYFTIHDPVFARLNSIQEGEAFPPVILGVTNLFFLKALRNIPHIVSVGSPPPNSNRVSLSSRSSTGRISGRPEGLGFQQLSLKKFSPSSLLNAVKMRRDGPLCLMTEHKEAIWSTYSATTKPDTSILNRLIDAGLSPRVEESMSVVNNEILRRHFLELTTNFLAPFSPYFRTTIPSEGSSPYTDPPSLPPFDANELLATLSARGPGKFILKRMKSNWLDLYRRFLNGPNFMPWFRRRRAVAEQEQDRLWRQARIKTDIQQLISKFSEVEIVDSFNVIERLLLKEIQTQQSGKGGDDSMVASQKLRGDLQAVFNVLSKDMQQVMLSNPQRASLLHASSEVTNIPGHPVIQVAVVSSTSQR >CAK8539764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524459983:524464444:-1 gene:gene-LATHSAT_LOCUS8832 transcript:rna-LATHSAT_LOCUS8832-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPTLIFSPSSSSHSSNVSSSSSSSASSSSSSSSITSPSTLKSSPPPSSSDVSDTRKPSRGTWFFGTGRKSTRSRKLRHVDDAHIEYDVAAAPISRSRSTRSYIRSNTSSSVAPQPLPLPELASPAGLQRNRDGDRRLPSPKEASGRAVESDAHAIVSPPTGFKMRSVFASRESKKSTEHMETKPPGNMMAHQDTSGAATPSFRENSFRINVPPRSASSSPFTSPTTNNTKHDDFVPYYYVSPKANQFWSAPEMPTSTGQPPPAFFDLSALGIDCASSPHQSSGGKSPKQQNPSSPLPRMSLDYPTTSRRESLGPPLSVHPLPLPPWSGSSLPSPSATYSQPGGAKTESVSMKSQWQKGKLIGRGTFGSVYVATNRETGALCAMKEAEIFSDDPKSAESIKQLEQEIKVLSHLKHPNIVQYYGSEIVEDKFYIYLEFIHPGSINKYVRDHCGAITESVVRNFTRHILSGLAYLHSKKTIHRDIKGANLLVDSSGVVKLADFGMAKHLTGHSADLSLKGSPYWMAPELMQAVMHKDNNSDLAFAIDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDTPPIPETLSSEGKDFLRLCFKRNPAERPTASMLLEHRFLKNLQHSDPSSSAHMYNGTTSMDNSHSPGGLHESKPDQFSIVSAQIAKGKFCTESGIAMSNSLYS >CAK8539765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524459983:524464444:-1 gene:gene-LATHSAT_LOCUS8832 transcript:rna-LATHSAT_LOCUS8832 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPTLIFSPSSSSHSSNVSSSSSSSASSSSSSSSITSPSTLKSSPPPSSSDVSDTRKPSRGTWFFGTGRKSTRSRKLRHVDDAHIEYDVAAAPISRSRSTRSYIRSNTSSSVAPQPLPLPELASPAGLQRNRDGDRRLPSPKEASGRAVESDAHAIVSPPTGFKMRSVFASRESKKSTEHMETKPPGNMMAHQDTSGAATPSFRENSFRINVPPRSASSSPFTSPTTNNTKHDDFVPYYYVSPKANQFWSAPEMPTSTGQPPPAFFDLSALGIDCASSPHQSSGGKSPKQQNPSSPLPRMSLDYPTTSRRESLGPPLSVHPLPLPPWSGSSLPSPSATYSQPGGAKTESVSMKSQWQKGKLIGRGTFGSVYVATNRETGALCAMKEAEIFSDDPKSAESIKQLEQEIKVLSHLKHPNIVQYYGSEIVEDKFYIYLEFIHPGSINKYVRDHCGAITESVVRNFTRHILSGLAYLHSKKTIHRDIKGANLLVDSSGVVKLADFGMAKHLTGHSADLSLKGSPYWMAPELMQAVMHKDNNSDLAFAIDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDTPPIPETLSSEDPSSSAHMYNGTTSMDNSHSPGGLHESKPDQFSIVSAQIAKGKFCTESGIAMSNSLYS >CAK8536766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:15295703:15296140:-1 gene:gene-LATHSAT_LOCUS6099 transcript:rna-LATHSAT_LOCUS6099 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTKVSDHLSVINGIVSELETVGVKIDDEDKSLILARSLSSSYEHIKPVLIYGKKALSFEEVTSKIISKDRRLKGEENTSSNSVLVARGRSYVKKNNETNVRCLKCGKLGHIKYKFSNRAASEKGSKLNASNVSLVMREVDLF >CAK8576161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416260621:416261538:1 gene:gene-LATHSAT_LOCUS28371 transcript:rna-LATHSAT_LOCUS28371 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKFTSALVMSEFAPICLSFVISLLVSSIFLGLPRLFHRFYSFLIIIPLLGGFYSLCMKLGSIDFLNSLLFKIGLSLGSRVLSYALFKLGLAGGLAWVFFFVLRALFSVDGGVSIGNGMMPHGAAESTLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPGGDWMGWGARALDNLRTAIGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8576162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416260987:416261538:1 gene:gene-LATHSAT_LOCUS28371 transcript:rna-LATHSAT_LOCUS28371-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAAESTLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPGGDWMGWGARALDNLRTAIGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8530978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67405255:67410897:-1 gene:gene-LATHSAT_LOCUS800 transcript:rna-LATHSAT_LOCUS800 gene_biotype:protein_coding transcript_biotype:protein_coding MSEICRVWCFIIPFLLICNFHGAYCSYAGSTNAIIDPTKVRQVSWKPRAFVYEGFLTDLECDHLISIAKSELKRSAVADNLSGESKLSEVRTSSGMFISKNKDAIVSGIEDKIASWTFLPKDNGEDIQVLRYEHGQKYDPHYDYFSDKVNIARGGHRVATVLMYLTNVTKGGETVFPNAELQESPHHKLSETSEDLSECGKKGVAVKPRRGDALLFFSLHPNAIPDTLSLHAGCPVIEGEKYSATKWIHVDSFDKVVGAGGDCTDQHESCERWAALGECTKNPEYMVGSADLPGYCRKSCKTC >CAK8566134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384273394:384274155:-1 gene:gene-LATHSAT_LOCUS19295 transcript:rna-LATHSAT_LOCUS19295 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHSMTIYALPLSLTGDTERAVRIFIWSGETSKNKTIIVAWNNICKSINEGGLGMRSIFKLNEASNLKLAWDTLNFDENRVVVLRERVTRNNKFITYYVFSSIWSNAKLELHKVLNNSSCCLRNGQSIILWYNNWRGSPLFLDGDALTSIVDHLINIILVNGVWDFSKSSTTIPISIQVCIRNHHIPFDIRLDKRCWNLCSNGDLPFKFSYDFKCYRGNFKESWRWIWNKSIPQSKSFMVWRILHNKLPIDK >CAK8535632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871026085:871027947:1 gene:gene-LATHSAT_LOCUS5075 transcript:rna-LATHSAT_LOCUS5075 gene_biotype:protein_coding transcript_biotype:protein_coding MARLILKAGSRPPWVGLAAAVWIQIAAGNAYNFPLYSSALKSVLGLNQQQVTILGVANDVGENLSLFPGIACNKFPPWALLLVGSLLCFFGYGVIWLAVTQTVSNLPYLLLWLALCVATNSGAWFGTAVLVTNMRNFPLSRGTISGILKGYIGISASVYTLIYSLLLKGSASNLLLFLAIGVSTVCLVLMYFIRPCTPPSGEDSSVHVHFIFTQASSILLATYLVITTILSDAVSINDSVSYILVSIMIILIITPLAIPLKMTLFPARQQNNVPIVGSDVSISTQTSPLIASSSTSAAYVGSFHENDDTSSDVEILIAEGEGAVRKKRRPKRGDDFKFKEAIVKADFWLLWFAYSLGVGSGITVLNNLAQIGTSLGVEDTTILLSVFSFCNFIGRLGAGAVSEHFVRLKTLPRTFWMAITQVIMIIAFLLYASALEGTLYAATALLGMCYGVQYSIMVATVSELFGLKHFGVISSFMMLGNPIGALLFSVLLAGNLYDTEAAKQGNSTCYGANCFRITFMVLACVCGIGTILNIILTVRIRPVYQMLYASGSFCLSRTSNQ >CAK8535633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871026085:871027947:1 gene:gene-LATHSAT_LOCUS5075 transcript:rna-LATHSAT_LOCUS5075-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLILKAGSRPPWVGLAAAVWIQIAAGNAYNFPLYSSALKSVLGLNQQQVTILGVANDVGENLSLFPGIACNKFPPWALLLVGSLLCFFGYGVIWLAVTQTVSNLPYLLLWLALCVATNSGAWFGTAVLVTNMRNFPLSRGTISGILKAIGVSTVCLVLMYFIRPCTPPSGEDSSVHVHFIFTQASSILLATYLVITTILSDAVSINDSVSYILVSIMIILIITPLAIPLKMTLFPARQQNNVPIVGSDVSISTQTSPLIASSSTSAAYVGSFHENDDTSSDVEILIAEGEGAVRKKRRPKRGDDFKFKEAIVKADFWLLWFAYSLGVGSGITVLNNLAQIGTSLGVEDTTILLSVFSFCNFIGRLGAGAVSEHFVRLKTLPRTFWMAITQVIMIIAFLLYASALEGTLYAATALLGMCYGVQYSIMVATVSELFGLKHFGVISSFMMLGNPIGALLFSVLLAGNLYDTEAAKQGNSTCYGANCFRITFMVLACVCGIGTILNIILTVRIRPVYQMLYASGSFCLSRTSNQ >CAK8534188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702636547:702637198:-1 gene:gene-LATHSAT_LOCUS3750 transcript:rna-LATHSAT_LOCUS3750 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRMLGNFCLSSCSNTCFCMNISELEDELETKSLISSESDHKLRLKDVVAGKQTLAFQLKPQIVILRVSMHCHGCARKVEKHISKLEGVSSYKVDLETKTVVVMGDILPFEVLESVSKVKNAEIWNPHANDE >CAK8573760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635414916:635415911:-1 gene:gene-LATHSAT_LOCUS26168 transcript:rna-LATHSAT_LOCUS26168 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKEISRELPPLLRVYKDGTVERFLGSPIIPPIPLDPQTGVSSKDITISQNPLISARIHLPKLTNPTQKLPILVYYHGGAFCLESAFSFLHQRYLNIIASQSNVLVVSVEYRLAPEHPLPAAYDDGWFSLKWVASHSILNNNISTGEPWLIKHGDFDRFYVGGDTSGANIAHNLLLRVGNSNSNTNGVESLPGDVKIKGAILAFPLFWSSKPVLSENIEKHEESSPMKVWNFVYPDAVDGIDNPLINPLASEALGLDTIGCPKMLVFVAGNDDLRDRGIWYYDAVKESGWKGDVELVHVEGEEHCFQIYHPETENSVDMVKRIASFLV >CAK8542950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561758387:561760032:-1 gene:gene-LATHSAT_LOCUS11745 transcript:rna-LATHSAT_LOCUS11745 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFKKLKESITEKKLSTEDQQIKIQETRKIIGPVADKFPTICSDASVLRFLRARNYNTMKAAKMLRGTIKWRLEFKPEKILWDDIAKEALKGRLYRADYLDKQGRVVFVIKAGLQTPSLAMVQIKYLVYCMENAISNLPSTQEQMVWLIDFQGWSTSCISLKVTRDTAQVLQNHYPERLGLAVLYNPPKLFESFWTMVKPFLELKTYRKAIFAYPDNPKSRVMMEELFDMDKLESCFGGNNKAGMNFEAYGIKMREYDKRVSGFIDSGCSTPRFFSSDANGSEDGSSSGEAANSNFDEDDDIMQGQTPCSECESKNEVHHDKIVSN >CAK8544837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708305794:708307368:1 gene:gene-LATHSAT_LOCUS13485 transcript:rna-LATHSAT_LOCUS13485 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFVVFLVVIISLVASVSAQQPRAFFVFGDSLVDSGNNDFLATTARADAYPYGIDYPTHRPTGRFSNGLNIPDLISLELGLEPTLPYLSPLLVGEKLLIGANFASAGIGILNDTGFQFLHIIRIYKQLKLFQVYQKRVSAHIGSEGARNLVNRALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVRYLISEYRKVLRKLYDLGARRVLVTGTGPMGCAPAELAMRSRNGDCDVELQRAASLYNPQLVDMIRGLNQEIGSDVFVAADAYRMHMDFISNPQAYGFTTSKVACCGQGPYNGIGLCTPASNLCPNRDLNAFWDAFHPSEKASKIIVQQILRGSTQYMYPMNLSTIMALDSMT >CAK8533793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660729621:660742384:1 gene:gene-LATHSAT_LOCUS3390 transcript:rna-LATHSAT_LOCUS3390 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGCLNFNRLSHFHSPPSLQPSRSDLLKVASRRFALAVNKTQSPLTKTQSPPTLSIEIDNPASVKRQLAQLFDVSLKTTVPDEEDVVPLVDACTAKSGGVKFGDYQCNNAMGIWSKVKGKETGFKGPPSIGQAIINNLPPSEMIDSCSLAGPGFVNVVLSKNWIAQGVQRMLIDGIDMWAPRLPIGRALVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFTRVKHITRRNHVGDWGTQFGMLIAYLFEKFPNQEDVSETAIEDLQAFYKAAKVRFDDDPEFKLRAQQAVVLLQSGDTRYRKAWQQICDVSRAEFNKVYQRLGVQLEEKGESFYNPYIPGVIKKLDKLGLIEDSDGARVIYVEGVNIPVIAVKRDGGYNYSSTDIAALWYRLNEEKHEWIVYVTDIGQQQHFDMLFKAFRRAGWLPHNENAYPKCTHIGFGLVLGDDGKRFRSRSSEVVRLVDLLDEAKRRCKTAILERETTNDWSEEEIEKTSEAIGYGAVKYADLKINRLTNYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDMEEVKKNGFIVLDHEDERLLGLHLLQFPEVFEEACSNLLPSVLCDYLYSLAEIFSKKFYSNCQVVGSPEESSRLLLCEATAIVMRKCFYLLGIEPVYKL >CAK8571320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:375388899:375389573:1 gene:gene-LATHSAT_LOCUS23992 transcript:rna-LATHSAT_LOCUS23992 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHGGRKLFPVVYCDGVREFDLGILMVDPTMNIKSILSILSHKIGISPHQFSVFLADNNTNRKIPFTAKLNLATVSCNGGAEYIYVKWSRRCKKSAVQKKNLSEKLMHLRRKDVVDGNSRRAYAMAPSLFERGEMERRMWNLCKERDAALLKSTDTIEYLRRGAQIGNGGGNAVCKECLMAEMAANFHLCVRDEVVVGFRTTAGPISRPARRSGKVSPSENGY >CAK8569976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18884136:18884450:-1 gene:gene-LATHSAT_LOCUS22769 transcript:rna-LATHSAT_LOCUS22769 gene_biotype:protein_coding transcript_biotype:protein_coding MNVITFNICGSGNSVKRRRFNHIMLKGATDLCLIQESKCKSMDDKLVHSLLGSDGSAYNAIGQSGGILTMWRKNYFVPIFSFRGVGYLGMFVSCRGMSCYIVNV >CAK8540269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547930627:547931406:-1 gene:gene-LATHSAT_LOCUS9290 transcript:rna-LATHSAT_LOCUS9290-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPPPLMWPPTHPPFTVSQWQELEHQALIFKYLKAGLSVPPDLLLPIRKSLQLMSHPSLGYYGKKIDPEPGRCRRTDGKKWRCSRDAHPDSKYCDRHMIRRRYRSRKPVESSSSSSQSQSSSSLSSTSQQLAPSIGATATVSATDTSTFHTLPLHTNGTRETLGFTLGNTMSHMDPMLLPLQGSKKPYRYVFIKETFSYSVFVFVLLYLRCMVVCEWGYFHF >CAK8540268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547929384:547931406:-1 gene:gene-LATHSAT_LOCUS9290 transcript:rna-LATHSAT_LOCUS9290 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPPPLMWPPTHPPFTVSQWQELEHQALIFKYLKAGLSVPPDLLLPIRKSLQLMSHPSLGYYGKKIDPEPGRCRRTDGKKWRCSRDAHPDSKYCDRHMIRRRYRSRKPVESSSSSSQSQSSSSLSSTSQQLAPSIGATATVSATDTSTFHTLPLHTNGTRETLGFTLGNTMSHMDPMLLPLQGSKKPYRYGLNSEAEEHNLLQKDLGTVKYQGYDFTSDGMWYNNMSQIPSNTVSESRSSSTMVNNSNYFQQQRTTREPELLFNLDAARSKEIVFNGQLGSLKQDYQSPQSLFNDWQWKKDLTSSAMEYRPSKDFSTNPDMNADSNATL >CAK8544749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704167789:704168147:1 gene:gene-LATHSAT_LOCUS13404 transcript:rna-LATHSAT_LOCUS13404 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGMKNNFTADGIQSLTNNLFYTYARCTQSISIVPPAYYTHLAALRARFYMEPENGSTADGGNPSHSSKGTTRAAGECGVKPLRE >CAK8544650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697666004:697668019:1 gene:gene-LATHSAT_LOCUS13308 transcript:rna-LATHSAT_LOCUS13308 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILIDRDLALMKTIEIVFPRSINMLCRYHINKNAGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKNTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNIRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHIHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPLEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNYGFRVIASLHGFGEDGWTMVHRDLGLEIIHNERSSLYANLFTGQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPTHYTL >CAK8577230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546022457:546025366:-1 gene:gene-LATHSAT_LOCUS29356 transcript:rna-LATHSAT_LOCUS29356 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIINIFLITILLVRAESISRRDFPEGFIFGTASSAHQFEGAVDEGNKGDSIWDTFSRLPGRILDFSNADKAVDQYHRFQNDINLMKDLGMDSYRFSISWPRIFPNGTGEPNTEGIKYYNTLIDALLEKGIQPYVTLYHWDLPQMLEDKYEGWLSTQIIKDFEHYAYTCFRAFGDRVKHWITFNEPHNFALHGYDLGIQAPGRCSLLGHILCKKGKSSTEPYIVAHNILLSHAAAYRSYQLHFKEQQGGKIGIALDAVWYEPITELDEDKEAAARAMDFSLGWFLDPLFFGKYPLSMQEHVAERLPQITNATSNLLVGSLDFIGINHYTSLYTRNDRTRIHKLIMQDAISDAAVITTAYRRGAAIGDKAASSWLHIVPWGIRKLMKHVKCKYGNASVIITENGMDDPAGPFMTLEKALNDDKRIRYHRDYLSNLSAAIREDDCNVKGYFVWSLLDNWEWNLGYTVRFGLYYVDFKNNLTRIPKSSVNWFKNVLRFKTEKISDI >CAK8541945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:440229708:440234021:1 gene:gene-LATHSAT_LOCUS10831 transcript:rna-LATHSAT_LOCUS10831 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNPDDKNGKMPSYFHLPPLDVSLAFPQATPASTFPPCVSDYFQLDDLLTSEEQAVRLKVRKCMEKEIAPIMTQYWEKAEFPFHAIPKLGELRIAGGTIKGYGCPGLSVTGNAIATAEVARVDASCSTFILVHSSLAMLTIALCGSEAQKEKYLPSLAQLKTIACWALTEPDYGSDASALKTIATKVEGGWILEGQKRWIGNSTFADLLIVFARNTSTNQINGFIIHKDAPGLTVTKIENKIGLRIVQNGDIVMRKVFVPDEDRIAGVNSFQDTNKVLAVSRVMVAWQPIGISMGIYDMCHRYLNERKQFGAPLAAFQLNQQKLVQMLSNVQAMVLVGWRLCKLYESGKMTPGHASLGKSWISLRARETAALGRELLGGNGVLADFLVAKAFCDLEPIYTYEGTYDINTLVTGREVTGFASFKPATQRSRM >CAK8576356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466517037:466520282:-1 gene:gene-LATHSAT_LOCUS28550 transcript:rna-LATHSAT_LOCUS28550-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSHPNTESVIRANHEILASVTGSLSDAKATALHHYSKSFRGFSAMITPDQANKLAEYDSVVSVFESKMSKLHTTHSWDFLRLGIVYNSNHIAIDSTSNVIVGVIDSGVWPESESFNDYGIGPVPEKFKGECVTGDNFTQANCNNKIIGARFYSKGFEAESGPLEDFVDKVFFRSARDSDGHGTHTASTIAGSIVENASLFGIAKGTARGGAPSARLSIYKACWFGFCNDADVLSAMDDAIHDGVDILSLSLGPNPPQPNYFEDAVSVGAFHAFQKGILVSASAGNSVFPRTACNVAPWILTVAASTLDREFSSNIYLGNSKVLKGFSLNPIKMEHSHGLIYGSSAAASGVPATNASFCKNNTLDPTLINGKIVICTIENFIDKREEKSIIVKQGGGVGMILIDHNAKEVGFQFVIPSTIIGQDAVEELQAYINTDKNPVAKIYPTITVIGTKPAPEAAAFSSMGPNIITPDIIKPDITGPGLNILAAWSPVATEATVEQRPVDYNIISGTSMSCPHISAVAAIIKSYHPTWSPAAIMSAIMTTATVIDNTNHLIGRDPNGTQTTPFDYGSGHINPLASLNPGLVYDFDSQDALDFLCSNGASPSQLKNITGELTQCQKSPTPSYNFNYPSIGVSNLNGSLSVYRTVTYYGQEPAVYVASVENPSGVNVKVTPVGLKFSKTGQRLTFRIDFIPFKNSNGNFVFGALTWKNGRQNVRSPIGVNVVSI >CAK8576355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466517037:466520573:-1 gene:gene-LATHSAT_LOCUS28550 transcript:rna-LATHSAT_LOCUS28550 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFTTVFSLTFLLFIGYTLVNGSTPKHYIIYMGDHSHPNTESVIRANHEILASVTGSLSDAKATALHHYSKSFRGFSAMITPDQANKLAEYDSVVSVFESKMSKLHTTHSWDFLRLGIVYNSNHIAIDSTSNVIVGVIDSGVWPESESFNDYGIGPVPEKFKGECVTGDNFTQANCNNKIIGARFYSKGFEAESGPLEDFVDKVFFRSARDSDGHGTHTASTIAGSIVENASLFGIAKGTARGGAPSARLSIYKACWFGFCNDADVLSAMDDAIHDGVDILSLSLGPNPPQPNYFEDAVSVGAFHAFQKGILVSASAGNSVFPRTACNVAPWILTVAASTLDREFSSNIYLGNSKVLKGFSLNPIKMEHSHGLIYGSSAAASGVPATNASFCKNNTLDPTLINGKIVICTIENFIDKREEKSIIVKQGGGVGMILIDHNAKEVGFQFVIPSTIIGQDAVEELQAYINTDKNPVAKIYPTITVIGTKPAPEAAAFSSMGPNIITPDIIKPDITGPGLNILAAWSPVATEATVEQRPVDYNIISGTSMSCPHISAVAAIIKSYHPTWSPAAIMSAIMTTATVIDNTNHLIGRDPNGTQTTPFDYGSGHINPLASLNPGLVYDFDSQDALDFLCSNGASPSQLKNITGELTQCQKSPTPSYNFNYPSIGVSNLNGSLSVYRTVTYYGQEPAVYVASVENPSGVNVKVTPVGLKFSKTGQRLTFRIDFIPFKNSNGNFVFGALTWKNGRQNVRSPIGVNVVSI >CAK8562243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460749644:460751336:1 gene:gene-LATHSAT_LOCUS15756 transcript:rna-LATHSAT_LOCUS15756 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELKGMKNGIGSISRRGGESKHWLSRSKSHVAPDVTPTEPIQQGQWASLPPELLLDIIRRVEESETSWPARAVVVFCGSVCKSWRSVMKEIIKTPQQCGRITFPISLKQPGPRDYPIQCFIRRNRETSTFVLYLGLVPSEHESNKLLLAARKIRRAIGTGFIISLAADDFSRGSNKYVGRLRSNFWGTKFNVYDSQPPHDTAVQPKHRPSGRFNSKQVPARVPACSNLVSTVSYELNALWTRGPRRVHCTMNSIPISAIEEGGNAPTPTALPQIFGEPFSPSPALKEKSPMSDLYSGSLSELPELTEGSIEPLVLKNKSPRWHEQLQCWCLNFMGRVTVASVKNFQLVAAVDPSHNVLPAEQERVILQFGKIGKDIFTMDYSYPLSAFQAFAICLTSFDTKPACE >CAK8579401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695952540:695953076:-1 gene:gene-LATHSAT_LOCUS31350 transcript:rna-LATHSAT_LOCUS31350 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVNGYKYHTEEWSKGKKTVNCGVHVKGLAEGGKDDFYGIIKHIYELDYFGLKEKIPLFYCEWFDPTKNTGTKVHPQYKTVDIKMDKRYRPYDPFILAQNARQVYYVPYPEMCRDMRGWCATITIKPRGHVMIDNIEDEMPYQFDGMLPVLPTIEIESISCLCDDTQVDVFEEIFDT >CAK8568558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:616970706:616972362:-1 gene:gene-LATHSAT_LOCUS21504 transcript:rna-LATHSAT_LOCUS21504 gene_biotype:protein_coding transcript_biotype:protein_coding MAECHRSNVDSLVLDHRHHAKNTTTDNFCISASSIRRIIFDAVSCGGTSRHRHRHHEELHNDIGSSTSTASFASVTVCHKEKKHVQEEKHEKLLDLLNVQVHVTDAESKKKEEILLELKQVVKELRDEDSTKRRMAAARVRLLTKDDTEARGSLAMLGAISPLVGMLDSEDVHSQIDSLYALLNIGIGNDANKAAIVKVGAVHKMLKLIESPGAVVDSSVSEAIVANFLGLSALDSNKPIIGSSGAIPFLVRTLQNLDKNSKSSSQVKQDALRALYNLSINQTNISFILETDLVLFMINSIEDMVVSERVLSILSNLVSTPEGRKAISAVRDGITILIDVLSWTDSPKCQEKASYILMVMAHKAYADRQTMIEVGIVSALLELTLVGTALAQKRASRILECFRVDKGKQVSGRCDGGNLSLTVSAPICASSSSTDGGGKEYLMEEVNMMSDEKIAVKQLVQQSLQNNMMKIVKRANLRHDFVPSERFASLTSSSTSKSLPF >CAK8562975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563097736:563098411:-1 gene:gene-LATHSAT_LOCUS16432 transcript:rna-LATHSAT_LOCUS16432 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPKDTTKFLFSYGGKILPRHTDGKLRYTGGHTRVLALSLPISFSELMVKFVELCGSSVTLKCPLPNGDLETLISITSDEDLANIIEEYNRASSLLPHPLKIRAILSPPKSLKKVSPPQSSSSSGTYSPSGSLYASSESPPYAAVNRLSCSPVSLRFPMGVRNGAVKGGCYTGQLHGSPRFVYGFGNDYCQ >CAK8578394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626365078:626365434:-1 gene:gene-LATHSAT_LOCUS30407 transcript:rna-LATHSAT_LOCUS30407-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNFVGGVATEINADNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDLEPVLSMTPLN >CAK8578393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626365078:626365476:-1 gene:gene-LATHSAT_LOCUS30407 transcript:rna-LATHSAT_LOCUS30407 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNFVGGVATEINADNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDLEPVLSMTPLN >CAK8569370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696963705:696963977:-1 gene:gene-LATHSAT_LOCUS22227 transcript:rna-LATHSAT_LOCUS22227 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLPAAIRRASLSSSQISSKALNVPKGYLAVYVGEQMNRFVIPMSYLNQTLFQNLLSQAEEEFGYDHPMGGLTIPCTEDVFLHITSRL >CAK8578142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609583167:609584701:-1 gene:gene-LATHSAT_LOCUS30181 transcript:rna-LATHSAT_LOCUS30181 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVSRDFVFSVPILLLLFATPCLGSFVKTENKIKSAVFFSPKFELSPGSVINRYYYGIDFPKGHIALKSFNAEVVDEAGNPIPLHETYLHHWVVLRYHQSKHVTHTENDSHRMLHNSNHVLVRNSGICQGNVLGQYFGLGSETRGTETHIPDPFGIEIGNPEEVPEGFEEKWMLNIHAIDTRGAEDKMGCTECKCELYNVTVDEYGRTIRPDYVGGLLCCSDYAQCRLKEGFQGPKRSLYLRYTVKWVDWDDFVVPVKIYIIDVTDTLKLSDSSEGINSDHNCKVEYRVESCSTDHKEGNGCVDVKKTSVPQQNGGYVIYGVAHQHSGGIGATLYGQDGRVICSSIPSYGNGNEAGNESGYIVGMSTCYPKPGSMKIIHGETLTVESNYNSTKEHTGVMGFFYILVAEQIPHQTFRHSTRSSFFMDSNNMALDS >CAK8561812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:388161320:388165337:-1 gene:gene-LATHSAT_LOCUS15367 transcript:rna-LATHSAT_LOCUS15367 gene_biotype:protein_coding transcript_biotype:protein_coding MASISHAITATTNPYLNLPNQAQTRSSILRLSHSNSRRVLNNIRVFAEHNNHCNSTSGSAIDFNDPDWKIKFQQDFESRFRLPHITDIFNDSPPIPSTFCLKMRTPIGKNIPGHYSLDEEWHGYINNNDRVLLKTINYSSPKSAGAECIDPNCTWVEQWVHRAGPREKIYYKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDKELTEVPLSRKVVQNIHLSGGSLLGVSRGGPGVSDIVDSLEERGINMLFVLGGNGTHAGANAIHSECCKRRLKVSVIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQASLSSGQVDVCLIPEVPFNLHGPHGVLRHLQYLLEMKGSAVVCVAEGAGQNLLQNTNAKDASGNIVFGDIGVYIQKETKKYFKEIGVHADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGISVGLCNTHYAYFPIPEVIAHPRLVDPNSRMWHRCLTSTGQPDFI >CAK8574823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10613590:10614981:1 gene:gene-LATHSAT_LOCUS27126 transcript:rna-LATHSAT_LOCUS27126 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTKLSLLSPSPLLNHHKSLTSSPSLSFALSSSSSLKTKNVKVYAAADAPTTLTGVIFEPFEEVKKDYLATPIAPNVSLARQNFDNDSEAAINEQINVEYNVSYVYHSLFAYFDRDNVALKGFAKFFKESSEEEREHAEKLMKYQNLRGGRVVLHPIVSPPSEFDHEKGDALYAMELALSLEKLVNEKLLDLHAVADSNNDPQLADFIESEFLDEQVQSIKKISDYVTQLRLVGKGHGVWHFDQALLED >CAK8569695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8435245:8436998:1 gene:gene-LATHSAT_LOCUS22517 transcript:rna-LATHSAT_LOCUS22517-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVHRLVVNRDPKYTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >CAK8569694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8435245:8436998:1 gene:gene-LATHSAT_LOCUS22517 transcript:rna-LATHSAT_LOCUS22517 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKYTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >CAK8540697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16342039:16342326:-1 gene:gene-LATHSAT_LOCUS9676 transcript:rna-LATHSAT_LOCUS9676 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSPSKSQILSLLRSFIRVSRQFPDYNIREYTKRRALDAFRQNATLSESSSVSEALSFGESQLQVAKRQAVVYSLYVPPLPSVMEIQNKPF >CAK8567174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492664230:492667847:-1 gene:gene-LATHSAT_LOCUS20248 transcript:rna-LATHSAT_LOCUS20248 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQTPIGMRSSALLETSCGFLLQELQIIWEEIGEDKFEREKVLLDMEQECLEVYRKKVDRANTSRASLHQELAEAEAEFTHLLLSLGERSLPGRPEKRAGTLKEQLDSITPALREMRLRKEERLNQFRVVQGQMQRISAEIAGNSDDALSTIAVNENDLSLKRLEDYQNELQRLYNEKNERLQQVEKYIDKIHSLSTILGKDSSAIILQVHPSLNDLCGITKNISDAILHKLNITVESLYEEKQNRLDKLHHLGKALSNLWNLMDTPYRDRQPFSHVVNLLSVSSAEVSDPGSLTLEIVQQTEAEVKRLDQQKASKMKELFQKKQEELEFICKKSHVEIPSRTEMNNIISLINSGEIDHSDLLTSMDEQISRAKAEASSRKAIMEKVEKWVLARDEERWLEEYSMDERRYSVSRGAHKNLKRAERARIMVSRMPALVDLLIKMTRSWEAERNKVFLYDQVPLMEILEEYNILRQEKEEDKKRQQQSWEKKKVQSQIVFERDNTYASRPGTSMRRVPSRSLNGSPECSATMNKRLPMSIQQLGSNSIYSGNQGISFIKDGRKIQRKKIFGEPVFTSHMRDDASSMVSTHYGPFSP >CAK8536973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46387745:46393255:-1 gene:gene-LATHSAT_LOCUS6294 transcript:rna-LATHSAT_LOCUS6294 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGPSHKVQSSFRFSFNPKNVAFRYKMAKNMNNIRERLDEIAEERNKFHLKEIVREKRRGVLDWRQTTSFIAQPQVYGRDEDKDRIVEFLVGDASGFKDLSVYPIVVGLGGIGKTTLAQLIFNHKRVVSHFELRIWVCVSEYFSLMIMSKAIIESATGHACAELDLEPLQRKLLDLLKGKRYLLVLDDVWDDEQENW >CAK8533216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591814957:591815274:-1 gene:gene-LATHSAT_LOCUS2861 transcript:rna-LATHSAT_LOCUS2861 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDLPAVGNHFTWLNSSRCCRSRLDRFLLSDSLIDKWRINAQYVRDKDVSDHRPVWIKASHVSWGPNHSKSLAAGTNIHNFTTSSSLFGILLLLVVMQQMCCQ >CAK8578831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657325187:657326486:-1 gene:gene-LATHSAT_LOCUS30814 transcript:rna-LATHSAT_LOCUS30814 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSSPCNIELHAPEIVFFDLETNVPKKIGQQFWVLEFGAIVVSAHKLNEIESYTTLIRPKDLSVVPVKSSRIGGITREAVKIAPSFEDVAERIFSILNGKVWAGHNIQRFDCVRIKEAFDGINRAAPVPVGIIDSLVVLTEKFGRRAGNMKMATLASYFGLGEQKHRSLDDVRMNLEVVKHCATVLFLESSLPNTLHNNDKSKWYGSSSIVTRSKTNGKSPCREETSRKSPPTSFSYPRTVPYARGSLGKVAERMKGLLCKAQGQPPLQQLLKHSHSLLR >CAK8543887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646933499:646936553:-1 gene:gene-LATHSAT_LOCUS12611 transcript:rna-LATHSAT_LOCUS12611 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIFEEPEARTPGHASSSLRYAWQSIRAPVIIPLLKLAVILCSIMSVMLFVERVSMAAVILIVKVLRIKKYTKYKLDAMKQAIERNKRYPMVLIQIPMYNEKEVYKLSIGAVCGLSWPTDRLIVQVLDDSTNQVLRELVEYECQKWMQKGVNVKYVTRTNRNGYKAGALKEGLEKEYVEDCEFVAIFDADFQPDPDFLWKTIPYLLENPKLGLVQARWKFVNSEECMMTRLQEMSLDYHFSVEQEVGSSTYSFFGFNGTAGIWRIQAIKDAGGWKDRTTVEDMDLAVRASLRGWEFVFVGDVTVKNELPSTFKAYRFQQHRWSCGPANLLKKMTKEILFCQRVSLLKRLHLIYAFFFVRKIVAHWVTFFFYCIVIPACVVVPEVNLKKQIAIYIPATITILNAVCTPRSMHLLVFWILFENVMSLHRTKAAIIGLLEANRVNEWVVTEKLGNTMKQKNNVKPSTSRTPWFRITERIHPLEIIVGMYMLHCAIYDIFFGHDHFFIYLLLQAGAFFTMGFGLVGTIVPN >CAK8539598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517471272:517471947:-1 gene:gene-LATHSAT_LOCUS8680 transcript:rna-LATHSAT_LOCUS8680 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNLLKLYECQNCRLIMSYIRRKLADEQFALTHVDEVIDELLTTDYSCDIAMPRIKKRWTLESLGSLEPRQSALKEDWEPKRKKEKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLRM >CAK8544486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688203217:688210425:-1 gene:gene-LATHSAT_LOCUS13161 transcript:rna-LATHSAT_LOCUS13161 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMVKEPDEVMRMRGGSVLGKKTILKSDHFPGCQNKLLTPQIEGAPNYRQAESLHVHGVAIPTVDGIRNVLNHIGARRQQVLWISLREEPLVYINGRPFVLRDVERPFSNLEYTGINRDRVEQMEARLKEDIMLEAKRYGNKILVTDELPDGQMVDQWEPVSCDSIETPLEVYEELQKEGYLVDYERVPVTDEKSPKELDFDILVNKISQANVNTEIIFNCQMGRGRTTTGMVIATLMYLNRIGASGIPRSNSMGRIFQSMTNVADHLPSSEEAICRGEYAVIRSLIRVLEGGVDGKKQVDKVIDKCASMQNLREAIGTYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYLHSERDVLHSSTADQSSFSDWMRARPELYSIIRRLLRRDPMGALGYSSSKPSLKKIAESTDVRPSEMGMVAALRKGEVLGSQTVLKSDHCPGCQNPSLPERVDGAPNFRQIPGFPVFGVANPTVDGIRSVIQRIGSTNGGHPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIGRERVEKMEARLKVDILREAKQYSNAIMVIHETDDGHIFDAWEHVTSDLIQTPFEVFKSLEADGFPIKYARVPITDGKAPKRSDFDTLAINIASAAKDTNFVFNCQMGRGRTTTGTVIACLVKLRIDFGRPIKILSDDMSQEQSNSGSSSGDEAGGCVAALSSDTSQMKMDEKQNRVFGINDILLLWKITTLFDNGVECREALDAVIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRSAEYLERYFRLIAFAAYLGSEAFDGFCGQGDSRMTFKVWLRQRPEVQAMKWSIRLRPGRFFTVPEELRESQESQHGDAVMEATVKTRNGSVLGKGSILKMYFFPGQRTSNHIQIRGAPHVYKVDEYPVYCMATPTISGAKEMLNYLDAKSKPGSTAQKVILTDVREEAVVYINCVPFVLRELNKHVDTLKHVGITGPVVEHMEARMKEDILAEIKESGGRMLLHREEYDPSTNQSSVVGYWENILDDDVKTPAEVYSFLKDDGYDIVYRRIPLTRERDALASDVDAIQYCQDDSAGNYLFVSHTGFGGVAYAMAIICIRLGADANFASKVLQPSFGPDTSAVTEENLHSRASNETALRMGDYRDILNLTRVLAHGPQSKADVDIVIERCAGAGHIRDDILYYNREFVKLTDVDDEERAYLMDMGIKALRRYFFLITFASYLYCTSPADTEFAPWMDARPELDHLCNNLRIDK >CAK8566960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476186297:476187094:-1 gene:gene-LATHSAT_LOCUS20055 transcript:rna-LATHSAT_LOCUS20055 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSISFIVSEVMFLFAFFRASSHSSLASTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIICTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >CAK8569002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662422425:662423543:1 gene:gene-LATHSAT_LOCUS21901 transcript:rna-LATHSAT_LOCUS21901 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIYRILRPYRNPPRAAIFQQFHGNGIFNLNKISSGFGFITSYPPMQQKGLENVTVSEVLMTKGEEKVGSWLWCRVDDAVINAMRNMAEYDIGSLVVLKPEGQDIAGIVTERDCLKKIVAQGRSPLYTRVGQIMTNENDLVTVTSDTNILRAMRLMLENRIRHVPVIDGKIVGMISIVDVVRAVTEQQDGELKRLGDYIRGEYY >CAK8567490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518281455:518283059:1 gene:gene-LATHSAT_LOCUS20537 transcript:rna-LATHSAT_LOCUS20537 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAAIHKITGITKTFNPSNWNNIIPNKGPLTRALLLYKQTRHEATHDPTVIPQLLKACDSLPFLHYVKSLHAETIKAGLDINVFIGTAIVTAYSKCGVVLDARKLFDVMPERNVVTWNAMIGGYFRNGDTESASLVFEEMPGKSRVSWRQMIGGFAKNGDTVKARRLFDNIPNEFKDVVMWTVMVDGYAKNGEMEAAREVFELMPERNCFAWSSMVCGYCKEGSLVEAEGIFRRIPIRNLEIWNSMIGGYVQNGFGEKALEAFGEMRDEGFEPDEFTVVSVLSACAQLGDLNAGKQIHSMIEWKGIVVNQFVLSGLVDMYAKCGDLVHAKVVFESGNHRNVVCWNAMISGFAVNGKCEEVLEYLCKMEKLNIRPDAVTFTSVLSACAHGGLVSKALEVLSKMEACGIEINIWHYGCMVDLLGRAGRLKEAYELIKRMPLKPNKNILGAMIGACWVHSDMKMAEQVIKMIGTDSATCANSHDVLLSNIYAGSENWEKAEMIRANMIERGSEKIRGYSSIIVSNSVVDQSRLNGC >CAK8535771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880535097:880535672:1 gene:gene-LATHSAT_LOCUS5196 transcript:rna-LATHSAT_LOCUS5196 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKVQQLPASSAKREDIASSSSNKTTSYKEVAINNPPQEQMDYFENPVTEKIMYIDDEDIKINLNDGWSIKTRYLESRGYSGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPRELGLNPNAEKAIRIAERKYI >CAK8544306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677055552:677056232:1 gene:gene-LATHSAT_LOCUS12998 transcript:rna-LATHSAT_LOCUS12998 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKIHPLVFRLGATQSHYSIWFAKPKMYFENVKEDKIIRYCINNFIQKTVPESCEFETDISGYGMGRIKIQKRIDLIKVIIYMGLPNSLKEKVKDLRVEQLKTQVQKKVTCGNRELDLEITKLPSPYLYRDAKIVAEFLSELLRNRISFRKAMQKVIELAEQADTKGVQIKIAGRMEGKEIARVEWTREGRVPLQTIRAPMDFCSVPLITLFGVFGVKIWIFQNF >CAK8538485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484679951:484683091:1 gene:gene-LATHSAT_LOCUS7676 transcript:rna-LATHSAT_LOCUS7676 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLLSFHSILIMFFSSLLSLSTIQVYGILIFSSFAYAATNSTQHQGSGEEAFALLNWKTNLDNQSQASLSSWKTLSSPCKWEGITCDEKTKFVTVINVTNFGLKGTLSSLNLSSFPMLQVLDMSNNSFHGNIPHQIGNLSKISILKMNHNLFNGSIPSTVGMLMNLVDLDLSANYLSGEIPSLKNLMKLEKLVLYGNSLSGPIPIELGTISSLRTIKLLKNNFSGRIPFSIGNLVNLRTLQLSENHLHGSIPSSIGNLTKLIELSFSDNQLSGSIPSSIGNLINLERLAFSQNHLSGPIPSTFGNLTKLTFLLLYNNKLNGSIAESMKNITNLQSLQLSSNDFTGHLPHGICLGGLLRNFSANHNHFSGFVPRSLKNCSTLLRLNLAENMFIGNISDDFGVYPNLSYIDLSHNHFYGEISPNLVKSHNLIGLLISHNKLSGAIPSELGQSSRLQLLQLSSNHLTGKIPKELCNLTSLFQLSMSNNELSGKIPAEIGSMQGLSILNLAANKLSGSIPKQIGKLHKLVQLNLSNNEFMTIPHEFNRLQSLENLDLDGNSLSGEIPESLGKLQRLNTLNISHNKFHGIVPSSFEYLISLTIVDISYNRLEGSIPNNQAFLNAPFESLRNNKGLCGNASGLDPCINGKHKSVILSLSVTLSILFVFVFLFGGSLYIHLKNARTIQKQAREEPEQIHDVFSIWSYDGKMVYENIIEATEDFNEKYLIGEGGSGSVYKANLPSRQVVAVKKLHAEIDSEMHNFKAFTNEVKALTQIKHRNIVKLHGFCSHTRHSFVVYEYLEGGSLDNVLRNDTQVTMFDWKKRVNVVKGVTNALYYMHHGCFPPIVHRDISSKNVLLDLDYEAYISDFGTAKILNLDSHNSTTFAGTYGYAAPELAYTNVVNEKCDVFSFGVLCLEIIMGMHPGDLISTLFSSSEEPIAHGLLLKDVLDQRLPLPENSIAKDVILVAKLAFACLTENPRSRPSMKQVYNMFVMPKLQSMETFRIITLGQLLN >CAK8567829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547904975:547908529:-1 gene:gene-LATHSAT_LOCUS20850 transcript:rna-LATHSAT_LOCUS20850 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYSTNTLLLLLALFLLHLGPSHSSSSTSSSLLLYFKQSLPNPSLLHDWLPSTNPCSFSGITCNQTTVTSIDLTSIPLNTNLTVVATFLLTIDHLQILTLKSSNITSSPISLSHTKCTSSLTTIDLSQNTISSSFSDLAFLSSCSGLKSLNLSNNQLEFDSPKWSLSSSLRFLDVSDNKISGPGFFPWILNHELEFLSLRGNKVTGETDFSGYTTLQYLDISSNNFSVSIPSFGDCSSLQHLDISANKYFGDITRTLSPCKSLLHLNLSGNQFTGPVPSLPSGSLQFLYLAENHFAGKIPARLADLCSTLVELDLSSNNLTGPIPREFGACTLLKSFDISSNKFAGELPMEVLNEMSSLKELTVAFNEFSGPLPESLSKLTGLESLDLSSNNFSGTIPRWLCGEESGNNLKGLYLQNNVFTGFIPPTLSNCSNLVALDLSFNYLKGTIPPSLGSLSKLRDLIMWLNQLHGEIPPELSNMESLENLILDFNELSGTIPSGLVNCTKLNWISLSNNRLSGEIPSWIGKLSNLAILKLSNNSFSGRIPPELGDCPSLIWLDLNTNFLTGPIPPELAKQSGKVVVNFISGKTYVYIKNDGSKECHGAGSLLEFAGINQEQLRRISTRNPCNFTRVYGGKLQPTFTLNGSMIFLDVSHNMLSGTIPKEIGEMVYLYVLHLSHNNLSGSIPQELGRMKNLNILDLSYNKLQDQIPQTLTRLSLLTEIDFSNNCLSGTIPESGQFDTFPVGKFLNNSGLCGVPLPPCGADSGGGASSQHKSHRRQASLAGSVAMGLLFSLFCVFGLIIIAIETRKRRKKKEAAIDGYIDNSHSGNGNNSGWKLTSAREALSINLATFEKPLRKLTFADLLAATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDPKKAGIKMNWSVRRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSPDFGDNNLVGWVKQHAKLKISDVFDKELMKEDPNLEIELLQHLKVACACLDDRAWRRPTMIQVMAMFKEIQAGSGMDSQSTIAAEDEGFNAIEMVEMSIKEVPELIKH >CAK8532602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:316010415:316011952:-1 gene:gene-LATHSAT_LOCUS2290 transcript:rna-LATHSAT_LOCUS2290 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDSVACLPPGWTVKVNVRKNGKKDKYYLSPSSDLKFNSIVGVFRYLNNAKNKAIIQSVSNIESDRQIPRRASKRLAGIKADPHLELKPTRARRDMAKQSGEDKAGINADRSTNSLLNNQTKQLNNIEGSETMPNVKSEENTMENHVTKKECNYDGKLDYTGVSEIPLKEILTDPCIAFAVQTLTGNTLETFKDAQSSASAEELGKKINVTNDDPKNFAVAEKHAAVAEIDHPNKNIGPCSEKEHDISKMALSSVNLCRSVC >CAK8572045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504379640:504380065:-1 gene:gene-LATHSAT_LOCUS24653 transcript:rna-LATHSAT_LOCUS24653 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQKGKQGKEEKNKEEVRFRGVRRRPWGKYAAEIRDPSKQGTRIWLGTFDTAEEAARAYDKAAFNLRGHLAILNFPSEYYSKIRGSPPYPPHLAPPSYTSSHHASGSSSRPIFEFECLDDKILEELLGSEEMKKKKKGE >CAK8561246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128550676:128552121:1 gene:gene-LATHSAT_LOCUS14855 transcript:rna-LATHSAT_LOCUS14855 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSKQQQGDGGKSPQCIRSVLPEEEADQIVNSDGGEVALKKGIEADQTVNSGGGEVALKKGPWTKEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGSFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIISKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQ >CAK8540962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35760400:35760840:-1 gene:gene-LATHSAT_LOCUS9916 transcript:rna-LATHSAT_LOCUS9916 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKFLTPKAIANRIKAKGLQKLLWYCHMCQKQCRDENGFKCHCMSEGHQRQMQIFGQNPTRIIEGYTKEFETTFLEHMERSHRFSHVAATVVYNEYINDRNHVHMNSTEWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDS >CAK8538359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478883924:478884241:-1 gene:gene-LATHSAT_LOCUS7571 transcript:rna-LATHSAT_LOCUS7571 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRTIVNDIAKNIELINEHQTVEMGKAKSDKEIKSLKKELESKTEILVQKEQEVANIKMKIDRIIRERLGELEVKSSGLEKNMLSIKSKVDNLDRRSLLDELL >CAK8569040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665655827:665657971:-1 gene:gene-LATHSAT_LOCUS21937 transcript:rna-LATHSAT_LOCUS21937 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNHSFSSVSYADMFTASPLLPHNYYNESVGEQNETVTMQSIGQHSNPCNSHLDCEQNIQCQGLSLSLGTLLPSTSSIPPFQYPYHDTGFVSLMNNSCLPKGTIISNDDECMASISSEAGFHDITKQKSFHDYHPSEQCLQGSSGFFNIFLNSQYLKAAQELLDELINVRKQRGMEKQEKTRDIGLNNSKDTDGKSTSQSMQISSGPNDSNSNPSSQLSSAERQNWLDKKTKLLSILDEVDKRYRQYCHQMQIVVSSLDMVAGCGAAEPYTTLALRTISRHFRCLRDAISSQIQVIQRNLGEQEGIPRLRYVDQQLRQQKELQHLAVMRHAWRPQRGLPENSVSILRAWLFEHFLNPYPKDSEKIMLSRQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEINYNNSSENPLIKDKRDYNTQEIESQDNVQIITIDSVQACSTKEHVMDYESRKLHGGNERFGAKNGFYSEIACDTATYDLSELGGGHHHHQQQQQQQHVSLSLELRNCESDGLVISDDANHKRHNNNNNINNQILSFSSSSSQSTDILDYHFTDSGKQQHRFGNTHLLHEFVV >CAK8532062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197448981:197449852:1 gene:gene-LATHSAT_LOCUS1799 transcript:rna-LATHSAT_LOCUS1799 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAIWSAARATTIPVWERAVQRLKSLKEAAWKDMLDIPTHLWTRSHFKTYSKCDLQVNSMCEGFNRKILECMDKPIITLLEGIKHYLTKRITSQKELMNTYTGDICPRIQWDLTGTPCSHAISCIWQNKKKPEDYVSEYYRKSHFNNSYSHIIYPTNGPQLWPLLEGQVPIKPPVLRRAIGRPKKLRNKVSDEPRNPHVLPRKLTTVSCHKCGAMGHNKRSCKGKMAAERVIPKGGNKKKGNASKDGKRQNLKQKVERRQRQL >CAK8542521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522689832:522694105:1 gene:gene-LATHSAT_LOCUS11356 transcript:rna-LATHSAT_LOCUS11356 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKSLESSDLNFSRYGDTFFEVIFTGGRTQPGTTKPDEGERHPYSIIEYEAKREVILRSVIYIQKVLRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLTFITDFFKEYLIDNSLDDLIAILKRGKIEDNLLVFFPPAKRTNESFSEHFSKEGLTALVEYNEKKIFEVTLKEMKSVLTTQITEEADISEVIETVKLRVRDAKLPDTEIVRILWDVLMDAVQWSGKNQQQNANAALRKVKTWAELLNTFCTSGKLELELMYKVQMQCYEDAKLMKLFPEIIRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >CAK8568994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661965476:661965769:-1 gene:gene-LATHSAT_LOCUS21893 transcript:rna-LATHSAT_LOCUS21893 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDYMKNQFFSTFPSKASKDEDSSMKTRSSMGSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8537905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445919091:445922018:-1 gene:gene-LATHSAT_LOCUS7154 transcript:rna-LATHSAT_LOCUS7154-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNLDTNHNNNINNNINGLNSFNHFSFSTTQPFMTTSSFSDLLASPLDENDNNSRNDYGVPKFKSTPPPSLPLSPPLVSPSCFSIPPGLSPAELLDSPVLLNSNNVLPSPTTGAFAAHSYNWKNNSEGNQQIANFSFQTQQDHAVSASNTSFQSSNVGIQQQQQPWSYQESTNQEGYSSGNNMMQGENNNSSMQIYSPEFANVQMNNNVMNNNGVQSDYNNYQPVPLQQVQTLNKKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSAEGHITEIVYKGTHNHPKPQSTRKNSSNGLVIVPANPNGNEIIDQSYGSFGNGQMDSGATPENSSISIGGDDDFEQSSHQKSRSGGGDDYDDDEPDTKRWKNDGENEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSNSINRPMPNNPSNPNNAATAIMPLQIQRPQQPAQQVQSQSHPFNINMLQPQGSYGFSRFLNPMESYMNPQQQQQQHQQQQQQMSENGFSSRAKEEPKDDMFLESLLC >CAK8537904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445919091:445922018:-1 gene:gene-LATHSAT_LOCUS7154 transcript:rna-LATHSAT_LOCUS7154 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNLDTNHNNNINNNINGLNSFNHFSFSTTQPFMTTSSFSDLLASPLDENDNNSRNDYGVPKFKSTPPPSLPLSPPLVSPSCFSIPPGLSPAELLDSPVLLNSNNVLPSPTTGAFAAHSYNWKNNSEGNQQIANFSFQTQQDHAVSASNTSFQSSNVGIQQQQPWSYQESTNQEGYSSGNNMMQGENNNSSMQIYSPEFANVQMNNNVMNNNGVQSDYNNYQPVPLQQVQTLNKKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSAEGHITEIVYKGTHNHPKPQSTRKNSSNGLVIVPANPNGNEIIDQSYGSFGNGQMDSGATPENSSISIGGDDDFEQSSHQKSRSGGGDDYDDDEPDTKRWKNDGENEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSNSINRPMPNNPSNPNNAATAIMPLQIQRPQQPAQQVQSQSHPFNINMLQPQGSYGFSRFLNPMESYMNPQQQQQQHQQQQQQMSENGFSSRAKEEPKDDMFLESLLC >CAK8533911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670698517:670699047:1 gene:gene-LATHSAT_LOCUS3498 transcript:rna-LATHSAT_LOCUS3498 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGIMMKENKSDSTTSSSSSIGTFSEDSMDSMCCCSSELIEDADSSSNGSLCDLSELMNNLPIKRGLSMFYEGKTQSFSCLGEVQKIEDVRKKSMGYKKRMKKCKSYGDRIWYSPKATISKKTSRVPFSSLLTKRESYFLIP >CAK8561391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:184918924:184920170:1 gene:gene-LATHSAT_LOCUS14990 transcript:rna-LATHSAT_LOCUS14990-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFNAYPILELCKDSAGIAGNIFAFGLFVSPIPTFRRIIRNGSTELFSGLPYIYSLLNCLICLWYGTPLISIDNLLVTTVNSIGAAFQLVYILLFLIYAEKPRKVRMFGLLLAVFGIFFVILVGSLKITDSSMRRMFIGCLSCASLISMFASPLFIINLVIRTKSVEFMPFCLSLSTFLMSVSFFLYGLLSDDVFIYVPNGIGTGLAMIQLVLYFYFKSSSCDDSREPLIVSYG >CAK8561390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:184918858:184920170:1 gene:gene-LATHSAT_LOCUS14990 transcript:rna-LATHSAT_LOCUS14990 gene_biotype:protein_coding transcript_biotype:protein_coding MISQIQGSPFFSPEFGIIVWKLMSLFNAYPILELCKDSAGIAGNIFAFGLFVSPIPTFRRIIRNGSTELFSGLPYIYSLLNCLICLWYGTPLISIDNLLVTTVNSIGAAFQLVYILLFLIYAEKPRKVRMFGLLLAVFGIFFVILVGSLKITDSSMRRMFIGCLSCASLISMFASPLFIINLVIRTKSVEFMPFCLSLSTFLMSVSFFLYGLLSDDVFIYVPNGIGTGLAMIQLVLYFYFKSSSCDDSREPLIVSYG >CAK8568997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662250302:662252206:1 gene:gene-LATHSAT_LOCUS21896 transcript:rna-LATHSAT_LOCUS21896 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEYENYDPSFPDQPVVDQYLPVWARLPSFKSKPAFIWAEDYSNTISTTHLTYEQLNTSVDIISTQLLFPLQRGDTVLILCSPGLDLVKVIFGCQRAGLLTVPIVPPHPSFANEKNYHHLIRIISQTKPKAAIAHPTYISTIHHYITSSHNNKLAHMLQTLRWISTDDLKNNNRHLNLNSNSYNGRKPDEVYLVQYTSGATGIPKPVLATTGSAAHNVRTARKAYDLHPNSTIVSWLPQYHDCGLMFLLLTIVSGATCVLTSPTSFIKRPRIWLELMSKFNATCTPVPSFTLPLVVKRGGIQKGTSPINLSNLNNLILINEPIYSDSVVEFIHTFSPFGLRASSISPSYGLAENCTFVSTAWRDHNIEAYSSFSHFPTHKKLLPVARLEEQEDMEIMVVNEETLEPVEDGVEGEIWVSSPSNCSGYLDHPSLTREVFHARIRNKVRRCFLRTGDKGIVKGEKRYLYVTGRIQDTIELQNGEKIQPHYVETAVFNSFPKLLRGGCVAAFKVLATVVIVAEMQRVEKDVDEGILRSVCEGIKESVLKKECVEVGWVVLVKSESVPKTTSGKLQRWAAKEKLLEGKMKILMEMRFGKDVARIKHEVAKKVDVEKKDDHINGLVFGAETRSSLISHL >CAK8535760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880219343:880219747:1 gene:gene-LATHSAT_LOCUS5185 transcript:rna-LATHSAT_LOCUS5185 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKFYFSSTNQSGFGIRRRGNRCWCEIESPLMTSWTYDNPGRRIYGCGDFKMMRKKGCNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSVMFVFLIVFALVATHILK >CAK8541730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:374538655:374540961:1 gene:gene-LATHSAT_LOCUS10626 transcript:rna-LATHSAT_LOCUS10626 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHNPQHFDLNTGAKIPAIGLGTWKASPGVVGDAVVSAVKAGYRHIDCARVYDNEKEVGEALKTLFSTGVVGRSEMFITSKLWVSDCAPEDVSKALTKTLEDLQLDYIDLYLIHWPFRTKSGSRGWDPEVMAPLCLSETWNAMEGLFASGQARAIGVSNFSTKKLQDLIRYAKVPPAVNQVECHPVWQQPALHNFCMSTGVHLTAYSPLGSPGSWVKGEILKEPILIEIAEKLNKSPAQVALRWGLQSGHSVLPKSVNESRIKENLSLFDWCIPPELFAKFSQIHQQRLLRGDFAVHETCSPYKSLEDLWDGEI >CAK8533684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649629833:649636331:-1 gene:gene-LATHSAT_LOCUS3289 transcript:rna-LATHSAT_LOCUS3289 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENDAFYVVKKGNLVGIYKSLTDIQPLLSSSVCGESVRVYKGFSLPEETEEYLVSHGLKGATYSISAANVNAASFGKLVACPYQDPYSSRGGAVVVNSSSKSLQGAFQVDDSKGGGSFSFSTNVQRKHCISGLQLQTELSTNTCISCTLNFDGASKGNPGLAGAGAILRADDGNKVYRLREGVGTATSNVAEYRALILGLKKALKKGYKHICVKGDSLLVCNQIQGLWKVKNPNMSYLCNEAKEYKNKFLSFKINHIPREYNRDADTQANCAVNLKDGEIEEYCEIE >CAK8533685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649629833:649636331:-1 gene:gene-LATHSAT_LOCUS3289 transcript:rna-LATHSAT_LOCUS3289-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENDAFYVVKKGNLVGIYKSLTDIQPLLSSSVCGESVRVYKGFSLPEETEEYLVSHGLKGATYSISAANVNAASFGKLVACPYQDPYSSRGGAVVVNSSSKSLQGAFQVDDSNPNSNGGRTIMPNSSSQNCTKQLDTYKGGGSFSFSTNVQRKHCISGLQLQTELSTNTCISCTLNFDGASKGNPGLAGAGAILRADDGNKVYRLREGVGTATSNVAEYRALILGLKKALKKGYKHICVKGDSLLVCNQIQGLWKVKNPNMSYLCNEAKEYKNKFLSFKINHIPREYNRDADTQANCAVNLKDGEIEEYCEIE >CAK8541301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:109042367:109042582:-1 gene:gene-LATHSAT_LOCUS10231 transcript:rna-LATHSAT_LOCUS10231 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRQDNPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYQTFPMDLQRKIADELDRTRGEILKKLEEVRNKII >CAK8568617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624740886:624741404:-1 gene:gene-LATHSAT_LOCUS21559 transcript:rna-LATHSAT_LOCUS21559 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVGRNDDVISSLPDPVICRILSFLLTKQAAATSILSKRWTVYGALFLLSTSRAKSRLNWMTKKSLFALMMLCILFYSPSSNSIESFSLKIHYGHRDLGNLGFPSVLVWINHLVQHNIESLICVDIRNHFFPNLPISILLVARLLLFSNFNISLWLVFLLLDFPPSKCFA >CAK8537940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449232158:449232553:-1 gene:gene-LATHSAT_LOCUS7188 transcript:rna-LATHSAT_LOCUS7188 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVLRNLRSQPQPQPHLTEFENEDEAMAETETSDSAKPILNAPSNSKVKVALESGFWTFVDMASGRYLWRQLVQSSSKE >CAK8540494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9080422:9080733:-1 gene:gene-LATHSAT_LOCUS9496 transcript:rna-LATHSAT_LOCUS9496 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTNLGIHESKVKPVLKLELVKLYEGNWELIEADNYTALVDAIFDVEDNLQEDNQVYPISCINTYLFYLWVYSMKFTLISHAQCLVIVII >CAK8532482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:269440155:269445450:1 gene:gene-LATHSAT_LOCUS2183 transcript:rna-LATHSAT_LOCUS2183 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFISRCKKMKDMTQFPGTHMVVESGNCRTRFGLSSRFNRVQPISTHLNHIIVAGVSKHRRRENLVGVQERYKWDRGGSDDNAHNPTRKIRAEANCPRCSKDMNLFFSNRHFPSTTDSDFGNGGGGGSKLGPNGGGESGYQAVNLCPNCKTAYYFRPYNTSPLQGTFVEIGRVTSSNNNGSSSKSQLSRRVKNGGGKDGGSNSNSIKNGHGGDEFGLKGSASNWLEVSLWDTLKSYNGGGGGKTGDGNNGEPPETWPPPAGGGNGNGLAVHTPPGPPFAPGVNVIRASGPREGGNGEKASWGGSNLGKDLPTPKEICKGLDKFVIGQGRAKKVLSVAVYNHYKRIYHATLPKGLGADSGISDVFDDDENVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILHKLLAAADYNVAAAQQGIIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQMDTRNILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGRIIDSSVASSLLATVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLMQILVEPKHALGKQYKKLVSMNNVKLHFTEKALKLIAKKAMAKNTGARGLRALIENILTDAMFEIPDIKTGSDRVDAVVVDEESVGSLTAPGCGGKILRGDGALEKYLAKTKDSEANDEESELLQERDSNISSRAMSM >CAK8534412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724428155:724429081:-1 gene:gene-LATHSAT_LOCUS3960 transcript:rna-LATHSAT_LOCUS3960 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIKWSERVEVKGKVKLHEFETYIRDLPNSPNRRLMVVSLYWKEESSKEKLEGIKKVGRKYVMEGRVGLAKVRSGVDLYVCPCSYTILNLLAKHGFYHSNDNKTNNNYLVGCLVWKRNQINLPPTQQWSPIRQPCKSSVQKYPFPMYSDLVKCSEEDTKQSSPIEVSHKRMMEQDMDISPQKKPCYRDDKEKPNFIAPSPAAKILPPILIPKNDFTYLGPSLMREKTHYHAYINLKPDFTYLGPERNAANQP >CAK8563333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593166539:593167306:-1 gene:gene-LATHSAT_LOCUS16757 transcript:rna-LATHSAT_LOCUS16757 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQVCSSVDAIRAFLEHLVDPMLPEKPSIQDDPPLSQQQKIANQVHSIVLLYNYYHRKQNPDLLHVGLREFCKLIVDMRPALIPYLKFMAKPNKTDLVDVEEQLLLTEKAITSSYDICTILNPSRGVPNVEGWSISKVAVLLIDSKENCFLRFCSTTGGVWSLIEKDEDTSGQISKVTRDVKSTYQTRRVIKKPIKHALNVNEDGFLQIGYSSVKEVTGVNSIDIMLLGSYAVYSQRKEKTAARFYEMLPVEC >CAK8560029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5229611:5230220:1 gene:gene-LATHSAT_LOCUS13747 transcript:rna-LATHSAT_LOCUS13747 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYSFSSSNPSPKSSMFYYAGTEDFYDQPHFLQACFLCRKHLGQNKDIFMYRGNTPFCSKECREEQIEIDESKEKSWKISSKRSVRNSETNQNSKNNKTVRSESVAVA >CAK8578081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605580057:605580830:1 gene:gene-LATHSAT_LOCUS30123 transcript:rna-LATHSAT_LOCUS30123 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTNNNNSNVNLPPGFRFYPTDEELVVHFLHRKASLLPCHPDVIPDLDLYPFDPWQLQGRALEEGNQWYYYSRRTQTRISNNGYWMPMGMDEQVVTSSSNKRVGMKKYYVFHIGQAPHGNKTNWIMQEYRLSDSSSSSSSRSSSKRKSHQKSEHSRWVICRVYERDEDDDEDGDGTELSCLDEVFLSLDDLDEVSLPN >CAK8560057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6258861:6262606:1 gene:gene-LATHSAT_LOCUS13772 transcript:rna-LATHSAT_LOCUS13772 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYITNPNFFHKLFILPRQKSRLWIKRKKNNTMIVATANSFGNRNSLLISLLLVSSSLHFFCISPLLAKSSPHPISDVQVRSNKLQCYADIDSGLWGWSCKSSMIARENCALRCLSPSCYELIYESDPLEEGEKDFVRSQEYKYCMHKLSMGETLEGVKGAFGQ >CAK8560058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6258960:6262606:1 gene:gene-LATHSAT_LOCUS13772 transcript:rna-LATHSAT_LOCUS13772-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVATANSFGNRNSLLISLLLVSSSLHFFCISPLLAKSSPHPISDVQVRSNKLQCYADIDSGLWGWSCKSSMIARENCALRCLSPSCYELIYESDPLEEGEKDFVRSQEYKYCMHKLSMGETLEGVKGAFGQ >CAK8575300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:73494727:73495341:-1 gene:gene-LATHSAT_LOCUS27574 transcript:rna-LATHSAT_LOCUS27574 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTACDGTSKFTAPLSLPPSFNSFGITQNNKMKKGLVLGYWRFINTRRNVIVKASSDVASPSIWENWKPPKSFPTLSFSGILWPYACAFVAVAILGKLDQLLTPKGLSITVALLGAVSALLFASPSAPSTRKYSMLMAQIGCAAIGVLVFTMFGPGWLSKGPSVAACVAYMIYTVGRFQTPHPQYTVPESETVIQLHIRARS >CAK8533100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577851781:577852296:-1 gene:gene-LATHSAT_LOCUS2749 transcript:rna-LATHSAT_LOCUS2749 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYSSFLLVLFLCATSSYAAKVVPVNVICQKAKNPSFCSNLLNSKSGADLITLAQYTIDVVRTDMSNTVKLINTLIANPGSVKALNHYKFCLKEFVNDGGALFVLENVQRVLKEGNYQLMNVGANDIMTDINNCINDPGFQDTSSLPKSAGDALQADQIIQTLSSFLLSN >CAK8541883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420725738:420726082:1 gene:gene-LATHSAT_LOCUS10773 transcript:rna-LATHSAT_LOCUS10773 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSKRVCFSPNVNDIPTIFLKKREFGRENFKFTTESKLSSPLKFLVQLGTKVASSIKSVSMKRRSSRKVSSSSTLVRSRSISDLTDSHRAETVEDCIEFLHSSSSRERPSFS >CAK8542560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525896458:525898269:1 gene:gene-LATHSAT_LOCUS11389 transcript:rna-LATHSAT_LOCUS11389 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLENLISYMEMEDEEMNQSINSFHEQEFLKDIILEDPECEPFSYLCSNEIHNNSSNSAGNINTEGGVTSPTNSILSFDHENIEAIHKSFSSNSIISLERSCVGSPATYLLSFDNSSVEPITEPMSNKRSLKKDERKVKETTKRLRRSCETVQDHLMAERKRRRELTESIITLSAMIPGLKKMDKCYVLSEAVSYTKQLQKRIKELENNQNKDDTVNPEIYKWKSQSSSNKKKYSESVLEVEARVMKKEVLIRIHCEKKKDLVLKIHEMLENFNLTITSSSILPFGASVLVINIFAQMDEEDSMRMDELVKNLKKYLLEACESH >CAK8539855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529301987:529309798:-1 gene:gene-LATHSAT_LOCUS8915 transcript:rna-LATHSAT_LOCUS8915 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDIEAPIKATSRVSRFAPKSSKLKPKTEQVLVPKSEPPSFPSTKLEPREIDFPAKPNGVQTTVPTNGTVNLDAESKSEAKLDSMDVDMTEAEVQEDSTHANPMEEDEEEDTVVREIDVYFSPSINGGTKLYVMQFPLRPSWRPYEFDEQCEEVRLKPESSEVEVDLSVDLESSNTDSDFSNELKYTKQTLSTTWKPPPANGCAVGLLMGDKLHLHPVHAVVQLRPSRYYLDSGGSEKKNAATSKKQNKRMNSSTEQKSDDDQCWVPLKYHGCKSDIPSSYFQQMVALESSPINFEMNTYDYIATLCPGVSSNTLAKGPSKRFLQSLPM >CAK8574079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:658855996:658856280:-1 gene:gene-LATHSAT_LOCUS26456 transcript:rna-LATHSAT_LOCUS26456 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCIPGFVIQLETLPIITEDGTQLDDKWKFHRLFWVFEPCIRGFSHCKPIVQIDGTWLYGKYKGTLLMVVAQDGNGNIFPIAFALVEGETKDG >CAK8571554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439773173:439776439:1 gene:gene-LATHSAT_LOCUS24204 transcript:rna-LATHSAT_LOCUS24204 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSDRMDLHVDDVLFGQENDVFGFESSTWSNVNVGVSFSETETLIGDVGGVNGSMGLFSGTDEVGFHMGSECNVSVNRCEKEGFYRGKNNNLADGGMLGVVNGECDGKVGKFSSWLVQNEVERTVTQSTGGGCAESGKDLVFGLNNGVQIERECEDVSKVAEVSLDMEHLFSKKSFLSDEQVNEIQNCIQYNYCGLDLVVDLNSYKNTQEDNVRRDSVFSDVNYRISDLVWGKVRGHPWWPGQIYDPSIASEVAKSYWEEDCYLIAYFGGQKFAWNEVSMIKPFHKHFSEMAKQTDCESFRFAVDCALEEASRRVAFSLSCPCLPEEVSSNLKSQVVANGEICNQSFEPAELVSFVQSLAQSPLTEFDRLDLVRARGQVSAFYRLKGYSQLPEFMVHDRLFVPDMEILPVREEQCNDQVLKTGQCFLPALISEKNSWTPNGKCTLEKKAGDNLSSRRGRKRKVSHDPSYDYDCFQNFQIEDDKSTSRRGRKPEAAYNTSDDCFQNFQIAVKNSIPRKRGRKPKAAYNTPDDCFQNSQIAVNNSIPRKRGRKPKAAYNTYDCIQNFQIAVNDSIPRKRGRKPKAAYNTYDDCFHNSQTGNSTEFQNVSVHDMWSQLCLAANDPAGQSCNTDLIHYFADFRKVTGRNNSAFLEQGFSLETGVLAASTEAAAAVAFVSKELGVIEADAVASVSKELEVIEAAAVASVSTPMKGGVINSMEAAATASVSTPKELGVIEAAAVPSVSTPMEGGEGGVINSIEAAAAAYVATPPMKLGVIEAAAEAFLSTPAAAEASVSTPMELGVIQAAVEAYLSTPMELGVIDAAAEASAWTAMELAVLEAAAETSATASIQTTPMEFCNDGYFDPTTGLLQDGNLISEPLNHVEQPLISEPFNHVEQHLISEPFNHVEQNLKAEPIVEHTSTSEEAAEISFNTSLIHQNTDENLASEPLNQVEHAEHASENSPTAFTLKFTNFDSVPSITDLNQIFGRFGPLIESKTELLERTKCVKVVFKRRVDAETAFCSAGQYNIFGPSLKSYKLKILPPIPEKAPGKKRHRRTKKEIASSMKAAPGKKRHRRTKKEIASMKAAAV >CAK8569242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686476964:686479649:1 gene:gene-LATHSAT_LOCUS22120 transcript:rna-LATHSAT_LOCUS22120 gene_biotype:protein_coding transcript_biotype:protein_coding MADANSPTTSPPSDSSQSPPPPSPDASNNSSPPPPAADNSSPPPSSPPPSSPPPSSPPPSSPSPPPPSGSSPSPPSPQGDTPSPPHRHDFSPPPPPHHRLSPPKSSHSDKNHNSNEKNENQFSTGAIIGIASGAGLLLVVLSIFLIVCSRRKKRSPQPHLQFYTNQSRGPNQYDYNNRGPNQYEFNNRDHVLNIPPPPGAGGGGGGGWGPPPPSLGGGGGGGGGWGLPPQPLSSDMSTSSYSGSQGPVLPPPHPTVALGFNQSSFTYDELSVATGAFAQRNLLGQGGFGYVHKGVLPNGKEIAVKSLKSTSGQGDREFQAEVDTISRVHHRYLVSLVGYCISDSKKLLVYEFVPNKTLEYHLHGKGLPVMDWGTRLKIALGSAKGLAYLHEDCHPRIIHRDIKGANILLENNFDAKVADFGLAKFNQDANTHVSTRVMGTFGYMAPEYASSGKLTDKSDVFSYGVMLLELITGRRPVGTTGDYEEDSLVDWARPVCTKALESGILTGLVDIRLEDNYDKNEVTRMIACASASVRHSAKRRPKMSQIVRVLEGDSSLEALHQDGIKAGHSTVYSSASGNYDAAAYSADMKRFKKLALESGVASSEYGGTSEYGLNLSVSSSEQSSSEYSKRTATGSGT >CAK8543114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579752949:579753926:-1 gene:gene-LATHSAT_LOCUS11896 transcript:rna-LATHSAT_LOCUS11896 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGFAVIREKMRENNEDKEPSTQAEMFISTRQSRKGKELDQETNHAIIKLQDLIENHRKPSSEAFESVLGKQKPGRLCCHGRTTTPTLLKRNEEIAKIKREHDVEVRQFNDRLQEMEEKHRQDKEETDRKIQLLLKTVLNQNTSELNIEALAALISTPAIDANSVLRSSTSTHAPTNDQVMNDNINEDFKFEDEET >CAK8566239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394105105:394110162:-1 gene:gene-LATHSAT_LOCUS19389 transcript:rna-LATHSAT_LOCUS19389 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEGTHAVVSDLINFLNASPTAFHAVDEAKKQLQAAGYQQISEKEVWELKAGHKYFFTRNHSTIVAFAVGKRYVAGNGFHIVGAHTDSPCLKLKPVSKVVKGGILEVGVQTYGGGLWHTWFDRDLTVAGRVILKRENAGSVSYSHRLVRIEEPIMRVPTLAIHLDRGVNDGFKVNTQTHLLPILATSLKAEVNKVSSENGSVESGRQNDGKKENDKTGSSNTKHHPILLQLLASKLECEPDDICDFELQACDTQPSIIAGAAKEFVFSGRLDNLCMSFCSLKALIDATSSDSNLEEEPGIRMVALFDHEECGSNSAQGAGSPVVLDALSRITYSFSPNSKLLEKAVQKSFLVSADMAHALHPNYMDRHEENHQPKLHGGLVIKQNANQRYATNAVTSFIFREIASKHNLPVQDFVVRNDMACGSTIGPILASGIGIRTVDVGAPQLSMHSIREMCAVDDVKYSYEHFKAFFQEFSLLDANIVVDI >CAK8533607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:641091311:641092267:1 gene:gene-LATHSAT_LOCUS3222 transcript:rna-LATHSAT_LOCUS3222 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFVVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLFDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETLSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8571103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:297239234:297244647:-1 gene:gene-LATHSAT_LOCUS23796 transcript:rna-LATHSAT_LOCUS23796 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQKIEVDESVLLRVTHSNLKTFNPDIRFSLQLTVEAVKEKLWKKSGTSVNSMHLELYDDVRNKIADLTDNSKLLGFYSPFDGFRLHVIDLDPASVTSGGWLEDTSLVKKYEISEEAFNKRGDTFRKYKEKLTSQFPSTVEAKTPDTDMEDLCANIKVGSRCEVEPGAKRGVVKFVGRAEPLGPGFWVGVQYDEPLGKHDGMVKGVRYFQCPPSHGGIVRPEKMKIGDYPERDPFEEDEI >CAK8538631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489541054:489544853:-1 gene:gene-LATHSAT_LOCUS7811 transcript:rna-LATHSAT_LOCUS7811 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTRDFGDTMKKEAVPAVSSDVIFASSRFPNYRIGANNQIMETKDDPKLLSMKEVIARETAQLLDQHNRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDSLESLKGRVAGRNMDDVDDAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEEAKKLVDEERAFARSEIDNARAAVQRVEESLQEHERMSQASGKQDVEQLMKEVQEARRIKMLHQPSKVMDMEHELLALRAQLAEKTRHYLRLQKELTRTKKGEENVPHLYELEGNETLGSYLQIQPCSDNAPDVSNCSIQWYRVSSDGAKKELISGATKSVYAPEPFDVGRILQIDIISENQHVTLATTGPIDPAAGLGTYVEALVRKHDTEFNVIVTQTSGLHHPTESIHILHVGKMRIKLCKGKTTIAKEYYSSSMQLCGVRGGGNAAAQALFWQPKQGQSFVLAFESQRERNAAIMLARRFAFDCNIMLAGPDDRAPLGT >CAK8570535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56902934:56914469:-1 gene:gene-LATHSAT_LOCUS23275 transcript:rna-LATHSAT_LOCUS23275 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASQLVYRGIDPFLRSSHSHRHKNLSLRRRSNRISAVATDPKPAPVTTVNGSSSKSPPTKPVNGVSQRIGDVSKEIKRVRAQMEEDEQLATLMRGLRGQNLSDSLFAEDDVQLRLVEVDESSEFLPLVYEPASITAYWGKRPRSVATRIVQLLSVAGGFLSRVAWDVINKKVKENEVARAIEIREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFADDVAMALIEEELGQPWQNFYSELSPSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTIDLFIIRNLGLALRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVIPRTYSKYTSRRVLTTEWIDGEKLSQSKESNVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYTAIVKDFVKLGFIPDGVNLEPILPVLAKVFDQALEGGGAKNINFQDLASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEDLKGDMAELGIVNNRSEYLLSGFQSVIPQQQPVETRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVKIMSLLGVQNAIPIFNMVPTIGRFKPAALIPTITEEDKIILNNVQKVLEFLTAGSSLSSISSQSTSSQALNVPQIIQELLPVLPGISTKVLPDVFSRLSSRVFARLIRDAFL >CAK8561603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:317164750:317166416:1 gene:gene-LATHSAT_LOCUS15180 transcript:rna-LATHSAT_LOCUS15180 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVRYEQHVAYRIWFGEERGSKKELKVAGHGVKLTQRVPLQLPREMESWISRPGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLPLPIRGVFWNPPDISEALAVEWVVHYLGVSHRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWAAAALVTLYRYLGDASMFSCKQLGGYSTHLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGTLKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDIIVPYMPDKCLRQFGYRQYVPHPPLDSRTAGDIDVDWISYHESVQNVIRPTAPATTPYETDDGYLEWYYRVSHPHLVSLSVDATTEMPVPVYEAGPSDPIMARMSSLIHRYLQQTGAEEDDPQFADLFEALHLGLSQ >CAK8541269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:100396159:100398696:1 gene:gene-LATHSAT_LOCUS10201 transcript:rna-LATHSAT_LOCUS10201 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAVVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSIDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8573907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646126257:646127699:-1 gene:gene-LATHSAT_LOCUS26302 transcript:rna-LATHSAT_LOCUS26302 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQDQNTKQEIHVLLVAFSAQGHINPLLRLGKSLLTKGLKVTLATTELVYHRVFKSTTTPTTTTVPTSYTTNGINVIFFSDGFDIAEGHVSVDEYMNLIAKFGPISLTNLIKNNFLNNSSKKLACIINNPFVPWVTNVAYELNIPCACLWIQPCTLYSIYYRFYNHLNHFPTLENPKTDVEIPGLPILKPQDLPSFVLPTNDIKALSNVLQEMFQNMKKLKWVLANSFYELEKDVIDSMAEIFPITTVGPLVPPSLLGQDRIDDDVGIEMWKPKDSCMEWLNQKPPSSVIYISFGSLIFLKEKQMLSIAKALKNTNKYFLWVMKDKEGDEVRLPEKFVEETKEKGMIVTWCPQTRVLVHPSIACFLTHCGWNSTLEAIASGVPMIGYPQWSDQPTNAKLVSDVFRTGIRLKPDSDGFVESEEVERAIEEIVGGEKFEEVKKNVLEMKSAAREALIDGGSSDRNIQSFVDEILVCVENNN >CAK8570211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29139222:29142086:1 gene:gene-LATHSAT_LOCUS22984 transcript:rna-LATHSAT_LOCUS22984 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQIIGAPNMDALVKKPDVLSFSIACKIPVSESTRQELLDIDGISYRLRREIELLESIDLIQCKICQIIIAKRSDVLVMSSEGPVGAYVNSTGYVHEITTLFKAKGLALKGPAFTKYCWFPGYAWTIANCATCETHMGWLFTATKRNLKPKSFWGIRNCQVAEEMR >CAK8570212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29139252:29142086:1 gene:gene-LATHSAT_LOCUS22984 transcript:rna-LATHSAT_LOCUS22984-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVKKPDVLSFSIACKIPVSESTRQELLDIDGISYRLRREIELLESIDLIQCKICQIIIAKRSDVLVMSSEGPVGAYVNSTGYVHEITTLFKAKGLALKGPAFTKYCWFPGYAWTIANCATCETHMGWLFTATKRNLKPKSFWGIRNCQVAEEMR >CAK8538330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477652715:477653173:1 gene:gene-LATHSAT_LOCUS7542 transcript:rna-LATHSAT_LOCUS7542 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVNGSPTKDFKVCIILRQGDPLSPFLFAILVEDLIVMLEKPVTIGAFKGFHVNYQRSYILMRFVDGTILLGEGSWPNLWTIKSILIGFEMASGLRLNLGKSKLYGIGLNDQFFLVSTLFQYCCIDKIPFRFLGILCDLAVNVMQVGDLW >CAK8575419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:115482480:115482686:1 gene:gene-LATHSAT_LOCUS27688 transcript:rna-LATHSAT_LOCUS27688 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRTPLSPQRMSSGAIHGMSTGNPEACLAGPQLSSQTLGFVGSITNSSMDMQGVNKNNSANNNNNGQ >CAK8577855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594384211:594384849:1 gene:gene-LATHSAT_LOCUS29924 transcript:rna-LATHSAT_LOCUS29924 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPKSHVFIFLLVILFTLSLTGTAPEESPLLEILRNHGLPAGLFPQSVKSFQLDQMGRLEVHLDRPCLAQYETTVFFDTVVKANLSFGQLKVFEGMSREELFLWLPVKDIIVTDQSSGLILIDIGFALKRLSFSRFEEPPICRSHQGLSFGLGERKGKGWLKYFQNWGFSI >CAK8569950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17282416:17284670:1 gene:gene-LATHSAT_LOCUS22746 transcript:rna-LATHSAT_LOCUS22746 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPNEVEGVTVPESTIELLNRTLYDIEQLEPQLPQFLSHSDPDYLSQLPLLRRAQSLISLAKLTSTLFSLKLKCRGINPNDHPFKSELDRVSVCQNRLERLPNFSEAEWQDMVEENLNYHEQTGQKRKYPSSEEQPDQYDSKEFLEKSTGELLDGGNSGSSIKEAITVDLSDDDDDDDEVIAP >CAK8579213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684047031:684048401:-1 gene:gene-LATHSAT_LOCUS31177 transcript:rna-LATHSAT_LOCUS31177 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFAGSLLQSQPRSDSNIITIDVGGQMFQTTKQTLTSAGPKTFFSRISQTCSPFTPFIDRDPEIFSLFLSLLRTGNLPSKAKSFDLHDLIVESRFYGIENLLVNSFSNPSQLEPFNLQKSLHLPLNGRDSPSVIATTPYGSLHVSHGSKITTFDWSLRRKSTILTHFTAVDSLLALSPSLAAAGANDFSGLQILDLEKGYVKETLNWENVTKSGSTVQAIGSSPENMFVSFESSRRNSNSIVVYDLNSLRPVTEIGHNEIYGADIDSAIPATKLQWIESQNLLMASGSHSGPSGVSGNIRLWDVRSGDIVWEISEKVDCFADVTVSDSLSAMFKVGVNSGEVSYLDLKNLGSEKNSWVCLGDKRKVLNGKKEGFGCKIETQGNQVFCSKGGDVELWSEIIMGSSNDRIFKKNLMGRGQDIGGAKITNLAFGGSRMFLTRKDLQCVEVWQSSSREL >CAK8543430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607626101:607629461:-1 gene:gene-LATHSAT_LOCUS12187 transcript:rna-LATHSAT_LOCUS12187 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEDVEGGKQRGIREPLIGENNNQLLHETKDHHPWMVYFTTFIAVCGSYEFGACVGYSSPTQEAITKDLNLSLEEYSLFGSILTFGAMIGAITSGPIADFVGRKGAMRVSSAFCIVGWLVIYFSKGPVPLDIGRLATGYGMGVFSYVVPVFIAEIAPKERRGVLTTLNQFMIVIGGSSSFIFGTILSWRTLSIIGLIPTAVLLLGLFFIPESPRWLAKRGHTKDFVAALQILRGKDADISQEAKEIQDYITSLEQLAKPKVLDLFQKRYLRSLTIGVGLMVCQQFGGINGVGFYASSIFDLAGFPSATGSILFATLQIVITGVGAALIDKAGRKPLLLASGSGLVTGSIFTAVAFYLKAHDVGVGAVPALALAGLLVYIGSFSIGMGAVPWVLMSEIFPVNIKGQAGSIATLVNWFGAWLCSYTFNFLMSWSTYGTFILYAAINALAILFIVVVVPETKGKSLEQLQAAINA >CAK8538083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461847458:461848147:1 gene:gene-LATHSAT_LOCUS7319 transcript:rna-LATHSAT_LOCUS7319 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSKAEAEQLLEIGEELLQKRDLKGSREMAVLAQETDPLLEGSDQIIAIVDVLEAAEKPLTLNNNNLNWYAILQIDRNSSPDLNLIRRKYRTLALLLHPDKNRFSFAELAFKLVSDAWAVLSDPAQKAQYDKGFELEDNGLENGTVNFWTVCPYCYRLYEYSRVYEGCCLMCQNCNKSFHGVSIQDLPPIVPGEEAYYVSWGIFPMGFVFESLENGECGGDSATPKP >CAK8535762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880306359:880307672:-1 gene:gene-LATHSAT_LOCUS5187 transcript:rna-LATHSAT_LOCUS5187 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGRGRPPKKSVPPPPKSPVVSTPVAHSDSRSHNVSNRFINAEIAEIENLGETSEARDEEIVDEVSKEVELEKPNQAEIHEEGRKLWVDVLKDNRNPAKGRVMKFIAPQVVDGKIEVLIEEDDVRSEVKFCESSLILYAMGADLSMTAVKNFMTRSWNFVQLPDMYFNDEGYFILWFKSFKDRDEVLLRGLYMIRNIPLLIREWRPGFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSSLGNPIMTDECTANRLRVSYARILVEMDITKELPQTITIADHEGEKIQQAIEYEWRPLFCNKCQKVGHSCEKPKVRKQWIPKHVKQPETNVESKKVEADHKGPNEVGNVNKVIAQARKNNVSTSAETLSPVVENIRNKDVVAGVEKWTEVIKSGKDRGKKPINDSSVGSVSCDNGFDALEILKDLIESQNTGQ >CAK8574748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8533059:8533880:1 gene:gene-LATHSAT_LOCUS27062 transcript:rna-LATHSAT_LOCUS27062 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKPSFRFRIPWLRGTQSFSRNPKDASKSSTQSDINVLFQRSSNRPSVITPAESPPGPTKTQEATRTEPQNQSPPHPTPLSTSVVVETTHSKPLSPSKSPNHVNSPPPYSSAASQFHVFSTPPQSPSQYDTMKVPKSMPSSTEENTHPTSSVSEPMPHEAEAEPEMKVKVSSPLKEFTKSPEASYQQAIGFEQQASSTPSSPEVSKIEPASQHHPLSPLASEQKNEELKANHEENTLCTSSTFEGEKDKMTMSVSDSVRGQMMQNQRRGHH >CAK8563764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629954067:629954335:1 gene:gene-LATHSAT_LOCUS17141 transcript:rna-LATHSAT_LOCUS17141 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGEIASDAE >CAK8569815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12592364:12594982:-1 gene:gene-LATHSAT_LOCUS22624 transcript:rna-LATHSAT_LOCUS22624 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYIGHYPLLSYFSIAENESIGRQRYGYMQKMLLPCGLPPEREED >CAK8534108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:695924470:695927571:1 gene:gene-LATHSAT_LOCUS3678 transcript:rna-LATHSAT_LOCUS3678 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKIKDPFAYKLVHIICFFLTILPQISNCQPQQPLPAHNYSVCREQFYNCNNNDLSNISYPFWGNRRPSYCGGGELFKLNCFIDEKKNGTTTILLGSQNFTVRSIDLKTYTMKLSRTDLSNGACSPQFDNNYLSPTIFQFPTRTHNISIFYHCSSDVLSFANYQSLCGSQNSAICFDDAKGKILEQTPELKGCGRHIRVQADFAFVPSTNFGVIGSDDLQQILDEGFQVKYNVNEECIKCLGSEGYCWNNTSGLDNYQSCYYIYTPDGEHDSSNTHSVLKGNNLWSWHWKMKIILGSAGAALVGLPICIIIFCFKYKSRKNDREIEAFLKTHDALSVRRYKFSHIKKMTNNFKVKLGEGGYGSVYNGKLLNGCPVAVKILKTSKGTGEEFMNEVSSITKTSHVNVVTLLGFCFEGRKKALIYELMSNGSLDKFIYNKGSETIPSLSWEVLFQIAKGIARGLEYLHRGCSTRILHFDIKPHNILLDDNFCPKISDFGLARLCLKKESIISMSDARGTMGYVAPELWNRNFGGVSHKSDVYSFGMMLMEMVGGRKNINADASQTSEIYFPHWVYKRFDLENEFRPGDVITAEEDEIAKRMTIVGLWCIQTFPNDRPTMSRVIEMLEGNVNSLEIPPKPILSSPTRSLPESSS >CAK8536912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:38848570:38852429:1 gene:gene-LATHSAT_LOCUS6237 transcript:rna-LATHSAT_LOCUS6237 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTASNSALQVSVSFGRFENDSLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKAELLAQEKEVQKDSFRSEEQNGIDLSSNGNGSVNACETDSEFSTTKEHVKQEIEISPVGEIGRSCVDDLKEEVAVSIEYQSSPVEVVENKEEESGSHGSYKIDEPKEDVCIKLEESLDIEAEDVKEISHVVYKEPEKATQVEEKVVKLDNPNESKVISLNRESNVAKTKKKPVQPKSKASQLSTPRSSKPTPNPTKTSTSSSSTKRGNLPSLPKRQTTSSVENKKVANRSLHMSMSLGPSNPDPVPHTAMRKSLNMESMGDKDIVKPVPHQTTMRKSLIMESMGDKDIVKRAFKTFQNGFNQLKTSSEVDRSLVKIQGPLRGTVSKVSTSTTLRKENGRPTTAERMTKRSGNPARTLGPKRDTKSEKGKESSRKIEEKPNANEVQRTCLQTKLKEEKEAEMKKLKHDFKATPLPTFNRGQKASKSRIEKGDAKTENRR >CAK8531155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85337888:85339338:1 gene:gene-LATHSAT_LOCUS965 transcript:rna-LATHSAT_LOCUS965 gene_biotype:protein_coding transcript_biotype:protein_coding MRYISDCVPVKLRLQASAAFVSASALVMACGPTIAYLLQTEFRIYKLTMNQDTLPGWVMAVAWLVYLLWLCTCFKEPENLWAYESETGEQTLHITVENSPTQPILTNSEAKEKDVDIDEEKDDDDEEAYDKTESQKPVTSIALVYKLLTPSVKVQLFVYFMLKYVMEILLAESSLVTEYYFVWSTTKVAVFLACLGLTVLLVNIIIGSYISNIFEERQVLLTSEIMVCIALLLSFETLIPYLVTLYVGSALITFVSAEVLEGDNLSLLSNMMSLRPSRGTFNGGLLSTEAGTLARVITDGTITIVGYFSESKLLNATLLPALLICISSIADTCCTYNSLY >CAK8541057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:51943749:51946869:1 gene:gene-LATHSAT_LOCUS10008 transcript:rna-LATHSAT_LOCUS10008 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFNPPTAFRFLKPPFSSFPSQSSSLSHSLPQSHCTFKFPTTRCRYSSLEVKDVSYQPPGTQLNLLNSVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGISKPTSGSIYIQKYGDDGNPSQSPEPLVPERVGIVFQFPERYFVADTVLDEVTFGWPRQKGNDQLREKLALGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTVLVVSHDLREFASLVDQSWRMEMGGNLRQELLPL >CAK8575152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41196891:41199609:1 gene:gene-LATHSAT_LOCUS27438 transcript:rna-LATHSAT_LOCUS27438 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGRMFLLMLLQCWIAMAGAEHFKYKDPKQPLNTRIKDLVDRMTLEEKIGQMVQIERKVASADVIKKYYVGSVLSGGGSVPRVNATAKDWVDMVNEFQKGALSTRLGIPMIYGVDAVHGHNNVYNATIFPHNVGLGVTRDPRLLKRIGEATALETRATGIPYAFSPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGDVPPNFPKGFPYVGGNKKVAACAKHFVGDGGTTKGINENNTVATRDELLSIHMPAYYNSISKGVSTVMVSYSSWNGRKMHANHDLITRFLKNKLRFQGFVISDWEGIDRITSPPHANYTYSIEASINAGIDMVMTSDNYTEYLDGLKLLVQKNVVPMSRIDDAVKRVLRVKFVMGLFENPLADYSLANQIGSQEHRELAREAVRKSLVLLKNGKTAHKPLLPLSKKAPKILVAGSHADNLGYQCGGWTMEWQGLSGNNITSGTTILNAIKNTVDKGTEVVYQENPSLDYVKSNNFAYAIVVVGETPYAETKGDSLNLTISGKGGETINNVCGGVKCVVVLITGRPVVMLPYVDIVEGLVAAWLPGSEGNGVTDVLFGDYGFSGKLARTWFKSVDQLPMNVGDSHYDPLFPFGFGLTTKGLKSS >CAK8539805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525771145:525772730:1 gene:gene-LATHSAT_LOCUS8866 transcript:rna-LATHSAT_LOCUS8866-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDELPGAFGTSASLSLRLGQTVFSSASLCFMCLDVGFYSFTSFSFLVTVMGLAIPWSITLFLVDAYSVFVQCLPIQRRLIMIIVFGDMVMSYLSLAAACSAASVTDLLREAGRSHCPAKLCGRYQLSAAMAFLSWFLSLASCLFNFWILPSL >CAK8539804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525771130:525772730:1 gene:gene-LATHSAT_LOCUS8866 transcript:rna-LATHSAT_LOCUS8866 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYAMMDELPGAFGTSASLSLRLGQTVFSSASLCFMCLDVGFYSFTSFSFLVTVMGLAIPWSITLFLVDAYSVFVQCLPIQRRLIMIIVFGDMVMSYLSLAAACSAASVTDLLREAGRSHCPAKLCGRYQLSAAMAFLSWFLSLASCLFNFWILPSL >CAK8542522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522731154:522734985:1 gene:gene-LATHSAT_LOCUS11357 transcript:rna-LATHSAT_LOCUS11357 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLTPIANSFSFSSIICKCKASIDTNSHALDAGYIRRAAHLADKSAGFTSPHPNFGCVIASPSGDVAGEGYLYAQGTSPAEVQAVTSAGERCRGATAYLNMEPGDCDGDSTAVSALLQGGVKRVVIGMRHPLQHFRGNAVRALRSQGLQVDLLGEDLNSKLIEDAQKECLLVNAPLICRASSRVPFSVLKYAMTLDGKIAASTGHAWWISSKKSRNLVFELRARSDAIIVGGNTVRRDNPRLTARHGGGHMPMRIVMSQSLDLPDEANLWDMTEVSTIVVTQRGARRSFQKLLASKGVEVVEFDILNPRDVMEYFHDRGYLSVFWECGGTLAASAISSGVIHKVYAFVAPKIIGGKNAPSPVGDLGMVEMSQAINLIDVCYEQVGPDMLVSGFLQPIPDMAPPIPSPEETFVVDPTVSPYESSIIFFYKTWDPYGALSNFSPHPIEMPDENGDNVTWLSVEHYYQAHKFVGVDDTAARDCMEMIKSSKSPEEAARIGRSMQKQRPDLIRPDWDNMKIDVMYSALKCKFSTYPRLSSMLVSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMKLRSEFIEESSSSSSESPSLAV >CAK8571387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395784896:395788636:-1 gene:gene-LATHSAT_LOCUS24054 transcript:rna-LATHSAT_LOCUS24054 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASGTLSLSQFSIHRPLSFPKNKPPTTRICIRAMSGENSSSSVTTQQEPSPVSVSPPPDFKPPEPKRFIIRPDKRIEILGASLSLFFRLATGVFASGYSFAFVSQDEVPLDEYAFRLSGITVKETSKLGARPEKPIEIYEFESCPFCRKVREIASVLDLDVLFYPCPRNGPNFRPKVVQMGGKQQFPYMVDPNTGVSMYESDEIIRYLVGTYGDGNIPLTLSLGFLTSLTCGLAMLSRITKGTSYTPAKLPPKPLKLWAYEGSPFCKVVREVLVELELPHLLINCARGSPKRNILYQKKGHFQVPFLEDPNTGIEMFESAEIIEYIRATYTL >CAK8579329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692040800:692044518:1 gene:gene-LATHSAT_LOCUS31282 transcript:rna-LATHSAT_LOCUS31282 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTQLVFVLSLFFLPFALAGHDYGQALSKSIMFFEAQRSGYLPHNQRVSWRSHSGLQDGKVSGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMASSGELGHAMEAVKWGTDYFIKAHPEPNVLYGEVGDGNTDHKCWQRPEDMTTDRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSHELLSHAYELFDFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNQYYLDYLGRNGDAMGGTGWQMTEFSWDVKYPGVQTLVAKFLLQGKAGIHTPVFERYLEKSEYFMCSCMGRGTHNVQKTPGGLIYRQRWNNLQFATSSSFLATVYSDYLASSARDLKCASGYVAPSQLLSFAKSQVDYILGDNPRATSYMVGYGNNFPQRVHHRGSSIVSIKANPSFVSCMGGYDTWFNSKRSNANILTGAIVGGPDAYDDFADERKNYEQTEPATYNNAPLIGVLARLGGGHGGYNQLLPVVVTAPKPVVTRQHSFTKPKITPSPASWSGPISVEQKMTHSWVANGITYYRYSTTVTNKSNKNLKSLNLSISKLYGPLWGLTKSGDSYTFPAWINSLSAGKSLEFVYIHSTSPADVSVANYLLA >CAK8560927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58438925:58439437:-1 gene:gene-LATHSAT_LOCUS14564 transcript:rna-LATHSAT_LOCUS14564 gene_biotype:protein_coding transcript_biotype:protein_coding MADEASKSSSHNVIQFDRERFCFMVAESINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIATCASIRQNHNMHIPDVFKVLSVFKVYSQSFFGLPHRQNWPTYEGFTLCHDEIMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVADPSNRPR >CAK8567731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539635536:539635799:1 gene:gene-LATHSAT_LOCUS20754 transcript:rna-LATHSAT_LOCUS20754 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASSPKDLALNEAEAPVDVPTVPENVNQGETLGAQEKQEVAGEAVEAELEVAEAATETPEAIKEDVEVEEPKAEKKSDEPLVTL >CAK8535541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860484981:860485496:1 gene:gene-LATHSAT_LOCUS4993 transcript:rna-LATHSAT_LOCUS4993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESASTTPKSPSKARKSPKKA >CAK8536850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28185520:28186080:1 gene:gene-LATHSAT_LOCUS6177 transcript:rna-LATHSAT_LOCUS6177 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLSNIESVVERSSLPSPILTFHSTAKWKAHFEASKKTNKLMVIDFTAAWCGPCKYMNSVIKEFAAKFKDVEFIKIDVDELMEVANAFQVQTMPTFILIKNGKVVEKVVGAKKEELQKMIEKRRRSLSV >CAK8561879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:402972131:402972388:1 gene:gene-LATHSAT_LOCUS15430 transcript:rna-LATHSAT_LOCUS15430 gene_biotype:protein_coding transcript_biotype:protein_coding MENEQVASKEMKVEIPSKSEVMSSSVGGSNVGQGGLMRQPSMTKTSCLCSPTTHPGSFRCRLHRTPSLQRTKSMEPGSVSDQDQH >CAK8565517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:254056024:254056437:-1 gene:gene-LATHSAT_LOCUS18726 transcript:rna-LATHSAT_LOCUS18726 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHKLFVLVALVIISFTSSTSIVESRKLSNASDLPSLDARLKVNGTEPSNCWESLFKLQACSGEIITFFINGETYLGNDCCKAIRVIGHDCWPKVVSSLGFTNLETYTLEGYCDDVENVHSHTSTLVEHEENIVP >CAK8565073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33581076:33581683:-1 gene:gene-LATHSAT_LOCUS18313 transcript:rna-LATHSAT_LOCUS18313 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTPSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSATMCKSSIIPPPIKTTITLPPIQTKITPMPIETTITHLPIQTTKDVVIEDEDEDVVVGDETEDDVVGDEVEDVVVGDEAEDVVVEDVTEAVVKVAKSVYWDVNVISK >CAK8561252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:129062421:129070190:-1 gene:gene-LATHSAT_LOCUS14861 transcript:rna-LATHSAT_LOCUS14861 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSLPPVKLTDSGRPVLLPNEIECFFLSGVDLLSEDEPNTWFPHLKSGLLILTTHRLLWLPDAAVTASSSSVAVPLASISHIFPHKKSLKSVFASPRVRFQVSPSPERGVSASGSRSVVVTVVVRGKGDCDAFVSKFWENWRGRAWEESESATSSSSNAEVRAASTSSSGIYSSDGTVKMVGVSGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLTGSNSQMSTTNDEEMGSKEEMQELLLSVGIISPVTKESAGALYHQQLSRQLADFVKVPLERAGGIINLIDIYCLFNRARGTELISPDDLLQACSLWEKFDVPIVLRKFESGVMVIQNKSHSDEEVFTKIKMLVMKPDALRAGISPSEAAMTLGVAPAMAKEHLLSAESKGILCRDVSPDGFRFYINLFPEIDRGDMYLVKDQGIYPSWVRAIPAHG >CAK8562919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557113089:557113782:-1 gene:gene-LATHSAT_LOCUS16380 transcript:rna-LATHSAT_LOCUS16380 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRIRASPSSLDSLEGSPPSKILKDDSFSIYEATLMKLKLGAKRDKPPIQTKEKEFTNDFTVIDEGNMDVDCTPATAVAEASSSIGDNDDAATLMDTDCGSCVATMTSPAIITGVSDSVSTENNNEQPRQSNVSIRHFYSLLTKDSCCGSASSSGSVVSNGDTSSGLCE >CAK8535088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813322015:813323744:1 gene:gene-LATHSAT_LOCUS4567 transcript:rna-LATHSAT_LOCUS4567-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVILSTLPAIAYVGIAYGLVHWTGLYLKGGPIAASISLWISIILLGSYVMYAKKFKNTWRGLSMQSFHYLITTIKLAFPSAAMLCLEYWAMEVLVFLAGLISDSEITTLIAICTNTQMVAYMINYGLSASASTRVSNELGAGQPERAKHAMRITLKLSLFLGLCFVLLLVFGHGIWIQLFSSSLTIEKEFASIAPLLAISILLDSIQGVLSGVVRACGLQHSAVYVNLASFYFIGLPISCLLGFKTNLQFKGLWIGMICGLVCQTGALLLLTRHAKWTKLSLSEDKDTDQPIVV >CAK8535087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813321910:813323744:1 gene:gene-LATHSAT_LOCUS4567 transcript:rna-LATHSAT_LOCUS4567 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAALYMKFLIPGLFAFSILQNILRFLQTQSIVMPLVILSTLPAIAYVGIAYGLVHWTGLYLKGGPIAASISLWISIILLGSYVMYAKKFKNTWRGLSMQSFHYLITTIKLAFPSAAMLCLEYWAMEVLVFLAGLISDSEITTLIAICTNTQMVAYMINYGLSASASTRVSNELGAGQPERAKHAMRITLKLSLFLGLCFVLLLVFGHGIWIQLFSSSLTIEKEFASIAPLLAISILLDSIQGVLSGVVRACGLQHSAVYVNLASFYFIGLPISCLLGFKTNLQFKGLWIGMICGLVCQTGALLLLTRHAKWTKLSLSEDKDTDQPIVV >CAK8569861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13873112:13875639:1 gene:gene-LATHSAT_LOCUS22668 transcript:rna-LATHSAT_LOCUS22668 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTISSVSLVSTIFTLLALIGGYLYRPFWRLRKVPGPPSLPLVGHLPLLAKYGPDVFSILAKQYGPIYRFHMGRQPLIIIADAELCKEVGIKKFKNLSNRSIPSPISASPLHQKGLFFTRDSQWSTMRNTILSVYQPSHLANLVPKMQSVIESATQNLDTQKEDIIFSNLSLRLATDIIGDAAFGVNFGLSKPQSICESMSNVNNVEHSSASNDEVSNFINQHIYSTTQLKMDLSGSFSIIIGLIAPILQEPFRQILKRIPGTMDWKMECTNRNLTGRLDEIVKKRMEDKKRRTSKNFLSLILNARESKSVSENVFSFDYISAATYEHLLAGSATTSFTLSSIVYLVAGHINVERKLLQEIDEFGTHDRIPNAKDLNESFPYLDQVIKEAMRIYTVSPLVARETSNEVEIGGYLLPKGTWVWLALGVLAKDSRNFAEPEKFKPERFDPKCEEMKRRHPYAFIPFGIGPRACIGQKFSLQEIKLTLIHLYRKYVFRHSLSMEKPIELEYGLVLNFKHGVKLRVIKRA >CAK8563973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642919196:642919666:1 gene:gene-LATHSAT_LOCUS17325 transcript:rna-LATHSAT_LOCUS17325 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGTESQSQTQTSTTTVIKTVNHVEVECVKCDSCSFTEECTPAYISKVRQRYQGRWLCGLCVEAVKDEVVRSERLITIEEALNRHISFCKEFRLSSAKTEHPIFVMSRILRRSLDSPRRSPPLRSNSAGVLPPVDRVRSSSLIRSESCFSSISG >CAK8542493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520398965:520406723:1 gene:gene-LATHSAT_LOCUS11332 transcript:rna-LATHSAT_LOCUS11332 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLRSLLRPSRPSSLFYLPRVLTSHPPPPLPSPLTPHPPTLASLDAFRTRAFSTRSFNDPGIDSPVHSEILKAVADSAGAGGEDNSAFPIRAVISILDSYHDLTGFPWWITIASSTLALRIVMLFPLVFTLHKLKRIAEFAPKLPPPFPPPFSGKSYMRQMSFFEEKRKATGCPSYAWPLVPFMVQIPCFFLWMISIRRMSLDGHPGFDCGGALWFQNLTEFSHGYSGFTFPFLMASLHYIIVQVSFKKPLVEETKDIFGLLSTYYKRYLNFLTVPIAFIGFCIPQGSQLYWITNSSLTLVQHFALRNPTILAKLGLLDKDRQKAASEEIGASETPRLQDNSTIAATKENVSPVKNPLDSPEKWQKIPIENMSPVELTTLAVPFLSSNDKESAIPLLKLALDKDPEYLRALVLMGRVLLLKQINDEAVEYFERAISKLSLAGLPTDPEEVDFLILSSQWAGIACERQGKKDEGRVHFERVANMDEPEDPTSKGYYFDGLLLLASTLYDAGQKAEAAKYLRLVVAYNPGYRKFLEQCEQHEDLASDLARSRREF >CAK8577109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537444364:537445455:-1 gene:gene-LATHSAT_LOCUS29242 transcript:rna-LATHSAT_LOCUS29242 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKNHSIALLVLSLGLLALEVSCRSLGDKSIYERHQEWMSQYGKVYKDPQEMEKRLKIFTENVNYIEASNNAKSYKLGINEFADLTNEEFTTSRNKFKGHMCSSITRTTSFKYENASVISSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLTTEAQYPYQGVDGTCSANQASTQAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYDVSSDGTKYWLVKNSWGADWGEQGYIRMQRGIDAVEGLCGIAMQASYPTV >CAK8538481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484437591:484438358:-1 gene:gene-LATHSAT_LOCUS7672 transcript:rna-LATHSAT_LOCUS7672 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKKFFFNLPLQDEEASSNMANRNDDELELEIEPSGISNVLEKCNDNQISLINEAYHLGCGVPKNLLGQSCDLLAISTPMGNSVLHIAAWNGNDDIVTLLIERAPKLLITVNKNEDGVLHAAARGGKFSTVKKLLEGYKNIRMQEYKSTLLNRDIDHIEKCSAFDLLEFVKLKNDQGNTMFHELMLCDKIKNGGDMIFKVCELFKTEGLPNSINEYAMKITNNANKTALYLAVENENSDAVDLILDKSRNRNA >CAK8562923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557176558:557177627:-1 gene:gene-LATHSAT_LOCUS16384 transcript:rna-LATHSAT_LOCUS16384 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLLPTTSPLSLSLPPSSSSTRRDNNNNIHRVSFLPIAYPKLSHSISTTLFFAKSRPHNVEIVVDEDDPGDEFITRFRREVFRVGVFQECRRRRFFETPIDKIKRKRRQAAMRKRRWRNVRTRITDESDSSNQEKVDDDDEEDNWDLSDVDFPFI >CAK8578635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642812071:642820533:1 gene:gene-LATHSAT_LOCUS30628 transcript:rna-LATHSAT_LOCUS30628 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGIDYYDDDYEDYDDYDYDVEAENYGAGEGPDAKQETIRPGVWQCPICTYDNDDSMTSCDICGVVRHPLVNNGTSNINKTVGDINKTPGASKLARSIFQSLPHQSPKEVVLFPTQGVGFWTDSSNMYKLENVQGEFHEIHKTFNTQSHPSLNIDPFKFDVPSPDDVVHTGLHSSKVGLKDKVKNAKDSQFSQNSREKSELAVEFNTENADNSSSLMQKSKQGTAAQNKFSKNVEIDLETIGETLNSLSAFLPKDKGDNAKKINSSKNGTNGIQSSKEYSSRLSALPKVEESDKLSLSFNKDGNSESASSSFNRTILDVKSGNFDKTTSKAPHQQVSYTPEKWMLPQHSEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKNEKEAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVVLDSPGHKDFIPNMISGATQADAAILVIDASLGAFEAGMDGSKGQTKEHAQLIRSFGVDHVIVAVNKMDAVAYSKDRFDFIRHQLGIFLRSCGFKDSSLLWIPLSAMENQNLVAPPSDARFKNWYTGSYLLDAVDSLQPPTREFAKPLLMPICDAIKSTAQGQVSACGKLEAGALRSGTKVLVMPSDVVGTVRTLERDSNACSVARAGDNVAVTLHGVDGSHVLAGGVLCHPDFPVTVAKHLELKLLVLDGASPILVGAQLEFHIHHAKEPARVSRILSILDPKTGKVTKKSPRCLTSKQSAVIEVILNESVCVVEFSRCKALGRVSLRSLGRTIAVGLVTRIIEEQD >CAK8543727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635067337:635067648:-1 gene:gene-LATHSAT_LOCUS12467 transcript:rna-LATHSAT_LOCUS12467 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8544819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707201502:707203491:1 gene:gene-LATHSAT_LOCUS13467 transcript:rna-LATHSAT_LOCUS13467 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTVDLRSDTVTKPTETMRVAMANAEVDDDVLGRDPSCFRLEKEMARITGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGIATIGGVHPRTVRNNEDGTMDIDLIEAAVRDPKGELVYPTTRLICLENSHGNTGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSNSFITKARRLRKTLGGGMRQVGILCAAALVALQENVEKLESDHKNARFLADGLNEIKGLRVNTSSVKTNIVYIEIEESLQTTAAKLSKDLEEYGILLMPISSSRLRVVFHHQISDSDVKFVLSRFQHVVGGGPNENGN >CAK8570346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38439689:38441102:1 gene:gene-LATHSAT_LOCUS23100 transcript:rna-LATHSAT_LOCUS23100 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDKLSDYFSVSLSPTRGKKRKPMQTVEIKVKMDCDGCERRVRNSVAYMKGVKEVEVNRKQSKVTVSGYIDRNRVLKKILNTGKRAEFWPYVPYNLVAYPYISQAYDKKAPAGYVKNSMQALSSPNAMDEKLTNLFNDENPNACSIM >CAK8568457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607204335:607204808:-1 gene:gene-LATHSAT_LOCUS21413 transcript:rna-LATHSAT_LOCUS21413 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLMGLDSPLFNTLHHIMNLTDDATDKNLNAPTRTYVRDAQAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDENVLLISGERKREEEKEGGKYLKMERRVGKFMRKFVLPENANVEGISAICQDGVLTVTVNKLPPPEPKKPKTIEVKIA >CAK8563186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581385720:581389053:1 gene:gene-LATHSAT_LOCUS16620 transcript:rna-LATHSAT_LOCUS16620 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENFTHKTNEALAAAHELASTSGHAQITPLHLSSVLISDTTGIFFQAISNVGGEESARAVERVIKQALKKLPSQSPPPDEVPGSTSFIKVIRRAQAAQKSRGDTHLAVDQLILGILEDSQIGDLFKEAGVAVSRVKSEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKSVLKEVEEAEGKVILFIDEIHLVLGAGRAEGSMDAANLFKPMLARGQLRCIGATTLDEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKERYEGHHGVRIQDRAIVVAAQLSSRYITGRHLPDKAIDLIDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLLDVRRELDDLRDKLQPLKMKYIKEKERIDEIRRLKQKREELLIALQEAERRYDLARVADLRYGAIEEVETAIKNLEGSTDGNTDEDLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKLRLVGLGDRLHTRIVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRIDMSEYMEQHTVSRLIGAPPGYIGHEEGGQLTEAVRRRPYSVILFDEVEKAHTSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLSGKCTMQAAHDRVMQEVKRHFRPELLNRLDEVVVFDPLSHEQLKKVARLQMKDVASRLAEKGIALAVTDAALDLILAESYDPVYGARPIRRWLEKKVVTELSKMLIREEIDENTTVYIDAGPKGSDLAYRVEKNGGTVNAETGVKSDILVQIPNGPKNGAVQAVKKMKIEEIDDDEMEE >CAK8572018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501796051:501799809:1 gene:gene-LATHSAT_LOCUS24627 transcript:rna-LATHSAT_LOCUS24627 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHSRRESYPSVSSSRIDFLQRRLDTVTEDDLEWPFEKLDGLNAEDVRETAYEIFFTSCRSTPGFGGRHALTFHSNHENNGGNGDGGKLNQVVTKPTSRVKRALGLRLIKRSPSKRMNSGGVSSLPSSPVGGAASPLSNTVPPFRPRRPMTAAEIMRQQMRVTEHDDNKLRKTLMRTVVGQVGKRAETIILPLELLRHLKPSEFSDSNEYHMWQKRQLKILEAGLLLNPSLPLENNNTFALRLRDIIDSGESKPIDIGKNSDTMRTLCNSVVSLSWRSNNGTPTDVCHWADGFPFNIHLYTALLHSIFDIRDETLVLDEVDELLELMKKTWSTLGITLPIHNICFTWVLFQQYIATGQIELDLLSASYVMLNEVANDAKREKESLYVKILSSVLNSMQGWAEKRLLNYHEYFQRGNASQIEHLVPVALLASKISGEDLTITDGEKGERRDITIVDSSGDRVDNYIRSSMKNAFEKVLETVNAKYNEYERNKELSELLLQLALETEVLVMKERQHFSPILRKWHPTSSAVAAMMLHTCYGQVLRQYVGEVTSLTSESVQVLQRAGKLEKLIVQIVVEDSNDCDDGGKTIVRQMAPFDVDSVMLSLLGKWIDDSLNKGKESLQRAKETETWNPKSKTELYAQSAYELMQLAATTVDEFFQVPIPITEDLVQDLVDGLENLFMDYMKFVAACGSKQSYIPLLPPLTRCNRDSKFIKMWRRASPCGAGFEDTYQINGANEGHNPRPSTSRGTQRLYVRLNTLHYLLSSIHSLEKSISTNPGVVPSNRLRFANNRRTQTSNASYFETINMSILASCQNVSEVAAYRLVFLDSSSVFYDRLYVGGVTRGHIRPVLRILKQNVILMSTILTDRAQPLAMKEVMKSSFDAFLMVLLAGGSTRVFHRYDHDALQEDFMNLKRVFSNNVEGLIGENVVDAEAAVVEGVIALMGQSTEQLIEDFSIVTCESSGIGVMGNGQKLPMPPTTCKWNRADPNTILRVLCYRNDSSANQFLKRTFQLAKRS >CAK8561487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241742981:241743244:-1 gene:gene-LATHSAT_LOCUS15074 transcript:rna-LATHSAT_LOCUS15074 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQTDRKGRILINFLVNCPVGTMFVISVDASNYAKTGDKLAELLDTFVEKMGEKDVVQLITDNESNYVAAGKILTSNRPNKFWTP >CAK8539155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505650776:505651426:-1 gene:gene-LATHSAT_LOCUS8275 transcript:rna-LATHSAT_LOCUS8275 gene_biotype:protein_coding transcript_biotype:protein_coding MELVERCIVLLMMTMVAVQVSNAAVHKVGDSSGWTIIGSVDYKKWSATKNFQIGDTIVFEYNAQFHNVMRVTHAMYRSCNGSSPLTTFTTGKDSIKITNYGHHFFLCGVPGHCQAGQKVDINVLNVSAAAAATPTKSPSALASPVPVANTPAPSPNNASPLIVAKGAFGFVGLVMTVFSISFF >CAK8544455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685973212:685980232:-1 gene:gene-LATHSAT_LOCUS13131 transcript:rna-LATHSAT_LOCUS13131 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFQLYGNGSGSDLRAMGKRPEWDMNNWKWDGDLFIASRLSPVPEQRQFLPLPVGGGSGGGGGFSNSNSSSSCSEQLDLVISQGNKEGERKRRVIVVEDELSLNKEGGSLSLNLGGGGGHAQIATWEGNTGKKSRVAGGGSSSRAFCQVEDCRADLNNAKDYHRRHKVCEVHSKASKALVGNTMQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTNQEAVPNGSSPNDDQTSSYLLISLLKILSNMQPDRSNQATDQDMLTHLLRSLANQSGEQGGRNLSNLLREPENQLRKGSLFGKSEVVSTLFTDGSQGSPTVTRPNQTVSISEIQHQVMHAHDATVFDQQTTSSAKLGVSNSPPAYSEARDSTAGQPKMNDFDLNDIYIDSDDGIEDIERLPVAANLVTSSLDYPWMQQDSHQSSPPQSGNSDSASAQSPSSSTGEVQNRTDRIVFKLFGKEPSDFPLVLRAQILDWLSHSPTDIEGYIRPGCIVLTIYLRQTEAVWEELCFDLTSSLNRLQDVSDDEFWRTGWIHIRVQNQMAFIFNGQVVIDTSLPFSSNNYGKILSVSPIAVPASKAAQFSVKGVNLIRPATRLLCALEGNYLVCEDTHESMDQCSKDLDEVQCVQFSCSVPAMNGRGFIEIEDQGLSSSFFPFIVVEEDVCSEICVLEPLLESDTYPDAEGAGKIQAKNQALDFIHEMGWLLHRSQIKSRTVHLNSSADLFPLDRFKWLVEFSVDHDWCAVVKKLLNLLLDGTVSTGDHTSLYLALSELGLLHRSVRRNSRQLVELLLRFVPQNISDKIGPEDKMLVNGENQQFLFRPDVVGPAGLTPLHIVAGKDGSEDVLDALTNDPCMVGIEAWKSARDSTGSTPEDYARLRGHYTYIHLVQKKINNKRQGGAHVVVDIPSNPARFDTSKKKEESSSSFEIGNPEVKSIQKHCKLCDRKSSCRTAVGKSFVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYIFRPFRWESLEFGTS >CAK8542478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519133402:519135676:-1 gene:gene-LATHSAT_LOCUS11317 transcript:rna-LATHSAT_LOCUS11317 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTIKLLMLLAIAFLASVCVSSRSDQENPFIFKSNRFQTLYENENGHIRLLQKFDTRSKRFENLQNYRLLEYKSKPHTLFLPQYTDADFILVVLSGKAILTVLNSNDRNSFSLERGDTIKIPAGTIAYLANRDDNEDLRVLDLAIPVNKPGQLQPFLLSGTQNQPSLLSGFSKKVLEAAFNTNYEEIEKVLLEQQEQEPQHRRSLKDRRQEINEENVIVKVSREQIEELSKHAKSSSKKSVSSESEPFNLRSRNPIYSNKFGKFFEITPEKNQQLQDLDIFVNSVEIKEGSLLLPNYNSRAIVIVTVNEGKGDFELLGIRNENQREESDEEEEQEEETSKQVQRYRAKLSPGDVFVIPAGHPVAINASSNLNLIGFGINAENNQRNFLAGGEDNVISQIQRPVKELVFPGSSHEVDKLLKNQRQSYFANAQPLQRE >CAK8536201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916690053:916694384:1 gene:gene-LATHSAT_LOCUS5592 transcript:rna-LATHSAT_LOCUS5592 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPESSDSKSVKKDFSTAILERKKSPNRLVVDEAVNDDNSIVAMHPQTMETLGLFRGDTVLIKGKKRKDTICVSLADDTCEEAKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGLTGNLFDAFLKPYFLEAYRPVRKGELFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKAIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLSDDVDLERIGKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAISNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHSIFKSCLRKSPIAKDVDLRALARYTQGFSGADITEICQRACKYAIRENIEKDIEQERKRKENPEAMEEDLIDEEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSDTANRTTESDPFATTASGGAEEDDLYS >CAK8577985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600810181:600810570:1 gene:gene-LATHSAT_LOCUS30039 transcript:rna-LATHSAT_LOCUS30039 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIIATMSNMKVEGRHFLQTTTLSNPTIPSLQKPSLLDSRDLFVIPSLPKRSLQPFYTSTRSLPKHIMPPFPIFPSTDSSFTIPPVSSPAPTQVPTTPKPASSFFSFPFFSQTLSIFKP >CAK8567806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546613756:546629782:1 gene:gene-LATHSAT_LOCUS20828 transcript:rna-LATHSAT_LOCUS20828 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSSRLGGFSAGLAVILNGEDNKKKLPKTRLLSCCDDFGEQSVERTLEYVFGLPNRSLNSLDGPVDSIFIHSVIRNVFSKYNANIGDSSSERDMICRPDVVGLEESSICGDIKIIKPPFLIESLELFSSARVNTCVWKGKWMYEVLLETSGIQQLGWATLSCPFTDHKGVGDADDSYAYDGRRVSKWNKGAETYGQSWVVGDVIGCCIDLEQDEIIFYRNGNSLGTAFRGIQKMGPGFGYHPAISLSEGERCELNFGARPFKYPIEGYRPLQAPPSKSNSLTRLLRCWSRLLDMHSVERAEHSLAQKLRRVKRFVSLEEIFRPVSNAICEELFSILEENVGHTEYMVWGPVLSFMFEVFELHAPHDYSSLDKVVEVLLQFRGSHVLFENILNALSCGCKTTQLVLTECPYSGSYPYLALACHLLRREELMVLWWKSPDFEFLFEGLMSRKTPNKQDLDSMIPTVWWPGSCEDACSEGNMMLATTALSESISKIEEKHRDLCRLVIQFIPPMAPPQLPGAVFRTFLQNLLLKNRGAERNVPPPGVSSNSVLVSIYTVVLHFLSEGFALGDICGWLKSYKSDVGFLHRGGQQSFPIHLFLRNDPHRTDISRLGGSYTHLSKLHSVVDHEREVVQWDEGCMDNEEIRVTHSTKQKPCCCSSYDSEFARSSKVPAKYLAKGSRGHCSPIPERPAHVAAECSDGSLNSEISDKPSSSDQSEPEYGYRQVHHLKSVPKDTDMCMDMLQEEELLDALLWLYQFGLAPNFKQASYYMTHQAQSISLLEETDKQIRDRACGEKLKHLKEARNEYREEVIDCVRHCAWYRISLLSRWKQRGMYAMCMWVVQLLLVLSNTDSVFIYTPEYYLEALVDCFHVLRKSDPPFVPSTILIKHGLVSFVTFVVTHFNDPRISSADLRDLLLQSISALVQYREYFAVFESNEAANQRLPKALLSAFDNRSWIPVTNILLRLCKGSGFSFSKNGESSSSSILFQRLLKEACINDEGLFSSFLNRLFNILSWSMTEFSVSVREMQEKYQVMEFQQKKCGVIFDLSCNLAKILEFCTREIPQAFLSGPETNLRRLTELVVFILNNITSSADAEFFDLSLRRHNQSSEKVNRGMVLAPLVGIMLNLLDAAKLAECRENSDLVDVFLSMDCPDTVLYGFQYLVNYNWDESCRGEAYMEKYKQLENFLTLLACRAMSERDEVNSVVDSDLDDNLCCICYASEADTQIAPCSHRSCYGCITRHLLNCQRCFFCNATVTDVSRINEKTG >CAK8571407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:401696394:401698877:1 gene:gene-LATHSAT_LOCUS24074 transcript:rna-LATHSAT_LOCUS24074 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMNNNNSVSSPPQQVVLTNPLPQIMNMNVGNSVSGVNTTGTSMVVSTVAVATTTTTTATTPGSSGGVSFDLFGKKKRGRPRKYDADGNLNPNYKKIEKTPTTTTPLLPPPPGFTLSSNEFSSKKGRGKPTGFGNYQIVSSFGEVFASTAAVDFTPHVVTVYTGEDVGGKILSFAQKSPRAICILSANGAISKVTLRQLGSSGGGILTYEGRFEILSLSGSYTVSDTSGIRTRDGGLSVSLAGPDGRVIGGSVAGLLTAAGPIQIVVGSFTPDGNNKTHKKKYQREQMASSPTSTGPELVTAARPISQAANAHGENFMIPILSQLPDHQNQRESVSVSSDKLNLDDTPDAATWTGSDEYSDQRTSPDINISLPDE >CAK8574867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12744095:12746768:1 gene:gene-LATHSAT_LOCUS27166 transcript:rna-LATHSAT_LOCUS27166 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFLSECGLRPLPVLYTQPRTSAISSQKLPKFGFLNKTSKRVSLDLRFKARKWELKVSAPSRVSTDEEEAEGAVKELPQFDPGAPPPFTLADIRAAIPKHCWVKDPWKSMSYVVRDVVVVLGLAVVAAYVNSLFVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIFKSLDNATKILRFTIPFPMLAYPFYLWSRSPGKSGSHFDPNSDLFVPNERKDVITSTVCWTAMAALLVGLGFVMGPIQLLKLYGIPYMLFVMWLDLVTYLHHHGHEDKLPWYRGQEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSSPLPFHLIGELIKSMKKDHFVSDTGDIVYYQTDPNLSGSSTSK >CAK8571935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494616920:494617063:-1 gene:gene-LATHSAT_LOCUS24553 transcript:rna-LATHSAT_LOCUS24553-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8571934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494616574:494617063:-1 gene:gene-LATHSAT_LOCUS24553 transcript:rna-LATHSAT_LOCUS24553 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8538617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489334613:489335065:1 gene:gene-LATHSAT_LOCUS7798 transcript:rna-LATHSAT_LOCUS7798 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHAFYAQDQGTTFNLEYAWRLLKDEAKWGIIGESIGNSSKMTQTSVSGASSETPDTPSSYEFNSSSPMECPMRQKAAKRKGKAKGISNATQDARNKRAETMERLAQSKEDEIELKVMQIMLKDTSTMNESQRDIHEKYCNKMKKNGL >CAK8533501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:626494319:626494771:-1 gene:gene-LATHSAT_LOCUS3124 transcript:rna-LATHSAT_LOCUS3124 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEMPPCRSTACRCIPVGLVIGYCRNPSGVLRTTDEHPNLCESDADCRKKESGNYCGHYPNPDIEYGWCFESKSEAEDVFSKITPKDLLSTV >CAK8538722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493831147:493831437:1 gene:gene-LATHSAT_LOCUS7893 transcript:rna-LATHSAT_LOCUS7893 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNKGHVPLVVGKGKEKDMERIWVSIKGIHHPKIVDLLEQSAKEYGYKQQGVLRIRCDVDNFKAIIQSDEINLELVDEFFSLSNFNFFLRNFIL >CAK8579532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706242352:706244853:-1 gene:gene-LATHSAT_LOCUS31472 transcript:rna-LATHSAT_LOCUS31472 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDTEQVVNKKLGGYRTMPFIIANETFEKVATVGLHVNMILYLLNEYQLEPSTAAIIIFLWNAGSNFVPLFGAFLSDSCLGRFRVIAWGTIIDLLGLIVLWLTAIIRQARPPRCDEKPCTSATGAQFLFLFSSLALMAVGAGGIRPCSLAFAADQINDPKSTKNERVMKSFFNWYYVSVGVSVMVSVVFIVYIQVKAGWVVGFGIPVGLMLFSSVMFFLGSFMYVKVKPNKNLLAGFAQVIVASWRNRHMTLPQNSSGLWYFHSGSILVHPTDKARFLNKACIMKNREKDLDSNGMPIDPWSLCTVRQVEELKAVIKVLPIWSTGITIGITISQQSFSVVQANTMNRKFHNFEIPSTSFTAFSILTLTIWVAIYDRIIVPLLANYTKRGKGLSLKQRMGIGIAISCLSTAVAALVEKKRRNQALREGLMNNPKGIVNMTAMWLVPQQCLVGFAEAFNVIGQIEFFYSQFPKTMSSIAVSFFALGLGTGNLVASIIVKVVKIGTQGEGKVSWLASNINQGHYDYYYWLLTILSLVNLFYFLLCSWAYGSVEEIKNWDEEVDAK >CAK8536759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14277843:14280627:-1 gene:gene-LATHSAT_LOCUS6092 transcript:rna-LATHSAT_LOCUS6092 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEIAKKLGFSDSKILIRKTAELRRLCDVQFDSSIIGVGEVAKAIICMEIAATRLGVLFDRSSAVKLSGMSERAYIRSYNSLHNGIGVKLKLDVRELAIRFGCVRIIPYVRDGLKLYKDRFLASLPAARRASADFTRPVFMAVAFYLSAKKQKLKVDKIKLIELCGTSESEFSSVSTSMKDLCHDVFGVSKEKKDPKEVKTNRDLLDVLPSKRKAEDGGYLSDDDGAEGSCYKKKKQMETQDYEKWKSSVLASNKEDKKEVHCKKPIQTSLNFVKEASETQKLEVL >CAK8540350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554044790:554045260:1 gene:gene-LATHSAT_LOCUS9361 transcript:rna-LATHSAT_LOCUS9361-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHRGKYVPQKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFQTVTTGTICANVHEGAQLELSCQNGQIISQIQFASFGNPEGQCGSFEKGSWEALNSQQVLEAACIGKNSCGFKVTKEMFGVTLSTMSVNNDISRLAVQVTC >CAK8540349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554044769:554045260:1 gene:gene-LATHSAT_LOCUS9361 transcript:rna-LATHSAT_LOCUS9361 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRNGTMEDSHRGKYVPQKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFQTVTTGTICANVHEGAQLELSCQNGQIISQIQFASFGNPEGQCGSFEKGSWEALNSQQVLEAACIGKNSCGFKVTKEMFGVTLSTMSVNNDISRLAVQVTC >CAK8535110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814815320:814816477:1 gene:gene-LATHSAT_LOCUS4587 transcript:rna-LATHSAT_LOCUS4587 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRGINIPMYTTLRRTTVAFTMIMEYFLSGKKHSICVLLSVGIIIAGALVAGARDLSFDAYAYSVVFIENMCKAVYLASISRVGKASGLNIFGLVWSNVLICGPILFFWSLLRGDLQSTLNFTYLLYPGFQVVMVLSCAFTFFINYIVVLNTTVNSALTQAICGNLKDVFTSGFGWMLFGGLPYDLFNVLGQSLGFFGSCLYAYCKLQGK >CAK8534831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:773416066:773417898:-1 gene:gene-LATHSAT_LOCUS4341 transcript:rna-LATHSAT_LOCUS4341 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRASSSNTWINTKSSSSIHNLSSKGNSSSSSISLKKKRSTSKNTISCSLQTTLPFPKKYQPQSTNTTTTTLIPTKETKPNLPSNTKPLPKQENQWNLLQQAAATALDFVETTLIKQESKHPLPKTSDPRVQIAGNFAPVPEHPVTQNLPITGKIPKCIDGVYLRNGANPLHEPVAGHHFFDGDGMVHAVKFTNGSVSYSCRFTETHRLAQEKALGRPVFPKAIGELHGHSGIARLMLYYARSLCGLVDGTHGMGVANAGLVYFNNRLLAMSEDDIPYHVRVKPNGDLTTVCRYDFNNQLKSTMIAHPKVDPVDKNLYALSYDVVQKPYLKYFRFNSNGVKSPDVEIPLAEPTMMHDFAITENFVVVPDQQVVFKLGEMIRGGSPVVYDKEKVSRFGVLSKNAENASEMKWIDAPECFCFHLWNAWEEPENDEVVVIGSCMTPADSIFNECDESLKSVLSEIRLNLKTGKSTRRAIIQESKHMNLEAGMVNKNKLGRKTQFAYLALAEPWPKVSGFAKVDLFSGEVKKYLYGENRFGGEPLFLPNENSENEDDGYILTFVHDEKEWKSEIQIVNAMNLKIEASIPLPSRVPYGFHGTFIHSKELEKQE >CAK8576347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:464923986:464925154:1 gene:gene-LATHSAT_LOCUS28542 transcript:rna-LATHSAT_LOCUS28542 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGFGDKTYLPESLLKTPLCICTIEARKETESVIFGAIDDLMVKTKMNVEDIEILITNCSIFNPSPSLSAMVINRYKLKHQILSYNLSGMGCSAGLIAIDLAKQLLQVHPNSYALVVSTENINSGSYLGNNRSMLVSNCLFRVGGAAILLSNISSDSRRSKYHLKHTVRTHTGSQDSCYNSILQKEDETNKNTGVSLSKDLMSSAGFALKQNITTLGKYVLPLLEQFKFISSFVVKKYLNNKVKIYTPDFKLCFEHFCIHTGGRAVLDEMQKVLGLSDFQLEPSRMTLYRYGNTSSSSIWYVLAYCEAKGRIRKGDRIWQIAFGSGFKCNTAVWCALRNVYTTKEINPWSDEINEFPVVVSI >CAK8531178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86942265:86943521:1 gene:gene-LATHSAT_LOCUS986 transcript:rna-LATHSAT_LOCUS986 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNVFPSSSSIPFTKPIHHFSINASHTLKPNLLNRFHHESHLKKTNLSLTPTSQIHRSTTKLSSFNGFFSYPFEFSPFSPKPRNQIPKAVSDEGEVSPPSTPPKPKNLKKLALVFGFWYFQNIVFNIYNKKVLNIFSFPWLLASFQLFVGSIWMLVLWSLKLQPCPKISKPFIFALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVIFSSVIGDRYPIQVWLSILPIVLGCSLAAVTEVSFNIQGLWCALISNVGFVLRNIYSKKSLQDFKEVDGLNLYGWITILSFLYLFPVAIFVEGSQWIPGYYKAIEAIGKPSIFYVWVLVSGVFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSSVLVFRNPVRPLNALGSAIAILGTFLYSQATAAKKAKKIEGEKSS >CAK8567944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558499712:558500595:-1 gene:gene-LATHSAT_LOCUS20951 transcript:rna-LATHSAT_LOCUS20951 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLPINGKAVNGLANPRGSMIEELLGVEPTPSNLRGQKLLLSWLKKLYREITLTLDSPEILKIRKTRIYIMLLIGLFLCPDASGNAIHPIYLVLLDDVDKIKTYSWGSATLSRLYRSLCSNATENSGNFTGCGVLLQAWGWSRMTNLAPSQHNNFGFPYATRWSSLGMNYDNCPHYSIAQYRNLRDHLGQDDFIWRPYLGLEAIHEVNEHDSAVWSAKVPITNFTIVEMHNSDCVKL >CAK8536219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:918522548:918537778:1 gene:gene-LATHSAT_LOCUS5609 transcript:rna-LATHSAT_LOCUS5609 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRSRQSAKDKENNNRRVTRSSKTAKIKSHLNVSGTAGIRKSTRETPLKKIIASSSSTQKSKQVEKRILPAPEARRKSERVEKKKIPSPLTRSGRTNNHSSSSPSNSKSAGSLGSISKQKLQKEKSMKQLIFETEVNENDEHTVGTSLVNAKRMDARTYRSLFRKRKKDCLEKSHRISKSNQEGDNSSGDKIDELSKRSCSDNKEVSKNATLPSEDAKAKEIGIDSRLSRPNVTPGSFIPTNTPTHETSEVSRSTQPDCFREETIPTLVSGNSILDNKDLASNNVGLGGGENFAPSKRRIITVDMDSNVSSTLSKGDNCNTIPDALPSKLGGNKSCSEQIRLDYNPTVKESCDPSAAEHQDEDDIEVTMLQADKSDHTSGIGHPKNSIVEDKNILDGQSDTEKDGLIYNSNKSVVQPKEKLSSHIANRCKSDSFWFVEYWVPAQISHAQLEQYCATLLSNASILCSSPKVDSVGAIRDVLISTRKSCNHPYVIDPSMQPLLVKGLEEIEYLDVGVKASGKLQLLDSMLKELKKNDLRALVLFQSIGGSGKDSIGDILDDFLRQRFGLDSYERIDKGVPSSKKQAAMKKFNDKNNKRFVFLMETCACLPSIKLSSIDTIIIYDSDWNPMNDIRSLQKITLDSQFELIKMFRLYSAFTVEEKALILAKQDKILDINILSTNRSLSHTLLMWGASHLFDELGLFLDGATSTSSPKPLLEETVSQFSSFISDAAEDSETSNPPILLKVQQNGGTYRASSPLFGELKIGSLDEESPQCFWTKLLEGKRFQWKYSCSSSQRSRKRVQSFNNLEGGSDFVYEGTAKKRMKMGNNIVDPPSSKSDGEKLSPEIKTDKPTSNDVEFEKNGSVHDEQRSLHLSLKPQTTKLCEILLLPDNVKKMVDRFLEYVMNNHHVSREPVSILQAFQISLIWTAAALEKHKLDHNASLILAKQHLNFDCKKGEVNYCYSLLRCLKRIFLHRTGTCSDTSSPQASNMIEVEMFKKDLSKSIKEIKKKCEKMLNQIHLMQQEEKHRLRAAIEVEQAELERKHKIELAFTRSCSPNEVTRTETLKILNIDQQKSIEELKFQHELRLKNLEDEQSAHMLKAKDWGPNWVENVKSWAKNELQSIVASKELGTGVDYSLMIDNVIESMKETRGMVPETNSSSVSKTVEQQNSLGKHDSANEVGIMVSNHLPVSGSEDHNVTENHYVSQENIISKHFHSREQNFDGATSMTDEDNRRENFGHRSRDDCERPSLDTTSSREHNSDGATSMTDEDNRCADFGHGSRDGCEMPSLGTKCLPVCENGTHLNHQYSDGVPSSVPERQISVEVQETNNERDYVSVSERQVGVEMPAAVNFTDCLLQNATHLNPPSSVDQICDRSSIDVPVLDGVLSSRPCQVACSTSCGDAISLSNPPLEQQIHDGVLSIPDGDIPIIVPENFHTVADRHMDIESSANAILMDNSTTNNQEGRVLVTVTSSPVSRQVNVMEPLGQGKQLPSVESAADKSTGEMQNSSDQVQLASSSADVVPANQIRMPPKQVHQLEAAEFSSNLDMLALSNFQLATEDEHQPISVHDLPTHHPQVSSAIPDIGQPLPNSVHGLHSNQVAVHPASNSDLDSLTASRVRAQSANPRNLSTPLEMNNHPIQTTAPSPSRMLPRLSYDPLKVDVERIHKLIEQSSKNHEDTKLQLKSEFEKELAELRRKYDVKFQEAEVEYQQTKKTLETNLKTVCVSRILAHAFRSKCLDLKAGTSGMLHDSIPQQLLQLSRQQTTRSISGSSNEPPATSLSSPSIAPNSQHIVPPGYNAAGNFSGFSARPPIINTTTSPIINTTRCPIINITTPVLNTTRSSIVRGSQTGGEIRAPAPHLQSSRPQISVPPSNFRPIIPRIQSQTAPSNVQTTFPSHAHVPSWQRTATYQSDPQIGRRPDNAGRLAAPNLPFMGLQGSSSSQPTITSPNVISRLLDQGPDNLSRIEPNSSNIAANSSHQAASPGLVCLSDDDN >CAK8531054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76246761:76252366:1 gene:gene-LATHSAT_LOCUS870 transcript:rna-LATHSAT_LOCUS870 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFSSSVVSPPEELVAAGSRTPSPKTTASLLLKRFVERKASAVSLQVGDDVQLAYTHHEESPWHPRSFAVKDDIFCLFEGALDNLGSLRQQYGLAKSANEVVLMIEAYKALRDRAPYPANHVVGHLSGSFAFIVFDKSTSTLFVASDQSGKVPLYWGITADGYVAFADDADLLKGACGKSLASFPQGCFYSTAVGGLRCYENPKNKITAIPANEEEFWGATFKVEGAAVVAATE >CAK8574512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1226075:1240486:1 gene:gene-LATHSAT_LOCUS26853 transcript:rna-LATHSAT_LOCUS26853 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPNGHLTVNIAAGHHDDDDNNALPPSDYNNNNKHVNDDDVDDELIDPDDPFDITQTKNASHETLRRWRQAALVLNASRRFRYTLDFKGEEEKQQKKSLIRAHAQVIRAALLFRLAGERELVISPAATPPHQTSVGDYGVGLEELASMSKDQNISALQQLGGVKGLSNLLKSNPDKGISGDDDDLLKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLVLGIKTEGLEEGWYDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNAEKQNIQLEIIRSGRTIKISIFDIVVGDVIPLKIGDQVPADGVLIIGHSLAIDESSMTGESKIVHKDHKAPFFMSGCKVADGVGVMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAILVLAVLLGRYFSGHTNDLNGNPEFVAGRTAVSAVVDDVIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRNNLNPPDDSSKFHPEALSLINESIAQNSSGNVFVSKDSGEVEVSGSPTEKAILSWAVKLGMNFDLIRSSSTVLHVFPFNSEKKRGGVALKLGDSGVHIHWKGAAEIVLGACNQYLDSNGHLQSIEEQKAFFKEAIDDMAARSLRCVAIAYRSYELDQIPSNEEGLNQWSLPEDELVLLAIVGIKDPCRPSVKDAVRVCTEAGVKVRMVTGDNLQTAKAIALECGILASNEDAVEPNIIEGKVFRELSEQEREQIAKKIMVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLIVQALYQITVLLVLNFAGESILPKQDTRAHGFQVKNTLIFNTFVMCQVFNEFNARKPDEMNVFRGVTKNRLFMGIIGVTIILQIIIIEFLGKFASTVRLNWSLWLASILIGLVSWPLALAGKLIPVPKTPLSRYFTKPIRRLRRSRSTPQ >CAK8574513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1232714:1240486:1 gene:gene-LATHSAT_LOCUS26853 transcript:rna-LATHSAT_LOCUS26853-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEAYVGRNNLNPPDDSSKFHPEALSLINESIAQNSSGNVFVSKDSGEVEVSGSPTEKAILSWAVKLGMNFDLIRSSSTVLHVFPFNSEKKRGGVALKLGDSGVHIHWKGAAEIVLGACNQYLDSNGHLQSIEEQKAFFKEAIDDMAARSLRCVAIAYRSYELDQIPSNEEGLNQWSLPEDELVLLAIVGIKDPCRPSVKDAVRVCTEAGVKVRMVTGDNLQTAKAIALECGILASNEDAVEPNIIEGKVFRELSEQEREQIAKKIMVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLIVQALYQITVLLVLNFAGESILPKQDTRAHGFQVKNTLIFNTFVMCQVFNEFNARKPDEMNVFRGVTKNRLFMGIIGVTIILQIIIIEFLGKFASTVRLNWSLWLASILIGLVSWPLALAGKLIPVPKTPLSRYFTKPIRRLRRSRSTPQ >CAK8540739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18245507:18248044:1 gene:gene-LATHSAT_LOCUS9713 transcript:rna-LATHSAT_LOCUS9713 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8577966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599360755:599366959:1 gene:gene-LATHSAT_LOCUS30022 transcript:rna-LATHSAT_LOCUS30022 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPAIPSLPKSSLLDSRDLYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPAPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8577967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599360755:599361102:1 gene:gene-LATHSAT_LOCUS30022 transcript:rna-LATHSAT_LOCUS30022-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVIESLMRGSLPLFSSFPSIDLSFNIPPVSSPAPAPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8568503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611979956:611985630:1 gene:gene-LATHSAT_LOCUS21453 transcript:rna-LATHSAT_LOCUS21453 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDHTHFDDDDDNDDFELPLTQPLSLSNNQRPSKKPKTKTTPFPGKENIPPLSPIHNHSDRYESGDCSLDFIPSTLDFDSTVQADCSYVSELKKDSKRAYSNNSLESRLVVSRTKVFDYGESGSKVNLRDGFDGSVECPLCGDDISDLTEEERNLHTNQCLDKTGEDVALPSDEVGAQFGLKVSLVVDWIRDLGLAKYEEVFVREEVDWDTLQWLTEEDLLNMGISALGPRKKIVRALSELRRGIASSNDNNENSGVEPRRTRNQNVNLQLDKSERKVDSTVKPVANKLITEYFPGFATNEKKVSAPPVEQHEVKNSGSVSARKRKAHNMSTSTKNRDVPKWCAVQGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTKSFNHGKIYCSSVTARLVNMNIGISYDKLHILPLNQKVEIAGIGVTCLDANHCPGSIIILFQPPNGQVVLHTGDFRYSEEMANNPFLQIRPINTLILDTTYCNPQYDFPKQEAVIQFVIDAIQAEAFNPRTLFMIGSYTIGKERLFLEVARSLRKKVYVTAAKLRLLNCLEFTEEDMQWFTSNEHESNIHVAPMWTLASFKRLKHISSQYASRFSLIVAFSPTGWTFGKGKKKSPGKRWQQGTVIRYEVPYSEHSSFTELKEFVNFVSPNNIIPSVNNDGPESADAMVSLLST >CAK8538524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486131076:486135948:-1 gene:gene-LATHSAT_LOCUS7712 transcript:rna-LATHSAT_LOCUS7712 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSYISRIINLLFFIISLLHAASALTSDGFTLLSLLESWTFVSPLINSTWRASDSNPCSWLGVQCDHNNHVVSLNLTSHGIFGQLGPQIGNLYHLHILLLLDNGFSGKVPSELSNCSFLEHLDLSGNRFSGNIPYSFNQLQNLRFLSLSSNLLTGEIPDSLFEIPSLEEVSFRSNFLTGRIPTNIGNLTQLLRLYLYGNQLSGTIPSSIGNCSKLQDLDLSFNRVRGEIPVSIWRIQTLRRLFLNKNNFTGSLPDFESNLNLNYIDMSKNNISGSVPSSLGNCTNVAYINLSWNKFGGLIPSDLGNLVNLVTLNLAHNNLEGSLPLRLSNCTKMDHFDVGLNFLNGSIPSSLRSWRGLTRLILRENHFTGGIPQFLAEFSNLRELQLGGNCFGGEIPRSMGTLSNLFYGLNLSANGLTGGIPAEIGKLKLLQNLDISFNNLTGSIDALEGLVSLTAVNISYNLFNGSVPTGLNIVMKLLKSSPSSFMGNPLLCVSCLSCINSSYVNPCVYKSTDHKGISNVRIVMIALGSSMLISAVLVMIIRRCLLRKESNMESDLNQWYYIDRGAGRIGVGYVHEFNISGKEQSSALKNLVLQATENLSDQYIIGRGAHGIVYKAIIGNQVFAVKQFEFTRNRRKQLHMMCKEIEVLGMYKHRNLIKYADYWIGEEYGLVLYKFMEHGSLHDILHEKNPPPPLTWNVRFNIAVGIAEGIGYLHNNCDPPIVHRDIKPKNILIDENMEPIIADFGTALYRKSSEDSYSHSETRKMLSTKVIGTPGYIAPENAYQVVQGRKSDVYSYGVVLLELITRKKVLVPSLNDERKVTPLVSWARKVWLETGKIEDITDSYLACSILDSAALTKQVTTMFLLALQCTEKDLRKRPIMKDVIGLYRKDMFKQRDEEEYGDAVAADTSLQPCSPNIPPNIPVVRVEHHLHEESSRDAAKIQREVPFNAETEPMGFNDFGFWPDTDQFQPGFCVEENWSLKSTIYIDGLLVELMGNGKIMTEKVLVVAAPSVPKVTYVWPSLIFIPSVAGPILTKPFNWFFLLHWGQYMHFHRSLYYQPKSYFINANKINALQDLLLKATENLNDQYVIGRGAHCIVYKVILGKQVFALKKFEFGRNKQKHQSIVCNEIEVLGIFKHRNLIKYTDYWIGGHYGLVLYKFMENGSLHDILHEKKPSPPLLWSDRFKIAVGIAKGLAHLHYNYIPPIVHGDIKPKNILLDDNMEPIIAGFGTSLLCDLSEDFYGHFSSHVIGPRGYLAPENAYEVAQCRKSDVYSYGVVLLELITGKKEIAPSLNDETKLTTLVSWARSVWREIGNIEMIVDSYLASSFPNSATLTKQVAPIFILALQCTETDLQKRPTMKDIVDFNAKHEPQDISDFRFWQDSEQFPLGVYDWDDWKLMFRPVVSIDGLIIKVMGNCETETQTVVVVALIVPKITYSHPCLIFLPSIIGPTVTELFNWFFLSQWSQYMHQQKSLYYQSKSYFRNVNQIKSLQDLVLVAREKLKEQYSVGRGAHGVVYNAILGEKESVCFLSE >CAK8534073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691079114:691081115:1 gene:gene-LATHSAT_LOCUS3643 transcript:rna-LATHSAT_LOCUS3643 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILLRNISFHAHRIRLSYPYKSSPSLLSLSQPTSFFSSRSDSDPPPYIPHTHDNKQQQQPLDVEEISNEELKRRVARLKEGDDDAIPAVFEAVLQRYLTGKPIDADQDLMREILGKGTVSKDEDEDEFDSDSDLEGMSDTDFEEEEDSNLDVKSRIGSNEEKTKMK >CAK8570559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60528572:60536091:1 gene:gene-LATHSAT_LOCUS23297 transcript:rna-LATHSAT_LOCUS23297 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQYRYILSPHSTKPRFSHTFPPHFHFPGTRRRKHGFSICCSSKTDERPPFDINLAVILAGFSFEAYSTPPENLGRLEVDAGGSKTIYLSEEFFHELYDGQIFIKLKKGSSFLSMNPWGTSDPYVVIQMGSQSAKSNIKWGTKEPTWNEEFTFNFKRSPDKALQVAAWDANLLTPHKRLGNAVVDLEWLCDGDTHEILVELGGMGGGGMVWLEVKYKTFDEIDDEESSKLSNDSAFMLKYHSQEAGSSDSEAFNEASSEKRNMEEFHSRDSETGNEHALEPSPQASEEELSNQRFWTNFSNVVNANIVQKLGLSVPEKLKWDGLEFLNKIGSESQDIAEDIFIQSGLAIPGGIEESDNKTSGQPAIAAIQSSLPEVKKASEKLMKQTESILGGLMLLTATVSKMKDEGRSPEERKTKEDSTKGVGRDIQYSASEKSPSPENGSFLDDKQTEETRALFSTAESAMEAWTMLATSLGHPSFIKSEFEKICFLDNASTDTQVAIWRDSVRRRLVVAFRGTEQTAWKDFATDLMVVPAGLNPERVGGDFKQEVQVHRGFLSAYDSVRTRIISLIRLAIGYVDDHSEFTHKWHIYMTGHSLGGALAILLALELSSNQLAKRGAISITMYNFGSPRVGNKRFVKVYNEKVKDSWRIVNHKDIIPTMGRFMSYCHINQPLFLAAGVSTNSLENKDILGNGSESDVLGESTPNIIINHFIKGEVELIEQLLETEINIFRSIRDGSAYMQHMEDFYYITLLENVRSNYQVASRSEQDINTSLS >CAK8534191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702754222:702757220:-1 gene:gene-LATHSAT_LOCUS3752 transcript:rna-LATHSAT_LOCUS3752 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGESKQERWCGLKDSSWCKQFKNISNPLMARYVYGFIFLAANLLAWSARDELSSISTLTKLKGLKVCKVEKECLGANGVLRVSMGCFLFFMMMFWSTTRASKLNEARDKWHSGWWSIKIVLWILLTIFPFLLPSPLIELYGQVAQFGAGVFLLIQLISIISFINWLNEYFASETYAERFKIHVMIFATTSYFICLVGIILMYIWYAPIPSCLLNIFFITWSLVLLQLMTSVSLHPKVNGGLLSPGLMGLYVVFLCWCAIRSEPEGDQCIRKAGTMPETDWLNIISFVIGILAIVFATFSTGIDSKSFQLKKTEKATEENDVPYGYGFFHFVFAVGAMYFAMLLVGWNSHHSMKKWSLDVGWTSAWVRIVNEWLAVCVYLWILIAPIIWKNRHTEST >CAK8544928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712743223:712746885:1 gene:gene-LATHSAT_LOCUS13569 transcript:rna-LATHSAT_LOCUS13569 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQASKYRKGACENCGAMTHAAKACMERPRKVGAKHTNKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQQLEKLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRNSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKETIIEKYGNAADDDKLPRELLLGQSERQVEYDRAGRIIKGQEAAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGTAGIEAAEAANDLMRANIARKEAAAKDPTPPEEKRPATWGSDVPEDLVLDEKLFADALKKEDQRKREEKDERKRKYNVKWSLEVTQEEMEAYRMKKKHRDDPMNLFMN >CAK8568133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575756449:575765709:1 gene:gene-LATHSAT_LOCUS21125 transcript:rna-LATHSAT_LOCUS21125 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIRNITREYIGMPIRCKAAISRKSGEPLVIEEIELEPPKSWEVRIKILYTSLCHSDVTFWKMNPSLPAAMFPRILGHEAVGVVESVGEHVEEVKEGDLVVPVFLANCGECRDCESPKSNNCSKFGSGLIADMPRDGTSRFKDMNGEVVHNLFGISSFSEYTVVDVTHVVKIRHDFPLDKACLLSCGVSTGVGAVWKVADVEKGSTVAVFGLGAVGLAVAVAAKQRGASKIIGVDLNEDKFEIGKRFGITDFLNPSTCKEKSVSEVIKDMTNGGADYCFECIGLASLMAEAFNSSREGWGKTVIIGVEMHGSPLTLSPYDILKGKTITGSLFGGLKPKSDIPLLAQKYLDDELNLDGFITQEVDFKDINKAFDYLQQGKSIRCIIRMGD >CAK8569060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667484200:667488760:1 gene:gene-LATHSAT_LOCUS21953 transcript:rna-LATHSAT_LOCUS21953 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATFSPWTNEDDLLLKNSVECGASLEALAKGAVQFSQKYSIGEIKERWHSIFFDPDVSLEASTAMIRLKEQDIVSVKRKPVRARDSYYAVHKRVRKEAQTSMDFNFLVEPENDNYAVNGDGPLHLNCVPEGSTWNQFPNHDPTIYGVQENIMNADVAINGVTDQAFYTGVVDDTLGENFLIDQNHMPVEDHQIIEDNQIIEDNMPLNGAAEEMGVPIELDIEKFIRDEDVEEISLSTFQQINNDPANICSEFDEDCLFDSSELECGDSFDDLQLSSLPDIPDIPDWRTEEHGGIPCHGSKDSTACEDGYLKELSDDLLTFTGEEDLYLMDYVEKDGIGKSYYDGLSSLLVSSPIDGSSDQIPEMDEAELLLAPPEEVKNPCVSRHTKVDDNAGTGETDLLAAFDAHVNDLSASCRARAEVDDNIVSKPNGVQVVHKSAFEMPTSASAKYPQFPELVNGVIFCSLNTEDPVVPSNEDVFLPSNEHPPTMFSSEPAVTKSNKPVPSSVNDCGFRASRRGKVLTQVEQKNSIGAHVPSQTTRARGNSGPVCGSKIQCELSNNHSSHSAVIASRGLGGSNSLTNKTHAALHANPDEKLGNVSFVNHLSNNVTSLSHEKPALGKGFIHHVQPNGSCLKQEQNVALPVEYNKLQHAEMGFTEVLRSELVVIPEKLDEEEQYIESDDDIPYYSDVEALILDMDLEPDVQDLYENEEVSRYQHEETKRTIVRLEQGVHSFTQRAMVSHGALALLYSRHSSYYIKKNEVLLGRATEGVHVDIDLGKGGGTNLISRRQAIIKMDKDGSFYIKNIGKSSMLVNNRELHTDQSQRLLSHHLIELKGMQLIFEINQSGLKQ >CAK8577160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:541150209:541151636:-1 gene:gene-LATHSAT_LOCUS29289 transcript:rna-LATHSAT_LOCUS29289 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNKFILVMFLIFTTWILPHVISTRVLQPLSSHTHERWMTQFGKSYRDAEEKEKRFQIFKNNVEFIESFNAAGDKPFNLSINHFADLTSEEFKASLNGKKKLHDKKESSSFRYHNVTSVPDSMDWRKRGAVTPIKDQGTCGSCWAFSAVAAMESMHQITTGELVSLSEQELVDCVKGKHTAGCDGGYMEDAFEFIAEEGGIASEAYYPYEEKDESCKVKKEKHAAEIKGYEKVPSKSEKELLKAVANQPVSVYVDSGSSTFQFYAGGIYTGKCGTDVDHAVVVVGYGVSHDGTKYWLVKNSWGTEWGEKGYMRLKRDIGSKKGLCGIAISPSYPVA >CAK8577649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581132402:581133028:1 gene:gene-LATHSAT_LOCUS29737 transcript:rna-LATHSAT_LOCUS29737 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVPHLNDEQKLPLLHNMDIVEPQKTLIHRAINQTCKSTAHLSNLLPTGTVLSFQILSPIFTNQGKCDNHPVTKLITLSLLSLCAASCFILCFTDSFRDSKGNICYGFATCKGLWIIDGSATLPRELDEKYQLRFIDFLHAVMSILVFSAIALFDQNVVKCFFPSPSHEMRQILTALPVGIGVFCSMMFVAFPTRRHGIGFPLSTN >CAK8579681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715861092:715873019:-1 gene:gene-LATHSAT_LOCUS31608 transcript:rna-LATHSAT_LOCUS31608 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGLFQYLVNQLKRGQGIELVLLQELIQQMANVQYTENLTEEQLDSMAGGETLKYQATSFGMTRNNKALIKSTSRLRDALLPKDEPKLATPLLLLLAQHRSLVLANADAPYIKMVSEQFDRCHGTLLQYVDFLGSAVTPGSNYAILIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKSQRTPNVCWPLDDRNAASDSSTNSESDPTDHLGSMFLDTGSNKNPISWSYLLVTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPKNRYESEIAKLHASLKSLEEISDNSSSAITKRKKEKERIQESLDRLISELHKHEENVASVSRRLSYAKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHKLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLYETLKIAYHWKKDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESSEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKPSWVTDEEFSMGYLELKPAPSMTKSAAGNSAAGNSAAVQSGTGHHVSQTESASGKHLDSGNTVKDQTTKIKTADGKSERTENITVAKSDSGQVKLKGNSMVNGLDAQSSLPSPAGQSGALKSPENSKQVEESISRAPDEYVTRNVESKTSAKRSVAAGSLLKPSKQDLLKEDGRSGKTVARTSGSSSDKDLQAHASDGRHTGTNVSSSVSANGNSVLGSAKGLAPLAKNSFDGSGIESKAEVGAAKPSVAKDDGNDIADFARGSSSRVVHSPRHENTATSKSSDKIQKRAGSVDELDRLGKRRKGDVDLRDLESEVRFSEREKLVDPRMVDDKVGPDELGIYRAADKTLERPKEKGNERYEREHRERLDRLDKSRSDDFVVEKPRDRSIERYGRERSVERMQERGSERSFNRLPDKAKDDRSKDDRNKLRYNDALIEKSHADGRFHGQSLPPPPPLPPNMVPQSVGAGRRDEDADRRYGATRHSQRLSPRHEEKEQRRSEETVTLQEDPKRRKDDDFRDRKREEMKVEEKEREREKANIPKEDLDLNAATKRRKLKREHLSTMEPGEYSPVAPAPPPPSIGMSQAYDARDRKGPMIQHASYIDEPSLRIHGKEVANKLNRRDIDPLYDREWDDEKRQRADQKRRHRK >CAK8578939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662999586:663000230:-1 gene:gene-LATHSAT_LOCUS30917 transcript:rna-LATHSAT_LOCUS30917 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSSLLHELLAESHTRRLLFQGPIDHHPLNNHNSTDSYFGVREFDSNVVMILAVLLCAFICSLALNSIIRCALRFSNEAINNNQASQQLANKGIKKKALKKLPTLNYSTELKLPGLDTECVICLSEFANSEKVRILPKCNHGFHVCCIDKWLKKHSSCPKCRQCLLQTYRKVGESQVQPIVLPLPEITIRIQPLEHEAVERSYREERR >CAK8568875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650144385:650148247:-1 gene:gene-LATHSAT_LOCUS21784 transcript:rna-LATHSAT_LOCUS21784 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGSSRSSDDLSRKVVDIAAGESHTLLLTGDGSVYSWGRGMFGRLGLGSQKDEFFPVKVNFGNPSGSNECAKIVGIAAGAYHSLALAEDGSVWCWGYNIYGQLGISGEDSHDSLAPCLLSRFLELQPPDSSTGLSEAEDRASLKMCTVKAGGMMSLAIDNRGTLWIWGNIPEEDKEGGLSLVSSSIPRPVWDFHGRAVVKVSCGNEHVVALVSATESHKDEDLLCYSWGYNSHGQLGLGDRQSRLRPEVVKIFDEDSPLAIYEVACGAFHTALLTHNKKERGDTLESMCWTFGLGENGQLGHGTTQSALLPTPVKVLPQNAYFISVDCGLFHTSVVSSTGGVWSWGMEKGLGLCPDASRGEMVSGDALSPLLVSCEPNQPIFPDPVKVVCGAAHTVVIVQKGRKVWSWGRGRSGVLGNGKEIDSYTPTIVLWPPMTEDFKEEGSKSSDEQDKAAEKKLEVITETDEKLSSALNEVKLLQTKLSTMEKYASILHGSIFGKPFDEHDIPVSMRTSGSMDIAKEWDDMLEAADDRKLVRMEMFYSDMVAGVKDKLMKRKIKEIIKECLQSSKVSNN >CAK8578605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640890205:640890948:1 gene:gene-LATHSAT_LOCUS30601 transcript:rna-LATHSAT_LOCUS30601 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGSDSDAEKNNSSKSKAEATTSSSPCHHNPSELAFEDGTFEKPIESSEKIYVCEKADSMVTTWIINSIDASRSATTRVNDWLDMEERFAQTNAQRIHQLWRTLSSLQQESKMTVTEYYNRFKRLADELSEQQSLLEQKCEAAKEIMQREEDSHVILFLSGLDGYLYADIKRTIVNIDPLPSLKEAFNYVLREEARLNADIIREVKQQKSSGFHSFKQKSSDDIRTECDDCETIGLQDGSEFFD >CAK8532584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:304636170:304654677:-1 gene:gene-LATHSAT_LOCUS2274 transcript:rna-LATHSAT_LOCUS2274 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSEPHRCRHNNHNHISSFFQSTASNFLSLFNQHNPPPSPLPQLPSAVSLPLFFAPPLSTVTFESTSDSTRSSAKSVRVSRLNSNVKGGGGPAFVGQVFSMCDLSGTGFMAVSTHFDIPFITKRTPEWLKKMFAAITKSERNGPVFRFFIDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKTIPHNIAGEKVDGVPVFSAQNLDIAIATTDGIKWYTPYFFDKNMLDNILEEAVDQHFHTLIQTRHIQRRRDVVDDNLAAEVIEEIGDSLGEPPEVQEMLDEMGHPSIPLSVISKAAELQFHYTVDKVLLGNRWLRKATGIQPNFPYMVDSFERRSEVSFLRVTESSSCPDNSKLEDDAKQTGCTDSSEYSLDDSTEKVGLSRKGVNKEYLKQNTFLPKITMVGLSTEEAGQMSKASLKKTMDDLTKELERTELDNITDGGSNEYKLEDDRDPLFVANVGDYYSSVGRTRTPRWIRGGSN >CAK8534356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720090857:720096321:1 gene:gene-LATHSAT_LOCUS3906 transcript:rna-LATHSAT_LOCUS3906 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELDHHNSPELDHHNSPEHEDQINDNNRKKKHRKRKRSRKSEQEVEREQENNETAESEEEEENDEQQQEEEHGDDVEEEENKVENAVSSGIMSSETFSSLGLSQPTSKSITDMRFTHMTQIQAKAIPPLLMGKDVLGAARTGAGKTLAFLVPAVELLYNVQFTPRNGTGVVVICPTRELAIQTHAVAKELLKYHSQTLGLVIGGSGRKGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLMCLIVDEADRILEANFEEEMKQIINILPKKRQTALFSATQTKKVEDLARLSFQTPPVYVDVDDGRKKVTVEGLEQGYVVVPCAQRFVTLYTFLQRHQSKKVMVFFSSCNSVKFHADLLKFIGLDCLNIHGKQKQHARTTTFFNFCKAEKGILLCTDVAARGLDIPDVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEELQFLRYLKAAKIPVKEYVFNSKKLANVQSTLEKMVGKIYHLNVMAKEAYRSYILAYNAHSMKEIFNVHRLDLQAVATSFSFTNPPKINLNIDSSASKHRKKIQKVEGRRR >CAK8562635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:518298133:518298737:-1 gene:gene-LATHSAT_LOCUS16118 transcript:rna-LATHSAT_LOCUS16118-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYRSSVATLKPCYIEQDNGLASLKNMDVSGHANSFVTKSMNMITMGYSYSNKTSFSNMNVSSPRSGGRFYDTRFEDQQPHFLEACSLCSKPLGHNKDIFMYRGDTPFCSEECRQEQIEIDEMKEKNMNLASSMKALRNKEQRKSNSSKAQGQDYSFLTGTVIAA >CAK8562634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:518298133:518298740:-1 gene:gene-LATHSAT_LOCUS16118 transcript:rna-LATHSAT_LOCUS16118 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSSYRSSVATLKPCYIEQDNGLASLKNMDVSGHANSFVTKSMNMITMGYSYSNKTSFSNMNVSSPRSGGRFYDTRFEDQQPHFLEACSLCSKPLGHNKDIFMYRGDTPFCSEECRQEQIEIDEMKEKNMNLASSMKALRNKEQRKSNSSKAQGQDYSFLTGTVIAA >CAK8576942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527046569:527047920:1 gene:gene-LATHSAT_LOCUS29096 transcript:rna-LATHSAT_LOCUS29096 gene_biotype:protein_coding transcript_biotype:protein_coding MMNISDGKVVCVTGASGYIASWIVKFLLQRGYTVRATVRDISNPNKVDHLLKLDGAKERLQLFKADLLEEGSFDSVIHGCHGVFHTASPVHLVLDNDPQTELVDPAVKGSMNVLNSCAKSPSLKRVVLTSSFASVAYNGRPRTPEVEVDETWFSNADFLREEKMWYSYAKTSAEEAATKFLTENNIDYVVMNPSVTIGPLLQPELNQSSAFIFDLINGSKTFINAAFGWISVKDVANAHILAYEDSSASGRYCLVERVIHFSELTKILRDMYPTLQIPNKCADEKPLMQTFHVSKEKAKKLGVEFIPLEVSLRETVESLREKKFINF >CAK8561542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:284938052:284940395:1 gene:gene-LATHSAT_LOCUS15123 transcript:rna-LATHSAT_LOCUS15123 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLYLQLHSQAPSLSSSSSSSTSTSSRFSFLKLFTNTTFSFSPTTNSLPFKPLTIRCRHSDVVETGTNHSPPTSTPNNNPSVGALPPRVYVGHSIYKGKAALTITPRPPEFAPLDSGAYKISKDGYVLLQFAPSVGLRQYDWNRKQVFSLSVDEMGSVISLGARDHCEFFHDPYKGKSDEGKVKKVLKVEPFPDGSGFFFNLSVQNKLVNVEESITLPVTKAELSVLSTIFKFIMPYLLGWHTFADSISPEYSAAASVANNANPKYGVDYEWNR >CAK8578996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666386263:666389003:-1 gene:gene-LATHSAT_LOCUS30973 transcript:rna-LATHSAT_LOCUS30973 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKNLTVDEMVCYSPTMITTNGIWQGDNPLDYALPLFILQVILVVVATRIFVFVLKPLRQPRVIAEILGGVVLGPSVLGRNKTFADAVFPLRSVMVIETMANVGLLYFLFLVGVGMDASAIRRMGKKSVTIAIAGMVLPFGTGALFSIFLMKENEKAANGSAFVLILGVVLSVTAFPVLARILAELKLINTELGRVALSAAIINDVLSWMLLAIAIALAEDERISVASIMVVLSSAAFVAFNAFVVRPIIMWIIRKTPEGESFSDFYICLILAGVMISGFITDAIGTHSVFGAFVFGLIIPSGPLGFALIEKLEDFVSGLLLPLFFAISGLKTNISLIIGVTKWAIILILVILACIGKVVGTLIVAISYQMSLSEGAALGMLMNTKGLVEILVLNIGRDQKVLDEEAFATMVVITILMTGMIVPAISIIYRPSRGMISYKRRTIQMSKKDAEFRVLVCIHTPRNVPTMINLLEASNPTKKSPICTYVLHLVELTGRTSALLIVHTSKKSDHTALNRTEAQSDHIINAFENYEQHAEHVSVQPLTAISPYSTMHEDICSLAEEKRVAFIIIPFHKQQTVDGGMEATNMAFRTVNQNVLANSPCSVGILVDRGLNSSNRLVADQMSHHVAVLFFGGPDDREALSYGWRMSEHSGISLTVMRFVPGEDTAMSENSSSHNNNADKQRVLTVETDVDEENDQQLDERFLHWFMMSHVNDDSIAYMEKVVNNGEETVAAIRSMGDVFGLFIVGRGQGVISPLTAGLTDWSECPELGAIGDLLASSDFATVASVLVVQQYVGAGLEDGSVTPVLNEEYGGGNQSSYMPPRGSQSVASPRGSQAVFSTERC >CAK8576841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:518801878:518803599:-1 gene:gene-LATHSAT_LOCUS29004 transcript:rna-LATHSAT_LOCUS29004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLGATTPVATKFLFNQPKPKLLPCRNRAYSLIPMVIETSSRGERAYDIFSRLLKERIICINGPISDDTSHVVVAQLLFLESENPSKPINMYLNSPGGAVTAGLAIYDTMQYIRSPINTMCLGQAASMASLLLSAGAKGQRRSLPNATIMIHQPSGGYSGQAKDIEIHSKQIKKVSEALNKLYVKHTGNTIEKIEKDMDRDHFMTAEEAKEFGIIDEVIVNRPLTLVSDAVGDEDKDKDSN >CAK8530622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36102702:36107292:1 gene:gene-LATHSAT_LOCUS474 transcript:rna-LATHSAT_LOCUS474 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQHKLFPLLVLLLFQVMIVASQTDSGDFTALSSLIQSWKNKPLNWVGSDPCGSEWDGIRCANSRIIELKLPGLNLEGQLSSAIQSLSELAMLDLSYNAGMTGTIPKEIGNLKNLNSLALVNCGFSGPIPDSIGSLRKLTFLALNSNKLNGNIPRTLGNLAILDWLDLDDNQLEGSIPVSDAQGQPGLDMLLKAQHFHLGNNKLSGPIPPTLFNSSMILEHVLFDHNQLSGSIPGSLSLLSKVEVVRFDKNRLSGRVPNSLNNLEKLSEIYLSHNELNGSLPDFTGMTHLTYVDLSDNNFNSSDIPSWVYASSLPDLTTVILKDNQLSGTLNLSSGYRRSLQLIDLQNNGITDLEMGNQKLNFDLRLAQNKICLENGVSNQVYCKAPQVVPSYSTPTNDCSPPSCSNSQIPSPNCKCAFPYIGNLSSRAFSFSNYSNTSYYTEIEQSLMSTFRKQNIPVDSVSLSNPIKVTSTDNFQLTLNVFPSQADRFNTTGVSTAAFVLSNQIYTPPAFFSPYIFIGLSYSYYGGEPKGSKSSNTGAIIGAVVGILVFIVLAILIGIYIIRKKRTRSSELNPFVNWEQNKNSGAAPQLKGARWFSFDEMRKYTNNFAEANTIGSGGYGQVYQGALSTGELVAIKRAGKESMQGAVEFKTEIELLSRVHHKNLVSLVGFCYEKGEQMLVYEYVPNGTLMDSLTGKSGIWMDWIRRLKVTLGAARGLTYLHELADPPIIHRDIKSSNILLDNHLVAKVADFGLSKLLVDSDRGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELATSRKPIEQGKYIVREVMRVMDTSKDLYNLHSVLDQSILKGTRPKGLERYVELALRCVKEYAADRPSMAEVAKEIENIIELVGVNPNSESTSTTETYEEAGAGEGKHPYVNDGEFEYSGMFPTIKVDPQ >CAK8562628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517786294:517787834:1 gene:gene-LATHSAT_LOCUS16112 transcript:rna-LATHSAT_LOCUS16112 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLCFVLFATFLFMQTLADTSCTDCFVQSRASFYSNSEENGTDAGACGFGTFGATINGGDVSAASSLYRDGVGCGACYQIRCTNGGYCSGNGVTIVLTDQGSGDNTDFILSQRAFGRMAQSKDASASLLSLGVVDIEYRRVSCSYPNKNITIKIDESSSNPHYLAFVIWFQQGRKDITAVQLCETQNFVCKLLDRSHGAVWTATPPPSGPLSLRMLFSTEDGDDTWVVPVNNIPGDWKAGQTYDSGVQVDQ >CAK8564451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675360973:675364436:1 gene:gene-LATHSAT_LOCUS17756 transcript:rna-LATHSAT_LOCUS17756 gene_biotype:protein_coding transcript_biotype:protein_coding MIELFKNLREMKTILYGNSEAEPVPEACSQLTQEFFNENTFRLLVECLPKLNLEARKDATQVVANLQRQQVQSKLIASDYLERNMDLMDILISSYENPEMALHYGAMLRECIRHQIVAKYVLESPHMKKFFDYIQIPNFDIAADAAATFKELMTRHKSTVAEFLSNNYEWFFDEYNSKLLESSNYITRRQAVKLLGDMLLDRSNAAVMSRYVSSRDNLRILMNLMRESSKSIQIEAFHVFKLFAANQKKPPDIIGILVTNRNKLLRLLGDLKIDKEDEQFEADKAQVMKEIAALEPRE >CAK8539469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513540622:513543798:-1 gene:gene-LATHSAT_LOCUS8560 transcript:rna-LATHSAT_LOCUS8560 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPTTQGKSVPDASDYKGRPADRAATGGWSAAAMILGGEVMERLTTLGIAVNLVTYLTGTMHLGNAVSANVVTNFLGTSFMLCLLGGFLADTFLGRYLTITIFAVVQAIGVTILTISTIVPSLHPPKCTTDSKSPCIQANSKQLLVLYLALYVTALGTGGLKSSVSGFGSDQFDESDKDEKKGMIKFFSWFYFFVSIGSLAAVTVLVYIQDNIGRDWGYGICAVAIVVAVLVYLSGTRKYRIKQLVGSPLTQIAVVFVAAWRKRHMQLPSDSSLLYEEDDVLCETPKNKKQRMPHSKQFRFLDKAAIRVLESGSEITIKEKWYLSTLTDVEEVKLVIRMLPIWATTIMFWSIHAQMTTFSVSQATTMDCHIGKSFQIPAASMTVFLIGTILLTVPFYDRFIRPVAKKLLNNSHGFSPLQRIGVGLVLSVLAMVAAALIEIKRLNFARSHGFIDNPTAKMPLSVFWLVPQFFLVGSGEAFMYMGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTIVNNVTGPNKPWIADNLNQGRLYDFYWLLAMLSAINVVIYLACAKWYVYKEKRLAEVGIELEEMDDASTFH >CAK8571679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460671421:460678634:-1 gene:gene-LATHSAT_LOCUS24318 transcript:rna-LATHSAT_LOCUS24318 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFDIQIDDEEENPVLLSKKPSAARNNEIMELLWQNGSVVMHNQSHRQSKKPPSPVTNTGNQVIPDHREIRSSDAENFNINQHLFMQEDEMASWLFDSINEDPPIINTETLFHPSTGVIPGSSLLQSEQLLPQPIPSASRPPIHPARKEDQVLNRKHNNFTYFANHSNASIEPSISSSSMIPARQETTMVDSCDTPIITEMTNTASKLSETIKSTAYTECVSVSTAGKAAAYTGCGTISQVGKAATATTKGVKETSKLDITMTSSHDCSSGSVDPIQRKLELERKRKGRVSDKSELQRQEGKKQVRGSTSTKRSRAAEVHNLSERKRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGMQQYMPTMGMRMGMGMGMSLGMEMGMNRPVMSFPNILPSSPLPPTTTTVATPYGSRFPVPPFHMPQPHVPTVPESSDNPLNSLGTLLPDQSRIPNTNFADPYQQFLGPHQLQQLIQGMNQQNVNRPGNSGGQGNSEKHQAG >CAK8579279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689541501:689542052:1 gene:gene-LATHSAT_LOCUS31235 transcript:rna-LATHSAT_LOCUS31235 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLPFLFVFVMLFALTSAISNKRKPHKPCKSLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKLVGRAQGFYIYAKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAVVTTDAFEGEAYFRIGVDIKFFECW >CAK8579280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689541537:689542052:1 gene:gene-LATHSAT_LOCUS31235 transcript:rna-LATHSAT_LOCUS31235-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFALTSAISNKRKPHKPCKSLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKLVGRAQGFYIYAKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAVVTTDAFEGEAYFRIGVDIKFFECW >CAK8543667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630471873:630477628:1 gene:gene-LATHSAT_LOCUS12411 transcript:rna-LATHSAT_LOCUS12411 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPAFSIERSSAQQLNNIGMSGALPSSMSIHPTPLDETYPRLSDSQLAFVEKDLKTRTFNHSSHISSSGAVGHMFSSSPGYSTDLHHSSLSPHEKPSRNAHFVAQPLSSVAPMPLPYSSNNGPVPSTTSTPYSNGNSASWHADSLPSFLDFSVNASINNNPLESSDCNIMATEEFSKRNDWQEWADQLISDDDTLTSNWNDILADNIQDLEPKAVDPISKSSSQFSAGHQSQGHQQLPALSGENHVGVAPSSSTNSASAKPRMRWTPELHEAFVEAVSQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGVGEKNVSPIEDISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGVEPFKASSSAIENPSGVSSDTIKDPFTKNELEASKTDHCKSGSDKANGSTTVEDSSPEAGRKQDTSKAQASEDLKQNDNEDSHQAPKRPRTDE >CAK8538919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499557097:499557654:1 gene:gene-LATHSAT_LOCUS8066 transcript:rna-LATHSAT_LOCUS8066 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCNGLLVFVGLTKSFSSSSCDTDDHKPSNNTEVSQSSSQYFEEDDEEGPQSHILDVDVNAPMLGREAEKRTSEPDEQSAEEEEEKVEEENIEKIIMIDDEEEEMMELEEEVELFDANDEEGDKGPKIDNVLIEENDIEEYYVEEEENSMLSIEELNKKFEDFIRKMKEHLRIDAKRQYLVMV >CAK8535214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826537836:826540047:-1 gene:gene-LATHSAT_LOCUS4687 transcript:rna-LATHSAT_LOCUS4687 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLDYDLSQKNKKLLDFIEDVTSHAYEIQKKVLAEILSHNANVEYLQRHGLNGQTDSETFKKLLPIITYEDIHNEINRIANGDTSSILTANPVSEFVSSSGTSGGERKLIPATEDDLARRYFLFSLFMPIMNQFVPDLDKGKGMCLMFVRNEYKTPGGINATSALTIYYKSTHFKNRSMSYNPFTSPYETVLCLDSYQSMYSQLLCGLCQNNEVLRVGAVFATGLIHAIRFLEKNWSLLCDDIRTGTINPLITDISVREAVMKILKSDKNLADFIQSECSKGSWQGIITRLWPNTKYVDVIVTGSMSQHIPTLDYYSNGLPLVSTVYASSECYCGVNLNPLCKPCDVSYTFIPSMCYYEFLPVNRSNNGSLHEKEKQELVDLVDVKLDQEYELIVTTYAGLYRYNVGDVLKVTGFKNNAPQFKFVCRKNVVLSVDTEKTDEVDLQNAIKNAITHLLPYDADVAEHTSYGDTRTIPGHYVLYWELNLKRSTRIPDCVYEDCCLTIEESLSNYYRQSRVLEKSIGALEIKIVEQGTFEKLMDYAISLGTSINQYKTPRCVKSTAVVELLESGVMAKYFSPKCPQWVPAHKEWINNKN >CAK8563690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625253435:625254796:1 gene:gene-LATHSAT_LOCUS17073 transcript:rna-LATHSAT_LOCUS17073 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLFGSRFLRQMQRAFRRGILTFLCLVLTVVVLRGTIGAGKFGTPEQDLNEIQQFYSRGRRVEPRRVLEEVQSSESTTDNSNNYATFDISKILKDEDGDDEKRDPNIPYTLGPKISDWDEQRSSWLSKNPDYPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKAIKNKIDYCRLHGIEVFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMIYDEKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGKVRDEAGKILTRELKNRPVFEADDQSAMVYLLATGKEQWGGKVYLENHYYLHGYWGILVDRYEEMIENYHPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPIDVKDELGLLHPAFKAIKLPTSS >CAK8564419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672964995:672968728:1 gene:gene-LATHSAT_LOCUS17725 transcript:rna-LATHSAT_LOCUS17725 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPDRGRSTSPTSRTPNHTPRLGPSRLSSSRIRSSQSSSSSSSSSCLWLWCRRKRTKVLLALIALFALFFFVNYIMLLRLQHQQDRPRSNPKPTPRSSLSLSLQGKWNKNSTSKKTLKGNYARMLALAAHALAENKREPKDLWQEPLVPASSWTPCSDQRNWEPSEGNNGYILVTANGGINQQRVAVCNAVVVARLLNSTLVVPKFMYSSVWKDTSQFRDIYQEEHFINYLAPDIRIVRDLPKKLQSLDLEAISSVVTDVDMGKEAKPSFYLKHILPIILKNQVVHFIGFGNRLAFDPIPFELQRLRCRCNFHALQFVPRIQETGALLLKRLRGHAGLIGPLDRHFVGPFGELNMTSEKNSKKASKYLALHLRFEIDMVAHSLCEFGGGEEEREELEAYREIHFPALALMIKTTKLPSPSELRSEGLCPLTPEESILMLAALGFNRKTNLFVAGSNLYGGGSRLAALTSLYPKLVTKENLLSSAELKPFANYSSQLAALDFIGCAASDAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLASIFMKNSSIEWRIFEQRMRKAVRQTKHVQTRPKARSVYRYPRCRECMCRTI >CAK8569194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681580100:681581866:-1 gene:gene-LATHSAT_LOCUS22075 transcript:rna-LATHSAT_LOCUS22075 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERLGVEESRLQEDFHGLSVSKRLVRSVSQKLRKKNIHNRSLGEVDDDDDDDVNGVSLKCLSLYGRGGGCKVGADTSDELGDSSARRRSSSSEEGKGYKPVCAYQDTAVVDCFSYGVRDRFWRRHHRKNSEFDEMVTSSNKMHVFLPDDILEMCLMRLPLRSLMNARLVCKKWRSLTTTPRFLQMRREGLYQNPWLFMFGSVKDGFCSGEIHALDVSQNQWHKIDAGFLRGRFLFSVASVQDDIFIVGGCSSLTNFGKVDRSSFKTHRGVLSFSPLTKSWRKMPSMKYARSIPILGVFEVSLDFSSCQSHQSRQDKRFPRSRIGGVSDVYEDPHKLSMRRHCRSTFNETEASSLHGRKAHKFLRQISDLSSSKSSRRFLLIAVGGLGSWDEPLDSGEIYDSASNKWTEIPRLPFDFGVACSGVVCGKMFYVYSETDKLAAYDIERGFWIAIQATPFPPRVHEYYPKLVSSNGRLFMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNAVFVADKNLIFGVEMFKIFGQVLDFFTVCDVSDMTNWNHISRNHVTHELDGSSCVTKSVAVLHL >CAK8560334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14641870:14644583:-1 gene:gene-LATHSAT_LOCUS14017 transcript:rna-LATHSAT_LOCUS14017 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSLSARSSLTFGEKLCVVFIPLIGIAEALFYGLTSCFSFRSLELKKKEKKFSSTFDDILALANDSPFNVNEIEALHELYKKLSCSIIDDGLIHKEELTLALMKTTVGKNLFLDRVFDLFDEKKNGVIEFEEFVHALSVFHPNTPLEKKIDFAFRLYDLRQTGYIEREEVQQMVVAILSECGMNVENEILEAIIDKTFKDADADKDDRISKEEWREFVIRNPSLLRHLTLPNLKEITTVFTSFIFNTGVDDSHW >CAK8560335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14641870:14644583:-1 gene:gene-LATHSAT_LOCUS14017 transcript:rna-LATHSAT_LOCUS14017-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSLSARSSLTFGEKLCVVFIPLIGIAEALFYGLTSCFSFRSLELKKKEKKFSSTFDDILALANDSPFNVNEIEALHELYKKLSCSIIDDGLIHKEELTLALMKTTVGKNLFLDRVFDLFDEKKNGVIEFEEFVHALSVFHPNTPLEKKIDCKTQFCSSIFILYVLIPSVLIKHILYFLGLFPVAFRLYDLRQTGYIEREEVQQMVVAILSECGMNVENEILEAIIDKTFKDADADKDDRISKEEWREFVIRNPSLLRHLTLPNLKEITTVFTSFIFNTGVDDSHW >CAK8532991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561638886:561639104:1 gene:gene-LATHSAT_LOCUS2644 transcript:rna-LATHSAT_LOCUS2644 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKINQFFISMVVVAMMMAASSVSAVEAPTSSVSAVKASAPAPGPTSDATTLFVPTIIASFVALVFGFIL >CAK8566128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382896145:382897861:-1 gene:gene-LATHSAT_LOCUS19289 transcript:rna-LATHSAT_LOCUS19289 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVKLAYIMNNSTRRATFRKRKNGILKKINELSTLCGIDACAIIYGENYPQVEVWPSGVGVRSVLYRFMRLPELERSRKMVDLEGFLSESIIKAQDMLKKQIEENQQKEMAYRISQFIHTGEYSMENMSLTEITSLMTFIDKSLKEVEQRLDLMDVHEQEKVTNGARVVSEEDMLANMDHATNQSLETNINYGMHSDDRFSINFSEIEFNDVNFNPNGFGSI >CAK8544898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711043206:711045652:1 gene:gene-LATHSAT_LOCUS13543 transcript:rna-LATHSAT_LOCUS13543 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTAVGMATSPTASVTNDITKAKSGLRRSRSSVDMCKRSIMHRSYSDNYLCCSFNRIHGASVQPKLKDNGSLGTGTSPFKFSGSVLPSSLRSLLFDKQIGKDMNKREKDMVTEENMVETCTEERVDRANWIGRLLEIKKHWRNRLPKESVDMDMMRDDNTTGEFECDDDDGCMVDYDDEEEVTYDRDSFSKFLVQVPWFDTKLYSQLAFLCNMAYEIPQIKAQELRRHYSLQFVTSSLEKKAAVAKLKAKLNQDLPRVPIDDSVVSGDTLEKGNDNEQKPQIRLAYDIAASAASYVQLRAKNLLTLAAKSQQSDDKDPNRREDSAEHEEKEGISRDYKSEVAAYITASTVTSVVAAGDRERHEAAKNLQSLHSSPCEWFVCDDFSNYTRCFVIQGSDSLASWQANLFFEPTKFENTDVLVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRAKLQFTGHSLGGSLSLLVHLMLLSRKVVSPSTLKPVVTFGSPFVFCGGQKLIDELGVDESQIHCVMMHRDIVPRAFSCNYPDHVAVVLKRLNRSFRSHPCLTKNKLLYSPLGKVFILQPDEKTSPPHPLLPSESAFYELDSTICGYSSRVLSTFLNQPHPIETLSDPTAYGSEGTILRDHDSSNYLKAVNGILKQYSKARVRRIRKQRIDELWPLITSPSPHLWSHEKNNLMTKEITSGV >CAK8537294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:206399292:206401064:-1 gene:gene-LATHSAT_LOCUS6593 transcript:rna-LATHSAT_LOCUS6593 gene_biotype:protein_coding transcript_biotype:protein_coding METQNTNSQSKLTRTKSSLLRCSSPTNRSSIHSLGSVNEDEFYDVEKLDKKKKNGTKKNKKRRFSSGSGSGSGSIRFVAAPILGFFSGCSLFFYVFYFFYIGSVGPTSENVLLILIFVAVALYLVNRNKRVIHRSFSVLKHSWDGNLKRLGFSSRGSEKPVQWFIGETGSADKEKVKVKENGTRKEGVECYSNGDFYEGEFHGGKCNGSGVYHYFGSGRYEGDWVDGKYDGYGFESWARGSRYKGCYRQGLRHGYGVYRFYTGDSYSGEWCNGQSHGFGVQSCSDGSCYVGEFKFGVKHGLGCYQFRNGDKYTGEYFGDKIHGFGVYHFANGHCYEGAWHEGRRQGYGVYSFRNGDRKLGEWDGGILRQSLPPHTHAVLTSVQAARRTAENAVNLLRNDEQVNKAVTAANRAAVAARVAAVKAVQNRMGGKFCNDQV >CAK8560150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8997739:8998761:-1 gene:gene-LATHSAT_LOCUS13855 transcript:rna-LATHSAT_LOCUS13855 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTMEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8560151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8997739:8998224:-1 gene:gene-LATHSAT_LOCUS13855 transcript:rna-LATHSAT_LOCUS13855-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8535221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827097712:827098321:-1 gene:gene-LATHSAT_LOCUS4694 transcript:rna-LATHSAT_LOCUS4694 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLYEVAHLKITREDILDEALDFTQARMNSKITTNQLSTFLRAQVTQCLKKPPHKGIPRLETRCYISSYEQDHSRSKVILNFAKLDFNMLQKMHQKELASITKWWKKSDFIKEVPYARDILVEAYFCPLACHFKKDRRKIGVMHFSFR >CAK8537668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:419007002:419008742:1 gene:gene-LATHSAT_LOCUS6934 transcript:rna-LATHSAT_LOCUS6934 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQLGQVPAVVISSSKAAELFLKTHDLVFASRPKLQGSEILSYGSKGFAFSEYGPYWRSMRKICTLKLLSASKVEKFAPIRKQELSVLVKSLEKSALVGEVVNVSDAVENLIEDIMFKMILGRSKYEQFDLKKLVRETLILFGAFNLADFIPWLGVFDLQGLKRGFKKISKTLDEILEMIISEHEQNTNKDKTRGEDFVDILLSIIHQTIDHDSEQNHVIDRTNIKAVLLDMMVASIDTSAISIEWILSELLRHPRVMKILQKEIQDEVGNKRMVEEKDLEKLKYLDIVVDETFRLRPTSAFLIPRESRESVIVDGYLIEKKTRIMINIWTMGRDPNIWSENAEEFYPERFIDKKMNYQGHEFESLPFGSGRRRCPGIQLGLTTIKLVVAQLVHCFDWELPYNINPSSLNMEEKFGLATPRAQDLHAIPHYRLVDVKHD >CAK8576525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487797695:487798840:1 gene:gene-LATHSAT_LOCUS28707 transcript:rna-LATHSAT_LOCUS28707 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYKWLTKKYPKVTEDANINTTPTVEYDNLYLDMNSIIHPCFHPNDDNINSCPPTTFMEVFANMFDYIDHLVTIVKPRKLLYMAIDGVAPRAKMNKQRTRRFRTAKDDEMRLRKLFEMEGKQVVPKQECEVSDSNIITPGTEFVHQLSKALKTYISSRISSHSLWKDIIVILSDANVPGEGEHKIMSFIRKQRGLPDYDPNTVHCLYGSDADLTMLGLSSH >CAK8542028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456896051:456900423:1 gene:gene-LATHSAT_LOCUS10902 transcript:rna-LATHSAT_LOCUS10902 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPERGNTVDSDSPWIHHSNRKRKPGKEITKKTDSPTNSALHQLTNPGKKEQRKPILGRTQPFISSPPSPFSSSVSVTLLGRICHAFSDIMDKDTLLAYLCEKSRPPSDSVPDINHRDGEQQLSLIEGNTPNCASNEVIDSEIESQIMKEQKELTHYQVEQHQSEYSEVTVYVNYILAKFPDMWQMIETGLTNEVLRSLRCLKEELASLKFDSSESCDALAFTLLYLRIIKLLVEVWEHLLPAKGSCSHGLGELELKLGKLDRRIKELMSKFVGFSAEEELNILELILVTYALRLCKVETICVNLTFKRLTSIYSCVESILKERPVLPSNFVVELGKLLHECQTASINGAFCSPLQFDKCLKLFSLKKFVFHGTIRQLKAELSISNNDSLHPFPFVSGLPVGVPCGITLHNIISKCKLWLKMSLDDGSVQHSFLDLDHLEGSGDVRNFVFTARFYRTLKVDSFTLKVCIGLESLFENVCPVQRYGGPKYELVPLCKEKQVYFSNVSKD >CAK8535229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827940573:827944642:1 gene:gene-LATHSAT_LOCUS4702 transcript:rna-LATHSAT_LOCUS4702 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPMNGRRLSFGFGNGGHELGPSSTPPSNAGSDYGSFVDFTRDDVEALLSEKSKRKDRFNYKERCENMIDYIKRLKVCIRWFQDLELSYSLEQEKLKSSLELSQQKCMEIELLLKIKEEELNSIITEMRRNCTSLQEKLVKEETDKSAAMESLVKEREARLDFERSQTTLSEDLGRAQRELQTANQKISSLNDMYKRLQEYITSLQQYNGKLHSELSSVEGDLKRVEKEKATVVENLTMLKGQLTLSMASQEEATKQKDAFASEVTSLRSELQQVRDDRDRQISQVQTLSTEIVKFKDSSEKSSSELNSLTMKTKELETKCTFQDNHILELQEKLTIAENKLEVCDISAIETRTEFEGQQKLVNELQRRLADAEYKLIEGEKLRKELHNTILELKGNIRVFCRVRPLLPEEGCSAEGKTISYPTSMEASGRGVELAQNGQKHSFTFDRVFAPDASQQEVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPGEKGLIPRSLEQIFQTKQSQQPQGWKYEMQVSMLEIYNETVRDLLSTTNKSASDATRVENGTPGKQYTIKHDASGNTHVSDLTVVDVQSVKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSRSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHIPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQASSGESLCSLRFASRVNACEIGTPRRTTTNSRPAESRLSYF >CAK8564477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676994629:676995819:1 gene:gene-LATHSAT_LOCUS17779 transcript:rna-LATHSAT_LOCUS17779 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDETQQLLLSEPRDHSHVNEKSDLLQRRRTRRGRISDTEINLSQEQNGNLETPLHPQSIDETQEAELNFRPVFLFLAAYLGTGTLCFFLASYQIQGIKTYGFLDALYFCVVTMTTVGYGDLVPNSTTAKLLACLYVFTGMALGGLILSKAADYIVEKQEVYIVKAICKAEKLGLEEVSKELVTKKSKYKLLLSSSTFVVLMIVGTVFLYFVEKLDFVDAFYCVCSTVTTLGYGDKSFSTAIGRTFAVFWILSSTICLAQCFAYLADFYTEDRQRSLAKIVLTRKFSSHDLEAADLDGDKAVSAAEFVLYKLKEMGKINQEDVKAVMEIFRKLDYDQSGTLTEADFRNPEL >CAK8541774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392812186:392812961:-1 gene:gene-LATHSAT_LOCUS10669 transcript:rna-LATHSAT_LOCUS10669 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEEVKLFGMRGSPFVTRVDIALNLKGIEYEYVQEKLGNFSETLIKYNPVYKKVPVLVHNEKPISESILILEYIDETWKQNPILPSDPYKRALARFWSKFIDDKFLGAVRIATSSHDEKEREESFKEIEVAFQFLENELKEKYFGGEVIGIVDITAVFVAFWFPIIQEAAGLELLTSDKFPKLYKWSEDFNNHPVVQEQLPQRETLLAFFKARFESLVASK >CAK8531663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:136926529:136931316:1 gene:gene-LATHSAT_LOCUS1438 transcript:rna-LATHSAT_LOCUS1438 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQHFNHDSLLRYCSSNVSDFPSSPTHFNLSQFGHGQSNPTYLMEVGSNGSVVKKYVLRKKPPGKLLASAHAVEREFLVLQAIGNNTKVPVPRVLCLCNDPSVIGTAFYIMEYLDGRIFFDPKLPGLSAESRRAIYRETAKTLASLHSVNVDSIGLGNYGRRNDYCKRQIERWGKQYVSSTSEGKPASNPKMFALIDWLRNHIPSEDSSGATAGLVHGDFRIDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYILMSYIKDIGQVKVQEGMERSGLPAGIPPLPEFLAEYCSLAGRKWPVAEWKFYVAFSFFRGASIYAGVYNRWVKGNASGGERARYTEVLANGLIDAAWEFIERKFVLPERPPSGVNAKELVVKSIDTQDLSSEGRFALRKEVLVLRNKIVKFIEEHVYPLEDEFAKLAHSEARWTIHPAEEKLKELAKKEGLWNLWIPVDSAARAKKILFDGSNNNLSTDSNDLLLGAGLTNLEYGYLCEFMGRSVWAPQIFNCGAPDTGNMEVLLRNGNKEQMLKWLIPLLEGKIRSGFAMTEPQIASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCKILILMGKTDFNAPRHKQQSMILVDPQTPGVHIKRPLTVFGFDDAPHGHAEIVFENVRVPASNILMGEGRGFEIAQARLGPGRLHHCMRLIGAAERGMQLMAHRALSRKAFGKMIAQHGSFISDLAKCRVELEKTRLLVLEAADQLDRYGNKKARGIIAMAKVAAPQMGLMVLDMAIQVHGAAGVSSDTVLAHLWATARTLRIADGPDEVHLGTIGKLELEIQRAKL >CAK8543515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614071324:614074075:1 gene:gene-LATHSAT_LOCUS12269 transcript:rna-LATHSAT_LOCUS12269 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVKDEKLKKQFQKQMGCMAGFLHIFDRHHSFPLKRLNSTKPTEPETNGSNSPAESIPEAETTQLEKPALQILPVFDFKEGTKSSWKLAREAPRLSLDSRAVMDAKGTIHPKDEEKRRRSTSVVAKLMGLEDSDPNHNPNPSHNPNPKLQRSASESMVHRDLSHSQHYRFFDTTNFQLKQFDSVSLLNDRDSDLTEKTEPVRGILTKQKKCFYDSTDFFPGPKQSISVSVQGEIEKRLKMRGIHEPSKDLETLKQILEALQLKGLLHSKKLTNHRNFVMENVSDSPIVLMKPGKSIHRTGWTGNESLPPASTFRSKPKAQTDHMQAQVKNRNSSNSPTRSPNRLRKVSSVETQRGVDRRRVSPVQSQKIEPNRQSPVRSPRMRKVTTYQKEEKTMAEDESSTVSESSFSTSSHTDTERLTKVEDQYREGKELLQRCDKLLNSIAEITELQQPSPVSVLDSSFYKDDSSCSPSPVMKRCIEYKDLGAESEEDAWSTNLCSNETKSEDCDFVYVSEVLRASNYLPKDKDNDMFLLLEQQQYLKGNNTSKVSTLQRRLIFDTIHEILNGKRRLPPWKLENLQSLDKIWSEFRRIREREESEDMFEVICAVLKKDMNGENEWGECHVEFGDVVLDIERFIFKDLICETIGDLALCRGIPRNKVSVLRRKLEFYN >CAK8564332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668515967:668519069:-1 gene:gene-LATHSAT_LOCUS17647 transcript:rna-LATHSAT_LOCUS17647 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLFLFSLFLHSTLAQIPAIPINPTVPHQPAIPQNPTVPHQPAIPENPTVPHQPAIPQNPTVPHQPAIPQNPTVPHQPAIPQPQNPTVPHQPAIPHHPAIPHNPAIPHKPTIPHQPKAPILVKKWSTLSGNEPIVIARGGFSGLYPEGTPDAISTSKDISIFFCNLQLTKDGGAFCVTGDTLDNATTVALFDPKQRVYDINGKDVRGHFSVDYDTAQIETNVSMIQSIFSRPSYYDGIEAVLNVDVLFNDKKPPKFWLNVQNAGFYPKHGVQAVQNVIEILEACPIAFVSSSDIGFLKSIVGKIPKMTKVIFKLRNAIDVEPSTKQPYSAIVKDLLTIKSFAAGILVPKDYIWPVKPDKYLGLPTTLVADAHKLGLEVYASGFANDLTLSYSYNYDPTAEYLQFFDSKDSVDGVVTDFPATASNAIKCFAQNNTAHKKGPTLIISSNGASGVYPGSTDLAYEQAITDGADIIDCSVQMTKDGIAFCSESIDLTLQSTAITKFTGRSTIVPEIQPKSGIFTFDLTWTEIQSVKPQIVSSQGNDFQRNPANKNSGKFVTLAEFLELAKKKAVVGILVHIQNAAYLASKKGLDIVGAVTTALNNATFDKQTTQQVFIQSDDTSVLSKFKDIPSYKRVLFIEDVIDDIPVQTAEEIKKHADAVNLLKLSVIKTSDSLLIRITNAVKALKDLNLKVFVHTLKNEYLSLAFDYWSDPTIEVSTYVKAVNVDGIVTDFPATASRYMRSPCSDLQSRIPLEPKPGDLLDTVPAELKPATEPPVPLLVNKVVDPPLPEVINLTKTEPAAATTPPPPSGTGAFRVNSGSTLVAVLVVAMLYADH >CAK8539461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513219344:513226685:-1 gene:gene-LATHSAT_LOCUS8552 transcript:rna-LATHSAT_LOCUS8552 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTQSLWPCRLACVCLGISNNRIRTTLPNNKRRISKTLRVRAMRAVVQRVDSASVEVEGSIVSEIGPGLLVLVGIHDSDSDADADYICRKVLNMRLFSNEDTGKAWDHSVMQKNYQVLLVSQFTLYGILKGNKPDFHVAMAPQRAKPFYASLVDRFRNAYNSDAIKDGVFGAKMKVNLVNDGPVTMQLDSQSPKNTVDAAES >CAK8539673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521117846:521119466:1 gene:gene-LATHSAT_LOCUS8751 transcript:rna-LATHSAT_LOCUS8751 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNFVLYIIFPFLVLILTKLLLFSTKTFKKLPPNPPSLPIIGNLHQIKQPIHHYFHNLSQKYGPIFTLKFGSRLVAVVSSPSLPEECFTKNDIVFANRVHSLKTKYLAFNNTNIVTSSYGDHWRNLRRISSIEILSNHRLNSFSDIRKDETMRLIQKLAENSQKDFTKVELRTLFSELTFNIIMRMVCGKRFYGDESDEAKKFRDIMNEMQQFGLGSNLGEFVPLFRLFDFSGHEKKLKKVGEKMDALFQGLVDEHRKDRNENKNTMIDHLLSLQQSQPDYYSDQIIKGLIMALIVAGTETSSITLEWAMASLLNNPEILEKAKVEMENHIGEERLIEEGEATKLQYLQNIIHETLRLHPAAPMLLPHVSSEDCTVGGFDVPRNTMLMVNTWAIHRDSELWADPTRFKPERFEGNRQVEMHGFMPFGMGRRACPGSGLAIRTLGLTLGLLIQCFEWKRIGEEEVDMAEGRGTLVPMAVPLEAQCKARPIINFLLNIDRN >CAK8532039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:195291285:195292826:1 gene:gene-LATHSAT_LOCUS1778 transcript:rna-LATHSAT_LOCUS1778 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINRAEAWFVKIACTVFVRYSSFDRYLFYFRKHLTPNLVSDIVHYRFNNIPSLGFKVVEFSREKLQMSHNYWTYSMLLRSLCVSNHHVSAKLVYDWMRFDGQVPDHWLLGFLVSSYALIGRFDISKELLADVQCSDIGVNAVVYNNLFNILIKQSKVRDAVDMFWELIQLKYRPVTYTINILMRGLCRAGEIDEAFKLLSDLRRFGCLPDVITYNTIIHGLCRISEVDRARSLLNEVCVKGEVVPDVVGYTTVISGYCKMSKMEEAFLLFDEMIRLGTTPNTYTFNVLIDGFVKLGDIVSSLALYQKMLFQGCYPDVVTFTSLINGYFVIGQVKHAMEMWDEMNSRNIAASLYTFSVLVNGFCKNNRLHEARDVLRKLKQSDVVPQPFVYNPVIDGYCKSGNVDEANKILAEMEEKRCKPDKLTYTILIIGYCSKGRMVEAIAIFDKMLAVCCAPDEITINNLRSCLLKAGMPAEAARVKEALCQDNTLSTSSKKKSCHESSNADIPIAVY >CAK8569731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9423121:9424147:1 gene:gene-LATHSAT_LOCUS22548 transcript:rna-LATHSAT_LOCUS22548 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTKWLCSFRRSGRSRKECDDEDSLCNKPNVNEEYLQTFRTNSYIEICNKASRLSSSCSTFSPSPICMNLTDSLLEPRQEIITNMTQNFKVHHLIVDYFEASLDACRCCDIILEGIHSTRLSYTRITRVVKLSNSANEKTKKDNIHKEVASFPSKQENNCLSVISTMQFRDSRDRFIELLQRLTSKRKKMKRKLTLISVCKKVGGIALVTSHGAILNAAAKGVFIMINDLDTMIRMVKRLNDEVEHKKMVADVCVRNVGSKCDILKQVMDEFSDYESRFLEQLEELEEHVYLCFLTVNKSRRLVMQQII >CAK8537977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452695443:452697382:-1 gene:gene-LATHSAT_LOCUS7223 transcript:rna-LATHSAT_LOCUS7223 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSSLSPLLENQNHDMNSFNSVTTTFLSKLPDKVHSLVIHTDSSFHFDPHSSISTTLSQGEKEYYERQFATLKSFEEVDSLVTSDSVDEEEVEKQAQHERAMKISNYANIILLVLKIYVTIRTGSMAIAASTLDSLLDFMAGGILWFTHLSMKNINMYKYPIGKLRMQPVGIIIFAAVMATLGFQVLMTAVEQLIENNPSEKMSYDQLLWLYSIMIFATVVKLALWFYCRTSGNKIVLAYADDHHFDVVTNVVGLVAAVLGDKFYWWIDPIGAILLAIYTILNWSGTVMENAVSLVGKSAPPEVLQKLTYLVVMHSRIKRVDTVRAYSFGVLYFVEVDIELPEDLPLKEAHIIGESLQIKLEKLPEVERAFVHLDFECEHKPEHLVLSKLPDS >CAK8569236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686047947:686048924:1 gene:gene-LATHSAT_LOCUS22114 transcript:rna-LATHSAT_LOCUS22114 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISSWNFILFTLLFSLIIQESYGRKINNIMEVENSVHKEHNSREDHHLYHHHQSHIEPELNVFFVPKDLKLGKIMPIYFSRKDSSKSPKFLPKEESDKIPFAPEKLPLLLDFFAISKASPQAKAMKYTLDQCHFEPMEGETKFCATSLESLFDFANSMFGSISNFKFLTTKHVTESTVPLQNYTISKVNEISVPNAFGCHPMPYPFAVFYCHTQKGDANLYEIVVEGENGGIVEAAAICHMDTSKWDADHVAFRVLNTRPGKSPVCHFFPPDNLVLVPTP >CAK8560088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7134785:7146156:1 gene:gene-LATHSAT_LOCUS13801 transcript:rna-LATHSAT_LOCUS13801 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIQSRVEPWMREQGARLMKVSWGPLQWRMRWPWTNHREQKKRIKEEYERRRKQLNDLCLALKTESLSDLQDLLCCMVLSECVYKRPATEMIRAVNKFKADFGGQIVALERVQPSSDHVPHRYLLAETGDTLFASFIGTKQYKDVIADANILQGAIFHEDVAEESDAHAATESDKGENSNGKEYIWNPLESRSKQKSNYKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAASSSSKENGNVSIKCITFSQPPVGNAALKDYINRKGWRHYFKSYCIPEDLVPRILSPAYFSHYNAQSGPVLSENESNSLLLRKQEEGVAKPKINNGEQLVLGVGPVQRSFWRLSRLVPLEGLRRQFSKHQERRISSVETNSLPDSLSNTLIEDEVVQPRSLEIQEGSDGISLKPFPETDKHSLEVSTNGKTNAKADAMNGDEGKWHKVPYLPSYVPFGQLYLLEKSSVESLSGAEYSKLTSVKSMIAELRERFQSHSMKSYRSRFQRIFDLCMSDDASSFLGIEQWQQVSHLQQWLGLAAADTVELGRIVESPIIRTATSIVPLGWNGVPGAKNGEPLKVDITGFGLHLCTLVHAQVNGDWCSTTVESFPSAPNYSLNQEIQPELQKMRILIGAPQRTAPKYQTVLDSLMPTFTSVDSKTAGSSACVDKDTCVRPESLNNFLIFCTSDFTTVSKEVHLRTRRVRLVGLEGSGKTTLLKAIMNKCKPSTAADDDAVSDIDMQEVIADGLCYCESAGINMQELKSETSRFKDELWAGIRDLNRKTDLIVLVHNLSHTIPRYSDSNGTRQKPVLSLFLDEAKCLGIPWVIAITNKFAVSAHHQKAAIDAALKAYQVSPSSVEVINSCPYVMPGFAGASLSWDATNAESNNRVGAQKILLAPINFVRRPFLKKETVLQVEGVSSLCQQIHRVLRSHEESSFQELARDRLMMELAREQRISNEGSKNGVGRAISLNSAAVGASLGAGIGFVLAIVMGAASALRKP >CAK8539373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510317582:510320714:-1 gene:gene-LATHSAT_LOCUS8476 transcript:rna-LATHSAT_LOCUS8476 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKLGVDVVGAHNLLPKDGEGSSNAFVELYFDGQKFRTTIKEKDLNPVWNESFYFNISDPSNLHYLTLEAYVHCHSKATNSSSFLGKVSLTGTSFVPLADAVVLHYPLEKRGVFSRVRGEIGLKIYITDNPTIKSSIPSPSGESMSMNNQVEGRGPVNATMNGLSRDKVESSRHTFHHLPNANHHRHQQQSTGYADTHYVTKYEADEMKSDQPQPMKLVHMHSVSSLQPVDFALKETSPFLGGGRVVGGRVVHKDKTSSTYDLVERMYFLYVRVVKARELPSMDVTGSLDPFVEVRIGNYRGITKHFDKNQSPEWHQVFAFSKERMQASVLEVVIKDKDLIKDDFVGIVRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKVKGELMLAVWIGTQADEAFSDAWHSDAASPVDSTPATTAVIRSKVYHAPRLWYVRVNVVEAQDLIPTEKNRFPDAYVKVQIGNQVLKTKTVPARTLNPHWNEDLLFVAAEPFEDHVIISVEDRVGPGKDEIIGRVFIPLNAVERRADDRIIHSRWFNLEKPVVVDVDQLKKEKFASRIQLRLCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGVLELGVLNAIGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQISGEKSNNKDLKIGKVRIRISTLETGRIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFSNMLYLYSKPLLPKMHYIRPFAVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGVFAVGKWLGDICMWLNPITTVLVHVLFLMLVCFPELILPTLFLYLFLIGVWNFRYRARYPPHMNTRISQADAVHPDEMDEEFDTFPTSKNPDVVRMRYDRLRSVAGRIQSVVGDLASQGERIHAMLSWRDPRATSLFITFCLLSALVLYITPFQVVAGLAGFYFMRHPRFRNRLPSAPINFFRRLPARTDSML >CAK8570516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55048890:55052888:1 gene:gene-LATHSAT_LOCUS23259 transcript:rna-LATHSAT_LOCUS23259 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQKVLLQHLNPSSSSIHDNDSSSTSLNASACTAGDSAAYHRKASFGDDVVIVAAYRTAQCKAKRGGFKDTHPDDLLAPVLKAVVEKTNLNPSEVGDIVVGSVLGAGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIRAGFYDIGIGAGLESMTTNPMAWDGSVNPRVEMFEKAQSCLLPMGITSENVANRFGVSRKEQDEAAVESHKRAAAATASGRFKDEIIPVSTKIVDPKTGEEKSITVSVDDGIRPNATLADLAKLKAVFKKDGTTTAGNSSQMSDGAGAVLLMKRSLAVQKGLPILGVFRSFVAVGVDPAIMGVGPAAAIPVAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDSQKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSVDELRNARVGNNLHLSKDAR >CAK8571314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:371503833:371504513:-1 gene:gene-LATHSAT_LOCUS23986 transcript:rna-LATHSAT_LOCUS23986 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHSFSYSLSSSRVSSSDAYVCPHLALAFGSCSCSTQVNNKRKLGHQETLDVYSYPNVCLHLSLSFCSCLHETQIVKKQKRVQQETNNSATNNTCDAVAVGTNMDCSTNVGDPWMIKKVLTKSDLDDNCRLLLNRDLAKKCVVPMVDKAKAENDGVKVEVFDVDTRFPLSLTFKIRPSNDSHVFNNTWITDFVDRRNLKKGDVIGLKWNNDKKIFDFSVLHRS >CAK8574333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675570971:675574684:-1 gene:gene-LATHSAT_LOCUS26688 transcript:rna-LATHSAT_LOCUS26688 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSCYKPTLLLIIVLTFFFSQSFASLPFIWPLPAKFSFGNETLSVDPTLSLIGNAADSPIVKAGFDRFKGIVFTNNGYTFGFVTTDKAVYDVNQLNVVVHNKTEELQLGVDESYNLFIIKATGSGKVTIEANTVFGALRGLETFSQLCSFDYSTKRVQIYKAPWSIRDKPRFPFRGLMLDTSRHYLPVNVIKQIIESMSYAKLNVLHWHIVDEQSFPLEVPSYPNLWKGSYSKWERYTVEDAYEIVNFAKMRGINVMAEVDVPGHAKSWGIGYPDVWPSPSCMSPLDVSKQSTFDVLSGIMTDMRKIFPFELFHLGGDEVDTDCWTNTSRVNKWLQNHNMTANDAYKYFVLKAQHIALSKNWSPVNWEETFNTFPTKLHPRTVVHNWLGAGVCPKVVAKGLRCIFSNQGVWYLDHVDVPWDVVYNADPVEGIHEASEQKLVLGGEVCMWAEVVDTSDIQQTIWPRAAAAAERLWSPRQFTTGRNGNLTALPRLQYFRCLLNRRGVAAAPVTNFYARNPPTGPGSCYGQ >CAK8575896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351191600:351192829:-1 gene:gene-LATHSAT_LOCUS28121 transcript:rna-LATHSAT_LOCUS28121 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMEQEKADILEARAVEEIEMPIVSDADRLEHLTPMSSMSSSNNYVCRFSRKENSDDASNESAKKLSLLIVFYAVVMVVELIGGMKAHSLSVISDAVHLLSDIAGFAISLFAVWASRWEATPRQSFGYNRLEVLGALLSVQLIWFISGFLIYEAVGRIVNRNDSVNGKLMFAIATFGFVLNCIMVLWLGHDHSHHHGFGDSHGHGHDHSHGHDRHHSHGHDRHDHSHSHQCGGDADHDNGMEELSKVTDEENLTMISNGKRKTNVLNINIQGAYLHVMTDMIQSLGVMIAGGVIWAKPEWFIVDLLCTLVFSVLSLSTTLTMLKNIFGILMERTPSEINIIQLENGLRSIKGLQEIHDLHVWAITVGKLFLSCHVVAEPGISSIDLLDTIKQYCENTYQIQHVTVQIE >CAK8533189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589008605:589009075:-1 gene:gene-LATHSAT_LOCUS2836 transcript:rna-LATHSAT_LOCUS2836 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGYKLLQPDSTRPGFEFNAGFISSPPSDVVFCGKVISRKTEASQKTQMEGESTSDRINVFVAGLRSPSGRGNLWWRSNSDRKSYTGIFGTVKFPLQMELSDMKTRQERREPMPLPKFTTKDDGGESYWELVRPIRRRGSIMRTLMSSFSCISIA >CAK8576786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:514443290:514444417:-1 gene:gene-LATHSAT_LOCUS28954 transcript:rna-LATHSAT_LOCUS28954 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNTVMEAIFVTKPISHFKPKHPLTPKHSFLSHHQSLPFRHKPTYFPTVTAAIQNQQQETTPKNIEDESYGEVKRIIGSKALEDSTGMEYLIEWKDGHEPSWVPADFIAKDVLSEYETPWWTAARKANEAEIKKILESDDGRDVNAVDADGRTALLFVAGLGSEPCVKLLAEAGANLDHQDNSGGLSALHMAAGYVRPGVAKLLLDLGADPEISDDRGRTALELARELLKATPKGNPMQFGRRMGLEGVVRVLEGAVFEYSEVEEIMEKRGKGENLEYLVRWKDESANEWVKAKYVAEDLVKDYEAGLEYAVAEAVVGRRLGDDGKYECLVKWVDLEEPTWEPEENVDSELVKMFEVSNNQASPVLIMGFLRPL >CAK8577030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532700626:532700859:-1 gene:gene-LATHSAT_LOCUS29174 transcript:rna-LATHSAT_LOCUS29174 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEDEVKKASHGNDWEVISLTASTYAAAPGPDKVELKDDDKEYAYTPNEAERSNTLFLFDHFAFPPSRHEFAIGT >CAK8543298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:597176377:597178367:1 gene:gene-LATHSAT_LOCUS12064 transcript:rna-LATHSAT_LOCUS12064 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPYSSNLFSSSSPSFFQFNHIFPSSNPSPHHLHNPFLHHHNNNHTFFHYHHISSSSSPPSPPLREELPLLSLIPAKQEEYEDQQEHDEDIQDLPCTAMDLEEREEEGRSSNTVTVALHIGLPNPSAAEMASVLSSSNNSSEITVTDKEQGDDSSSGFIMLHNNTLNKGQYWIPTPSQILIGPTQFACPVCSKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCSKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGFDGFEEEDEPASEVEQDND >CAK8541662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:340704248:340707744:1 gene:gene-LATHSAT_LOCUS10566 transcript:rna-LATHSAT_LOCUS10566 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQTTASSSGGGFFASIASSLSNLGSAMSKSVNGPTGIEIEGLEVVNPEGGTEDAEEEAKKGRWKEEERDSYWKMMQKYVGSDITSMVTLPVIIFEPMTMIQKMAELMEYSYLLDMADETDDPYMRLVYASSFFISVYYAYQRTWKPFNPILGETYEMANHGGMSFIAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVTLDLVPPPTKVSNLIFGRTWIDSPGEMVLTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYNVTEEPKILITGKWNDSLSYQVCDPEGEPLPGTELKEVWKVAEVPKKDKFQYTYFAHKLNSFDTAPKKLLASDSRLRPDRLALEKGDLSVSGHEKSSLEERQRAEKRNREAKNQKFVPRWFDLTEEVTPTPWGELEVYQYNGKYLEHRAAIDSSDYIEEPESRPEFNPWQFDNLEAE >CAK8561794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385760579:385761983:-1 gene:gene-LATHSAT_LOCUS15350 transcript:rna-LATHSAT_LOCUS15350 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSNVIELGKSPDERKSEAEQELQDEESFSYAIHLSTSIVLPMALQSATELGVFDVLLKAGHDAQLSADEIASRLSCINPDAPKMLDRILALLASHSILKCLVLQDEQKLGSFRRLYTMAPVARFFARDSDGVSLGPLLSLIVDKVFLASWPELKNAIREGGVPFDRVYGTHAFEYPSLDSRFNQVFNTAMINHTKLVMNKVLECYKGFDNVKRLVDVGGGLGVNINLVTSKYPCIKGINFDLPHVIQHAPSYLGVEHVGGDMFKSVPEGDAIFMKWILHDWSDEHCLKLLKNCYDAIPDDGKVIVLEALLPIIPENGYASRYTSQLDVLMMTQNPGGKERTKQEFEGLATRVGFSGIRYECCVRNFWVMEFFK >CAK8532088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201000017:201000498:-1 gene:gene-LATHSAT_LOCUS1825 transcript:rna-LATHSAT_LOCUS1825 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8538237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472454512:472456190:1 gene:gene-LATHSAT_LOCUS7458 transcript:rna-LATHSAT_LOCUS7458 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNKKQAWSSSSSSTVKFDQLFGPKESSPTSSSSVFGSIFPPPPPPYVEGRGSRAQELGSKNLGTLGNSNSNKNTSSNYQNVTGEPSYFSSSIHYGGQENYSSRNRTTESHHVLKKDKNHGDFNGNNSNTASRGDWWEGSLYY >CAK8566941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474302863:474304752:-1 gene:gene-LATHSAT_LOCUS20036 transcript:rna-LATHSAT_LOCUS20036 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSFQVLPNLTTPPQHTQRTHGSTIRCGIAEPSGKPAPLGQKTRYNDSFFEKAFITLFARKMEPFADPVIGKDKENKKKGLLDFWGYDYESFVDVSKRVMLRRSRLQQQEVVREVLLSMLPPGAPAQFRKLFPPTRWAAEFNAALTVPFFHWLVGPSEVIEVEINGVKQKSGVRIKKCRYLENTGCVGMCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCDMVYGQTPPSFEDDPVSKQPCFADICSVANPNSSVCPKLLG >CAK8539005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501423957:501426000:1 gene:gene-LATHSAT_LOCUS8145 transcript:rna-LATHSAT_LOCUS8145 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPQQSRVGGLQTSLSLVPLDPRLSPEDPRSNSDNLRESPTESASSRETWPTADAIAAKKMENGKAAIDCPDQSVIRRVSSADRISLQDIARERVDVICDRMHRLPDEFLDELKNGLRAILEGGNGTQHRDEFFILQKLVQSRSDLTAQTLIRAHRVQLEILVSINTGIQGFLHPSISLSQTSLIEIFLYKRCRNIACQNQLPADECSCDTCTNNNGFCNLCMCVICSKFDFEVNTCRWIGCDLCSHWTHTDCAIREQLICMGPSVKSGSGSSEMVFRCQACSRTSELLGWVKDVFQHCAPSWDGDALIRELDFVSRIFHGSKDQRGMNLFWKCDDLKEKLKSRKLDSKAACRAILMVFQELDLDNSKSLENAESGRLIAPQEACNRIAEVVQEAIRKMEFVADEKMRMFKKARLAVEACDRELADKAREAGDLKVERQKKKSQIEELERIVRLKNAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYTSNYLKQKLSEAEAEKQYLYEKIKLQESSRLSQSSGDPSSMLMYSKIHDLLYNGPPKADSQSNDCHPFRTNP >CAK8563997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645013590:645014375:-1 gene:gene-LATHSAT_LOCUS17347 transcript:rna-LATHSAT_LOCUS17347 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKTHLSFPFRTPSLSSSSKHLTHHLRSSPFRSTIKMMSTKSKAIEHIVLFKVKENTEASKVTAMVTGLSSLISLDQVLHLTAGPILRNRSTALTFTHMLHSRYKSKEDLESYSAHPSHLSVVRGSVLPIVDDIMAVDWVAEDVEGADLVPAVGSAIRVTFMKLKEDAVSDEVVDVIRGIPESFKQIKELTCGKNFSPARAKGYSVASLAVFPGEKELEEVDSNKELVEYQKNKVRDRVESVVVIDYVVPQPPPQSASL >CAK8577509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570010532:570011293:1 gene:gene-LATHSAT_LOCUS29611 transcript:rna-LATHSAT_LOCUS29611 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRISFSNDFVVSQQQAVKHENIYREAPVSSDFEFSVKKYSMISADQVFFKGMLLPGNECSKKVTLRDELLNDDDSPKWSKSLSRWKERLGLKRGSSKKDKNKNDHDHGFLQRSVVNQKVPGFEQGETTVNKDNMELFYEGGVSFKGK >CAK8538777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496176917:496177756:-1 gene:gene-LATHSAT_LOCUS7939 transcript:rna-LATHSAT_LOCUS7939 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPVKGKKTADGDREDRRTLGLVLLRGEEVVSMTVEGPPPPEESRSKGVNAAAMAGPGIGRAAGRGVPPAPVIQAQPGLSGPVRGVGGPAPGMMQPQISRPPQMSAPPVSYPGGAPVMRPPGQMPYPGQGPPQMARGPPPPMPPGQFPQRPGGPPPQFSMPPPQYGQRPMGPPPPGQMVRGPPPPPRPGMQAPPRPGMPPPPGSGVPVYGPPRPGMPPPPNAPNQQQQN >CAK8530116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1604687:1606699:-1 gene:gene-LATHSAT_LOCUS9 transcript:rna-LATHSAT_LOCUS9 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEDPETMVDQMVNLFGTGDYTAMTPLQDIDEEALPLNQVYCPPQHMTNLQFSGDDTSSDYFYNPSQQIESVLKVGNQYRIKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNVSCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGANPSVKVSTIISHVVAWFNYTPSYRKAWIGRIKAVGHVYGNWDHSYQQIPQYLLAIQKYVPDTVVILESLPAYTPEGTCVAGSRIFSRLFWEFQPCIKGFSFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFLIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASLVSAYNNPANGWHNPPSVHVFCIRHIAHNFMRDIKDINLRKKVVNAGYALNQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLLITALVRAMYYRLGSLFAVRGKKWSVVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCNCGKFQTFCMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGLPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8575876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:346959514:346962837:1 gene:gene-LATHSAT_LOCUS28102 transcript:rna-LATHSAT_LOCUS28102-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVYVFVAFMLCGCAFGRECTNTPTQSHTLRYELGISKNETWKTEMLSQLSQYHVTPTDDSAWVDLLPRKFLSEEHQRGWSVMYRKIKNPGVFKLPVGFLKEVPLEDVRLLDGSVHADAQQTNLEYLLMLDVDSLIWSFRKTAGLPTPGKPYGGWETPDQELRGHFVGHYLSASALMWASTKNDSLKEKMSALVTGLSACQEKIGTGYLSAFPDEYFDRFEAIQPVWAPYYTIHKIMDGLLDQHVKGGNPQALKMLTWMVDYFYNRVMNVIAKYTVHRHYDSLNEETGGMNDVLYKLYSVTRDSKHLLLAHLFDKPCFLGLLAVEANDIAGFHSNTHIPIVVGAQMRYEVTGDPIFKDIGSFFMSIVNSSHSYATGGTSVSEFWSDPKRMANALTTESEESCTTYNMLKVSRHLFKWTKEVSYADYYERALTNGVLGVQRGTDPGVMIYMLPLGRGQSKAKSYHGWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGNNPSLYIIQYISSSFNWKSGKVLLTQTVVPAASSDPYLRVSFTFSPNEKNGTSSTLNFRLPSWTLANGAKAILNTETLFLPAPGLNLSLLLLLISPG >CAK8575875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:346959514:346963924:1 gene:gene-LATHSAT_LOCUS28102 transcript:rna-LATHSAT_LOCUS28102 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVYVFVAFMLCGCAFGRECTNTPTQSHTLRYELGISKNETWKTEMLSQLSQYHVTPTDDSAWVDLLPRKFLSEEHQRGWSVMYRKIKNPGVFKLPVGFLKEVPLEDVRLLDGSVHADAQQTNLEYLLMLDVDSLIWSFRKTAGLPTPGKPYGGWETPDQELRGHFVGHYLSASALMWASTKNDSLKEKMSALVTGLSACQEKIGTGYLSAFPDEYFDRFEAIQPVWAPYYTIHKIMDGLLDQHVKGGNPQALKMLTWMVDYFYNRVMNVIAKYTVHRHYDSLNEETGGMNDVLYKLYSVTRDSKHLLLAHLFDKPCFLGLLAVEANDIAGFHSNTHIPIVVGAQMRYEVTGDPIFKDIGSFFMSIVNSSHSYATGGTSVSEFWSDPKRMANALTTESEESCTTYNMLKVSRHLFKWTKEVSYADYYERALTNGVLGVQRGTDPGVMIYMLPLGRGQSKAKSYHGWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGNNPSLYIIQYISSSFNWKSGKVLLTQTVVPAASSDPYLRVSFTFSPNEKNGTSSTLNFRLPSWTLANGAKAILNTETLFLPAPGKFLSITRQWSSDDKLTLELPLIVRTEAIKDERPEFASLQAILYGPYLLAGHTYKNWDIKVGAKTSVADLITPIPSSYNNQLVSLSQDFKNLTLVMTNSNQSLTMQNLPAPGTDIALHATFRLVQKDSISKSVVLEPIDLPGNTVSHQGPDQPLIVVESSLGGPSSDFLVVPGLDGRNQTVSLESKSNKDCYVHSDMSAGSELKLKCKSDSEASLNQATSFVFGKGLKQYHPISFLAKGTYQDFLLEPLFSFRDEHYTVYFNIQGQGQGHASWNSK >CAK8575548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:160933642:160935761:-1 gene:gene-LATHSAT_LOCUS27804 transcript:rna-LATHSAT_LOCUS27804 gene_biotype:protein_coding transcript_biotype:protein_coding MVDREFPPRLQEKFTAIVVCWLLGNGVLFCWNSMLTIQDYYVSLFPNYHPSRVLSLVYQPFAFGTLAILAYKEAKINTRKRNLFGYALFFFGVLAVLIMDLATSGKGGIGTYIGICIVSGVFGIADAHAQGGMVGDLSYMHPELLQSFLAGEAASGALTSALRLITKAAFENSQDGLRKGAILFFSISTFFVLLCLVLYGFVFPKLPIVKYYRSKAASEGSKTVSSDLAAAGIRSETEESRQFERKENRQLLIENIDYALSLFMIYVLTLSIFPGFLSEDTGKHSLGTWYALVLITMYNVWDLIGRYIPLIKILNLESRKLITTASISRFLLIPAFYFTAKYGTQGWMIMLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNILVLFILGGIFAGATLDWLWLIGKGW >CAK8562137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:445605967:445606326:1 gene:gene-LATHSAT_LOCUS15661 transcript:rna-LATHSAT_LOCUS15661 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKDQITSLLENGLYNSAQMLGCSLVSSPAANAESSPHIKTESLVLLGDSFYHEREYRRAIHTYKQALQYYKMIPKQNMSSARSSLSSNRSSSPNSCSITVINENEVKFKLHHVTIF >CAK8562208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456679082:456682471:-1 gene:gene-LATHSAT_LOCUS15725 transcript:rna-LATHSAT_LOCUS15725 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPESPRPALDKVDRVGSLKKKLRDSLTRRSRRSSSRVMSVEIEDVRDADDSKAVDEFRQALVLDELLPEKHDDYHMLLRFLRARKFDIEKSKQMWSDMLQWRKEFGADTIVQDFEFEELEEVLQYYPQGHHGVDKDGRPVYIERLGQVDATKLMQVTTLDRYIKYHVKEFERTFDLKFAACSIAAKKHIDQSTTILDVQGVGLKNFNKQARELITRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEMIDASELPEFLGGTCTCADHGGCMRSDKGPWKDQEIYKMVQNGEHKSSKKCEAIIVEEKTIPEESAASKMEAVFTAQLSSAQMSSAFATAPSIKPCKYEDFVPMANTNAWKKVEENDNLALSKVGVESFVMPDSYKIHEKLNSQIFTGVMAFVMGIVTMVRMTRTMPKKLTDANFYSNSVYSGDDIKTEHHSDQMTNPTISAQEFMTVMKRMAELESKLGNMNQNTSMPPEKAEMLDAAISRADALEQELMATKKALEDSLSKQEELSAYIEKKKKKKKLFAWS >CAK8539904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530824702:530827426:1 gene:gene-LATHSAT_LOCUS8960 transcript:rna-LATHSAT_LOCUS8960 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSGEIVETLPRSFQVHSEICMELMRILDDIMRIFPDIEDARPRCSSGIESLVYLNKAIEKSKLLLQHCSECSKLYLAVTGDTVLSRCQRAKKSLEQSLIPIQDMVPVVLAVEVSRIIDDLGRATFVLDSAEEEAGKVLTELLQQGTSNLDLVEDFELKALQFAAPRLNITSQRALLVEGRSINKLLGKIGPNDQKKKSILKYLMYLLKKHGKSMVVGEHMEKFYSSSKEPTAKDNNSSRHSLQSRRVELDPSSEYDQYRTHTGELDTGMPPEEYKCPLSSRLMYDPVIIASGVTYERMWIKKWFNEGKTTCPKTEDELPHMTLTPNVAMKALISKWCKNNGVSIPDPSRHTEDFQLLDASITSIKSLGSYFNDLNLPLDLSIMSLGSLDTSFNSDASQGKTNHGLNLMMSKASEDSHKHGGHAPEIHDTDLMLLPKLLDLQWDSQCKVIEDLKDHLKSNSQAISSVSAENLVEPLVRFLSNANDLQDVKALRSGTQLLSEFVNSCRNGMDNLSEDTFIMLASLLNSEVIGDVLAIMEELSGDRNSKAKIAASSALTSVIKLLDSENKEFQQRAIRILYNFSFNSELCPHMLSLNCIQKLLPFFKDRAVLRYCIYILKNICDTEEGINSIAETKGCIGFIAEILESGSNEEQEHALSVLLSLCSCSKSVDYCKVILDEEIIAPLFYISQNGNDKGKESALELLHLLRDAKYVENENNRSSQPITNSTFEDSNSHTEGNRSPKRSSKRSQTLKKLGLFSKPSSHASKTKR >CAK8560931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58813922:58815601:1 gene:gene-LATHSAT_LOCUS14567 transcript:rna-LATHSAT_LOCUS14567 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIADTIACLQREGRLLQGEHNLIGEAFLVMASSAGIQQQQEVLKWLLEPLSQKWTQLEWQDRYLSSPHGLVQLCSEAPVMWSIFHTVTFFERAFKRSGMKKAQGNLENSSTSDSTPLNPMAFHVSWMLNPLLKLLRGLHSLWSPSISQALPGEIKSAMVMSDVERFSLLGEENPKLQKNPKDVYGEPNESDIRNWFKGIRDSGYNVLGLSTTIGDSFFKNLDVHSVVVALMENIQSMEFRHLRQLVHSILIPLVKHCPVDMREIWLEKLLHPLFVHAQQALSHSWSSLLQDGRAKVPDIHGILSGSDLKVEVMEETLLRDLTPEMCSLLSLIASPPLNTGIPSLEQSGHIIRFDMSSVKSLDAVASCSLVGFLLKHEALALPILRMCLEVFTWTDGEAVTKISSFCSATVVLSIVTNHTELIEFVSRDLFTSVIQSLSLESNAIVSSDLIAICREMFVYLCDRHPAPRQVLQSLPFITPRDLHAFEESLTKTSSPKEQKQHMKSLLLMATGNKLKALAAQKSVNIITNVSMRQRSPTNAPESNNVNDGDVVGLAAII >CAK8568924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654991451:654992097:1 gene:gene-LATHSAT_LOCUS21831 transcript:rna-LATHSAT_LOCUS21831 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLFASGNITFLQSLFQSFDVNSKIRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIKVRPYLEAFNASYFQSTGEQATTGHIHASFPAWFKDQLSCIVAPTQEILHLRNLSRGPVQRAIEWHTYFVNGYKFHTQAWTEGKKTINSGVFVKGVTDGGEDEFYGVVTHIY >CAK8533922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671800406:671800962:1 gene:gene-LATHSAT_LOCUS3509 transcript:rna-LATHSAT_LOCUS3509 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPNKKRKIDEAPFLGARVSSKNGKNAITATNANRSMVASQSSGMNVTVSQNKYFATSQAQQEGCTGNYKIFDSPFGNFLLPVIPTRAELS >CAK8540183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543340144:543343357:1 gene:gene-LATHSAT_LOCUS9213 transcript:rna-LATHSAT_LOCUS9213 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSEDEDEYLAQFLESEVLSEASDKEEENVEEPKVKRKCIEEAESTKQGTKQCSGSSSVPKNYGVSNAVLLRRIETGSFSKVPPELFHHILKFLSSEDLISCTLVCKFLSYAASDEALWRRLYCMRWGLIPPTRKIRDCPWKKLYIQRDEKDMDELVRSCQNEFKEYYIQMQTAKRSQAPHPSQLKDDSIILDKTLADQVSSWKSSRGLGDTVVIDHACSGKACSYYQIGDVFICEKTGQVHVCDETCKEVLMDPADGLLVCTISGHCFDRLLSPSEMESDSEQQQGVAADEEEPFMGSGRFARAYLLGYNCADEKELEDTLRYC >CAK8567885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:554511608:554511898:1 gene:gene-LATHSAT_LOCUS20900 transcript:rna-LATHSAT_LOCUS20900 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNRLEEFLNIIEVLFGKSVYDMKHMMKFCDSSYGGLKQVATILNVNRAVAKSHKAAPDSLLTWHAFFNIMKNYFKDHEGHKHARVLFGLEIAA >CAK8571143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:312547364:312547775:-1 gene:gene-LATHSAT_LOCUS23833 transcript:rna-LATHSAT_LOCUS23833 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFGQYIIENKKFAGTITGVNDVTKAQKTWGSLQALGDIAFAYSFSMILIEIQDTVKAPPPPESKTMKKATLISVIVTTFFYMLCGCFGYAAFGNSIPLFPSF >CAK8560614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29138899:29139758:1 gene:gene-LATHSAT_LOCUS14275 transcript:rna-LATHSAT_LOCUS14275 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASDSVFVAARSKLIVTMLEEIRVYITQRWESNRKKITKYDDIILPNIKKRMERESQKTNHWIVRSAGEYEYEVRHTSLNGEKYAVNLNKKECPCRLWMLIGLPCYHAMSCMKYQHLEIDDFVPDCYKKEKYAAYYKHVIYSLNGEALWSKTSLVDLQPPPIKRQPVRPKKKRNRKDGEMVRDETHMKRERHGIKFSRCHKDGHNKATCKLPQPQASSSQVQDPTSQQPSEANTSQSPPVATSQPSSQSITSQPLPPVATS >CAK8542278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497212728:497214667:1 gene:gene-LATHSAT_LOCUS11126 transcript:rna-LATHSAT_LOCUS11126 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSKLLVPLFFALIILLFTTKFSNFFTIETFSNNQESNVLQQSFKPFLKRLNWHYAFIGFKNIEDPYSISPLLLPTETPKNRAISTDASKCFPVILPRGAITPDNCCPPMQSPLKIKDFKFKDYASSNTPLRVRKPCHLVDQEFIAKFEKGIALMKALPKDDPRSFYQQSKIHCAYCDGAYHQQHPFENLKIDIHKSWFFFPFHRWYLYFFERILGSLIGDPNFAMPFWSWDTIDGMQIPPYFTYMNSSLYHKLRDKNHMPPYIVDLLFFGNENFISPQKQISFNMATMYKQMVLASTKEIFMGSPLRHGDKSDPGIGSVELAPHNTVHLWVGAADTPNREDMGAFYTAARDPIFYSHHSNSDRMWVIWKKLGQGRRDYSDDEDWLDSSFFFYDENATLVRVKVRDCLDTKNLGYVYQDVDLPWLNFQPTPTRMSKEQREAKRAKVLISKERKHFPLVLDSKKSVIVKRPRKLRSKLEKENEEEVLVIEGIEFGSDQYIRFDVHVNDDEDELSDPDQTEFVASFVSLHSVHKSRRISTRFKVGVSKVLENLEADEDDDIVVTLVPKAGKGEIIIRNIMIEFIPK >CAK8569104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671269609:671271202:-1 gene:gene-LATHSAT_LOCUS21992 transcript:rna-LATHSAT_LOCUS21992 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLLLCFILLVRVVSYTTHAIKIPPNASFPAVFAFGDSIMDTGNNNNNMKALAKCNFPPYGKDFKGGIPTGRFCNGKVPSDFLAEVYGIKELLPAYLDPNIQPNDLITGVCFASGGAGYDALTSQLAAVISLSRQIELFKEYIEKLKGIVGVDRANFIIEHSIYLVVDGSNDISNTYFLSHVRELQYDVPAYADLMLNAATNFITEIYKLGARKIGVFSAPPIGCVPFQRTLAGGIERKCAEKINDLCKIFNTKLSKELSSLNHNLVNSRIVYLDVYTPLLDIIVNHQSYGYKVGDKGCCGTGEVEASMLCNQFSTTCENANDYVFWDSFHPTESVYMKLVDSLNKKYLHQFL >CAK8569105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671269609:671271082:-1 gene:gene-LATHSAT_LOCUS21992 transcript:rna-LATHSAT_LOCUS21992-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNNNNNMKALAKCNFPPYGKDFKGGIPTGRFCNGKVPSDFLAEVYGIKELLPAYLDPNIQPNDLITGVCFASGGAGYDALTSQLAAVISLSRQIELFKEYIEKLKGIVGVDRANFIIEHSIYLVVDGSNDISNTYFLSHVRELQYDVPAYADLMLNAATNFITEIYKLGARKIGVFSAPPIGCVPFQRTLAGGIERKCAEKINDLCKIFNTKLSKELSSLNHNLVNSRIVYLDVYTPLLDIIVNHQSYGYKVGDKGCCGTGEVEASMLCNQFSTTCENANDYVFWDSFHPTESVYMKLVDSLNKKYLHQFL >CAK8540036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536559668:536561290:1 gene:gene-LATHSAT_LOCUS9075 transcript:rna-LATHSAT_LOCUS9075 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRKITLKSSDGEAFEVDEAVALESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEYCKKHVDAVSSDEKPSEDELKAWDAEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFSPEEEEEVRRENQWAFE >CAK8539909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530961168:530963709:1 gene:gene-LATHSAT_LOCUS8965 transcript:rna-LATHSAT_LOCUS8965 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASMAFCVNSVSFQNRRRYNSGMVFASLGNKEAATLGVRVTEGEGKLPKLVLSSPAGSEAEIYLFGGCITSWKVPNGNDLLFVRPDAVFNKKKPISGGIPHCFPQFGPGVIQQHGFARNVDWTVGDSENVEGNPVVTLELKDDSYSRAMWDFSFHALYKITLNAKSLSTELKVKNTDNKAFSFNTALHTYFRASVTGASVKGLKGCKTLNKHPDPKNPVEGSEERDVVTFPGFVDCVYLDASNELELDNGLGDTISIKNTNWSDAVLWNPHLQMEACYKDFVCVENAKIGSVQLEPEQTWTAVQHLTIA >CAK8574324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675303563:675304368:-1 gene:gene-LATHSAT_LOCUS26679 transcript:rna-LATHSAT_LOCUS26679 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTKVSMKLLIDTKNQKVLFAEASKSVVDFLFNLLCLPIGTVVKLLSANGMVGSLGNLYQSVENLNQNYMLPDQTKDVLLNPRAQSSSTEISGFLTNNDAKSDDDQGPKLYMCTNKCNFQVTYDNKLQCPGRPGYGGCGYTMTNEVRYVGNKKVAEKKVFSNIKSGFVKDIVTFMVMDDLVIEPMSTISSITLLNKFNVKEIGTLQEKVVEMGMEEGIKLLKASLQSKMVLTSVFIKKQK >CAK8561560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:294748697:294750662:1 gene:gene-LATHSAT_LOCUS15140 transcript:rna-LATHSAT_LOCUS15140 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVSNLAGRHSLSQILADFVKFAVDSAIDGYLKIIPGRKHEDKMVREGLINIPMSTPLKTKKHLFETKVEEVKEEMNNMKQQYKTSNKLVEDSQPPNKMDDNGFKGINLMQENGKRVFIRSRL >CAK8562773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:535233059:535233868:1 gene:gene-LATHSAT_LOCUS16242 transcript:rna-LATHSAT_LOCUS16242 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWIKSLQCKSRAFEDVYHPYPKILLQSASCRKSHQNIKDIVDIPKPKPKKPKSVTTVKPEAPAMSRSRSTVVTSSSSRAITELPEGHSSRNVVEIIFQTSWGNKEFPGRVEMIFKVENGARTMSRFEEFREAVKARDGSAVGLSEGKNWEENARCVADGNEMMQFHCLGPAEDGGSHGGWSFPERKGSAIRTFSGSGHAHENGGGGEGRWAMLVCRVIAGRVLKQLGYLDSLLDRRVGFDSVSGDNGELLVFDARAVLPCFLIIYRL >CAK8568578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620056581:620067420:1 gene:gene-LATHSAT_LOCUS21522 transcript:rna-LATHSAT_LOCUS21522 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQDQSLNLEECLKLLKGERDEQRLAGLLLVTKFCKADDHSSLCRVYEAVGSLFLDRLFRTGMGKGTISSSGDNNRDAYLNLSIAVLAALCRVPEIASSEDMISKIPMILEVISTQPCSSVLEECCEFLYLVSTASENGIMRFYESRGIKVLASQLPSLQDGSHLMEISIKLLQLILSKISLDTIRNEYLSELSVIVAAIAKQFAILHNSLKFEALHFLNSILSSTDSSQLLKTLQLQPQDSWSHNIRVGIMAILQNRVATAERLQALILAESMVSIFGEDWLISQVSTNKTQDPTPADMCLLLVLEQSRVEIAVLLNELAYLKYEAPQDTSATGEAYSLKQRNVAVAYSLVEKIIKLISNVGENEGTLLDEGTLTKLILQLNETIAVVLEYLEDAKEHGQRKGDDLLASVRIIGSYLAEAPLACKEKVQDLLGYMLSIEGAEEQRPFHSVCFLLPMLCQITMKVEGCKALASCGGLKAVLDCFSKLIGSNVYLVEDDGRVFLACDTIMNLLLKKNKVQFMLDESAFVDLLKALAYWSENTDDMPSKMMASSICALIFDYTSEEALLKNPDFNHGTLRSLYQLIARCLASSEQDTNTDMDLSEIISAGFSRWAHRYPHIREAIKI >CAK8538905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499311750:499313495:1 gene:gene-LATHSAT_LOCUS8053 transcript:rna-LATHSAT_LOCUS8053 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLRRRGTSYLRNLTTETKQGGDYSKDQNFTHILNEICTITRTKPRWENTLLSQYPSFNFSNPNFFLHYLNHQNNSFLSLRFLRWLTSQCEFSPDQSSCDALFDALVDAGACKAAKSLLDDYPDFNPKPGSLESYVLCLGKGGMVEDVFDVFVRLKEVRFLPSVSTFNASLSACLKVGRTDLVWKLYSHMLESGAVASIDVETVGYLIKAFCAEDKVFNGYELLRQVLEKGLCPDNTVFNSLIAGFCKERQYARVSEILHIMISMKCNPGIYTYQEVINGLFKRKNDEGFRVFNDLKDRGYFPDKVMYTTVIKGLCDMGLLGKARKLWFEMIHKGLVPNEYTYNVMIDGYCKIGDFVEAKKLYDDMCDRGYAENVISYTTMISGLCLHGRVDEALNLFHEMSRKGVARDLISYNSLIKGLCLKGELVKATDLLHELLAQGFEPSVSSFTPLIKSLCESGETEGAMRLLKGMHDRHLEPIASTHDNIIVGLCKEENVALGMEWLLKMMSWKLKPKEKTFEHLIDGLSQEDRLDDILIVLDLMFREGYRLKESIIHSLVSKFSKENLHFPNLCLEELVERN >CAK8538371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479302220:479305353:1 gene:gene-LATHSAT_LOCUS7582 transcript:rna-LATHSAT_LOCUS7582 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTSEFATPLLPQTTENPQENPIPQNGSISGAVFNISTTMVGAGIMSIPATMKVLGIIPGLIVIVLVAVITDLTVEFMLRCTSSGKAVTYAGMVGESFGSVGSLAVKICVITTNLGVLIVYLIILGDVLCGNEYEGSIHLGILQQWFGVHWWTCRTFALLIVALVIMLPLVMLRRVDSLKYSSAISILLALVFVVICSSMAVTALSSGKSQSVRIFPDFSQVTVLDLFTTVPIFVTGFGFHVNVHPIRAELVKPTDMNTAVRISLLISVAIYFAIGFFGYLLFGDSIMPDVLVNFDQNSDSSFGRLLDNIIRLSYALHLALVFPIMNYSLRANIDELLFSKKNKPPLALDTPRFVSLTLVLLAFIYLVAVAIPNVWYFFQFLGSTTIVCISFIFPAAIILRDMHGISKTRDKVVAILVIILAVGTSGIAIWTNLNG >CAK8540902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30906573:30909330:1 gene:gene-LATHSAT_LOCUS9860 transcript:rna-LATHSAT_LOCUS9860 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNAEPYPYTSRRRDESDFNLREWPVKGRVSRDNNNTNSRRFSGSYMRSFREDSRSHRSNITISSTTSSPGYPFKDEIDPTTYSFTTALKALQARVSYNSWECLSPEGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNSTNRITMSAPLVYSSRHVQMKPSIYTHDDGDDDVSLQFPIPEKKKDGMTRDVGTQSTPPYLSSSSPSPTSTPSIIERSKTRDVDSPNSNSNAKTKSEEEVEVKDNYETWETTSEKNEWRKKQEKQLCKQVGCFSWMMMKKKRHRESNKDDKERTTSFSLITSKGC >CAK8564200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657311274:657311786:1 gene:gene-LATHSAT_LOCUS17525 transcript:rna-LATHSAT_LOCUS17525 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVKPFDRHNYSFIVDETMDHNEGRPMGHYRVELHKNWCNCGKFQTFRMPCSHVIAACSSARHDPFLLLSDVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLLGHTRNNCPNVGTNSR >CAK8564201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657311367:657311786:1 gene:gene-LATHSAT_LOCUS17525 transcript:rna-LATHSAT_LOCUS17525-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNEGRPMGHYRVELHKNWCNCGKFQTFRMPCSHVIAACSSARHDPFLLLSDVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLLGHTRNNCPNVGTNSR >CAK8534673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754534672:754535521:-1 gene:gene-LATHSAT_LOCUS4198 transcript:rna-LATHSAT_LOCUS4198 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNLQPYLHTQSKSKDRPLHQTFSHPKPTSHFLVSSTSPASSSQVNTPTSIQDKHQQHLPKDEFYVNLGLAVRTLREDMPMIFIKDLNYDIYRDDITFLDPLNTFIGIEKYKLIFWALRFHGKLLFHEISLDVYRVWQPSKNVILIRWNLKGVPRVPWEAKGEFQGTSKYKLDKNGKIYEHKVDNLAFNFPQNIKPVSVLDLITSCPASPNPTYLWGSVDIYSSSWIILYMAVRETILNQERSLLPQDGLATCS >CAK8542608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530190579:530193584:1 gene:gene-LATHSAT_LOCUS11433 transcript:rna-LATHSAT_LOCUS11433 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNPFDLLGDDVEDPSQLILTEQLKAAAAPLKKPAEKDQGKRTQPNKPAQLPSKPAPPAQAVRESRNEGGRGGRGGQGGGRGFGGGRGGGRGRGFGRDFSSNDENSFPASRAPDSQGALEGDKFSERRSFGGPRPAYRGGRRGGFSNGEGGEEGRPRRAFERHSGTGRGSEFKREGAGRGNWGTENDEVTQVTDEVVNEAEKNLGDEKPAAENDVAEGNKDSPVNEAEEKEPEDKEMTLEEYEKLLEEKRKALQALKTEERKVDAKEFETMQALSCKKDNFEIFAKLGSDKDKRKEALDKEEKAKKSVSINEFLKPAEGEGYYNQGGRGRGRGRGTRGGFGGFRGNSTSNVPAPSIEDPGHFPTLGAK >CAK8567138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490142192:490147504:1 gene:gene-LATHSAT_LOCUS20215 transcript:rna-LATHSAT_LOCUS20215 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSSSISSHNFSSQTGARNLSKLSSFSMNSDSTISLSFSGSVSMGMNLRLNLTSSTCTVKRNNFRNHGSRLKVVCIDYPRPELENTVNFVEAAYLSSTFRASPRPTKPLKVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHAMIFAMPSKPGQFSRFDFLEVLPSPLNGIWAILRNNEMLTWPEKIKFAIGLLPAILGGQAYVEAQDGVSVKEWMRKQGIPERVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQSLGGEVHLNSRIKSIELNDDSTVKSFLLTNGKVIEGDAYVSAAPVDILKLLLPENWKGVPYFQRLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISRSDEDIIGATMSELAKLFPDEISADQSKAKIIKYHIVKTPRSVYKTVPNCEPCRPIQRSPIEGFYLSGDYTKQKYLASMEGAVLSGKLCAQAIVQDSELLTARSQKRIAQASSV >CAK8571322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:376276844:376279279:-1 gene:gene-LATHSAT_LOCUS23994 transcript:rna-LATHSAT_LOCUS23994 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYLMGTYKLPLGKATQILILSSAATNFMPVVGAFVADSYLGRFLVVGLGSTVSFLGMAMLWLTTMIHPAKDGKSATLGEMEVLLSALGLMSIGNGGLSCSLAFGADQVNTKDNLNNNHTFLEIFFSWYYAFTLISIIIGLTGIVYIQDHHGWKIGFGVPVILMLLSTLLFYLASPLYVKIHRRTNFFTGFSQVVYASYKNRNIPLPSKNTTQCYHHYPDSDCAVPTDKLRFFNKACVIKNPEQDKACDGSAINPWSLCTVDQVEELKSIVRVIPLWSTGIMMSLNIGGSFGLLQAKSLDRHITSHFEVPAGSFSVILVGAVFIWIVIYDRVLLPLASKIRGKPVRIITKKRMGIRLFFYFLYLVNAATFETIRRKKAINDDSDGVLKMSAMWLAPQLCLAGIAEAFNIIGQNEFYYSEFPRTMSSVAVSLPGLGMAAGNLVSSFVFGTIENISSRGGKKGWICDDINEGRFDKYYWVIAGVSALNVVYYLVCSWAYGPTVEELTKD >CAK8568744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638395579:638407553:-1 gene:gene-LATHSAT_LOCUS21675 transcript:rna-LATHSAT_LOCUS21675 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYMENDSIHNGKEVEGTDVNKAEGNTLGVSSSGLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIRPDEKIVSELSSFLGTLGRSSYLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKVVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRYDKTQEVSHQNAENIAQLKWRHRMGNKGFAVIREKIRENNEDKEPPTQAEMFIATRKSRKGKELDEETNHAIIKLQDLIENHGKPSSEAFESILGKQKPGRLRCHRRTTTPTLLKRNKEIEKTKRDHVAEVRQFNDRLQEMEEKHRQDKEEIDRKIQLLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQIRFFTFL >CAK8564568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482082:482437:1 gene:gene-LATHSAT_LOCUS17864 transcript:rna-LATHSAT_LOCUS17864 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKPQGTVPPQEVPSATKTSWPELVGVSADEAEKKIKEDKPDAYIQVVPHDQPVVTGDFVFNRVRLFVDESNKVTRTPTTG >CAK8543299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:597489995:597490507:1 gene:gene-LATHSAT_LOCUS12065 transcript:rna-LATHSAT_LOCUS12065 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVKANTHNVMQFDRERLCFMVQKKINQHDGRPTGTYSIDLRNRSCDCGKFQAFHLPCSHVIATCSSIQQDYTIHIPEVFTGLNVFKVYKESFLRLPHEENWPKYEGFTLCHDDSMRTKKKGRPTSSRIRTEMDDAEKEKRCGICREIGHMRRKCPNVVGPSNRPHR >CAK8537997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:455830940:455831617:-1 gene:gene-LATHSAT_LOCUS7240 transcript:rna-LATHSAT_LOCUS7240 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNSHHDLPPWSVSILPDCKTEVFNTAKVGVKSSQKAMIPTNITFDWKSYTEDPAFSSEDDPVTAEALWEQINITRDSSDYLWYLTDVNISPIESFIKNGPSPIFTANSTGHVLLVFVNGQLSGTVYGGLDIF >CAK8537960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450575637:450576013:-1 gene:gene-LATHSAT_LOCUS7206 transcript:rna-LATHSAT_LOCUS7206 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRVSNLNQIFVILVLVVSVWNIELQQVEGAKPCTMHLGACGPAGDCVKRCKAAHVDGLGSCDLGLCTCVHSCS >CAK8534038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:685162682:685165886:-1 gene:gene-LATHSAT_LOCUS3613 transcript:rna-LATHSAT_LOCUS3613 gene_biotype:protein_coding transcript_biotype:protein_coding MNMATIVKLHVFPECNLNQHKLRKGFGSNSSSGVFGFGHNFDGLSLKKCRAFKSEDGGDKKFRNLKKNEVKLERKNGFWSSFKNVLLGNSMMLDDEYRQAIIRVDEVLSKIAIQIGRYIVTMMSTGVILAIGFQTSGGDSQMDAVIWYSWLGGVIIGTMIGANWVLDDYYREGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSPESVQATVKELEENLKEGIANAVGSSLTKLSQAKVVGIACDVCETNDVQRLANFAVSEFGYIDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLIGSILCTREAMRIMRNQAKPGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFHGSLLKECKRSKVGVHTASPGMVLTELLLSGSTVQNKQMFNIICELPETVARTLVPRMRVVKGTGKAISYLTPPRILIALVTAWLRRGRWFDDEGRALYSAEADRLRNWAENRARFSFTDAMEMYTENTWLSVFSLSVVCAFIILSSTASNLPGT >CAK8534039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:685162682:685165880:-1 gene:gene-LATHSAT_LOCUS3613 transcript:rna-LATHSAT_LOCUS3613-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVKLHVFPECNLNQHKLRKGFGSNSSSGVFGFGHNFDGLSLKKCRAFKSEDGGDKKFRNLKKNEVKLERKNGFWSSFKNVLLGNSMMLDDEYRQAIIRVDEVLSKIAIQIGRYIVTMMSTGVILAIGFQTSGGDSQMDAVIWYSWLGGVIIGTMIGANWVLDDYYREGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSPESVQATVKELEENLKEGIANAVGSSLTKLSQAKVVGIACDVCETNDVQRLANFAVSEFGYIDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLIGSILCTREAMRIMRNQAKPGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFHGSLLKECKRSKVGVHTASPGMVLTELLLSGSTVQNKQMFNIICELPETVARTLVPRMRVVKGTGKAISYLTPPRILIALVTAWLRRGRWFDDEGRALYSAEADRLRNWAENRARFSFTDAMEMYTENTWLSVFSLSVVCAFIILSSTASNLPGT >CAK8540966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36641774:36642286:-1 gene:gene-LATHSAT_LOCUS9920 transcript:rna-LATHSAT_LOCUS9920 gene_biotype:protein_coding transcript_biotype:protein_coding MLESKHVLRVDQEELETVIPQVEGRVKIVNGAYHGSLARLLGVDTDRFCAKVQIEKGVYDGRVLKAVEYEDICKVA >CAK8539893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530494768:530499549:1 gene:gene-LATHSAT_LOCUS8950 transcript:rna-LATHSAT_LOCUS8950 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMVTVALSLVSWTTKKAGFMEPCTVEIEAGTVMRFWVPSHSQTISKSTKPAIVFLHAFCADGLANWMFQIISLSKNYAVYVPDFIFFGGSTTDKPDRSPSFQAECLAKGLKKLGVEKCAVVGVSYGGMVAFKMAELYSELVQAVVISSSVLAIEECMVSRAVEDVGFSSCSEMLLPSSNEGLRTLLSIGVYRNIQFPNCMLSDFLQVMFSNRNERSELLEALVNSYKDIHIPKFSQPIHLLWGEKDKIFKPEIAHKIKERLGNNTTFEVIKKAGHLVNLERPCIYNKCLKKFLSSVMIDGKK >CAK8560709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37448841:37450265:-1 gene:gene-LATHSAT_LOCUS14364 transcript:rna-LATHSAT_LOCUS14364 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQHEQKLLPPLVAMVSSPGMGHLIPMIEFAKRLTNHHNLPVTFIIPSDAPPSKAQTIVLSSLPSAISHIFLPPVNLSDLPPNTKPEPLMTLTILRSLPSLHQTLLSLMTFHRLSALLVDLFSNDAMNTAAELDIPSYIFFPTTANNLSLSLYLPKLDQKFRSEFRDIHEPLNIPGCYAVHEKDLPTPLQDRTNEAYTCFLHHLKRYKLAKGIIVNSFFELEPEAFIFLQKSEPVVYSVGPLVNQDSIVKESEFEAGLKWLDEQPRGSVVFVCFGSGGTHTSAQTDELAFGLEMSEQRFLWVLRCPNDKVENDSNFIADSNVDPFDFLPNGFVERTKGRGLVVPYWAPQAQVLSHVSIGGFVCHCGWNSILESMVNGVPLIAWPLYAEQKMNAILVSEEIKVAIRVNVCEDGLIEREEIASVVKRLMECEEGKKVRYNMMGLKEVAANALKENGSSAKQIYELALKWKGVTLP >CAK8574679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6385784:6386347:1 gene:gene-LATHSAT_LOCUS27003 transcript:rna-LATHSAT_LOCUS27003 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLIVFAGMVLLAGFFILLLLIFRFRTTMPEFGINSASINSLNIKKSRIIAEWNITLFVTNHDGYHSISYDEISFNINYNNEQHQSLLSTIPLKPFSQSSGFTNTIIIQHNMDVNNDVATDIVSSSSRGIVNFEVGLSATIKFKDAFNFQKVRIMKIVCEPLSFSSREDYLEKSSNWILLYGVACH >CAK8563352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594722767:594723986:-1 gene:gene-LATHSAT_LOCUS16773 transcript:rna-LATHSAT_LOCUS16773 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKQPHFATSGLVIGYALCSSLLAIINKYAITQFNYPGLLTALQYLTSALGVYLLGKLGFLHHDPFTIPIAKKFFPAALVFFLAIFTNTNLLRHANVDTFIVFRSLTPLLVALADTAFRGQPSPSNLTFFSLVVILAGAVGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHMVMSLGLNTWGFVLYNNLLSLMIAPFFWFLTGENFEVSNAINSSTGGLFEMNAFLAVSLSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVTIWDKHASPAGLVCLLFTIIGGVLYQQSVTGNVSPQRDAVVVTKQSDIESNHVGDGDLEDESEVKGIR >CAK8533886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667649504:667650870:1 gene:gene-LATHSAT_LOCUS3474 transcript:rna-LATHSAT_LOCUS3474 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGAGGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKAIWSQGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >CAK8562111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441396728:441397219:-1 gene:gene-LATHSAT_LOCUS15637 transcript:rna-LATHSAT_LOCUS15637 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTGFELCKRITEFFIDWGIEKKVFTITLDNASINDVMQQILKSQLALQNWLLHKGEFFHVRCSAHSLNLIMQDGLKVASGALQKIKKRIKHVKGLESGVVKFKQCIYMVGNIDVSSGLVTNVPTRWNSTYLMLKSSLKCQRAFENLHCYDENYTSNPSK >CAK8573026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577981713:577985006:-1 gene:gene-LATHSAT_LOCUS25521 transcript:rna-LATHSAT_LOCUS25521 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPLLLQEPIRMASILEPSKPTFFPAMTKIVGTLGPNSRSVDTITRCLDAGMSVARFDFSWGDSEYHQETLENLRDAIKTSQKLCAVMLDIVGPELQVVNKTDHPITLEAGTLLVLTPDQNKEATSNLLPVNYSSLSKAVKKGDTIFIGKYLFTGSETTSVWLEVSEVNGDDVTCLIKNDATLSGSLYTLHVSQIRIDLPTLTDKDKEVIRTWGVQNKIDFLALYTRRAEDVRHAREFISKFENLKQTHIFAKIENIEGLKHFNEILSEADGIIVSRGNLGIDLPPEKVFLFQKAAIFKCNMAGKPVVVTRVVDSMTNNLRPTRAEATDVANAVLDGSDAILLGAETLIGLYPVETISTVGKICAEAEKVYNQDLYFKKAVKYVGEPMSHLESIASSAVRAAVKVKASVIICFTSSGRAARLLAKYRPTMPVISVVIPQLKTNQLRWTFTGAVQARQSLIVRGLFPMLADPRHPTEFNAGTNESILKIALDHGKAFGIIKPHDRVVVCQKVGDSSVVKIIELED >CAK8544152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668078765:668080253:-1 gene:gene-LATHSAT_LOCUS12854 transcript:rna-LATHSAT_LOCUS12854 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTDKEEKIVFVIGDQSTSDIVVRLRTQEGRDEWMYCHSAILVKNCKYFADRLSENWPTCQILGSRNCVDINCQESDFDYYVNVVRFLYIVDDDSSGDDLWHGVRNTLGILQVAVELGCPKIVAACVSYLEAMPWEESEEEEILKIVPRMGLQAEPILARLQPVNEVAIRNIFLSALRFVTSSPSSAMSDLKASAQEQLEYMLTEDDDAPLLIPDDKIKLEVKECVKRLFSQFNNSLIHLFCGSTESLSEAGNVLLFQSYLTDLSWVCQILSKLEIMRDFVECWFDASEKIVRVLKQESSTTGVVELKLRAVEVTSKVLEAIAYGTVILPTANRLQVLKLWLPFVRATKPMIDSAMMNSENAVLPKMDGEMWQSMESSFVSIILALPSGDQAGLLTEWLENEHIRYPDLTEAFEVWCYRSKATRRRLSLPEDDHVMTHSV >CAK8537170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:120987185:120987832:-1 gene:gene-LATHSAT_LOCUS6480 transcript:rna-LATHSAT_LOCUS6480 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLSIVDDVAMVDLGQQHHRHNNMLPVYVLFDSRTGNISQNHPTRTPPWSVIDQALLFHSHNHNSVPTTLMSHNHNNVPTRLMSHNHNVSSRLTSQTVSVVDETVVYDSPRGNNFPRRSRIHPHHHHHHNGSRASPPVQNARASPVVMGVQDTSQHVTKSTTDAESVCCICLVDLSNGSSTPTRLRCSHVFHIDCIQKWINIKKTCPLCRANV >CAK8535504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855211821:855214214:-1 gene:gene-LATHSAT_LOCUS4959 transcript:rna-LATHSAT_LOCUS4959 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSMRLSSLSLLLAVFLLVTNSNGEDPYRFYTWNVTYGDIYPLGVKQQGILINGQFPGPQIEAVTNDNLIISVFNSLDQPFLFSWNGVEQRRNSWQDGVYGTNCPIPPGKNFTYVLQVKDQIGSFFYFPSLEFHKAAGGYGGIKINSRPMIPVPFPPPSNDFTVLAGDWYNRNHTDLKAVLDNGTDLPFPDGLIINGHGSNAFTFNVDQGKTYRFRISNVGLTTSINFRIQGHKMKLVEVEGIHTLQNTYDSLDIHLGQSYSVLVTTDQPPQDYYIAVSSRFTSQVLTATSILHYSNSAKTVSGPPPGGLTVQIDWSLEQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRLQNSAPIINGKQRYAVNSVSFINPDTPLKLADYFNIQGVFSLGSISDSPTGGGGYLQTSVMAADFRGYVEIVFENPEDTMQSWHIDGHHFFVVGMDGGQWSASSRSNYNLGDTISRCTVQVYPKSWSAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPSNALRCGRAIGH >CAK8543147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583093531:583099570:-1 gene:gene-LATHSAT_LOCUS11928 transcript:rna-LATHSAT_LOCUS11928 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFSTIPLPSTNLTSKLPIFNNAPRHHALRHSADATKRFHKGFSFIAFATNGTHAIRKTTPRRNSRNQLLSEGRDEDEAFGPICPGCGIFMQDKDQNLPGYYKEREVKDEIFTEEDSGVDEDEAEDEEDEDEENDDVKEDKGSIDDIDLDSDELEAMLLGEEKDDKVDLNGFALAGVGYGNITEEVLERAKKQKVSKAEKKRLAREAEKVKEEVTVCARCFSLRNYGQVKNHTAENLIPDFDFDRLISTRLMNPSGSGSATVVVMVVDCVDFDGSFPRTAVKSLFKALEGMKENTKKGKRLPKLVLVATKVDLLPSQVSTTRLERWVRHRASAGGAPKLSAVFLVSSRKDLGVRNVLSFVKTLAGPRGNVWVIGAQNAGKSTLINMLAKKEGAKVTKLTEAPVPGTTLGILRIGGILSAKAKMFDTPGLLHPYLLSMRLNREEQKMIEIRKELRPRSYRIKARQAIYVGGLARLDLDEATVKSLYVTVWASPNVSLHMGKTENANEIWNNHVGVRLQPPIGNEHRAELGTWTEREIKVSGTSWDVNCKDIAISGLGWFSLCIQGEATMKLWTYDGIEITLREPLVFDRAPTLGKPGFWLPKAVSVAIGNQTKLEAQRRKKLEDEDEEYIEAKTEIFA >CAK8575199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:51562989:51563564:-1 gene:gene-LATHSAT_LOCUS27483 transcript:rna-LATHSAT_LOCUS27483 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVSCVVALMCMVVITAPMAEAAITCGAVSIALSTCVPYLKGDPIPSPACCGGVRGLNESAQTIFDRRSACNCLKKFAGSILGLKPGSLAALPGKCGVSLPFTISASTNCNDIAASDSKYSVGERKKQIAWHFFLLQY >CAK8561401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:196278915:196283458:-1 gene:gene-LATHSAT_LOCUS14999 transcript:rna-LATHSAT_LOCUS14999 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFAPKPQTLFLKPPSSFLFLHNNFRSLRPLSASKHLKIQCELEGKLNGSHSADFDARFLDRQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLSLDFALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEVEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEVRPTGKIKSAKGDEEVGLKVRVRVQKSKVSRPYKVAEFDISFGEGVNKLGCILDCAEMMNIVLKKGSWYSYGEHRLGQGRDKTLQYLKENPHLLEEVEKVVRSSMNDGTNQTSFSHMKNNPLLQHDKDIYEESL >CAK8542206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489482957:489485726:1 gene:gene-LATHSAT_LOCUS11065 transcript:rna-LATHSAT_LOCUS11065 gene_biotype:protein_coding transcript_biotype:protein_coding MAACALFNGVGGGNTATDETNNNSTSNSSNISSEDFHNMPQQQPLHSERKLLRKRMASEMELQLHNTNNNNDYHRFSRRTNNTSSLNCSLPATTQKGVTTTTTTTLASSDNVAIQNFPTVTVTTNYSTMLLPSSCSSNLNNSSTSATNYTHYQQQPLVEEQNTLPAICGFSGLPLFPSQNNQTNRTNRNSNGVVDVVGSSPSMEETSATTNWIDGILKDLIHTSNSVSIPQLINNVREIIYPCNPNLALVLEHRLRLLTEPNTCVPERKRNSTEQSVVNVNGSVLAGSNVNNSSVKLMNRVDDVVPTSLHFSDSSTLLNQNQNLFPNWGATQIINNNNPSISLVTLPSQQQTTQQDQQHQLHQHQEDLAPAPTATTTSAELALARKKKEEVKEQKKKDEEGLHLLTLLLQCAEAVSAENLDQANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPVSSHTPHNQKVASAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMETLEATGKRLSDFANKLGLPFEFFPVAEKVGNIDVEKLNVSKSEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNAGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGEIKFHNWREKLQQCGFRGISLAGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPYHTNTIIPHHNL >CAK8539470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513591386:513592630:-1 gene:gene-LATHSAT_LOCUS8561 transcript:rna-LATHSAT_LOCUS8561 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSTSGSSLGPDGLDLTQSFFKSILNAAPPSPTKRHNKISVIGAGNVGMAIAQTILTQDLVDELVLVDAIPDKLRGEMLDLQHAAAFLPRTKIQASTDYSVTKGSDLCIVTAGARQINDESRLNLLHRNVNLFKKIIPPLARYSPHCVLLIVSNPVDVLTYIAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLEKQEIAYEKEMLENIHKEVINGAYEVISLKGYTSWAIGYSVANLARTIMRNQRKIHPVSVLAKGFYGIDGGEVFISLPAQLGRGGVLGVTNIHMTEEEMKRLKDSATTILELQNQLGI >CAK8577070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535292950:535293204:-1 gene:gene-LATHSAT_LOCUS29211 transcript:rna-LATHSAT_LOCUS29211 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCSGPKRIDLTSGTRLYPVHISLAALNRSTSSLAATQLTHATLPLQATPVEKDALQFPLLWTHRNILQDEKIKTFMAGFLS >CAK8561023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:76683176:76684907:1 gene:gene-LATHSAT_LOCUS14651 transcript:rna-LATHSAT_LOCUS14651 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQRPKLFQNVARHTGLSMYQQRSFGNYTNPAFQCPVMPACGATMTDFSTVATTASPIFVAAPAEKGNFLVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSSPYKGIGDCFKRTTADEGLVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGAGGRQFNGLIDVYKKTLATDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGFKSLFKGAGANILRAVAGAGVLAGYDKLQVIMLGKKYGSGGA >CAK8562025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:427586155:427587831:-1 gene:gene-LATHSAT_LOCUS15561 transcript:rna-LATHSAT_LOCUS15561 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTNAIEVENDHDAGAPSIVLHSKSKKVVKSRESSYSSDEFEGSIGEKPSRYEVWGWYLYEFCSYFVQTVLIPVLFPLIISQLQILPTDPVQEWQNDHHGMLCSDKEIHLYSTLTKRTITVNGSHFSSLEWTSIAWASGLTLAIPILSFISFHLNSQYQTLITAVSTGIGVFFCLPAGFFKTTLIFIPYIAFIVLASTVSSSSHTHHLALMLSSYSKKSKTQRVSSFFSLYATSFGCVGSAVISSFIYHMLREPDDRNLFTLWIVSIFSGLIWLVGILHVVTAINRTSVSIVSFNKSLFYPCSILQHPKAIGGLFGVFVSSFTTMSIFTGAVLFIVGNLCIKPLHLLYFWLIYFLFPLVSLPLLHPLQQLIKVSSVKMKIIGFLLSMLSSGFGFYFWNSHWKWGHILIFGGIQGIGSGVLHAFGRVLVLECAPKGKEGVFSVWYGWIRAAGLCLGFTVASVVPGQIKTSFGAAFVAALVGIVVLLFGNVGDDSESGSHGNDNLGLDSKESVSV >CAK8561930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413375964:413376911:1 gene:gene-LATHSAT_LOCUS15475 transcript:rna-LATHSAT_LOCUS15475 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLSKLLSRSLSVTGKWQNQQLRRLNIHEYQGAELMSKYGVNVPRGVAVSSVEETRKAIKDAFPNQSELVVKSQILAGGRGLGTFKSGLKGGVHIVKTEQVEDIAGKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACRKGGTSIEDLAEKFPDMIVKVPVDVFEGITDADAAKVVDGLAPKVADGNQSIEQVKNLYKLFVDSDCTLLEINPMAETADNQLVAADAKLNFDDNVAYRQKEIFTLRDTTQEDPREVAAAKADLNYIGLDGNDGCRFVWCSSIQDASLSLGSSS >CAK8538449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482911817:482913538:-1 gene:gene-LATHSAT_LOCUS7641 transcript:rna-LATHSAT_LOCUS7641 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSPLHSLNLGLLFNPSTHQNPISSISLKPSTLRCSNSANVPAQSPSPPVRIRRGTKIEAQRALLDYLYYTQSYTFIDADFIAKNSPHFIYELILRVNNRDDDFDRAIRRYLMYYPINEFEPFLESIGIKQSELKLLLPKHLFFLRDDSVLVDNFHVLFNHGVPRNRMGKIYKEAREVFGYGSGVLSKKFENYENLGLSKSSLVKLFVCCPLLLVGDEVDSQFVVVLDWLKRIGIESRWFVSCMCSKRTYRWKTIIQCIEFLHQGGYSEKQMYDLFKANPKLLLEGLGKKEYLVIGRLIKLGLDVNEICSCFREHPDMWSSPRMKNLMSVIAFLYNIRMEQDAIAHVLYNYMHLLSRHSIKGYSTMSEELGVGKTSLCQMIQDDPLEFFSLALKPRQKKNVNEFFYDPLRYLEKISFLQKLGYTDNSEEMEIAMKMFRGRGDKLIERFDCLVEAGLEYNTVVGMVKRCPSILIVRKTLMQKKIDFLKNTIGYPIEHLVGYPRYFFHDLDKSFARFAMYEWLKKRNAINRELCLNTIFSTTEKQFLKLIVNRHPEGPTAWRTIKSLSNKYKN >CAK8531394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107381706:107382767:-1 gene:gene-LATHSAT_LOCUS1190 transcript:rna-LATHSAT_LOCUS1190 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVTCYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8537028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:64048734:64050373:-1 gene:gene-LATHSAT_LOCUS6347 transcript:rna-LATHSAT_LOCUS6347 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSGTAPPRQLSQKESDIQLMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQEEEDEVPAPEYAIADFNAAANPIDGQWPAAIDQSWSDATPQPIPAVPAVNWAAPEAVAGDWVEAVPPPQQTSVPGVEAIQATGWE >CAK8572685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555530465:555531439:1 gene:gene-LATHSAT_LOCUS25218 transcript:rna-LATHSAT_LOCUS25218 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKVKKTEPTNLSELRKGPWTLEEDTVLVTYITTHGEGHWNTVASSAGLKRSGKSCRLRWLNYLRPDVRRGNITLQEQILILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVIKQAKQLKCDVNSKQFRDVLRYVWMPRLLEQVQTAPASGFHDPNKPDFILHHNTSHSSVSGPKTNSSSVSGSGISSESSSLEFQAPSISDQEVLPSFNKQIPEQGNSSGGGGDGDGDDLLETFLNDESMWFLQQLSDDLEIKYNLLA >CAK8542435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:513326879:513330873:-1 gene:gene-LATHSAT_LOCUS11275 transcript:rna-LATHSAT_LOCUS11275 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTLSAGESSSSPGSSSSFTRLCTYHVFLSFRGDDTRKGFTDHLCASLERKGITTFRDDKDLERGQVISQKLIDAIQDSMFAITILSPDYASSTWCLDELQMIMECSSNNNLQVFPVFYGVDPSVVRHQRGYYEEAFRKHQEKFGQHSVRVEKWKDALIQVSSYSGWDSKDQHEALLVEHIAQHIHRKLVPKLPSCTANLVGIASKVEEVNKYIGMGLNDVRFIGIWGMSGIGKTSIARAVYEAIQCTFQVPCFLENVGEASEPKGGLVQVQRQLLSHLSISRNDFHNLYDGKKTIRNSLCRKKVLLVLDNVNDVNQLGNLAGKQDWFGPGSRVIITTTDKHLLLNHGVHNIYEVGMLSQKEALHLFCLKAFKGDKPQEGYLDLSKEVVDYTGGLPLALEVLGSHLYGRNVDLWHSALKNIRSAPPREIQDKLKISYESLGTMERAIFLDIACFFKGMKRDKVIDILENCDYSPQIIIQDLIDRSLVTLDRVNNKLGMHDLLQEMGRNIVFQESPNEPGRRSRLWCKEDIDHVLTKNKGSKKISSIVLNLLQPYEARWSTEAFSKASQLKLLILNEVQLPLGLRFLPCSLKVLRWRGCPLKTLAQTNQLDEVVDVKLSHSKIEQLWQGINFMEKLKYLNMKFSKDLKRLPDFSGVPNLEKLILKGCTSLTEVHPSLVHHQKVVLVNLEDCKCLEALPEKLEMSSLKELILSGCCKFKFLPEFGEGMENLSKLALQGTAIRKLPSSLGCLVGLVALNLNDCKSLVCLPDTIHGLNSLKILDISGCSKLCRLPNCLKEIQCLEELHANDTAIDELPSSIFSLYNLKLISFAGCKGTLIKSTNQFNPFKRMQPCQPPPIGFRFPHYISNLPSLKHINLSYCDLSEESIPDYFCQLASLVSLDLTGNNFVTIPSSISKLPKLELLTLNCCKILRSVPVLPFGIMQLDASNCDSLETTKFNPAKPCSLFASPIKLSLPQQFKSFMQGRCLPTTRFDMLIPGSEIPSWFSPQRSVSWAKLHTPCNFHQEEWVGITLCFLLVSYAVPPELCNHEIDCYLIASNDKKLISTRKLPCMDPSYPHLYILYLSIEQFRDKILDEDYWDDIEFELKCYCCDSLKILRSGCRLVCKQDVEVFQAHTVGP >CAK8574005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653585990:653588974:-1 gene:gene-LATHSAT_LOCUS26397 transcript:rna-LATHSAT_LOCUS26397 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYSNLLDLTSCGSPLSFGREKKRLPRVATVAGVLSELDDETNNSVGSDAPSSVSQERMIIVGNQLPVKAQRKEENGKWEFAWDEDSLLLQLKDGLGDDVETIYIGCLKEEIDPIEQDDVAQYLLDNFKCVPTFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDEDFVWVHDYHLMVLPTFLRKRFNRARLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGIAYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLESVMNLSETESKVAELRNRFKGQTVMLGVDDMDIFKGISLKLLAMEQLLLQHADKRGKVVLVQIANPARGRGKDVQEVQSETYATVKRINDTFGRPGYTPVILIDTTLQSYERIAYYAIAECCLVTAVRDGMNLIPYEYIICRQGNEKIDEILGISSSIHKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPESEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIASAYKRTKHRAILLDYDSTMVQPGSISTTPNAESVAILNSLCSDTKNCVFLVSGKERKTLTEWFSSCEKLGLAAEHGYFVRTSHTEEWEACVSVPDFDWKQIAEPVMQLYTETTDGSNIESKESALVWNYEFADRDFGSCQAKELLDHLESVLANEPVSVKSGPNIVEVKPQGVSKGIVAERLLITMQQKGVIPDFVLCIGDDRSDEDMFGVITSARASLSPIADVFPCTVGQKPSKAKYYLEDTSEILRMLQGLASASEQSAARNSSQFPHH >CAK8561471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241079527:241081059:-1 gene:gene-LATHSAT_LOCUS15059 transcript:rna-LATHSAT_LOCUS15059 gene_biotype:protein_coding transcript_biotype:protein_coding MVPICADEISQIIRERIEQYNTKVKTVNTGTVLQVGDGIARIYGLDEVMAGELVEFKEGTVGIALNLESKNVGVVLMGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAINSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVVCVYVAISQKASSMAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALVEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRRLGREAYPEDVFYLHSRLLERAAKLSCQLGEGSMTALPIVETQVGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGLSVSRVGSVAQIKAMKQVAGKLKLELAQFAELEAFAQFSTDLEKTTQNQLARGQRLRELLKQSQSSPITVEEQIITIYTGTNSYLDSLETQQVRKFLVKLWAYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEQMELFLLQEQVEKN >CAK8567259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498315656:498317814:-1 gene:gene-LATHSAT_LOCUS20325 transcript:rna-LATHSAT_LOCUS20325 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLPSFESTCILKDKDILCVKRKGSRLTESKSAMLPLEARENQSIEALNLLAIEGFQEEKPEYETVSLDDEDDDDDDDDDDQPEDVVYVESKSDVNTTLKKRKASKKLKSPSQKKIKMSTTENVSVIPEVDDKENVSIKDGTHQQPSPAKKSSKKLSKKSSNLDLVKQKDDKNGSTSDETRSLQPQGEGETKKLPSRSARRKKAKRKWLRERKLENENENENENENENENENENENENENEKEKVR >CAK8540656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15340799:15343806:-1 gene:gene-LATHSAT_LOCUS9641 transcript:rna-LATHSAT_LOCUS9641-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRTSYGNKRSHSHTDDGNIKPKRRNPGGDDSRDTFLITQDDTVYRYLCPVRKIGSIIGRGGEIVKQLRVETKAKIRIGETVPGCDERVVTIYSVADESNDLEDSGEFVCPAHDALIRIHQRVIAEDLRGREGEDEDVDMVKEDSQVTAKLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDERLPLCALNSDELVQVSGEIAVVKKALIQIASRLHDNPSRTQHLLASTVPGVYAAGGSMGGPPGGAPIMGMGPLGGGYGGYKGDVGDWPPRSMYPSSRDEGSLREFSVRFVCPIANIGGVIGKGGGIINQIRQDSGATIKVDSSATEGDDCLIAISTRELFEDSFSPTIEAAVRLQPRCSEKIERDSGILSFTSRLLVSSSRIGCLIGKGGTIITEMRRLTKANIRILSKEDLPKIASEDDEMVQISGELDIAKDALVQVLTRLRANIFDKERSVSSFLPPVLPYLPGSPEGPDGLNYDGRDGRRHGRGHSYSDGYGGSSDLPSGDSYGNYGSSQLGRGAPYGAYGGYASGRTSTSGLSDRLSSQSGVSRRRNHGY >CAK8540655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15340799:15343806:-1 gene:gene-LATHSAT_LOCUS9641 transcript:rna-LATHSAT_LOCUS9641 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRTSYGNKRSHSHTDDGNIKPKRRNPGGDDSRDTFLITQDDTVYRYLCPVRKIGSIIGRGGEIVKQLRVETKAKIRIGETVPGCDERVVTIYSVADESNDLEDSGEFVCPAHDALIRIHQRVIAEDLRGREGEDEDVDMVKEDSQVTAKLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDERLPLCALNSDELVQVSGEIAVVKKALIQIASRLHDNPSRTQHLLASTVPGVYAAGGSMGGPPGGAPIMGMGPLGGGYGGYKGDVGDWPPRSMYPSSRDEGSLREFSVRFVCPIANIGGVIGKGGGIINQIRQDSGATIKVDSSATEGDDCLIAISTRELFEDSFSPTIEAAVRLQPRCSEKIERDSGILSFTSRLLVSSSRIGCLIGKGGTIITEMRRLTKANIRILSKEDLPKIASEDDEMVQISGELDIAKDALVQVLTRLRANIFDKERSVSSFLPPVLPYLPGSPEGPDGLNYDGRDGRRHGRGHSYSDGYGGSSDLPSGDSYGNYGSSQLGRGAPYGAYGGYASGRTSTSGLSSQSGVSRRRNHGY >CAK8561058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:83878643:83880536:-1 gene:gene-LATHSAT_LOCUS14682 transcript:rna-LATHSAT_LOCUS14682 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLPEVVPSPTQDSERLRNAFQGIGTDEKELILVLGHRNAYQRKEIRETYQQIYKESLVDRLQSELSGDFRNAIVLWTSDPSERDAKLARDALKAKRKGIKQLQILVEIACATSPNHLMAVRQTYCALYDCSLEEDIIASVSPPLTKILVGLVSSFRHDKVTINSEVAKSEAEKLHEAIKNKQLDDDHVVWILCTRNFFQLRETFACYKQLYNNTFEEDIKVCGKGDLASLLNVVVWCIDRPEKHFAKVIRDSIVGFGTDEDSLNRGIVTRAEIDLLKVRFEYANMFKANLDDDVIGDTSGDYKEFLLTLLGKGPKGD >CAK8542129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475726662:475727436:-1 gene:gene-LATHSAT_LOCUS10997 transcript:rna-LATHSAT_LOCUS10997 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVYLDTILVPLSLFITIVYHAFLFYTIKNKPSRTTYGIDRLRRNTWGLNLNQGDDKKAMLCIQSLRNTLMATILTATITILVNMALAALNNNAFNASHLFTSGFFGSKSDKIFLMKYGSASICLLISFLCSSMAIGLLIDANFLMNAYGDFLSGGYTQGVLEKGFTLAFVGNRIFCVAIPLMLWMLGPVLVFLASIALVCLLHEFDYVPKIPHSQKRCNNVK >CAK8566042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371214341:371214622:-1 gene:gene-LATHSAT_LOCUS19210 transcript:rna-LATHSAT_LOCUS19210 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLDLNKFPEEILDDESNTASMNYSSSLIMDNNQANLNIFYEEHITTSINHSPNLANVAALDFVEDGNVVASMNYSSILGDGLQHNKSKIG >CAK8532766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511285051:511287460:1 gene:gene-LATHSAT_LOCUS2439 transcript:rna-LATHSAT_LOCUS2439 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVNSPLLSEIEEEITQDHQNDTVRHVRTKVPEVEIHLFRQGKGPVVVFKSALGGWEQDQIEIGDILEKHGFKCLFAFNHQTRVRGVPVRFNPRNGRSILTYRDGAVVYLDGEPKDSLLKPITRILVGVALITLMIVIVSRDTPEWMKKLNFSSGNFSPWILACVVIVFTRMRKRTKDFLTKRGW >CAK8537137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:105802408:105806727:-1 gene:gene-LATHSAT_LOCUS6450 transcript:rna-LATHSAT_LOCUS6450 gene_biotype:protein_coding transcript_biotype:protein_coding MARASTTSTNSNNWLSFSLSPMEMPQFVQYDAASSVTNSSHQNHHHYFLDNMYTNGWGNGNSKSEAEAQEQSIWFMDSSNGQSVNHHAPPPKLEDFLGDSQTKTQDSSLTHMYDHHQHHHHGSAYFGGDHHHQHHQHQHQQHHQHQDLKSITGFQAFSNNSGSEVDDSGSIGKSQAACNEFGTHCVESGNEFTYSTAAATANGALSLGVAQCSEETAIVVADSDSSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEEKAARSYDLAALKYWGPTATTNFSVSNYVKELEEMKVVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMKSSLPVGGAAKRLKRSLESEQKAAAINNNQQNNPQQCAVNTINSNSNNINFSAIHHHHQQPMASIPYGVPYDSNTAYYHHNLFQHFHPISNDGTAESVVTSTNAANGGLNAMPPTSAAEFFLWPNQSY >CAK8576782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:513151943:513152706:1 gene:gene-LATHSAT_LOCUS28950 transcript:rna-LATHSAT_LOCUS28950 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIKASNPLPNSHQVLFQEQQQILFNGNEVSNSQKLSAIGVKDDDSLTITVSKKGVGAGVVASSGSANNLSFNTDGSSINPSAFQQHFRRDSNLMAQLFLVN >CAK8566640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444650322:444650657:-1 gene:gene-LATHSAT_LOCUS19757 transcript:rna-LATHSAT_LOCUS19757 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTVSCDGTAEPNWDELPRDITANIFQRLDTIEIVTTVRYVCPIWWNMCKDPLIWRTIRMTNVGDSPYYDEQLLEICYYAIKQSCGHLEYISIENFATDDLLEYIAEK >CAK8544050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659961003:659961551:1 gene:gene-LATHSAT_LOCUS12759 transcript:rna-LATHSAT_LOCUS12759-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKYSVGKVYHYLKMDDPDVVWNHMFSTTIARPRAIFTLWMACHRRLATKERLKKFGITTDDKCNFCNNEETIDHLFFQCPLFQSCWQDILRWMRIHRTPCDWREELNWIIHQCKGKGWRKCLLRSAIAETIYEIWKYRNYTVFGNTVQTMEIRDIVISTLANRGWVHTSIRRHIANLLID >CAK8544049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659960982:659961551:1 gene:gene-LATHSAT_LOCUS12759 transcript:rna-LATHSAT_LOCUS12759 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGDDMKVKYSVGKVYHYLKMDDPDVVWNHMFSTTIARPRAIFTLWMACHRRLATKERLKKFGITTDDKCNFCNNEETIDHLFFQCPLFQSCWQDILRWMRIHRTPCDWREELNWIIHQCKGKGWRKCLLRSAIAETIYEIWKYRNYTVFGNTVQTMEIRDIVISTLANRGWVHTSIRRHIANLLID >CAK8541595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:283349885:283350844:1 gene:gene-LATHSAT_LOCUS10504 transcript:rna-LATHSAT_LOCUS10504 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNEPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSRALPPIEKDTAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8574195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668799547:668801571:1 gene:gene-LATHSAT_LOCUS26561 transcript:rna-LATHSAT_LOCUS26561 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLNKFFKPSSDSTPLPATGDGDDDLANWNWENNQHPIINTYTRTRRNPNPIPSPAFVIEKPTVVKNKKRSYGQFHLELGQSDFLLRACSTCRIQFTPGDVEDEKLHAQFHKRYTQGIQFRGWNHERIISSDKIGRVILVLDNDPSSHRNKVQEVVKMMEIEMGSGWIAHQHCKVYLLVSLQRIVGCVVAEPIKEAFRVASSSDDGHSAGARKREKKLRPTTLQFGNIVFQREVGKSVVNVSDSETLDSRAFSCESKPVAAVCGIRAIWVTPSNRRKHIASQLLDTVRKSFCTDQLERAQLAFSLPTSVGKALACSYSGTGSFLVYKAV >CAK8539041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502267826:502268324:1 gene:gene-LATHSAT_LOCUS8178 transcript:rna-LATHSAT_LOCUS8178 gene_biotype:protein_coding transcript_biotype:protein_coding MASITKVILLYSPLTFKTSSLPKSPSLSHTIVSPKTSLKVHAQLGGRDEETKKGEKKKFITKEQEPEQYWQSAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPIR >CAK8537518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:382802002:382802382:1 gene:gene-LATHSAT_LOCUS6807 transcript:rna-LATHSAT_LOCUS6807 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRDVAYNPIKDMAKNHHSWGNAEEKSVKAPQKGGLYEISQFDHMNVKVDTLYQNLENLTVASSTHVPITIVTLTTPTGGPYSEVCGVNGHFTRDFQVILVGGDQIMTSVRNTKSSLEKSYFFH >CAK8576310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:453377445:453382223:1 gene:gene-LATHSAT_LOCUS28508 transcript:rna-LATHSAT_LOCUS28508 gene_biotype:protein_coding transcript_biotype:protein_coding MVESEPKFYIPESFQVNYDVSSQFKMIWNVMKAPLIVPFLNACVYISLAMALMLFMERVYMGIVIVLIKLFWKKPEQRYNYEPLQDDEELGGSNFPVVLVQIPMFNEREVYKVSIGAACGLSWPTDRLVIQVLDDSTDPVVKQMVEMECQRWASKGINITYQIRENRVGYKAGALKEGLKRSYVKHCEYVVIFDADFSPPPDFLRRGIPFLVGNPELALVQGRWRFVNANECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQANSELPSTLRAFRFQQHRWSCGPANLFRKMAMDIIRNKKVKFWKKVYVIYSFFLVRKIVAHMVTFFFYCLVIPLTILVPEVYVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLLEYGRANEWVVTEKLGDSVNNNKVKSDAAAKKGHVKVQKKTRSKFMERLNLLELGFAVFLFFCGCYDYVHGKHNYFIYLFLQTLTFTIVGFGYVGTIV >CAK8564394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671995975:671998497:1 gene:gene-LATHSAT_LOCUS17704 transcript:rna-LATHSAT_LOCUS17704 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNNFILLISFLSFSFLSISTSGETEQKGPFVGVNIGTDVENMPSTSDIVSFLQLQKITHVRLYDANPDILKSLSGTKIRVIISVPNNQLLAIGSSNTTAASWIQRNVVAYYPQTLITGISVGDEVLTTVGSSAPLLLPAMQSLYNALVSSNLHQLIKVSTPHAASIILDPFPPSQAFFNQTLVDVLLPILQFLSKTESPLMMNLYPYYVFMQNKGVVPLDNALFKPVTPNKEMVDPNTLLHYTNVLDAMIDSAYFSMKNLNVTDVVVLVTETGWPSKGDSKEPYATKDNADTYNSNLIKHVFDHSGTPLHPEATSSVFIYELFNEDLRSPPVSEANWGLFYGNMSAVYLLHVSGIGTFLANDTTNQTYCIAMDGFDSKTLQAALDWACGPGRANCSEIQPGESCYKPNNVKNHASYAFDSYYQKEGKSPGSCDFKGVAMITTTDPSHGSCEFPGSKNVSNKTKEVVNSTQSSSAGVKLRFRTMKTVNNILHIFLTCLSALLLVLL >CAK8578643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643307911:643312561:-1 gene:gene-LATHSAT_LOCUS30636 transcript:rna-LATHSAT_LOCUS30636 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWLTPSHLPVIPTKLTIHNTLLATTLSFGLFFSPFPSSASASAIQSPSLLIPLSPSSEKCRDVEVRDGTVPTAPEVVTNEGLVQEAWQIVNDDFLDTGRHRWSQDTWQLKKNDILSNSIPTRSKAHQIIKRMLVSLGDPYTRFLSPEEFSKMARYDMTGIGINLREVTEDNGDHRLKVLGLILDGPAYSAGVRQGDEILAVNNMEVKGKSAFDVSSLLQGPNGTSVTIQVKHGNCGPVESIEVQRQFVARTPVSYRMEQADSGAAHVGYIRLKEFNALARKDLVIAMKRLQDMGASYFVLDLRDNLGGLVQAGIEIAKIFLNKGDTVIYTAGRDPQFQQAVVSDTSPLIRAPVVVLVNDKTASASEIVASALHDNCKAVLVGKRTYGKGLIQSVFELQDGSGVVITVGKYVTPKHKDINGNGIEPDFQKLPAWDDVSQHLSKCSLLQQG >CAK8578949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663462758:663464319:1 gene:gene-LATHSAT_LOCUS30927 transcript:rna-LATHSAT_LOCUS30927 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIITEFFEKSLRIILESRALNVSSRKGGDKSDSSLYSSSSPSATLRDKWFNLSLRDCSAAFGDINHLESHSLVVDVILVHRSLDRDPMVPRRVLPKSCLMKERYPLCYHGEELGVEAKSGKIVERWVVQYENRKVKDSTCRTKKSSQNLYKKLAEFLSFLYAKVRHLPAYQIFNDLSSSASAPIRPFTLAHRVASFVEPFTLKEESEMMEYRFIPVDTSSGRLCLKVMYCPSASKLIGNLFPRVIPDYVGSLSADPSRKLPSFPLAGVPSALPKRSSSNFEAVNSSRYTDMQSEATAEKVFSLGKDEPQKFYGDRISANSLRISSTHPSFDGTEYNCPFAYAYDDMKGPADGKSNDAAVGELIEMLNNAQPLHQDFYSSEQSSGLCRGQRRPAETCIQEASIARRKTTRDALKEFDDYMEMKNWLISKSHI >CAK8532090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201022781:201023261:-1 gene:gene-LATHSAT_LOCUS1827 transcript:rna-LATHSAT_LOCUS1827 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8560214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10704997:10706109:1 gene:gene-LATHSAT_LOCUS13908 transcript:rna-LATHSAT_LOCUS13908 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLSNGSNLFQHFTFIRVIVKSLPFFIPATYSTNVPAENQVKLRKSRDKWKDATEVLSKWGCDEGDLKRIFVRCPALRDADPSQVQSKLCLLSELGLGSSELVKIINCRPRFFRTRINHKFEERLDSLMSLFESKEMLHKAIARNPSLLCENTYDIEGIVAQYEKLGVPKRDFIKMLILRPTIISRTSFDDEKLEYISRTGVSKDAKLYKYVVTLIGISRVDTIRKKVLNLTKFGFSDDEILGLFGRSPNILTLSTDKVQRNMTFILGTMKLEAKMILKYPYLLFANMEIVLKPRGLLAMKIQDMDSKLKIPSILKALRMSEERFLKLFVECHDKEIADELMEFYTRTKEVKRLAASSKNCTTRGFPF >CAK8576878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521580651:521581793:1 gene:gene-LATHSAT_LOCUS29040 transcript:rna-LATHSAT_LOCUS29040 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFVTKPISHFKPKHPLTPKHSFLSHHQSLHFRHKPTYFPTVTAAIQNQQQETTPKNIEDESYGEVKRIIGSKALEDSTGMEYLIEWKDGHEPSWVPADFIAKDVLSEYETPWWTAARKANEAEIKKILESDDGRDVNAVDADGRTALLFVAGLGSEPCVKLLAEAGANLDHQDNSGGLSALHMAAGYVRPGVAKLLLDLGADPEISDDRGRTALELARELLKATPKGNPMQFGRRMGLEGVVRVLEGAVFEYSEVEEIMEKRGKGENLEYLVRWKDESANEWVKAKYVAEDLVKDYEAGLEYAVAEAVVGRRLGDDGKYECLVKWVDLEEPTWEPEENVDSELVKMFEVSNNQAQPSVDNGLSTPALSQDGPTIASS >CAK8571296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:366586692:366587648:-1 gene:gene-LATHSAT_LOCUS23971 transcript:rna-LATHSAT_LOCUS23971 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTIPDEHLILYFFWISKQRFGRDAVSYIFTEVIDNVSELMLDEFGNVVIQKMIGLCNQNQLTRVTLMVTSYECQLVKIVVDLHGFRSIEKLCENATTRDQRLLIMSAFNPAAILLSKDVNGHRVVLSCLRNFPQEDTKIFLSIIATNSLSIARDKTGCCVIQYCASHAQGEVKNRLIDDIVLNAPLLAEDCYGNYVLQHLLSMKIQRISGNLHRQLERRFVYLSCNKYGSNVVEKFFHDAGVHLSEKIIAELLNSPNISRLLVDQFRNYVICTTLVKFKGNPYLKNALLDLIQANSLMMHIIMFGKSCLIGPTKIA >CAK8571237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:348676714:348689890:-1 gene:gene-LATHSAT_LOCUS23915 transcript:rna-LATHSAT_LOCUS23915 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRNGNLHHDPTINPGLGSARCPRCLSLLNPNSERGEWTITPVLHDATAVVGSGFGGMISAVHVLNRGLPYLQNRLKGPKWLPFLVGIPPLLIFSGASAAFGGYALPNFAQLTVTSYYASSSASHYGISLLTRHIEENYNSNNLHLKRM >CAK8536735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11953565:11954002:-1 gene:gene-LATHSAT_LOCUS6069 transcript:rna-LATHSAT_LOCUS6069 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNSSHNAKMFMDYMNDDIDEQLVMPLMEEESSRSKRPRHQRRNIERNREEGHDRLFNDCFSETPVYTNEQFRRRYRMYKHVFLRIVEALGQYGEYFRMMVDATGRSSLSPLQKCIVVIRMLAYGTSANIVDDYLRIDETVTL >CAK8567435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513859204:513860205:1 gene:gene-LATHSAT_LOCUS20489 transcript:rna-LATHSAT_LOCUS20489 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKFAKIHTLEQDQLSQEIKNMLLSLPREKGWRTPYIYLFQGFWCQPAEIQAISTFQNHFQAKDSDVFVATVPKSGTTWLKALTFAIMNRQHHFISSKNHPLLSFNPHDLVPFIEYTVYGKHDKVPDLSKFHEPRLFGTHIPCPSLPNSIKNSNCKIVYICRNPFDTFISSWMFVNKIKPPSMATLSLEEAFGMYCKGSVGFGPVWNHMLGYWNESKERPKNVLFLKYEDLKEDVVFHLKKLANFLDCPFTLEEEKEGVIENIINLCSFEKMKELEVNKIGTFGRNFENKFLFRKGEIGDWTNYLSSSMVEKLSKIFEDKLGGSGLNFRVK >CAK8536904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:36119992:36121563:-1 gene:gene-LATHSAT_LOCUS6229 transcript:rna-LATHSAT_LOCUS6229 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTRSKEDITNDDAKIYIKEIKAAFKDEKHKFNEFLKTMKDFKKKRTNLACMLERVKELFEGHRELLLKFNTFLPEEFEITPPQEKPNVDIEYAKKYLAKVKTRFQHDPDVYQSFLAIMNMYRNKEKSGEEISQMIISLFEDQLDLIDGFIEFLP >CAK8535925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891607785:891609155:-1 gene:gene-LATHSAT_LOCUS5340 transcript:rna-LATHSAT_LOCUS5340 gene_biotype:protein_coding transcript_biotype:protein_coding MTETYFRGSGRIAKKLKPRLSDSVPQDKVNNEAVECGDKFDEMPDCVVLHILSFMETKDAVRTCVLSKRWTNLWTSIPCLNFNSKSFARLADFKKFVMWVLFRRDSSVVKVLTYCRAGVEYATDQNLFNKVIDHATSHGVEEIVVNLRAKAVGSPPVDIPLSLLKCKTLKRLELKDCHPTKPELPLTCIPVRKLLHLEHFTMDTTDISNSFASLANLFGFATLTTLNLSNLTLCCTENESLNPFENCVNLKNLHLREICFKSDLAPKDFVISAPQLNNMTIMCTRFKCKLVVSAPKLINFSYLYASSCAFFEFSMPSVDALIIDIREPNYQMKEPHQRPGEKSSHGLINMFREHHNAELSFSTAMVSCGTTVIMPKEECSSFNEWKSVNLRVGSTYKIFINNLDHITAYFRSCSKRDDFEILTI >CAK8563402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600456167:600457889:-1 gene:gene-LATHSAT_LOCUS16819 transcript:rna-LATHSAT_LOCUS16819 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLSVHHFLPSQRKENIGYLSGFRTSLCGQVVLNPNFSGRQITGSYGNRFVVNSLFGIGKKAKKSRVIRETVIPEPDYRIPIVLLGFAGGLVYTDNLIPAVPVGLLGLLLLFQTTRVRFVFDDEALEVKIGDQLDESGENAFVGGKNRWKYSTFVNWEFWWPNFPILVYFKETQTKPEGQIHFFPIIFNGKQLYDVMVERAGSSKTSAPKES >CAK8536854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28581820:28582948:1 gene:gene-LATHSAT_LOCUS6181 transcript:rna-LATHSAT_LOCUS6181 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNYYNILKVNPDASYEQIKKSFKNLSRKLHPDESNQEPLRKKEFDEKFKRIYEAFDVLSDPNKRRIFDLYGKYPVNFENVDRNNMKVDDEGVGGFFESDLLCTLEDLYCGCRKKVNLVRTVPDEFGELKREEEILKITVEPGWRKGTKITFPGKGNQLPGSPLLDLIFVVKEKPHAVFQRDKHDLVMTQKISFLEALVGTTLNITTLDRRNITVEVTDIVTPGYEKVVPDEGMPLAKDLSKRGNLRIKFYVEFPSNLTSQQKHNVSRILSEAVYN >CAK8534005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681686089:681686664:-1 gene:gene-LATHSAT_LOCUS3581 transcript:rna-LATHSAT_LOCUS3581 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMEDWDGMKVKYFVGKVYHYLKMDEPDVVWNHMFSTTIARPRALFTLWMTCHRRLATKERLKKFGITTDDKCNFCNNEETIDHLFFQCPLFQSCWQDILRWMGIHRTLCDWREELNWIIHQCKGKGWRKCLLRSAIVETVYEIWKYRNHTVFGNTVQTMEIRDIVISTLANRGWVHTSIRRHIANLLID >CAK8541789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:395458367:395458876:-1 gene:gene-LATHSAT_LOCUS10684 transcript:rna-LATHSAT_LOCUS10684 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQLNNSTCSKTQLHSSSQLSFLSSTRTRTLPRHYHYTFAPLHRVQHARISCSVAPNQVQVPATQTQDPKGKPDCYGVFCLTYDLKAEEETKSWKKLINMAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVALELEDSLFPLLREVVISIDP >CAK8562664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524124230:524124569:1 gene:gene-LATHSAT_LOCUS16142 transcript:rna-LATHSAT_LOCUS16142 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVGVGLQNESIPSNSVDQSFSVVPPTSAKSDCSPLTCSSNTPAKRVVVPTTLDDILQDKVLTPRQSATKVKHIKKE >CAK8571993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500569727:500570830:-1 gene:gene-LATHSAT_LOCUS24602 transcript:rna-LATHSAT_LOCUS24602 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLAPFQLLELNVISTQDLASVGRNMRTYAVAWVHPDRKLSTRVDTEGRTNPTWNDKFVFRVDDEFLYNDTSAIMIEIYALHWFKDIHVGTVCVLVGNLIPPPARPFHTNRAPLGMRFVALQARRPSGRPQGILNIGVTVLDSSMRSMPLYTLNASAVGCRHLMGEKDAYDSHNHLSPHVLAAGGGGGGGAGKPELRRTKSDTSSVIACEAVLRHQRAIINKERASSAISGSEVGYNKVNKNKKKKKKNKKKKSSNEEASSIISSVFSDAVVPWIIKNGKASSTPDSRVQPPQPPPRYNDDEHHNNDENDIDHHHDHYHDDNDIDDNEKDVSFVNTISQATRDTDINDKVMGLKAQNSKEMDKTV >CAK8573236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596096036:596103066:1 gene:gene-LATHSAT_LOCUS25708 transcript:rna-LATHSAT_LOCUS25708 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNCSNLQIIPPSSSDSYKLLLQALSQIPIHHYALATAFALTCFLYYFLEIHFFRDLFTGFSGSPVEFTYNSSSEIYDAIVSKCRILQGRYSVTPWLSSPHLQTVFLNFFGRPPTFKYKRQLFTTSDGGTIALDWLKYSDVSDSDVHVDGVTKEDEFTPIVVVIPGLTSDSSSPYLKHLAYNTAKHGWKVVIGNHRGLGGVSITSDCFYNAGWTEDARTVVNYVHKENPRAPLFLIGTSIGANILIKYLGEDGENIPVAGAVAVCSPWDLLIGDRFITRKCVQKFYDKALAIGLTGYAKLHQPHFTRLANWEGIEKSVSVRDFDNHATRIVGKYETVDTYYRRCSSSIYVPSVSIPLLCISALDDPLCTREAIPWDECRANKNVVLATIEHGGHLAFFEGISGSSLWWVRASNEFLDVLLSSKYMHVQKKISAPNKLLDSAIDQGPYVNVTEDGMVAASNNEATTDNEEEIHVKQDTHDDGHDKVPEENKQDEPVTKTESGDSNCISKTASTHNPVPLDVIAPFKRYIGQLSRQNRWSIWLLVFIAINTSWPLVGSALHLVFRKRLKGSGGLVKR >CAK8537393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:325943150:325946542:1 gene:gene-LATHSAT_LOCUS6686 transcript:rna-LATHSAT_LOCUS6686 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRVQTIAHQSKDSSIPSMFVRAENEQPGITTVPGVKLEVPIIDFSNSDGEILQSKIMEASKEWGMFQIVNHEIPNDVIKKLQSVGKEFFELPQEEKEVIAKPVGSNSIEGYGTNLQKDVNGKKGWVDHLFHIIWPPSSVNYRVWPNKPASYREVNEEYGKYLRGVTDKLFKSLSVGLGLEEHELKEAAGGDNMIYLLKINYYPPCPSPDLVLGVPPHTDMSHVTILVPNEVQGLQASRDGQWYDVKYVPNALVIHIGDQMEILSNGKYKAVLHRTTVNKDETRMSWPVFIEPQGEFEVGPHPKLINKDNPPKFKTKKYKDYAYCKLNKIPQ >CAK8542746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542346157:542347268:-1 gene:gene-LATHSAT_LOCUS11556 transcript:rna-LATHSAT_LOCUS11556 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIMLLSPPPIPLRSLPFHTNKLQPWHHHDNHHLPTPKPQKLRLSLHESAEFITQQQQLLNPNSLFLLTETAGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVKKTFVDEGGNKPPKQVAGEVLSFFTRNNFSVVDRGETITFEGVMVPSRGQAALLTFCTCISLASVSLVLTITVPDVGNNWFLLTILSPLAGAYYWTRASRKEQIKMKLIVKEDGTLSEIVVQGDDQQVEQMRKELKFSEKGMVYVKGLFET >CAK8563893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637465278:637465511:1 gene:gene-LATHSAT_LOCUS17254 transcript:rna-LATHSAT_LOCUS17254 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKSSSFSFCGMFKSCFSSESKNDYYNEGSSSRMFTSDEDRGRWVAEPGIDRKASAFIARFYANRVTDSEQQIAS >CAK8565748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:320753784:320755037:1 gene:gene-LATHSAT_LOCUS18935 transcript:rna-LATHSAT_LOCUS18935 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPTMATFTAAILLLSAVLTTSTAHNISHILSQNPEFSTFNHYLTLTHLASEIDRHTTITVLAVNNAAMDDLIAKHLSIYTVKNILSLHVLVDYFDAKKLHQITNGTALVSTLYQATGSAPGASGLVNITDLRGGKVGFGANVNDGTLPAVYVKSVEEIKYNISVIQISQIIPSAEAEAPTAAPSKQNLTAIMSKHGCKIFADTLFASTEAYNIFTDNLDGGLTIFCPADDAFKGFLPKFKNLTAAGKIALLEFHGVPIYQSIASLKSNNGDVNTLATDGAEKYDFTVQNDGDEITLKTKLVTAKITNTIIDEQPLAIYTISKVLLPEELFKSEAPSASPAPAPAPESPAADAPAPEAHKKKKKKAADAPNDDYSDSPADSPGDAADVDADDSAAGRFHGFGVLVLALFFGILML >CAK8561668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:347179762:347179962:1 gene:gene-LATHSAT_LOCUS15236 transcript:rna-LATHSAT_LOCUS15236 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPDFNIEDILDDEPGPSTRQTISPTTHHNEDLSSDSSQSSANERLGRGHRQRRAPRCGTGGHLR >CAK8534451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727842561:727845471:-1 gene:gene-LATHSAT_LOCUS3996 transcript:rna-LATHSAT_LOCUS3996 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLILVLMLIHATLPISPQIPAPVKKWSTLTGNEPLVIARGGFTGLFPEGSSKAIDISKEISIFLCNVQFTKDAGAFCVTGVKLDNATTIATFDPNEKTYNINGKDVQGHFMVDYTTAQIDHNVSMNQAIFSRPSFYDGLSPVLNVDGILSNKTPPRIWLNFQYEAFYDQHGVKLVDKVLEMLSHYTIDFVSSPEIGFLRSLNGKVRNKTKFVFQFLNASDVEPTTKQPYGTIAKDLAAIKSYASGIMVPKEYIWPVKSDKYLGPLTTLVSDAHKQGLEVYASGFANDLFSSYDYNYDPTAEYLQFIAKGESVDGLVTDFPPTASNSIACFALNNALLKKGQPLIISNNGASGVYPGSTDHAYQQAIEDGADIIDCSVQMTKDGIPFCSNSADLMEDTTAMTKFMSRTSNIPEIQPNNGIFSFDLTWNEIQTLQPQIATPLGSDFQRNPANKNSGKFVTLSEFLELAKDKAVTGILINIANAAYLASKKGLDIVGTVTTALSNATFDKQATQQVLIQSDDSSVLSKYKDIPSYKRVLLVENKIGDAPKKTLDEIKKHAEAVNLRKTSVVKASGSLLSGMTNVVKEMKDANLTVFVHTLRNEFISLAFDYWSDPNVEIATYIHSAKVDGIVTDFPATTSRYLRSPCSDLNNVATILPAKAGELESTVLPTLLPPAEAPLPPLEVGSIVDPPLPAVVNDNPPATPSSPPPSCACANATNIGLFIVAIIVLVINLTL >CAK8578070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605083036:605083680:1 gene:gene-LATHSAT_LOCUS30112 transcript:rna-LATHSAT_LOCUS30112 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLDNLTAPINLKAKAVVAAHDKDINSVAVAPNDSLVCSGSQDCTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVVTASGDKTIRIWAISDGSCLKTFEGHTSSVLRALFVTRGTQIVSCGADGLVKLWTVKSNECVATYDHHEDKVWALAVGSKTELLATGGSDAVVNLWLDSTAADKEEGRLMEAAESYQKMIRMGCQLQDFIRNQ >CAK8566037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:370777684:370780030:1 gene:gene-LATHSAT_LOCUS19205 transcript:rna-LATHSAT_LOCUS19205 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLQWLSLVGIIWLQVINGTNTNFPAYSSQLKQLLSISQVELNNLAFASDAGKLFGWFSGLAAIYLPLWLVLIIGSFLGLIGYGVQYLFITNQISYLSYWHVFFLTFLAGNSICWINTVCYVVAIRNFLSDRQVAVGLTTSYQGLSAKIYTSIADVASSHNKAKTFLFLNSIVPVAVCLILAPIVKEIEVKNSKHSSVVFALMFVITITTGIFAVISSLQIFTNKISSLGVLIGILVSLLLPLLLPICVKIKELVESLHRKRESLRIYHYTMEENVNKESYVKEGDDEDSSEVEDGCVMEEIGVKLMLKRMNFWLYFFVYFFGATIGLVFLNNLGQIAESRGCSATSYLVSLSSSFGFFGRLIPSLLDYFYRGKHTISRPALMVGVMAPTAGAFFLLLNKTDIALYISTAVIGVSTGAITSAAISTTTELFGTKNFSVNHNVVVANIPIGSFLFGYSAALIYRKQGNEHGKCMGMECFSNTFIIWGSFCCFGTFLALILYFRTRKFYSQNQ >CAK8543303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:597972895:597975540:1 gene:gene-LATHSAT_LOCUS12069 transcript:rna-LATHSAT_LOCUS12069 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSLRLDSHAISTPQSQRLFTFKRYPSTRNVVVCSAKPIAPPPTKLTAAGSTAGRIESLSQVSGVLGCQWGDEGKGKLVDILAQHFEIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFEEIDNLESNGVSCKGRILISDRAHLLFDFHQTVDGLREAELSKSFIGTTKRGIGPCYSSKANRNGIRVGDLRYMETLPQKLDLLLSDAALRFKDFKYGPDVLREEVEKYKRYAERLEPYIADTVHVMNEAITQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRIIGDLVGVVKAYTTRVGSGPFPTEILGPGGDLLRFAGQEFGTTTGRPRRCGWLDIVALRYSCQINGFSSLNLTKLDVLSDLDEIQLGVSYKNADGTQVKSFPSDLRLLEQLKVEYETLPGWKTDISSIRNYSDLPRAAQLYVERIEELVGVPIHYIGVGPGRDALIFK >CAK8568952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659160260:659161457:1 gene:gene-LATHSAT_LOCUS21858 transcript:rna-LATHSAT_LOCUS21858 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGKVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHVMIHQPHSAPYEGPSGECMLEADEMVCLVAC >CAK8568953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659160260:659160634:1 gene:gene-LATHSAT_LOCUS21858 transcript:rna-LATHSAT_LOCUS21858-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGKVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWRQ >CAK8531247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92639521:92644074:-1 gene:gene-LATHSAT_LOCUS1053 transcript:rna-LATHSAT_LOCUS1053 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVRVIEAKNLPPMDLNGLSDPYVRLQLGRQRFRTKVVKKSLNPKWDEEFSFKVDDLKEELVVSVMDEDKYLIDDFLGQLKVPMSTVFDEEIKSLGTAWYCLQPKSKKSKNKESGEVRLSIYFELKTASVESNIHGDLVFHPRKSADSITNSPSRSSTGYSNSSSPARDEVASTKDEKPSTQKSLTGRIANIFNKNSDVSSNSSRRSIDSDQTETTKVEVSEVKTEDQSSDETFEEAMKKIQSSDQGSEIPSNLPGGLLVDQHYNIAPEDLNVLLFSSDSNFLKSLADVQRSTELQLGPWKFENGGESLKRLVTYIKAPSKLIKAVKAFEDQTYLKADGKNFAVFVVVSTPDVVYGSTFRVELLYIITPGPELPSGEQCSHLVISWRMNFIQSTMMKGMIENGARQGMKDSFEQYASLLSQDVKPVDLTELSSSKEQALASLQAEPQSDWKLAVQYFANFTVVSTVFIGLYVLVHIWLAAPSIIQGLEFAGLDLPDSVGEFVVCAVLVLQGERMLGLISRFIKARAQKGSDHGIKAQGDGWLLTVALLEGSHLASVDSGGFSDPYVVFTCNGKVRTSSIKFQKSNPLWNEVFEFDAMDDPPSVMDVEVYDFDGPFDATTCLGHAEINFLKANISDLADTWVPLEGKLALACQSKLHLRIFLDNTRGGNVTKDYLSKMEKEVGKKINMRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGKKTKFFFLWEDIEDIQVIPPTFSSMGSPIVVITLRPGRGVDARHGAKTQDEQGRLNFNFQSFVSFNVAHKTVMALWKARSLTPEQKVKVVEQESETKTLISEDSGSYLVLDDVSMSEIHSCCLPIPASFLMEIFSGGEVDRRVMENSGCLNYSYTPWVSENSDISERAVYYKFEKHVSSYKGEVTSTQQRSPLLDGKGWLVEEVLNLHGVPLGDYFNIHLRYQIEDLPPKAKGCRVLVFFGIEWLKNTKNQKRITKNILQNLQERLKLTFSLAEKELLPR >CAK8544730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702744727:702745272:1 gene:gene-LATHSAT_LOCUS13385 transcript:rna-LATHSAT_LOCUS13385 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLLSRITLRPFTLSDVDDFMLVVCDDRVTNLTRRKTLVSREQTLSYIQDVCIPHPWTRSICIDNKTIGFISISPAGSGDDDDDRCRADVGYAVAANYWGQGICTKAVKVAVSQVCKDIPCLVRLQAFVDVENQASQRVLDKAGFFREGVLRKYSYVKGKVKDIAIFSFLLEDPNVKIT >CAK8575340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:88678198:88678449:1 gene:gene-LATHSAT_LOCUS27610 transcript:rna-LATHSAT_LOCUS27610 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLSGRHGLTPKFEERVKGFITRAFAQECCRREGGVRCPCLKCECRRIISDSEEVKRHLKRNGFIKNYWV >CAK8535605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:866614982:866616349:1 gene:gene-LATHSAT_LOCUS5048 transcript:rna-LATHSAT_LOCUS5048 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISINMNKKRTILFNKYEVGKTLGQGNFAKVFHGKNVSTNESVAIKVIKKEKLKKERLMKQIKREVSVMSLVRHPHIVELKEVMANKAKVFMVVEYVKGGELFAKVAKGKMKEEVARKYFQQLISAVDFCHSRGVTHRDLKPENLLLDENEDLKVSDFGLSALPDQRRSDGMLVTPCGTPAYVAPEVLKKIGYDGSKADIWSCGVILYALLCGYLPFQGENVMRIYSKSFKADYALPEWISPGAKNLIRNLLVVDPEKRFSIVDIMKDPWFQLGFMRPIAFSMKESAVDDNIDFSGDDEGKCDGSNADEVVSGIGTNHSRRPSYNAFEIISSLSHGFDLRNLFETRKRSPSMFISKLSALAVVGKLENVAKKLNLKVTGKKDFIVRMQGATEGRKGKLGMTVEVFEVAPEVAVVEFSKSAGDTLEYVKFCEDEVRPSLKEIVWSWQGDSHSSS >CAK8564888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14936688:14937638:-1 gene:gene-LATHSAT_LOCUS18144 transcript:rna-LATHSAT_LOCUS18144 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNRQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSFQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKNTQYEILQNITTKASSESYEKSNSSGSSNLWRFIQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8543991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654603993:654604211:-1 gene:gene-LATHSAT_LOCUS12706 transcript:rna-LATHSAT_LOCUS12706 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPDFNIDDLLAEQPSTQAEPLSRQEDPPTTHHDEYMSPNSSQSPTTNANEHVGRGYRQKMATRCGTGGHF >CAK8534135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697844767:697846405:1 gene:gene-LATHSAT_LOCUS3703 transcript:rna-LATHSAT_LOCUS3703 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8565680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:304358954:304359673:-1 gene:gene-LATHSAT_LOCUS18870 transcript:rna-LATHSAT_LOCUS18870 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVSLAVDQLLPLLREEANLLRGIHKEFADIKDELESIQAFLKDADKRAATAEGDNTSEGVKTWVKQVREAAFRIEDIIDDYMIQVGQQPPHPGCAALIHKLKSMIPRRRIASEIQDVKSYICGITKRSEKYGFQRSLEQRSSSSRESRNSKWHDPRVAALYIEESEVVGFEVPRKRLTDWMVKGREERTVVSVVGMGGKEKPLLPRKFLTARMSSDTSIVVCGSQSLNHIMLKTC >CAK8532927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551527593:551528447:1 gene:gene-LATHSAT_LOCUS2587 transcript:rna-LATHSAT_LOCUS2587 gene_biotype:protein_coding transcript_biotype:protein_coding MYRESEHTRESDLKYYQRRYFNELKDDYYKLEISDSTFRCPFCFNKDYYSLSELLRHASRIAGDLHGETVKEIAKHSALERYLDFRISEDKSHDRNVDTDKSPRVNVSDSKSLSVSIDKAQSVNANTVKDRSCDVGIAKNKSPTDVVNTAEDEFVWPWMVVLANNVTNYDPKFGKYIGKSHKKIKDDLYAKGFQPLKVTGLWNNKGQTPFVIVEFGKEWDGFNNALKLESSFEVEHCGKRDYLGLRERGDKLFGWMARRNDYNYRDIVGKHLRENGDLKTVSGK >CAK8569127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674320891:674321637:-1 gene:gene-LATHSAT_LOCUS22011 transcript:rna-LATHSAT_LOCUS22011 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIPVEKWTRAFDGGARWGHMTTNLVESLNGIFKGTRNLLITALVRATYYRLGSLFAARGKKWSAVLESGQIFSESSMKYMKDETSKAVSHRVRPFDRHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRLPCSHVIATCSNARHDPFLQLSEVYKVVNLFGIYSNSFPVVASEEYWLAYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKIERLCGICRLPGHTRTNCPNVGTSSR >CAK8575732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:291560644:291594490:1 gene:gene-LATHSAT_LOCUS27970 transcript:rna-LATHSAT_LOCUS27970 gene_biotype:protein_coding transcript_biotype:protein_coding MFFANPNATTNPPASPHALNGFKFPHAPSSLSQQNIVGFTVPQSNFLGSNSTDSSSQPYQASGFHSFASSAAETPHPPHPRYNTWGSGSFILPDQFVTVATTTTSECFAVATVTTSSATEFSPPQIGYHHRPVYQRGLSLSLSSQQTPYRSFSGEVVASRGSDNHGGDVFGSMYLKVAQELLDEVVNVDKGITKGESVEGNNTNNNDREKRKANTESSSSGGRENDGGKQVAELSTAQRQELQMKKSKLVNMLDEVKLKYKQYHHQMQSVISSFESATRYGAAKSYTSLALKTISKQFRSLKDAISSQIKTTSKSLGEDDCLGVKLEGSRLRFVDHHLRQQRALQKLGMVHNNAWRPQRGLPERAVSVLRAWLFEHFLHPYPQDSDKVMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEENNGSKDNTNTSKKSCKELWPTTNATQESSATKIDQISVLNSKTENFYNQNASPTEISHSNNSISVSPLEMKSNRESNTKFEFERNHDKNGYTLMCENENHGSGYGTIFSMEDIGRYNVSEQLAPRFHGNGVSLTLGLPHHENLPLSSTQHGFLSHSMHMGGRIEMRENENEFCGINTTPPSSHSGTSYDSVDIQNKKRFSTQLLRNFVN >CAK8575733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:291593765:291594490:1 gene:gene-LATHSAT_LOCUS27970 transcript:rna-LATHSAT_LOCUS27970-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNWFINARVRLWKPMVEEMYMEEIKEQEENNGSKDNTNTSKKSCKELWPTTNATQESSATKIDQISVLNSKTENFYNQNASPTEISHSNNSISVSPLEMKSNRESNTKFEFERNHDKNGYTLMCENENHGSGYGTIFSMEDIGRYNVSEQLAPRFHGNGVSLTLGLPHHENLPLSSTQHGFLSHSMHMGGRIEMRENENEFCGINTTPPSSHSGTSYDSVDIQNKKRFSTQLLRNFVN >CAK8560688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35771881:35775093:-1 gene:gene-LATHSAT_LOCUS14345 transcript:rna-LATHSAT_LOCUS14345 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKEKKFVRFEDWKSESSSFNISQYDSSMDGFEKRKSKPSFSPAKNNEPSKKPASRFTVLDPQGPLLQKWNKIFVITCVMAVSMDPLFFYIPVIDDKRKCLDLSGTLKITASVLRTFFDLFYILRIVFQFRTGFIAPSSRVFGRGEPVDDPLAIAVRYLSSHFIIDILSILPLPQMVILAMIHIPQCSVPYIAKDWLKYTIITQYAPRLLRIYPLFKEVTSTSGILTETAWAGAAYNLFLYMLASHVVGAFWYLFSIESELRCWRKRLKSTGFLDDSSYLSCGRFNSAVFSLLSNSTTCPYKEPDDIIDPTVFDFGIFIDGLKSRVVGSTTDFHHKFFYCFWWGLRNLSSVGQNLKTSTYIGEIIFAIFIAVFGLVLFALLIGNMQKYLQSTTVRVEEMRIKRRDAEQWMCHRMLPEFMKQRIRRYEQYKWQENRGVEEETLIRNLPKDLRRDVKRHLCLDLLKKVPIFGNMDKQLLDAMCDKLKPVLYTEKSYVVCEGDPVDEMLFIMRGKLATATTNGGRTGFFNSSELKAGDFCGEELLTWALDPTTSSNLPISTSTVETISEVEAFALMHDDLKIVASQFRRLINRKQLQHTFRFYSLQWRTWGARFIQVIWRRYRDKRAQKALREAEEKQHNASENEEECSPSFVSTMYVQKFASNALRHVRSGKTVTQTPTPTKRLLPLLPKKPAEPNFITQKN >CAK8560689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35771881:35775090:-1 gene:gene-LATHSAT_LOCUS14345 transcript:rna-LATHSAT_LOCUS14345-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKEKKFVRFEDWKSESSSFNISQYDSSMDGFEKRKSKPSFSPAKNNEPSKKPASRFTVLDPQGPLLQKWNKIFVITCVMAVSMDPLFFYIPVIDDKRKCLDLSGTLKITASVLRTFFDLFYILRIVFQFRTGFIAPSSRVFGRGEPVDDPLAIAVRYLSSHFIIDILSILPLPQMVILAMIHIPQCSVPYIAKDWLKYTIITQYAPRLLRIYPLFKEVTSTSGILTETAWAGAAYNLFLYMLASHVVGAFWYLFSIESELRCWRKRLKSTGFLDDSSYLSCGRFNSAVFSLLSNSTTCPYKEPDDIIDPTVFDFGIFIDGLKSRVVGSTTDFHHKFFYCFWWGLRNLSSVGQNLKTSTYIGEIIFAIFIAVFGLVLFALLIGNMQKYLQSTTVRVEEMRIKRRDAEQWMCHRMLPEFMKQRIRRYEQYKWQENRGVEEETLIRNLPKDLRRDVKRHLCLDLLKKVPIFGNMDKQLLDAMCDKLKPVLYTEKSYVVCEGDPVDEMLFIMRGKLATATTNGGRTGFFNSSELKAGDFCGEELLTWALDPTTSSNLPISTSTVETISEVEAFALMHDDLKIVASQFRRLINRKQLQHTFRFYSLQWRTWGARFIQVIWRRYRDKRAQKALREAEEKQHNASENEEECSPSFVSTMYVQKFASNALRHVRSGKTVTQTPTPTKRLLPLLPKKPAEPNFITQKN >CAK8570030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21631170:21631742:-1 gene:gene-LATHSAT_LOCUS22820 transcript:rna-LATHSAT_LOCUS22820 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWGLLEEEFMDDSDEEQQIQNERRSGSFSRPKRRTTVDRGREEGHNRLFNEYFSENPVYTDVQFRRRFRMHRNVFTRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSVIRMLAYGSPADLVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDVEHLGRVTWLSKYVGFH >CAK8578913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661913577:661915448:-1 gene:gene-LATHSAT_LOCUS30892 transcript:rna-LATHSAT_LOCUS30892 gene_biotype:protein_coding transcript_biotype:protein_coding MITKLVHPSMSDSHLSPLVCRTVNIMKLQRFGPVINRSRINTSRFGDKPLWFRPFTIIKVAGKSSGYGLVEDETVAQKKIELYQALEGINRGIFGIPSGKKLEIESLVKQLESQNPTPEPTLELDKVDGCWRLVYSTISILGSRRTKLGLRDFISLGDFFQTIDKAKNKAVNVVKFNAKGLTMLSGELTIEASFKIASKTRVDITFEKSTITPDQLMNVFRKNYDILLSIFNPEGWLDITYVDDNMRIGRDDKGNIFVLERFEDSSYS >CAK8571704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463753428:463756384:-1 gene:gene-LATHSAT_LOCUS24341 transcript:rna-LATHSAT_LOCUS24341-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSALPLPQNPVIVGFGGVGVDLLATVESFPKPDTKNRTTQLKVQGGGNTGNALTCAARLGLKPRIISKVANDAQGRALMEELEAEGVDTSSFVVSKEGTTPFSYIIIDNHTKTRTCIFTEGYPPLVPEDLSRTRLLSALNGARLAYFDVRMPATALVIAQEAFRQNISILVDAERPREGLNDLLDLAEYVVCSQNFPQAWTETLSIPRALVSIILRLPRLKFVIATLGKDGCIMLQKCADAEDSQLQEVDIDNSLKSLTTRKDDSLVMPTCIASNVTKFRAEGIGSVCGRLYFGTSEKIPPSELIDTTGAGDAFVGAVLYAICANLLPEKMLPFASHVAAAKCRSLGARSGLPYRTDPYLVSFIN >CAK8571703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463753428:463756384:-1 gene:gene-LATHSAT_LOCUS24341 transcript:rna-LATHSAT_LOCUS24341 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSALPLPQNPVIVGFGGVGVDLLATVESFPKPDTKNRTTQLKVQGGGNTGNALTCAARLGLKPRIISKVANDAQGRALMEELEAEGVDTSSFVVSKEGTTPFSYIIIDNHTKTRTCIFTEGYPPLVPEDLSRTRLLSALNGARLAYFDVRMPATALVIAQEAFRQNISILVDAERPREGLNDLLDLAEYVVCSQNFPQAWTETLSIPRALVSIILRLPRLKFVIATLGKDGCIMLQKCADEDSQLQEVDIDNSLKSLTTRKDDSLVMPTCIASNVTKFRAEGIGSVCGRLYFGTSEKIPPSELIDTTGAGDAFVGAVLYAICANLLPEKMLPFASHVAAAKCRSLGARSGLPYRTDPYLVSFIN >CAK8570576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63110814:63112227:-1 gene:gene-LATHSAT_LOCUS23314 transcript:rna-LATHSAT_LOCUS23314 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHHCCQGSTFLGYGYLPRPPQPRYLWRPFYTKPLPHSRISFSSNNFPRWDSNDTFRPSNFSFNNARTKPLEEEDEEEEEDDGYGKKRRWWSDESPEETEEVNSGTWVDALDSLWVLKILKSYGWTLPIILMSWLASAGPKAFVLALAIPLGQSALALAFEKLWGSTESKGKRKYRTKRKRSNAYDTRVEEEPEEEYQKTSTRKAGVQSWAVENDGSVDSGSRNAPSFGGWDDLERPRPRTRRSQAKKGSQRMTMEGGRLSRRERKRDTPLLVRLLIAVFPFLSSWTKML >CAK8560526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23381733:23382318:-1 gene:gene-LATHSAT_LOCUS14190 transcript:rna-LATHSAT_LOCUS14190 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCNSLAQIPIQKYDHSFVKYSNNLLMSKRCYAPKKKSSVGQVVFKITASIKNKVYEDESQGIVCYQDESGEIICEGCDEGPSYRQISRPIQQTRDIEIVNLLKKSWFQIAKGEEEIDDAVEGFNLNFNKRV >CAK8539996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534476103:534478590:-1 gene:gene-LATHSAT_LOCUS9039 transcript:rna-LATHSAT_LOCUS9039 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAPLSISVGGSHLKSSLVGPKLAVQRKSNFTSRKNLTSPLRAEYRDNRGGGGGELLTGFLLGGAIFGTLAYVFAPQIRRTVLDENEHGFRRATKPIYYEEEIERTSETSNDKIGQLLAAIDSISPRLNSNNKKVAKESDPEVEAI >CAK8566095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379443292:379444946:-1 gene:gene-LATHSAT_LOCUS19258 transcript:rna-LATHSAT_LOCUS19258 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTPSFLSLLPLSFWPLSLVMFFLFMIIKSLRYHLMNTKPKLPKLPPGPKPWPIVGSLPEMLASKSPTEWIHKTMEEFNTDIACIRLGNVHVILVNCPTIAREFFKKHDADFISRPKTMATDIITNGYLTSALVPSGEQWKKMKKIVAKDLLSPFRHQWLQQKRNEEADNLMFHVFNKCNNSELVNVRIAAQHYCGNVFRKIFFSTRYFGNGEKNGGPGVEEIEHVDAAFELLNHIYAFSASDYIPWLRLFDFDGHKTKVKNAMKIVNKYHDSLIEERIKQWKDGSKNVEEDLLDVLISLKDVNNNPLLTTKEIKAQTIELMMAMIDNPSNAVECTLAEMLNQRDLLKKAIEELDNIVGKDRLVQESDIPKLKFLNACAREAFRLYPVSAFNPPHLSTKDTIVENFFIPKGSHVLLGRTGLGRNSKVWTDPYKFQPERHLKNDGHDISLTEPSLKFISFSTGRRGCPGVKLGTTMTIFLLARLLHGFTWSSHPNLSKINLDEPLTLVAKPRLKIDLYNF >CAK8572373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532685373:532685756:-1 gene:gene-LATHSAT_LOCUS24945 transcript:rna-LATHSAT_LOCUS24945 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPNKLLTKADKLTKLSLTRWSADWKIATGLYEQAAIGFRGSKNHEKDKLAYEKASQGQEMLSSPWDAAKHMESAAALAKELSNWREVGDFYQKASQLYMECSRPQPASDALAKGSSFFRRYHA >CAK8577623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578945251:578945541:-1 gene:gene-LATHSAT_LOCUS29713 transcript:rna-LATHSAT_LOCUS29713 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVVFAVFLALGFAFYVFFAPFVGNKMYQYIVVALYTPMIVVVFGLYIWCAAADPGIFKSKKYLKIPGSKKIVRLKSSKLGEE >CAK8540869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27236910:27237995:1 gene:gene-LATHSAT_LOCUS9829 transcript:rna-LATHSAT_LOCUS9829 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNSAIGFEGYEKRLEISFFENGIFADPAGLGLRALSKDQLDEILNPAECTIVDSLSNDYVDSYVLSESSLFVYAYKLIIKTCGTTKLLLSIPAILKLADGLNIAVKSVRYTRGSFIFPGAQSFPHRSFSEEVDVLDSYFGKLGSGSKAYMMGDAGKSQIWHIYSASAKLEASPEAVYGLEMCMTGLDKEKASVFFKTSTSSAALMTKNSGIRKILPKSDICDFEFDPCGYSMNGIEGNAISTIHITPEDGFSYASFEAVGYEYDEKSLNEVVERVLACFHPAEFSIALHIDMNGEKLHKFPLEVKGYNCGERSSEVVGDGGAVVYRSFVRKNGCSSPNSTLKCCWIEDESEEEEVKEI >CAK8560207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10519050:10523556:1 gene:gene-LATHSAT_LOCUS13901 transcript:rna-LATHSAT_LOCUS13901 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPNYEFQEWWNKQRERENLDLFEDKSDQSSSPFIAVDVSGSGGGGGSNNNNRSDPSVRKERTRSARQLSWVCLLKFQQIASTVGFLSNGLLYLVRTANRRIASRDSPADSSSSRLYKAIRVFLIVVIALLGFELVAYFKGWHFRPPSVGSADVLGLVGEFYARWIDIRANYLAPPLQLLTNMCILLFIVQSVDRIVLILGCFWIKFRRIRPVASVDYDKNVERVQDYPMVLVQIPMCNEREVYHQSIAAVSILDWPKERLLIQVLDDSDEVEIQNLIKAEVHKWQQRGVRIIYRHRLIRTGYKAGNLKSAMGCDYVKDYEFVAIFDADFQPTPDFLKKTVPYFKGRDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLCGWKFIFLNDVKCLCELPETYEAYKKQQHRWHSGPMQLFRLCFVDILRSKVSWAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYIPGVMSLLSVLPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWVVTKKLGRSSETDLVAFEKQSEPLMRAHSLHRSSSDSGIEELSKLELSKLAPKTKKNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >CAK8539945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532071339:532072431:1 gene:gene-LATHSAT_LOCUS8995 transcript:rna-LATHSAT_LOCUS8995 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATKRVPAFFPRFLQPLRQLHEDIEENIHFHKSKGQHILTNPRILDTIVTKSAINPTDTVLEIGPGTGNLTLKLLEASHEVIAIELDHRMVHILENRATKRGLRSKLRVITKDALRTEFPPFDLVVANIPYGISSPLIIKLIYETIPFRSATLLLQKEFARRLLANPGDSEFNRLAVNVKLLADVEFVMDVSKRDFLPPPKVDSSVVIIRPKVNVPDVNLRQWRAFTRTCFNNKNKTLGATFKSKRKVLELLEFNNVSGLVREQDDVCLFKEKIVGVLKKGGFDDKRPSKLSIEELLCLLSLFNEVGVYFDHHWEVMNEDDIDD >CAK8538247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473363182:473364884:1 gene:gene-LATHSAT_LOCUS7467 transcript:rna-LATHSAT_LOCUS7467 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGCCFYSVSSAVILSSVAFVVILIFVRVLYVIYCSGMPLSKRASKPVSTLIILGSGGHTAEMLNLLAVLQKDRFNPRFYIAAATDNMSLQKAMLLENSLASESGIDVANTAQFMKIYRSREVGQSYITSVWTTLIAIVHALWLMIKIRPEVILCNGPGTCIPLCVIAFIFKVLGIRWSSIFYVESIARVKRLSLSGLLLYKLWMADQIFVQWPQLQRQYPRATYVGRLM >CAK8542230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491976328:491989662:1 gene:gene-LATHSAT_LOCUS11085 transcript:rna-LATHSAT_LOCUS11085 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADSRLSQVIVPALEKILKNASWRKHAKLAHECKSVTETLTSTQKLQSPASEAGTSDGGEPEVSVPGPLHDGGSVEYSLAESESILSPLINAAGSGVLKIADPAVDAVQKLIALGYLRGEADASGECPESKFLASLIESVCKCHDLGDEAMELLVLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMDPVEKSDVDSSMTQFVQGFITKIMLDIDGVLNPSGTPSKLAALTHDGAFQTTATVETTNPADLLDSTDKDMLDAKYWEISMYKTALEDRKGELVDGEVVERDDDLEIQIGNKLRRDAFLVFRALCKLSMKTPPKEASADPQLMKGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLEKLCLDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVSTTILPPQEATLKLEAMKCLVAILKSMGDWMNKQMRIPDPHSSKKSEAVDNGHETGDFPMANGNGEEPVEGSDTHPELSNEGSDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLIKANKVGNSPEDIVAFLKDASGLNKTLIGDYLGEREELSLKVMHAYVDSFDFQGMEFDEAIRMFLQGFRLPGEAQKIDRIMEKFAERYCKCNQKVFSSADTAYVLAYSVILLNTDAHNPMVKNKMSPDEFIKNNRGIDDGKDLPEEYLRSLYERISRNEIKMKDVDLDHQQIQTVNPNRLLGLDSILNIVVRKRGDDSHMGTSDDLIRRMQEEFREKARKTESVYYAATDTVILRFMIEVCWAPMLAAFSVPLDQSDDEIVIALCLEGFRNAVHVTSVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHVLTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKVKQTKTTILPVLKKKGPGRMQYAAATLMRGSYDSAGIGSNAAGAITSEQVNSLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSGNLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKEISLNAINFLRFCATKLAEGDLGSSSRNKGKETFGKISTASPRTGKEGRQDNGEVMDKDDHLYFWFPLLAGLSELSFDPRSEIRQSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSGSSTQVSEVEADGELDQDAWLYETCTLALQLVVDLFINFYSTVNPLLKKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSNAGELFSDEKWLEVVLTLKDAANATLPNFTFLDGGDFVTGNERASKAEDDRDLAESSSHDNLESPRTDRLYAYISDAKCRAAVQLLLIQAVTEIYNIYRTHLSAKAILVLFDTLHDVASHAHMINSNTILRSKLQEFGSMTQMQDPPLLRLENESYQICITFLQNLVVDRPPSYDETEVETHLVRLCQEVLGFYIEVAGSGQVSESSHGRQQHWLIPLGSGKRRELAARAPLIVATLQTISNLGDVSFEKNLVHFFPLLSSLISCEHGSTEVQVALSDMLSLSVGPLLLRSC >CAK8544481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688004641:688005647:1 gene:gene-LATHSAT_LOCUS13157 transcript:rna-LATHSAT_LOCUS13157 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIICVLILLMNLLTSELRVESAVWQQAHATFYGGSDASGTMGGACGYGNLNIDGYGIKTAALSTALFNDGKSCGGCYQIVCDARKVPQWCLRGTSITITATNFCPPNFAQPNDNGGWCNPPRPHFDMSQPAFETIAKYRAGIVPILYRRVGCKRSGNIRFTINGRDYFELVLISNIGGGGEISKVWIKGSKKNKWESMSMNWGANWQSLSYLNGQSLSFRVQLKNGKTRTAINVAPSSWRFGQSFKSNVQF >CAK8534422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724939770:724940475:-1 gene:gene-LATHSAT_LOCUS3969 transcript:rna-LATHSAT_LOCUS3969 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRKPHQTADPRYIDDKGSKIEIKPKGLNIIWGNDLRYWKTQEEFAELIQVSWLEVSGKVTVKRGKTYSVKFDVEVKQNGFGWENTPVLVMAKLGKRGSYTYKEVKLACGSKQAIPKDDGRLEITVGELENDPELHFGLYEVWSGKWKGGLIINKAEVTMIN >CAK8579518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705301320:705305398:1 gene:gene-LATHSAT_LOCUS31459 transcript:rna-LATHSAT_LOCUS31459 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNGRKRQGENLKTKKNNKKQVFEYGNYKSYYNYRISEGVDEDPRMKVFKKEWFQGKDCLDIGCNSGIITIQIALKFSCRSILGIDIDSDRVCDANWNLRKTARLKSAGNKPSKVSKLNPDNSHRDHSKNSVTPSSHVETKDISNEPSSLEQINLSNIVSFQCENFVQSRHPPGKQYDTILCLSVAKWIHLNWGDDGLITLFAETWKLLRPGGFFVLEPQPWKSYESNRNVTENTSANFRNIKFRPEEFQEILLDKIGFRTVEAITSDLTGSTTGFNRPILVFQK >CAK8561139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:102745147:102745497:-1 gene:gene-LATHSAT_LOCUS14753 transcript:rna-LATHSAT_LOCUS14753 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHRQYKIVEVRKGRKYNKFDPFIFSKAATQVYYSPYPGRPRDKVDWLVAIKTKPRGVVDDRHTLEVVFQVQESQVNATIEDDPIDLLQDDEVDGEEVSLSTIQENEDEEDSNIK >CAK8530544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28872861:28873298:-1 gene:gene-LATHSAT_LOCUS402 transcript:rna-LATHSAT_LOCUS402 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAFFVICILHSIIAITSGALMMFYMKEVYTFGHGVQTATKLLGSTPHDQLLIKTSDSFSGLLLVAIGFLIFMVSFVKDRDFQHLFAKGCILLHVFMALWRIYFERKVEDLAWDWLRQTVGDVLLALSWVFFLIYSWREKYD >CAK8542769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544789877:544792010:1 gene:gene-LATHSAT_LOCUS11577 transcript:rna-LATHSAT_LOCUS11577-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIQSGSNPPSRRTQSPSQAVAAGIFQINTAVGTFRRLVDSVGTVKDTPEHRQKLHNTRQRILQLVKDTSAKLKALPESNRDANANTNKKIEDAKLARDFQTTLQEFQKVQQLASERESTYTPAASASNLPTSSGPGQESIEIDPESQPFIRGQMRQEIVLLDNEISFNEAMIEERDQGLREIEEQIGEANEIFKDLAVLVHDQGIVIDDIQSNIDTSAGATAQGKVQLAKASKSVKSKNKWCWWVLLIFVAVLVIFLIVLLI >CAK8542768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544789877:544792027:1 gene:gene-LATHSAT_LOCUS11577 transcript:rna-LATHSAT_LOCUS11577 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIQSGSNPPSRRTQSPSQAVAAGIFQINTAVGTFRRLVDSVGTVKDTPEHRQKLHNTRQRILQLVKDTSAKLKALPESNRDANANTNKKIEDAKLARDFQTTLQEFQKVQQLASERESTYTPAASASNLPTSSGPGQESIEIDPESQPFIRGQMRQEIVLLDNEISFNEAMIEERDQGLREIEEQIGEANEIFKDLAVLVHDQGIVIDDIQSNIDTSAGATAQVLVGAFNFCCGAGHLSHCTPNLVWKIE >CAK8538671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492377570:492378375:1 gene:gene-LATHSAT_LOCUS7846 transcript:rna-LATHSAT_LOCUS7846 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVDSVKDINDSKETWRLAVRIMDVWSVVNNKGIEHLEMIVMDSLGDRIQVLIRHDHLLKWKEVIKENMTCIINNGSVYNNDFQWKGCDQSKKIVFLGGTTMKAIELQNILPKGYLFKDFGEILQGKCKTDRLEDSIGAVSEINHIQSNTPGKKVVVSVVLKDLKGNCIN >CAK8533538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633799447:633804755:-1 gene:gene-LATHSAT_LOCUS3159 transcript:rna-LATHSAT_LOCUS3159 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENGQKPPPSILVGRFKALLKQREDDPRLRNSQPSTEEIVQIYESLLAELTSNVKPIITDLTIIAEQHREHARGIAYAICARILEVSADHKLPSLYLLDSVVKNVGQEYVRYFSLRLPEVFCEAYRQVNPSLHSAMRHLFSTWSKVFPPSVLRKIEVQLQFSPAVNNQPSSVNPLRASESPRPAHGIHVNPKYIRQMEHSTSIMDSVVGERLGSPGTVGNAKFGLAANKVHQFVPSRVGKSSSPSRVGLDRHMPSYMDEYVVDNSAGRTVERESPHRAVDYGLVKGLGREEELREWPRKQFLGDGPKRFPTSMTYSLSNGQPRQNPRALIDAYGSDKSQETSGSKPLLVDRLDRNGMDKAVTTSWQNTEEEEFDWEDMSPTLVDHSRNNGFLQPTIGFSSEKPVTLPGSATTSVSRMFHGLKSNMEYRPPVLPATFEIRNSINVHAPRPPSLNPTFPFKNSTRNPFESINANSTIVSHGLNRPFPIHEQSLPGVENNDISKRNPYQLPNQLAGLISSNQQNPVQTPQLQFFPSQDPAASQLSYRPSLQGHGAAISTPMSNARPVMPFPLPGQRTANNSFHFQGGPLRPLPPAGPHAPSQMLPHPNPSPFVSSQQPTVGYSNLINSLMAQGVISLANQAPAQDFVGVEFDPDILKVRHESVIKALYGDLPRQCTTCGLRFKSQDEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSETMWLSGAEALGAESAPGFLPTETTEEKKEDEELAVPAEEDQNTCALCGEPFDEFYSDETEEWMYRGAVYLNAPNGITAGMDRSQLGPVIHAKCRSESTTTPSEDFVMDEGGTYEEGSERKRMRVC >CAK8571877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488415541:488418808:-1 gene:gene-LATHSAT_LOCUS24500 transcript:rna-LATHSAT_LOCUS24500 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFPAESSKEEHSSSLNPQSWLQVERGKHPKLSSIESLIKVQQPPVLPFFKPVDYVEVLAQIHEELESCSSQEQSNLFLFQYQVFKGLGDVKLMQRSLREAWRRANSVHEKIVFGAWLKYEKQGEELIAGLLTGKRQNEYGPIDVQSHVSDVTVSSQEKVLMNGNNTSPYVVFTIGDEKIVCDRQKFSELSAPFHTMLNGVFCESVSEAIDLSENNISSSGMRAISYFSMTGTLLEQLSPKLLLEILVFANKYCCDRLKDACDRRLATLVTSKEDAVELMEYALDENSTVLAASCLQILLRDLPHCLSDSRVVDLFLHPNKQQLAVMVGPGLYALFCFLSEVSMNVSSSSDTTVNFLERLADFAENDKERLLAFHRLGCIRLLRKEYDEARCLFERAVKAGHIYSVAGLARLDSIQGEGHISYEKISSVISPVTRLGWMYQERSLYCDGDLRWKDLEKATELDPTLVYPYMYRAASLMWTENSQGALAEINRILGFKLSLECLELRFFIYLALEDYKAALRDVQAVLTLSPNYRMFEGRVAASQLRTLVLEHVEHLTTADCWAQLYDCWSAVDDIESLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAWQHASSEHERLVYEGWILYDTGHYEEGLQKAEESICIKRSFEAFFLKAYALADSGLGSICSSTVISLLEDALRCPSDNLRKGQALNNLGSVFVDHGKLDQAADCYIKALKIHHTRAHQGLARVHFLKNDKAAAYKEMTELIEKAKSNASAYEKRSEYGDRDLTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEQEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQEMLELHTRVNSHEP >CAK8576234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:432852690:432853920:1 gene:gene-LATHSAT_LOCUS28440 transcript:rna-LATHSAT_LOCUS28440 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVQEQGEYSAKDYQDPPPAPLIDLDELTKWSFYRALIAEFVATLLFLYVTILTIIGYSHQTDANAGGTDCDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLLRAVFYMAAQCAGAICGTGLAKGFQKSFFDRYGGGANFIHDGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGSAVILNQDKIWDDQWVFWVGPIIGATVAAIYHQYILRGSAIKALGSFRSNA >CAK8560824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47650902:47659070:1 gene:gene-LATHSAT_LOCUS14469 transcript:rna-LATHSAT_LOCUS14469 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQEVFEFLECVPLLQRLPSSSIKKISDLVIVKHYERGEYVAREDEPGDGTYFIWDGEAEVVGSVGAHDVDRPEFQLNKYAYFGCGLSNTVHNADVVALSKLTCLVLPREHLALLWPKSIWSSKKSSERCSAMENILQLEPLEVDIFQGITLPDAPRFGKVFGGQLVGQALAAASKSVDCLKLLHSLHAYFLRIGDLHMPIIYQVHRLRDGKSFATRKVDAMQNGKVIFTLLASFHGEESAFEHQEVAMPSVPVPEMLLPLEELRERRLTDPRLPITYRNKVATSEFIPWPIEIRFCEPKVATNQTKSPPSLRYWFRSKGKLSDEQALHRCVVAYASDLIFLQVSLNPHRGKGFRARGVSLDHAMWFHRPIKADEWVLFAVFTPTAHEARGFVIGHMFNQKEELLVTVIQEGLTRRVDPEISATKSKL >CAK8539888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530413760:530414730:1 gene:gene-LATHSAT_LOCUS8945 transcript:rna-LATHSAT_LOCUS8945 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTIFADKTFTLVEARYLSLFIDLDGLPGYSWGAAALATLYRYLGEASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRKYVPHPPIDHRMVGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPIPYHDAPSEMPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQDGAEDDDPQFADLFEALHIARSQ >CAK8538452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483054989:483056983:1 gene:gene-LATHSAT_LOCUS7644 transcript:rna-LATHSAT_LOCUS7644 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISLSITLVILFCGLALASRTKILPLSSTITALAPELSDGVCSSLVKTQGYACEEHLVTTKDGYVLNMQRILPRGKPGNNIPVVLQHGLFMDGVTWLLLAPSQSLAFLLADNGFDVWIANSRGTKYSHQHTSFSSNSSDYWNWSWDELVAYDLPATFEYVHDQTRQKLHYVGHSQGTLVALAAFSKDQQLDKLRSAALLCPIAYVGQMTSPLAKKAADNFIAESLYKLGIFEFSLKGGSVVKFLKDMCAGTSIDCTNLFTSFTGPNCCVNPLMMNTFLDHEPQPTATKNMIHLSQMIREGTISMFDYENQDENIRHYGQSTPPIYDMTRLPNDLPLFVSYGGADALSDVKDVQLLLESLKDHDSDKLVVQYRNDYAHADFVMGQNAKQDVYEPLISFFKLQ >CAK8577188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543646374:543647229:-1 gene:gene-LATHSAT_LOCUS29317 transcript:rna-LATHSAT_LOCUS29317 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFIFRSNKMVHALTWFCLMLMIHKGAAYEFIVGGQKGWSVPSDSSYNPFNQWAEKSRFQVGDTLVFNYQSGKDSVLYVKSDDYASCNVGSPYAKYSDGHTVIKLNQSGPHFFISGNKDNCAKNEKITVIVLSDRSNSNNGGSSNTNQTSNASPPSPQSSSPSPPSPSPSKPDDQSPTPDTNQTPSTSDHGHPPRNGAASVFVNLAGSVGTLVASVIILSKY >CAK8579548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706878384:706880112:-1 gene:gene-LATHSAT_LOCUS31486 transcript:rna-LATHSAT_LOCUS31486 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKSLQAKLVLLGDMGTGKTSLVLRFVKGQFSEYQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDITSTDSFVRAKKWVREVQRQANPNLIMFLVANKADLEDQRKIGNEEGEEYAKENGMSYFETSAKTAQNVNELFYGIGKRLAKANPSRQTGIKLHGRTRETRRRLFCCV >CAK8531558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124937625:124942156:-1 gene:gene-LATHSAT_LOCUS1342 transcript:rna-LATHSAT_LOCUS1342 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHATIEAASAAHPTADVFINFASFRSAAASSLSALKQPTIRVVAIIAEGVPESDTKELIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIINCKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCATLFKSEVQFGHAGAKSGGDLESAQGKNQALKEAGAVVPTSYEAFETSIKETFDQLVEEGKTTPVKEFTPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGLGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVEGMKKKGIRVAGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVQVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >CAK8574667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5910688:5913286:-1 gene:gene-LATHSAT_LOCUS26992 transcript:rna-LATHSAT_LOCUS26992 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQIRAEIGGVSKADGSAVFEMGNTKVIAAVYGPREVQNRSQQLSDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEECILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCAAGYLNSTPLLDLNYVEDSAGGPDVTVGILPKLDKVTLLQMDSKLPIDILENVMQLASEGCKAIANYMREILLENTKELEYRRGS >CAK8567978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:560646066:560649044:-1 gene:gene-LATHSAT_LOCUS20982 transcript:rna-LATHSAT_LOCUS20982 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKLGLFVVLLLLSSSTCLAWFGSSKHKTSTAHEQSSSSSSSSSFLNRFRSGSSVVFPVHGNVYPVGFYNVTLNIGYPPRPYFLDIDTGSDLTWLQCDAPCSRCSQTPHPLYRPSNDLVPCRHPLCASVHQTDNYDCEVQHQCDYEVEYADFYSSLGVLVNDVYVLNFTNGVQLKVRMGLGCGYDQIFPESSYHPVDGMLGLGRGKSSLISQLSSQGLVRNVVGHCLSAQGGGYIFFGDVYDSSRLAWTPMSSNDHKHYSAGPAELVFGGKKTGFGNLLAVFDTGSSYTYFNSNTYQALVSWLAKELAGKPIQEAPEDQTLPLCWCGKRPFRSVYDVKKYFKPIAFNFPGCGRTKAQFEIPPEAYLIISNMGNVCLGILNGSEVGMEDFNLIGDISMLDKVVVFDNDKQLIGWAPTDCNRVPKSRDISI >CAK8533249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597231726:597236616:1 gene:gene-LATHSAT_LOCUS2893 transcript:rna-LATHSAT_LOCUS2893 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRRAPRTIIDPVPKFRQVGFFAPGAPPDRSLSGPPDPTHSSHPIADTSASLSPVMIPPPRHLSDNLAIHARSAALPRRAESITVGGSNSDAPVSPAPSSSYSSRVVVGGDRGFFDGKGNDAGKVVASSFPRGGFDLTALKGNGGGVPASGLTTVSVVNDSLGIGIPEKEKANKGGGSAGEMKDQSTNSKQEKPKPTKAERRALQEAQRAAKAAAKGEGNKASATATSVNAKPAKAVKPAQKADNASIAATEKKGSDFPHEKDRKKDAPQPRLQYDDKSRVEKAKRRSVVKQTEARNRVELFRHLPQYEHGSQLPDLEAKFFDLYPVHPAVYKVGLQYISGDISGGNDRCIAMLQAFQEAIKDYKVPPEKSLGRDLTAKIGSYVSFLIECRPLSISMGNAIRFLKSQIARLPLTLSELEAKTSLQSDIERFISEKIILANKVIVKHAVTKIRDGDVLLTYGSSLAVEMILLHAHELGKQFRVVIVDSRPKLRGQQLLRRLVEKGLNCTYTHINAVSYIMHEVSRVFLGAESILSNGTVYSRVGTASVAMVAHASRVPVIVCCEAYKFHERVQLDSICSNELGDPDAISNVQGRVDVNHLDGWADIENLQLLNLIYDAMPSDYVSMIVTDYGMVPPTSVPVIVREYGREQVWI >CAK8542134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:476912398:476913579:1 gene:gene-LATHSAT_LOCUS11001 transcript:rna-LATHSAT_LOCUS11001-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIAQELQLCQTVFTKLSSFHLVPDSCTYSIMIRCHCEKNDAEEAKRLLFTVIENGFEPDAATITVLMNSLCKRGKVKKAVEVFQFMKRKGLKLGVQSYNCLLKGLCYVGRVEEAIEILMRMKETNLGVDVYSYNAVMNGLCQVGRSDDAMGLFNEAIGIGLVPNVVTFNALILGYSRQCRPVECFGVLKMMKEHGCVPDCISYSTVLRGLLKWNEVVAALWVYKEMVEIGFEVDSKMMVTLVRRLCKKSRREKCLIEDAYEVFEKMKEKGLVVDKRTMEVMVEACCRGEKFDEALVSLNDMVRWGYSLEAIGFEKVIRGLCRQGRVDEAVSSWLLLRANGGILDRNCFEVLVNEVDAHGRVFCGSFLFGLALKQGVVLVPNKELHDDNRC >CAK8542133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:476912083:476913579:1 gene:gene-LATHSAT_LOCUS11001 transcript:rna-LATHSAT_LOCUS11001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPTFSQLSQPHHTLIKIPLFTIKANNNNSINPSLTNSSIKHTTKNLIDFKDKTTKFRLKNLVRNITSLSSSKNKTHILQKILDKDADGFQIQTISDFNHLLMALLIAQELQLCQTVFTKLSSFHLVPDSCTYSIMIRCHCEKNDAEEAKRLLFTVIENGFEPDAATITVLMNSLCKRGKVKKAVEVFQFMKRKGLKLGVQSYNCLLKGLCYVGRVEEAIEILMRMKETNLGVDVYSYNAVMNGLCQVGRSDDAMGLFNEAIGIGLVPNVVTFNALILGYSRQCRPVECFGVLKMMKEHGCVPDCISYSTVLRGLLKWNEVVAALWVYKEMVEIGFEVDSKMMVTLVRRLCKKSRREKCLIEDAYEVFEKMKEKGLVVDKRTMEVMVEACCRGEKFDEALVSLNDMVRWGYSLEAIGFEKVIRGLCRQGRVDEAVSSWLLLRANGGILDRNCFEVLVNEVDAHGRVFCGSFLFGLALKQGVVLVPNKELHDDNRC >CAK8565130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44172681:44176430:-1 gene:gene-LATHSAT_LOCUS18367 transcript:rna-LATHSAT_LOCUS18367 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVCKFYARGICLKGDQCDFSHQRKDTHQRKDTLVDKQICSYYQKGSCAYGSRCRYKHVKPSQASSSASLVPNCAVPHGVKLAPSWVPKVTRVPPPPCKNGVRSFQHNHQDSSDVGESSSTGTSARLHGHLFCKFAAANCPFGHGCSRVHGNQCLYCRKYCLHPSDKREKENHLKTCDKKEKYILALKNSEEIECNVCLERVLSKPKPSERKFGLLPECDHAFCLSCIRNWRNSAPASELETGNNVNTVRTCPVCRQLSYFVIPSGIWYTTKEEKQDIIDNYKANCRLIDCKHFESGNGNCPFGASCFYKHTVKPGSYTWIHHRPPPQRKENNFDMYDMLDMLSEVDLSSGEFYSIMRDSDFFDEVDPLEMMALSDSLAGGSVPCLGPFESDDEGETFEFFRRAAMSEALDNLDDFGPDDFGPDDFGPDDFDPDDFDELDPMEAALISMMMHSHIEENSEDEY >CAK8565131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44172681:44175759:-1 gene:gene-LATHSAT_LOCUS18367 transcript:rna-LATHSAT_LOCUS18367-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRVCKFYARGICLKGDQCDFSHQRKDTHQRKDTLVDKQICSYYQKGSCAYGSRCRYKHVKPSQASSSASLVPNCAVPHGVKLAPSWVPKVTRVPPPPCKNGVRSFQHNHQDSSDVGESSSTGTSARLHGHLFCKFAAANCPFGHGCSRVHGNQCLYCRKYCLHPSDKREKENHLKTCDKKEKYILALKNSEEIECNVCLERVLSKPKPSERKFGLLPECDHAFCLSCIRNWRNSAPASELETGNNVNTVRTCPVCRQLSYFVIPSGIWYTTKEEKQDIIDNYKANCRLIDCKHFESGNGNCPFGASCFYKHTVKPGSYTWIHHRPPPQRKENNFDMYDMLDMLSEVDLSSGEFYSIMRDSDFFDEVDPLEMMALSDSLAGGSVPCLGPFESDDEGETFEFFRRAAMSEALDNLDDFGPDDFGPDDFGPDDFDPDDFDELDPMEAALISMMMHSHIEENSEDEY >CAK8569899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14882337:14884552:1 gene:gene-LATHSAT_LOCUS22701 transcript:rna-LATHSAT_LOCUS22701 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLDVVTVLLRVGNFVCDNSAVAIFMDVSRFKAMTDAGSLSNSVTKVSTENVVGSDYSSNSGGNLDVEIGVAEVTPPELDMEGENLISQNKSDLVSSDVGLVPESEEDDSLSLEGEQFIDSSCSLSVVSENSSIGGEEFIASDATSEVGTPRSVIVEKTVGSVNIVAHAADLGESNVDTDIMSESLAVAVSLDQEIGVESDLKPTAVVVDHQLPQEEGTSVTVVRSVFELDYTPLWGFISLCGRRPEMEDAFATVPQFLKIPIQMLIGDRVPDGINRCFRPQMTHFFGVYDGHGGSQVANYCRERIHIALAEEIEFVKEGLINGSTVDSCQDQWKKAFTNCFLKVDAEVGGTANNEAVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVQFIPRAKEDECLILASDGLWDVMTNEEVCDLARKRILLWYKKNNSELPSERGDTSDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKPQRKYKNKT >CAK8576977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529270800:529273814:-1 gene:gene-LATHSAT_LOCUS29128 transcript:rna-LATHSAT_LOCUS29128 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMYDRFNPHRRGLKFEFVSGVQEFIKKAKEQPCFLSEKKIKCPCEMCKCVKSFTPGDVKAHLYKSGFKPNYSIWTEHGELEQNICPMNQSNSSEHMGEEEVVVQEEDPKTPHMELSEEEDPKTPDTDESGDEEDPKTQEMDTNKQNADGKVLIRPCGLGWAPSAAPAAAIKQVIQSHFPGPFHCWSETPEDVREYWWKLFGDKVAWDPHDHVYIKKTFQSRSAKRLSDILSKVRKKGTRPHWICEEAWKGLIVHWEGEAFLKISTQNKTNRASGKGGAVHTTGRKAHVDVALSMAQELGRSVDPDELFLATHKKKSGNWVDNRSQITYKHYQDRLKEVETQIGEASLNGTQNVDGATKLELWKEVAGGKSRGRCYGTADFAINLRHGATSLTQESREPYSGRCDHAMHLEAIDAACKEAAAARQEASTARQEAAEAKQHFLFLEEQLLKVMNRMKTLERKSSCASISVIRAHRRRSHNDDGHSLDEVLRVRRRKKQLAIRRHPRYDEDYSPDEISPDRRRKQRRSQSIRPYYDEDDSLDDVIQKQHQARRGCPYYDDNVDGDDSLDEIIPKQHQSKRGQLHYDDDYLLDRGHPHYVDADSPDEVIPKQHQVNRGHPHYDENDLPDRGHIHYADANLPDEIVPKQHRAPRGHPQYDDDGDLLDRKHPHYDDFSPEEVLPKQRQAIRGHPHYDDVSPEEVLTVLTKRLQAKREHPHYDDVPPEEVLPKQRQAKRRHPHYDDVSPEEVLPKQRQAIREHPHYDDVSPEEVLTVLTKQRQAKRGHPHYDDVSPEEVLPKQRQAKRRHPHYNDVSPEEVLPKQRQAKRGHPHYDDVLPEVVLPKHRQAKRGHPHYDDDSLDEVLPKQHQAKRGHPYHDDDSQASRYRH >CAK8578289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617802834:617805341:-1 gene:gene-LATHSAT_LOCUS30314 transcript:rna-LATHSAT_LOCUS30314 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTLVANKILKKRLGSTNFVADNPSFDEPLLNYADIDHTPEIVFNHHKDKHEYKIFVSTWNVGGIAPEEDLNIDDLLETNNNLCDIYVLGFQEIVPLKASNVLGSENNEISNKWNSIIRKALNKDHSESPHEDFQCIISKQMVGIFISVWTKGDIRPFIQHPSVSCIGCGIMGCLGNKGSVTVRFQLHETSFCFVCSHLASGGKEGDEKCRNSNVAEIFSRTTFPRGPLHDLPRNILDHDHVILLGDLNYRISLPEETTRLLVEKKDWDSLLENDQLMMELMNGNNLRGWHEGPIKFAPTYKYCPNSDIYYGCCCHGKKIEKRRAPAWCDRIVWYGKGLKQHEYTRSESKLSDHRPVRAIFTAVARVSSEVKSLQNLSLSERFEQIKTPFEVSTNDELVCRKQLSFRL >CAK8542868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554358664:554359255:-1 gene:gene-LATHSAT_LOCUS11669 transcript:rna-LATHSAT_LOCUS11669 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAMLILTISMVLLSSVAMATDHIVGDDKGWTVDFNYTQWAQEMVFRVGDNLVFNYNPSFHNVFKVNGTLFQNCTFPPENEALSTGKDIIPLKTEGRKWYVCGKADHCASRQMKFVITVLAEGAPAPSPPSPPPSSDARHVLSSVFGVVMATMFAIVAIFA >CAK8538712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493529818:493531251:1 gene:gene-LATHSAT_LOCUS7883 transcript:rna-LATHSAT_LOCUS7883 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQVVFIPSPAVGHLVSTLEFAKLLINRDNRLHITVLVIKFPHTTETDIYTKSLPISDSLNLINLPECSLPPNLDRGSAMTALLEAQKPNVKQAVSNLTTGKGQLAAFVVDMFCTTMTDVAKEFSVPTLVFFTSSVAFLGLSLHLHTIHERDNLDSTQLQQLTELAVPSFANSVPIKSLPSVVLQKEWETFMMAYWNGLKDADGIIVNSFDELESYAVDSFVTDPDLAGIQLYPVGPILNLEHKTNSIDESNDIIKWLDDQPPSSVVFLCFGSKGSFDEDQIKEIAMAIENSGARFIWSLRKPSPKGAMEAPSDYSFFDLGLILPKDFLDRTVELGRIVGWVPQVQILAHPAVGGFVSHCGWNSTLESIYFGVPIATWPIYAEQQTNAFELVCELKMGVEIALDYNVEEFNGKPNYLVTAEKIEKGIDSVLEKDGEVRKKVKMMSEKSKTTLLEGGPSFTYLGYLIDYIMNQTSN >CAK8542535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524113269:524118237:1 gene:gene-LATHSAT_LOCUS11369 transcript:rna-LATHSAT_LOCUS11369 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLRLILAVTLFLTCFISLSPAFQSDELLLDDEEFGLEGGRPQSRSSPNTATTATTIPTRKRIPDTASDSKIQFTLEHAFGDSDFFEAGNFSARLKTWSHGAQTLTKLRFSRDSFTDYGKNKFQELLKGDDFYRIRLPSNVLNPPGREYIVSSVKARCLTGDGLEEHFVIHTEGVNILAVNYGAPGACPYPRQLKLPAKWSFKSHTLLKNTEQAPRTPIFTEEVFGGEGIEGEIVQPIERSFWAKYWMYLIPLGLVIMNAVTQAANMPEEQAAGQAGAPPQQGTAVQRGTNSGVRRR >CAK8533983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679207703:679210613:-1 gene:gene-LATHSAT_LOCUS3563 transcript:rna-LATHSAT_LOCUS3563 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDEEITHLYRIYKTVLQMLRDRNYVVSETDINMSRQEFKSNFSDHMKREDLFINKSHKNDPSDKISVFFVNDAKIGVKTVRGIIQRMLTENVFRGVLICQNPLSHYGRAAVSEMASKCRLEVFLEDELLVNITMHYLVPPHQVLTDAEKKALLNKYTVKETQLPRILMTDPIAKYYGLKRGQVVRIIRPSETAGRYVTYRYVV >CAK8562260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462915566:462916522:1 gene:gene-LATHSAT_LOCUS15772 transcript:rna-LATHSAT_LOCUS15772 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFQL >CAK8561940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415470457:415473848:1 gene:gene-LATHSAT_LOCUS15485 transcript:rna-LATHSAT_LOCUS15485 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVWLVRLMALSNLLFSSAAVGSENSTAPEFLNIGVLYSFNTSVGRIVKIAVQTAVDDINSDSTILGKTKLKLSFQEDSKYRGFLSIAEALQLMATHTVAIIGPQTSTTAHVISHIANELHVPLLSFSATDPTLSSLQFPFFIRTAFNDIFQMTAIADMVSHYGWREVIVVYGDDDHGRNGIGALADKLAERRCKISFKAPMTPDAKREEITDILVQVALAESRVIVLHTSTSWGPKVLSVAKSLGMMQNGYVWIATTFLTSYIDIDSPLSSDEMDNIQGVIALRMYIPDSKLKRSFVSRWTNLTRGKQGNGPLGLSTYGIFAYDTVYVLARALDTFLKQGNQITFSHDPKISELRGDSLHLDAVKIFNEGNLLCKSIYAVNMTGVTGPFRYTPDGNLANPAYEIINVIGSGTRRIGYWSNYSGLSVVPPETLYSKPPNRSYENQKLLTVFWPGETTQTPRGWVFPSNGKLLRIGVPKRVSYREFVSQVQSTDMFKGFCIDVFLSAVNLLPYAVPYKFVPYGDGQSNPSNTELVRLITAGVFDAAVGDITITTERTKMVDFTQPYTESGLVVVASVKKTDSNAWAFLTPFTPMMWTVTAIFFLLVGAVVWVLEHRLNDDFRGPPKKQVATILWFTFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLVNTKDPIGYLQGSFARSYLIDEIGIHESRLVPLKTPEETAKALENGPHKGGVAAYINERAYIELFLSSRCDFTIVGQEFTKNGWGFAFPPDSPLAVDLSTAILELAENGDLQRIHDKWLLSSACRSQGAKLELDRLNLKSFWGLYLVCGLACFLALLIYLIQTLRQYKKHSPDELESTGQGSGSSRLRTFLSFVDEKEEIVKNRSKRRQMERISYRSTSEVGTTIVSNKDFSQSSLNRIDSEV >CAK8567537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523091337:523091861:1 gene:gene-LATHSAT_LOCUS20583 transcript:rna-LATHSAT_LOCUS20583 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSNYIVHAHYNGEIFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFDNNEVKYFQEKILDNSDVDQMFDSHEQSGLDYIEVYLLLCQTEHEVGETTDIDEIDVVDEEEEDPETMVDQMVNLFGSGDYSAMTPLQDIDEEALPLNQVYCPP >CAK8565171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:55551683:55554344:-1 gene:gene-LATHSAT_LOCUS18406 transcript:rna-LATHSAT_LOCUS18406 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNNAKKLSCISVPSQIINSISPSSLQSLIDSPKKSSTTSIFTTKSSIWFLTLFLVGFLGMFTFGFKTDTPFPQKPCSTSHQKLSISNNYSKSKLGISSVLLKKERKSLKSDLKDEFFVSHVELGAKGSSGIEKKSEFWKKPNGLGYKPCLSFSSDYRRQSERILKERRKYLMVVVSGGMNQQRNQIVDAVVIARILGASLIVPILQVNIIWGDESEFGDIFDLEHFKKVLANDVRVVSALPSTHLMTRPMEGRPPLHATPNWIRSRYLRRLNRGGVLLLRGLDSRLSKDLPSDLQKLRCKVAFNALRFAEPIEKLGNKIAERMKSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEIVNNERIQRPELLTARSNMSYLQRKMAGFCPLNAMEVTRLLKALGAPKDAKIYWAGGKPLGGKEALLPLIQEFPNFYNKEDLALTEELQPFVKKASLMAAIDYIVSEKSDVFMPSHGGNMGHAIQGYRAFTGHKKFITPNKRHMLPYFLNSSLSEEEFNRIIKELHQDSLGQPVQRINKGGRHVTKYPIPECMCNNHSHSPA >CAK8538241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472814136:472814609:1 gene:gene-LATHSAT_LOCUS7461 transcript:rna-LATHSAT_LOCUS7461 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSVFGTGRRTSAFDPFSLDLWDPFQNFQIARSTTGTTNETVSFANAHIDWKETPEAHVFKADLPGVKKEEVKVEIEEDRVLKISGERKIEKEDKNDTWHRVERSQGSFLRRFRLPENAKVDDVKAALENGVLTVTVPKEEVKKPNAKPIQITG >CAK8570040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21701888:21705095:-1 gene:gene-LATHSAT_LOCUS22827 transcript:rna-LATHSAT_LOCUS22827 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAIIAAHSSSWAAALVRISPYTFSAIGIAVSIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPKASIYEPESLRAGYAIFASGLIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSKF >CAK8531769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:149398717:149400018:1 gene:gene-LATHSAT_LOCUS1535 transcript:rna-LATHSAT_LOCUS1535 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSYLRFLLVLVLYIISANSAQPYDENYDNNAEFTLQVPTLDETNLDNLLSFGYYRKSCPQFESILQNKVEEWIKKDYTLAASLLRLHFHDCSIRGCDGSILLNHKGSERTAEASKTLRGYEVIDDIKAEVEKQCPKTVSCADILTTVARDATVELGGPYWPVPYGRKDGTISIDSETEIIPKGHENITSLIEFFQSKGLNVFDLVVLSGAHTIGRTSCGSIQYRLYNYNNTGKSDPSIDPKYLNFLKRKCRWASEYVDLDATTPKKFDQMYYLNLKKKMGLLSTDQLLYSDQRTSPLVSAMASASSVFKHQFAVSMSKFGVIDVLTGEDEGEIRTNCNFVNAY >CAK8568613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624274682:624275193:1 gene:gene-LATHSAT_LOCUS21555 transcript:rna-LATHSAT_LOCUS21555 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSVRKQHNSGYKHNESDIVEPEVIIAASPSVGGLFDSLTGSIGISSRAKPVSPQVASSSPMGTASQGSVTADTPKTGSRPLDKDALITFISSSMLFGTPLDLNYSNIFTIKANGFSASDLAPTNQKQPA >CAK8560096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7493701:7496931:1 gene:gene-LATHSAT_LOCUS13808 transcript:rna-LATHSAT_LOCUS13808 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNQETQTQQPGSLGSNSQPLTNFKYSNRVQLKSLFLNRNDGGAEFIGQTVVVGGWVKSSKEVEISSPPPPPSSAAAENEAPAKDVSCVEIFQSRIPLLRNIMEVLGGNNYVSRKKLRDSPIPTKPLPPKSSTAYLLLTDGSCVATLQVVVESSIATPSRLLATGTCIIVEGRLEQPSAEGKHAIQLKADKVLHIGTVDVGKYPLSKKRVPLDMLRDYAHFRPRTTTVATVMRVRSALSFATHSFFKDHAFFDVQVPTITTTDSEGFSNMFQVTTTGKQKPDKEKLSTIYETESVNLETMKEAVKEKNKLVETLKRSESNKEALAAAIRDLQKTDELASQLEAREKKKFGTLLKHDRVDSSEDFFSTRTYLTVSGRLHLESFASALGNVYSFGPRFQAEKTDSAKHAAEMWMVEAEMAFSELKDSMNCATDLFKYLCKCVLENHVDDVRFVGKRIDNTCIDRLRQIISGSPQIISYNEALDVLRKAEDKKSEAKFDSGARLTSDHISYLADAIYKQPIIIHSYPKEAKSFYVRVIDDKTVAAFDLVVPKVGTIISGSQNEERLTMISSRVTELGLPREKYEWYLDLCRNGTVKHAGFTLNFDLMVLFITGLSNVRDVIPFPRSYGKANN >CAK8577251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:549007481:549008904:-1 gene:gene-LATHSAT_LOCUS29373 transcript:rna-LATHSAT_LOCUS29373 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTTSSELPPGFRFHPTDEELIVYYLCNQATSKPCPASIIPEVDIYKFDPWELPDKSEFAENEWYFFSPRERKYPNGVRPNRATLSGYWKATGTDKPINTGSKQIGVKKSLVFYKGRPPKGIKTNWIMHEYRLIGSQKQTSKHIGSMRLDDWVLCRIYKKKHIGKTLQQKEDYSTLQLNDSTIANNDEHYEQERMNMNLTRTCSLTYLLDMNYFAPILSDGSTFDFQINNSNIGIDPFVKPQQVEMSNHYEADLQNSITNQPLFVTQMHNYLE >CAK8568867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648744785:648747091:1 gene:gene-LATHSAT_LOCUS21777 transcript:rna-LATHSAT_LOCUS21777 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIFRFLCQAETRRLVSLLGVTIALILVLQFSELPNSEFFSSVTAKIKSFTMDTPLVNSTMESHDVNVNFNASNSSSISPQASSLDKVTSSEKGKGVETVVGKDVNVTSQGNQSSFLAPQPMVPLPNTSHFDSKVYPKDGILSSLLNSNSTAVKNASAIWKNSKRRPSKVVSISEMNLILQHSHGSSQGAKPASSSAVNVEILKAKSEIENAPIVMNDSRLYSPLYRNVSMFRRSYELMEKMLKVFIYPDGDTPIFHEPLLEGIYASEGWFMKSMEGNKQFTTRDPEKAHLFYIPFSSRLLQLTLYVRNSHKRSNLIEYMKNYVNMIAGKYPFWNRTNGTDHFVVACHDWAPAETRGRMLNCIRALCNADTEVGFKIGKDVSLPETYVRSVENPLKNIGGNPPSQRPILAFFAGGLHGYVRPMLIKLWENKEPDMKISGPLPHVRGNRNYIELMKSSRFCICARGHEVNSPRVVEAIFHECIPVIISDNFIPPLFEVLNWESFAVFIKEKHIAYLRDVLVSISEERYLEMHKRVKMVQEHFLWHHEPVKYDLFHMLLHSIWYNRVFYTS >CAK8568434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605983989:605985473:1 gene:gene-LATHSAT_LOCUS21391 transcript:rna-LATHSAT_LOCUS21391 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILVKEEETVAYTNAGASPSSSSSSNSNLQPKPMEGLHETGPPPFLTKTFDVVEDPSTDGIVSWSRARNSFVVWDLNKFSTAILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLAGQRNLLRTIKRRRNLAQSPSMQRESGGACIELGEFGLEGEIQRLRRDRSVLVAEIVKLRQQQNNSRDQLSAMEARLLITEKKHQQMMAFLAKALSNQSFVQQLANSKELKGVEMKRKRRLTASSSLENLHNDSVTMMTEPIESVVDYSSQEQQEGLSAIESEIETLLSAYDNESRSEVKDYASLSSVPSANESNLSDWEELLNQELVGGNPEDEVFIGDSSQIDAPVEDLVEKNDDWTVDLQNLVDQMDFEPC >CAK8570197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28371099:28374294:-1 gene:gene-LATHSAT_LOCUS22970 transcript:rna-LATHSAT_LOCUS22970 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSILFTLPTQTYYPNIFHSHFPNSTSTHQHHLYPKQKLKPKKNRLIVLSDSSYEVGGGFPLDELNNRNGNENSEDTDTSAQREALLKGGDQVISVLQEMITLLEDMDMDEDSEKVAVEIAAQGVIGKRVDQMESDFMMALDYMIQLAEQDHDDKRKSLLEVIKETVLSHLNKKCPPQVQVVGLLCRTPKKESRYELLRRVAAGGGAFKGENDLKIHIPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARDMMGGGILDERNDRGFSTLPQSEVNFLTKLVALKPGKVVLDMIRNVMQGKDEGADNSGKNDEDDWVPTGIAGRPSVTGQRPLPVRPGMFLETVSKVLSGIYAGSDSGITAQHLEWVHQKTLQVLQEIAFN >CAK8569543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4660027:4662705:1 gene:gene-LATHSAT_LOCUS22385 transcript:rna-LATHSAT_LOCUS22385 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRSLRVSSNGGKSSTIQRKLALQQDVDSLKKKLKHEKNIHMALERAFNRPLGVLPRLPPYLPPYILALLAEVAVLEEEIVRLEEKVVNFRQDLYQEAVYMSSCKTKVENSLPLPNNSSTKTTMDSAELDELKSLSQTVDDPEETFTTRPIIENIQGKENKSCNDSFKRRLQPSKQMSISPIKNLHVDNKSLHKKAHSPKRKQEPKVKDRQVAELRHPNPHQMPTEADSPNVISENILKCLSSILLRMSSVKNLGFAGDISSPLWTRKPRNYMEGTEFWDPYGICLEYGKRDIGPYKHLCAIDAKSFNAKRTANTLFLLHRLKLLFRKLASVHMENLNHQEKLAFWINTYNSCMMNAFIENGIPESPEMAVAMMRKAKIDVGGHILRATTIEHFILRLPYHYKFTFSKGAKNHEMIARSIYGLELSEPLVTFALSSGTWSSPAVRVYTASQVENELEVAKREYLQATVGISTTKFAIPKLLDWYLQNFAKDLESLMDWICLQLPSELGKEAIKLLEKRKTEPLSQFVQIMPYEFSFRYLLCT >CAK8533254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597476185:597476757:-1 gene:gene-LATHSAT_LOCUS2898 transcript:rna-LATHSAT_LOCUS2898 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKYKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGGQWFVETWGWK >CAK8576207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425640274:425642100:-1 gene:gene-LATHSAT_LOCUS28413 transcript:rna-LATHSAT_LOCUS28413 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTFLFIYNKIMILLWFIAWTSLNSCYSQQPYDISSCSSSQTSPGSRYTCNSSTHDTCKTFLVYRSNHNFQTISQISNLFNKTTNEVLHINNLTSSSQILKQGKEVLIPIECTCSGQFYQAKLTYKVLDNTQTFSSIACEVFEGLLKYFTLSNENQSQGNSNNEPKVGDELYVPLRCACPQNFSSMKSVIKYFVTYPLIEGDTFDKLKKKFGFSLEDFFEANQLQTYSSIFPMTVVLIPLQNENGPIEILDIPDSPSPPPDFLPTNPFETQGSSTQTSNLYIAGPIVGFFLFITLVASGLYMKKLKQSDVAVVDSFNPTNSTTLWSPITTSTTSCLSPDFLVGLKYCLLNYHIEEIEKATKFFSDVNKIGEVVYKGLINDIEVMVKRLRFEETSEVIDLHSRINHINIVNLIGVCYGEGDLISWSYLVFELPKNGCLRDCLLDPCNTLNWYRRTQIVFDIATCLYYLHYCSSPSYAHMNVNSRNIFVTENWRGKLADVGGVSNNVLHGNVSQKVDIFAFGVVLLELISGREKCDGKLVKDCVGFLLGEGSEGGGCFEGLRSFVDPNLKDYSLPEALCLCFLAKDCVKDDPMHRPTMDDIMKVLAKMV >CAK8543739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635632736:635636004:-1 gene:gene-LATHSAT_LOCUS12479 transcript:rna-LATHSAT_LOCUS12479 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLRELQSEASNKICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSDLQIKKMEAGGNRNLNSFLSQYGIPKETDIISKYNSNAASIYRDRIQALAEGRSWRDPPVVKENANARSGKGKPPLAGSNGGGWDDNWGNDNDESYGSRGGGEFRRNQSTGDVMGFGGGGIAPSARSKSTEDIYTRTQLEASAANKEGYFARKMAENGARPEGLPPSQGGKYVGFGSSPNPSQRASPQSDYLSVVSEGIGKLSMVAQSATKEITAKVKDGGYDHKVNETVHIVTQKTSEIGQRTWGIMKGVMALASQKVEEFAKEHPNENSDNWPRNENNRHDFNQENKGWNSSTRERQPSSGGQTNTYDSNSWDDWDNQDTRKEVQPARESAPHKNDDWAGWDDAKDDDGFDNKSYSHNGTSGSAWTGGGFR >CAK8541138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:71535110:71539061:-1 gene:gene-LATHSAT_LOCUS10083 transcript:rna-LATHSAT_LOCUS10083 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSTSIPMDPKSGFNSISKTFHSLRPPLNLPPPHATISAATYILSLRHKSPFSDSLPFLVDSTTSYQISHSSFIQRSKTLATNLTTLHGLTKNHTALVLSPNIIQVPILYFALLSIGVVVSPVNPASSPSEISHLINLSKPVIAFTTSVSFHKLPKLPFGTILIDSPEFDSLMTEDNTSPVSPVVNQSDVAAILYSSGTTGKSKGVMLTHRNVMAALATHYAVRISTESPAVRISTESPAVCLPTVPYFHVYGFTYLLKSMAMLETLVVMERFGLEKMLGALQRFRVTNLAVAPPIVVAMCKERVTEGYDLSSLKWVACGGAPLGKDNFDAFKAKFPQVSILQGYGLTESTAGVIRIVGPDEACRAGTTGRLVSGMEAKIVNPNTDESMSPGELGELWVRGPPIMKGYVDDPVATAVTLVDGWLRTGDICYFDNEGFVYVVDRLKELIKYKGYQVAPAELEQLLQSHLEIKDAAVVPCPDEDAGQVPLAFVVRQPQSSIGEAEIIDFVAKQVAPYKKIRRVVFGHSIPKNAAGKILRKELLNKILAQQTFPRL >CAK8579745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720613444:720615507:1 gene:gene-LATHSAT_LOCUS31666 transcript:rna-LATHSAT_LOCUS31666 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLGYNEATDFNNMADYPFNGRKLRPLIPKPLPTTSSSSSSPNNNISNTTPSLNRIHGTNDFFSQYHNLHQVGDQSKRSEMNNPAAAAVVVSSRWNPTPEQLRALEELYRRGTRTPSAEQIQQITAQLRRFGKIEGKNVFYWFQNHKARERQKRRRQMESAAEFDASLEKKDLGSSRTVFEVEHTKNWLPSTNSSTSTLPLAEESVSIQRVAAKADQYSRTDGWVQFDEAERKNFMERNATWHMMQLTCPTFINTAQYPNNSNYISSTATASMATTTSTTVTTRLMDPKLIKRTHDLSLFISPFHLSTSSSRINNSTHIQHEDHCLESDQTLQLFPIRNEGDNGCSDDSIINQHKETEISASAMNAPNQFIEFLPLKN >CAK8563670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623814324:623816286:1 gene:gene-LATHSAT_LOCUS17055 transcript:rna-LATHSAT_LOCUS17055 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSSSSSFKPYTLSQTLTSHQHSITTVKFSSNGRLLASSSFDGTIRTYAFTNSDSDSNTLTLSPMQQYEGHLSVVSDFALSPDSSLLVSTSPDKTVRLWDVPTGSLIQTFEGHDTFFFCVNFHPHSNIIAAGSYDETIRMWDVRSGECLNDIPAHSEPLSAIDFNGDGTVMVSGSYDGFCRLWDVSTGHCMKTLIYDVEEDAPVTFVKFSPNSKMVLVATENNTLRLWNHSIGKVVKSYRGHVNKKYSISSSFSTTNGKYVVSGSEDSRVYLWDLQSRKIVQKMEGHTDIVKAVSCHPTENMIASGARGNDTTIKIWTQQKD >CAK8543883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646430196:646432266:-1 gene:gene-LATHSAT_LOCUS12607 transcript:rna-LATHSAT_LOCUS12607 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLNYLSLLCGVRCDPNSYLNFDFFSALFKPKDYYKILEMYYDANEDVIRSNYIRLALKWHLDKQKDQNSATLMFQDINEAYQGTGCT >CAK8571781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:472738558:472742383:-1 gene:gene-LATHSAT_LOCUS24413 transcript:rna-LATHSAT_LOCUS24413 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTPNWSSTESVPENYIFPPETRPGDIKIPISHSVPVIDLSEAQNGDRTNTIQKIMKAAQEFGFFQVINHGIAENEMKETMSVFKEVFQLPDKYEHNLYPDDSTKTCKKFTSSYNYETEKVHYWRESLRHPAYPLEEWQHLWPQNPISYRKRVGDFSVKIKQLGLRIMNLISEGLGLECGYFDNDLSGSLIISANHYPPCPNPNLTLGLLKHHDAYLITILLQDDISGLQVLKDGEWIGVEALPHAFVINIGYSLKIISNGKLQSPEHRAVTNSAHARTSVAFFIAPSGDCFIEPAQDLIDEHNPPIFKSFKFKEFLSRLFDKHGDAEKVLKSFEETSI >CAK8534891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787649938:787650171:1 gene:gene-LATHSAT_LOCUS4393 transcript:rna-LATHSAT_LOCUS4393 gene_biotype:protein_coding transcript_biotype:protein_coding MSILINGSTTKDFNMGKGLRQGGILSSFLFILVMEGLTQVVHNAVNMGLFKGFKINDRTSYNIVQFADDTLLIGEGD >CAK8574732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7630533:7630904:1 gene:gene-LATHSAT_LOCUS27047 transcript:rna-LATHSAT_LOCUS27047-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEICNCLSTRNNSTFSSYARICNDLRIKEYVPRSMKITKLKTLWRKIKREKKRKNFRSSSHVFLYDPSSYLQNFDDGYSTDPEYVSRSFSARFAAPSKINVIEVLDDEDRFEINDESLLGT >CAK8574731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7630500:7630904:1 gene:gene-LATHSAT_LOCUS27047 transcript:rna-LATHSAT_LOCUS27047 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLSTPSYIMNLEICNCLSTRNNSTFSSYARICNDLRIKEYVPRSMKITKLKTLWRKIKREKKRKNFRSSSHVFLYDPSSYLQNFDDGYSTDPEYVSRSFSARFAAPSKINVIEVLDDEDRFEINDESLLGT >CAK8577054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534386334:534388362:1 gene:gene-LATHSAT_LOCUS29196 transcript:rna-LATHSAT_LOCUS29196 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCNEVQNNHGITIDGRKDSLIRTCLTCGHHIKCQDQGGGINDLPGLPAGVKFDPTDQEILEHLEAKVRSDIHKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHSDHEDGSETRWHKTGKTRPIYNNSKLKGYKKILVLYTNYGKQRKPEKTSWVMHQYHLGNDEEEKEGELVVSKVFYQTQPRQCGGNSLMMKDSIVGKSLKGQSGNNIEVINGEKSISGSFVEYYHSNFISFDQGNQHMK >CAK8566075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377063531:377063893:1 gene:gene-LATHSAT_LOCUS19241 transcript:rna-LATHSAT_LOCUS19241 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKSISVSIFFMVLGMLVTPLFSRQIDDITCPEALLSLLPCLPFLQGTGPPTPPSNCCNALSNLNQKANTPQIRKDVCNCLKPAASRFKVNPDRSKQLPPLCNIKLSVPFDPSVDCNT >CAK8566164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386756895:386757668:-1 gene:gene-LATHSAT_LOCUS19323 transcript:rna-LATHSAT_LOCUS19323 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDYQKIHPANDLESQTKPTIPLYPRNISKSDRRDPLQYDYFNPPIPKRKFQVRHSPPPKKKRSCCCRFLCCTFTILLILIIAISITIGTLYLAFRPKLPKYSVDRLRITQFNLSDNNNLFATFDVTVIARNPNKKIGIYYVSGSNISAWYKQTELCEGSLPNFYQGHRNITVLNLPLTGQTLDATGLVNTLQQQLQEKGNLPLNIKVNQNVRVKIGKLKLFRVKFHVRCEIVVDSLGADNDISISDSSCKFKLRL >CAK8541179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84190221:84192028:-1 gene:gene-LATHSAT_LOCUS10118 transcript:rna-LATHSAT_LOCUS10118 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGEQMRSPVIRKKAALMESNSQNMASLISPRFKSAAAMAGWDEEALLLAALIVEDTPDRDSKQKKRLVLNSKSPLSDSSRKRRALRNPQPIVLDLDEEETPKKDSGKKKREKKNCVGEESKVEEKELTKKGLDVNSTSSSLPCMDKLREELSCAICLEICFEPSTTPCGHSFCKKCLKSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEIEARKVSASALNKRQAVENPIPEETFYTNPRNRSLQPYPSRGLNSRRNEAATTQDEEDAALARRLQREIDRENNRTTRNQTSTLSSIVRARSRRGVSNQDEDAAFALRLQRNEFMQAFRSSSQEPSSSSSTLLAGPNLRAMATRAMNLRNRDRRV >CAK8578717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649168130:649169790:-1 gene:gene-LATHSAT_LOCUS30705 transcript:rna-LATHSAT_LOCUS30705 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLWFFLFLAFMECCYLGIAKGVVVQEKSDAVIPVPVGTVSAPPEGNTTFIDGTTWCVALAGVSQADLQNALDWACGLGMADCKAIQKDGPCFQPDTLVSHASFAFNSYYQTNGNSDIACNFGGTASLTKQNPSYGNCVYSSPGSVSSSASLGKHDQSLMWLKLITLLLFSYYWV >CAK8538871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498567016:498569134:-1 gene:gene-LATHSAT_LOCUS8022 transcript:rna-LATHSAT_LOCUS8022 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSSLTNPNKLLSYSSLFHNASLSTSPSSLTTTSVSIYPKTQRFGRRFGPVRCSLAYVDNAKIKVVGIGGGGNNAVNRMIGSGLQGVDFYAINTDAQALLHSAAENPIKIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQMPLQDAFRLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSGKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKKLLTDPRAAKLLDKVAEGKESKTVPPPLKSSNLSSKVESRAPPPRKLFF >CAK8532861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:538594421:538595203:-1 gene:gene-LATHSAT_LOCUS2524 transcript:rna-LATHSAT_LOCUS2524-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLTIAFTLLSLTLPSQANNYIYSSPPPPVHSPPPPYHYSSPPPPVHSPPPPYHYSSPPPPLEKPYKYPSPPPPVYKYKSPPPPVYKSPPPSYKYSSPPPPPHKYLSSPPPVYKYDSPPPPYKYISPPPTPGKPFKFPPPPTSIYKYKSPPPPVYSPPIYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPPVYKYKSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYIYASPPPPYHY >CAK8532860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:538594421:538595215:-1 gene:gene-LATHSAT_LOCUS2524 transcript:rna-LATHSAT_LOCUS2524 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMASITLTIAFTLLSLTLPSQANNYIYSSPPPPVHSPPPPYHYSSPPPPVHSPPPPYHYSSPPPPLEKPYKYPSPPPPVYKYKSPPPPVYKSPPPSYKYSSPPPPPHKYLSSPPPVYKYDSPPPPYKYISPPPTPGKPFKFPPPPTSIYKYKSPPPPVYSPPIYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPPVYKYKSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYVYSSPPPPVYSPPPPHYIYASPPPPYHY >CAK8562751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533201118:533205045:1 gene:gene-LATHSAT_LOCUS16221 transcript:rna-LATHSAT_LOCUS16221 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTNVASQILGGNGVVHRSVASSLRLRSGMGLPVGKHYVPNKPLPVNEELTWDNGTAYPEPCIDRIADTVGKYEALAWLCGGLSCFGALGLLAVWNDKASKTPFAPKVYPYDNLRVELGGEP >CAK8567924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556910996:556913909:-1 gene:gene-LATHSAT_LOCUS20933 transcript:rna-LATHSAT_LOCUS20933 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKTSTLNLIFGPYTRPSYPILLLILTFLAILSLQFSTRSLFPLSILLSQPPQPTTCSAFFHHVPPRKIIKSIVDFGAVGDGKTSNTQSFRNAIRYMQRFQGKGGSQLNIPAGTWLTGSFNLTSDFTLFLQHGAVILGSQDPKEWPIIEPLPSYGRGRERLGGRHISLIHANGLRNVVITGENGTVDGQGKMWWELWWNKTLEHTRGHLLELMNSENVLVSNLTFRNSPFWTIHPVYCSNVVIKGMTILAPLNAPNTDGIDPDSSTNVCIEDNYIESGDDLVAIKSGWDQYGITMAKPSTNIIVRRVSGTTPTCSGVGIGSEMSGGISNIIIENLHVWNSAAGIRIKSDNGRGGYVTNVSISNIRMERVKIPIRFSRGSNDHPDDGWDSKAVPRFKNILISNVVSVNSTKAPVLEGVEGSSFEGLCFKNITLLGVALSATWRCEYVAGFANGVVPVPCPELQNNGTSLWC >CAK8533635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:643758775:643761582:1 gene:gene-LATHSAT_LOCUS3247 transcript:rna-LATHSAT_LOCUS3247 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVETQSKEPCGVSSSLAENVIVEVDGSEKVENENGAGEKGLSDDGVVVVEVVKSSVFETEVSILKENDSQVVADSEMNNGVSSVLKMGENDGSIVSEKFDLEGKDEEGKKDGSFDGKIETIEVPIVEVSENIDAVVNGKKESEEGKKDGNCDEDVTFEVPIVETNENMDVEIDDLVDEKYGYSVGDFVWGKIKSHPWWPGRVYDLSVASDFALKLKQKNRLLVAYFGDGTFAWCHPSQLKPFKENFDDMVKQSSSKSFTNAVQEAVDELGRVLAMKMSRPFIAVGETESEFAPLLAKNSGIKEGVFVPESGIERLSAVSIEPAELLSQVKQIAEAVDVASDLELEILKARLSAFYFSRGGYKLPCYEDPKRVLGLEDKDDVDNAVEAPFQGPFEEDFTTLPLSPKSGEQYRSSGLSGSRSNRRRKQKSIADIMGEDNDADAKDKEEDSSDDEVLIAIRSRGRKKRKDSDDAVTPKPVRKRKELIIDTNGKFERAGKESSESKKNSENRKLRRSKEKKEEAVDNEDISDEGNEKENDEGKSEEQNKKGFLSRERKKSKYLSPPFTTSITLTKGRVKAEARSAGPLSPRLLKCNGKALQELELSDSLNHQTQEDEKKTIDPEKVKVPSAEILSKIRNAAVSPQISRDGTSADKLEDFIYVMRSSLYHEGSLHKVYNKSRRGRKRNKPESEPDQSTHITPNEDSEPAKKRKKIATSMSKGKKKACETETSDKKEIDEKSLPAVLFVSFWPGSTLPSTSDLVAVYQKFGALNEEETNMFRINYTGRVSFLRTCDAEKALSESLKKNPFEPSEVTFQLQYVSSDGTKSGEQHIERSKKKSKPSQDKKKDKTPAPTPTPIPTPTPIPIPTPTPTPTRTTHSLSYGNEASKLNFIKEKLQGLVSMLDSSDDKSPDFKTKIESEVKGLLQDVNNMVESTSS >CAK8537266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:189334162:189335736:1 gene:gene-LATHSAT_LOCUS6568 transcript:rna-LATHSAT_LOCUS6568 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNINRQDHGKLSSELISQEILHLVGVDPFVKVSTIISRVVTRFNYTQSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVDGSRIFSRLFWAFQPCIKGFAFCNPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFLIAFALVEGETGEAWSFFLRNLIIHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMWEIKDRNLRKKVVNAGYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNDVFKGTRNLPITALVRATYYILGSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMRHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITIEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8537267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:189334939:189335736:1 gene:gene-LATHSAT_LOCUS6568 transcript:rna-LATHSAT_LOCUS6568-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNDVFKGTRNLPITALVRATYYILGSLFAARGKKWSAVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMRHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITIEMDTTEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8530987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67965142:67965849:-1 gene:gene-LATHSAT_LOCUS809 transcript:rna-LATHSAT_LOCUS809 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIMPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDKVFCFCFKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYR >CAK8532925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551162624:551164229:1 gene:gene-LATHSAT_LOCUS2585 transcript:rna-LATHSAT_LOCUS2585 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSNSVIGFLNFFTLLASIPIIGAGLWISRSTTTCENFFQTPLLIIGFIVLMISLFGFIGACFHVAWALWVYLVIMLLVIAAIIGLTIFGFVVTSQGGGVEVPSRVYMEYHLENYSIWLRNKIKDPHYWSTIKSCIMGSNTCSKLASWTSLDVMEKDMSPIQSGCCKPPTSCNTNMEDKDCYRWNNAANILCYNCDSCKAGVLENIRRDWHKISVLSVVVLVFLIGIYSIGCCAFRNARRAQTDYPHGENRMSKVRPRWDYHCWRWFHEKKEQLF >CAK8579752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720777985:720779554:1 gene:gene-LATHSAT_LOCUS31672 transcript:rna-LATHSAT_LOCUS31672 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSEPAKLRWGELEEDEEYLDFLLPPRQVIGPDENGIKRVIEYQFDDDGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGARLTMVSTEEIVLERPKPLGSSKEDAKASDPLAQFNKGAVLMVCRTCGKKGDHWTSRCPYKDLAPQSEGFVDKPPTADGSATATSGPTKGTYVPPSLRAGAERSTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRTT >CAK8572862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567929604:567931010:-1 gene:gene-LATHSAT_LOCUS25377 transcript:rna-LATHSAT_LOCUS25377 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKSEVKELELHFQKNQKCSSTFKLTNLMHTMTVAVSLTTTNPSTFSFNKPLSVIPPLSSASYILHLSNLSQPPLSDSADVITVKTSMLPTGKANTEDLRRLFNKPGPHVFRDAVITVTLVGPSVAEFIISNYDSVAKTRSLFAKGISVCSKSDLTSLLKPAVESGNVDYVADLIAAGGDACFGDLKGQSLIPLAIRTGKLDVLKLLVASGCRINDSVDFVLHEAAVLDRIDVVKFLFDYFSDELDVNLVNSELKTPIHMAAMEGHVSVIEFFVSVGGNPNAVDSQKWTPLHHAASRCHLKAVEFLLEHSDVKYARDINGKTPFEIAEERGHTRLFGLLRYGDALLRAARVDNVHALKKCLGEGAEVNRKDQNGWTPLHWASFKGRIKSVKVLLEHGAEVDCVDDAGYTPLHCAAEAGHLQVALVLIAHGGCQTNLKTFQHVSPMGSFKKHVSLDYYNKKSETIA >CAK8564538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680190528:680196663:-1 gene:gene-LATHSAT_LOCUS17836 transcript:rna-LATHSAT_LOCUS17836 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMKKNSEQNRFEAVFNKLLSQIGEPVDFELPDWIKIRTTQYTHIKRNIYVTKKNKRKFDDGIFCSCESSPDSTSVCGRDCQCGMLLSCCSSGCKCGVTCLNKAFQHRPVKKLKLVKTEKCGSGIVADEDIKLGEFVIEYVGEIIDDKTCEQRLWNMKDRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAARDIEKGEHLTYDYQFVQFGADQDCHCGAIQCRRKLGARPTKPKMSSDAALKLVAYQVCQNGGLQIGQIGSSRVVDQSKCLYNCVDEVIMIKQLGNVRFGIIKWFDEYTRKHKIMFEDGCVEIHDMSKQNWELVRL >CAK8572828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566266882:566270880:1 gene:gene-LATHSAT_LOCUS25348 transcript:rna-LATHSAT_LOCUS25348 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVGEFKPSIPGKRTFRPSSSIRHATEWPISDVSSDLTIEIGASSFSLHKFPLVSRSGRIRKLLLESRDSKVSRISFPSVPGGAEAFELAAKFCYGINIEFTLSNVSMLRCIAHFLEMTEEFAEKNLLTRAESYLKEIVLSNTANTISVLHRCETLLPISEEINLVNRLVNSIANNVCKEQLTSGLQKLDHNFPSRIIEPETPSEWWGKSLTVLNLDFFKRVLSTMKSKGLKQEFISKILINYAHNSLQVVKGGSLDLEFSKKQRILVETITSLLPTQSRKSQVPIAFLASLLKSAIAASASTSCRSDLERRIGLQLDQAILEDILIPTSSYQNNKHSSTIYDTDLIVRIFSIFLNLDEEDEDNSQLRDESEMVYEFDSPGSPKQSSILKVSKLLDNYLAEVALDPNLFPSKFISLAELLPDHARIISDGLYRSVDIFLKVHPNIKESERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNQLLFGGLNGQFPQRSGSGAGSGGISPRDNYASVRRENRELKLEVTRMRMRLTDLEKDHVSMKQELVKSNPGNKLFKSFTKKLSKLNALFRISGSIKGNSGGSERRFPFPKRRRHSVS >CAK8572829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566268790:566270880:1 gene:gene-LATHSAT_LOCUS25348 transcript:rna-LATHSAT_LOCUS25348-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICNYSARFVSYRPISDVSSDLTIEIGASSFSLHKFPLVSRSGRIRKLLLESRDSKVSRISFPSVPGGAEAFELAAKFCYGINIEFTLSNVSMLRCIAHFLEMTEEFAEKNLLTRAESYLKEIVLSNTANTISVLHRCETLLPISEEINLVNRLVNSIANNVCKEQLTSGLQKLDHNFPSRIIEPETPSEWWGKSLTVLNLDFFKRVLSTMKSKGLKQEFISKILINYAHNSLQVVKGGSLDLEFSKKQRILVETITSLLPTQSRKSQVPIAFLASLLKSAIAASASTSCRSDLERRIGLQLDQAILEDILIPTSSYQNNKHSSTIYDTDLIVRIFSIFLNLDEEDEDNSQLRDESEMVYEFDSPGSPKQSSILKVSKLLDNYLAEVALDPNLFPSKFISLAELLPDHARIISDGLYRSVDIFLKVHPNIKESERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNQLLFGGLNGQFPQRSGSGAGSGGISPRDNYASVRRENRELKLEVTRMRMRLTDLEKDHVSMKQELVKSNPGNKLFKSFTKKLSKLNALFRISGSIKGNSGGSERRFPFPKRRRHSVS >CAK8534672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754391860:754392219:-1 gene:gene-LATHSAT_LOCUS4197 transcript:rna-LATHSAT_LOCUS4197 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLIAKKIIEGDAYKQYLNLWRYAAELKRVSVGNTMKINVDIPYTSIQPSFGSFYFCFDGYKKGFINGCRPFVGVDGCHLKTKYSGYILIAMGRDPNKQYFPLALGVMETETKDNWR >CAK8564122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652872776:652880295:-1 gene:gene-LATHSAT_LOCUS17456 transcript:rna-LATHSAT_LOCUS17456-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLASFPNSYSYSITTATISGRNLWRSKACANNSCSIASSCAPKASRHKWNIQKEYNILKFWKPSLNLYCKATEGGSTHQECNRKFIVKAAPEQSFESEQPAFDPKNFLDAFYRFSRPHTVIGTALSILSVSLLAVEKLSDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFATGAIIVASSSILSFWLGWIVGSGPLLCALFISFVLGTAYSINVPLLRWKRFAVLAAMCILSVRAVIVQLAFFLHMQTFVYKRPVVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKRVFWICVCLLELAYGVALVVGATSSCLWSKIVTGLGHAVLGSLLFYHAKSVDLKSKASITSFYMFIWKLFYAEYLLIPLVR >CAK8564121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652872776:652880295:-1 gene:gene-LATHSAT_LOCUS17456 transcript:rna-LATHSAT_LOCUS17456 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLASFPNSYSYSITTATSRNLWRSKACANNSCSIASSCAPKASRHKWNIQKEYNILKFWKPSLNLYCKATEGGSTHQECNRKFIVKAAPEQSFESEQPAFDPKNFLDAFYRFSRPHTVIGTALSILSVSLLAVEKLSDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFATGAIIVASSSILSFWLGWIVGSGPLLCALFISFVLGTAYSINVPLLRWKRFAVLAAMCILSVRAVIVQLAFFLHMQTFVYKRPVVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKRVFWICVCLLELAYGVALVVGATSSCLWSKIVTGLGHAVLGSLLFYHAKSVDLKSKASITSFYMFIWKLFYAEYLLIPLVR >CAK8539497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514173575:514174336:1 gene:gene-LATHSAT_LOCUS8584 transcript:rna-LATHSAT_LOCUS8584 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSTEHCDLVLVSQKVCVGVYRGVTTSQLDELATETAAGMTANHPDYASLAARIVVSNLHKNTKKSFSETVKIMYNHFNTRSWKKAPLIADDVYEIIMENAARLDSEIIYDRDFDYDYFGSKTLERSYLLKVDGTVVERPQHMIMRVSVGIHKHDIESAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAAGIFKTDD >CAK8541214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90130111:90130707:1 gene:gene-LATHSAT_LOCUS10149 transcript:rna-LATHSAT_LOCUS10149 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFTEGAQKPQTSHHSSVDTLPQTPSIPSAQREASGNASAAAAQTWMSSGAGGFKLGPENSGSSKNQIFADSLHNSTREFHQHISRIQGEFPSGGMSLQSNKNNFPFHSYRPQPSHVSVVSQLPNRPRIFPQSTFADQSRFQMQTSWQGLSPQSQPRQKQETLPPDLNIDCQSPGSPAKQSSSAVDSQQPDLALQL >CAK8573058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580354197:580358370:-1 gene:gene-LATHSAT_LOCUS25549 transcript:rna-LATHSAT_LOCUS25549-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSLSVTFPKSQTFLSLKQPITTTLPNKNNHSSSSISCNSSFTRISATNSQPSISQTDMLLPTGNSNHWIVRMDKPAVGVVTKAQIVDHYAQILSKVIGNEKDAQKCLYHVSWKTNFGFCCEIDEDRAHELAGVPGVLSVQPDHNFESENKNYEDSNHWIVRMDKPSVGVVTKAQIVDHYAQILTKVIGNEKDAQRCLYHVSWKTNFGFCCEIDEDCAHELAGVPGVLSVQPDHNFESENKNYEGRNLENRLNVPSSLGETQETSVETKKLFVTGLSFYTSEKTLRTAFEGFGELVEVKVIIDKISKRSKGYAFIEYATEEAAGAALKEMNGKIINGWMIVVDVAKTTPPRYNKGRARPSA >CAK8573057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580354197:580358385:-1 gene:gene-LATHSAT_LOCUS25549 transcript:rna-LATHSAT_LOCUS25549 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTNMEVLSLSVTFPKSQTFLSLKQPITTTLPNKNNHSSSSISCNSSFTRISATNSQPSISQTDMLLPTGNSNHWIVRMDKPAVGVVTKAQIVDHYAQILSKVIGNEKDAQRCLYHVSWKTNFGFCCEIDEDCAHELAGVPGVLSVQPDHNFESENKNYEGRNLENRLNVPSSLGETQETSVETKKLFVTGLSFYTSEKTLRTAFEGFGELVEVKVIIDKISKRSKGYAFIEYATEEAAGAALKEMNGKIINGWMIVVDVAKTTPPRYNKGRARPSA >CAK8566340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410104237:410104518:-1 gene:gene-LATHSAT_LOCUS19475 transcript:rna-LATHSAT_LOCUS19475 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLGVRCKKGCRNSKPVIVFLLSQTFLTMLCHFKFGVFLFYAFWVAVMTLFIIFFLPETKGISLDSMYTIWGKHWFWYRFVEGENGEENHP >CAK8572908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570823316:570824005:-1 gene:gene-LATHSAT_LOCUS25414 transcript:rna-LATHSAT_LOCUS25414 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKQNMVFGRDIERGQELQRFTTPQIQIQTPNTNNTSENNNHASSSIVSRRPRGRPLGSKNKSKMPVTIESGNPDGHVFEITTGEDVSKSIFDYVRRRRRRINIFNGIGEVAQATLRQPTGKIVTIRGRFQIISMSGTFFPSQRTTMECGLEVLLCGTEGQVVRGSVIPPLIALGSVYLVGSPFRKIVFENVPLEVNNQNRQEEMNHDADGRVAEGSVGLLDGEGSTT >CAK8568521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613654767:613657409:-1 gene:gene-LATHSAT_LOCUS21469 transcript:rna-LATHSAT_LOCUS21469 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPTRVFVVHMSTTLGSKLFTIAKKIGMMSKGYVWIVTDGMANLFNSLNLNVWESMEGVIGVRTYIGRTKKLDDFSKRWKRKFRSDNPTLDDTDLNIFGIWAYDATVGLAMAVEKVGNGTNQFGYSEKNTSSNYYMPVFEKFGIAQNGEKLIEALSNTRFDGLGGRLQAPTYEIINVIGNGEKRVGFWTPSKGLARNLDSKDINNSIYTSSKNDLGTIIWPGDMNSIPKGWEIPTINGKTLRIGVPVKIGDNYAEYVKVVLDPRDNLIHISGFFIDVFQAVVEVLPYALRYQFVPFATPNGEMAGTCDEFIGQLYHGMFDAVVGYISITTDKSNYVDFVMPFAESGVIMVVSMKGSRKKNAWAFLKPLTWQLWVTTACSFVFIGFVVWVLEHRINSDFRGPPSHQIGTSLSFSFSTMVFAHREKVVSNLSRFVVVVWVFVVLILVQSYTASLTSLLTVQQLSPAITDVNQLLKGKMNVGYLKGSLVYGILKRLQFQDSQLIPYQSAEECNELFIKGSANGGIDAAFDEVPYVKQFLGTYSCSNFKYVMIEPRVKTGGFGFGFPKGSPLVADITRAMLNVTQGDKMGTTESAWLKESCKDSNKEISSNNSLGLESFWGLFLIAVIASLLALLILFVTFLHQHKHIWLKNGQSASIWRIIRDLASIFDQRDLDCHIFKNSGNENENISPRDVDIGAAEASPGTDSAPSPSSQAESNVSIHVDFSQNTETDAVQITNPEVASVNNCEINSQETSTIVTSSS >CAK8571572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442258133:442271907:-1 gene:gene-LATHSAT_LOCUS24219 transcript:rna-LATHSAT_LOCUS24219-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDDEGGSSSTKTVGKRGVTRLQKIHKAKSNGKRIEVQWNAKGQPIKHNSKSFASYIGVTVHRLVPFSLDNWSAKENKEAVRVYKQNIWDEIEKAFVIGEEHRAFIYKEAGKLHMAFRTKMAKFYLRDSKGGFVKHRPAKYSYCIKQEDWDKFVAQRLIEKFQKVSSENEERALNPQHPYRKSRLGCARLEADMVEESGEDEINRSQVWKAARVNKNGVIDNENVQRVVDQCVKKSTEALTEEERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQIRPTQMDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPEKVTQSLQQLEDVAERQQPEQVSTSLQQPEKGTQSLQQLEDRQELSDKGSCNPGSFGNIPEGLLPVNIYLSSPSRCLVARGKLYNTKGNTVHDMTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMKLLEFVAECEKNPDQSQNKVKNTQQSVDSVSSPNKSNKKFKIEESPRVGGSTNLVNLPFLDMYVKNMMRVGSLIQIKMEESIFGEEFLEHLRVESIKGILDHNWLSATVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQMYRANSNSKVPKVSKSKKISWSKIQCPRQINSIDCGYFIIRFMKEVIMENKIMIPINYFPDHKCRTYSKDKLTEVKDDWATYMVDDTFGKQEAMILPS >CAK8571571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442258133:442271907:-1 gene:gene-LATHSAT_LOCUS24219 transcript:rna-LATHSAT_LOCUS24219 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDDEGGSSSTKTVGKRGVTRLQKIHKAKSNGKRIEVQWNAKGQPIKHNSKSFASYIGVTVHRLVPFSLDNWSAKENKEAVRVYKQNIWDEIEKAFVIGEEHRAFIYKEAGKLHMAFRTKMAKFYLRDSKGGFVKHRPAKYSYCIKQEDWDKFVAQRLIEKFQKVSSENEERALNPQHPYRKSRLGCARLEADMVEESGEDEINRSQVWKAARVNKNGVIDNENVQRVVDQCKSTEALTEEERQDLGPTDILFEALNLPNYYGRIRSYGFGVCSRDIFPRQIRPTQMDFQRLYGFCNTLKSRLEVLEREKLERDKLDREKIERQKTEEVAEKEQPEKVTQSLQQLEDVAERQQPEQVSTSLQQPEKGTQSLQQLEDRQELSDKGSCNPGSFGNIPEGLLPVNIYLSSPSRCLVARGKLYNTKGNTVHDMTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMKLLEFVAECEKNPDQSQNKVKNTQQSVDSVSSPNKSNKKFKIEESPRVGGSTNLVNLPFLDMYVKNMMRVGSLIQIKMEESIFGEEFLEHLRVESIKGILDHNWLSATVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQMYRANSNSKVPKVSKSKKISWSKIQCPRQINSIDCGYFIIRFMKEVIMENKIMIPINYFPDHKCRTYSKDKLTEVKDDWATYMVDDTFGKQEAMILPS >CAK8531887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171767497:171771192:1 gene:gene-LATHSAT_LOCUS1648 transcript:rna-LATHSAT_LOCUS1648 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTSFRKAYGALKDSTKVGLAKVNSDYKDLDIAIVKACNHVEYPPKERHVRKIFYATSAHQPRADVAHCIHKLSKRLSKTRSWIVAIKTLIVIHRTLREGDPTFREELLNYSRRGHILQISNFKDDSSPLAWDCSAWVRTYALYLEERLECFRILKYDIESERLIKSSPASTKAHSRTRSLANDDLLEQLPALQQLLFRLLGCQPEGCAYNNYLVQYALALVLKESFKIYCALNDGIINLVDMFFETTRHDAVKALNVYKRAGQQAESLADFYEYCKGLDLARNFQFPTLRQPPPSFLATMEEYIREAPQLSSENNRLEYKENDESLEESEPKEAEEPQEEEKQEEKVSEEEEEVVLEEEAQPEEEKVEFPPLISTDGIDDLLGLNEINPKALEMEDRNALALAIVPPGGNHNSNNLALSNISGTSGWELALVTAPTNHNSQPPDRRMAGGFDTLLLDSLYEDEHARRQLQLQNAGYGHEEMTVQNPFDHYNQHDPFAMSKNIAPPTNVQMAMMAQQQQQQQMMFQQQHMMYPQQQHHNMEMVLHQQQPHGQYPHHPQQLQIMGNHNPFGDPVPVPNYPHNTMNQQGNYNLM >CAK8536215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917732181:917732549:-1 gene:gene-LATHSAT_LOCUS5605 transcript:rna-LATHSAT_LOCUS5605 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVMVEKMKKKFKRKVEVVPPKKEKEKENEKEKEKNKGENDGGKKNKQKGEGGNNKVDENGGKGKMEITTNSNGHGYGYGYGLLGFDYGYNNSNGEGEIVQLPAPPQMFSDENPNACCIM >CAK8533286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600477787:600479790:1 gene:gene-LATHSAT_LOCUS2926 transcript:rna-LATHSAT_LOCUS2926 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNNKNNKPFLFPQANSTVLPDPSNFSPNLLSTPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSVSYPSRSSNSKVISQIFKPDLTITSSKKDNQKGSNEKHVISSYSDLSVTLDIPCINMSFFLVRGSPYVTLSVTKPSPLSISTIHDIIYFSSNDSSTKFTIRFNNNQAWILYSSIKIKLSHSRSEITSEAFSGTIRIVLLPDSDSKHEAVLDKYCFCYPVSGDAILREPFCVEYKWEKKGWGDLLMLAHPLHIHLLSKNDMNNVTVLNDFKYKSIDGELVGIVGGSWILKTDPVSINWYSTKGVNEKHHEEIVSSLFKEVEGLNSSSIKTTSCHTFGKLIARAARLALIAEEVFFYDVIPKVKVKQFLKETIEPWLEGTFKGNGFLYDKKWGGIVTKQGCNDSNAEYGFGIYNNHHYSLGHFVYAIAVLVKIDPAWGRKYKAQVYALLEDYMNLSTSLNSNYPRLRCFDLYNLHSWAGGLTEFADGRNQMSSSEAVNAYYAAALFGMAYGDAHVVSIGSTLAALEICAAKMWWHVRKGESLYEKDFTKENRMMGVLWSNKRDTGLWFAPAEAREARLGIQVLPLTPISEILFSDVKYVKELVEWALPALKRQGVDEGWKGYVFALQGIYDNKVALTNIKGFEECNSSTNLLWWLHSRG >CAK8537394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:325993507:325994749:1 gene:gene-LATHSAT_LOCUS6687 transcript:rna-LATHSAT_LOCUS6687 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRVQTIAHQSKDSSIPSMFVRAENEQPGITTVPGVKLEVPIIDFSNPDGEILQSKIMEASKEWGMFQIVNHEIPNDVIKKLQSVGKEFFELPQEEKEVIAKPVGSNSIKGYGTNLQKDVNGKKGWVDHLFHIIWPPSSVNYRVWPNKPASYRY >CAK8578641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643093124:643111638:-1 gene:gene-LATHSAT_LOCUS30634 transcript:rna-LATHSAT_LOCUS30634 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVVHRSKRRDMKWLIPGRSRVLIISFLLLLSAYLTSSQQHTDQKTTPASTSRNETKGVDANHHAKVFYKHTWPVMKFGWRIVVGTIIGFLGSSFGTVGGVGGGGIFVPMLTLIIGFDAKSATAISKFMITGGAGATVLYNLKKRHPTLDLPVIDYDLALLFQPMLMLGISLGVAFNVIFPDWMITTLLIIFFTGISINAFLKGVNTWKKETLTKKEARESDDRRIEDSALDLQVGESVNESETNTNVPRKKVSVIENVYWKELGLLFAVWIIILALQIGKNYTRTCSVAYWILNLVQVPITVGVSSYEAVLLYKGKRVIASKGDEQTNWSVKQLIMYCSCGIIAGIVGGLLGLGGGFILGPLFIGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPISYALYFVAVATVAALVGQHLVRKLIAILGRASIIIFILALTVFVSGISLGGTGIANLIKRLENKEYMGFGNLCEYRVRN >CAK8539928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531391046:531391763:1 gene:gene-LATHSAT_LOCUS8980 transcript:rna-LATHSAT_LOCUS8980 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITVLKVDIDCLKCKKKLIKAVSSLQGIDKIEVDEGKGTLTITGNADPYEVIVKIKKIRKNARVLSIGPPLIPPPPKQDSPKKPKENKKPKGKPKEKPKEKVEEKTKPEAAVSQYMVMPPQYYNHAQPVAVLHMARWDEPDTSCTIL >CAK8536021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899025410:899027626:1 gene:gene-LATHSAT_LOCUS5424 transcript:rna-LATHSAT_LOCUS5424 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEEIGADGSDLDVDDVRYHNIPEKDVSDEEIEAEELEKRMWKDRIKLKRIKEKQKLAALQAAEKQKPRQSSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDRNGPAAIAKYEAECLAMSEAENNRNGNSQSMLQDLQDATLGSLLSSLMQHCDPPQRKFPLEKGVPPPWWPTGNEDWWSHFNLPHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVRQSKCLQDKMTAKESSIWLGVLSREEAHIRQPSIDNGISGITETLPVGLPIENKQPAASSASNYDVEGIDDNVGSVSSKEDRCAHCMDTEKSDNSQKNSVQDTDQAKKQSRPKRPRSRSSAADKLPAQSDNEILQIEPRSKVPNMNGTDEARAVGLHIHGNENVNGTDSVLRLTEKGHEVPAQLPPPEFLNHYSYMHANNIVSSESVYMNRRPPLHYAPLQNPDMSHEATYNLYNPVTGYDPSHIQDGQHLQQPEPAHIEPIGPPENAAISIPVENTKGDEITGDINYFGKDAFQNELDRPIDPFFGSPINMSFDFGGLNSPPFHIDDFIGDDEMIQYFGA >CAK8532319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247343451:247345241:1 gene:gene-LATHSAT_LOCUS2040 transcript:rna-LATHSAT_LOCUS2040 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAKSPTRCFFLVDPDDNFLQTPNKEDSKKNTKREKISFVNRIHEHVKLGPKFSETVKGKLSLGAKIIQEGGRRNIFKHVFGLQEEEKLLKASQCYLYTTAGPIAGILFISTVKVAFCSERHISFSSADGELVKAPYKVLIPIEKIKEVNESMNVNKLEQKYIEVVTKDDSEFWFVGFLRYEKAIKNLNKAISMTNKF >CAK8567800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546259265:546260459:1 gene:gene-LATHSAT_LOCUS20822 transcript:rna-LATHSAT_LOCUS20822 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERFDEIGKKIKREGDHHHHHVSNSCPQVGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVAECSRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQSELNVLRGEILKYKLREAANINMNNMNNMNMNMNMLPASSHHVPMLPSNSAAVSIAAPPPPPPPPPLPPTTSNSSSSIYYHQRDPNNYTRISSDDNISYFG >CAK8567523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:521216446:521235764:1 gene:gene-LATHSAT_LOCUS20569 transcript:rna-LATHSAT_LOCUS20569 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCDHCLRNVNGMRIDDSVLCCEACGKVLEDYYFSQEATFVKTAGGKSQLSGNYVMGVRVGISDSRARTLDRARDFMKNLSWELGVEDNSIVEEALAFYTIALEKNFTKGRRSEQVQASCLYLAYRDKNKPYLLIDFSNSLRTNVYVLGAVFLQLCKVLRLENHPIVQKLVDPSLFIYKFTNNLLKQRNVPVSETALNIIASMKRDWMQTGRKPSGLCGAALYMSALAHGFTCSKSDILRIVHVCEATLTKRLVEFENTESSSLTIDELNAMAKELEKTPIKIPNGELNKCTSEDQELLCEHKGSGMPYFALGLCEACYKEFDTLSGGLDGGLDPPAFQHAERVRMIKSHSEENANKSDDLAKDSNGAYKGQIDYAASEPKSIGGDEEYMATKDVEHDKSHIEGDMNAKTQDESESLSDIDDQEVDGYLHNEEEKRYKKEIWEFNNREYLEEQAIKEAAIAAEMKKLEEELKNCSPESRAARELAASAAEAVAKSRKEMRQKRAQEAKSLGPAKSAVEAMSRMLKTKKLSSKVNHDSLKKLFDEPGSPQNHKKARFELPSNDDDNLKLKSDHKTKDGYESTDDDNLKMKSDHKTKDDYESADEYMEDDMNGEYNDTFYPENDYDETYYGEDGYDGF >CAK8534057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689739893:689740114:1 gene:gene-LATHSAT_LOCUS3631 transcript:rna-LATHSAT_LOCUS3631 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAQNFDISPAHTPTSNGTALDQGIAYLLMMVALVITYIFH >CAK8576382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:468341708:468342967:-1 gene:gene-LATHSAT_LOCUS28572 transcript:rna-LATHSAT_LOCUS28572 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLPVEVIGNILSHLKAARDVVIASATCKKWRFACCKHLHTLSFSSNDWPVYRDLTTIRLEILITQTILQTSGLQSLSILMEDVDEFSASAVIAWLMYTRETLRQLFYNVKTMPNVNILEICGRHKLEILDLSHNSIVGVEPIYQRFPCLKSLSLSYVTISALDLNLLVSACPRIEALELVNPEIAMSDGQVTVELSSSTLKSVYVEAISLDKFVLEADGIECLYLKDCSLEDFELIGKGTLKSFRIDDISVTHLDIGEIVENLENVDISNFTIIWPKFYQMISRSSNLKRLRLWDVIFDDEDEVVDLETIATCFPHLTHLSLSYDVRDGVLHYGLRGSSDLENVVVLELGWSVINDLFSQWVEGLLKRCPILKKLVIHGIVSETKTDEECKILANFTTSMIELMRRYRDVDPHFKFE >CAK8571318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:374864260:374867185:1 gene:gene-LATHSAT_LOCUS23990 transcript:rna-LATHSAT_LOCUS23990 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNQGNKQTLPFSPTRFFKVITTTICQDGKLRIPNGFIRKHNNDMSNPMFLKTPDDKKWEMHITKVDKGFWFQKGWKEFATYYSLDHGYMIFFQYKGNSLFEVYIFGKSTLEIEYPFNENHHEQDNLIKTSDDDSVEILDKSTSCKKKTRPKSPIPYPRPHKKFRSDTGEDVGTSSKFHDFPKHHVQDSDDTGDSIELQREEHEHVQEQEQITSKINEALNRARSFKSKNPSFMIVMKSSYLHSYFLYVPTKFAINYLKNEQSDILLQLADGRTWDAKYCFGKIKVGWKKFVGDNRLKMGDVCVFEITKSKTPTFKVLIFRLEEPHFPSPQESDQRDKDMSGVESQTIILDKGEKAAQRILLKTKTRLSMNEVQNKDDKFNSKYPFFKVNITLNQRGNSRLIVPMSFVKKHLDNKKEQTVMLKFEKKLWPIKLLCYSNMKSGQFCRDWNQFQKENNLKDGDVCVFELIKNNHALFQVHIFRSN >CAK8577307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554581722:554582664:1 gene:gene-LATHSAT_LOCUS29427 transcript:rna-LATHSAT_LOCUS29427 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIFFLLFLSLTAHSSGLYCVCKDGVTDKLLQTAIDYACGAGADCTPILQNGPCFQPNTVKDHCNYAVNSYYQNKGNVQGSCDFSGAATPTPTPPNTPSGCVYPSSPGTPSTVSPPGSIPGAAGSPSGIGMGPSATGDPSGAGVSLMRNINTILLFFFVVFLVFSI >CAK8561015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:73213839:73214834:-1 gene:gene-LATHSAT_LOCUS14643 transcript:rna-LATHSAT_LOCUS14643 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPSWEISPGQTFPLGVSQVDNGINFVFFSQHATAVSLCLVLPERESIDTLDGGMIELALDPHLNKTGDIWHICIEDLPRINVLYGYRIDGSQDWGKGHRYDISIVLVDPYAKLVEGRRYFGDTSKKLSKFLGTYDFDSLPFDWGQNYKLPNIAEKDLVIYEMNVRAFTMDESSGLDNNIRGSYLGVIEKIPHLLELSINAVELLPIFEFDELELQRSPNPRDHMINTWGYSTINFFAPMSRYASAGGGPANASQEFKQMVKALHYANIEVILDVVYNHTNEADDPNPYTTSFRGIDNKVYYILDDKGQLLNFSDCGNTLIVTILWSWS >CAK8577043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533600136:533601605:1 gene:gene-LATHSAT_LOCUS29186 transcript:rna-LATHSAT_LOCUS29186 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGFGNGFSGFWKWNPSPESRRRRIRSNSTSPGSVVGGGYQFPVKQAITAASLALTGDTIAQISNRWSKAKETDENASQDVLSRLLSEHDLLRALRMTSYGFLFYGPGSFAWYQLLDHCLPKPNVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQQKLKELPEKYRRDALPALLYGFRFWVPVSVLNFWVVPLPARVAFMSMGSIFWNFYLSSTMNK >CAK8539224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507089340:507092167:1 gene:gene-LATHSAT_LOCUS8338 transcript:rna-LATHSAT_LOCUS8338 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMEKVNAFGEKLKIGGVEVGRKMTEGMTSMSFKVKEFFQGPNQVDKLVEDATSEAHEEPDWAMNLDLCDLVNTEKVNSVELIRGIKKRIVIKSPRVQYLALVLIETIVKNCEKAFSEVAAERVLDDMVRLIDDPQTVVNNRNKALMMIEAWGESTGELRYLPVYEETYKSLRSRGIRFPGRDNESLAPIFTPPRSASVPELPHVDELSHQFQRDVTVQGFTAEQTKEAFDVARNSIELLSTVLSSSPQQDVLQDDLTSTLVQQCRRSQTTVQRIVETAGDNEALLFEALNVNDEILKVLTKYEELKPPPVAPLQPEPAMIPVAVEPDESPRNLDTKEDSLIRKPAGSRPGVQGGNNDDMMDDLDEMIFGSRVGDASGGGHDTKKQQSSKDDLISF >CAK8560715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37535588:37537471:-1 gene:gene-LATHSAT_LOCUS14370 transcript:rna-LATHSAT_LOCUS14370 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVEKFDFEKVIEEFERITKDAENVQKETLRKILEENASTEYLQSLGLNGRTDPESFKACVPLVTYKDLEPYLQRMVDGDVSPILTGKPIKAVSVSSGTSQGNRKCIPWNDELFKTTVQIYQTSFAFRNRDFPIQGGKTLNFIYGSDTPPKKGGVILGAATSNVYGNPGYKSAMQALRSPSCSPDDVTFSPDFQQSLYCHFLCGLFFREEVQSISSTFAHSIIYAFRTFEQYWEELVNDIKEGVLSSRITVPSIRDAMSKLLKPNPELANLIHKKCSGLSNWYGVIPELFPNVKYIQGIMTGTMEPYLKKLRHYAGEVPLVTSEYGSSEGWIASNVNPKIAPEFATYAVLPQIAYFEFIPLSQLDGTQVKLEAVGLTDVKIGEEYEIVLTNPAGLYRYRLGDIVKVMGFHNSTPEIKYMRRSGLLLTISTDKHTEIDLQLSVENASKFLVEEKIEVIDYTSYIDLSNEPGHYVIFWEISGETSDEVLSECCKCLDKSFNDPAYMFYRECKSIGALELQIVEKGTFQKILERQVERNVPVNQFKTPRCVGPTNTTMLQILFENVVKGYVSTAYD >CAK8538846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497714816:497715721:-1 gene:gene-LATHSAT_LOCUS8001 transcript:rna-LATHSAT_LOCUS8001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKVETGHQDTVHDVAMDHYGKRLATASSDHTIKIIGVSNSASQHLATLTGHQGPVWQVTWAHPKFGSLLASCSFDGRVIVWKEGNQNEWIQAHVFDEHKSSVNSVAWAPHELGLCLACGSSDGNISVFTARADGGWDSSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDSTVKVWKLNDGHWKLDCFPALQKHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKEGDQWDGKILNDFNTPVWRVSWSLTGNILAVADGGNNVTLWKEAVDGDWQQVTTVEP >CAK8574915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15150575:15151379:-1 gene:gene-LATHSAT_LOCUS27214 transcript:rna-LATHSAT_LOCUS27214 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPILIKDLEKGKQVWKMLIIVIDLWIVKEKSGPQHFELVIQDPQDDKIHVTTRNREFKDWSEQLTEHDTYCLYNGEPMTNDGTFKVCPNKLKLVFNGGTTVSKLPIPKIPKHQFKFKPIVDFLTDLLYDVVGVLQDVVKTQMGGGGKKSCANITLRHGAGNIIEDALWDDYGKQLMSYNSSNKFPRPTLIVLTHAWCKQN >CAK8533851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665302084:665310842:-1 gene:gene-LATHSAT_LOCUS3442 transcript:rna-LATHSAT_LOCUS3442 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPYRAIGCIAGGVPFTVQRLGTEAFVTVSVGKAFQIFNCAKLTLVLVGPQLPKKIGALASYRDYTFAAYGNNIGVFKRAHQVATWSSHDSKVKSLLLFGEHIVSLDAQGNMFLWAFKGIEDNLAPVGHIMLDKNFSPSCIMHPDTYLNKVLIGSEQGPMQLWNISTKKKIFEFKGWDSPISCCVSSPALDVVAVGCTDGKIHVHNIRYDEELVTFTHSTRGSVTALSFSTDGQPLLASGGSSGVISIWNLEKKRLHSVVREAHDGVITSLHFFANEPVLMSSSTDNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIKFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVSKRAKKLRVKEEEIKLKPVIAFDCAEIRERDWCNVVTCHMDTAQAYVWRLQNFVLGEHILNPCPENPTPVKACAISACGNFAILGTAGGWIEKFNLQSGIHRGTYIDMSESRSCAHDSEVVGVACDSTNTYMISAGYHGDIKVWDFKGRGLKSRWEIGCSVVKIVYHRYNGLLATVADDLTIRLFDIVALRLVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVILARQIDAIQVDVPITALSLSPNMDILATTHVDQNGVYLWVSQAMFSSSSNIDSYASGKAVVSVKLPTISSTEDAQAEHSSELVNASQPKDAPAITPAFPTQEKQIPDLVTLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPLLSGEILFEPGKGSVQENDGVDNEKQINKTKLDMPSSRFLHLLQSTKDSDSYGAFTDYIKGLSPSTLDMELRMLQIIDDDDDEQEDEKRPELVSIEQLMDYFIFELSSKNNFEFLQAVIRLFLKIHGEAIRKHSHLQEKARKLLDIQSMVWQRVDKLFQSTRCVVAFLSNSQIHA >CAK8561352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:166862900:166866051:-1 gene:gene-LATHSAT_LOCUS14953 transcript:rna-LATHSAT_LOCUS14953 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGKKDLDSYTIRGTNKIVRAGECVLMRPTDTSKPPYVARVEKIEQDNRNNVRVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGSEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGVTIEEAKKLDHFVCAECSSDDDIKKPQTTFSSSPGSDSKVEPKRRKRGP >CAK8532296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:241175441:241176751:1 gene:gene-LATHSAT_LOCUS2020 transcript:rna-LATHSAT_LOCUS2020 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHDTDKNIEVWKIKKLIKALEAARGNGTSMISLIMPPRDQIARVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLQALDAGAVETLIVWENLDMTRYVLKNSTTGEVVIKHYNKEQEVVQSNFRDPETAADYEVQEKLSLLEWFANEYRRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDFSDDGGVYDDE >CAK8569043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666163552:666167421:1 gene:gene-LATHSAT_LOCUS21940 transcript:rna-LATHSAT_LOCUS21940 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSVSKLLLVITFFVLFLDCDCNVTYDRKSLLINGQRKILISGSIHYPRSTPEMWEDLIRKAKHGGLDVIDTYVFWDVHEPSPHNYNFEGRYDLVRFIKTVQRVGLYVNLRIGPYVCAEWNFGGIPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVQMMKSENLFQSQGGPIILSQIENEYGPESKAMGAAGHAYLNWAAYMAVGLGTGVPWVMCKEIDAPDPVINSCNGFYCDDFSPNKPYKPSMWTESWSGWFTEFGGPIHQRPVQDLAFAVARFIQKGGSYVNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIRQPKYSHLKDLHKAIKRCEHALVSSDPTVTSLGTYQQAHVFSAGTAGCAAFLANYHVKSAATVIFNNKHYDLPPWSISILPDCRTSVFNTAKVRTQTSVVKMLHVDSRFSWETYDEDLSSLDERSRITATGLLEHLNVTRDTSDYLWYITSVDISSSESFLRGGHKPSINVQSAGHAVHVYVNGHFLGSAFGTRKQRSCIFNGPVNLHAGTNKIALLSVTVGLPNAGRHYETWEAGITGPVLLHGLDHGPKDLTHNKWSYQVGLKGEAVNLASPNEVSSVDWVQDSLAIRSRSQLKWHKAYFNAPEGNEPLALDLESMGKGQVWINGQSIGRYWMAYAAGDCSSCSYSGTFKPAKCQLGCGQPTQQWYHVPRSWLKPTKNLIVVFEELGGNPWKISLLKRTVHTAAVRG >CAK8541221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90817089:90819123:-1 gene:gene-LATHSAT_LOCUS10155 transcript:rna-LATHSAT_LOCUS10155 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYSLVKDELTSLLLITPFPHRENVEILKLPTRRGTEIVAVYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYSDIEAVYKCLEESYGAKQEDIILYGQSVGSGPTLEIAARLPQLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVNCPVLIVHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLELFPEYIRHLKKFITTIEKSPSQRYSFRRSTDQQFEQPRKSTDIFEVSRKSTDRREKPRKSTDKPEKVKNLSSSNGGDMLEKLRMTFDHKERSRRSVDCHEKSRKSVDHQLEKGRKSVDRLDRIRTG >CAK8564387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671558369:671560427:1 gene:gene-LATHSAT_LOCUS17698 transcript:rna-LATHSAT_LOCUS17698 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDLFEWLKNDNRRLLHVVYRVGDLDRAIKFYTQALGMKLLRQRDIPEEKYANAFVGFGDEKSHFAIELTYNYGVSSYDVGDGFGHFGIATQDVYKLVEHIRAFGGNITREPGPVQGGTTVIAFVKDPDGYTFALIQRSEIVDPFAHIMLRVGDLERCIKFYEKAVGLKVVRKDDNPEKKYTVVVLGYKGEEESTVLELTYNYGVTEYSKGNAYAQIAIGTNDVYKSAEILNLVTQEVGGKITRQPGPIAGLNTKIVSFVDPDGWKTVLVDNEDFLKELE >CAK8569509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3619639:3624961:1 gene:gene-LATHSAT_LOCUS22354 transcript:rna-LATHSAT_LOCUS22354 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYVAKCMIPEEQKEDDSTVNNNEREKKEKKIECCSSGRGKVVTGFDDGTVCLFDRGLKFNYAFQPHSSSVLFLQQLKQRNYLVTIGEDEQLAAQQSALCLKVFDLDKMQSESTSTASPDCVGILRIFTNQFPEAMITSFIVLEEVPPILLIAIGLDNGSIYCIKGDIARERITRFKLQVENHSDKTLSSITGLGFKVDGQSLQLFAVTPNSVSLFSLHDQPPRRQTLDQIGCGVNSVAMSDRSEFIIGRPEAVYFYEVDGRGPCWAFEGEKKLVGWFRGYLLCVIADQRTGKHTFNIYDLKNRLIAHSALVKEVSHMLYEWGNIILIMKDKSALSIGEKDMESKLDMLFKKNLYTVAINLVQTQQADAAATSEVLRKYGDHLYSKQDYDEAMSQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNLFIKSEDSIGELKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGNYEEALEYISSLESSQAGMTIKEYGKILIEHKPLETIEILIRLCTDEGDKRGQSNGAYVSMLPSPVDFLSIFVHYPHSLMDFLEKYTNKVKDSPAQVEINNTLLELYISNELTFPSVSQSNEGSDFLNGASEKLSNLSAQTNGTIADPKNSEKEKGRLERRKKGLHMLKSAWPPETEHPLYDVDLAIILCEMNAFRDGLLYLYEKMKLYKEVITCYMQAHDHEGLIACCKRLGDSVKGGDPSLWEDVLKYFGELGEDCSKEVKEVLNYIERDDILPPIIVLQTLSKNPCLTLSVIKDYIARKLEQESKMIEEDRQAIDKYQEDTQTMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVLEMKRNLEQNSKDQDRFFQQVKNSKDGFSVIAEYFGKGIISKTSNGSTSGLRSGNASSSSGF >CAK8579714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718004201:718013010:-1 gene:gene-LATHSAT_LOCUS31639 transcript:rna-LATHSAT_LOCUS31639-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIRSLQLDSAAEDINGVVNPEDGKPDVDNLDKMDEDPKQEVQAQAVEVEPNAKDNEVQAVEAEPKAKDKEIPSVQDEEAEQMEKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWAKERYDEIESKMIPFLRQSGYNVKKDVSFLPISGLMGLNLKTRMDKSNCPWWDGPCLFEALDSIDVPMGDPKSPFRMPIIDKFKDMGTVTMGKVESGTIREGDSLFIMPNKDHVKVVAVYIDENRVKRAGPGENLRVRVSGVEEEDISSGFVLCSVANPIPAVTEFVAQLAILELLDNAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVLCRVQVSNLICVEKFSDFPQLGRFTLRTEGKTVAVGKITAM >CAK8579713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718004201:718013010:-1 gene:gene-LATHSAT_LOCUS31639 transcript:rna-LATHSAT_LOCUS31639-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIRSLQLDSAEDINGVVNPEDGKPDVDNLDKMDEDPKQEVQAQAVEVEPNAKDNEVQAVEAEPKAKDKEIPSVQDEEAEQMEKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWAKERYDEIESKMIPFLRQSGYNVKKDVSFLPISGLMGLNLKTRMDKSNCPWWDGPCLFEALDSIDVPMGDPKSPFRMPIIDKFKDMGTVTMGKVESGTIREGDSLFIMPNKDHVKVVAVYIDENRVKRAGPGENLRVRVSGVEEEDISSGFVLCSVANPIPAVTEFVAQLAILELLDNAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVLCRVQVSNLICVEKFSDFPQLGRFTLRTEGKTVAVGKITAM >CAK8579715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718004201:718013010:-1 gene:gene-LATHSAT_LOCUS31639 transcript:rna-LATHSAT_LOCUS31639 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIRSLQLDSADPKQEVQAQAVEVEPNAKDNEVQAVEAEPKAKDKEIPSVQDEEAEQMEKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWAKERYDEIESKMIPFLRQSGYNVKKDVSFLPISGLMGLNLKTRMDKSNCPWWDGPCLFEALDSIDVPMGDPKSPFRMPIIDKFKDMGTVTMGKVESGTIREGDSLFIMPNKDHVKVVAVYIDENRVKRAGPGENLRVRVSGVEEEDISSGFVLCSVANPIPAVTEFVAQLAILELLDNAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVLCRVQVSNLICVEKFSDFPQLGRFTLRTEGKTVAVGKITAM >CAK8534006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681687762:681690234:1 gene:gene-LATHSAT_LOCUS3582 transcript:rna-LATHSAT_LOCUS3582 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFNHQRSMQKSKSFHFRKMFEIPGKHIHGFFDKDHDEGNDHHHYSDHKVYSKSLESRLNDNSLEVHPVGFSMNNDHVHPDAPKMPPKPLTETELMKERFAKLLLGEDMSGAGNGVSSALALSNAITNLAASVFGEQSKLEPMSHDRKVRWRKEIEWLLSVTDHIVEFAPSQQLAKDGSTMEIMTTRQRSDLLMNIPALRKLDAMLIDILDNFKDQNEFWYVSKNDEEADGNTVNQRKSDKWWLPVVKVPPTGLSDIAIKRIQFQKDNVNQVLKAAMAINAQVLSEMEIPDNYIESLPKNGRESLGESIYKSITVEYFDPGQFLSTMDMSTEHKVLDLKNRIEASIVIWKRKMNKDGKSSWSSTISMEKRELFEERAETILLMIKHQFPGLPQSSLDISKIQYNKDVGQAILESYSRVIESLAYTVLSRIEDVLYVDSLTKNPSLASSGPTFSLDSSPVSEQTSPNSEGGSGSLNSSDTPPSMTLSDFMGWNSNKVGSDLKRTNSANDLEDLKEKDEKAFTKSPKVRTPKKNYYLDKLECLNAIRSPLARH >CAK8570858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:133926289:133939236:1 gene:gene-LATHSAT_LOCUS23570 transcript:rna-LATHSAT_LOCUS23570 gene_biotype:protein_coding transcript_biotype:protein_coding MKPELYEISDDEWENHSFKPSRVLKRPRSPPPPLDSFAYKPPSQTLSSSDEDDCVEINPNTANTTECLDDLEDADVDDSKAAPAATSTTRRRFIIDDDEDEFGGGDGNGDAVDLFEIDSTEDEIEEENEDDLVGRALQKCARISVELKGELFGSSGVACDRYSEVETCSVRIVTQDDIDVACGSEDSDFQPLLKPYQLVGVNFLLLLYRKGIAGAILADEMGLGKTVQAITYLTLLNHLHNDSGPHLIVCPASVLENWERELKRWCPSISVLQYHGAARTAYCKELNSLSKAGLPPPFNVLLVCYSLFERHSPQQKDDRKILKRWKWSCVLMDEAHALKDKNSFRWKNLMSVARNANQRLMLTGTPLQNDLHELWSMLEFMMPDIFASEDVDLKKLLSAEDTDLISRMKSILGPFILRRLKSDVMQQLVQKTQKVEYVMMVKQQEHAYREAIEEYRTVSQARLTKCSDLNSKNVLELLPRRQINNYFVQFRKIANHPLLIRRIYTDEDVVRFAGKLHPLGAFGFECTMDRVIEELKSYNDFSIHRLLLHYRINDRKGVLSDKYVMLSAKCRALAELLPSLKKSGHRVLIFSQWTSMLDVLEWALDVIGLTYKRLDGSTQVAERQTIVDTFNSDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLGLDAAVLESMEDIKEGDMPEKTMGEILSAILLKY >CAK8540754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19131075:19133741:-1 gene:gene-LATHSAT_LOCUS9726 transcript:rna-LATHSAT_LOCUS9726 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLKNIVPTEITLLLYLVLFLLYLSFPLLVNSSYDILAVNCGHSMDTTYENRTWVGDIEGTKLFSVTEPQTKYKSIKAKPTHHHTSDSVNKIPFTSARISFSSFTFSIPTSILNTVPGTVFLRLHFYPTSYQNYDTSNPHFTVMAGNNITLLRDFNPSLWLQNGDKTITKEYCLTTQPGENLNITFIPTIINQSNSYAFINGIEIVSMPSFLYYTNLGDPNYVFKLVDYENNDYIIRNDKALEMVYRVNVAGSQVPPADDTGMFRNWDPDYPLYLEKEYPNSISTDFVHHINYVPNTIPNYTAPEAVYLTARSYGMDATEEYNVTWNFEVDSAFTYMIRLHFCEFDPNITNPSDRVFRIFIHDILAEPFADVIAWSGGNNIPVHKDYAVIMNNQEGSSQNERVNLSIKLQRAQGNTFTRHRDVILNGLEIFKLNDKINNLAGSISKSIDLSHPPPVFSTEPSKKFRITTRIIVAIALSSLVLVVVVGVTVFWLRGRFQNTMEDISSKTKNQGSSSLPPHLCRYFTIMEIKAATKNFDDDFIIGVGGFGNVYKGFIDGSTQVAIKRLKQGSQQGANEFMNEIELLSQLRHVNLVSLVGYCNDDTEMILVYEFMQHGTLCEYLYGSNNQPLPWKLRLEILLGAARGLNYLHAEVKHKIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPSGISTTHVSTMVKGSLGYLDPEYYMLQRLTVKSDVYSFGVVLLEALCARPPLVRDFDKKTASLVCWFQRCYDEGAAIEQIVDPFLRDSIKGECLESYCKLALNCLHDDGTQRPTMSQVVGELEYALQLVVSEEDSQFDTSQKEEAHVKTPRFSSDIHFARSQSYKESTISMRLCTQNYSFFDIGKQKPRSYSSHNLKVYI >CAK8565889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347464889:347470219:1 gene:gene-LATHSAT_LOCUS19066 transcript:rna-LATHSAT_LOCUS19066 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEYHLHYRSPLTLNKSKFYLNSHHQTSLLSRVKFRVRPSLCRFQCLASHNSRWVCRSTSETDSATGSSSGEDKTGEGPAVEDADSVSSGSDKRREKQKKGGRWDRRWPELRWSPIFQAKHFGVFLLRFGMLIFVTRMLRSGADLKALTSFVSVPYSEFLNRVNDNQVRKVEVDGVHVMFKLKSGVGNVDDGEVLGGANRMHESESVVKGVAPTKRIVFITTRPSDIRTPYEKMLENEVEFGCPDKRSGGFFKSALIVLCYAAVLAGLLQGFPMNFSQLTAGQILNRKSGTSGTKSSERGETVTFADIAGVDEAKEELEEIVEFLRNPDKYTRLGARPPRGVLLEGVPGTGKTLLAKAVAGEAGVPFISCSASEFVELYVGMGASRVRDLFAKAKREAPSIIFIDEIDAVAKTRDGKFRMVSNDEREQTLNQLLIEMDGFDSNSDVIVIAATNRSDVLDPALRRPGRFDRKVMVEAPDRIGREAILKVHVSKKELPLAKDVALGDIASMTTGFTGADLANLVNEAALLAGRQSKTVVEKIDFIHAVERSIAGIEKKTAKLQGSEKAIVARHEAGHAVVGTAVAKLLDGQPRVEKLSILPRSGGALGFTYIPPTTEDRYLVIIDELLGRLVTLLGGRAAEEVVYSGRVSTGAVDDIRRATDMAYKAIAEYGLNRTIGPMSISTLSSGGIDESGGGAPWGRDQGHLVDLVQREVQTLLKSALAVALSIIEANLTVLEGLGAYLEEKEKVEGEELQKWLKLVIAPKELATFIQSTQETLLPLQESLIPLQESVLPLQTGL >CAK8535171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820538514:820541158:-1 gene:gene-LATHSAT_LOCUS4647 transcript:rna-LATHSAT_LOCUS4647 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTKILFLPFTIFPMFTLVQFSINFSHLKPNFNFNDGVYRTQPTFSFSQFDPKFTSFHLGSFKLRAYRERRSFLGGTVFKNGVLFEEKGCKKKNRVVLVKNNQGFGFNNGGGGGGGRDDGGTARILGNLALAIGLTYLSMTGQLGWILDAIFSIWIFAVLVPIVGIGAFLWWAGRDIMKGTCPNCGNDFQVFKSTLNEELQLCPFCGQPFSVDDNEFVKESVKFSNQSTTFGEAFDNFPRSRNDEDSGRAIDVEAEIKDVD >CAK8535172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820538514:820541113:-1 gene:gene-LATHSAT_LOCUS4647 transcript:rna-LATHSAT_LOCUS4647-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLVQFSINFSHLKPNFNFNDGVYRTQPTFSFSQFDPKFTSFHLGSFKLRAYRERRSFLGGTVFKNGVLFEEKGCKKKNRVVLVKNNQGFGFNNGGGGGGGRDDGGTARILGNLALAIGLTYLSMTGQLGWILDAIFSIWIFAVLVPIVGIGAFLWWAGRDIMKGTCPNCGNDFQVFKSTLNEELQLCPFCGQPFSVDDNEFVKESVKFSNQSTTFGEAFDNFPRSRNDEDSGRAIDVEAEIKDVD >CAK8536933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40228730:40229299:-1 gene:gene-LATHSAT_LOCUS6257 transcript:rna-LATHSAT_LOCUS6257 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTKPRKRLILKLSYPPGSRNKDENKRRKIEDCVKPIVTCYWVDSNYRTKSSALSQPKNNDNVVEDNQVSKTRALSQPEVVEDKKMIKNQVFKTSALSQTKNNDNVVEDKKVIKNQVSNRVMPNNIDVENKKIIKNQVSKTEIGFNGGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLLFSLP >CAK8572693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556535048:556535339:1 gene:gene-LATHSAT_LOCUS25226 transcript:rna-LATHSAT_LOCUS25226 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVEYPGGPYDTSLLVKYEYHVARHIWFGEERGSKKELKVAGHGLKLIQRVPL >CAK8533224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592605791:592607020:-1 gene:gene-LATHSAT_LOCUS2869 transcript:rna-LATHSAT_LOCUS2869 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFQRFMGDSKRSVKNKAKFEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRKEFDVNKRSQFTLSIFGLPDRPSGKENVHWLTQKELQSARIHVLINCIEVRPYLEELNASYFQSTGEQATTGHIHASFPAWIKDQLSCIVAPTQEILHLRNLSRGPVQRKEKTIYSGVFVKGVTDGGEDDLYGVVTHIYELAYNYLDSENRVVLFYCDWYDPSARGTKIDKKYNIVDIRMDRRYKEYDPFIMSHIVRQVYYVPYPSIQSRKHGWCVVIKTKPLGHIETDDLVEDAAYQEHEISQINDAVEVEEITNLCDTLAEGHQIDASVLLVDNNVDKEHEEFGFEDIIGSDDENIWTKSMKSLNR >CAK8573247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:597425636:597426828:1 gene:gene-LATHSAT_LOCUS25717 transcript:rna-LATHSAT_LOCUS25717 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYLPNQFSVTSEKQTEDSDCLSAMVLTTNLVYPAVLNAAIDLNLFEIISKATPPGAFISPSQIASQLPSSNQHSDLPNRLDRMMRLLASYSLLTSSTRTAEDGSIERVYGLSSVGKYLVPDESRGYLASFTTFLCYPALLQVWMNFKEAVVDEDIDLFKKVHGVTKYEYMGEDKKMNKVFNKSMVDVCATEMKRMLEIYTGFDGISTLVDVGGGSGQNLKMIISKYPSIKGINFDLPQVIENAPPIPGIQHVGGDMFESVPQGDAMILKAVCHNWSDEKCVQFLSKCHKALSPNGKVILVEFILPEEPTSTEESKLVSTLDNLMFITVGGRERTEKQYQNLGKLSGFSKFQVACRAFSCLGVIEFYK >CAK8563261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586956958:586957668:-1 gene:gene-LATHSAT_LOCUS16689 transcript:rna-LATHSAT_LOCUS16689 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLIQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNLKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDL >CAK8568771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639723357:639723939:-1 gene:gene-LATHSAT_LOCUS21696 transcript:rna-LATHSAT_LOCUS21696 gene_biotype:protein_coding transcript_biotype:protein_coding MVDREDRLRLGRVSQHASVRRERRQTTVTEADTVETHLSSSHAQDIPSTSYLTPSSTSSSRRRRNSAEGSSQASSSHRHHRDTPGSPPDVDLVSPLEGDDPPPEDAGDDDDDEPDGFLGGPSDMSLLTGYANHTARHVWDGKTRQPQKFYNHGWKILSLE >CAK8537075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:77907680:77908089:1 gene:gene-LATHSAT_LOCUS6391 transcript:rna-LATHSAT_LOCUS6391 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDMSLLVKYEQHVAYRIWFGQERGSKKELKVVGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFERGGI >CAK8572380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533422281:533453572:1 gene:gene-LATHSAT_LOCUS24951 transcript:rna-LATHSAT_LOCUS24951 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVGSVGNPNNSTRPRKEKRLTYVLNDSDDTKHCAGINCLAVLKSESSDESDFLFTGSRDGKLRRWALSVDAAACSATFESHLDWVNDAVLVGDSTLVSCSSDTTLKTWNALSTGKCTRTLCQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDIEAALASAAKCNDAMDVDTSNYVNGSGNLLPMTRLRTIGSSNSISMQTQTEGYIPVTAKGHKESVYALTMNEGGTLLVSGGTEKVVRVWDPRSGSKTMKLKGHTDNIRALLLDSTGRFCLSGSSDSMLRLWDLGQQRCVHSYAVHTDSVWALASNSTFSHVYSGGRDSSLYLTDLHTRESILLSTGEQPILQMALHDDSIWVASTDSSVHRWPAEVGNPQKIFQKGNAFLAGNLSFSRARVSLEGSTPVTEYKQPTLTIPGIPAIVQHEVLNNKRHVLTKDTSGSVKLWEITKGVAIEDYGKVPFDEKKEDLFEMVSIPAWFTVDTRLGCLSVHLDTPQCFSAELYSQELNIVDKPEDDKINLARETLKGLLAHWMRKRKQRMGTPVSANGELSSENEIGTRSLSHSRVEVDGSSENEAVVYPPFEFSIVSPPSIITEGTLGGPWRKKITNLDGTEDDKDFPWWCLDCVLNNQLPPRENTKCSFYLCPCESSNVQILTQGKLSAPRILKIHKVINYVIEKLVLDKPLDSVDAQGSFPPGIAGSNLQNQTAGDDSFRSGFKPWQKLRPSIEILCNNQILPPEMSLATVRAYIWKKTEDLALNYRVIHGR >CAK8577546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572866626:572868652:1 gene:gene-LATHSAT_LOCUS29644 transcript:rna-LATHSAT_LOCUS29644 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTIRTFFLFSLLLLFFFTVFAHSGHDDDSDADADADADSGAEAPLKLRSKSLILAKVWCLIVIFLATFIAGISPYVLRWNEGFLILGTQFAGGVFLGTALMHFLSDANETFGDLTDKEYPFAFMLACAGYLITMLADCVISSLLEKPHGSRADVEGQGAEKGGNGVTSQSQYQGSTGTNDHDHASASSIEDTVYIFIYVYIIALCAHSVFEGLAIGVSKTRADAWKALWTICLHKIFAAIAMGIALLRMVPNRPLLSCAAYAFAFAISSPIGVAIGIVLDSTTQGNVADWIFAISMGLACGVFIYVSINHLFSKGYVAHKHTKVDSPYMKFLAVSLGIGVIAVVMIWDT >CAK8561465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:235273570:235273830:-1 gene:gene-LATHSAT_LOCUS15054 transcript:rna-LATHSAT_LOCUS15054 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLMEQMKTRPNGGKDNVMFSVDERNHLLHGCILYKETGDFLDGKDQLWIQW >CAK8536686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7195046:7195528:1 gene:gene-LATHSAT_LOCUS6022 transcript:rna-LATHSAT_LOCUS6022 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKPANRPDTISRVFIMKFSELLCDLTKKGVMGKVLAYMYTFEFQNRGLPHAHIIIFLHPSNIYPNPADIDRIISAEIPDGETDTELYNLVKSHMIHGPCDVGNKSAPCMRGVNVPSIF >CAK8565267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:91005083:91005850:1 gene:gene-LATHSAT_LOCUS18493 transcript:rna-LATHSAT_LOCUS18493 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSYILLQVDGDTSTNDTVIALASGMSGLSHISSLESYEAIQLQACLDAVMQGLAKSIAWDEEGATCLIEVCYFTLAIILPQSFSWLEVFD >CAK8574806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9800448:9801008:-1 gene:gene-LATHSAT_LOCUS27112 transcript:rna-LATHSAT_LOCUS27112 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYFLTLFFVLLLSCNTFSSSSSSEKENTFDFNKKEKLSHFRFYWHDLRSGKNPTSIEIVAPPMKLNSTTGFGFVDMIDNPLTSEPQLSSKLVGKSQGFYASASQVEVDVLMAMNFVFVEGKYNGSSITIFGRNPVMNKIREMAVVGGSGVFRFARGYAQASSYSYDPKSGVAVVEYNVYVFHY >CAK8538025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459352962:459353432:1 gene:gene-LATHSAT_LOCUS7266 transcript:rna-LATHSAT_LOCUS7266 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPDPNDAAVWTSRTPIIRFTTVEMHQSDRVKLQFGMHQKIPDAPVELGQLHQKRVDAQWSVNHWNEFANELCKHWKRRRQHVLTDRIVHGARPTLQYMTWFRSVTTSQPFLSQPTYLVDPRQRASSSNTQQQSSAQNQPYENPYMPPT >CAK8569947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16975123:16983045:-1 gene:gene-LATHSAT_LOCUS22743 transcript:rna-LATHSAT_LOCUS22743 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFKALLPRTRFSSRSLCSHRRAFSAQPDYAERNDDDEDSQNQILVEGRAKSRTAILNRPSSLNALTTSMVARLKRLYDSWEENSDIGFVLMKGRGSAFCSGADVVRLYHSINEGKTEEAKEFFKTLYSFVYLQGTYLKPHVSILNGRTMGCGSGISLPGMFRVVTDKTIFSHPEAQIGFHPDAGASYLLSRLPGYLGEYLALTGDKLNGVEMIACRLATHYSLNARLAWLEERLGKLVTDDPSIVEASLSQYADLVYPERSGILHKIDTIDRCFSHDTVEEIVEALEKEAADSLDQWCLTTLKKLKEASPLSLKVILQSIRESRFETLDQCLVREYRVSVRAISKQVSSDFIEGVRARMVDKDFAPKWDPPSLKDVSENMVDHYFSPFDEFEPELVLPTALREPYM >CAK8567993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561869937:561870176:1 gene:gene-LATHSAT_LOCUS20996 transcript:rna-LATHSAT_LOCUS20996 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNSNDLDKLFWDLIEDGFMDNTDEELLMSMLEKERQYGSFFRRKRRTMICRSREEGHNRLFNDYFSENPVYLDVQL >CAK8566167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386957383:386960368:-1 gene:gene-LATHSAT_LOCUS19326 transcript:rna-LATHSAT_LOCUS19326 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPHRRAVSGDVESRDDIVDASGDSNGEHEEVSVEKGFEGKSVPTWQKQVTVRAVFVSLLLSVLFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLGKAGMLNQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSPTIAKQIPESGSPIDTKVPGLGWMIAFLFVVSFLGLFSVVPLRKIMIVDFRLTYPSGTATAHLINSFHTTEGAKLAKKQVQALGKFFSFSFAWGFFQWFFTAGDACGFVNFPTFGLEAYQEKFYFDFSATYVGVGMICPYIINISLLVGGILSWAIMWPLIDGKKGDWYPADLKQTSLHGLQGYKVFIAIAMILGDGLYNFVKVLGRTLFGLYNQWTKEKGVQSNDSNDHLSQIVSFDDRRRTEMFLKDQIPSWFAVTGYVIIAIISIVTIPYIFHQLKWYYIVCIYIIAPALAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGSSNGGVIAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPKSMFVSQVIGTTMGCVISPCVFWLFYNAFPSLGEPGSAYPAPYAQVYRNMAILGVDGFSALPKNCLTLCYVFFAAAIVINLVRDLLGKKYAKFIPVPMAMAIPFYIGSYFAIDMCVGSLILYIWQKLDRAKADAFGSAVASGLICGDGIWALPSSFLALAGVQPPICMKFLSRGDNIKVDGFLTG >CAK8568022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565207762:565208262:-1 gene:gene-LATHSAT_LOCUS21023 transcript:rna-LATHSAT_LOCUS21023-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSYQSHLKNTQQDFNFLKHEKSSKPLQEEEQEEEWLNLGLGLGTSHNPILVSPSSTSQKLCCPQIGLGLGFQDYDSALESKKGKEGLENLNFSNEHHYHHNDDDNGKVIGSSSLSSSCEIMNPRGEDLAMKFPSDSHHYLARNNHNQSGFWFTLRSFTNR >CAK8568021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565203077:565208262:-1 gene:gene-LATHSAT_LOCUS21023 transcript:rna-LATHSAT_LOCUS21023 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSYQSHLKNTQQDFNFLKHEKSSKPLQEEEQEEEWLNLGLGLGTSHNPILVSPSSTSQKLCCPQIGLGLGFQDYDSALESKKGKEGLENLNFSNEHHYHHNDDDNGKVIGSSSLSSSCEIMNPRGEDLAMKFPSDSHHYLARNNHNQSGFWFTLRSFTNRNGEALPQISKEFIRVKDENMTVLMVKKYLVTKLGLSNEDEIDMFCMGESLLHVQTLKQVRDTIWLPRLVEYVDSTTLSIGDFHEVTMNHLMSLIYQKHCILN >CAK8535120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815351141:815351449:1 gene:gene-LATHSAT_LOCUS4597 transcript:rna-LATHSAT_LOCUS4597 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSVAALSFLFLVLFVAQEIVVTEAKTCEHLADTYRGVCFTNASCDDHCKNKAHLISGTCHNFKCFCTQKC >CAK8565028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:28568842:28569618:1 gene:gene-LATHSAT_LOCUS18269 transcript:rna-LATHSAT_LOCUS18269 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNWIDNIPRKKWSRAFDGGQHWGHMTSNLAEAINFVLKATRNLPITALVQSTYYRMSSLFGKRGHKWTKMLSSGKVFTDGCNKGMADEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDAEKEKRMCGICREIGHMRRKCPNVAGPSNRPNR >CAK8536457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942945238:942948953:-1 gene:gene-LATHSAT_LOCUS5824 transcript:rna-LATHSAT_LOCUS5824 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSRFRTVAGNLNCTAKRFSSSSTTTTTTSAPSELQQNLARLRARLATESPSLSDFISLKSDNAYSVEVGTKKKPLPKPKWMKESIPGGEKYVQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDSDEPTNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFTETVQKLKALKPSTLIEALVPDFRGNAECVEKVSKSGLDVFAHNIETVEELQSAVRDHRANFKQSLDVLMMAKEYAPAGTLTKTSIMLGCGETPDQIVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYQTLGMEMGFRYVASGPMVRSSYKAGEFYIKSVIDSDRAASS >CAK8578867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659687804:659689598:1 gene:gene-LATHSAT_LOCUS30849 transcript:rna-LATHSAT_LOCUS30849 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTYQFNDPYECSIDPSFFTMSNPILDDLGAINNIDINNEHTSMFQQNLTNLSINNNNLPHQNHHGVFQQEHGTNFNDHFPSPLFQQNHHGSDTNSDPFDYTTMSQENHHDSVSDSFFDGMPQQMHEDQQTQPVNQIPVLPNQTDAMTLDQWPPAPIPYFCSCCQVLREIIHANGVQFEKLEIHGRLGLITHAIHHQTPVNGNPPINQMIDFSMRNLDEIKKFLAQYCMDRILAGYFILQDPLSSYYETLCTGLDWIEDFNMEGLDNNNQNNSDEMVEQEQCENGTPTTSVDKKDLSEQRKRAGKLTLSDLCNHFHLPIEEASEKVDLCPTVLKKTCRKAGLTRWPHRKVKSLLKQIALLESEMERQDAATRAMTEKDISELKEEMIRHCGGLIPTAMYNIAAFLPPQHQQGR >CAK8567428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513606606:513608618:-1 gene:gene-LATHSAT_LOCUS20482 transcript:rna-LATHSAT_LOCUS20482 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRKACQLVKEFSSGEKGQLTPFNNDLFDQVISECSQHHLELQALIRKMQEEGLDVQTARNPDHYGALIHLFSIVRNKRCLTAYVYNRAETMRNLLWKIGPVIPKGIEEKLNHWEEEYFKKHSAALRDYMSKALVDLTVDMVPPKDPYIQVRVLEDIREGIVLSDDKNPNFARHSMHFLKRTDAEKYISRGLMEELTG >CAK8566835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466301688:466304941:-1 gene:gene-LATHSAT_LOCUS19940 transcript:rna-LATHSAT_LOCUS19940 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLSPTHHVIVQALLSRGPLKEKDIHSMFEDLTKKSPGTDRRLFDAFILAINKALTCANFELRACIDQYDGHVYYGVVNTVSDEQSKLGTKYTVPQIAFYKAIIEAIVQDDTANGFISTIGALNLNLDSQVTIGTGSESQGGQQQSHGSQPQVPYAFKSFNLSQKEKTLNELVRDSWLNLTTDGDVRLGVKSFLDLRSWFRSNDVPSCQVCNEAGIKAELCKNENCTVRIHHYCLKQLFSQIKAAKVCPSCGTSWPFTIPKAEYVQTEDDNGPRQSQRATGSNGKKRRANMIVEDDEVGCSNQDELNEHRGSQHDNGRARTRTRLRRTHEADIAGPSASQSSSAVTDLRRVTRKSSRPT >CAK8544148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667519362:667525168:1 gene:gene-LATHSAT_LOCUS12850 transcript:rna-LATHSAT_LOCUS12850 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHHPSNTFSFISKGWGEFRDSANADIRLLKDRAKSFSNLTTSFDREIENFINSAAPGFSVPAMNSSPPAEIEFVKKLQPKLSEIRRAYSSPDFSKKVLEKWKPRATIRIDLTAIKNAIVSEELGDGIVDVERGVKGRRLGFWEGESESETRDWEPIRALKTKIREFEKRSSSVEIFDGFKNSEFLEKLKSSWKSMCKEPQESKEVPPLDVPELLAYFVKQSGPFFGVSRDTCDRIVESLYSKRKNQLLLQSISEEESSLLGNGNINDELDLRIASVLQSTGHRYEGGLWTDRPKQDFSNNERHVAIVTTASLPWMTGTAVNPLFRAAYLSQSKKQKVTLLVPWLCKSDQELVYPSNLTFASPEEQEGYIRNWLEERTGFKAEFKISFYPGKFSKERRSILPAGDTSQFIPSRDADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFFVKHINNWVTRSYCNKVLRLSAATQDLPNSVICNVHGVNPKFLKIGEMIAAERELGQKAFTKGAYFLGKMVWAKGYKELIDLLGKHKTDLDGFNMDVFGSGEDAHEVQSAARRLDLNLNFQKGRDHADDSLHRYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFNSFPNCLIYKTPEDFVAKVKEALENEPYPLTPEQRYKLSWEAATQRFMEYSDLDQILNKEKDGVKSSRDKGKLIGKSASMPNLSELANGGLAFAHQCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >CAK8537989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:454396206:454396982:1 gene:gene-LATHSAT_LOCUS7233 transcript:rna-LATHSAT_LOCUS7233 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHQKIHPFHDVDLETPPPPQQQQPSAPLVTRNMSKSDDVQHQQQQQQPGIPMNHHPKSPKKRRSCCCRFFCWLFSILLILIIAIAVAIGILFLAFRPKIPKYSVDELRVTRFDLSNNNSLSVTFNLSITARNPNKKIGIDYRGGSHISAWYTDTKLCEGSLPKFYQGHKNVTVLSIPLTGETQNATGLRDSLQQQFLQEGSVPLNLKVKQPVRIKFGKLKIFKINFRVRCRIVVDNLSANNSIRIRSSSCKFRFKF >CAK8578091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605966743:605967420:1 gene:gene-LATHSAT_LOCUS30132 transcript:rna-LATHSAT_LOCUS30132 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLEAKTNGISANNFDIEIPVHATKERNENESNINYFQRAQWLRAAMLGANDGLITVASMMIGIGAVKEDISVMILTGFAGLVAGACSMGIGEFVSVYTQYDIEMAQMKREREANNNNNGVVDDGSTEGEKLPNPFQAALASALAFSVGAVMPLLAASFIRNHKMRMGVVGAVVSMALLVFGGVGALVGKTPVMRSCVRVLIGGWMAMAITFGFTKLIGRTGL >CAK8566269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398571719:398577290:1 gene:gene-LATHSAT_LOCUS19412 transcript:rna-LATHSAT_LOCUS19412 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSAISDQASPVKPSPSPFPDFTMPPPPPPPAVLAVRSDSVPRHSQSQSIGSQRESPAVVLDVKINDLVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIIVNHETEKGSKVIGEESIRRINRNRNSHPYQNRRKPFGEIHLKVSTIRESKSDDKRFSVFTGMKRLHLRAESREDRVAWMEALQAVKDMFPRISNSELMAPVDNITISTEKLRNRLLEEGVGEAAIQDSEQIMRTEFAALQNQLVLLKQKHSILIDTLRHLETDKVDLENTVVDESQRQWNDQENSNGLMLEKFSDGSASESEDDNERNDAAEEETDEDDNVFFDTRDILSSSSFKSNGSDYRVSSFSSDNDTFESEEDVDSSNKYIGTNHPHVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEEMEYSYLLDQAYEWGKRGDRLMRILYVAAFAVSAYASTEGRICKPFNPLLGETYEANYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFSGDSNLKSKFWGRSIQLDPVGILTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNQEYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKTVSTLFGKWDESMHYVNGDYTGKGKGHESLSEARLLWKRSKPPMFPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQQQRQARKMQESGWKPRWFAKDKASGTYRYMGGYWEAREKRNWDSCPDIFGQISPDHLSDEIQITS >CAK8577036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532885309:532887238:-1 gene:gene-LATHSAT_LOCUS29179 transcript:rna-LATHSAT_LOCUS29179 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPQYPSVAQKLGGHSYLVSRLSSNPSYRNYSTSGGFTDGGVHASGLAIASPLSMFTVPSPAEKGPSAFLVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGDCFARTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLAFVYSLDYARTRLANDAKAAKKGGGRQFNGMFDVYAKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGDMQDSFFASFFLGWAITIGAGLASYPIDTVRRRMMMTSGEAVKYRSSLHAFQTIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLVLFGKKYGSGGG >CAK8539054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502537925:502541502:-1 gene:gene-LATHSAT_LOCUS8188 transcript:rna-LATHSAT_LOCUS8188 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATFKRKKNHTCTEKSRVIGVFLTGVLRRRLIHRLLISAISVCVLLLFSMLSLFAPSSAMENNLVRSRFILNHQSEFYVPASGDSYRHDLWNSTNSQLFVGCSNAGFNFAKTNSKTHPDRYVLIVTSGGLNQQRTGIIDAVVAAYILNATLVVPELDHASFWKDNSNFSELFDTDWFIKFLRNDIRVIKQLPLMGEKFVAPYTVRVPRKCTPKCYEDRVLPVLIKKRAVRLTKFDYRLSNMLDDDLQKLRCRVNYHALKFTDSIQGMGNLLVERMGMKSEHFIALHLRFEPDMLAFSGCYYGGGDKERSELGVIRKRWKNLHASNPEKERRHGRCPLTPEEVGLMLRALGFGIDTHMYVASGEIYGGEETLAPLRALFPNFHSKETLATKEELTPFVSFSSRMAALDYIVCDKSDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNGKKLNPLFMNKHNMTWEEFAPRVRKFQVGFMGEPNELRPGSGEFHENPTSCICQKSGSPVKIEGFGPIKVENRTEEQPSEEDREWSELDYDLDNYKNQVQLKETKTDSIPLQTGTDQVEVQEFFSD >CAK8543911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648947568:648948853:1 gene:gene-LATHSAT_LOCUS12634 transcript:rna-LATHSAT_LOCUS12634 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGSSSNAQQNDSSRGSHDASKSNLTEKEDFVNPAEIAWNKMRKEWIGDQSKKLQRPSKDSAICLTACSDDMLFSREPFCPPIPLPAMVGYFVKIWEEQGLFEIANR >CAK8577374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558293449:558294483:1 gene:gene-LATHSAT_LOCUS29488 transcript:rna-LATHSAT_LOCUS29488 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSELEKQQPEQQPQTHHHSHSQSHHQPNEPTNKEELRSPSRSENLLLSPQQNIEPSRKVVKAKYETQSAAPKPLIKQLGFPYNFENILKDADSPVDKSSREKMFDQLQAGVFLHSKTKKYWVEKKSNANCFMLYARGLSITWGENPLYWKWIQQKDASDGVNEVAELKRVCWLEVHGKFDTRKLSPGILYQVSFCVMLKDPAQGWELPINVRLVLPGGKKQQYKVNLMEMLRGRWIEVPVGEFIASEKDGGEMEISMFEYEGGMWKQGLVIKGIAIKPKV >CAK8560530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23736977:23737990:-1 gene:gene-LATHSAT_LOCUS14194 transcript:rna-LATHSAT_LOCUS14194 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLLGYERFDLSRPNIANELKIFLQRHQLPLGKDSRTGITEMVSSVGHSCEKNSDLLSQFMRYKLSGTCPDDWSLAQKLILKGCEPLPRRCFSKTVSKLGFFPLPVSLWKPPLGSNTFNWSGLNCKSFECLKGKKLSRDCVNCFDLVSGGNENQRFLKGKSKNDFLIDDVLGLGNGGIRVGFDIGGGSGSFAARMFDRNVTVITNTLNVDAPFSEFVAARGLFPIYLSLDHRFPFYDNVFDLIHASNALDIVGGKAEKLEFLMFDIDRVLRAGGLFWLDNFFCDSEEKKQTLTRLIERFGYKKLKWVVGEKVDSFGLGKPVVVLSAVLQRPVRSG >CAK8564743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7984918:7986725:-1 gene:gene-LATHSAT_LOCUS18015 transcript:rna-LATHSAT_LOCUS18015 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSLFLILYLSISFATSSTLLDKIFMHCMLTKVHNSIEKTMFINSSCLLYPKVLESLEQNPRWLSSSNKPLFIVTPSHESEIQAAILCSKEIGLQVRVISGGHDYEGLSYICKTPFVLIDLVNIHSIDIDLVDESAWVQAGATLGELYYKISETSRVHGFPAGTCPSVGIGGHISGGGFGTLSRKHGLAADHVIDAYLIDVNGKIHDRKSMGEEVFWAIRGGSATSFGIILAWKIKLVKVPSIVTAFTIETTSEQEATKLIHRWQYIEHKLHQDLFIRVLAQNNGPSPNPNSKTIKAIFNSLFLGRKEKLIAIMNSSFPELGLRLKDCIEMSWIQSTLYIAGYKKSDPLELLLNRTTNYKSSFKAKSDYVKTPIPERGFDGILRMLRKEDSFALLILEPYGGKMNEISESEIPFPHRKGNLYNIQYMVKWDADGIEESNRHMKWMRKLYTYMSPYVSKSPRASYFNYKDLDLGSNKNRNTSYAEASVWGIKYFKGNFRRLAEIKSKFDPHNFFRNEQSIPLIK >CAK8540179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543240362:543241779:-1 gene:gene-LATHSAT_LOCUS9209 transcript:rna-LATHSAT_LOCUS9209 gene_biotype:protein_coding transcript_biotype:protein_coding MVHARVFGAFAGRALMAAAAKGASKKTAASAAAASTTVVKKTPVSRGSGGIQKVVPVTSELGKFIGSPQVSRTVAVKKVWEYIKLQNLQNPDNKREIFCDDKLKTIFDGKDKVVFTEIARLLANHFVKSP >CAK8530496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24726136:24728623:-1 gene:gene-LATHSAT_LOCUS354 transcript:rna-LATHSAT_LOCUS354 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLGTEKHVVDNGWSPIGAPLNVQRDEQQQHWTNNNNNSFDSSVNAVSFGFVATAILISMFLLMAIFERFLAPSSQALFPNRRRSRRAVESPMRKLSHPSPKMSIFTSWVSVLMPGDEIPTFIAHPAPVPCRPERISWPSHHHTALSCSSSNVMANINQV >CAK8576111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408642130:408646597:-1 gene:gene-LATHSAT_LOCUS28325 transcript:rna-LATHSAT_LOCUS28325 gene_biotype:protein_coding transcript_biotype:protein_coding MRATEIALVLIWFLGVYVPACFCTNVTYDHRALLIDGKRRVLISGSIHYPRSTPQMWPDLIQKSKDGGIDIIDTYVFWNLHEPVRGQYNFEGRGDLVGFVKAVAAAGLYVHLRIGPYACAEWNYGGFPLWLHYIPGIKFRTDNEPFKEEMKRFTAKIVDLMKQEKLYATQGGPIILSQIENEYGNIDISYGPAAKTYINWAGSMATSLDTGVPWVMCQQANAPDPIINTCNGFYCDQFTPNSNQKPKMWTENWTGWFLAFGGAVPYRPVEDLAFAVARFFQRGGTLQNYYMYHGGTNFGRTTGGPFISTSYDYDAPIDEYGIIRQPKWGHLKDLHKAIKLCEEALIATDPTISSPGPDLETAVYKTGSVCVAFLANIGTSDATVTFNGDSYHLPGWSVSILPDCKSVVLNTAKINSASMFSSFATESLQQEVDSLGNSSSGWSWISEPVGTSKADTFSKPGLVEQINMTADKSDYLWYTTSIDVQDISGAQPVLHIESLGHALHAFINGKLAGSGVGSSGNATVKVDIPITLVSGKNKIDLLSLTVGLQNYGAFYDLVGAGITGPVTLKGLKNGSTVDLSSQQWMYQIGLQGEDLGLSSGSVGQWNSQSTLPTNQPLTWYKTNFIAPSGSNPVAIDFTGLGKGEAWVNGQSIGRYWPTYVAPTSGCTDSCNYRGSYSSSKCLKNCGKPSQTLYHVPRSWLRSDSNILVLFEEKGGDPTKISFTTKQIESVCSHVSESHPPPIDTWNSDTESGRKVGPVLSLECPYPNQVISSIKFASFGTPHGTCGNFNHGRCSSKKALAIVQKACIGSRSCNIGVSNNAFGNPCRGVTKSLAVEAACT >CAK8574908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14925491:14926000:-1 gene:gene-LATHSAT_LOCUS27207 transcript:rna-LATHSAT_LOCUS27207 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTNVASTSAKKVILKSSDGEIFEIDQKVAMELQTITHMIEDDCADDTGIPLPNVTSQILAKVIEYCKKHVEAAAAADSDEWPADENTIKIWDAEFVKVDQDTLFDLMLAANYLDIKSLLDLTCKTVATMIDGKTPEQIRSTFNIKNDYTKEEEEEVRRENKWAFD >CAK8577372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558021783:558022679:1 gene:gene-LATHSAT_LOCUS29486 transcript:rna-LATHSAT_LOCUS29486 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLTMTQIPITRKELHMFYSIDRELFCFLIFKLHYEVTASLLVMALWLWLEKIGYHNLIHKVASLQGTLINALVNEALTCLQFLERDQPPIPIGGGLPLTKLLMKKDISLEMFTLKRYTAITGIKIVLNNTCARVFIDLLQIALKRKNIIETRGSTTPRIHTLNMPLILPGFPHPLFGSFNLLPTIENISLSDERIWIQKKPYDDATNDDKSLFLTFSRGFPVSEMEVRYLFNTNYGDCVQSLIMGGNHVKNDQPLFAIMVLKMVEIVDQILFGKRVAKLHINGKHIWARKYEPRL >CAK8532230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:225539783:225542439:1 gene:gene-LATHSAT_LOCUS1960 transcript:rna-LATHSAT_LOCUS1960 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPLLWGREEKSGSKRGKVIWEGLMMMSKNKVEKLKNTVTVRSSRMNLWMIRATTSVILWICIVQLTAFGDMWGPRVLKGWPSCFTQESAIVELPSIPPRVLPPKRVYKNNGYLMVSSNGGLNQMRAAICDMVAIARYLNVTLIVPELDKASFWADPSEFQDIFDLEHFITSLRDEVRILKELPTRLKRKVENGFLYTMPPISWSDMSYYKNQILPLIQKYKVVHLNRTDARLANNGQSLEIQKLRCRVNFSALRFTPQIEELGRKVINLLKQNGPFLVLHLRYEMDMLAFSGCTQGCNSDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRAFDIDQNIQIYIAAGEIYGGSRRMASLAKNYPKLVRKETLLEPSELQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGFKKTILLNRKLLVELIDQYNNGEMNWNEFSSAVKHSHADRMGGPTKRLVIPDRPKEEDFFYANPEECLEPSEDDTSRSTA >CAK8534776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:766531806:766537260:1 gene:gene-LATHSAT_LOCUS4290 transcript:rna-LATHSAT_LOCUS4290 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSTTKSAKEKENDRGISSHILTLHRRLLHALNLGTRHFDEKTNRWKWQCVNIEVQKNVLRSITAFLDSIAGDARAMRHTIVKESAADILGALLWILQCKNEPLLSMASNVAVKLVSVLPSQQLQLRLLDLVYCLSSLLSSHQVEVAIPSATALNLVISNLSATSEKAVIEALKETEISICIVQNIKDCGAKKIEYFVEMASLLSTVLLRWSSSRFPVCNDVELMKVLANLHTKTDSSIKLVLLKLYTSLALCDSVVQKLIDGGKVFLQMIVQAMGKSNPHDVRIEGFRLAQCLLRSQENCLKVMDLCGEALVDAIISGMRETGPSSKKLESNYGSVLVEACKLALVTRWAGDHHIRFWKQGIDRVLLSLLIENIHDQSTELALSLEKQISMVKEGLKVNYHVGLRSYVWDILGWLTIHCGENSNPYSYTHESELHINLLIMCACFTFVEAIEKWCRICQNDVDDNFQSEPVSRAVLMMIYSPCNYISSHARFVLSDILKVKGNPCLKNSLHTLDYIASLKSYSSFDKLQLVINLIGMTCLSSLPQYQRCIIESRGIKAVVVLVQRCVSNDIHVERPEVAPHLHTVFHKRSCCWIGKGGWEGSNILLFYGLWGLAEFLHQCCLLPDNPQQFTKEVTNINTELVNKLHEICSSTSFSPGVKWYVSYILSYFGLYGFPNEFSKRIGKSLKKEEYADLRFIVVNGDSVSVHGVILAVRCPSLLPSEVLSSSKSSKEITDHFVGETVREVRYSSHVDYEALLLLLEYVYLGHLHAAEEETVKKLKILAKRCNLQPLLQLLCRQSPKWGTPFPSFSLTSSLDSAGSYFFDVIMEAKSNGFVGWTCNICSHPVPHLHAHKVILQSGCDYLQGLFRSGMQESHSQVIKVDISWEALVKLVHWFYSDELPDPPSGCLWVNMDDQEKLFHLQQYVELCWLGEFWILESIQEACWNSIMSCLGSSKQLSIKIIKMAYKLSLWKLVDIAANLMAPSYRQLRDSGELEEFDEALVHFIYSASIELNHEGEKRFR >CAK8579378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694843631:694844128:-1 gene:gene-LATHSAT_LOCUS31329 transcript:rna-LATHSAT_LOCUS31329 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHITKMFMDYMNDDMDEERVRLYMEEEASSSRRPRRQRRNIESNRKEGHDRLFKDDFSETSIYTNEQFRRRYQMHKHVFLRIVEALGQHDEYFRMMVDVTGRASLSPLQKCIVVSIVVTSMLTYGTSADSVDDYLIIGETTTLKCVDKFTRGVINIFGA >CAK8537319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:233409124:233410374:-1 gene:gene-LATHSAT_LOCUS6616 transcript:rna-LATHSAT_LOCUS6616 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPYDPYAGYPVPLVPMPASVSIAAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEVCKLLFIFVSFIMRKLSSKPMYS >CAK8561310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144447969:144451252:1 gene:gene-LATHSAT_LOCUS14917 transcript:rna-LATHSAT_LOCUS14917 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLTKSLTVCSLPTTLQQIASHQSKGVAKVVLKKGKTQLFKDGNPMVYSGAVDRIIGRPPPKTGDIVLVSDGTEKPIGWGLYNSVSMFCVRLMQLEDEATSDSACALNMEKLLETRIDAAVELRRRLDLPSVHTNAYRLINSEGDRLSGLIVDVFRDVAVVASSAAWVEKYKSEIEACIRKINYINHINWRPSLDILKEDGVNVLDSKEILPSTCLERTKIMENGIVYTISLKGQKTGFYADQRESRQFISKISDGQKVLDLCCYSGGFSLNAAHGGALNVTGVDSSMPALELAKENVVLNSIDPERISFLKEDATEFMKAALLRGESWDIVIIDPPKLAPSKKVLHGASGMYRNLNSLAMQLTKKGGLLMTCSCSGAVTQSGIFLRILQGAASMAKRKITVLRDAGAACDHPIDPSYPEEFLF >CAK8561311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:144447969:144451169:1 gene:gene-LATHSAT_LOCUS14917 transcript:rna-LATHSAT_LOCUS14917-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLTKSLTVCSLPTTLQQIASHQSKGVAKVVLKKGKTQLFKDGNPMVYSGAVDRIIGRPPPKTGDIVLVSDGTEKPIGWGLYNSVSMFCVRLMQLEDEATSDSACALNMEKLLETRIDAAVELRRRLDLPSVHTNAYRLINSEGDRLSGLIVDVFRDVAVVASSAAWVEKYKSEIEACIRKINYINHINWRPSLDILKEDGVNVLDSKEILPSTCLERTKIMENGIVYTISLKGQKTGFYADQRESRQFISKISDGQKVLDLCCYSGGFSLNAAHGGALNVTGVDSSMPALELAKENVVLNSIDPERISFLKEDATEFMKAALLRGESWDIVIIDPPKLAPSKKVLHGASGMYRNLNSLAMQLTKKGGLLMTCSCSGAVTQSGIFLRILQGAASMAKRKITVLRDAGAACDHPIDPSYPEGAYLTNILLRVS >CAK8563631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621302587:621302895:1 gene:gene-LATHSAT_LOCUS17023 transcript:rna-LATHSAT_LOCUS17023 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKMVSERSVVIFSKSSCCMSHTIKTLFCDFGVNAAVYELDEIPRGREIEQALLRLGCSPSVPTVYIGGELVGGANQVMSLHLNRTLIPMLKKAGALWV >CAK8536239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920888778:920893785:-1 gene:gene-LATHSAT_LOCUS5627 transcript:rna-LATHSAT_LOCUS5627 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSIASPSDAPPVQFNLPPLSLSLFDPEPSTHQQPNGVVHGSAPPHYSEPSSATSSGYAAERGSTSTATSVSHEIEELNIHDPPSVPNDSNAASFPGKRHLDEDDSSTSWRKRKKHFFVLSHSGKPIYSRYGDEHRLAGFSATLQAIISFVENGDDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRAQLDLIYGQMIVILTKSVHRYFEKNPKFDMTPLLGGTDTVFSSLIHSFNWNPATFLHSYTCLPLAYAVRQAAGAILQDVADSGVLFAILMCRHKVISLFGAQKASLHPDDMLLLTNFVMSTESFRTSEAFSPVCLPRYNPLAFLYAYIHYFDDDTYLMLLTNNSDAFYHLKDCRIRIESVLLRSNVLGEVQRSLLDGGMHVDDLPPSSHSGSSSHLGQNRLQPDSPERLRESNSGVGGAAGLWHFIYRSIYLDQYVSSEFSAPINTPQQQKRLLRAYQKLFASMHDKGIGPHKTQFKRDENYVLLCWVTQDFELYAVFDPLADKATAIKTCNRVCQWIKDVENEIFLLGGNPFSW >CAK8539105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503943433:503952402:-1 gene:gene-LATHSAT_LOCUS8233 transcript:rna-LATHSAT_LOCUS8233 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMNDESLLARIRLLEHERDELRKDIEQLCMQQAGPAYLAVATRMHFQRTAGLEQEIESLKNKLASCTRDSLNLQEELSEAYRIKSQLADLHSAEVAKNMEAEKQVKFFQGCVGAAFAERDHAIIEAEKAKEKEEAMSQQIDGFLKRIEELTSVCLKQKEFHDALQSDQAMYTEQNENFKKVINKFFQIRQYSQKECDDSSWDVKCTCLLDDSEELWSFNDASTSKYISALEEQLDKVNNSVDYLQSKLRVGLEIENHLKKRVNVMEKKQIYMNKVIENGIADLKHHHFNYKDQILNLLKDGESTIKSIINEIDERIRKFNQNIEPSLVPQRDTEVEENECGDADISPQDETVSQPKSGLGSLALKTDGQGDSSDALAMALQQKVDTLLLLSQQDERHLLERNVNSALQIKNEELKRNLLQVTNEKVKALMELAQLKQEHQLLLEKLDHESKQGVDGGERKLVIRESDGTLKNLLKKSYLRRWIDPLDTRGKEVVSNSNNEGKFIRSNSVDFARMKIENATLKESMDGMERLTSSIHRLRLSLWKVKESVTSEGSVSGVSEALNGVLNEAKLLRTALGSSLPVSLSVETEVSYIRHSVDTVHQECRDEKIDTVSAAGLEMVELLIFAAQMLRDM >CAK8534173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702072599:702073933:1 gene:gene-LATHSAT_LOCUS3739 transcript:rna-LATHSAT_LOCUS3739 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSRKKISKDKGAEPTEFEESVGQALFDLENTNHELKSELKDLYINSAVQVDVSGNRKAVLIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKKGSAAQRPRSRTLTAVHEAMLEDVVLPAEIVGKRIRYRIDGSKVMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEYPVTEA >CAK8533297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601087300:601087710:1 gene:gene-LATHSAT_LOCUS2937 transcript:rna-LATHSAT_LOCUS2937 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSTIFADKTFTLVEARYLSPFIDLDGLSGYSWGVAALVTLYRYLGDASMFSCKQLGGYPTLLQFWIHEYFPTLGKKERIGYQLIMWVSLER >CAK8538720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493739714:493750533:1 gene:gene-LATHSAT_LOCUS7891 transcript:rna-LATHSAT_LOCUS7891 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLKQPGSFAITPHKVSLCILFKIYAPPSQTSVPFPFTSVSQHNRLGLFLLALTKSCDDIVEPKLDELIHQLRLISQDWEASWLIDQLISRLSSISSPDDLFNFFTDIRGILGGPDSGAIEDDQVILDANSNLGLFLRRCILAFNLLPFEGACHLLTNIGIYCKEFSSCHPYEDTSLDDSSSNLETYSEYENMDLENFVYEKVSEEIEARKEASERVPFHLHTPNALLSLVDDIDVPADSVSKQSGKVRGASSYEDPQSNMVQDVDPSSAVFLRTNWQIQGYLQEQADIIEKNGSAVSLNGFEVILRQLQKLAPELHRVHFLSYLNSLSHDDYIAALENLHCYFDYSAGNEGFDFVPPAGGNSFGRYEIALLCLGMMHFHFGHPKLALEVLTEAVRVSQQHSNDSCLAYTLAAISNLLFENGISSTAGILGSSYSPFTSMGISLSVQQQLFVLLRGSLKRAESLKLKRLLASNHLAMAKFDLTHVQRPMLSFGPKSSMKLSTCPVNVSKELRLSSHLISDFSSESSAMTIDGAFSTTWLRDLQKPTGSLVFCQDSGSGSSSDVPQFCAQPTSIPGSVLQVLGSSYILRATAWELYGSTPMSRINAIVHATCFADASSSSDAALAYVKLIQHLAVFKGYKEAFSALKIAEEKFLSVSKSQILLLKLQLLHEHALHGGRLKLAQKLCDELGVLASPVTGVDMEIKTEASLRHARTLLAAKQFREAASVAHSLFCMCYKYNLQVENASVLLLLAEIHKKSGNAVLGLPYALASLSFCISFNLDLLKASATLTLAELWLSLGSNHATRALNLVHGAFPMILGHGGLELRSRAYIVEAKCYLFDTNFNVFEDYNLVIDSLRQSSEELQLLEFHELAAEAFYLMAMVYDKVGQLEEREEAASSFQKHILALNNPQDHDDPLVSIY >CAK8536198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916411070:916413365:-1 gene:gene-LATHSAT_LOCUS5589 transcript:rna-LATHSAT_LOCUS5589 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLVQVRSFRNGYYNHEEPVVVYSSSENPNFFTTLNPLEYQEQLGASAEESSRLFMMQQHHHQQQQYGSYANSLTDFHSHEQKHVSDFGNWRNSTVQHQVSDRFLNNATNSIPCVYGGDKQLGHVMHHTPTSSTLYQNHSLQDIVKSITSNSSDSHMTSLMHHNNGQEICVVNASELQHQPNHLEFGWKNQTDSNPQSLSLSLSSKAHVSRDCGKPLQDLVVGIIPNSKTTSYGNVGPLGPFTGYATILKSSRFLKIAQNLLSEVCCPKFVSTCDVSETEDTSKESHSCSSSSMFHVTKENSADWGSRSSFGVSLRPDYQQNKAKLVYMQEEVSRRYKQYQHQMQMVFSSFESVAGLNSATPYITLALKLVSKHFKSLNNSISNQLKLITEVMQNDSSIATTNNNTHLVDNNNVANVRYMDQCMQKNKVEKVLTGFHDPQQHVWRPQRGFPERAVAILRAWLFEHFLHPYPTDTDKHMLATKTGLSRNQVSNWFINARVRVWKPMVEEVHMLDKKTTGTNESSNQNEGTSGTEGGSCNQPKMDKTVNEFFMHSIPENQIQDMEFGSSIDRNADESAIKEAEQWREEKRSKLECEMSSRMDGTLMDFLPYRHSGHDVGGSLGSVSLTLGLRHGVEGVQHQEVQFRHHHHLGGQMIHDFVG >CAK8562117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:442572744:442581518:-1 gene:gene-LATHSAT_LOCUS15643 transcript:rna-LATHSAT_LOCUS15643 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTKEKASSLRWKILRRALVSNPSPPNPDEQSQLSIKRISRRTTHGFNLIPSHVIDDEHSSNNCNGSSSKDARVCYTLPIPSAPQLFLRQRVDNHTDLSDFQTCNRYNIDNTGLVCNWPSEDVLAHYCLSHRDIFRSKKVIELGSGYGLAGFVIAAVTEASEVTISDGNPLVVDYTQRNIEANSRAFGNTVVKSMMLHWNQEDVSSVADNFDIIVASDCTFFKNFHRDLVRIVKHLLSKTKSSEAIFLSPKRGNSLDLFLEVAEENGLHISVTENYDKEVWKRHEGFLNGADRDSWPSYEKGHCYPLLIRITL >CAK8564026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646743053:646750395:1 gene:gene-LATHSAT_LOCUS17371 transcript:rna-LATHSAT_LOCUS17371 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDEPQLLRSGSVRTGSVLLRRRPSATSVNGLFDSSATVEGESSGEELVKDSSSEDSVSSDQNIDAVPDQNRVNDISAIQFTYRPSVPAHRRIKESPLSSDNIFRQLQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKTGFWFSSKSLRDWPLFMCCLSLAIFPLAAFIVEKLAQQKCIYEPIAVLLHIIITVTAILYPILVILRCDSAFVSGVTLMLLTCIVWLKLVSYAHTNYDLRALANSIEKGEELPSTLNMDYPYEVSFKSLTYFMVAPTLCYQPRYPRTPFIRKGWVLRQFIKLIIFTGVMGFIIEQYINPIVQNSQHPFKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVEEYWRMWNMPVHKWMIRHLYFPCLRSGIPKGAAVLIAFLVSALFHELCIAVPCHIFKLWAFSGIMFQAPLVLITNYLQNKFSNSMVGNMFFWFTFCILGQPMCVLLYYHDLMNRKSKLDQS >CAK8564025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646743053:646750395:1 gene:gene-LATHSAT_LOCUS17371 transcript:rna-LATHSAT_LOCUS17371-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDEPQLLRSGSVRTGSVLLRRRPSATSVNGLFDSSATVEGESSGEELVKDSSSEDSVSSDQNIDAVPDQNRVNDISAIQFTYRPSVPAHRRIKESPLSSDNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKTGFWFSSKSLRDWPLFMCCLSLAIFPLAAFIVEKLAQQKCIYEPIAVLLHIIITVTAILYPILVILRCDSAFVSGVTLMLLTCIVWLKLVSYAHTNYDLRALANSIEKGEELPSTLNMDYPYEVSFKSLTYFMVAPTLCYQPRYPRTPFIRKGWVLRQFIKLIIFTGVMGFIIEQYINPIVQNSQHPFKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVEEYWRMWNMPVHKWMIRHLYFPCLRSGIPKGAAVLIAFLVSALFHELCIAVPCHIFKLWAFSGIMFQAPLVLITNYLQNKFSNSMVGNMFFWFTFCILGQPMCVLLYYHDLMNRKSKLDQS >CAK8578909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661790610:661791214:-1 gene:gene-LATHSAT_LOCUS30888 transcript:rna-LATHSAT_LOCUS30888 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAQWWDMYGYYTPELKRFAIHVLSLTCSSSGERNWSAFEMVHTKKRNRLHQQRMNNLVYVMVNMRLTKKETRNEKPLEFVDIEFDDEFLTIFDEHANNDDKNVHQPIHVEVSVGCETTGSSNPSASPSNNCGFGSNIEEPLSFGDEFDQVEDEDMDENDVIKGLNFQLS >CAK8540587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11502818:11511526:1 gene:gene-LATHSAT_LOCUS9579 transcript:rna-LATHSAT_LOCUS9579 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVARKGKGNVVSSFPGIEDEVADLEPGGVVDWRSLPDDTVIQLLSCLSYRDRASLSATCKTWRVLGNSPCLWTSLDLRSHKFDANVASSLASRCVHLQKLRFRGAESADALLHLRAKNLREISGDSCRKMTDATLAVVAARHELLESLQLGPDFCDKVTSDAIKSIAHCCPNLNKLRLSGIRDVNADAINALANYCPKLTDIGFIDCLSVDELALGNVQSVRFLSVAGTPSMKWSTVSHLWHKLPNLIGLDVSRTDIGPSAVSRLLSSSTNLRVLIALNCPIFEEETSFSASKYKNKLLISLTTDIFKGLASLFFDNTNRGKNVFLDWRTSKSNNDKDLDEIIPWLEWMLSHILLRSAESPQQGLLDHFWVGQGASLLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSYREGLQSEAAKAIANLSVNANVAKAVAEEGGIEILASLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGVQALVDLIFKWSSTGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARNCKYEGVQEQAARAMANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPNEGVRQEAAGALWNLSFDDRNREAIAAAGGVQALVALAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVAALVDLCSSSVSKMARFMAALALAYMFDGRMDEFALVGTLSESVSKSVGLDGARRMALKHIEAFVRMFSNQQAFAAAASSSAPAALAQVTESARIQEAGHLRCSGAEVGRFVTMLRNQSSILKACAAFALLQFTIPGGRHAVHHARLMQNAGAARVLRSAAAAATAPLEAKIFARIVLRNLEYHHIEHTV >CAK8563568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617075892:617077972:1 gene:gene-LATHSAT_LOCUS16964 transcript:rna-LATHSAT_LOCUS16964 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRTMYVQILSILLILLKSTNTESNSRSSECCSNPPTLNPNAGSGHVDKIGAFNVYITGSPNSNSAVLLVSDIFGYEAPNFRKLADKVAAAGYYVVVPDFFNGEPYNPENPNKPFPIWRKEHGMDKGFEDAKLIIKAIKNKGVSYVGAAGFCWGGKVVTELAKSKLIPAVALLHPSSVSVEDIKGINIPISILGAEIDNSSPPELLKQFEEILNANSVTSFVKIFPKVSHGWTVRYKPEDAEEVKAAEEAHQDLLDWFAIHLK >CAK8568517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613501058:613501582:-1 gene:gene-LATHSAT_LOCUS21465 transcript:rna-LATHSAT_LOCUS21465 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSVLQSTFKEKAGDDVERLDGGTVFKLWKNSAGGRSRGRVYGTTDLAINLKHGSTSFIQQPQHSHGSMFGTSFESEKASRIRAEQLAAVTSARLEEATKAIQASNEIARKAFIMQKLDPTNGQSASVVIRSSNPHYDDDLDDQSLSED >CAK8563106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573833281:573833586:1 gene:gene-LATHSAT_LOCUS16549 transcript:rna-LATHSAT_LOCUS16549 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKLLDDNSYVSQYQTCDDGVTIRDIFWTHPDSIKLFNTFSTVLILDSTYKTNKYRVLLFEMVGVTSTEKTYSVGFAFLECEKGQFYLSIRGVSVTFE >CAK8541088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:62086888:62087577:1 gene:gene-LATHSAT_LOCUS10039 transcript:rna-LATHSAT_LOCUS10039 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKNKNNKKQKHQHPNSQTTKQTSDFSFKPTSEVKGIRFGGQFIVKSFPIRRAKPLELLKVLSFPSTNKSKSHKLPFPSTTAFLPTNFTILAHQAWHTLTLGLGSKKSKVLVFVFETEAIKCSVDRIWPHEIALGDVNKKLIKGLSGFEMARFKFRKGCLTFYVYAVREIGSFGFLCAEDLKIILESVVELKDFLDHTVMLSMTNQRSISYSKSQNQNQNQVAMAH >CAK8543891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:647740015:647743582:-1 gene:gene-LATHSAT_LOCUS12615 transcript:rna-LATHSAT_LOCUS12615 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEISLIVLFIFVFVQFVYSLNRANFPKDFLFGTASSSYQYEGASNEGSRGPSIWDTFTHNNPGKIKDRSDGVLADDSYHRYKEDVSIMKDIGFDAYRFSISWSRILPGGNLRGGINREGIAYYNNLINELLSNGLQPFVTLFHWDLPQALEDEYGGFLSPNIVNDFADYAELCYREFGDRVKYWITVNEPLTYTTQGYGNGFFAPGRCSKWLPFNCSAGNSSTEPYLVTHHQILAHAAAFKVYKDKYQISQKGQIGITLNTPWILPLSQSKADTDAASRALVFLYDWFMEPLKSGSYPIEMVNNTGERLPKFSTEQSSMIKGSFDFIGINYYTANYAVNAPCLTENQTIFSDACVFLTTMSDGVQIGPKAASDWLYIYPRGIQDLLLYTKEKFNNPIIYITENGVDEINDGTKSLEDNMRIDYITNHLYYVHSAIQNGVNVKGYFVWSLLDNFEWADGYTVRFGIVYVDYKNGLKRYLKNSAEWFKKFLY >CAK8543892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:647740015:647742714:-1 gene:gene-LATHSAT_LOCUS12615 transcript:rna-LATHSAT_LOCUS12615-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIGFDAYRFSISWSRILPGGNLRGGINREGIAYYNNLINELLSNGLQPFVTLFHWDLPQALEDEYGGFLSPNIVNDFADYAELCYREFGDRVKYWITVNEPLTYTTQGYGNGFFAPGRCSKWLPFNCSAGNSSTEPYLVTHHQILAHAAAFKVYKDKYQISQKGQIGITLNTPWILPLSQSKADTDAASRALVFLYDWFMEPLKSGSYPIEMVNNTGERLPKFSTEQSSMIKGSFDFIGINYYTANYAVNAPCLTENQTIFSDACVFLTTMSDGVQIGPKAASDWLYIYPRGIQDLLLYTKEKFNNPIIYITENGVDEINDGTKSLEDNMRIDYITNHLYYVHSAIQNGVNVKGYFVWSLLDNFEWADGYTVRFGIVYVDYKNGLKRYLKNSAEWFKKFLY >CAK8534698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756377262:756377792:1 gene:gene-LATHSAT_LOCUS4218 transcript:rna-LATHSAT_LOCUS4218 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSQMNSLSISETKPSPSATISLVSADNIVFKVEPTIAKEMETVQSFIDETEGQLSTIPLPNISSHDLPYIIEYCEKSIAGKITKEFEAEFVKKLNNEEVKELFLAANYLNIRKLLDFLSQVIADRIANKSVEYVRRYFGIENDYTPEEEAKLREELAWTFTGVDPDDEDEN >CAK8578817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656691311:656692138:-1 gene:gene-LATHSAT_LOCUS30801 transcript:rna-LATHSAT_LOCUS30801 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAIDIYNEELMKALEPFMKTGSSSLVSEQSQILNPNFETQRSSIGLNQLTPSQILQIQTQFQISQQQKSTLAQKPVPMKHFGTPSKPMKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAAFAYDNAAYKLRGEFARLNFPHMRHYGNYNPLTSSVDSKLQAICESLAVSQKQGNTEKKCISVEEVKPVVFIPAQSKTELVFNEFEDFKVENENKLLLSMSDECSPGLSSPESGVTFFNFSDSCQWDEVENFGLKKYPSVEIDWEAL >CAK8570360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39271310:39282717:1 gene:gene-LATHSAT_LOCUS23113 transcript:rna-LATHSAT_LOCUS23113 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKREQIVDVGSVVEAVSAEAGDAPLYAIESLCMRCHQNGTTRFLFTSIPNFRKILLSAFECPHCGERNNEVQFAGEIQPRGCCYSLEIPAGEQKMFNRQVVKSESATIKIPELEFEIPPEAQRGSLSTVEGILMRAADELQALQEERRKVAPETADAIDQFLVKLRACATAESSFTFIIDDPAGNSYIENPFAPSSDPSLTIKFYERTPEQQALLGYSTQNEGTRDEVLESEEAAASGRTRRQPHGSVGAAAGQRAIAQSNSAEIADALFRYSAPEEVMTFPSTCGTCTAKCETRMFVTNIPYFQEVIVMASTCDACGYRNSELKPGGRIPEKGKKITLHVKNIKDLSRDVIKSDTASVQVPELDLELASGTMGGLVTTVEGLITQISESLKNVHGFSLGDSLDEHKRSKWLDFQARLNKLLSLEEAWTLILDDALANSFVAPATDDLKDDHQLTFEEYERSWEQNEELGLNDIDTSSADAAYESTNTTKID >CAK8534216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:706947736:706949782:1 gene:gene-LATHSAT_LOCUS3776 transcript:rna-LATHSAT_LOCUS3776 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTPLIAEETKQNSKEEDRRELVQEVKKQLWLSGPLISVSLLNFGIDLISVMFVGHLGALTLSGASMATSFATVTGFSLLAGMASALDTLCGQSYGAKQYRMLGVHTQRAMFIITIFAIPLAIIWANTRSILILLGQDPEIATEAGSYVMLMIPGLFGYGLIQCLNRFLQSQNIVFPMMFSAVVTTLLHIPLCWIMVYKSGLGGRGAAVANSMSEWLNVVILSLYIKFSPSCKKSWTGFSKESLALNSIPIFLKLAIPSTLMVCLEMWSFELMVLLSGLLPNPKLETSVLSICLNTEAAIWMIPFGLGEAISVRVSNELGAGNPQAARLAVCVVVVIAIIESILVGAVMFFTRNILGYAYSNEEDVVKYVASLLPILAATHFLDGLQCVLSGIARGCGRQEIGAYVNLGAYYLVGVPAAVVLAFVLHVDGKGLLLGIICAFVVQVFALMIITIRTDWEKETRKASYRISDSITTESLVS >CAK8566688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:449140152:449144307:-1 gene:gene-LATHSAT_LOCUS19800 transcript:rna-LATHSAT_LOCUS19800 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGIFIEPTNPTSTQTQPDLSLHISPPSTSSSSLISNNIDTSHLLNYEPRNSSRTSAQTQAQAHTELSLGRNFSGGVQESHHQQQQQQQQQLHNPYYQTQQAHFPNLQHHHNSTTTTSTTTNSTSMNHINYGVSLLDVSSSSSSEGLRPIKGIPVYHNRSFPFLPAMEHSREKDPKMCLYHHMPNSTAPPSSSSPLSPYIAAGGSLDPMSFLNSSGSAAAYRAAVATRFNNSEPFKSHQYPLHHLHHHNHSHYGGLGNSEASMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGLSDGSGEDDVPLIGNNGGIRQFSDQRSLNDRPVQQDMDYSSTTTLWSNSSSSREPWPQTSPNDVDGFRPAIFQSQPISGVHQIQDCDSNQLKNNLSGSNLECKNPSLEFTLGIPDWNGKGQA >CAK8574540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1875466:1879120:-1 gene:gene-LATHSAT_LOCUS26878 transcript:rna-LATHSAT_LOCUS26878-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQKMEEEIIFRSKLPDIHIPKNLPLHSYCFENLPKYGSHPCLINAPTGEIYTYNDVELSARRVASGFKKLGIKQGDIIMVLLPNCPEFVFAFLGASFRGAIMTAANPFFTAAEIAKQAKASNTKLIVTQGCYYEKVKDLENVKLVFVDFIPEGENHMHFSELLQPHDREIDEVKVEINPDDVVALPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPNLYYHSEDVILCVLPMFHIYSLNSVLLCGLRAKASILLMPKFDIHAFLELVHKYRVTIAPVVPPIVLAISKSPELDSYDLSSIRVLKSGGAPLGKELEDSVRAKFPKAKLGQGYGMTEAGPVLTMCLSFAKEPIDVKPGACGTVVRNAEMKIVDPENDSSLPRNQPGEICIRGDQIMKGYLNDPEATLRTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAIILSHPQISDVAVVPMQDEAAGEVPVAFVVRSNGDINTSEDEIKKLCFTKELIEYSSLMLFPSHLPAKYYERI >CAK8574539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1875434:1879120:-1 gene:gene-LATHSAT_LOCUS26878 transcript:rna-LATHSAT_LOCUS26878 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQKMEEEIIFRSKLPDIHIPKNLPLHSYCFENLPKYGSHPCLINAPTGEIYTYNDVELSARRVASGFKKLGIKQGDIIMVLLPNCPEFVFAFLGASFRGAIMTAANPFFTAAEIAKQAKASNTKLIVTQGCYYEKVKDLENVKLVFVDFIPEGENHMHFSELLQPHDREIDEVKVEINPDDVVALPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPNLYYHSEDVILCVLPMFHIYSLNSVLLCGLRAKASILLMPKFDIHAFLELVHKYRVTIAPVVPPIVLAISKSPELDSYDLSSIRVLKSGGAPLGKELEDSVRAKFPKAKLGQGYGMTEAGPVLTMCLSFAKEPIDVKPGACGTVVRNAEMKIVDPENDSSLPRNQPGEICIRGDQIMKGYLNDPEATLRTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAIILSHPQISDVAVVPMQDEAAGEVPVAFVVRSNGDINTSEDEIKKFVAKQVVFYKRINRVFFIDVIPKSPSGKILRKDLRAKLAAGFPN >CAK8539302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508758612:508759835:-1 gene:gene-LATHSAT_LOCUS8411 transcript:rna-LATHSAT_LOCUS8411 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKFSFIFSIFLFLFHSSTQFCVKQYCGNPNSGLLFEFPFVLRQEYQIFNHQSDRCGYPGFEIHCKDNKQALIKLSNDRNFEVKSISFETQRVWVKGPNDCPPQRFLENKNINDDSPFVWGNSFHSHYENVTFLNCSSTNPVIDDLPNIPCMSNENYSIMYTLQSSLANSLNTTCHEIGFAEVPVKDNSQEPLVIMEGLYSDALLRWNTPSCGCEPDRFCGFLNETGLDVTCYSYVLDFPVGNPSTQRHKKYNFFPVLWGVLGTFFFICWVFLSICKDRQQNHIQQRQTITNIEPSNQEPPWFVSGLDRSRIEQYPKIQLTESGQLSKSIDNVCSICLSEYKPMETLRSIPQCNHHFHIDCIDVWLKMNATCPLCRNLPGL >CAK8563666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623568118:623569251:-1 gene:gene-LATHSAT_LOCUS17051 transcript:rna-LATHSAT_LOCUS17051 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSHHLRALVYLNHLSSTPKCYYLLHSLNPFSTTTTSDSDQKSFTLSYLTNNCGLSPQDALKASKRLRFTFSTPERPNSVIAFFKTHGFSNHQIQSIILKNPELILSNPIKTILPKFQFLSSKGASPSDIVAAVIRSSSFLRVSLEKHIIPAFELVRGFCPSDKKAITSIIICPASMSDIRMKPNVQFLLDIGVNSSSIYHLLSTRPSVICSTDLRKAVQEIKELGFHPSKYNFCVALLAKRGITKSQWDAKVDVLKKWGWSHDEILLAFKKNPKIMLRSADKLNAVMSFWIKQLGWDPSVLLAAPDLFGFSLEKRLIPRASVVRYLLSKGLIKKSASLYTPFNLSDELFMKKYVSSYEEEASRLLRLYQGKDASI >CAK8540444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561451927:561453806:1 gene:gene-LATHSAT_LOCUS9448 transcript:rna-LATHSAT_LOCUS9448 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAMEETHGEDYVSDLPEYDFNRQGNHRTGITGLKKRGHVSRSWIKIDQDGNSEVVTLDKATIMRDCSLPSRDLRLLDPMFIYPSSILGREMAIVVNLEQIRCIITADEVILMNSLDGTVGRYRSQLCNRLRKEKSDDLPFEFRALELALELTCTSLDAQVNELEMEIYPVLDELASSISTLLLERVRRFKGHLLALTQRVQKVRDEIEHLMDDDGDMAEMCLTEKRRRSDAYPSSDFNLSHTSSGKVISKSDPTSPEQSVSGLQMLRRTFSSSIGSSSKYGSSTSSSDNGERIQPLEMLLEAYFIVIDNTLNTLSSLKEYIDDTEDFLNIKLGNIQNLLIKFEMLLTAATLVAAIFAAVTGVFGMNFQTSVFDYSSGFNWVLVITGIGCVALYFSLLSYFRYKKVLPE >CAK8540445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561451939:561453806:1 gene:gene-LATHSAT_LOCUS9448 transcript:rna-LATHSAT_LOCUS9448-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETHGEDYVSDLPEYDFNRQGNHRTGITGLKKRGHVSRSWIKIDQDGNSEVVTLDKATIMRDCSLPSRDLRLLDPMFIYPSSILGREMAIVVNLEQIRCIITADEVILMNSLDGTVGRYRSQLCNRLRKEKSDDLPFEFRALELALELTCTSLDAQVNELEMEIYPVLDELASSISTLLLERVRRFKGHLLALTQRVQKVRDEIEHLMDDDGDMAEMCLTEKRRRSDAYPSSDFNLSHTSSGKVISKSDPTSPEQSVSGLQMLRRTFSSSIGSSSKYGSSTSSSDNGERIQPLEMLLEAYFIVIDNTLNTLSSLKEYIDDTEDFLNIKLGNIQNLLIKFEMLLTAATLVAAIFAAVTGVFGMNFQTSVFDYSSGFNWVLVITGIGCVALYFSLLSYFRYKKVLPE >CAK8561934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:414129404:414130558:1 gene:gene-LATHSAT_LOCUS15479 transcript:rna-LATHSAT_LOCUS15479 gene_biotype:protein_coding transcript_biotype:protein_coding MENACDVNQLDADVLLPPRKRLLAGLKKQSSESDATASPSPVAASCVTDVASPSSASFSSEFEARLKHLLNCHSNNPNLTPEEVAEASRKAAVTATKAAEAARAAAEEKAAIAAKAVAKAKSALDLVASFSEDAINNKERNLKKNKSKKHVPVQLLYKKNKPIENCRKDEELARKLHRAMNSSPRISKNSPKSDSKGSRSKRPRSSSSFEKTEGSECGAAVGQDCLSLNNNGQAVVGKIDSEGSNQEVSSSKKDKKVIKYDRSSQMEIDNGEAESSHSKPKNCEDSHSPSPSIIGKKRGRTKLKKLPLSICTSKDKAQPREEIKVRRSSSLTELNKDNQHVHTIPVFPVEPSTDRMTPIEATSTWKCQDFKVPTCIKQNKAVQS >CAK8532297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:241176872:241177786:1 gene:gene-LATHSAT_LOCUS2021 transcript:rna-LATHSAT_LOCUS2021 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGKFDCKMSCFTPKVLSDMNYFLTCSPIVRSMALQTSATLLATAGENLSFPTPPQSACIQKGQNKVILPKEHNLASMKSCLNQSVYVT >CAK8569162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677701525:677707038:1 gene:gene-LATHSAT_LOCUS22046 transcript:rna-LATHSAT_LOCUS22046 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRGFSTTQFDFKLRATRLNVALFPSTYFPELCRRKRRKMFSDAERVRIGNLKFRCCCSDSVAPIRRTSGPEKIEERRFDPKKNPHVHRVRTRATPAAMPFASPPSILKQEKFSPRCSPRNSGPQSRDTPPKRDTGIANEKDWGISLLNENVKESGTNEDGSTWYRENGEELGENGYRCRWTRMGGQSHDSSSEWKETWWEKSDWTGYKELGVEKSGRNSEGDSWWETWQENLHQDEWSNIARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEERFFKGIGSRHGETWHVSPSSERWSRTWGEEHFGNGKVHKYGNSTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIEPLERPPGVFPNLDFGSPPSLQADDPPDDLPSSQ >CAK8579338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692342737:692344064:-1 gene:gene-LATHSAT_LOCUS31291 transcript:rna-LATHSAT_LOCUS31291 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAHALKRIPRIKFPNRHLKSSGSASEGQALSSTGDGSLSFFSSSNASTSLGGKASLQPKRTPVTNEEIEAVLLGGCF >CAK8537115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:100314111:100315616:1 gene:gene-LATHSAT_LOCUS6429 transcript:rna-LATHSAT_LOCUS6429 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNLAMDSTSSLSKLHILCIPFLAPGHILPMVDMAKLLARHKVKVTIITTPLNAIQFKTNINKEIELGSPIQLLEVKFPNEEAGIPMGCETLETLPSMDLKGNFLIAVNLLQKPIEELFEKLEPFPSCLIADKHIPSLADTAIKFKIPRIIFDGTNCLNLFCNYSIHTSGVSESLNYSDQFVIPGLPHEITMKKSQLPMVFRPGPNELLNSLRQRIHDSEDEAYGIVVNSFEELEDGYVEEYQRVTGRKVWCVGPVSLSNKDDIEKSQRGGKNLTIDANEYVNWLDSRPRESVIYVCLGSLNRVTPKQWIEIGLGLEATNRPFIWVVRKSYKWDEVEKWLLEDGFEERVKGRGILVRGWAPQVLILSHRSIGAFLTHCGWNSTLEAICAGVPLVTFPMFSDQFYNEKLVVQVIETGVRLGVENAVNFGDEDEFDDGVQVSREKVKEAIEMVMGEGEGKTERRERAKKYADMGKKAIEEGGSSYLNMLKLIEDVMHFKSNV >CAK8568283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589982479:589983617:1 gene:gene-LATHSAT_LOCUS21256 transcript:rna-LATHSAT_LOCUS21256 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFDVIPRQNILHTKLFLSVRLDLYLHKPLLFGISHLVFTSFPNHGHEKLWLHGTKIEPVMKIRHGFFPGKIRVAVDEYQQDVPDEDDDVCPVECVREFKTDEEFCKILEKFKGTDTLIVVDFFRTSCGSCRYIAQGFAKLCKKSGSHDAPVIFLKHNVIDEYDEESEVADRLRIRAVPLFHFYKDGKLLEAFPTRDKERIIAAILKYSSLEAEDILS >CAK8541938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439152671:439155143:-1 gene:gene-LATHSAT_LOCUS10825 transcript:rna-LATHSAT_LOCUS10825 gene_biotype:protein_coding transcript_biotype:protein_coding MATWVLSECGLKPLAPVFSKPRTGFAVSNNSKVRFLGTNKGVSDLKFQFQPKSFDFKEKKKWGLNVSAPLRVDSIEQQQQQEELPEFDPASPPPFSLADIRAAIPKHCWVKDSWKSMSYVLRDVVVVFGLAAAAAYLNNWMVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDAKLNSVVGHFLHSSILVPYHGWRISHRTHHQNHGHVENDESWLPLTETLYKSLDSVGRALRFTAPFPLLAYPVYLLIRSPGKKGSHFHPDSDLFVPSEKKDVITSTASWLAMAALLVGLGFVMGPIPLLMLYGVPYFIFVMWLDFVTYMHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLVEATEAARPVFGKYYREPKKSAPLPFHLIGEFIRSLKKDHFVSDTGDIVYYQADPELSGSSDEI >CAK8569879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14089263:14089595:-1 gene:gene-LATHSAT_LOCUS22683 transcript:rna-LATHSAT_LOCUS22683 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFYNQYAKMNGFAVRKSKILRSKKGEILQWTFVCHRQGFREDRGLTIENRKRECKPETRCGCEAKFRVHIDMALQCWWITVFNDQHNHEILDEEYHGMLASHRKMKE >CAK8575577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:179927988:179929074:-1 gene:gene-LATHSAT_LOCUS27830 transcript:rna-LATHSAT_LOCUS27830 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSEIDELWKRFKSLDIVGKRTLKSRVFELAFPTMTSMCPPPEKIKLKGESRRKTKKLVGYDVYRDPSYHEYVDQASKSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKVSQSSQSSKQIILQFPNHIRSYINDVVNVVSDGNCGFQVIASLHGYGEDGWPMVCRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPHPPHKWLTLPDMGYVIANRCNVVLVCLGIEFLTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPFPPVTVDWKKFRSPAATSWIIGFAGRLQHWQQLTPILPMHYEL >CAK8569767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10105759:10107846:-1 gene:gene-LATHSAT_LOCUS22580 transcript:rna-LATHSAT_LOCUS22580 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFYVDFHHGGLLDDTGRYVGGIVSNWKCDGNRECYFEILSVVKEMRYSGVLEMWYDFAGTLKELIINDFGAIELLNWSKTHGKVDVYIVHHISQLDVVDAVDVKPLLTYVQPTVVDEIPKTDIPNVVDDIPAANIADVMDEIPEADIAEGQHDIPKNDIGIGQHDTMSEISDIESQPKIRVDSDHDEQSDDSALGCIFDDSDDEVLNDGINEVLVGVGGFVDGENDQVVDEASKGNKGKGGRPKKKRPREVPIGKMEDLANKGLKKPCLLKMNKLVMKIQLILVLLKMIRGKMVDRGLSDSDYKSEELEIDEDSSGDYLDEGTKENFSSFVMPNKFLDYKWVLGIMFSPKEEFKEAITNYDVHNGRDLPFIKNDKIGVKMGCKEGCEWVALCSKLPNEDTWQHEKID >CAK8570353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38816162:38817277:1 gene:gene-LATHSAT_LOCUS23106 transcript:rna-LATHSAT_LOCUS23106 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSSKHPLTSYTTLLLLFFLQTSTPIIKVLAENSHVINFQSPNLYPESLAWDPLKQHFLVGSLRHRTISSISDAGIIETLISDTSLPKNVTVVGITVDSRNNRVLAVIHAVKPLPPFNALAAYDLKSGNRLFLSALPTDEEALPNDVAVDYNGNAYVTNSIGNYIWKVNVKGEASIFSKSPRFTEHPVDRDTAYSFIGLNGIAYVSSGDYLLVVQSNTGKIFKVDTDDGTARHVLLNEDLTCPDGIVFRSDGVVLVVSPQANKLWLLKSNNGWGEGVVYDKIDLESEGYPTSVVSRGRDKMYVLYGYFMEGLLGNSGRESFRIEEIMSPKESEGENVWLYVMIGFGMVYFVYWRFQMGQLVKHMNKKIN >CAK8568338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596840726:596842759:-1 gene:gene-LATHSAT_LOCUS21301 transcript:rna-LATHSAT_LOCUS21301 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKKIENTTNRQVTFSKRRNGLMKKAYELSVLCDVDVALIMFSPSGKATLFSGHRSVEEILDRYINIPDRERGRMHNQEHIRKVLSRLKAETDQICQASGATIADAKHKEVQREILICRSRLEETMNRLRIYEGDPSEITTLSEAEYRERVLQETLKQVQMRKVHLIKSVDVDGSSAGTTENALGWFSEDNITYDQILNFVNGYYKPPPLSDQQSLNTAVNMVTPTSTLLHAANLDRDYQIGLKDGAEADTNSTPSPEFGHVMDTSLDFWANVYHSGSLSIAETREELLEQNLLNVLPQIL >CAK8568337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596840726:596842759:-1 gene:gene-LATHSAT_LOCUS21301 transcript:rna-LATHSAT_LOCUS21301-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKKIENTTNRQVTFSKRRNGLMKKAYELSVLCDVDVALIMFSPSGKATLFSGHRSVEEILDRYINIPDRERGRMHNQEHIRKVLSRLKAETDQICQASGATIADAKHKEVQREILICRSRLEETMNRLRIYEGDPSEITTLSEAEYRERVLQETLKQVQMRKRILEEEHISQASPQVHLIKSVDVDGSSAGTTENALGWFSEDNITYDQILNFVNGYYKPPPLSDQQSLNTAVNMVTPTSTLLHAANLDRDYQIGLKDGAEADTNSTPSPEFGHVMDTSLDFWANVYHSGSLSIAETREELLEQNLLNVLPQIL >CAK8544379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680875053:680875322:1 gene:gene-LATHSAT_LOCUS13065 transcript:rna-LATHSAT_LOCUS13065 gene_biotype:protein_coding transcript_biotype:protein_coding MINHGMLFAFVERWHLETLSFHLPYGEMSITLDNVSCLLHLLIRGQMLDHSRNNKDKAMKMTMTYMEVDLGDACEVVSTTVACGRACWW >CAK8537670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:419290091:419295406:1 gene:gene-LATHSAT_LOCUS6936 transcript:rna-LATHSAT_LOCUS6936 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAITVLAFLFITFTYFLFTFFSNPKHKKPPGPPALPIIGNLHLLGKLPHRALQSLSKKYGPIMSMQLGQVPAVVISSSKAAELFLKTHDLVFASRPTTQASDILYYGSKGLAFSEYGPYWRSLRKLFTLKLLTASKVEMFAPIRKQELGVLVKSLEKAALAGEVVNVSEAAENVIENIAYKMVLGRSKYEEFDLKGLVQQATSLFGAFNLADYVPWLGILDIQGLTRACKKANRAVDDALEVIITHHEQVTNVDKNRHEDFMDILLSIVYQATDEENEPNNVLDRTNIKAILLDLLIATIDTSSTAIEWTLSELVRHPRVMKILQNEIQNEVGNKRMVEEKDLKKLNYLDMVIDEVLRLYPIAPLLIPRESRESITIDDYFIKEKTRVLVNAWAIGRDPNVWSGNVEEFYPERFIDKKMNYLKQEFESIPFGSGRRGCSGIQMGLTTVKFVVAQLVHCFNWELPYNMNPSNLSMEEKFGLTMPRAQHLHAIPTYRLVEVELE >CAK8532426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259867060:259867316:1 gene:gene-LATHSAT_LOCUS2133 transcript:rna-LATHSAT_LOCUS2133 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSILIKDLEKGKQVWKMLIRVVDLWIVKEKSGLQHFELVIQDSQGDQIHVTTRNCEFKD >CAK8543612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626448705:626449060:1 gene:gene-LATHSAT_LOCUS12360 transcript:rna-LATHSAT_LOCUS12360 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYDSGATNSSETFHETLKDPALTRTKGCVGESSTSQKKRKWTQCSTYRKFGHNKQTCSIPRHHANMTHSSHEDFHNIEFSDDSLHNDLD >CAK8578494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632612793:632613428:-1 gene:gene-LATHSAT_LOCUS30500 transcript:rna-LATHSAT_LOCUS30500 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDLLVVGEKFTWSSSSGSCRSRLDRFLISQQLINLWNIKAQYVSDRDISDDRPIWIKANNINWDPKPFKIFDAWYEHPYFSHFVGRSWNTCMARGSVAAIRDEETEILDHVSEVEMLRRSKAQTEMWNNLKLKDVMIKQKVRLKWGRDGDLNTKYFHSILNGRYRRNSIVSIKVGEDKVEEVSAVKGAIKAHFQKLFSSEHSCRPHLN >CAK8531030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:71286666:71287187:-1 gene:gene-LATHSAT_LOCUS847 transcript:rna-LATHSAT_LOCUS847 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVMTRKRLRVRMEATSVSINMFLPEELIIEILSRIELSNPLELRCVCKSWKSILVDPQVVESYLQRSFSDILDLTSTAMEHVESFESLNIYVLADLQDDDDGDDDDAKEDGTKSLVNKAAQLDNLLVMIESMKENLKTMKVDMIALKKRMKCFESFLKIFLKTAPSSSVS >CAK8561566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:299153231:299154235:-1 gene:gene-LATHSAT_LOCUS15145 transcript:rna-LATHSAT_LOCUS15145 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRTSIITYLHRFHQYHFPSATAAACFSTTPRPFPDYSPRNPSVTDTDLIRHITTTIKRRRLEPFRRVLKPYESRFKPSHFIWVLINIKDDYQLVLNLFNWVKSRSQQQLHPTLESLCIVVHIAVASNDIETAKRLVFEFWATPRLDVSKSFDVFSERLIYTYKDWGSHPLVFDVFFQVLVETGFVLQAEKLFHKLLGYGVVVSVDSCNLFLSRLSCNFEGIKIAVKVFEEFPELGVCWNNVSYNIVLHCLCQLGKVKEAHNLHVQMEHRGNFPDVVSYGVVISGYCKIGELDKVLKLVDELKRKGLKPNCCTLKFAQPTSLGLCFNCISIYI >CAK8533210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591046351:591047169:1 gene:gene-LATHSAT_LOCUS2855 transcript:rna-LATHSAT_LOCUS2855 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNTILEPLLHVLDPISLIVNHNSNSDQPLRFTSLEDSFVMERGPNYNAYAEFREKRLRLRCLMEVQEENSEIEVEPVKLATTITKQVRFQEEKFEIESEKLVAPTRKNVNFQGGLAYGRRGSLEQEPKLVPPTRKEVKFQGGLASGRKGSYAVAQSVPDFSAVLRKENRKPSNFLPSVMETKTPPPSKSSFYKDNNMVGSSSRGSKSESAKEKKKTVGGGGVLMGRKSYASLDELKSLSSATAIAINGEGRGGRNSRVLRKTVSVHRQF >CAK8562197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456282406:456288062:-1 gene:gene-LATHSAT_LOCUS15716 transcript:rna-LATHSAT_LOCUS15716-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEALKRDHWQWENAIAGAAAGFATVAVMHPLDVVRTRFQVNDGRVSHLPSYKNTAHAIFTITRSEGLRGLYAGFLPGVLGSTISWGLYFFFYDRAKQRYARSREEKLSPGLHLASAAEAGALVCFCTNPVWLVKTRLQLQTPLHQTRPYSGLYDAFRTIMREEGFSALYRGIVPGLLLQVSHGAIQFTAYEELRKTIVDLKSKGSEIQNQNPDQLLNSVDYAVLGATSKVAAILLTYPFQVIRSRLQQRPGGDGIPRYMDSWHVVKETARFESVRGFYKGITPNLLKNVPASSITFIVYENVIKLLKLARKND >CAK8562198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456282406:456288062:-1 gene:gene-LATHSAT_LOCUS15716 transcript:rna-LATHSAT_LOCUS15716 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEALKRDHWQWENAIAGAAAGFATVAVMHPLDVVRTRFQVNDGRVSHLPSYKNTAHAIFTITRSEGLRGLYAGFLPGVLGSTISWGLYFFFYDRAKQRYARSREEKLSPGLHLASAAEAGALVCFCTNPVWLVKTRLQLQTPLHQTRPYSGLYDAFRTIMREEGFSALYRGIVPGLLLVSHGAIQFTAYEELRKTIVDLKSKGSEIQNQNPDQLLNSVDYAVLGATSKVAAILLTYPFQVIRSRLQQRPGGDGIPRYMDSWHVVKETARFESVRGFYKGITPNLLKNVPASSITFIVYENVIKLLKLARKND >CAK8540590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11600949:11601251:-1 gene:gene-LATHSAT_LOCUS9582 transcript:rna-LATHSAT_LOCUS9582 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRVMLVKPITIIEIEKALKSIGDLKAPGIDGFGVKKFKASWNLVKDDVIKVVMDLFEKGVKEKKFNSTLVTLIPKHEHAKSIKEYKTISCCTTMYKII >CAK8568563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:617346711:617347327:-1 gene:gene-LATHSAT_LOCUS21509 transcript:rna-LATHSAT_LOCUS21509 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLLRFCSRLLAYLVYCFDSGWDVLIKRDEGGLRLEENDEGLGMARRGENRLWGQFRRFVEWIGCLYNL >CAK8574241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671736215:671739707:-1 gene:gene-LATHSAT_LOCUS26601 transcript:rna-LATHSAT_LOCUS26601 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHVETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRVALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPPPRLSPEEIWNRVSELPKFTDYGEAYRIEGYGVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMGILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPDGYASNLERCADTKTGKLHGMKSHDCHVFMERLLPIAFSSLPNHVLYPLTEISQFFRDICASTLRVDSIIKLDQNIPVILCKLERVFPPGFFDSMEHLPVHLAYEAYLCGPVQYRWMYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTSEHATTGQIHASFPAWFRDQLSCIVAPTQEILHLRNLSRGPVQRAIEWHTYFVNDYKFHTQTWTEGKKTINSGVFVKGVTDGGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDWYDPSSRGTKIDKKYNIVDIRMDRRYKEYDPFIMSHIVKQVYYVPYPSIQSRKRGWCVVIKTKPLGHIETGDLVEDAAYQDHEISQINLLVEVEEITNLCDTLVEGHQIDASVLLVDNNVDEEHEDIGSEDIIGSDDENNTAEEHEV >CAK8565487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:235571480:235571937:1 gene:gene-LATHSAT_LOCUS18701 transcript:rna-LATHSAT_LOCUS18701 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVLERISWYSDLVATYLRIALNPSSALNSFLFQLSMPVYIWRSLIVAVCEDCVACFKLHGSGEKFPFWIRTLLEPVLDDNTTIVWPWHEERKGQCRILGLQVFLQHNFMKLGTS >CAK8560815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46929677:46940714:1 gene:gene-LATHSAT_LOCUS14460 transcript:rna-LATHSAT_LOCUS14460 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYHAGSCTSAVNNGTVGRPSSRDTGRSDSSFPGHFPVNSRRQPPLNLNPYKLKCDKEHLNSRLGAPDFVSQTPNCPEETLTREYLQTGYRDTVEGLEEAREISLTQVPHFNKTIVHNCKEAIKKRLRAINESRAQKRKAGQVYGVPLSGLQLAKPGIFPELKPCGEDFRKKWIEGLSQPHKRLRTLADHVPHGYKRSSLLEVLIRNNVPLLRATWFVKVTYLNQVRPASVGISSGTADKIQLSRTEIWTKDVIHYLQILLDEFLSKNSSHSTLHNRERSPQMPYAGTLLHKSDPLLSFSAGEEPSLHFRWWYIVRLLQWHHAEGLILPSLVIDWILNQLQEKDLLEVWQLLLPIIYGFLEIIVLSQTYVRTLSGLTLRVIRDPAPGGSDLVDNSRRAYTTYALIEMLQYLILAVPDTFVALNCFPLPSTVVSHTMNDGSFVLNSTEAAGKIKNSSEDFARIVSCIQKRAEDLAKAASPGNPGHCLAKVAKALDKSLMLGDLHESYKFLFEDFSDEAVSDTWVAKVSPCLRLSLKWVGTVHTSLVYSVFFLSEWATCDFRNFCTIPPSDIKFTGRKDLSQVQIAVRLLKMKLRDLKTSSRRTNRSIHRANYVAKHASQRHNRSYVATGSSVISESPGPLHDIIVCWIDQHVVHKGEGLKRLHLFIVELIRAGIFYPLAYVRQLIVSGIMDMDVSSVDVERRKRHYHILKQLPGHFVRDALAESGISDGPHLNEALQIYLTERHLILRGSLSELNDDASSAKVSVSKRKRYPVSSKDGTSTVSGDQWKTVQAPVSSKSAKDGASIEEIKEAISVLLQLPNSVSNLNSTGCDESEGSVRRPTWPNHNKIEPVEGTPGCEECRRAKRQKLSEERSSFVPGDDETWWVKKSLKSSEPLKVDQPQKTTKQVTKNRQKNVRKMSLAQLAASRIEGSQGASTSHMCDNKVSCPYHRSAVDGDAPKSVDSIRTSNSRDIVFVGKALKRLRFVEKRLVAAWLLTVVKQLIEETEKSIGKVGQYGRAYSMVDDRSSIRWKLGEDELSGILYLIDITDDLVSGVKFLLWLMPKVLSSPNSTIHSGRNILMLPRNVENQVCDVGEAFLLSSLRRYENILVAADLVPEALSFAMNRASTIIASSGRVSNSGATAFTRYLLKKYSNVASVVEWEKTFKSTCDARLSAEFESIKSGDGELGLPFGVPAGVEDPDDFFRQKISGSRLPSRVGAGMRDIVQRNIEEAFQYLFGKDRKLYAAGTPKSPLEKWDNGYQIAQQIVMGLIESIRQTGGAAQEGDPSLVLSAVSAIVGSVGPTLAKMPDFSSGNNQSSIASLNYARCILRMFITCLRLLKEALGERQSRVFDIALATEASNVFAGVFAPSKASRAQFQMSSAEVHDTSATNSNDVGNNSIKAVITKATKNAAAVSALVVGAVIYGVTSLERLVTILRLKEGLDVIQFIRTSRSNSNGNARSVGAFKADNSIEVHVHWFRLLVGNCRALCEGLVVDLLGEPSISALSRMQHMLPLSLIFPPAYSIFTFVRWRPFILNANVTVREDANQLYQSLTVAIADAIKHSPFRDVCFRDCQGLYDLMAADESDAEFAALLELNSSDMHLKSMAFVPLRSRLFLNAMIDCKMPPSIFTKDDVNRVSGPGESKIKFANGDSKLQDKLVHILDTLQPAKFHWQWVALRLILNEQALIEKLEAHDMSLSDAIQLSSPNPEKAAAVSENENNFIQILLTRLLVRPDAAPLFSELVHLFGRSLQDSMLMQAKWFLGGQDVLFGRKTIKQRLHNIAESKRLSAKAQDWEPWGWCSPCTDPVAVKGEKRKFDAASLEEGEVVDEGVDLKKSLKGLSQVFDSESSRVNQQHLTEKALIELLLPCLDQSSNESRNSFANELMKQFANIELQINAVTGGSKPVGSTSPGVEGQTTKANSRKSIRGGSSPGLARRPAVAIDSSPPSPAALRVSLSLRLQLLLRFLPILCIEREPSVRNMKHFLAPVILRLLGSRVVHEDAYISVNAMHSKKDSESSSEAASAAFVDFSAEGLFDRLLLVLHVLLSSYPPSWLKPKPGSKSINEPTKEFSGFDRELLESMQNDLDRMQLPDTIRWRIQAAMPVLFPSKRSSFSCQAPPVPTSALVSLQACSNTVPGINLSSSATSLRNPVLSRVAGNAPAKLKQQESESEIDPWTLLEDGAGSCPSASNTASIGGGNHANLRAASWLKGAVRVRRTDLTYVGAVDDGS >CAK8573149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589583826:589584118:-1 gene:gene-LATHSAT_LOCUS25629 transcript:rna-LATHSAT_LOCUS25629 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRAGKGFLKTWRKRLGGDVSKFIFTSRLPMNLSNFPRLHNLIHTASEVGKAKCPTPYEISNFYLEAEYKEIL >CAK8563995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644802914:644803338:-1 gene:gene-LATHSAT_LOCUS17345 transcript:rna-LATHSAT_LOCUS17345 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLKNEDETETKTETTTATETKPSTDVDVVANLLVDFNIGDDMCLSEFLNSDFSTTCSFDYNDLLSSPCSDQTQIFSDEILKNWAQCNFGDETNVSNNLHSFNSFLESSEEVLGE >CAK8573181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592024694:592025158:1 gene:gene-LATHSAT_LOCUS25658 transcript:rna-LATHSAT_LOCUS25658 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPLPFEDHAIPAVDDEEDYTGGDDTRDELEEETHVNPMNASASANHDGVVLPITRTGVLTLSFEGEVHVFPVVTPQKVQVVLLLLGGRDTQAGMPTDELPFDQSYRGMRDITRRLNLSRRIASLVRFHSKHQTTRSDNNIDITRSLFASYN >CAK8536959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45514106:45514835:1 gene:gene-LATHSAT_LOCUS6282 transcript:rna-LATHSAT_LOCUS6282 gene_biotype:protein_coding transcript_biotype:protein_coding MISQGTNYVIWRFVLTIVVVIFFIPKLSSAKKSKLIGMNMNMIDRCWRLNPEWGRQRQQIATCSIGYVGKMTNNIGKDLIQYEVTDPNDDPINPKIGTLRYGASVIQGKVWITFQKDMTIKLIKPLLVSSFTTIDGRGVNVHVANNACLMIFKTTNIIIHSIRIHHCKAQLRGW >CAK8563935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639994266:640011172:-1 gene:gene-LATHSAT_LOCUS17291 transcript:rna-LATHSAT_LOCUS17291 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVTLTQFLPAKPFPSRQFRLYKRPRLKIEASLPLPSPSPFENLFTTLISQYPSVNSLDFIVPALGLSSGAALFFSRFKSSRIPDSDADAGEVGECGELGEWILFASPTPFNRFVMLRCPSISFRESRHEVNERLVKEEKHYVTVNSGKVIAKKKEKDFDLDEDELSYQRVCLSAPDGGVVSLDWPVELDLEEESGLDSTLLLVPGTPQGSMEDSIRFFVVEALKRGFFPVVMNPRGCASSPLTTPRLFTAADSDDICTAITYINKARPWTTLMGVGWGYGANMLSKYLAEVGEKTPLTAATCIDNPFDLDEVTRTFPYHHVIDQKLTRGLVDILQTNKALFQGKTKGFDVEKALLAKSIRDFEEAISMVSYGFVDLEDFYRNSSSRNMIKDVKIPVLFIQSDNGMVPVFSVPRNLIAENPFTSLLLCSCLPSNVMDTETSALSWCQLVTVEWLAAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEVRTDKSPKVGKLSGSDPYNGNSTDPTKGLLEESKNDAGVHFRLQHNLQQNIEHRDVSLQVKSDPLQQNSSSGTDLIGEENAASVDSEQGRVLQTAQVVTNMLDVTMPGTLTKEQKKKVLAAVGRGETLMNALEGAVPEDVRGKLKEAVAGILHARGSDLKFDKVLSIAQSPDSSPGHKNEEKATEASTAEVRKGQSSSNQMKNTNSSIDGSDKVASDMGEPAEGIEAEVVRVEIHSTSSSQSQESNNEVGSSGSSKENGESRENNDTSGDLKGKVVPDMDHSEKGLETGSESYTLNHPDAAGGSEAEAVTEQKSQKGGIAQTETEENNIPKVDQKNQDFSNDQSKTALTDAKEEPSPPMSSEHQAVEREGNGDENKDIQNIQQTSPQTNSSSSGLAAPGFSVSQAFETFTGMDDSTQMAVNSVFGVIENMLTQLENNSDNETEVKDGKAIEHKLDEQPKSSSQSNDSNMSGNPSLDNEAEVKDGKAIEPKLDEQPKSSSQSNDSNMSGNPSLDNEAEVKDGKAIEPKLDEQPKSSSQSNDSNMSGNPSLDNHHDGMSLSIDSCHTEEQLKTLSITNGSSGSDSQNCYSNDHQVKKTGNTNSQLIDKRFLYDEWDGHRQVSRMPDFIAGGSYGYGNSPYKNYLRKYLVSEIRAKKHDLDTTTALFLDYFPESQKLFEQPQNVEIASADAEMYKEVGSKMKPHTSAKSFDEKECIEPPYVILDAEKQQEPVREFITTDTENVMIHTGDDRSKESIQFVKNKVLDSLKMEVGRKLNATEMIEMKPKLARDMEHVANTVSLAVGTSKGQLLYSKCQGHDVEGAVGKVGTLDREHIIRAISSSVQQTSCLRKVMPVGVIVGSILASLRKYFNVAPHQENGQGRSHALGDEEKPGGKNYVIDDATVAGQVPDEKPSLDHPIKRELVESELEDSNKNTYMVGAVTAAIGASALFMQPKDTQGENENHQKKPEELKEKVSDNQSNIITSLAEKAMSVASPVVPTKEDGGVDQDRLVTMLADLGQRGGLLRLVGKFALLWGGIRGAMSLTDKLISVFHFSGRPLFQRIFGFAGMILVLWSPVAIPFLPTIVQGWTTNSPSIIAECACIIGLYIAIMILVMLWGKRIRGYENAFEEYGLDLTSQRLVEFLKGLVGGVMFIFSIHAVNAFLGCASFSWPHIPPLDIMALLKVCGQMGLLFVQGTAMASAISLVEELLFRSWLPQEIAVDLGYQRGIIISGLAFSFLQRSLSSIPGLLLLSLSLSGARQRNRGSLSIPIGLRAGMLASTFILQKGGFLTYNYKGNIPLWVIGSHPLQPFSGLVGFVFCLSLAIILYPRETSQKSEAKE >CAK8563936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639994266:640011172:-1 gene:gene-LATHSAT_LOCUS17291 transcript:rna-LATHSAT_LOCUS17291-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVTLTQFLPAKPFPSRQFRLYKRPRLKIEASLPLPSPSPFENLFTTLISQYPSVNSLDFIVPALGLSSGAALFFSRFKSSRIPDSDADAGEVGECGELGEWILFASPTPFNRFVMLRCPSISFRESRHEVNERLVKEEKHYVTVNSGKVIAKKKEKDFDLDEDELSYQRVCLSAPDGGVVSLDWPVELDLEEESGLDSTLLLVPGTPQGSMEDSIRFFVVEALKRGFFPVVMNPRGCASSPLTTPRLFTAADSDDICTAITYINKARPWTTLMGVGWGYGANMLSKYLAEVGEKTPLTAATCIDNPFDLDEVTRTFPYHHVIDQKLTRGLVDILQTNKALFQGKTKGFDVEKALLAKSIRDFEEAISMVSYGFVDLEDFYRNSSSRNMIKDVKIPVLFIQSDNGMVPVFSVPRNLIAENPFTSLLLCSCLPSNVMDTETSALSWCQLVTVEWLAAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEVRTDKSPKVGKLSGSDPYNGNSTDPTKGLLEESKNDAGKLSGSDPYNGNSTDPTKGLLEESKNDAGVHFRLQHNLQQNIEHRDVSLQVKSDPLQQNSSSGTDLIGEENAASVDSEQGRVLQTAQVVTNMLDVTMPGTLTKEQKKKVLAAVGRGETLMNALEGAVPEDVRGKLKEAVAGILHARGSDLKFDKVLSIAQSPDSSPGHKNEEKATEASTAEVRKGQSSSNQMKNTNSSIDGSDKVASDMGEPAEGIEAEVVRVEIHSTSSSQSQESNNEVGSSGSSKENGESRENNDTSGDLKGKVVPDMDHSEKGLETGSESYTLNHPDAAGGSEAEAVTEQKSQKGGIAQTETEENNIPKVDQKNQDFSNDQSKTALTDAKEEPSPPMSSEHQAVEREGNGDENKDIQNIQQTSPQTNSSSSGLAAPGFSVSQAFETFTGMDDSTQMAVNSVFGVIENMLTQLENNSDNETEVKDGKAIEHKLDEQPKSSSQSNDSNMSGNPSLDNEAEVKDGKAIEPKLDEQPKSSSQSNDSNMSGNPSLDNEAEVKDGKAIEPKLDEQPKSSSQSNDSNMSGNPSLDNHHDGMSLSIDSCHTEEQLKTLSITNGSSGSDSQNCYSNDHQVKKTGNTNSQLIDKRFLYDEWDGHRQVSRMPDFIAGGSYGYGNSPYKNYLRKYLVSEIRAKKHDLDTTTALFLDYFPESQKLFEQPQNVEIASADAEMYKEVGSKMKPHTSAKSFDEKECIEPPYVILDAEKQQEPVREFITTDTENVMIHTGDDRSKESIQFVKNKVLDSLKMEVGRKLNATEMIEMKPKLARDMEHVANTVSLAVGTSKGQLLYSKCQGHDVEGAVGKVGTLDREHIIRAISSSVQQTSCLRKVMPVGVIVGSILASLRKYFNVAPHQENGQGRSHALGDEEKPGGKNYVIDDATVAGQVPDEKPSLDHPIKRELVESELEDSNKNTYMVGAVTAAIGASALFMQPKDTQGENENHQKKPEELKEKVSDNQSNIITSLAEKAMSVASPVVPTKEDGGVDQDRLVTMLADLGQRGGLLRLVGKFALLWGGIRGAMSLTDKLISVFHFSGRPLFQRIFGFAGMILVLWSPVAIPFLPTIVQGWTTNSPSIIAECACIIGLYIAIMILVMLWGKRIRGYENAFEEYGLDLTSQRLVEFLKGLVGGVMFIFSIHAVNAFLGCASFSWPHIPPLDIMALLKVCGQMGLLFVQGTAMASAISLVEELLFRSWLPQEIAVDLGYQRGIIISGLAFSFLQRSLSSIPGLLLLSLSLSGARQRNRGSLSIPIGLRAGMLASTFILQKGGFLTYNYKGNIPLWVIGSHPLQPFSGLVGFVFCLSLAIILYPRETSQKSEAKE >CAK8577338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556021372:556021761:-1 gene:gene-LATHSAT_LOCUS29452 transcript:rna-LATHSAT_LOCUS29452 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDVQNKIKLIQEPIAEDQNNKVKEQSSQQMSMENLKNFFQRKYPNETEDKIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGEGQAEEPTAEDFWDAMISSMKEIRKAKN >CAK8531711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142254572:142256227:1 gene:gene-LATHSAT_LOCUS1482 transcript:rna-LATHSAT_LOCUS1482-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPEGELKISFGYQCNDDRGIPCKVAKGCKILPEMRRTSSFSCLSGAALSANATLANTSICNGVIGEEILPNLDSPNSFRRVPSSPCLGMLDMLSSSLQSSLSNLSCSPSSPSLMLEYDPCSLRLMSPSSRNDSFLSATEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADYLACTLYDSIVSYLNTIDWNSEPNSVNASGNVGLDGSRILNHDHQSSSDKSFSNVVLDSLKHVVNQVENDFLYMVEQEMAERLDLVSIGSCVLLMLLHGNDLCTLNLGDSRAVLATCSTGNVMNGSERLRAIQLTDSHTVDNDSERARLLAEHPDDPKTIVAGRVKGKLKVTRAFGVGYLKKKILNDALMGILRVQDLRSPPYISTDPSLNVHKISPSDQFVIVASDGLFDFFSNEEVVNLVESYILRNPHGDPAKYLIEELVTKAADSAGFSTEELMNVPAGSRRKYHDDVTVMVIILGMNKRTSKASICI >CAK8531710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142254566:142256227:1 gene:gene-LATHSAT_LOCUS1482 transcript:rna-LATHSAT_LOCUS1482 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLSPEGELKISFGYQCNDDRGIPCKVAKGCKILPEMRRTSSFSCLSGAALSANATLANTSICNGVIGEEILPNLDSPNSFRRVPSSPCLGMLDMLSSSLQSSLSNLSCSPSSPSLMLEYDPCSLRLMSPSSRNDSFLSATEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADYLACTLYDSIVSYLNTIDWNSEPNSVNASGNVGLDGSRILNHDHQSSSDKSFSNVVLDSLKHVVNQVENDFLYMVEQEMAERLDLVSIGSCVLLMLLHGNDLCTLNLGDSRAVLATCSTGNVMNGSERLRAIQLTDSHTVDNDSERARLLAEHPDDPKTIVAGRVKGKLKVTRAFGVGYLKKKILNDALMGILRVQDLRSPPYISTDPSLNVHKISPSDQFVIVASDGLFDFFSNEEVVNLVESYILRNPHGDPAKYLIEELVTKAADSAGFSTEELMNVPAGSRRKYHDDVTVMVIILGMNKRTSKASICI >CAK8574100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662519793:662523834:1 gene:gene-LATHSAT_LOCUS26477 transcript:rna-LATHSAT_LOCUS26477 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHSSSIISMASPSPSQSLRNSTSLPFSTLILPRNSSHRRRHSSFKIQAKIREIFMPALSSTMTEGKIVSWIKSEGDTLSKGDSVVVVESDKADMDVETFYDGILAAIVVSEGETAPVGAPIGLLAETAEDIAEAQAKAKSVKSGSSSPPPPQSQIADTPPVTSQSPSPPPPPPAVPVKAVSDGPKKITATPQAKKLAKQHKIDIGSVNGTGPFGRITPADVEAAAGIVPSKSNAPSVVASSPVASAPPKAAASSAAPAALPGSSNVAFTTMQSAVAKNMVESLSVPTFRVGYPVTTDALDALYEKVKPKGVTMTAILAKAAAMALVQHPVVNATCKDGKNFHYNSNINVAVAVSINGGLITPVLQDADKLDLYLLSQKWKELVGKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVQADADGFFRVKNKMLVNVTADHRIIYGADLAAFLQTFSKIIENPESLTL >CAK8564275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665170065:665172416:1 gene:gene-LATHSAT_LOCUS17597 transcript:rna-LATHSAT_LOCUS17597 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSSSGKLSRNTLISLINKASTFPHLAQTHAQLILNGYRFDISTITKLTQKLFDFGRTRHARALFFTVPKPDIFLFNVLVRGFSLNDSPSSSISLYSHLRRNTDLSPDNFTYAFAVAACSNESHLMLLHAHSIVDGYGSNVFVGSALVDLYCKFSRVGFARKVFDGMPERDTVLWNTMINGLVKNCCFEDTVEVFGEMVTRGVKMDSSTVTAVLPAAAELQEMRVGMGIQCLALKFGFHFYDYVLTGLMSLYSKCGDVNTARLLFGMIRKPDLIAYNAMISGFTSNGETECSVKLFRELLVSGEKVSSSTIVGLIPLHSPFGHLHLACSIHGFCVKSGIILNPTVSTAFTAIYNKLNEIDLARQLFDESTEKTVVGWNAMISGYTQNGLTETAISLFQEMMKTEFTPNAVTVTTILSACAQLGSLSFGKWVHQLIKSKNLEPNIYVSTALIDMYAKCGNISEARQLFDSMSEKNTVTWNTIIFGYGLHGYGHEALKLFNEMLHLGFNPSAVTFLSVLYACSHAGLVGEGKEVFHTMVNKYRIEPLTEHYACMVDILGRSGQLEKALGFIKEMPVEPGPAVWGTLLGACMVHKDTNIARLASERLFELDPGSVGYYVLLSNIYSVERNFPKAASIRQVVKKRKLAKSPGCTLIEVNGTPHVFVSGDRSHSHATDIYAKLEKLTGKMKEMGYQSETAPALHDVEEEEKELAFNVHSEKLAIAFGLITTEPGTEIRIIKNLRVCLDCHTATKFISKITERLIVVRDANRFHHFKDGICSCGDYW >CAK8542719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540968984:540974746:1 gene:gene-LATHSAT_LOCUS11533 transcript:rna-LATHSAT_LOCUS11533 gene_biotype:protein_coding transcript_biotype:protein_coding MANRYWVVSLPVQNNSSSSTIWNQLQQNISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLNKSNAFMEGVSHKIRRQIEELERVSGVNTAALTVDGVPVDSYLTRFVWDDAKYPTMSPLKEIVDGIHAQVAKIEDDLKVRVSEYNNIRSQLNAINRKQTGSLAVRDLSNLVKPEDIINSEHLTTLLAVVSKYSQKDWLASYETLTSYVVPRSSKKLHEDNEYALYTVTLFNRVADNFRTSAREKGFQIRDFEYSPETHEGRKQELDKLVQDQESLRGSLLQWCYTSYGEVFSSWMHFCAVRLFSESILRYGLPPNFLACVLAPSVKAEKKVRSILEGLSDSSNSAYWKTDEEVGAGMANLAGEADTHPYVSFTINLL >CAK8530420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20103943:20104609:-1 gene:gene-LATHSAT_LOCUS286 transcript:rna-LATHSAT_LOCUS286 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMYSASQMTWHNTNKTSPDIMRHPSDGETWKHFDHIHTDFAVKPRNVRLGLCSDGPSSPKAGIDVYLQPLIDDLKRSWIRKWTYDISSKQNFTMRAALIWTINDFLAYGMLSGWDTHDKMGCSHCMGNTKAFTLEKGEKSLSFDYHHIFLSRNYPYRRNKIDFKKDKRVTEFAFASIVTG >CAK8563587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618938227:618939345:1 gene:gene-LATHSAT_LOCUS16982 transcript:rna-LATHSAT_LOCUS16982 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEKKRSIMFLPHELIIEILLRLPVKSLLRFKCVCNLWSSLISRDSHFANSHFQLSATTSNPKILLISTSTHEFRSIDLETSLSDDSASVSFNLPDYFTDFKIKGSCRGFILFCGSFNIYVWNPSTGLHKQIPFSPFGSDLDEADYFYGFGYDHSTNDYLVVSMCHPLHLEYFSLKSNTWKEVEAPHFSYADMNIYRNQPKGGSLYNGAIHWLASCYDLPAEFNQVIATFNLMERKLSYIHLPQDSDGNRLQLGGLWVYGEFLSIYTKNYRNDTVEIWVMKEYNVDSSWTRILVLPIDLIICPNSVFFPLCCTKRGEIIVVDEDDGLVKYDKSGEFLDLRSYPHYDLRCKVTIYMGSLLSLPGDDDNKQY >CAK8567871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:552390613:552391317:-1 gene:gene-LATHSAT_LOCUS20888 transcript:rna-LATHSAT_LOCUS20888 gene_biotype:protein_coding transcript_biotype:protein_coding MYATTSFVSPLLHDLEIFHSRRMLLHSPINQPSNLANSPISTNLHDSSKESSYLGDGNFDANVVMVLSVLLCALICSLCLNSIIRCALRCSNLVVMSGGGGHHANTPALATNTGIKKKALKTFTTVSYSPELNLPSLDSECVICLSEFINGDKVRLLPKCNHGFHVRCIDKWLSSHSSCPKCRQCLIETCKKIVGSQGSSSQLAQPMLFPVPETIVTIVPLEHEGLVRNYREVS >CAK8544562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692921373:692922302:1 gene:gene-LATHSAT_LOCUS13228 transcript:rna-LATHSAT_LOCUS13228 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGAGSIGGSCYYSVLGIRSDASSSDIRTAYRKLAMRWHPDKWTRNPATAGEAKLRFQQIQEAYSVLSDESKRSMYDAGLYDPLEEEDQEFCDFMSEMISMMNNVQDEGDSFEDLQRMWVEMVGGDGMGCDLKEDQTAGKRGRSSGSKGNAAKRSNHRC >CAK8566187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388919176:388927645:-1 gene:gene-LATHSAT_LOCUS19342 transcript:rna-LATHSAT_LOCUS19342 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNLKRKKEEIAEDCCFVCKDGGNMRVCDFRDCLKTYHAECVNEDASFLTSNNNWCCWSHYCFLCDKASKFMCFFCPTAVCGKCYYDVDFAKIKRNKGFCRHCSKLAFLIEKNADVDSDGEKVDMKDPATYESYFVEYYEVIKRKEGLNSQHAHAARDLIKNRKKKCDMDSYEIGDGEDDSGESDVSNFLGSDSEDLDSTTGVKSSGRKRKCMKKLESIKGKVVKDKKKDFDGWGSRSLVDFLKNIGRDTTKAFSELDVTSIIIDYCHKNKLFDPTKKKRVMCDEKLKNLLRRKSVNKNSIQNLLASHFVENFEETDDMVSSSEEMGDKEAFKFSEQRHLNSTTKSCPKVVSQELPSGFAAIISSNLKHVYLKRSLIEELLKQPESFDGKVLGSFVRTKTDPNDYLQHNSHLLLQVIGINRSSKKGEINQEILLRLSNVPKDVPISKISDDDFSEEECQDLYQRMSNGLLKKPTTLELEQKARTLHEDVTKHWISREIAVLRNRIDLANEKGWRRELAQYMDRKMKLESPSEQSRLLSEMPEVIPEMVNTNLSPEDSSRKDKLEFPSEQSRLSSEIPEVTREMVDTNLSPEDSSGKDKLEQNDLSELATGETRNSVEQCSTHDGFARCLDKRTDVVDYRNLSVNMDVNQTIKERQRVTLADSVKATAIDVIMLSDSDEDDLNIKVNSAERKEVETPKVSSTGRKGVESPEVISAWRKRFETPEIPTAGRKGVESPEIISAGRNRLETPEIPSWLCSGVYGGGIRGPFSLSVLKLYFESQSANSSPLDFKVWKTGESEREAIPLKDALRLFSPQREE >CAK8566188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388920267:388927645:-1 gene:gene-LATHSAT_LOCUS19342 transcript:rna-LATHSAT_LOCUS19342-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNLKRKKEEIAEDCCFVCKDGGNMRVCDFRDCLKTYHAECVNEDASFLTSNNNWCCWSHYCFLCDKASKFMCFFCPTAVCGKCYYDVDFAKIKRNKGFCRHCSKLAFLIEKNADVDSDGEKVDMKDPATYESYFVEYYEVIKRKEGLNSQHAHAARDLIKNRKKKCDMDSYEIGDGEDDSGESDVSNFLGSDSEDLDSTTGVKSSGRKRKCMKKLESIKGKVVKDKKKDFDGWGSRSLVDFLKNIGRDTTKAFSELDVTSIIIDYCHKNKLFDPTKKKRVMCDEKLKNLLRRKSVNKNSIQNLLASHFVENFEETDDMVSSSEEMGDKEAFKFSEQRHLNSTTKSCPKVVSQELPSGFAAIISSNLKHVYLKRSLIEELLKQPESFDGKVLGSFVRTKTDPNDYLQHNSHLLLQVIGINRSSKKGEINQEILLRLSNVPKDVPISKISDDDFSEEECQDLYQRMSNGLLKKPTTLELEQKARTLHEDVTKHWISREIAVLRNRIDLANEKGWRRELAQYMDRKMKLESPSEQSRLLSEMPEVIPEMVNTNLSPEDSSRKDKLEFPSEQSRLSSEIPEVTREMVDTNLSPEDSSGKDKLEQNDLSELATGETRNSVEQCSTHDGFARCLDKRTDVVVTTQEPNILAGVNSPIVQQLSYSAKIWKVYSRKGKM >CAK8540132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541069137:541069577:-1 gene:gene-LATHSAT_LOCUS9165 transcript:rna-LATHSAT_LOCUS9165 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPHNRNLIKQHQLRIYEESQSYLREQNLSLLRKLNQKEKLLQRYKSEQDMNARALKKFELENQKLASACQELLDRGNNLEKEIALYEHDREALMEFGNEADEREQQARSRVLELERNLQFVMDELKKLQASKGFKGFFSLLLC >CAK8561707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:362064814:362067507:-1 gene:gene-LATHSAT_LOCUS15272 transcript:rna-LATHSAT_LOCUS15272 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANYLPPLQLVKPSLNSSKPSLFLNPPLSSSSHHFNFPSILHNRSSISTLRRINVKAKSQEPEVSVANDAFTQFKHLLLPITDRKPYLSEGTKQAIATTIALAKKYGADITVVVIDEQKKESLPEHETQLSSIRWHISEGGLKDYKMLERLGDGSKPTAIIGDVADELNLDLVVISMEAIHTKHIDANLLAEFIPCPVMLLPL >CAK8560805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:45524288:45534496:-1 gene:gene-LATHSAT_LOCUS14452 transcript:rna-LATHSAT_LOCUS14452 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGGGGPGRPAGRPSTSAAASPSSSSSATQLGFESLQQHQQQQHQQQQQHHQQQQQLGSRQTFQQQLLRKPEGNEAFLAYQAGRQGVFGSNSFQQPNAMQLPQQSRKFTDLAQQHGTNQDAQLRGQNSEQQQMLNPVHQAYLQYAFQAAQQKPALGIHSQQHAKMGMLNPASVKDQEMRMGNLKMQDIMAMQAMNQAQGSSSRNSSEHNVRGEKQMEQGQQIRPIQTPEAQHGIQNVMNSQVAVAAQLQAMQAWARENNIDLSHPTNAHLMAKLIPLMQSRMVLPPKTSESNIGAQSSSVPVSKQQVNSPAVASESSAHANSSSDMSGQSGSSKARQTVPPSHLGSTANAATGGQSSDMVMQQFNVHGKESQAPLRQQVKAGNGMPSYHSQQSSATMNLGADHHLNAKGSSSGAEPPQTQYTRQLNQSTTQAGGPTKEGGSGNYAKSQGAPAQMPERQNGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLQAITPPPLELQAKQPNHPAGGQNQVKSSGNIVPEQPRHVEANESQSNPAVNGPSSVKQESFSRDEKSALPPVHIQAVVPSVSKESASTSSAGKEEQKPIGSSIKPKQDSEHGNNSTPVRNELALDRGKAIAPQASVSDTMQITKPGQANTVSQPKDVGPTRKYHGPLFDFPFFTRKHDSFGSSMMVNNSNNLSLAYDVKDLLCEEGIEVLSKKRTENLKKIEGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRVELVRQVQASQKAAREKQLKSIFLWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKHKDDDRNRRMEALKNNDVDRYREMLLEQQTSIPGDAAERYEVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAAKAAAGAARLQGLSEEEVRAAAACAGEEVMIRNRFLEMNAPRDSSSVNKYYNLAHAVNEMVIRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVMVNWKSELYKWLPSVSCIFYAGGKDYRSKLFHQVSALKFNVLVTTYEFIMYDRAKLSKIDWKYIVIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFHDWFSKPFQKEGPTQNAEDDWLETEKKVITIHRLHQILEPFMLRRRVEDVEGSLPPKDSIVLRCKMSSVQSAIYDWVKSTGTLRLDPEDEERKLQRNPNYQVKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKEFIVKSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAINDFNGPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKRPVKVIYMEAVVDKIPSHQKEDEMRGGGTVDLEDELVGKDRYIGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDDELDWVEDMTRYDHVPKWIRANTKEVNTAVAALSKRPLKKSLLAGSVAVDTSELGSERKRGRPKKHTSYKELEDEDLEYSEASFEERNGSANEEGEVGDLDDDGYSGADGAQPIDKDQMEDGLCDGGYEFSQSLEIARNNQAVQEAGSSGSSSDSKKVTQIVSPSISSQKFGSLSALDARPGSISKRMTDELEEGEIAISVDSHMEHQQSGSWIHDRDEGEDEQVLQKPKIKRKRSLRVRPRHATERPEEKSGSEMLPRLSVQADRKYQAQLRTDQESKSHIDSNASRNDQNTSLKNKRTLPSRRVANTSKLHGSPKPTRLNTISAPSEDGGEHSRESWEGKPINSSGSSAHGSRMTEIIQRRCKNVISKLQRRIDKEGHQIVPLLTDLWKRIENSGLSGGSGNNLLDLRKIDQRIDKLEYTGATDLVFDVQFMLKSAMHYYGFSLEVRTEARKVHDLFFDILKIAFPDTDFRDARSALSFAGPVSASTTVSSPRQVAVGQGKRHKLMNEVEPDSHPSQRPLQRGSASSGENSRIRVRMPPKESKTGNASGSNIREQPRPQDDSPPLLTHPGELVVCKKRRNEREKSTVKTRTGPVSPSMRSPGAGSVPKDRLTQQTQGWVGQPSQQPNGSVGWANPVKRLRTDSGKRRPSHM >CAK8533666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:647850802:647852674:-1 gene:gene-LATHSAT_LOCUS3274 transcript:rna-LATHSAT_LOCUS3274 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLNYLNTTTIAFISLVSLLFFLFRFSKVSHTKVPPIISGSWPLLGHLPLMRNTQTPHKTLGALVDKYGPIFTIKLGATNALVLSNWELAKECFTKNDIVVSSRPKPVAVELMSYNQAFIGWAPYGTYWRQLRKIVTLEILSNRRVELLSHIRVSEVETSIKELVNVWSNQVSPQNGLLDDIKSSSTNDEPSTNDYVSVELKKWFAQLTLNMVLRMVVGKRCFGDVDEANKEEAKRFLENIRDFMRLIGTFTVGDGVPFLKWLDLGGHEKEMKKCAKKFDEMLNEWLEEHRGKKGLGSENKVVGERDFMDAMLLVLKDKPIEGFDVDTVIKATTLELILGGSDTTAGTLTWAMCLLLKHPHVLEKAKQELNTYIGKERCVSELDINKLVYLHAIIKETLRLYPPAPFSSPREFTEDCTIGEYHIKKGTRLMPNLWKIHRDPSVWPDPLEFKPERFLTTHKDVDVRGQNFELLPFGSGRRMCAGMSLGLHMVHYILANFLHSFEILNPSPESIDVTEVLEFVTTKATPLEVLVKPCLSFKCYESM >CAK8560251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11927023:11931206:1 gene:gene-LATHSAT_LOCUS13941 transcript:rna-LATHSAT_LOCUS13941 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNDSCLKVPDIDDNTTIGGGVQDVYGEDRATEDQSVTPWNISVASGYALLRDPHFNKGLAFTEKERDAHYLRGLLPPTVICQETQVKKMIKNIRQYEVPLQKYIAMMDLQERNERLFYRLLIDHVEELLPIVYTPTVGEACQKYGSIFMRPQGLYISLKEKGRILEVLRNWPEKNVQVIVVTDGERILGLGDLGCHGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLGEELYIGLKHRRATGQEYAELIDEFMTAAKQNYGEKLLIQFEDFANHNAFDLLERYRSTHLVFNDDIQGTASVVLAGVVSALKLVGGNLGDHRFLFLGAGEAGTGIASLIALEISKRTNSPLDEVRKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVEKLVDAVNQIKPTVLIGTSGHGKTFTQEVVEAMASNNEKPIILALSNPTSQSECTAEEAYKWTQGRVIFASGSPFPSVEYDGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAASEGLASQVTQEHYDKGLIYPPFTNIRKISANIAAQVAAKAYELGLATRLPQPKNLVNFAESCMYTPAYRNYR >CAK8566717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455196610:455199442:-1 gene:gene-LATHSAT_LOCUS19829 transcript:rna-LATHSAT_LOCUS19829 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPGTFPILSYVMSRLPSLTSKTTATPHDTELYEIDLEQPSSEIVGKMPNLADPELIASMGRAIANVQQARSVLNLIGERPTHEEIDHAKAKLAETEAQLSRQLEGIVHQPRPPEIEIQGWRAHQAEKEKQCREEAEKEKRIWKSLIQLDEMHEAYEKLLRESEKRLVRMYGSAGDGDVGGDSDGDEVNEEVVGILQEAVGKGMERIDLSGRALKILPEAFGRISGLLVLDVSANQLSAIPDSIAGLQNLEELNLSANLLESLPDSIGLLQKLKLLNVSGNKLIALPDSICQCRSLVELDASFNNLSYLPTNIGYELPNLKKLIIHLNKIRSLPSSICELKSLRYLDAHFNELHGLPIAIGRLTNLEVLNLSSNFTDLKELPETFGELTNLRELDLSNNQIHALPDTFGYLDNLTKLNLEQNPLELPPADIVNQGVEAILTFMTKRWIDILQEEERKSNQEMQEQVEGGWLTRSTSWLKNVSGNVADYIGTAVGSPMSPKSPKDAYLNQQL >CAK8570284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34030908:34031967:1 gene:gene-LATHSAT_LOCUS23046 transcript:rna-LATHSAT_LOCUS23046 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITILALFCLAFVRTGAIPSGEDYWKYVWPNTPLPKAFSDLLLPYRKTNNLPIRLEELNQYSTLFFSHDLYPGKKIVLGNTHSVAKTARPFTEPTQGVTDSIWLENKERQSLDDFCNSPTAKGERKHCVSSLESMVDHVISHFGTSKIKAISSTFDINQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTKGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSVERPCAI >CAK8576153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416215227:416215562:-1 gene:gene-LATHSAT_LOCUS28364 transcript:rna-LATHSAT_LOCUS28364 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGRFSHSVESGAGKRRVFAIGNYVNQRLLRPLQPRNEAILLLYDHRLKLEDPPEIVLSLTYLDFSGSTNPRRDKSASDQALSQDRSNPPFKPTYKTSFH >CAK8577603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577863331:577866002:1 gene:gene-LATHSAT_LOCUS29694 transcript:rna-LATHSAT_LOCUS29694 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTRCFLDISIGEELEGRILVELYNDIVPKTAENFRALCTGEKGIGPNTRVPLHFKGTCFHRILKGSMIEGGDISAGDGTGGESIYGLKFEDENFEMKHERKGMLSMANTGPNTNGSQFFISTTRTPHLDGKHVVFGKIVKGMGVVRSIEHVTTGDDDRPVLDVKIVDCGEIPEGEDDGITNFFKDGDTYPDWPTDLAETPSELEWWLKSVNSIKAFGNEYYKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSFLRKTKSQIFTNSSACKLKLGDIKGALLDTEFAMREGDNNAKALFRQGQAYMVLHDIDAAVESFKKALTLEPNDAGIKKELAAARKKISDRTDLEKKAYSKMFQQHKGLS >CAK8576727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509738790:509743680:1 gene:gene-LATHSAT_LOCUS28900 transcript:rna-LATHSAT_LOCUS28900 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGTY >CAK8537022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:61311744:61315585:-1 gene:gene-LATHSAT_LOCUS6342 transcript:rna-LATHSAT_LOCUS6342 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGGRTRRNSSKKDSWRTVLTLAYQSLGVVYGDLSISPLYVFRSTFGEGIGHSNTNEEIFGVLSLVFWSVTLVPLVKYVFIVLKADDNGEGGTFALYSLLCRHAKVNSLPNCQLADEELSEYKKDSCGGGDGVSTDRGFAFRLKSTLEKRKVLQKILLILALIGTCMVIGDGVLTPALSVFSAISGFELSMSKEHHAYVEVPVACIILVGLFALQHYGTHRVGFLFAPIVIIWLFCISAIGLYNIFFWNPHIYRALCPIYALRFIRKTQTGGWMALGGVLLSITGSEAMFADLGHFSQLSIQIAFTSVVYPSLILAYMGQAAYLSRHHEVEHAYHFGFYVSVPENLRWPVLVIAVFAAVVGSQAIITGTFSIIKQCSALNCFPRVKVVHTSSKIHGQIYIPEINWLLMLLTLAVTIGFRNTQHLGHASGLAVITVMLVTTCLMSLVIVLCWHQNVLFALTFVLFFGTIESLFFSASLTKFLQGAWVPIALAFVFMTVMYVWHFGTLKKYEFDVQNKVSINWLLGIGPSIGIVRVRGVGLIHTDLVSGIPVIFSHFVTNLPAFHQILVFLCIKHVPVPHVRPEERFLVGRVGPKNFRLYRCIVRYGYRDVHKDDVEFENDLLCSIAEFIRTGSTEISSNDEIETIEKMTVVGTYSSQTISRRGEKGDNNADNLDSEETSSELKEIKSPQVIQQKKQVRFLVPESPKIDTEANEELEEVMEAREAGIAYIIGHSYMKAKPGSSTIKKIAINFVYEFLRRNSRAPSFVLGVPHASSLEVGMMYQV >CAK8569258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688153704:688155692:1 gene:gene-LATHSAT_LOCUS22133 transcript:rna-LATHSAT_LOCUS22133 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNVSKILLIGGTGYIGKFIAEASVKAGHPTFVLTRESTLSDPSKSSIINKFKDLSVNLVIGDLYDHQSLVKAIKQVDVVISTISHYRVADQDKIISAIKEAGNVKRFFPSEFGNDVDRSHAVEPAKSTYTTKARIRRAIEAEGIPYTYVSNNFFAAYFLSTLSQFGATAPPRDKVVILGDGNPKVVFNKEEDIAAYTIKAVDDPRTLNKILYIRPKHNTLSFNDLVSLWEKKIGKTLERIYVPEEEVLKQIQESSPLSTMLSLAHCVYIKGDHTNFEIEAPFGVEATALYPDVKYTTVDEFLNQFV >CAK8575415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:113909784:113915336:-1 gene:gene-LATHSAT_LOCUS27684 transcript:rna-LATHSAT_LOCUS27684 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDLGPFSNENFDPKKWINSACQSRHPQESLDKHLVDLEMKLQMVSEEIAASLEDQSTAALLRVPRATRDVIRLRDDAVSLRSAVSAILQKLKKAEGSSAESIAALAKVDVVKQRMEAAYETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVQPRLTDALSNRKVDTAQDLRGVLIRIGRFKSLESQYSKVHLKPIKQLWEDFESRERANKSANEKNEMERTSSVGNFQSVSPTISFSNWLPSFYDELLLYLEQEWKWCMIAFPEDYKTLVPRLLSETMMAIGASFISHINLAIGDAVPETKALAKGLSDILSGDMQKGIKLQTKHLEALIELHNITGSFARNIQHLFLGSDVQVLMDVLKAVYLPYESFKQRYGQMERAILSSEIAGIDLRGAVIRGVGAQGVELSETVRRMEESIPQVIILLEASAERCISFTGGSEADELILALDDIMLQYISTLQETLKSLRTVCGVDFGGDGTGKKDIEKKDASQNARRVDLVSNEEEWSMVQGALQILTVADSLTSRSSVFEASLRATLARLSTTLSFSALGSSLDQNQTINGNEDGEPSFGGRAALDMATLRLVDVPEKARKLFSLLNQSKDPRFHALPLASQRVAAFEDTVNELVYDVLISKVRQRLSDVSRLPIWSSVEEQSAFPLPTFSAYPQSYVTSVGEYLLTLPQQLEPLAEGISSSEANDEAQFFATEWMFKVAEGATALYIEQLRGIQYITDRGAQQLSVDIDYLSNVLSALSMPIPAVLATFQSCLSTSRDQLKDLLKTDSANQLDLPTANLVCKMRRVNLDS >CAK8579711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717974397:717977021:1 gene:gene-LATHSAT_LOCUS31637 transcript:rna-LATHSAT_LOCUS31637 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKSNPNAQILEELEALSDTLYKSHTSATARRTASLVLPRTTPVPSIEDDDDNDRHTVEAYGESSNKQRSRRMSLSPWRSKSKLEDGISKTETKKVAAKTSTTNLGENEKKGIWKWKPMRALSHIGMQKLSCLFSVEVVAAQDLPSSMNGLRLAVCVRKQETKDGAVKTMPSRVSQGAADFEETLFIKCHAYYTNNNHEKRRKFEPRPFLIYLFAVDAQELDFGRSYVDLSELIQESVEKSQQGSRVRQWDTSFELSGKAKGGELVVKLGFQIVEKDGGVDIYNNSNSNSPMANPKSSKLSSFSSSFARKQSKSSFSVPSPRMTSRSDAWSPSQARQGDSIQGMDDLNLDDPNPVHDLSSSVQTVDRDHIEQVDDFDLPDFEVVDKGIEVQEKGEDGEESDKSVEEKPVADEVVKEVVHDHVHHARLSELDSIAQQIKALESMMGDDDMNNMMKIDEETDALDADEETVTREFLQMLEVDQDNKGYLFNQPEIPSLQLEQGHNDSPADGGESKVYLSELGKGLGCVVQTRDGGYLASMNPLDVAVARKDTPKLAMQMSKPFVLASHESMSGFDLFQKLASIGLDELSSEVLSSLMPIDELIGKTAEQIAFEGIASAVLQGRNKEGASSSAARIVSALKSMSNIISSGRRERITTGLWNVDEDPVTPEKLLAISMQKIESMTVEALKIQADVAEEEAPFDFSALSSKKGVSGKDLLASAIPLEDWIRNQSLGNNKGSATASSDGEPERVTLILVVQLRDPMRRYETVGGPVMVLIHATRAGTNGTEEEKRYKVISMHVGGFKVRSSTKKTGWDNEKQRLTAMQWLVAYGLVKAGKKGKQAVAKGQDLLYSISSRIVADMWLKTIRNPDVKLVK >CAK8563002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565702787:565704064:1 gene:gene-LATHSAT_LOCUS16452 transcript:rna-LATHSAT_LOCUS16452 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDCIISHIFSKLSLKTLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCNPELPKTPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGVNRIELLFAYPLPYDEETDFEIEPYNFFLSDSHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLLPVEQNMLQDMCLKCIHLHNLTLNECTFRSDLKITSTTLLHLNINCGDIIGEKINIDIIASNLSSVQYSSDCLAEFLLHTLNIKSHKLSNFSYTCAQISNLVHFSGLKNVTTIVLDGLMEGDVINFGLREGDVITHLFSKCLQLQHVTISQCWLTCECKIISAKLRHLSILHCFNTEVLEVLDIASNGSLIENRGLRSILSIHALNLSSFEFRGNSEMRSIISIEAPKLLKDFWDAGFNKICI >CAK8532924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551150449:551152468:1 gene:gene-LATHSAT_LOCUS2584 transcript:rna-LATHSAT_LOCUS2584 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVKHLKSGAGTLLLRDGVKGVYIYELFNKEGAGRNWGLLYPNGSTKYDVDFSEASRSSLVNWINVAFLLIVVIECVCLVDELANRTMRPCLSKCCQSTGSRIDERGLQRLQGHFFIQAAIALAKKEGLLVFLDLASFKVFYFRYY >CAK8544951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714260294:714261253:1 gene:gene-LATHSAT_LOCUS13590 transcript:rna-LATHSAT_LOCUS13590 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKTFTFEEVSKHNNKQDCWIMIHGKVYNVTSFLDDHPGGDESLISSTGKDATVDFEDVGHSDSAIDMMQKYCIGMVDTSNIPAEERSDPPPPPPTQARNDNNQSSGSGFVVKALQFLLPLLILAFAFAMQHYGKNKQASDE >CAK8579494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704056704:704063385:1 gene:gene-LATHSAT_LOCUS31438 transcript:rna-LATHSAT_LOCUS31438 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDIFLFSLSTAFCTPFAVYIQIQGCFICLTLALGWALASYVRNREIKQMKDAMKNGNSLSFLCHDINELEHSYQANLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPIEFLFVVESTEDPAYRAVSRLISEFKDDVDVKVIVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRIHPGSIGALTREMEKNPKIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRQDRYGVVSGLKDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLNFGRYWNYLRKQTFVLESYTTTVNWVMNRALFGVHCYLSWGFVAPFFMATIHLAAAFRFYSLGYSLEEVTYTPAGLSLVSFLAICTLVELLSMWNLTRIEVQLCNMLSPEAPQLSLAAYNWCLVFIALLVDNFLYPLSAIKSHFSQSINWSGIWYYLKDGKIIKIERTERGKDMTPVFTDLGGKHLYGKKGIPAKGSFISSLSRTLAQWHQPKKFDN >CAK8543906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648915547:648915951:-1 gene:gene-LATHSAT_LOCUS12629 transcript:rna-LATHSAT_LOCUS12629 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFAQDQGRTFNLEYAWRLLKDEVKWRIVEESIGSSAKITKTYASGASSENPDTTSNYEFNSSSPMERPMGQKATKRKGKASEIPNATQDAKNKRAITMDRLAQAKEDELELRVVQMMMKDTSTIMRYS >CAK8564193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:656293003:656294040:1 gene:gene-LATHSAT_LOCUS17520 transcript:rna-LATHSAT_LOCUS17520 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPPPQQQEFIFRSKLPDIEIPTHLPLHSYLFQNLSQFHNRPCLINGDTGETFSYFDVHLTARKIASGLNTIGINQGDVIMIVLHNSPQFAFTFLGASYRGAVITTANPFYTSSELAKQATATKTKLIVSQSAYINKIKEFAKVNNIKIVCIDNSSSEEKDGVVDFSVLTSSNENEAPEVKINPNDVVALPFSSGTSGLPKGVMLTHENLVTTISQLVDGENPHQYTNCDDVLLCVLPMFHIYALNSILLCGIRAGAAVLIVEKFEIKTVLDLIEKYKVTVVSFVPPIVLALVKSGESHRYDLSSIRVMITGAAPMGMELEQAVKDKMPQTVLGQVRCLVNSL >CAK8535795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882612078:882612578:-1 gene:gene-LATHSAT_LOCUS5218 transcript:rna-LATHSAT_LOCUS5218 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLQVGGKAINGPTEVTNDVYMESLGVEPTEADKNRGSVRITWLENLYEILKDNSAPTQEEIVLQAKVYILLVIATILFPDKSQNLLHSSWIPFVGDLEKCGTYSWGSACLAKLYREIRKAAVKDVRSMSGCVLLLTSWAFTRIPLFAPVNTVQPSYPYAQRYF >CAK8564091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651121425:651123162:1 gene:gene-LATHSAT_LOCUS17430 transcript:rna-LATHSAT_LOCUS17430 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSGTGGRTYGLDLDIIKSPPCSWSRTSQTSSSPSSTISESSNSPLAIYTTKPRTPRKRPNQTYNEAATLLSTAYPNLFSNPNLKTNPNNKFHKPLLSSEKTYDSDSSELLLPFRVFDTSSSFLLHGTIHQHKPNLPSEPKPVKPCQSPGEISSMVNLLESNDGDDFDAESILDEEIEEGIDSIMGGRIQEDESNGGSSHLPWIGFGGKIDFRLGLQRAGVRALRHVDEGNWWNFPAVDILKISPKICSVGAKTAAAPVTEKKKKKKVVVVMKQSEELKETELPKSKPGLLLKLNYDGVRKAWSDRGTPFADDSPISDVSGNDVNARLSQIDLLWENGGGVREASVQRYKEKRRTRLFSKKIRYQVKKVNTDRRPRMKV >CAK8574314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674728039:674730788:-1 gene:gene-LATHSAT_LOCUS26669 transcript:rna-LATHSAT_LOCUS26669 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKLKQKLRAELTLNQITTESNPSSSSSNSFKLLLNSSTNKPVLSKREKLRKIRPLQQTQANEDEGAKNDKEIGIEGLGKKNNKKRKIKAVKQNDGDDVGDEVVVKKGKVKVETQNGDVGDEVVVKVTKQSVKKEKQKKKNLLKKKRKKAKAAEENGKVKTGEENGEVTAAEGSGSNHQEEMSELTTELANTNITTRQENSGAATKVYVGGIPYYSSEDDIHSYFESCGTITEINCMTFPDTGKFRGIAIIDFKTEAAAKRALALDGSDMGGLFLKIQPYKAAQTTRFTPELKEGYNRIYAGSLSWEITEEELRKFFSNCNIKSIRLGKDKETGEFRGYAHVDFNDSKSLKTALALDQSVLFGRPVRISCAVPLNKKPGAGEKSVAGSKPSAVEKSVASYKPGVGEKSVAGEKSVAGSKPGASEKSVAGSKPGAGEKSFAGYKPSAGEKSVVGEKSVAVEEPIVEKPITVVASGKRKNRMCYGCRQKGHNLSECPNPQIVTSTTI >CAK8535205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824647849:824650090:1 gene:gene-LATHSAT_LOCUS4678 transcript:rna-LATHSAT_LOCUS4678 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPEILPEDVLGKMSAPPKSDVPIITPNELAEADGFVFGFPTRFGMMAAQFKAFLDGTGGLWRSQKLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPSELELEQAFHQGKYLATITKKLKEAA >CAK8543958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651128355:651141355:-1 gene:gene-LATHSAT_LOCUS12677 transcript:rna-LATHSAT_LOCUS12677 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVKTESESTTVLLNQAHEMPTVNDCIVYTRVKRSLNSCNGFSEDEDVDCKRFRKDTETSIVLEDVLENGVDCCTENRDGGECGDGLKSEFREAAAFKRITRSAMKAEAESSKARERSFKRITRAMKAKVESDEKTAHVLGQRGAVGVRGKGKSDGMVTGRNLKLCTGSAMKENAVSFDVTVVEQQGAAVVSVKGDVEVPVRNLKRLTRSTMKEKGEKGESCEEMIEQHGAAVVSAKGDVVVLGRNLKRFTRSAMKEKAESCEETLTVVEQQDAAVAKAKGDVAVPVRNLKRITCSAMEENAESCEETLNVVEQGAAVVSGKGNGKVGMVKRFTRSAVKANPESGEETVTELEQQVAAVASGEGSVRMFKRITRSASMKANPESGEEIVTELEQQGATVASGEGSGRMFKRITRSASMKANPESGEEIVTEFEQQGAAVASGEGSVRMFKQITRSASMKADPESREETVTKLKQKRAAVVDNINGVLAAPRNKMELKMSKKIVVDKKPTTVKELFHTGLLDGASVVYISGLKKAPGLRGVISNGGILCSCSLCKGSRVIPPSQFVIHACKQYKRPVEYICLENGKSLHDLLTACRRAPLHDLEATIQNFVHSPPEEKYFTCKRCKGCFPSSCMERVGPICCSCVESSKPEESSKNVVGNRIRSPRPVLVSKSSRASELSISSKSKRHGKKRTKSSKRDNSSSSSKSASVPILPWKKITPEIKKKSLSVKLKTTSNCLSTQNKSQSKITKKDFRLHRLVFEENGLPDGTEVAYYAGGQKLLEGFKMGSGIMCRCCNTEISPSQFEVHAGWASRKKPYAYIYTSNGVSLHELSISLSKDRKYSANDNDDLCVVCWDGGNLLLCDGCPRAFHKECASISSIPRGDWYCQFCQNMFQREKFVAYNNNAFAAGRVEGVDPIEQITKRCIRIVKDFDAELSGCTLCRGVDFSRTGFGPRTIILCDQCEKEYHVGCLRDHKMAFLKELPKGNWLCCNDCKRIHSTLRNVLVRGAERLPQSLLALIMKKQGEKGLDPINDDINVSWRLLSGKNASPETRPLLLEAVSIFHQCFDPIVDAASGRDLIRAMVYGKSVRGQEFGGMHCALLIVNSSVVSAGMLRIFGTDIAELPLVATSNSHHGKGYFQTLFSCIERLLAFMKVKNIVLPAADEAQSIWTDKFGFSKIKPDQLTSYRRNCNQFVNFKGTNMLHKMVPPCRIINKNPPQIFIEKKSITKAETS >CAK8567269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499323766:499324209:-1 gene:gene-LATHSAT_LOCUS20335 transcript:rna-LATHSAT_LOCUS20335 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKINGYPQQQYYDDDCSWMETKAQQLQSHGYPQTQQYPGMKPGYGNESDYSMPNHHGHDSNHNMYRQDSMPHGHGNGHGHGYEHKYEVYKEERIVGSGVTKRDEVRYERRGTYGGDVHQANPYGYSNNPHGHGTKKGNWTLKGF >CAK8569160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677554060:677557285:-1 gene:gene-LATHSAT_LOCUS22044 transcript:rna-LATHSAT_LOCUS22044 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPRKFMNPFSKWISDTNRRFIVLMILLLAFIILFSFLFDVPFQKVKNSFLSNITSSSPSKDELLRSKIAVCLVGGARRFELTGPSIMDMILKEYPNSDLFLHSPLDSDAFKFSLLKSAPKIAAVNIFQPQPLPENETFVRVLSAQNSPNGIQGLIQYFDLVEGCITMIKSHQVKNNIKYDWIIRTRVDGYWNAPLGPENFVPGQYLVPSGSSYGGLNDRFGIGDLTTSTTALSRLSLIPKLDSAGFSNLNSESAFKAQLTTQKVSYQTKRLPFCIVSDRKYDFPPTRFGVPVASFSSRGPLSGTKCRPCKPVCVGVCVEYVMKWVEKGWSWTDWADGSLELCNAEESWEDGWEKVFDKVAGKKFGDVRKKIHSMKFDDCVRDFLQLKNLTAQWNAPPIEEICALGLTHS >CAK8536655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4866478:4870111:-1 gene:gene-LATHSAT_LOCUS5995 transcript:rna-LATHSAT_LOCUS5995 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGLRQWLIHKLVAVIVLLFIKAEAGDVPITFLQNAVAKGAVCLDGSPPAYHLVKGYGTGTNNWLVHMEGGGWCNDVASCLARKTTRLGSSKEMVTSLPFSGILNDKKDFNPDFYNWNKIKVRYCDGSSFTGDVEAVDPKTQLHFRGARIFDAVMEDLLKKGMKNAQNAVFSGCSAGGLTVFLHCDKFRALLPKDAKVKCLPDAGYFINAKDLSGGPHVEQFFNQIVTTHGSAKNLPKSCTSKLKPELCFFPQYFASEITTPIFVLNSPIDSWQIKNIFATGSNVDPKGYWRDCRQDLNKCTPDQLNRVEGYRAEFLKALSVISNSPSNGEFIDSCYLHCQSELQQLWQWSDSPSLANTTIAKAVADWFHDRRPFRQIDCPYPCNPTCHNRTSEPPKSSPIS >CAK8541980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:446589712:446590899:1 gene:gene-LATHSAT_LOCUS10857 transcript:rna-LATHSAT_LOCUS10857 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASTATFSIGTTQTGRSLSQSNPFGFQVNFRTFSGAMSSFSDNETGAALRATFAPKSVKENQNLNHNFQPQASYKVAVLGAAGGIGQPLALLIKMSPLVSDLHLYDIANVKGVAADISHCNTPSKVVDFTGAAELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVTAVADNCPGAFIHIISNPVNSTVPIAAEILKQKGVYDPKKLFGVSTLDVVRANTFVAQKKNLRLIDVDVPVVGGHAGITILPLLSKTKPSASFTDEEIEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSYVQSDLTDLPFFASRVKIGRKGVEALITTDLQGLSEYEQKALEALKPELKASIEKGVAFAQKQTVAA >CAK8531434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110192142:110200732:1 gene:gene-LATHSAT_LOCUS1227 transcript:rna-LATHSAT_LOCUS1227 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRENGCCAELNKKYSKLQESRNALRDAVKLLQHTVDKLQAQNVSLKEAYREKLASEKIGEEEKLKEISAKVSLEKEVSALKSEITALQQKFDTVAQEEHEDVESLEADISDKEREIDRLKTLLEKEKERAESERKFAETEVSALKAEISALQQKCGIVAQEENENVKALKADISDKEKEIDRLKKFVEKEKKRADSERKVAENEVSALKSEINSLKQKCGTVAQDENENKALKADISDKEKEIDRLKKLVKKEKKRADSERKVNENEVSVLKSEISALQQKCGTIAQEENEDVKALKADVSDKEKEIDRLKKLVQKEKKMADSERKVSENEVSALKSEINALQQKCGTIAQEENEDVKALKADVSDKEKEIDRLKKLVQKEKKMADSERKVSENEVSALKSEINALQQKCGTVAQEENENKALKADISDKEKEIDRLKKLMEKEKKRADCERKVAENEKKKAAEACKLLEAEKKISLNKGMQLSKIEAEKVEEYRLQQVHLENEVTETKMKLASELLKFKEASKRVEAEKQKLLVEKRNAESKMKKAQEQVGVEKQKAVREKQRADEEHVKVEEQKRLAQDNWKSAKEAKQLADQRSQELLENKKTIEDLKQKIHELSSERKPNEISGVSSNGNAESDKIKLLKSSLEVERLRAKHAREKLKHEREKFEHERVKFKYEESCRNTLQKELHQLKLDCIQTYNHLTMLDASFSHVAGSIHDPAKGQNMPSMQKPDVMTQLCNLGMPQMHSCVENELMKPCGIRVGACDSLRKSMQSPPLLAISEGNYTEPITGIGYKLEPLIGGSNRTSIQSYALNSSTASFSDAHLMGSQERGALQVTTSTKSAEENINAKSSMLKPFDRSVICHDGIRNRISDTIECVVNLSSEGKKLNTQLEDKLSDLCGLLYDKMNESVEGGREMVTNHRDNLQAESDRPHKKRKKSHRETEHTPVDEKKKTEDPKAGVYEDADGFRQTTCPALYTQTTQACRERILDEIYSGNVMKLLDLENAVDEECYRRAMNAPLSPLSFVETETVALNNMEPFQDKVLHTDLLDQRDLSPSTRCDVIDVEMNSNMQKFDAFTVPCNGDKSKQAIPTDAKLQDTHSLENLKDTFLAETGTGSLHNQLLKFGLIVSDRDDSSSISRTLLATRNCIARCSLGTQTGWEVASILTAVEMEEISLQNEKLSVLLALLLFNFTMTAMKFSGGNLILCLNSYAEHICRVLADADTRIWLLEKNSLLELLRLIEDFLIEGKVILKDVVPTETPSDSDLRNDSFLDGVDICSKEATDEQLVAAGIILASICAATDYIGFLSEASYNILRLCRYGSYMVLTILHIFANLGGKKYFDSCSFGLTVTVLKSVVMFLEGGSISVTPASCLPSINQLRIDLCTNNKCPFSEGAESIDVVTFLLLEKIKKHLFQQGQFDSSSFRSLLDNHNNGQWSSQDVVPCTNSINCDASCCLKNHVACRTQPDVHIDVTLCQLSDILSLLELIASKMGWQWTNTKLVPQLLHVLESCVVENAAVAIIALLGQLGRFGVDAGGYEDKGVENMRSKLLSYLNNFSIKAGTSLQIAAATALFCLLPLDSAEVLKNEFNLSAFSSKSISNDIGSLKKWFSGLVEHQRELLYGILKCTD >CAK8560205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10380423:10384995:1 gene:gene-LATHSAT_LOCUS13899 transcript:rna-LATHSAT_LOCUS13899 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVTILVPITSPTCRSCRSLASFNFFQCSSRSILSTPQSTFKYPNFRLVASSMKTETPPKTTSFLHQKETGFLHFAKYHGLGNDFVLVDNRDSSELKISSEKAVQLCDRNFGVGADGVIFVLPGINGADYTMRIFNSDGSEPEMCGNGVRCFAKFVSQLESLHGRHSFTIHTGAGRIVPEVLEDGNVRVDMGEPVLKASDVPTKLSPNKDGASVKSEIDVDGVIWNVTCVSMGNPHCVTFSRNGVQNLIVDELKLAEIGPQFERHEMFPARTNTEFVQVLSNSHLKMRVWERGAAETLACGTGACATVVAAVLEGRAGRNCRVDLPGGPLQIEWKDEDNHVYMTGSADLVYYGSLPL >CAK8531379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105923263:105924537:1 gene:gene-LATHSAT_LOCUS1175 transcript:rna-LATHSAT_LOCUS1175 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQIFSAAYLSSSSLKTVNAAIHLPKLPRVFSIPQLPKTKPLLDDFINVSIDQTIQFEKNNVVLTTPSHCRHNKNTDDIIIKLYAVLEAVSDRVEMHHNIAQQRNNWNTLLLNSINMITLTATTLAGVASAAVTTCSDSSLLALKLSSALLFSAATGLLLIMNKINPSQLTEEQRNATRLFKQLQRQIQTTIAIGNPSEEDVKDAMDKVLALDKAFPLPLLGAMLEKYPAKFEPAVWWPSKKGKSKSKKMGKMNNGWSEELELEMKEVVEVIKRKDAEDYNRLGNIALKINKNLAFAGPLLTGIAAIGSTFIGNGSFLAPFVPLLAGSLASAVNTFQHGGQVGMVFEMYRGSAGFFNLLEASIESTLSEKHLEKRENGELFEMKMALKLGRSISNLRELASKSASYRMEGIGDMDEFASKLF >CAK8574588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3083779:3087036:-1 gene:gene-LATHSAT_LOCUS26918 transcript:rna-LATHSAT_LOCUS26918 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASKKTGQSNSNPSVNSSASDLFRSASSKATSKELERIDSLFYSYANGSSGVIDPEGIEALCADMEVDHTDLRILMLAWKMKSEEQGYFTLDEWRRGLKALRADTVSKLKKALPDLEKEVRRQSNFSDFYSYAFQYCLTEEKQKSIDIESICELLTLVLSSTFPVQVSLFVEYLKNQNDYKVINMDQWMGFFRFCNEISFPSLNDYDPELAWPLILDNFVEWLREKHT >CAK8533025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567617141:567625632:1 gene:gene-LATHSAT_LOCUS2677 transcript:rna-LATHSAT_LOCUS2677 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDHDQPPHTTASAAAKAHQALHTLTAGPLSSSVAKLAASSQCLPSERDFLFYGNFNEFKVPMDEIARESQSMLEAIGAAANAAFPSDIDDGYDWLVNVNDDVLERYDVSADEFRKIREEEEKTGRVNEAMMVDDGFELVCGKKKKGGKGKVVAEDSKIPLVDLVKMAMKDKRTMGPKAKVPFHIPTIPRPQDEFSIIVNNANVPFEHVWLQRSENGQKFIHPLEKLSVLEFVDKDLGNMVPQKPASLESTPFKLVEEVKDLKEMATKLRSVNEFAVDLEHNQYRSFQGLTCLMQISTRAEDFVVDTLKLRVHIGPHLREIFKDSSKRKVMHGADKDILWLQRDFGIYVCNLFDTGQASKVLKLERNSLEYLLHHFCEVSANKEYQNAEWRLRPIPDEMLRYAREDTHYLLYIYDSMRINLASLPKAPESSDSPLVEVYKRSYDVCMQLYEKELLTENSYLHIYGLQGAGFNAQQLAIVSGLCEWRDIVARAEDESTGYILPNKSVLEIAKQMPVTTSKLRRLLKSKHPYVEHNLDTVVSIIRHSIQNADAFEATAQQLKEGQATTASDTVAVTDQTEDPVKESFQHQDTEVQIKLKPNNLTSELPRESLTISEQTRDANVDAISTLKEIGATVQVLKKPGGAFGALLGSSTSKRKLGLDKKGKEDIKLEQIRSSVTLPFHSFLGSSQTSKPVVETHSVAPEQQKPVSDPVSTSVPEEIIMLDTGTGAEEDIEQDNNLENSNEHTEKNSSASSSDEDEPRSLSELSSNFQKCFQANNQHNKTRKPKKTEQASGLLQLTPFDYEAAMNHVKFGERKKDPSTQDCDNRVEKEGSGGKKKRSTIGEAQPSEPKQFQQGRRRQAFPASGNRSATFR >CAK8569988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19223408:19224357:1 gene:gene-LATHSAT_LOCUS22780 transcript:rna-LATHSAT_LOCUS22780 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVAWIFQHFPMISGWGSVPDYTELMSRGRAFLPLKGNQAVDSYRVYLDRLVVEDIHYNVYADHRVIRSLDDISLLSGWFACSSTIIVPYFSERVMRQFGYTQTILRHPAVSAPLGLTRMQIEEIYADYQRHMVPDKARATRVPRDWSCIDGYIMWFFTVSHPYMVPTTEGSPPRPAHQEILEKQQSQLDYTQDILLRCRQIVKMGRTGIDAGFFLEGSDHMCILDEMIAVAEGALIYRRHHARTGGIDGRGRRPVGRGGGRGRGGGRDIGRGEDEDVVWHTQ >CAK8544303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677014841:677015575:-1 gene:gene-LATHSAT_LOCUS12995 transcript:rna-LATHSAT_LOCUS12995 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDNDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCSKNYVIEEHTISRWSPEQCRERSI >CAK8542904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557712526:557716894:1 gene:gene-LATHSAT_LOCUS11702 transcript:rna-LATHSAT_LOCUS11702 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIFMFSFIITSILFFFLFIPTRLTLQISTMKPTSMDYFNILRTNQTYPVTFAYLISSSKGDTTKLKRLLKVLYHPNNYYLIHMDSEAPDSEHKDVATFVANDPVFSQVGNVWIVGKPNLVTYRGPTMLATTLHAMAMLLRTCQWDWFINLSASDYPLVTQDDLIQVFSEVPRDFNFIQHSSRLGWKLNKRGKPMIIDPGLYSLNKSEIWWIIKQRNLPTSFKLYTGSAWTIVSRSFAEYCIMGWENLPRTLLLYYTNFVSSPEGYFQTVICNSEDYRNTTVNHDLHYITWDNPPKQHPRSLGLKDYRKMVMSLRPFARKFKRNDLVLDRVDRELLKRYKGGFSFGGWCSEGGKNKACSGLRTENYGLLKPGPGSRRLKNLFKKILSDRFFQRMQCR >CAK8561887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404880077:404881482:1 gene:gene-LATHSAT_LOCUS15438 transcript:rna-LATHSAT_LOCUS15438-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRHSRVGLSKPLLSTSGRLIILALFVTFTILVLILLAFNYLNSTSRNVLSKDGAGGRWVETISWEPRAFLYHHFLTDEECEHLINIAKPSMHKSVVVDSKTGKSVLSSIRTSSGSFLKRGADKIVTNIEKRIADFTFIPVDHGEGLQVLHYEVGQKYVPHLDYFRDEYNTRNGGNRIATMLMYLSDVEEGGETVFPYAKGNFSSVRWWNELSDCGKKGISIKPKMGDAIFFWSMKPNATLDKSSLHGACPVIKGDKWSCVKWMRADQYKT >CAK8561888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404880077:404881482:1 gene:gene-LATHSAT_LOCUS15438 transcript:rna-LATHSAT_LOCUS15438 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRHSRVGLSKPLLSTSGRLIILALFVTFTILVLILLAFNYLNSTSRNVLSSKDGAGGRWVETISWEPRAFLYHHFLTDEECEHLINIAKPSMHKSVVVDSKTGKSVLSSIRTSSGSFLKRGADKIVTNIEKRIADFTFIPVDHGEGLQVLHYEVGQKYVPHLDYFRDEYNTRNGGNRIATMLMYLSDVEEGGETVFPYAKGNFSSVRWWNELSDCGKKGISIKPKMGDAIFFWSMKPNATLDKSSLHGACPVIKGDKWSCVKWMRADQYKT >CAK8567595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527602111:527605584:-1 gene:gene-LATHSAT_LOCUS20634 transcript:rna-LATHSAT_LOCUS20634 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPTSSNSSNQNLVHTMPVSLQGNSASVPVDLSESSMDTNNNVQNGEFIKAENIIKHSQKLQGDLHTFGMKIKQHEDRLSHLNAEKIKLEDSIIHLQGAIGKSKSSSTNGEDTRNTIEEEVHKQILQHEKSAAGVLCQLKTRHGGHASFLTLTKDVLGIVPMLGRVEDDNLSRLFSEYLGVETMLAIVCKTYEGVKAIEMYDMEGCINKSSGLHGLGATIGRPLDGRFLVICLESLRPYAGKYVVDDPHRKLDILNPRLPNGEFPAGFLGFAVNMINIDTENLFCVTPSGFGLRETLFYNLFSRLQVYKTRAEMIQALPCITDGALSLDGGMIRSYGVYSLGNREDVNIRFPRPERSAGLDEQVEIERQMKDAQWKKEKILDDIKREMTLLDIAKHNFNKKKSDFLKYLASSSSYAIQVQNAVQNAPSVPR >CAK8535382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845727365:845728186:1 gene:gene-LATHSAT_LOCUS4847 transcript:rna-LATHSAT_LOCUS4847 gene_biotype:protein_coding transcript_biotype:protein_coding MATCESLQHIFDNLLPENPTLLESLSWNKIKPVKSLESPSSTCTEIFGELHFKESSPPLSTTEIKNTKVNQDKDSESSDINQTPSSASFSITQIASPVNGKDKSSGSFTWLSSESMHLCTEGLGFESSDDVEDSKSGINEYRQIDQNEKHVEHRLSSRDHSYGKCRRSRVSEYPPPISSIGRTGKPGVYFRSYRENGRFVLEEVRIPKQEFLRAYREDGRLKMQFVHPPEDEFMEEEGEEEDDTGSIDEEREDIGKEVDGCVTHHSNEEKEIA >CAK8532443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264025281:264028110:-1 gene:gene-LATHSAT_LOCUS2148 transcript:rna-LATHSAT_LOCUS2148 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPTFSSSSLLLKSNTNRLFPHSHLNNNPSCTLSFTSLFPTKLILHRPISSTRSIFITNCSASSATVPFSPPTDPNPQSDEPQKIPNPKPRWSARALKSYAMAELETRRLKYAKVGTETLLMGILVEGTSKAAKFLRANGITYLKVREQTLEIVGKSNYFFSSPVIPSLSKPLQKALDLAINEKLKSGEEGETNVTHLLIGIWSQEESAGRKVLSALGFNDEKAEEIAKTAASGDIDWNFKKQA >CAK8531244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92238719:92240934:-1 gene:gene-LATHSAT_LOCUS1050 transcript:rna-LATHSAT_LOCUS1050 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRSDSFYTEQATRSLVSDIPQDLVIKINDTTYLLHKSPLLSKCGLLLRLGSDTNDSESVPLELHDMPGGAEAFELCAKFCYGVSINISAHNLVPSLCAAKLLQMNESIERGNFVGKLESFFSSCILEGWKDSVSTLQATEKLPEWSENLGIIRKCIDSIIEKVLTPLSQVKWSYTYTRPGYNRKEHHSVPKDWWTEDISILNIDLFRCIIMTIRSTHVLPPQLIGEALHVYACKWLPYIKKAKSSGSSASQTEESKEKNRTILETIVSMIPSDRGSVSVGFLLRLLSISSHLGVSTMTKTELIKRGSIQFHEATVSDLLYPSTSSLDQNFYDIELVQIVLESFLKFWKRISPGAVDNRHYLLRSIRKVGKLVDSYLQVVARDENMAVSKFVALAETVPAVGRLEHDDLYQAINVYLKVHPDLSKVEKKQLCGILECQRLSSEARSHAVKNEFLPSRTVVQLLYYEQEKDSKVATTSTKLQKPHELLPLEAKKKPATRDSHGKQSQYLDKEAITRRTSIAESREKGQQKTKRLDDKLALDLEKKMVVRGGKEERMSGIKVNLDHKKIIGRTRSNKSEYGSEKRR >CAK8565734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:314553458:314559031:-1 gene:gene-LATHSAT_LOCUS18921 transcript:rna-LATHSAT_LOCUS18921 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKTISEALNLIDTKKENLKKAFENLQPHCSFLHTLPLSWLELDSHFTSLQHSLTNRFHILQSLEQSHSQSHSKTLTSYTNNRTSKYSNFPSNAYGISSNQNKLNILCEKMDGIGLMNYVTYNFKDKVRVQEELLEALRYAPDAAVLVLQMLEGFHGLNGNCNDWRLRKMGRVCVLLLRLLSFAGVNVSFKAREKAVKVALDWKVNLMGDCGNILKALGFIYLVYAFGIVSEFSMNELVEISAVAAINLEFMQLCRDVGLTDRVPEIVQKLVDRGKYVLAVKYVFEFNLADKIPPIPILKACVDASKKLATRLSLEGRPRIEVTDREMRVLKTVIEIIENYKLESEYPLASLEQRIEQLKGKGANMKDQSPASILTRHTLQRRQRKRRMKKQQQNGIKLPRTSTSVGPEAVLMNVSNNNSTICQYEQPLLKSSGLFPNHPNPYASSPGTSLGMVAPTPTMPSYTGPSAGPSGNPNLGGSHLNSSEPHVPSAYYDGTY >CAK8531679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139022455:139023648:1 gene:gene-LATHSAT_LOCUS1453 transcript:rna-LATHSAT_LOCUS1453 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDVTCDGSMQQYCINHPHRKNPGGICAFCLQEKLGKLVSSSFPLPMTPSSSSLSPPPSSPPSFRSQTLPTSSSSSLNSFYVPPTSHKHDHHMRSRLPFLLPNKNKKKKPSSINMNTNPSSSSSANVTSDVVFKRSKSIASATPRRTKFLDDDGVENGDFKTRKRNRFWSFLHLSSSKQPSSSCSKKMEEKSCSVDGNSSPRISAVKPKFCPSVGRNCDMVVEEEEEEEEEASGSSSGSGCFEQEQRKVSRSRSVGCGSRSFSGDFFEKFSTGFGDCTLRRVESQREGKANKVIPSSCSSSAAVDATGNRNIQHCMKDRVKCGGIFGGFMMMNSSSSYWVSSGEDGRSRSSWGWALASPMRAFSSKSSSKDNKSTSEKDNTPNLSAVPSLLTARG >CAK8541204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89028198:89030592:-1 gene:gene-LATHSAT_LOCUS10140 transcript:rna-LATHSAT_LOCUS10140 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNSVPLHISYEAFGWFAFVCWSISFWPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNASLYFSSAIQQQYKHKYGEKEMIPVAANDVAFSIHAVLLTVVSLLQIAIYDRGSQKVSKIAWAIVAAAWTAAAVCFFIALNNHHWLWLLSIFTTIQVIMTVIKYIPQAVMNFKRKSTDGWSILNILLDFSGGVANYLQMSMQSIDQSSWVNFYGNIGKLLLSLVSIFFDILFIIQHYVLYPERKRRSETVSKYDNAAKSSDPTLSQNV >CAK8530943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65748176:65749408:-1 gene:gene-LATHSAT_LOCUS770 transcript:rna-LATHSAT_LOCUS770 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCYSSNFITLPSPKHQTLSFNLFQVSSTPLSSFSKKSHESIKYTKQKSKLYASLSPSPPIETTPSTFRTKNPKDVNVLVVGSTGYIGKFVVKELVKRGFNVTSIAREKSGTKGSVDKETTLSELRGANVCFSDVTDLDGFNESLDNLGVSFDVVVSCLASRNGGVKDSWKIDYEATKNSLVAGRKLGASHFVLLSAICVQKPLLEFQRAKLKLEDELVKEAENDDRFSYSIVRPTAFFKSLGGQVDLVKDGKPYVMFGDGKLCACKPISEQDLASFIVDCVMSEDKVNKILPIGGPGKALTPLEQGEILFRLLGKEPKFLKVPIGIMDFAIGVLDFLVKVFPSLEDAAEFGKIGRYYAAESMLILDPDTGEYSDEKTPGYGNDTLEEFFGRVIREGMAGQELGEQNIF >CAK8541860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418227236:418229652:-1 gene:gene-LATHSAT_LOCUS10751 transcript:rna-LATHSAT_LOCUS10751 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDIYTQDGTINIRKKPANKKKTGNWKACWFILGNECCERLAYYGMSTNLVNYLGERFNQGNAAAAKSVTTWSGTCYVTPLLGAFLADSYLGRYWTIASFSVIYVTGMILLTLSASAPGLKPWCDSNGCHPTSAQTAAMYIGLYLIALGTGGIKPCVSSFGADQFDETDEKERKRKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPAVAMVLALIFFFAGSYLYRLQIPGGSPLTRICQVLVAASRKLRLQVPDDKSLLHETIDVESVIKGSRKLDHTDNLRCLDKAAIETQSDRTKRLTNPWRLCTVTQVEELKSIICLLPVWATLIAFATVYSQMNTMFVLQGNTMDQRIGPHFKIPSASLSLFDTLSVIFWAPVYDRVIVPFARKFTGHEQGFTQLQRMGIGLVVSIFSMIIAGILEVARLNTIRKNNYYDLNTIPMSIFWQVPQYFLVGCAEVFTNIGQLEFFYGQAPDAMRSLGVALSLTTNALGNYISTLLVTIVTKVTTRHGKIGWIPDNLNRGHLDYFYWLLSVLSFLNFLVYLWIAKRYKYKKVAGNAP >CAK8538747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494959617:494960879:-1 gene:gene-LATHSAT_LOCUS7914 transcript:rna-LATHSAT_LOCUS7914 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPLLETHSPSITLLKQQSFNDLAPSPSFHQSSSNIINEARHPSFINLIANLSIAKTKIIHRSRSAPSILFTDKKVDFHEPFENRPAYKSSTLLVWLCLVIVLLYLAVGVTVYMISGSFKGTTTFRPVDAVYFTVVTLSTIGYGDIVPDTIFTKMFTCGFILVGFGFIGFMLNELVVYICDTHEAFLLSMMDENRYKKILTTYMVDEEKGRMRIRTKVCVASIVVIVCIAIGTITAHFVEDLNWADSFYLSITSVTTVGYGDFSFKTLAGRCFAILWLLVSTLAVARAFLYLADYSLQKRSRDMAKRVLQKKITLSDLAAADLDNDGSISKSDFVIYKLKQMGKITEIDILQISKQFDSLDHGIYGKITLADLMETV >CAK8541092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:63374959:63377599:-1 gene:gene-LATHSAT_LOCUS10043 transcript:rna-LATHSAT_LOCUS10043 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKFGGGKKPTGTPSLPWSCVVVICSLLAGASVVHNIYKPNLTLPPIDGVDGTKKKLDAKE >CAK8534857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778460201:778460428:1 gene:gene-LATHSAT_LOCUS4364 transcript:rna-LATHSAT_LOCUS4364 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEAPHTPTASVNSVPTRERLPSPPPLPEKVEPPIVTLDGLFRKTTATPRIYCLPLSEEQVAAKLAAQGKSTRQ >CAK8560846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49459184:49461108:1 gene:gene-LATHSAT_LOCUS14490 transcript:rna-LATHSAT_LOCUS14490 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGYIIRRAFSCRNLFRIPTSALHVCENSPVKLCISDASTRVFTLPTVPSFISESRRSFAKGRKSRDEGGVSTVEVPSNNGPTIKASAASQMESAMAALSVELSKLRTGRASAGMLDHIIVETGGVKMPLNRIALVSVLDQKTLSVNPYDPETLKQLENAIVSSPLGLNPKTDGERLIAVIPPLTKEHVQAMNKLVTKSCEDTRQSIRRARQKAMDVIKKLNSSLPKDDIKRLEKEVDDLTKKYIKTAEDICKAKEKEISQG >CAK8531820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:157613294:157616020:1 gene:gene-LATHSAT_LOCUS1585 transcript:rna-LATHSAT_LOCUS1585 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTITMVERRSISEPPYFDGKNCTEWKERMKIFIQSFDFKLWLVIKNGPKVPKKTINGEEIEKSEDEFNDEDMKMMEQEAKAKHILCCALNPADLERVSSCNTAKKMWDELDKEVTIPEVNSKAPILSRVKTAATALFNTPNLEFLQETSDDAKKKFLNLCVPIHKLALEGNWLGAKRIIDKENKLKNAAITYGWPTLLHIAAGANHIEFVKQLLEMLDDTKDLKLQDINGNTAFSFAAAAGNVEIADLMLEKDNQLPTIRGGNGYTPIQHAALQGRYKMTWHLYGRTVNCFEDEDWNLLFFACIYTGIYDLALKMAKDKNELAFARDANKETALHLLAQNEMSLDSCCHGPEHNDNPIMINPDMKNHVAFQLVKFLWTIILDKYYYSEAELRKIRNEPSQLIFDAAKVGNFGFLSELISGYPSLIWDVDSKNRTILHIAVMHRHASIFNLVHKIGHIKGVIVTYEDEERNTLLHLAAKLAPRSQLELVSGAAFQMCLELLWFEKVKKIMMPAEIKMRNSEGDTAQELFSKEHEKLRENAESWMKKTAESCMLISTVIATGVFTAALSLPGGTNDGTGKPNFLAKPSFLVFAISDASALISSSTAILIFLSILVSRYGEYDFYKSLPLKLIFGLIALFISITSMMVAFSSAFFITYYHGSKWVPSSIALLSFLPILLYIGLQFSLFSDIIYSTYYWRMLSKPGKNMIYV >CAK8541636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:322154599:322157527:-1 gene:gene-LATHSAT_LOCUS10541 transcript:rna-LATHSAT_LOCUS10541 gene_biotype:protein_coding transcript_biotype:protein_coding MGACWSNRVKSVSPSTTGFTSRSVSRSGHDISSTSRNSSASISVASRSEGEILQSSNLKSFSYNEVRAATRNFRPDSVLGEGGFGSVFKGWIDEHSHAATKPGLGIIVAVKRLNQEGFQGHREWLAEINYLGQLQHPNLVKLIGYCFEDEHRLLVYEFMPKGSMENHLFRRGSYFQPFSWSLRMKIALGAAKGLAFLHSTEPKVIYRDFKTSNILLDSNYDAKLSDFGLARDGPTGDKSHVSTRVMGTRGYAAPEYLATGHLTAKSDVYSFGVVLLEMISGRRAIDKNLPSGEHNLVEWAKSYLSNKRRVFRVMDPRLEGQYSHSKAHAAAALASQCLSMEPRIRPNMDEVVKTLEQLQEPKDTQKKGSDHRIRNTGGLSHNASSKGNADASRKASAYPRPSASLLNA >CAK8536998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:52359059:52360535:1 gene:gene-LATHSAT_LOCUS6319 transcript:rna-LATHSAT_LOCUS6319-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKMGFSSYPFQDQFHSLTKKLFPWTLYALLPIALLSLYLYPLPFLPSTESELPHSISNTIISISAPPSFPSTPPPSEKENTFDTPPCDYFNGKWIRDRRGPLYNGTTCGTIKQGQNCIKHGRPDSDYLYWRWKPNECKLPRFEPKTFLQLIENKHVAFVGDSMARNQLESLLCMLSTVSSPDLVYTNGEDNKFRKWRFDSHNASVSVYWSPFLVQGVEKSNEGLNHNRLYLDLVDEKWAKDMDHMDLIVLSVGHWFLHPAVYYEGDSVLGCHYCVGLNHTEIGFYEVLRKALRTSLNSVIDRRGSKGGKIDVIVTTFSPAHFEGEWDKAGACPKTRPYRSREKELEGMDGEMRKIEVEEVEDAKVKGSEFGGVRFEALDVTKLALLRPDGHPGPYMYPFPFANGVPERVQNDCVHWCLPGAIDTWNEIFLEIMKKWEEHPRIQE >CAK8536999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:52359059:52360535:1 gene:gene-LATHSAT_LOCUS6319 transcript:rna-LATHSAT_LOCUS6319 gene_biotype:protein_coding transcript_biotype:protein_coding MALKMGFSSYPFQDQFHSLTKKLFPWTLYALLPIALLSLYLYPLPFLPSTESELPHSISNTIISISAPPSFPSTPPPSAEKENTFDTPPCDYFNGKWIRDRRGPLYNGTTCGTIKQGQNCIKHGRPDSDYLYWRWKPNECKLPRFEPKTFLQLIENKHVAFVGDSMARNQLESLLCMLSTVSSPDLVYTNGEDNKFRKWRFDSHNASVSVYWSPFLVQGVEKSNEGLNHNRLYLDLVDEKWAKDMDHMDLIVLSVGHWFLHPAVYYEGDSVLGCHYCVGLNHTEIGFYEVLRKALRTSLNSVIDRRGSKGGKIDVIVTTFSPAHFEGEWDKAGACPKTRPYRSREKELEGMDGEMRKIEVEEVEDAKVKGSEFGGVRFEALDVTKLALLRPDGHPGPYMYPFPFANGVPERVQNDCVHWCLPGAIDTWNEIFLEIMKKWEEHPRIQE >CAK8564203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657372927:657373945:1 gene:gene-LATHSAT_LOCUS17526 transcript:rna-LATHSAT_LOCUS17526-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHTSSTITFLLSQLLFASFMLPYAAGNFYQNFDITWGDGRANILDNGQLLTLSLDKASGSGFQSKNEYLFGNIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNVSGQPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIFFSVDGTPIREFKNMESKGVAFPKKQAMRIYSSLWNADDWATRGGLVKTDWNEGPFIASYRNFNADASSTNAWYSQQLDSTSQQRMSWVQKNYMIYNYCNDTKRFPQGLPTECAAS >CAK8564202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657331663:657373945:1 gene:gene-LATHSAT_LOCUS17526 transcript:rna-LATHSAT_LOCUS17526 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHTSSTITLLLFLSFVTAYAAGNFYQNFDITWGDGRANILDNGQLLTLSLDKASGSGFQSKNDYLFGNIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNVSGQPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESKGVAYPKNQAMRIYSSLWNADDWATRGGLVKTDWNEGPFIASYRNFNADASSTNAWYSQQLDSTSQQRMSWVQKNYMIYNYCNDTKRFPQGLPTECAAS >CAK8579789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722629069:722630238:-1 gene:gene-LATHSAT_LOCUS31705 transcript:rna-LATHSAT_LOCUS31705 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEDLIKRLTSELTGKLEDAVLLWMHDPAGRDAEIIRKYLTVTKVLDTKALEAATEVICSRTPAQLQYLKQIYHIKFGTFLDHDIEINTSGDHKKLLLAYLNTPRHEGPETNRDMAENDAVVLYRAGEKKLGTDEKIFVQIFSGHSAAQLALINQCYNTKYGHSLKKVIKKEASGHFAHALSTIVQCAENPARYFAKVLRKAMKGLGTDDTKLIRVIVTRCEIDLHYIKAEYLKKYKKTLNDAVHSETSGHYRSFLLALLGQNK >CAK8538034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459503366:459506519:-1 gene:gene-LATHSAT_LOCUS7275 transcript:rna-LATHSAT_LOCUS7275 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILSLGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEDSINANSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGNYNNQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFNFYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVK >CAK8541963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:443964789:443966789:1 gene:gene-LATHSAT_LOCUS10843 transcript:rna-LATHSAT_LOCUS10843-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSGIMKVHRGKVPFPIIIITIGVLVFFAVLYAERLSFLSSKSIFKFKPCPRKNTKPKPSEKKVEEEIVNETWIDDRFEFDTEECNIANGKWVFNQSMKPLYTDITCPYIDRQFSCVKNGRTDFDYQHWEWQPEDCTLPSFNALLALKKLQGKRLLFVGDSLQRNQWESFVCLVEWIIPEKMKSMKRGIVHSVFKAKEYNATVEFYWAPYLVESNTDINIIGDTKKRIIKVDAIKERAKNWTGVDILVFNTYVWWMSGATIKSLWGSFGNGYEGYEEFDTPVAYKLALKTWANWVDSTINPNKTKVFFTTMSPTHTRSQDWGNMKDEKCFNETKPVKKKKHWGTGSDKRIMSVVAKVVKKMKVPVTFINITQISEYRIDGHSNVYTETGGKLLTEEERTNPQNADCIHWCLPGVPDTWNQILLAML >CAK8541964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:443964789:443966789:1 gene:gene-LATHSAT_LOCUS10843 transcript:rna-LATHSAT_LOCUS10843 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSGIMKVHRGKVPFPIIIITIGVLVFFAVLYAERLSFLSSKSIFKFKPCPRKNTKPKPTGEKKVEEEIVNETWIDDRFEFDTEECNIANGKWVFNQSMKPLYTDITCPYIDRQFSCVKNGRTDFDYQHWEWQPEDCTLPSFNALLALKKLQGKRLLFVGDSLQRNQWESFVCLVEWIIPEKMKSMKRGIVHSVFKAKEYNATVEFYWAPYLVESNTDINIIGDTKKRIIKVDAIKERAKNWTGVDILVFNTYVWWMSGATIKSLWGSFGNGYEGYEEFDTPVAYKLALKTWANWVDSTINPNKTKVFFTTMSPTHTRSQDWGNMKDEKCFNETKPVKKKKHWGTGSDKRIMSVVAKVVKKMKVPVTFINITQISEYRIDGHSNVYTETGGKLLTEEERTNPQNADCIHWCLPGVPDTWNQILLAML >CAK8565085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:34368018:34369265:1 gene:gene-LATHSAT_LOCUS18324 transcript:rna-LATHSAT_LOCUS18324 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSQLPKDLLHLISEKLNSQFYQLRFRSVCSSWRSSTPLNHHHHLNLPPKFPNPSNNDSDDPTFPLSKRTLFLISPPPNQQTLHPWLIKIGPDSRDQSHLWHPLSRDKHFPLHFPNSIDFNHLPVTDLGCEFVIGNFPSQSPSLPFHNNSLYMEKVVVFDADADTWQIGNGKAKAKANRCSVLLTIHISGKLAVFRCGDEQCDEQWTIIPDMLTPYDDVCVFNGRPVAVDCTGRAVVVGADLSVELVAEPVYGGDKKFLVESEGELLLVDKYLSCLVNSLRNDADGDDYGDGDGYEIGHGYADGEFCEIGRERAVRFHVFRLDEKEKKWVEVKSLEDRVLFLGEDCAFSASAFDLRIGYGNCVIFRDDVYSDSQSSELGVGVFHLGHFRIAPLSCFPCYSMFFWPPPEWVGLR >CAK8568255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586826376:586829071:-1 gene:gene-LATHSAT_LOCUS21229 transcript:rna-LATHSAT_LOCUS21229-5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEQVIKISIKSELIKSSSHNSQQAMASVSINKDPRVPQKLRKDRKLALQQDVERLKKKLKHEENIHKALERALNRPLGALPRLPPYLPPYTLGLVAEVAVLEEEIRRLEEMVSHCRKDLYQEEVSILFSKMKMEHSLENSKLGNAATRSTTTLSDDRQGKEIQSCTPSYNSSKQSICKGPTKKASIKKTAIDNKSLHKRSEPPKKKQQELRNPRLQETPQHDESPNIISENILKCLTSILLRMSTLPFRPLKSKKCVEGTEFLDPYGILEFGTRDIGPYKQLTASNIEAESFNPTQTAKSLFLLHRLKILFRKVASVNIDNLNHQEKLAFWINIYNSCMMNAFIENGIQKSPEKVVALMQKATINVGGNLLNATTIEHCILRLPYHWKYLNTKQITLLKEVKNHETTLRSTYGLELSEPLVSFAISRGTWSSPAVRVYTARNVEKELELAKREYLQAAVGISTSKFVIPKMLDWYLLDFAKDLESLLDWVCIQLPNELGKEAIMFLEKRKTQPFSQFVKIMPYEFRFRYLLYT >CAK8568253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586826376:586829071:-1 gene:gene-LATHSAT_LOCUS21229 transcript:rna-LATHSAT_LOCUS21229-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEQVIKISIKSELIKSSSHNSQQAMASVSINKDPRVPQKLRKDRKLALQQDVERLKKKLKHEENIHKALERALNRPLGALPRLPPYLPPYTLGLVAEVAVLEEEIRRLEEMVSHCRKDLYQEEVSILFSKMKMEHSLENSKLGNAATRSTTTLSDDRQGKEIQSCTPSYNSSKQSICKGPTKKASIKKTAIDNKSLHKRSEPPKKKQELRNPRLQETPQHDESPNIISENILKCLTSILLRMSTLPFRPLKSKKCVEGTEFLDPYGILEFGTRDIGPYKQLTASNIEAESFNPTQTAKSLFLLHRLKILFRKVASVNIDNLNHQEKLAFWINIYNSCMMNAFIENGIQKSPEKVVALMQKATINVGGNLLNATTIEHCILRLPYHWKYLNTKQITLLKEVKNHETTLRSTYGLELSEPLVSFAISRGTWSSPAVRVYTARNVEKELELAKREYLQAAVGISTSKFVIPKMLDWYLLDFAKDLESLLDWVCIQLPNELGKEAIMFLEKRKTQPFSQFVKIMPYEFRFRYLLYT >CAK8568251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586826376:586829083:-1 gene:gene-LATHSAT_LOCUS21229 transcript:rna-LATHSAT_LOCUS21229 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMSHEQVIKISIKSELIKSSSHNSQQAMASVSINKDPRVPQKLRKDRKLALQQDVERLKKKLKHEENIHKALERALNRPLGALPRLPPYLPPYTLGLVAEVAVLEEEIRRLEEMVSHCRKDLYQEEVSILFSKMKMEHSLENSKLGNAATRSTTTLSDDRQGKEIQSCTPSYNSSKQSICKGPTKKASIKKTAIDNKSLHKRSEPPKKKQELRNPRLQETPQHDESPNIISENILKCLTSILLRMSTLPFRPLKSKKCVEGTEFLDPYGILEFGTRDIGPYKQLTASNIEAESFNPTQTAKSLFLLHRLKILFRKVASVNIDNLNHQEKLAFWINIYNSCMMNAFIENGIQKSPEKVVALMQKATINVGGNLLNATTIEHCILRLPYHWKYLNTKQITLLKEVKNHETTLRSTYGLELSEPLVSFAISRGTWSSPAVRVYTARNVEKELELAKREYLQAAVGISTSKFVIPKMLDWYLLDFAKDLESLLDWVCIQLPNELGKEAIMFLEKRKTQPFSQFVKIMPYEFRFRYLLYT >CAK8568254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586826376:586829071:-1 gene:gene-LATHSAT_LOCUS21229 transcript:rna-LATHSAT_LOCUS21229-4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEQVIKISIKSELIKSSSHNSQQAMASVSINKDPRVPQKLRKDRKLALQQDVERLKKKLKHEENIHKALERALNRPLGALPRLPPYLPPYTLGLVAEVAVLEEEIRRLEEMVSHCRKDLYQEEVSILFSKMKMEHSLENSKLGNAATRSTTTLSDDRQGKEIQSCTPSYNSSKQSICKGPTKKASIKKTAIDNKSLHKRSEPPKKKQQELRNPRLQETPQHDESPNIISENILKCLTSILLRMSTLPFRPLKSKKCVEGTEFLDPYGILEFGTRDIGPYKQLTASNIEAESFNPTQTAKSLFLLHRLKILFRKVASVNIDNLNHQEKLAFWINIYNSCMMNAFIENGIQKSPEKVVALMQKATINVGGNLLNATTIEHCILRLPYHWKYITLLKEVKNHETTLRSTYGLELSEPLVSFAISRGTWSSPAVRVYTARNVEKELELAKREYLQAAVGISTSKFVIPKMLDWYLLDFAKDLESLLDWVCIQLPNELGKEAIMFLEKRKTQPFSQFVKIMPYEFRFRYLLYT >CAK8568252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586826376:586829083:-1 gene:gene-LATHSAT_LOCUS21229 transcript:rna-LATHSAT_LOCUS21229-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMSHEQVIKISIKSELIKSSSHNSQQAMASVSINKDPRVPQKLRKDRKLALQQDVERLKKKLKHEENIHKALERALNRPLGALPRLPPYLPPYTLGLVAEVAVLEEEIRRLEEMVSHCRKDLYQEEVSILFSKMKMEHSLENSKLGNAATRSTTTLSDDRQGKEIQSCTPSYNSSKQSICKGPTKKASIKKTAIDNKSLHKRSEPPKKKQQELRNPRLQETPQHDESPNIISENILKCLTSILLRMSTLPFRPLKSKKCVEGTEFLDPYGILEFGTRDIGPYKQLTASNIEAESFNPTQTAKSLFLLHRLKILFRKVASVNIDNLNHQEKLAFWINIYNSCMMNAFIENGIQKSPEKVVALMQKATINVGGNLLNATTIEHCILRLPYHWKYITLLKEVKNHETTLRSTYGLELSEPLVSFAISRGTWSSPAVRVYTARNVEKELELAKREYLQAAVGISTSKFVIPKMLDWYLLDFAKDLESLLDWVCIQLPNELGKEAIMFLEKRKTQPFSQFVKIMPYEFRFRYLLYT >CAK8538940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499862610:499867220:-1 gene:gene-LATHSAT_LOCUS8085 transcript:rna-LATHSAT_LOCUS8085 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVYLALPFLTQPYKTTTASFSSSSSSKPLKLPHRTRSTNLSIPSSTNAAANRSLKPQKLNSDVSPHRAVSAVRLMRIELGGAFADLLNEKGKGSGENEMGYVQRTLGFRTRELNNQDLRLVTNIVGGTIRWRRYLDHLISSLCHDKDISSMEPLLLQILRIGFYEILKLDMPPYAVVDENVQLAKAAIRPGAGNLVNGILRKLVMLKENETLPLPEVEGNDRSQARALATLYSHPVWIVRRWTKYLGQEETIKLMIWNNSEPSYSLRANRAIGFSRDDLVTQLDALKVPYKLSLHLDDFVCIKTGLQTIIHAGLLKKGLCSVQDESAGLIVSIVDPQPGETIVDCCAAPGGKTLYMASHLNGQGMVYAIDVNSGRLRILKETAKLHQVDSVVTTVNADLRTLTDGEPLKSNKVLLDAPCSGLGVLSKRADLRWNRNLEDMEELKTLQDELLDAASTLVKPGGVLVYSTCSIDPEENDDRVAAFLSRHHDFHIDPVDRYVPPDFVTPKGFYFSNPVKHSLDGSFAARLVRAL >CAK8565653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:299875302:299878820:1 gene:gene-LATHSAT_LOCUS18844 transcript:rna-LATHSAT_LOCUS18844 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPMSHFLLLSLLVVLFFFCFLEKGVSIEEASWKERHHLVQINSLLPSSSCSSSTKGPKRKASLDVLHKHGPCSQLNNIGKANSIPTHSEILNHDKERISYIHSKLLSSSSNKNNKNKVEIELDSSSSANLPAKSGSLIGSGNYYVVLGLGTPKKDLSLIFDTGSDLTWTQCEPCARSCYKQIDEIFDPSKSSSYYNITCTSPDCTQLSSATGNDPGCSSSTKACIYGIQYGDQSFSVGYFSRERLSVTSTDAIDGFLFGCGQNNQGLFGGSAGLLGLGRHPISFVQQTSQKYYKAFSYCLPSTSSAVGHLTFGATRNKYVKYTPFTTSSSSSFYGLDISGISVGGTKLPISASLFTSGGAIIDSGTVITRLPPTVYASLRDYFRQGMAKYPNASALSILDTCYDLSGTKIVSIPKVSFFLGGGNTVEIPAPGILYAASLKQVCLAFAANGDDSDVTIFGNVQQRTLEVVYDVGGGKIGFGYNGCK >CAK8566531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433302366:433305906:-1 gene:gene-LATHSAT_LOCUS19655 transcript:rna-LATHSAT_LOCUS19655 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKIKQYGNVLDKPLNKGKTEVSLSAFAFLFSELVQYNQTQVDNIGELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNKFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLRREARLG >CAK8541718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:365236260:365236706:1 gene:gene-LATHSAT_LOCUS10614 transcript:rna-LATHSAT_LOCUS10614 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEGDIEDDIPIEVTGDVLRIRKILTNLVSNVIKFTHQGKVGIKLYVVPEPPFTKSEEFHQTATKDQSTVSSNVLKEEKHKSSSRSTICDQNIIDGKKTHWPTNPKSSI >CAK8578113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607811051:607811743:-1 gene:gene-LATHSAT_LOCUS30152 transcript:rna-LATHSAT_LOCUS30152 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWHNFVDETKACHGVDISTLAKPFAEEQSKYYLQLLALHHVLACRDTWWTGRFEAYLWDKNSWNNIQNKKGKQVYLGAYDTEEGFIHTPTE >CAK8570753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:107386525:107390294:-1 gene:gene-LATHSAT_LOCUS23481 transcript:rna-LATHSAT_LOCUS23481 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNNQPSKKSSRNSGEKPGIKFARRTSSGRYVNLSKDDIEMSSDVSGDYMNYTVHIPPTPDNQPMDNTSVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGAKGSSCAICDGKVMKDERGNDVTPCECRYKICRDCFIDAQKDSGMCPGCKEPYKVGEYEDDGNDYPNGALPLPAPGSKQNMSVMKRNQNGEFDHNKWLFETKGTYGVGNAYWPPDDDDEGDVLHGGVFDAAEKPWKPLCRRTPIPNGIISPYRLLIAIRLVVMFFFLHWRVAHPNEDAIWLWLMSITCEIWFGFSWILDQIPKLSPVNRSTDLEVLHEKFDSPSPSNPTGRSDLPGVDLFVSTADPDKEPPLVTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPDCYFALKVDPTKNKSKLDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMMKHMKESGADPSEPIKVLKATWMADGTHWPGAWAASAREHAKGDHAGILQVMLKPPSPDPLMRSENDKIIDFSDVDTRLPMFVYMSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAVREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPFYVGTGCMFRRFALYGFDPPSGDWDSKHAKNNTGEEVTETTPALNASEFDRDLDINLLPKRFGNSTMLSESIPVAEFQGRPLADHPAIKYGRPPGELRAPREPLDASTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSKNNAFLGSKRLKLLQRLAYLNVGIYPFTSLFLIVYCFLPALSLLSGFFIVQTLSIAFLIYLLTMTVCLVLLAILEVKWSGIELEQWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTSKSGGEDDDDIFADLYIVKWTSLMIPPIVIAMVNVIAIIVAFSRTVYSANPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAITLSLLWVAISPPTAGNGGQGAGGDFQFP >CAK8534581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744393023:744397366:1 gene:gene-LATHSAT_LOCUS4115 transcript:rna-LATHSAT_LOCUS4115-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHNLTHFVLFSLLILVPCLCLQDVLDNATTSVYVVTLKQAPTSHSYGVLTWLKDDSNGFKDNGRTQFQKPRYGNITKTDKRYGSYVTRVHDSLLKKVLKGEKYLKLYSYHYLINGFAVLVTQQQAERLSKSDEVSNVVLDFSVRTATTHTPQFLGLPQGAWSQNGGFDTAGEGVVIGFVDTGIDPSHPSFGDNKSQHPYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNMTQDYASPFDGDGHGTHTASVAAGNHGIPVIVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMSMSSFSPWILTVGAASHDRLYSNSISLGNNVTILGVGLAPGTDDNKLYKLIHARDALNNDTTVADDMYVGECQDASKYNRDLIKGNLMICSYSIRFVLGISTIKRASETAKNHSAAGVVFYMDPYVIGFQLNPVAMKTPGIIIPSTNDSKILMQYYNSSLEIDAVSKKVVKFGAVAAICGGLKANYSAASPKVMYYSARGPDPEDSLPRQADILKPNLLAPGNFIWAAWSSLGTDSVEFQGEDFAMMSGTSMAAPHIAGLAALIKQKFPNFSPAAIGSALSTTASQNDKSGGPIMAQRSYAFPDLSQTPATPFDMGSGFVNATGALNPGLIFDTSYDDYMSFLCGINGSAPVVLNFTGQNCLLYNSTLNGADLNLPSITLSKLNQSTIVQRTVQNIAGNNETYSVGWNAPFGVSVKVTPTHFSIANGEKQVLSVILNATTNNSVASFGKIGLFGNQGHAVNIPISVIFKISYSNITTS >CAK8534580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744392930:744397366:1 gene:gene-LATHSAT_LOCUS4115 transcript:rna-LATHSAT_LOCUS4115 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSELFFFFFILVRAASDSTLSSSVFIETLMGNHNLTHFVLFSLLILVPCLCLQDVLDNATTSVYVVTLKQAPTSHSYGVLTWLKDDSNGFKDNGRTQFQKPRYGNITKTDKRYGSYVTRVHDSLLKKVLKGEKYLKLYSYHYLINGFAVLVTQQQAERLSKSDEVSNVVLDFSVRTATTHTPQFLGLPQGAWSQNGGFDTAGEGVVIGFVDTGIDPSHPSFGDNKSQHPYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNMTQDYASPFDGDGHGTHTASVAAGNHGIPVIVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMSMSSFSPWILTVGAASHDRLYSNSISLGNNVTILGVGLAPGTDDNKLYKLIHARDALNNDTTVADDMYVGECQDASKYNRDLIKGNLMICSYSIRFVLGISTIKRASETAKNHSAAGVVFYMDPYVIGFQLNPVAMKTPGIIIPSTNDSKILMQYYNSSLEIDAVSKKVVKFGAVAAICGGLKANYSAASPKVMYYSARGPDPEDSLPRQADILKPNLLAPGNFIWAAWSSLGTDSVEFQGEDFAMMSGTSMAAPHIAGLAALIKQKFPNFSPAAIGSALSTTASQNDKSGGPIMAQRSYAFPDLSQTPATPFDMGSGFVNATGALNPGLIFDTSYDDYMSFLCGINGSAPVVLNFTGQNCLLYNSTLNGADLNLPSITLSKLNQSTIVQRTVQNIAGNNETYSVGWNAPFGVSVKVTPTHFSIANGEKQVLSVILNATTNNSVASFGKIGLFGNQGHAVNIPISVIFKISYSNITTS >CAK8561170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:110979115:110979561:1 gene:gene-LATHSAT_LOCUS14782 transcript:rna-LATHSAT_LOCUS14782 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIVGNSFLRIALTKVQQQTKANAGSSGQQHPVRMPTVTSSGTKFNDPHALAQLHQRSMNATADHSHNTSAIQVKSELTYSTMDISAKKSQEHDVQMVQPNQLPSSGSNVVIQETERSSVHIQGLNKQLQLPPTRFEHICATCIHNE >CAK8578344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622059773:622069206:1 gene:gene-LATHSAT_LOCUS30361 transcript:rna-LATHSAT_LOCUS30361 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGKRYHSRRDNDGDRKNQKRRGNESNDKGNNELVVYRILCPVEVIGSVIGKNGKVINSIRQETRAKVKVVDAFPGAKVRVITIYCFLKEKEEIEIDDEFDDRKPLCAAQDALLKVHVAILNSIEALRESDKNNRKWNDKVECQILVPSSQSANIIGKGGATIKKLRSKTRANVQVTAKDATEPTHSCAIEFDNFVSITGESEAVKRALFAISSIMYKFGPKENISLDTNVPEPSPSVIIPSEAPIFPHGGLYPASDPIISSAHIPQFLGATNVHDLQGYADAGNTWPLYSSSLPVMSGVDASLSEDLTIRMLCPADRIGRVIGKGGSTIKSMRQESGARIDVDDTKANHEECLIIITATESPSDLKSMAVEAALLIQGKISDEDDTSVSIRLLVPCKVIGCIIGKSGSIINEIRKRTGADIRISRSDKPKRADANDELVEVGGAVECVRDALIQIILRLRDDVLREKDIGHNSSTGGESFYSNGAGLSFPSMLPSVPSVAAPLVYDHRAEGATGPGMFSSSSLYGYGSLPMGENGYGSISSYASNPYGGLPPPSTRDMLIPANAVGKVLGKGGANIANIRKISGAAVEISDTKSGRGDRIALISGTLEEKRAAENLIQAFIMST >CAK8540868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26888686:26889138:-1 gene:gene-LATHSAT_LOCUS9828 transcript:rna-LATHSAT_LOCUS9828 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNMSHQAGQAHGQAQEKLSQAQENLSHHAGQAQEKLSHHTGQAQEELSHHAGQAQGQAQEKTSNLMDMASNAAHTAKETVQEAGQHVKATAQGAAEAVKNATGLNQK >CAK8534403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723344987:723345448:-1 gene:gene-LATHSAT_LOCUS3952 transcript:rna-LATHSAT_LOCUS3952 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNSQNPNPQNSQIPLVPTNPAIFLPSPKNPNMYLIPQMNSNSMEFSTQVPPFSTQVPPFSTQVGTEKEERVVVKKRSREQFTREEDILVIQSWLNVSKDPIVGVDQKAESFWLRIAASYNQYRGQLREKLGGQLKCR >CAK8542136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:477004916:477006717:1 gene:gene-LATHSAT_LOCUS11003 transcript:rna-LATHSAT_LOCUS11003 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNLSLTTHNLSKPALATDSETNVCMASPIQIARPPIKRRRKKISPRNLPKITDQELQQLSGDLNSTIVPLFEKTLTASDVGRLGRMVLPKPCVEAYFPPISEPGGVYLHIEDVKGKKLMFRFRFWPNNSSRIYVLEGVHPWIQSMQLQAGDFVTFSRMDPREILIIGFRKTSNSSTQVHEITLSNGMKREGMHLPQELVPEKKQRACDIGSKSKRLVIDNQDALMLKLTWEEAQDFLHPSPTVNSSIVVIEDYVFEEYEEPPIISKRGIFINGMNEKWIQCDKCSEWRKLGSVENLSEQRRCSCSAPMN >CAK8563790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631259015:631259359:1 gene:gene-LATHSAT_LOCUS17165 transcript:rna-LATHSAT_LOCUS17165 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKYVGVFVIMFVLLMGTFECRKFKKEEFVENFGGGGGLGGGGGGGLGGGFGGGAGGGSGGGSGGGYGGGLGGGTGGGLGGGGSGGGFGGGAGSGDGVGGGFGDGVSGWCE >CAK8567149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490904929:490908142:-1 gene:gene-LATHSAT_LOCUS20225 transcript:rna-LATHSAT_LOCUS20225 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYSTFDTNRPGLASLYQEGSMLTFEGQKIQGSQNIVAKLTSLPFQQCHHSITTVDCQPSGVNGGMLVFVSGNLQLAGEQHTLKFSQMFHLIPTPQGSYYVLNDVFRLNYA >CAK8567677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535211072:535211770:-1 gene:gene-LATHSAT_LOCUS20706 transcript:rna-LATHSAT_LOCUS20706 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVSLSTIALPMLSQKPGNSVKSTPSCMASFPLSRQMPRLGLRNVRAQAAGGGDNKDNSVEVHRVNKDDQGTAVERKSRRSSIDVAPFGLLDPWSPMRSMRQMLDTMDRIFEDAMTIPGRNIGGGEIRVPWDIKDEEHEIKMRFDMPGVSKEDVKVSVEDDVLVIKSENKEGNGGEDSWSRKSYSCYDTRLKLPDNSEKEKVKAELKDGVLYISIPKTKTERKVIDVQIQ >CAK8540190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543889643:543892103:1 gene:gene-LATHSAT_LOCUS9219 transcript:rna-LATHSAT_LOCUS9219 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTMLCLFSSILFIVLFRIFIKPFVSKRQHLPLPPGSMGLPYIGETFQLYSQDPNVFFASKIKRYGSMFKSHILGCPCVMISSPEAAKFVLNKAQLFKPTFPASKERMLGKQAIFFHQGQYHANLRRLVLRTFMPEAIKNIISDIESIAQDSLKSMEGRLITTFLEMKTFTFNVALLSIFGKDEIHYRERLKQCYYTLEKGYNSMPINLPGTLFHKAMKSRNELAQILAEIISSRREKKQDFKDLLGSFMDEKSGLSDEQIADNVIGVIFAARDTTASVLTWIVKYLGENINVLESVIEEQESIVKSKEENGEEKGLKWEDTKKMVITSRVIQETLRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPNNFKDPEKFDPSRFEAATKPNTFMPFGSGVHACPGNELAKLEILVLLHHLTTKYRWSVEGTKDGIQYGPFALPQNGLPITLYPKKIDIIPTKD >CAK8544291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676869840:676879643:-1 gene:gene-LATHSAT_LOCUS12983 transcript:rna-LATHSAT_LOCUS12983 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFAASFTARTCSTRRDAIPLKHRSLHHFINRRLTFLPTRTTLPSTRLVCMAEPYLLLKLESAEKTWKELSVKLADPDVVSNPSEYQKLAQSVSELDVVVSLYNKFKDCEKMLEDTKALTKDDGNDEDMAEMISYEVESLSKQLSELEDKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYEKFSERNSWKHSAISCSEAEKGGYKTYVMEIKGNRVYSKLKYESGVHRVQRVPLTETQGRIHTSTATVAIMPEVDEVEIVIDPKDIELTTARSGGAGGQNVNKVETAIDLVHKPTGIRIFCTEQRTQNQNKKLALQLLRAKLYEIKSREQQESIRNQRKSQVGTGARSEKIRTYNFKENRVTDHRLKRNFELTSFLGGDIEDAVQSCVSLEQKELMEELVETMGASTK >CAK8564593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1725781:1729195:1 gene:gene-LATHSAT_LOCUS17883 transcript:rna-LATHSAT_LOCUS17883 gene_biotype:protein_coding transcript_biotype:protein_coding MQICKVLMLMLHTFFFCFIIPTLSIQYETFTTITPNDSIQGNETLVSSSGTFEAGFFNFANSQLQYFGIWYKTISPRTYVWVANRDSPIQNSTLIPKLTYQGTLIIVDDSGRIVWSSNASTIAEKPVLKPVLSLLDSGNLVVKDGEKILWESFDYPGDTFLAGMKLKTSLLNGPDRSLTSWKSVEDPSLGEFSYHIDVHGFPQLVTTKGKVLFSRGGSWNGYAFGGVSWLRNLKLFKFSLVFNDKEVSYEYETLKNETITRLWLNPSGFAQRLVWSDREGDWEIISTRPMDQCEYYSSCDANSICNITNSPRTCQCLEGFVPKYYEKWNSLDWSGGCVRRVRLNCIGDWFLKHSGVELPDTSSSWFNKSLSLEECEKMCLQNCSCSAYANLDVKSNSGCLLWFGKIRDLTKHIDQGQDIFVRLAASELDHRRNEWSFSNKKLAGALGGITLFIMILGLVTFTYIKRKKLAKPGMLKIFHRKCEREKEDVQLSTLFGFSTISKATNHFSDGNKIGQGGFGPVYKGILEDGLEIAVKRLSENSEQGEEQFKNEVMLMAKLQHRNLVKLLGCSIHQEEKLLVYELMPNRSLDYFIFDSTRKEKLDLAKRFQIIDGIARGLLYLHQDSRLRIIHRDLKTSNILLDYDMNPRISDFGLARTFRGDQDESKTNRLMGTYGYMPPEYAIHGSFSIKSDVFSFGVIVLEIISGRKNRNFYDHHHNLNLLGHAWRLWNEEKAQELIDGLFHGTTIPCEILRCVHVGLLCVQQIPEHRPNMSSVVLMLNGEKLLPQPSEPGFYSRSVHHPIQVESSSRSCEDCSQNEASVSLLEGR >CAK8543244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591601383:591601710:-1 gene:gene-LATHSAT_LOCUS12014 transcript:rna-LATHSAT_LOCUS12014 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIVAALAASFGVAWVCDHFVSDKKIFGGSVCATASNEKWFEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRES >CAK8539456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513037322:513038686:1 gene:gene-LATHSAT_LOCUS8548 transcript:rna-LATHSAT_LOCUS8548 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSHVPPGFRFHPTDEELVDHYLRKKIASKRIDLDIIKDVDLYKIEPWDLQELCKIGSDEQTEWFFFSHKDKKYPTSSRTNRATKAGFWKATGRDKAIYSNNICLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPQEEGWAVCKVFKKRIATTMRRMDESPCGYDDQVSFMQELETPNRVFSSHSYASYQQHQQQHQQHYPCKQELNQLQYNISINDANNFLQLPPLESPKIPNLQCSSSSHSITHQEQQYYSQHQQQSMQMLYGGSNDLQAVVEQSKDWRVFDKHVASQPSHDQGASKETLTSYCNIVAEQIALLANESKKSETHEQEYATSTSNSSCQIDTWK >CAK8537374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:314365441:314369510:1 gene:gene-LATHSAT_LOCUS6669 transcript:rna-LATHSAT_LOCUS6669 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGLIVAASLAAFTVKQLNLGSSKSDHYEEKSRKHQAEDTEQEQVTSITDDSHHQRNDSEEEEEEEKEEVKLISSIINRANDFEDDDILPEFEDFLSGEIELSFPSDDNKDEKERVYEIEMAYNDSELERLRQLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQAERKKLQEELTNGASAKRDLELARNKIKELQRQMQLEANQTKGQLLLLKQQVSGLQVKEEVGARNDAEIEKKLKAVNDLEVNVVELKRKNKELQYEKRELTVKLNAAESRVAELSNMTESEMVAKVKEEVSNLRHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELKNQQAPSGKLSARDLSKNLSPKSQARAKQLMLEYAGSERGQGDTDLDSNFSHPSSPGSEDFDNASIDSFSSKYSSISKKTSIIQKLKKWGKVKDDSSALSSPSRSFSGSSPKRTSMSVKSRGPLESLMIRNASDSVAITTFGQGDLESSYSPETSTPASADLRKIPSTDSLNSVATSFQLMSKSSVDASVDEKYPAYKDRHKLAMARESDLKDKAEKARVQKFGNHSNLNMTKTERERPNISLPPKLSQIKEKPIVPESPNDQSEDGKNVENQNISKIKLADIEKRPTRVPRPPPKPSGGGSVSTNSNPANGIPSAPSIPPPPRPPGGPPPPPPPPRGLSRGAMDDDKVHRAPQLVEFYQSLMKREAKKDTTSLLVSSTGNASDARSNIIGEIENRSTFLLAVKADVETQGDFVTSLATDVRASFFSDVNDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVSTFIDDPKLSCDAALKKMYSLLEKVEQSVYALLRTRDMAISRYKEFGIPINWLQDSGVVGKIKLSSVQLARKYMKRVASELDALSGPEKEPAREFLILQGVRFAFRVHQFAGGFDAESMKAFEDLRRRIQTPQVGEEETKPES >CAK8578739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650672891:650677979:1 gene:gene-LATHSAT_LOCUS30725 transcript:rna-LATHSAT_LOCUS30725 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDVDADAVLSDVEDDGGDPIPIITNSQTSDDVSVERLREILAELDREKQARLAAEKAKSELQTSFNRLKVLAQEAIRKRDEFGRLRDEAVREKEEAAKQLEESAMERQSLRSEIEKSSHMMVTGIEKISAKVNGFGGSGSALPRSQKYTGMAAVTYGVIKRANEIVEELLKQNDASVKSRDQAREQVEQRNYEIAIEVSQLEATISDLRDEVAKKTSLVEGLERDLAIRDEKLDEVSESLRQEESEGLQLKELVSEYEDKLSNLESSMESLRPLLIDQLSFVSKIHNQICSVYKIIDDAGNSELSESLFVPQETEIGENIRASLAGMESIHELTRIVVQKVRDVVEEKNSEIKSLNETVNRLVKEKDQIGSLLRSALSKRMTSDPSSKKSELFLAAENGLREAGIDFKFSKLLGDEKLEASKEEDEIYSLAGALEDVVKASQLEIIELQYTVNELRAELSLLKQHIEAQAKELDHRMHRVEELEEKERVANENIEGLMMDIAAAEEEINRWKVAAEQEAAAGTGVEQEFVAQLSTLKQELEEAKQSMLESEKKLKFKEETAAAAMAARDAAEKSLKLADLRSSRLRDRVEELTHQLEEFENREDSRGRNRPRYVCWPWQWLGMDFVGIQQRSDTQQHTASNEMELSEPLL >CAK8568461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607771824:607780706:1 gene:gene-LATHSAT_LOCUS21416 transcript:rna-LATHSAT_LOCUS21416 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFAISSSLLGLKSFNFTHTRNRISNPFLSNTLPLLFPNRQFHSRPSPIMASAPLSSIHSELARSPPAIPMPTPPLTKFNIGLCQLSVTSDKDRNIAHARSAIQDAAAKGAKLVLLPEIWNSPYANESFPVYAEDIDAGGDASPSTAMLSELARLLKITIVGGSIPERSGDRVYNTCCVFGTDGKLLAKHRKIHLFDIDIPGKITFIESLTLTAGETPTVVDTEVGRIGIGICYDIRFPELAMIYAARGAHLICYPGAFNMTTGPLHWELLQRSRATDNQLYVATCSPARDNGPGYVAWGHSTLVGPFGEVLATTEHEEAIIITEIDYSILEPRRTNLPVTKQRRGDLYQLVDLHRLNSH >CAK8562054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:432091245:432092441:-1 gene:gene-LATHSAT_LOCUS15587 transcript:rna-LATHSAT_LOCUS15587 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFDSSPQTSHSNSTSPSGGGDVLLKWGKRKRSRVSRTLIEDSSSSVHVNQRKKFPAKFSSASMPPPPPLVSSSTNSNARVRKHNNPRNLEDSSEASRMSSIVSRSAEQKVSVSCIERSNRRMSCSSSAAKCDKKKRNGSSTTQASERLNSRDNDSNGEKVSVKVIEWPKIYIGLSKKEKEDDFLAMKGTKISQRPKKRAKNIDRTLQYCFPGMWLPDLTKSRYEVREKKSVKKQKCSRGLKGMGSLESDSE >CAK8543577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:622128337:622128777:-1 gene:gene-LATHSAT_LOCUS12325 transcript:rna-LATHSAT_LOCUS12325 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGNNNNNRNNAVVRYRGIRIRPWGKFAAEIRDPTRKGSRIWLGTFDSAEQAARAYDAAAFHFRGHKAILNFPNEFAHSTTSYYIPNTTSTANNTLPNSSSSSTYQQQQNDDILDQLACLDYKLLEEFLQEQDDAAAVSRQASE >CAK8531168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86318411:86319097:1 gene:gene-LATHSAT_LOCUS976 transcript:rna-LATHSAT_LOCUS976 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTNLSKPTSTLRPKLTQKPKLSTNSIIIHCSTNQTPNNQPDHANSNLKAFSAALALSSILISSPLPAVADISGLTPCKESKQFAKREKQSIKKLESSLKLYAPDSAPALAINATIEKTKRRFDNYAKQGLLCGADGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRGEKKPTQKEIIIDVPLASRLVFRGFSWPIAAYRELLNGELIAKDV >CAK8572295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527361393:527365711:1 gene:gene-LATHSAT_LOCUS24875 transcript:rna-LATHSAT_LOCUS24875 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFDSKPPARRKVKYAPKAPPKRVPKIEVKSEMVEEDNKASAAEAKELLRRFHENERKARMKVEKKVSASQIAFGSGGQSTYHKPYGVGSKTNGIESSAFVDANGKEYKEPWDLYSDYPIALPLRKPYSGNPEYLDKEEFGEAAESRTYDENTANSAAELGLLEENPEARIFMKLPPVFPMIKKPDADVKVNSKRPGGRKNEGKLFKLDEMPPGLIGKMIVYKSGKVKLKIGNTLYDVSSGMNCIFSQELVAMNAAEKHCSSIGEISKLATVTPDVDAALDCLGDLDL >CAK8567922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556904563:556905057:-1 gene:gene-LATHSAT_LOCUS20931 transcript:rna-LATHSAT_LOCUS20931 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPGVFVSGTVNWLADDVSGCSLSITVSLDLKTELYQNLPQPYLEKGYFTLGLGVIRDCLCIFAKTDMFLNVWIMKEFGNKESWTKSHGIPYVEIPGVVHFYTKVVYISEDDQVLIDGHEWIHLNLRLAVYNAKTGTFKIPKIPNINRLEPKVFIGSLISPCS >CAK8543079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574675566:574679459:1 gene:gene-LATHSAT_LOCUS11866 transcript:rna-LATHSAT_LOCUS11866 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKISKKHHKRFNNPFPSPPTTIPNVQGSLVINSKALSSQDQTFSIGNDFHLSWSIINGGHISISHLSQKNRPIWSTISGKAFVSAAVVDTEIEESRGSFLVKDKDVHLICNHQTIDEIRMINQYEVSAVDFPVTEEMKFPTLLITGRLFNMSKKNKRFQKYGVEGNVQFESKGPVVYARYWVLFDQKNKHEVGFQVKIEKLNFGSSDKVSPEGSGVYRGFKKRLSSRKKRLGWFWYLSRPRGFVLVSSVEDESGEMEISKPKEFNRIWLSYASDENERFYGFGEQFSHMNFKGKRVPILVQEQGIGRGDQPITCAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYTIFDLTKLDRVQIQIYGNSVEGRILHGNTPCELIEHFTETIGRLPELPEWIISGAVVGMQGGTDSVHRIWDELRTYDVPVSAFWLQDWVGQRETMIGSQLWWNWEVDEQRYWGWKKLIKDLSTQNIKVMTYCNPCLAPVEDKDNKNRNLFEEAKQLDILVKDGNGNPYMVPNTAFDVAMLDLTHPKTETWFKQILLEMVDDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAKINREIVEEWKSNKSLENSNENQDDGLVFFLRAGFKDSPKWGMLFWEGDQMVSWQANDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYRRSQELLLRWMELNSFTTVFRTHEGNKPSCNSQFYSNNQTLSHFARTAKIYTAWKFYRIQLVKEAARKGLPVCRHLFLHYPNDEHVHKLSYQQFLVGSEFLVVPVLDKGKKRVRAYFPLGEISGWIHVWTGKVFSKQGSESWIEAPIGYPCVFVKVGSIIGETFLNNLKNLGIH >CAK8569784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10738951:10739421:-1 gene:gene-LATHSAT_LOCUS22594 transcript:rna-LATHSAT_LOCUS22594 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEHVIEDSYMTDELDSVGDDESCDERPCVIMFNVEDSLSKDFVFNVGIEFSSLNQFKYAILEHNILNGDDVRFEKNDVNRCRVVCKDKTKCKYIVLCNRVLSSAIFRIKTWFAKHKCGRKFFNKSAKAAWVAKVIVDGVKNNSKMKLSEVVADV >CAK8560852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50050550:50052528:1 gene:gene-LATHSAT_LOCUS14496 transcript:rna-LATHSAT_LOCUS14496 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDNETYLKLFQPGSINHHRTCFFSKKAKVTTTIIALFCLVATFCYYFAASNNNIISGDYFIPNQDPSSIQTNKHQKQEYPLICTKGNKTKTQTCPRDYFPTKHNPTNQYSHVCPSYFRWIHEDLKPWKEQGITKEMLEEARRTAHFKIVIVDGKLYVEKYKKSIQTRDVFTLWGVLQLLRLYPGKLPDLELLFDCDDRPVVPSDKFHGPNASPPPLFRYCSNQWSLDIVFPDWSFWGWAEINIKPWNGLLKEIKEGNKMIKWEDRVPYAYWKGNPYVAPTRKNLLKCNVTSENDWNTRLYIQDWIKESNEGYKKSGLGDQCTYRYKIYIQGYAWSVSEKYILACNSMTLYVKSEYHDFFMRGMVPLQHYWPIRDNSKCTSLKFAVEWGNNHTDKAQAIGEAASRFIQEDLNMNNVYDYMFNLLNEYAKLLRFKPSIPQGAVEFCSETMACDLNGIERKFMEESMVMYPSDSNPCTIPPPYDSLTLQEVLERNVNSTRQVEIWEDEYWLNKNNG >CAK8570843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:127005014:127006543:-1 gene:gene-LATHSAT_LOCUS23558 transcript:rna-LATHSAT_LOCUS23558 gene_biotype:protein_coding transcript_biotype:protein_coding MALFEYITLFVVILFIIIYYIWRYNKNVVIPNWPIIGMLPSMLLNQSHIHDFTTLLVKHHGGTFLFKGPWFTNIANFILTGDPMNVQHVTSKNFSNYGKGSDFHEIFENLGVGIFNMDSNEWKQERTLLHSLLKAKTFEISLQQNIQKKLENWLLPFLDDQVTKGVQVLDLQDILERFSFDISCTFLFGFDFICHPYNFNEVSAISVLEDTMLYRHYIPKCIWKLQKWLQIGQEKKNKIAQQNFEQFLFKCITTYKGDEEKRRLRSSEDVDESHSCFLKELIMKRGLGKDEMVENDKYIRDTAANLLSAGTATISSGLSWFFYLVSTHPLVEAKIIQEIKDNYVSLEENLFTNLSMKELDKLVYLHGAIFEALRLYPPIPFEHKCAIKADILPSGHHVSANTKLIYSLYAMGRMEQVWGEDCLEFKPERWISDRGQIIHVPSYKFIAFNAGPRSCLGKDISLIQMKMVAAAMLWKFNIKMVEGQSITPRVSIVLRMKDGFKAKISKRLI >CAK8531801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152652665:152655913:1 gene:gene-LATHSAT_LOCUS1566 transcript:rna-LATHSAT_LOCUS1566 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIYTFLTIHRWESLNRMNYRLGSLRPVHGILALKFLNWLIKQPNLEINHLTHIFSTTTHILVRARMYTFAKTTLKHMLHMPIGFNNVFAALMETYPFCHSNPAVFDLLIRVCLRENMVGDAVKTFHLMGFRGFNPSVYTCNMVLASLVKDQKVDFFWSFFKDMLANGVSPNVATFNILLNALCGRSKFKSAAFLLRKMEETGHFPTAVTYNTLLNWYCKKGRCKEASELIDSMVSKGISADVCTYNMLIDSLCRKGRSAKGYLILKRMRKSMVYPNVITYNTLINGFVKEGKIGVATKVFEEMSPCNLLPSNITYNTLIFGHSSNGNIAEALRLFDAMVSHGLRPNEVTYGALLNGISKHAEFGLVSSILERMRMNGVIVNHISYTTMIDGLCKNDLLEEAVQLLEDMLKVSVTPDVVTFSVLINGFLRAGRINNAKEIMCKMYRAGLAPNSTLYSTLIYNYCKMGNLNEALNAYAVMNHSGHVSDHFTCNVLVSTFCRSKRLEEAEYFMDHMSRMGLNPDSVTFDCIIDSYGNSGDALKAFSVYDKMNSLGHLPSQFTYEGLLKGLLLGGHINEAKIYLHRLGNIPYAIGSLFYNTMLTLTSRSGNLSNAVTLLNEMVMNNFVPDDFTYTSLIAGLCRKGKMVPALLLSGRAIEKGLLSPNPAMYTSLVDGLLKEGHSKVALYIFEDMLNKGVLPDTIAFNVLLDRYSRKGEMSKVNDIISTMRSRSLSFNLATYNILLHGYSKRHDMAKCSQLYNKMIRHGCAPDSLTWHSLILGYSKSGSLDAAVKLLRKITIEGFKADCFTLNVLVSKFCERNEIKTALDLVKQMSMLGVTPNVDTYNALFNGFIRTCAFDEAHCILHALLENSYAPTCKQFTSLIKGMCRMGNIKGAMELQDEMKTLGIGSQGVAMSAIIRGLTRSRKTDNAVNVLDIMLEMRIIPTVATFTTLMHTYFKEANVAKALELRSVMEQCHVKLDVAAYNVLISGLCANGDVQAAFKLYEEMKLRDLWPNTSIYIVLIDSFCAGNYNVESEELLRDLQTRELMSLDFCGGTEKWKELLMIARKELIRTRYKTRRKYG >CAK8543461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609294818:609297920:-1 gene:gene-LATHSAT_LOCUS12217 transcript:rna-LATHSAT_LOCUS12217 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVQFQLSSCLWTPNSPSPQISGKLPNRPRFSTSSLAATPTLSSQSPTVQIIGNNTFDGNLGETDLWTHIDTNLYHWTKVLRPVQWFPGHIAKAEKELKEQLKLMDVVIEVRDGRIPLSTSHPQMDLWLGNRKRIMVLNREDMISTADRNAWAEYFTKLGTKVVFSNGQLGMGTMKLGRLAKELAADVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRLCPAAPRPGVTRTLRWIRFGKDLELLDSPGILPMRISDQSAAIKLAICDDIGERSYDVADVAAILVQMLSKLPTVGRDALCKRYKFHVDSECGNIFVEKLALHLFNGDVHQAAYRILSDFRKGKFGWTALERPPR >CAK8541876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:420033762:420035075:-1 gene:gene-LATHSAT_LOCUS10766 transcript:rna-LATHSAT_LOCUS10766 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPKFLHITVFPWLAFGHISPFFELSKLIAQKGHKISFISTPSNIKRLPPLPHNLKCFINFVELQLPHIDKLPENAEATMDIPPHIVPYLKKAFDGLQQPLTQFLETSTPDCIIYDFAPYWLPPITSKLGILSIYFSIFNAFAMCSGVGFIVRAQEADDKDNIPTSAYFEPNESGVSDMFRVKETLFGADVIAARSCIEIEGESLKSLENKCRKKVIPVGLLPPSLEFSEDKTDENWDTILKWLDKQEKKSVVYVAFGSEVILSDDELTEIAKGLELSSFPFLWILKNQDKHDWFAENDSNNKNGLIWNNWAPQLRILAHESIGGFLTHCGWSSAIESFQVGCPLIMLPFINDQSVNARLMEEKMVGVKVERNDEKFSRDSVAKALRLVMVEEEGKGYRSKAEEMKKIVGDKELHQKYIDEFVDYVELHIPASKQ >CAK8567352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507713910:507714583:1 gene:gene-LATHSAT_LOCUS20416 transcript:rna-LATHSAT_LOCUS20416 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEHAYSTRSLSAASEIGSSFVLESGFYITSFSTTIFIAGIAALGLLLITLLVSMAMMLQSCQNNSAGILELRNVNDDYSYYKVHSLHAKFNHLEEHNVPEICKDLAVQYIKGGQYARDLYLTKSVIEEYFNGVKP >CAK8537134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104891176:104891421:1 gene:gene-LATHSAT_LOCUS6447 transcript:rna-LATHSAT_LOCUS6447 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETRRKVEEMVLDILRKANIEEATEFTVRLAASERLGIDLSDSPSRVDYLSEPSSTLTSSPSPPTATNRMNRRKFPPRQ >CAK8561803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386556815:386559246:1 gene:gene-LATHSAT_LOCUS15359 transcript:rna-LATHSAT_LOCUS15359 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPIRRLYLILYNWTVLFGWLQVLYLSLKTLKELGHEHVYTAAEKPLLFAQTAAVLEVLHGLVGLVRSPITATLPQIGSRLFLVWGILWSFPETRTHVLVSSLLISWSITEIIRYSFFGFKEAFGFTPSWLLWLRYSTFLLLYPTGISSEVGLIYIALPFIKASEKYCIRMPNTWNSSFDFFYIAILALAIYVPGSPHMYSYMLAQRKKALAKSKTE >CAK8571739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466328576:466328962:-1 gene:gene-LATHSAT_LOCUS24373 transcript:rna-LATHSAT_LOCUS24373 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQKEVEKTDGDQNIPNIVDVETVTESLGAVVLSVGTTGQQDIHIHVGVQKCKWTRRENTKNMKLETSKIVKPKFTKRQLVDVMITEGPIEGCATGDKKRKQLVNEIEGHTKQSEVVLKDQHRLSK >CAK8575525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:150128610:150131152:1 gene:gene-LATHSAT_LOCUS27782 transcript:rna-LATHSAT_LOCUS27782 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFFHLKDRMEKKLTRSVSEIFYRHPTLIEDERTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIENPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEARMQDIFGDSDDEDNEDMDVTPIRAQAISLYNPPTHMQNICDEHDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHNVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLEETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIATCSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGSSNRPTR >CAK8566953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:475131752:475133847:1 gene:gene-LATHSAT_LOCUS20048 transcript:rna-LATHSAT_LOCUS20048 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEIARTVVGLIGNIIAGCMFLSPMPTFVGICKKGSVEKYSAVPYLATLMNCMVWTLYGLPMVHPHSLLVVTINGAGCVIEIIYITLFFIYSDSKKRLKVFFGLLLELIFISLLSFVSLTMIHSVNKRSAVVGTICMLFNVAMYASPLSIMKMVIKTKSVEFMPFFLSLASFSNGVSWTIYALIPFDPFIAIPNGIGTLFAVVQLILYATYYKSTKEQIAARENGKGEMNVSELVIGISNVNVIVQDETNINKIKTPSTIK >CAK8567853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550994505:550997630:-1 gene:gene-LATHSAT_LOCUS20870 transcript:rna-LATHSAT_LOCUS20870 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRWQNFVSMKNRIIPSLEHFSAFHSTPCSCHKWKSNPDIRGQQPSKNQIKFVTRQKRADAKKALKNLLYNSGSSRFAFEDKETKQKLDGNSNDRSNSHSRKGQPKSGQRFGGKPQKKTKQKIRRESFCEDVDDHGHPEQIFQATFGNRCYTWSYSNMRGSSSEHSTHGFEWRENTNRTNTNKWKSASDDEYDGNDKDDADSCFVGSSSDRTILGFPPKGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCVNAYKTLCNALSPA >CAK8570894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:153993549:153995414:1 gene:gene-LATHSAT_LOCUS23605 transcript:rna-LATHSAT_LOCUS23605 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGREGVVRQYVRSKVPRLRWTPELHRCFVYAIETLGGHQKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDSCNNQDRTISVHKRQSFQENDDGSIGKESDSLFSYTNFSPKRARIEKKSPFSRESLCEAVPSPYTRFYDYGQDMVQEQQKGIKNICVDGSIWKITPEPQYCTMLPKQETHLLKVTKLNEKKNVNEKSVPAKEEEDIRGGCELSLSISLPQKNNDVRDSGFSNYKGCSTVQNRINLDLSLAI >CAK8567492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518289760:518290221:-1 gene:gene-LATHSAT_LOCUS20539 transcript:rna-LATHSAT_LOCUS20539 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRGRGRGRPRLVPPSAGNPTMTDQHTAEEENYVGDETRTELLECGSQAGKEKGATDTETLNHLITEEAKSDEVASQTKKLRVNIINENRNPAKGLTMEFVALKIVDGEMEIQIEEEDVEKEVKFWKSALIMYVLSVDLSMNAVKQFMSKT >CAK8541177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:82896228:82896932:1 gene:gene-LATHSAT_LOCUS10116 transcript:rna-LATHSAT_LOCUS10116 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNSLHQKEHQTKTDTQKLKEEEEVRMPALCAARIFQLTKELGHKSDGETIQWLLQQSEQSIIAATGTGTIPASALASSGNTLTSQGSSLSSGLQLHQKLDERTSWAHQAHQVSSSSLWPHGFGFHQSSSGLTSTASENSSSYFQKIGFSGFDMPGTNLGGMSFTSILGGNPQMPPGLELGLSQDGHIGVLNQQALSQIYQQFGQNHQTRVHQHNQNQNQNTTKDDSQSSEQ >CAK8575764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:315778449:315778972:-1 gene:gene-LATHSAT_LOCUS28000 transcript:rna-LATHSAT_LOCUS28000 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWKQDFGNDTRFIEFYNLVFMQYNKKDDGSFEPLKQMNIDTGLGLERMTRILQKVPNNYETDLILPIIEKASGLANELERLTRVFTQNS >CAK8536366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935200914:935202864:1 gene:gene-LATHSAT_LOCUS5742 transcript:rna-LATHSAT_LOCUS5742 gene_biotype:protein_coding transcript_biotype:protein_coding MDNREINTTSSSNDNLLVLKRKRNLPGNPDPEAEVISLSPKTLMATNRFVCEICLKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKRVYVCPEKTCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKFYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFVTHRAFCEALSEETARINGSTNSLLAMGGYNNIMQNSLVPNIPTHYPSIFKPISCTDQASRSGLSLWMSQTSHDFHDTMANNNSNLHEIQQLENPPPHSNYHLNWVYGNKLSSNIGSQELTSTVSLPLVNKNNIDKDSASANNVVSVPSLYSSQQQPQSHNQTSSANMSATALLQKASQIGTSSTDSLFLGSLGSLRCNSPIQDLGDKFCGVYGSSSVLTTSHETEGKYSGEMSQMPPTKRRRAPNEEKVWGQTRDFLGVGGANANHLHPFINQRMDLI >CAK8570432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45675862:45679027:1 gene:gene-LATHSAT_LOCUS23179 transcript:rna-LATHSAT_LOCUS23179 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLQKTANSSTCSGEKCNGSAVSEFPAGLRVLVVDDDVTTLKIIERMSLRCHYRVTTCSEATVALKILRERKGCFDVVLSDVHMPDMDGYKLLEHVGLEMDLPVIMMSVDDRTSTVMKGIRHGACDYFIKPVRLEELRNIWQHVARKFLNENKEYDYSGSIEDNDRNARGNNDNDNTSSVSGITKGIVKEQKKRSNLKYEDVELENDDPSTSKKARVVWSVELHQQFVSAVNQLGLDKAVPKRILELMNVTGLTRENVASHLQCLTVVDNTIVNCNINWQKFRLYLKRLSGVAQQQNGMPNRVPGTIESKLAATGRYDIETLVASGHVSPETLTALHAELLGHPVTNIMPTLDQTALVHASVHGPKQSRADDPTEAYGSPHVNFPSNSVTNFPQSVFKVDDSSSGYGAWLPSNTLGSVSRGRLGILNNNMVIDILQHQQKHRHQQKQQHFPIHDQNRSINVQPSCRVVPAQSSDTFQAVNSVASVNKACSFDRNAIIDYSQSSEKSNNSSSTSQYPGGNTKATSISPLMSSFSVGSSNSNIQQIQNSTSTSGATRPLSSHLPMAFRQVPYDIKSSDSLDQSCLRNLGFVGKANCIPSFENKIESSASDFSHRKVFVASNGNTVKEEPDLIRYPNVGHLALQNYPSHDHSSAFT >CAK8570431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45675862:45679027:1 gene:gene-LATHSAT_LOCUS23179 transcript:rna-LATHSAT_LOCUS23179-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLQKTANSSTCSGEKCNGSAVSEFPAGLRVLVVDDDVTTLKIIERMSLRCHYRVTTCSEATVALKILRERKGCFDVVLSDVHMPDMDGYKLLEHVGLEMDLPVIMMSVDDRTSTVMKGIRHGACDYFIKPVRLEELRNIWQHVARKFLNENKEYDYSGSIEDNDRNARGNNDNDNTSSVSGITKGIVKEQKKRSNLKYEDVELENDDPSTSKKARVVWSVELHQQFVSAVNQLGLDKAVPKRILELMNVTGLTRENVASHLQKFRLYLKRLSGVAQQQNGMPNRVPGTIESKLAATGRYDIETLVASGHVSPETLTALHAELLGHPVTNIMPTLDQTALVHASVHGPKQSRADDPTEAYGSPHVNFPSNSVTNFPQSVFKVDDSSSGYGAWLPSNTLGSVSRGRLGILNNNMVIDILQHQQKHRHQQKQQHFPIHDQNRSINVQPSCRVVPAQSSDTFQAVNSVASVNKACSFDRNAIIDYSQSSEKSNNSSSTSQYPGGNTKATSISPLMSSFSVGSSNSNIQQIQNSTSTSGATRPLSSHLPMAFRQVPYDIKSSDSLDQSCLRNLGFVGKANCIPSFENKIESSASDFSHRKVFVASNGNTVKEEPDLIRYPNVGHLALQNYPSHDHSSAFT >CAK8541041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47330194:47332157:1 gene:gene-LATHSAT_LOCUS9993 transcript:rna-LATHSAT_LOCUS9993 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYKGPAIGIDLGTTYSCVAVWQGQNNRAEIIHNDQGKRTTPSFVAFTDSQRLIGDAAKNQAASNPANTIFDAKRLIGRKYSDPVIQNDIQLWPFKVVAGKDDKPEIRVMYKGEEKCFCAEEISSMVLTKMRDIAEKFLGSTVENAVVTVPAYFNDSQRKATKDAGAIAGLNVIRIINEPTAAALAYGLQKRANCAEERNIFIFDLGGGTFDVSVLTIKNNLFDVKATAGDTHLGGEDFDNRMVNHFVMEFKRKHNKDLGGNPRALRRLRTACERAKRTLSFDTEATIEIDALYEGTDFQSSITRAKFEQLNLELFEKCIKTVESCLTDSKINKSSVDDVVLVGGSSRIPKMQQLLQEFFMWKDLCLSINPDEAVAYGAAVQASLLCEDTGSSLNLVLRDVTPLSLGNSIRGDVMSVVIPRNTSIPVKITKNYFTIKDDQTIVPVKVYEGERLKARENNLLGLFRFSIPPAPRGHIPIKICFSIDVNGILNVSAEEDRCGNKQDITITNETGRLSAEEVKRLIREAENFKNEDTKFMKKVEAINSLDDYLYNMRKVMKDGSVTSMLNLIDKMKINAAMIKGKQLVDGKQEQETFVFVEFQRELESIFESAMKKINKS >CAK8570605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71084438:71084914:-1 gene:gene-LATHSAT_LOCUS23342 transcript:rna-LATHSAT_LOCUS23342 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWLFNIRQGDKKFKLELSYKNIRKIELHQPRGKTVKYLLLQLIRARRVFELYVPPPTSGADDPLYNYCKEFPDDQWIRTIDFTPERCIGQSTILCLELPSNQDLPDFRKNFTH >CAK8563194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582204375:582206629:1 gene:gene-LATHSAT_LOCUS16628 transcript:rna-LATHSAT_LOCUS16628 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPLSDDRSEIVFFDVETTVPTRPGQGFAILEFGAILVCPRRLTELRNYSTLVRPADLSLITPLSERCNGINAEAVSSAPSFADIAHAVYDLLHGRIWAGHNIIRFDCVRVKDAFAAINQTPPEPKGTIDSLVLLTQKFGRRAGNMKMATLATYFGLGQQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENSWVSPNAVTRSRSNGKSWSEGGLLNMNKDSVSVSSPETADKNHPILSLATSSTEVDVPNVGASFDFRDLQDEINRESVRADIAMNETSIQSPDSATSFSVLQTSSSSIAVLEPEKISISSIDVSLVPSYRGSQRIELLHDGFPFQLHCSGLKVRFGISTKFVDSAGRPRLSFVVDPSPSLCNVLEACDNVAHKLAAESGSGSDWRPVVIRKEGFYNYPTIRLHIPTAVCEEIAIYATEIYQKESSGSVQRLLFSKFDAEELGAMFKPGTFVDAVFSLDLYDYHQNAGIKLVAKKLIIHCK >CAK8532911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:549195020:549196228:1 gene:gene-LATHSAT_LOCUS2573 transcript:rna-LATHSAT_LOCUS2573 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISLSSHNILLLKHHSSSSSSSPQQHHPHHSLIHHRNNIKSFQNPTSKKPFFHRPNSLLSHNDTKPSSLPSLMLYYAENALFHQSQTTWEQLLNSSFNPSFNFISKLFKSYKKNQNFDQIINVLHSLNSKNSPLLPQFYSLAISSFGSAGNLKLMEETTHEMVSKGFLMDSKTGNEFLLCYAVYGSLKEMENAYGRCKRSRFLIEINVIRAMAYGYIKKRKFYELGEFVRDVGLGRRNVGNLLWNLLLLSYAANFKMKSLQKEFIRMVELGFRPDVTTFNIRALAFSRMSLFWDLHLSVEHMRSEKVVPDLVTYGCVVDAYLDRKLGRNLEFVLHKMDVDDRPRLSTDPFVFEVLGKGDFQLFSETFLEYKREEQKWSYRVLIGKYVKKHYRMDHIFWNY >CAK8566635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444566539:444567120:1 gene:gene-LATHSAT_LOCUS19752 transcript:rna-LATHSAT_LOCUS19752 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFEACLTVDVEGRSGGLTVMGKDTMRYSVLNFTRDFVNILIQDKKGIHPHPNVLCVGFHPAVSDYNLADIVIEGHQFTWIKIRSTDHVVEGRLDRALATPDWMDLFPNVKLVNLIISHLDHSPILLHCDPGHQKRRNYMFKFESCWLKGEDIDDVVQNRWRHGERIGSCAEELNNWNRARYRKMKKKMERH >CAK8573194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592861648:592873428:1 gene:gene-LATHSAT_LOCUS25669 transcript:rna-LATHSAT_LOCUS25669 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTAPPLLPFARTATTSSTKKKKNHQKQRALGNFGHFGQVVRKDMDFLKRGFNNGVAWANDAFRIPQITKKIDEIVWLRNLEDPIASNFSTPSWPEPWYPGLSGVDLLLSDLKALEVYASYFYHSSKIWSKPLPEAYDPQDVAHYFNARPHVVALRMLEVFSSFASAAVSIRASGLRKFLPINAEGDVDDKTSEYNFGLVLKETMLNLGPTFIKVGQSLSTRPDIIGVEISKALSELHDQIPPFPRTVAMKILEEELGAPLESFFSYISEEPIAAASFGQVYFARTIDGVNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDPRLYADELGKGFVGELDYTLEAANALKFREVHSSFSFMHVPKIFLHLSRKRVLTMEWMVGESPTDLFSVSTGKVSEYSDRQRVDAKRRLLDLVSKGVEATLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKRHQFAMLASIVHIVNGDWASLVRALIDMDVVRPGTNIRLVTMELEHALGEVDFKDGIPDVKFSRVLGKIWSVAFKYHFRMPAYYTLVLRSLASFEGLALAADKNFKTFEAAYPYVVRKLLTENSSATRKILHSVLLNQKKEFQWKRLSVFLRVGATRKALQLAASNSVTSSKHSPNKATGTFDIAYLILRLLPSKDGAALRRLLMTADGASLIKAMVSKEGKTIRQQLCKVIADALCQWMIKFFGQGVTDTQYPQYPRVMLANGPSNKKSGRSAQSSTPSYDDYNSIFRDRRLRVIFSKIVKSASSSKVLMLRFCWSSLVIMITASALASHRVVLSLSEAYLGPIFDAPERKRYAVSA >CAK8578741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650761891:650763441:-1 gene:gene-LATHSAT_LOCUS30727 transcript:rna-LATHSAT_LOCUS30727 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSVGARRLLYRSQISYYVKAGLIDTAIQLFDQMSQSNCRPFSIDYNRFIGVLIRHSHLDLAQSYYHRHVIPNGFSLTPFTYSRFISALCSVKNFSLIHSLLRDMDALGYVPDIWAFNIYLNILCRENQLEIALQFFSNMSLKGREPDVVSYTIIVHALCKAKRFDEVARVWRGLIESGLKPDLKACGALVVGLCSVGNVDLAYELVVGVISGGVKVNSLVYNALISGFCRMGRIDKALAIKGFMSRNGCVPDLVTYNILLNYCCDEVMLDEAVRLVETMERSGVGPDVYSYNELLKGFCKANQLDRAYLTMVKRMQSNGVCDVVSYNTIIGAFCKARRTERAYELFEEMRRKGIQPDAITFTVLIEAFLREGGFNVAKKLLDRMTEMGNVPDVIFYTTIFDHLCKSGNIDRAFVVFCDMVENGVSPDVVSYNALINGFCKSSRVMDAMRLYEEMQVKGLCPDEVTFKLVVGGLIKENKLSEACRVWDQMMEKGFTLDRHLSEILVNAIQSRDGT >CAK8542631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533769485:533769988:-1 gene:gene-LATHSAT_LOCUS11455 transcript:rna-LATHSAT_LOCUS11455 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDCHENSVTSPTSINTVKLFGINIQEEACLNQSPSLSESQLSESLNARKLYECQYCCREFANSQALGGHQNAHKKERQLLKRAQMQAARSFVPSNYHNTFMFAPPQHHFVAGGVASHAPSSSWLYTMQGASSGDRSHQHQARVGGFNRGSDCSEGFGLNLRLGL >CAK8579352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693477213:693479590:1 gene:gene-LATHSAT_LOCUS31304 transcript:rna-LATHSAT_LOCUS31304 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSEPFILAVAVTALCFFLALFSLIRPFSFRFSLTRFTPPFHHCNCASSDSQDSVPYLNGSVAEMMEISPSPAPVVLTDRRSGCSMMEELVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQSSVTPRNGWKAYYAATRAIVIVNTEFFNIVRDKSIPAMRRFWLNADYVKCIHASGELFSGYNGVMRGWQLVFNWEQGLNFQVRDVRARVLADMAWVTMKTYVDMDTGPFNVTNVFEFHNGRWYMVHHHSSVMNGDVEQQIMHG >CAK8571977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499886565:499886879:-1 gene:gene-LATHSAT_LOCUS24588 transcript:rna-LATHSAT_LOCUS24588 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKFTPAYLQSAGINVRQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIIFKTPVSPVNALGTAVGLAGVFLYSRVKQIKSKPKAV >CAK8564870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14622766:14624677:1 gene:gene-LATHSAT_LOCUS18126 transcript:rna-LATHSAT_LOCUS18126-2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPSSRKLYFTFPPHSTFREEDVFCYFSIFGPVQDVRIPYQQKRMFGFATFLYPETVNLVLSKRNPHFVCNDSVIVKPYREETVNLVLSKRIPHFVCNDCVIVKPHREDIVKPHRETVNLVLSKRIPHFVCNDCVIVKPYRGEIPDKKLATKNVEVNDIVHKDMLSDLPDCVILHILSFLSIKHAVGTCILSSRWKDLWKCLPAFIFRRSDFPTNKIFTEFVSKVLLLRDSSVSLHTLDIENRSIPVKPHILEWFVNYAISHNVERLRLSVTSGTAQIPVALFSSQTLTHLTLSICYGCQILFPKSLNLPALSTLELEYFTFSVDDNDCAEPFSTFNMLNRLLISDCTVKGLGTLYISNAALVNFTIFSDLESYSKIVLCTPSLCTFAFRGVPYRDIFGSNISSLKHVDIDAIDAGVFPYSCRSPLILFNWLSEFDNIKSLTVTASTLQVLSLIPGLLKIKIPSLGKLKSLKVKIDEIQYELRLTLCHEKLWNIESKKEAARIQKAFDLGLEPYPLVPDGIVDFLLQNSPSAEVDLVDCRKKPLRKRHL >CAK8564869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14622748:14624677:1 gene:gene-LATHSAT_LOCUS18126 transcript:rna-LATHSAT_LOCUS18126 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTGSMITPSSRKLYFTFPPHSTFREEDVFCYFSIFGPVQDVRIPYQQKRMFGFATFLYPETVNLVLSKRNPHFVCNDSVIVKPYREETVNLVLSKRIPHFVCNDCVIVKPHREDIVKPHRETVNLVLSKRIPHFVCNDCVIVKPYRGEIPDKKLATKNVEVNDIVHKDMLSDLPDCVILHILSFLSIKHAVGTCILSSRWKDLWKCLPAFIFRRSDFPTNKIFTEFVSKVLLLRDSSVSLHTLDIENRSIPVKPHILEWFVNYAISHNVERLRLSVTSGTAQIPVALFSSQTLTHLTLSICYGCQILFPKSLNLPALSTLELEYFTFSVDDNDCAEPFSTFNMLNRLLISDCTVKGLGTLYISNAALVNFTIFSDLESYSKIVLCTPSLCTFAFRGVPYRDIFGSNISSLKHVDIDAIDAGVFPYSCRSPLILFNWLSEFDNIKSLTVTASTLQVLSLIPGLLKIKIPSLGKLKSLKVKIDEIQYELRLTLCHEKLWNIESKKEAARIQKAFDLGLEPYPLVPDGIVDFLLQNSPSAEVDLVDCRKKPLRKRHL >CAK8562199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456295028:456298046:1 gene:gene-LATHSAT_LOCUS15717 transcript:rna-LATHSAT_LOCUS15717 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLPFLPEVQTADRKVPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFIVNLLGKWKESEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >CAK8574596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3319764:3320969:1 gene:gene-LATHSAT_LOCUS26926 transcript:rna-LATHSAT_LOCUS26926 gene_biotype:protein_coding transcript_biotype:protein_coding MEESWENFNLHMELDYNCDTINIDDGDDFLREILLETPQTGENDSVNCDVEVVNNMIKSDCSDSVVSEQQEEHGQSKNVGGPCCLPKTFILSFDNSTVIPAATPQPCVNSEAKRDSKNKRSRESNEKMKRNEEKVVKKSRSRFQCADHIIAERKRRQELTERFIALSATIPGLSKTDKASILRAAIDYVKNLQERVHELEKQNNNIGVTSMMVLNNSDETSTDDDYDCNKNTTPEIEARVMGKEVLIEIHCEKQIGIELKVLKHVENLELFVTGSSVLPFGKSDISITIIARMGGGYKVRVDDLVKSLRRVILKSEMGCESDLF >CAK8541452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:178268937:178269404:-1 gene:gene-LATHSAT_LOCUS10372 transcript:rna-LATHSAT_LOCUS10372 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKNVTQTSLIFVINGEKFELSSVEPSTTLLEFLHTQTRFKSVKLGCGEGGCGACVVLISKYDPLLDRVDDFSASSCLTLLCSIHGCSITTSEGIGNSKQGFHPIHQRFAGFHASQCGFCTPGMCVSLFGALVKTDKNDSPEPPAGFSKNQCF >CAK8530191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4869920:4870549:-1 gene:gene-LATHSAT_LOCUS79 transcript:rna-LATHSAT_LOCUS79 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVEFLPHSQGYKAGVNYFLDFAFTKGKVEEEEILCPCAVCCNDCWEVRDVVYDHLCRKGFVKGYTKWIYHGEDESLMDLDDIDNETSSHDDIDGLLFETFKDVAEGCGVHEGLNEDATKFYKLVDDANQELYPGCEKFSSLSFTIQIYLLKCLHGWSNASFTTLLELLKEDMPDLNIPVSFNKTKSMIKDLGLDYKKIDACPNN >CAK8567498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518808577:518810694:1 gene:gene-LATHSAT_LOCUS20545 transcript:rna-LATHSAT_LOCUS20545 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVGQVIKCKAAIAWEAGKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDQALPVFTGECGDCPHCNSEESNLCDLLRINIDRGVMINDNKSRFSIKGQPIHHFVGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGICTGYGATVNVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVTDFINPKDHERPVQEVIAEMTNGGVDRAVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDVFKTHPLNFLTERTLKGTFYGNYKPRTDLPNVVEKYMKGEMELEKFITHRIPFSEINKAFDYMLKGESIRCIIKMEE >CAK8573008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576777689:576778483:1 gene:gene-LATHSAT_LOCUS25503 transcript:rna-LATHSAT_LOCUS25503 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRNQELFYLVSLIFVLLATNVHALSFDFPKLTPGDSSIILQGDAQILANGVLALTNSTPLPPTTTFSSTGRALYTTPVPLWDSATGNVASFVTSFSFVTKLTGGFQTDGLVFFIAPVDTVIPNNSNSQYLGVVDSKSSINRFVGVEFDLYPNPFDPYLIHIGIDVNSLISTKTVKWNWVSGSLNKVSIIYDSPSNALTAVITYENGQISTISQEIDLKAVLPNNVRIGFSATSITGVAQEIHSWSFVSDLKTTTSSSVSDI >CAK8570636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:77988799:77992610:-1 gene:gene-LATHSAT_LOCUS23372 transcript:rna-LATHSAT_LOCUS23372 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSFFSPTSSDIAIFSHSLTPSLSLQTPTTTKTKTFLKRRTGSSSVRPLVCAATNNPLQYRKLGDSDLNISEITLGTMTFGEQNSEKQAHDILSYAFEHGINALDTAEAYPIPMKKETQGRTDLYIASWLKSQSRDKIIVATKVCGYSERSSYLRDNADILRVDSANIKESVEKSLNRLGIDYIDLLQIHWPDRYVALFGDYSYDPSKWRPSVPFVEQLQAFQELINEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRSRFETDLVEVCHPKNYNIGLLSYSPLGGGSLTGKYIDINSEAAKSGRLNLFPGYMERYNKSIAREATIKYLELAEKHGLTPVQLALGFARDRPFMTSSIIGATSVNQLKENIDAFTTTERPLPAEVMADIEAIFKRYKDPTIF >CAK8577376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:558385213:558385542:-1 gene:gene-LATHSAT_LOCUS29490 transcript:rna-LATHSAT_LOCUS29490 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLCRKLQLLKISLKGWNRSIFGIVSQNVLLAEANLEKTQAQNLSTGMDEAFLKQELEVQDALNSALNMEEAFWMEKPRLKWHLGGDRNTVFFIEFLKFETPSNLSLF >CAK8544912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711642156:711643986:1 gene:gene-LATHSAT_LOCUS13555 transcript:rna-LATHSAT_LOCUS13555 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMGSLFPLTLKPQSSFHPLFHFNTLTPTFTSPCSQSQSNTTTNPHNSQPNPSWVSFPFTHFIKKVAALTTHNRVSNENQQGSCCYLQNGGLGVALLSVTANAKVKISPFVATLASNPTFVSGLFAWFVAQSIKVFLNFCMERKWDLRLMFASGGMPSSHSALCTALTTSVALSHGVADSLFPVSLGFSLIVMYDAIGVRRHAGMQAQVLNMIVADMFQGHPISERKLKELLGHTPSQVFAGALLGCLVACLCCQGCVLVG >CAK8544913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711642171:711643986:1 gene:gene-LATHSAT_LOCUS13555 transcript:rna-LATHSAT_LOCUS13555-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFPLTLKPQSSFHPLFHFNTLTPTFTSPCSQSQSNTTTNPHNSQPNPSWVSFPFTHFIKKVAALTTHNRVSNENQQGSCCYLQNGGLGVALLSVTANAKVKISPFVATLASNPTFVSGLFAWFVAQSIKVFLNFCMERKWDLRLMFASGGMPSSHSALCTALTTSVALSHGVADSLFPVSLGFSLIVMYDAIGVRRHAGMQAQVLNMIVADMFQGHPISERKLKELLGHTPSQVFAGALLGCLVACLCCQGCVLVG >CAK8568954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659363354:659363614:-1 gene:gene-LATHSAT_LOCUS21859 transcript:rna-LATHSAT_LOCUS21859 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSPRRSKTNGGGLFSCWRGLKLKIPWKRRTNNTYSHDGGFRYDPLSYAQNFDDGKWEEDDEESLGRGFSARYAAPSGKIFKQ >CAK8567897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555384235:555385083:1 gene:gene-LATHSAT_LOCUS20911 transcript:rna-LATHSAT_LOCUS20911 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDRPLSRKNPLKVKKESHKITNDAPLPKPMKPTRLIPNRMAIYSVPQGVIQVTAQNFKDVVQSLTGNGQPTAPSEASPAARLASTERTASKKKEKLSCRTGDDDMMRMLDNGVQMSQFPGILLPEPATSQPFPSENFLSQTPSDIFSSDPWPMIPSDNFSAETMPPTRSDILTTDTWPPIPPDFFSGITWPVTPTDTFLQDTFPMTPSGIFSPGTWTPETLQQRRAGVFSPILSPQSQTVVSYNDVWPENNAFAKPSEFLSPEVSSPQSPPMQFNPFDYN >CAK8579217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684221464:684225211:-1 gene:gene-LATHSAT_LOCUS31181 transcript:rna-LATHSAT_LOCUS31181 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLPSKTLAFSRKSLLGLISPAPLQFNCNVGYFQKISKSSVRYRHFLSSEVILRKNCCSFYSSVSAVKKASRKLICSVATEDLAKQVEEPKMAAPTEIFLKDYKKPDYYFETVDLKFSLGEEKTIVSSRIAVFPRTEGSAPPLVLDGQDMTLVSIKINGNALKEEDYHVDPRHLTIQSPPSGKYDLEIVNEILPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTVHIEADKSLYPVLLSNGNLVGQGDLEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDTFTTRSGRKVSLRIWTPADDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIGDVSKLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKLLYF >CAK8562467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:497245624:497245982:-1 gene:gene-LATHSAT_LOCUS15959 transcript:rna-LATHSAT_LOCUS15959 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDILSISITTVTSESAFSIGSRVLNKYRNSMKKESVEALMCTKSWLYDFEEFDNDINTNQDGLSGQASNTFDHVNVFEEN >CAK8565057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32145281:32147765:1 gene:gene-LATHSAT_LOCUS18298 transcript:rna-LATHSAT_LOCUS18298 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNSTTIKTPSFNYPNSIITKLSSSKPTIKFPFFTNKKPFLQLRTCSVSETSVTTTQIDTEEDKETTQIETEQDKETTQIETEQDKEDPTAETCYLDPETDPAKILSWELDFCSRPILDARGKKLWELVVCDKSLSLQYTKYFPNNVINSITLKDSIVGICDDLDLPLPKNIRFFRAQMQTIITKACKELDITALPSKRCLSLLLWLEERFETVYSKHPGFQTGSKPLLPLDNPFPTKLPEDLFGERWAFVQLPYSAVREEASASEERFGYGSGLDLDLLGIEIDEKTLIPGVAVASSRAQDLSALMNELELCAIEADAARANLTLSVAISTRYVYATYKKSPTSIKEAEAWEAAKKASGGLHFLAIQNELDSEKCVGFWLLLDLPPPPV >CAK8572356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531555264:531556937:1 gene:gene-LATHSAT_LOCUS24929 transcript:rna-LATHSAT_LOCUS24929 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8571111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:299944641:299946464:-1 gene:gene-LATHSAT_LOCUS23804 transcript:rna-LATHSAT_LOCUS23804 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSWFGWVKSLFISESKGKNQKKWGWRLGRIKQKQYPTITASKRTLIEANAEQRKHALAVAIATASAAEAAIAAAHAAAEVVKLTGGASRSYSYLSKGDRSLAAVKIQSVYRAHLARKALRALKGVIRLQAIIRGQAVRRQVIRSLKNVPSNAKIQKENQDRSSRTEEETYKNGLIKQFPKEKKKIEENDLKAECYSQRTWNCSSHSREDIEAIWLRKQEAIVKRDRMRQYSSQKERTFSQKVEEFGGDSCRTLGEWLHKEISDWDVLYKPSHLSNITLKNEVQEELSSKISVQRKSFSHVKRSSIGDESSMPNFPVFPKYMSLTESSKAKVRSMSTPRLRAGFLDMCSYQNEHQCMNF >CAK8537434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:355131794:355132987:1 gene:gene-LATHSAT_LOCUS6726 transcript:rna-LATHSAT_LOCUS6726 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSLYTLITLYLSFVPLISFYLGLFLHLFHRFHLLLLLNIHEIGKPFEMFNGITDCTELWKLAVKIHHKWKVTTTMKEHFEMVVVDKQGHDIHVVVPTIFRQAFDSGLSVNMTCTMSNFQVQPNHLIFKPTPHKYLLKFTGGTRIGDIGKYDIPDKVINLTPFADIISGKWPKNLLINVIGVIDEIGYSQPQFGGKKPQVNLVLRDLCDNALHCTLWKAMLWSSLTTIKNNFIRQHQLLFS >CAK8537284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:203473831:203474343:1 gene:gene-LATHSAT_LOCUS6583 transcript:rna-LATHSAT_LOCUS6583 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNNRESFSRNEARVRTVVELGGFYTESSEKQEKKTFRNSLSARQMKSLISLCDTLLPSINDRNVVASSDESVNKFYRTSASMVGTHEHLGVLLSEKLEHLSTWLFMISLWLLSTWFGTLILCGAACLSTKLPFFHSYPHLSPEKCEKKYCRVGLKVTFEPLGCSPEP >CAK8572086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508578761:508579315:-1 gene:gene-LATHSAT_LOCUS24688 transcript:rna-LATHSAT_LOCUS24688 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNKVVSPNQTGFIPSRNFQENIIVAQELLHNMNKLKGKNEYFTIKVDLAKAYDMLNWKYVEEVLKKMCIPQNLTCIIMPTITIVNMRVIWNGQRGDYFKLKKGFHQGYLISPYLFFLCIDKLSHMIMDVVDKKELECMKVGRRGPRISHFMFANDLILFGKATEQQVTCIMKVLKKFCEVSG >CAK8531451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111317223:111320266:-1 gene:gene-LATHSAT_LOCUS1242 transcript:rna-LATHSAT_LOCUS1242-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNSRIQTTPLPTLIFSFIICIIISQTVADSQLAYGYTVTTVTNNPASNSFSANLKLIKPSSVFGTDIPFLNLTASFETKDRLRVRITDSLNKRWEIPHELIPRDSSSSSSLSHSFLLQNPQNSKHILTHPNSDLIFTLHNTTPFGFTVSRKSSKDIIFNTLPENPLNPEKFLVFKDQYLQLSTSLPAKRASLYGFGEHTKSSFKLQPNQTFTLWNEDVGSSNLDVNLYGSHPFYLDVRKGSSDGRVKSGATHGVLLLNSNGMDVVYSGDRVTYKVIGGVFDFYFFAGSSPELVLEQYTELIGRPAPMPYWSFGFHQCRWGYKNVSDLQGVVTNYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPLHKMRTFVDTLHKYGQKYILILDPGINVNNTYATYVRGLQADIYIKRNGINYLGEVWPGPVYYPDFLNPHSQEFWGDEIKLFRELLPFDGLWLDMNELSNFITSDATPHSNLDNPPYKINSNGVQRAINNKTVPATSLHYGNITEYDSHNLYGLLESKATYKALVSITGKRPFILSRSTFVSSGKYTAHWTGDNAATWNDLAYSIPSILNSGIFGIPMVGADICGFSGDTTEELCRRWIQLGAFYPFARDHSDNNATSQELYRWDSVAASARKVLGLRYRLLPYFYTLMYESNTKGTPIARPLFFSFPEDTATYEINSQFVLGKGVLVSPVLQSGATTVDAYFPKGNWFDLFNFSNSVSVESGKTVTLDAPSDHINVHVGEGNILALQGEAMTTEAARNTSFELVVVFNGTGNSYGQVYLDDGEAVDIEEKEQWTLVRFYGELNSNIVSVRSNVTNGKFALDQKWIIEKVTLLGIPKNERLSRIDLAETESELNVVNGMNSVKKRVLKTKFDRSFEFVTVEVSNLKQLIGEEFKVETKIR >CAK8531450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111317223:111320299:-1 gene:gene-LATHSAT_LOCUS1242 transcript:rna-LATHSAT_LOCUS1242 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHELIKTSKMVTNSRIQTTPLPTLIFSFIICIIISQTVADSQLAYGYTVTTVTNNPASNSFSANLKLIKPSSVFGTDIPFLNLTASFETKDRLRVRITDSLNKRWEIPHELIPRDSSSSSSLSHSFLLQNPQNSKHILTHPNSDLIFTLHNTTPFGFTVSRKSSKDIIFNTLPENPLNPEKFLVFKDQYLQLSTSLPAKRASLYGFGEHTKSSFKLQPNQTFTLWNEDVGSSNLDVNLYGSHPFYLDVRKGSSDGRVKSGATHGVLLLNSNGMDVVYSGDRVTYKVIGGVFDFYFFAGSSPELVLEQYTELIGRPAPMPYWSFGFHQCRWGYKNVSDLQGVVTNYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPLHKMRTFVDTLHKYGQKYILILDPGINVNNTYATYVRGLQADIYIKRNGINYLGEVWPGPVYYPDFLNPHSQEFWGDEIKLFRELLPFDGLWLDMNELSNFITSDATPHSNLDNPPYKINSNGVQRAINNKTVPATSLHYGNITEYDSHNLYGLLESKATYKALVSITGKRPFILSRSTFVSSGKYTAHWTGDNAATWNDLAYSIPSILNSGIFGIPMVGADICGFSGDTTEELCRRWIQLGAFYPFARDHSDNNATSQELYRWDSVAASARKVLGLRYRLLPYFYTLMYESNTKGTPIARPLFFSFPEDTATYEINSQFVLGKGVLVSPVLQSGATTVDAYFPKGNWFDLFNFSNSVSVESGKTVTLDAPSDHINVHVGEGNILALQGEAMTTEAARNTSFELVVVFNGTGNSYGQVYLDDGEAVDIEEKEQWTLVRFYGELNSNIVSVRSNVTNGKFALDQKWIIEKVTLLGIPKNERLSRIDLAETESELNVVNGMNSVKKRVLKTKFDRSFEFVTVEVSNLKQLIGEEFKVETKIR >CAK8539682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521533912:521536383:1 gene:gene-LATHSAT_LOCUS8759 transcript:rna-LATHSAT_LOCUS8759 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVSRIMRLFYAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERILETRDELHRMLSEDELRDATLLVFANKQDLPNALSVAEITDKLGLHSLRQRRWYIQSTCATSGQGLYEGLDWLSSNISNKIR >CAK8569404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699119709:699120683:-1 gene:gene-LATHSAT_LOCUS22260 transcript:rna-LATHSAT_LOCUS22260 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSIPILVLLFIASCCSAEQCGTQAGGALCPGGLCCSKFGWCGSTLEYCGDGCQSQCSGSSGGGTLSSLISSDTFNNMLKHRNDNACQGKGFYSYDAFLTAAKAFPNFANNGDTATRKREIAAFLGQTSHETTGGWPTAPDGPYAWGYCFLREQNPSDYCQASSEFPCASGKQYYGRGPIQISWNYNYGQCGRAIGVDLLNNPDLVATDPVISFKTALWFWMTPQSPKPSCHDVITGGWSPSSADRAAGRLPGYGTVTNIINGGLECGRGQDSRVQDRIGFYKRYCDIFGIGYGNNLDCYSQRPFGSSLSLSSILLDTVAAA >CAK8566067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376264440:376264742:-1 gene:gene-LATHSAT_LOCUS19234 transcript:rna-LATHSAT_LOCUS19234 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLIDYAKKNVEKLLDGALKELRYICCFTYIANEFEEEKAWLKAKRRTIGQRVQLAKGRGEDVQANALFWEEEVDKIIEEDSKTKQKCFFGLCPDCIW >CAK8560680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35557945:35571968:-1 gene:gene-LATHSAT_LOCUS14338 transcript:rna-LATHSAT_LOCUS14338-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRGKKGEKKKKEEKVLPVVMDITVNLPDETSVVLKQGISTDRIIDVRRLLSVNTETCYITNFSLSHEIRGTRLKNTVDVSALKPCLLTLVEEDYDEEGAVAHVRRLLDIVACTTSFGPLSPPKNGAKSSKSQSPPEKQSPKDAAVADGDGEISHSCPKLDSFYEFFSLSDLTAPLQYVKKASRRNVEEISEEDHLFSLDVKVCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQISRAFDNAYDDLLKAFSERNKFGNLPYGFRSNTWLVPPIAAQSPSSFPPLPVEDENWGGNGGGLGRDGEYDLIPWANKFSYIASMPCKTAEERQVRDRKAFLLHSLFVDVAIFRAIRAVKHVLEDPSFSCSAAENDIYSEIAGDLRIRVLKDGSVASCKIDSKIDGVEATGVNQKDLVERNLLKGITADENTAAHDITTLGVVYVRYCGYVVVVKVEGEANEKVNSSSHQNNDLFDQPEGGANALNINSLRFLLHSTALPENNKQMTEIQMFESKELGGTDTFVEKLIKKSLAKLEEEELSSDYFVRWELGACWVQHLQDQNSTEKDKKPTLEKANNDMKVEGLGKPLKALKNNKKKSDSNNPNFASEHSKSNLEAENAALSSSESQHETAAAENELVLKRMLSEAAFTRLKESGTGLHCKSMQDLVDLSQKYYMDVAIPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISAVVDKEKTASSIAGALNLLLGVPENRETDESCDVHPLVWKWLERFLKKRFDWDLNRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPFPFQKSDIVSLVAVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDTKGRDAAAKRRNQVRAISYQNNVSVSSDESSKEIQKEASDEELHIPELEGSAESENESNSAPEPEQPILEKIPDEKPQTSNELLLSEAHPDGDDGWQSVQRPRSAGSYGRRLKQRRSTLGKVHSYQKNVEVGTEYPSAKSANQNSRYYFLKKRTAHHGGYADNRAVNITQGTKFGRKAGKAVVAYRVKSTPSASITVANETLEICDKEPDSIDVNPVKTSIVSLGKSPSYKEVALAPPGTISKLQVYNPQSETSVCREHDVGKHEEENVEAQRNINPTPKEAKNVFKEKSDDSLSDSIEDSQDDTVVSTEKKEETQLNKVVQDNCVAAEGLESGDLEAQGAVDSSVMIDAVEDVVDSYKQELVANNPPCSFEPSDNTSSSPLGGEDLGVNLLSPSQSQAGGIPYKKLSASAAPFNPSPAIARAAPIAINMTHPSGPGAVPAIGPWPVNMNVHPGPVSPMCSSPHHAYPSPPTTPNMIQPLPFMYPPYSQPQSVQTSSAFHANHFTWQCNLNPVISKFGPGAIWTGCHPVEFPRPVPIVESIPDIISEPQVQFHTAESPSSAPVLLEDVDKAGDPNKEVKTLGLEISEDELVRVGSESIKENGNPNFPGTNNAGNEPNQNVGSNSNTSCSEMNMDDEKTFSILIRGRRNRKQTLRMPISLLTRPHGSQSFKVIYNRVVRGSDSPRSINFSSSKHCTATA >CAK8560681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35557945:35571968:-1 gene:gene-LATHSAT_LOCUS14338 transcript:rna-LATHSAT_LOCUS14338 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRGKKGEKKKKEEKVLPVVMDITVNLPDETSVVLKGISTDRIIDVRRLLSVNTETCYITNFSLSHEIRGTRLKNTVDVSALKPCLLTLVEEDYDEEGAVAHVRRLLDIVACTTSFGPLSPPKNGAKSSKSQSPPEKQSPKDAAVADGDGEISHSCPKLDSFYEFFSLSDLTAPLQYVKKASRRNVEEISEEDHLFSLDVKVCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQISRAFDNAYDDLLKAFSERNKFGNLPYGFRSNTWLVPPIAAQSPSSFPPLPVEDENWGGNGGGLGRDGEYDLIPWANKFSYIASMPCKTAEERQVRDRKAFLLHSLFVDVAIFRAIRAVKHVLEDPSFSCSAAENDIYSEIAGDLRIRVLKDGSVASCKIDSKIDGVEATGVNQKDLVERNLLKGITADENTAAHDITTLGVVYVRYCGYVVVVKVEGEANEKVNSSSHQNNDLFDQPEGGANALNINSLRFLLHSTALPENNKQMTEIQMFESKELGGTDTFVEKLIKKSLAKLEEEELSSDYFVRWELGACWVQHLQDQNSTEKDKKPTLEKANNDMKVEGLGKPLKALKNNKKKSDSNNPNFASEHSKSNLEAENAALSSSESQHETAAAENELVLKRMLSEAAFTRLKESGTGLHCKSMQDLVDLSQKYYMDVAIPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISAVVDKEKTASSIAGALNLLLGVPENRETDESCDVHPLVWKWLERFLKKRFDWDLNRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPFPFQKSDIVSLVAVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDTKGRDAAAKRRNQVRAISYQNNVSVSSDESSKEIQKEASDEELHIPELEGSAESENESNSAPEPEQPILEKIPDEKPQTSNELLLSEAHPDGDDGWQSVQRPRSAGSYGRRLKQRRSTLGKVHSYQKNVEVGTEYPSAKSANQNSRYYFLKKRTAHHGGYADNRAVNITQGTKFGRKAGKAVVAYRVKSTPSASITVANETLEICDKEPDSIDVNPVKTSIVSLGKSPSYKEVALAPPGTISKLQVYNPQSETSVCREHDVGKHEEENVEAQRNINPTPKEAKNVFKEKSDDSLSDSIEDSQDDTVVSTEKKEETQLNKVVQDNCVAAEGLESGDLEAQGAVDSSVMIDAVEDVVDSYKQELVANNPPCSFEPSDNTSSSPLGGEDLGVNLLSPSQSQAGGIPYKKLSASAAPFNPSPAIARAAPIAINMTHPSGPGAVPAIGPWPVNMNVHPGPVSPMCSSPHHAYPSPPTTPNMIQPLPFMYPPYSQPQSVQTSSAFHANHFTWQCNLNPVISKFGPGAIWTGCHPVEFPRPVPIVESIPDIISEPQVQFHTAESPSSAPVLLEDVDKAGDPNKEVKTLGLEISEDELVRVGSESIKENGNPNFPGTNNAGNEPNQNVGSNSNTSCSEMNMDDEKTFSILIRGRRNRKQTLRMPISLLTRPHGSQSFKVIYNRVVRGSDSPRSINFSSSKHCTATA >CAK8543178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585593327:585594349:-1 gene:gene-LATHSAT_LOCUS11954 transcript:rna-LATHSAT_LOCUS11954 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYNPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGISLDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRHNTRPPQCGTGGHLDRADH >CAK8543179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585593327:585593812:-1 gene:gene-LATHSAT_LOCUS11954 transcript:rna-LATHSAT_LOCUS11954-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQSQSQPTHQYNPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGISLDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRHNTRPPQCGTGGHLDRADH >CAK8576542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489570256:489571979:-1 gene:gene-LATHSAT_LOCUS28721 transcript:rna-LATHSAT_LOCUS28721 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGGSSASAAAAQPVNQYWQEFSKLFQYYLDKSTPHSTYRWIGTFVIASIYLLRVFYIQGFYIVSYGLGIYMLNLLIGFLSPLVDPEMEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMRRQIAHMIKYRYIPFSLGKQKYGGKRSSGSSSGSRAD >CAK8538163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466267517:466279422:-1 gene:gene-LATHSAT_LOCUS7392 transcript:rna-LATHSAT_LOCUS7392 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESEPVFEDAIPPQKTGNKREYEDDDQKEVITKKKKTDEVFEILEDKDESNSDCYEHDKKLKAKIESDTGHGYLKSFDDGVSDPNLNEDNPKDLESPEGNEEEVEGKVSKTPQERHGIPVTLNKKYAALKTICVRNLSYSVERADMEDIFKDCGEVVDIQFITDCEGRFKGFGYVKFGTVEAVEKALKLHDTELLNRNIKVEIAWEKSECPPYISSFHTGGNLHSHTVKGFDASLVENKPKSPITPNETKGTSKTVYVGNLSYTVERADIEKLFKDYGEIVDVRLHTDHQGKFRGHAHVQFATEAAAQKALVLNRKEFFNRPMVVNLALEKRKYSPNESWAWSSSFNKDERFQSQTLPAKCLDTSLAEGKPETPATTQREKNAASKTVCVRNLSFDVERAEIESIFKDCGVVVDVRLHVDVDFATGEATEKALELDYTRLIAPGEGERFRNRSSSIQFQKCESFQPLTVFVSGFNTSLAEEKIKASLHNHFKSCGEIARITLPRNRDFGAIRYAHLDFKDIDGYKKALQLNQTTIGGYWVSVVKAEPKHRRDNQDIGGGRSGYHVSGRDGSNYRRDNQGIGGGYHGGRDGSNYRRDNQGIGGAGGYHVSGRNGANYRRDNQGMGAGRGGYHAGGRGGGDYGGRASWGRSYGVERHWTAKSEH >CAK8538162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466267517:466279422:-1 gene:gene-LATHSAT_LOCUS7392 transcript:rna-LATHSAT_LOCUS7392-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESEPVFEDAIPPQKTGNKREYEDDDQKEVITKKKKTDEVFEILEDKDESNSDCYEHDKKLKAKIESDTGHGYLKSFDDGVSDPNLNEDNPKDLESPEGNEEEVEGKVSKTPQERHGIPVTLNKKYAALKTICVRNLSYSVERADMEDIFKDCGEVVDIQFITDCEGRFKGFGYVKFGTVEAVEKALKLHDTELLNRNIKVEIAWEKSECPPYISSFHTGGNLHSHTVKGFDASLVENKPKSPITPNETKGTSKTVYVGNLSYTVERADIEKLFKDYGEIVDVRLHTDHQGKFRGHAHVQFATEAAAQKALVLNRKEFFNRPMVVNLALEKRKYSPNESSWAWSSSFNKDERFQSQTLPAKCLDTSLAEGKPETPATTQREKNAASKTVCVRNLSFDVERAEIESIFKDCGVVVDVRLHVDVDFATGEATEKALELDYTRLIAPGEGERFRNRSSSIQFQKCESFQPLTVFVSGFNTSLAEEKIKASLHNHFKSCGEIARITLPRNRDFGAIRYAHLDFKDIDGYKKALQLNQTTIGGYWVSVVKAEPKHRRDNQDIGGGRSGYHVSGRDGSNYRRDNQGIGGGYHGGRDGSNYRRDNQGIGGAGGYHVSGRNGANYRRDNQGMGAGRGGYHAGGRGGGDYGGRASWGRSYGVERHWTAKSEH >CAK8533823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662987126:662987482:-1 gene:gene-LATHSAT_LOCUS3417 transcript:rna-LATHSAT_LOCUS3417-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPFTKSKIQRSRRIATMVRCDVEGDSSSDSSLKIGARVRVKVPLKVYHVPKVPEVDLSGREGNIKQNVSIWKDKRISANFPYKVEFIAEDIQGPRGPLKFVAHLKDDEFELLSE >CAK8533822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662987126:662987518:-1 gene:gene-LATHSAT_LOCUS3417 transcript:rna-LATHSAT_LOCUS3417 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTSSTTIATMAAPLPFTKSKIQRSRRIATMVRCDVEGDSSSDSSLKIGARVRVKVPLKVYHVPKVPEVDLSGREGNIKQNVSIWKDKRISANFPYKVEFIAEDIQGPRGPLKFVAHLKDDEFELLSE >CAK8573340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604525152:604527511:1 gene:gene-LATHSAT_LOCUS25800 transcript:rna-LATHSAT_LOCUS25800 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDRGTPTGLPLTPDTRGAEGPLFSSVRIDSLEHDSFAIPRCTLCMPKVPVPSFSLTQKVGAEFVGTFILIYVATAGPIVNNKYEGIETLIGNAACAGLTAMAIIFSIGHISGAHLNPSLTIAFAAFRHFPWAHVPAYIAAQVSASICAAFALKSIYRPFLSGGVTLPSVSIGQAFATEFIITFILLFVATGVATDTRAVGEMAGIAVGAIVMVNILISGPTSSGSMNPVRTLGPAVAAGNYKDIWIYFVAPTLGALAGSGAYTLVKLRDDNTGAEQHPLSVRSFSH >CAK8573339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604525152:604527511:1 gene:gene-LATHSAT_LOCUS25800 transcript:rna-LATHSAT_LOCUS25800-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDRGTPTGLPLTPDTRGAEGPLFSSVRIDSLEHDSFAIPRCTLCMPKVPVPSFSLTQKVGAEFVGTFILIYVATAGPIVNNKYEGAHLNPSLTIAFAAFRHFPWAHVPAYIAAQVSASICAAFALKSIYRPFLSGGVTLPSVSIGQAFATEFIITFILLFVATGVATDTRAVGEMAGIAVGAIVMVNILISGPTSSGSMNPVRTLGPAVAAGNYKDIWIYFVAPTLGALAGSGAYTLVKLRDDNTGAEQHPLSVRSFSH >CAK8531467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112521631:112537531:1 gene:gene-LATHSAT_LOCUS1257 transcript:rna-LATHSAT_LOCUS1257 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGVVKHGVGKWRTILKDPEFNCVLFLRSNVDLKDKWRNLSVMANGWTSREKSKVAIKRVHHYGTKQDENSMAIILASPSDDEIVDVKPLQVSRDMLQITGPKKSIERFRLDNLIMEAISSLNELGGSNKTTIASFILDHYYPPADFKNLLSAKLKYLASSGKLIKVKRRYRIAPTPAYSDRGRHPHMLSWEGKQKGSMKFDIDDTNMPTQSEIDYELEKLRSMSAEEAAAVAARAVADAEAAIAEAEEATREAEAAEADADVAQAYADAAMKSVKGKKNTPKTMIPA >CAK8576490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482958763:482958969:-1 gene:gene-LATHSAT_LOCUS28673 transcript:rna-LATHSAT_LOCUS28673 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGWAKSKVPPSLENHTKPEVQGEKPSTKTESDDDVFNKYEKSKIDQSETLTEDVKSMPKERKL >CAK8566795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463622051:463624140:-1 gene:gene-LATHSAT_LOCUS19902 transcript:rna-LATHSAT_LOCUS19902 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLIWFWTVLTFLFLFLLMTNSESENVRKALVTFMHKLEPPHQDSTWGWNLTSDPCTHNWHGVACYTNNQYIKSIVLEKLNFTGVLDATSLCIASNLQFLSLNNNYLHGFIPEDIKNCKFLTHLLLSGNKFSGNLPLSIPQLRNLKRLHVSDNHFIGNLPNMVNVTSLISFLAQNNAFTGQIPDFDFSNFEAFDVSNNNLSGPVPDVKGIFSADSFYGNHNLCGKPISNSSCPPPPPPPTQTVKKDKNKNAFLDDLPIYSGYIVLGIIFIFFLIFKLLRKFRTKDKEKVLNHVEKKDMSQDTSFVVGGEKLSEILNSNGSKSWFNGLGIKSEYSMTSMESGVTTSGLVLLSSRKLRGLQFEDLLSAPAELIRRGKHGSLYKVMLDYGVLLAVKRIKDWGISKHDFERRMNLITQVKHPLVMSPVAYYCSQQEKLLAYEYLPNGSLFMLLYGSQSGHSLDWRSRLDVAVKIAEALAYMHLELGESGIAHGNLKSSNILFNKNMDPQISEYGLMVAENQGVISHHRKSLRNRNMSPAATFRADIYAFGVILLELLTGKVVKNDGFDLVKWVNSVISEEWTAEVFDRSLISQGASEERMVNLLQVALKCINPSPNDRLNMSQVALITNALKDEDDKSISFDT >CAK8564799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10286012:10291073:1 gene:gene-LATHSAT_LOCUS18064 transcript:rna-LATHSAT_LOCUS18064 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFIAISAVSTVLSFVGLQFCTDLSLDKLKSDGLVGWNSVHLDNVDQDVELPLGLYTTIGLFTNCLINVFVLLNLCLKAMFFAELYPSESRKLVERLVNYVIYKGAFLPLIVPPTLYQAGLWTAWLAVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFIFLVDVLWIRLCLAIYTTHGSSMFLLLFFEPLSVAFETLQAILVHGFQLLDIWIHHSACSSSDFRTPKLLDTLTAGSLLEWKGVLIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAIINRIKGFIRLRIALGSLHAALPDATAEELRAYDDECAICREPMAKAKKLNCNHLFHLACLRSWLDQGLTEIYTCPTCRKPLFAGRPETETNSSTGVISSDEQLARQISAGFDRQNSARHNMPAGLFPNQTPNNAEGVPWRGAGMDSGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQTTFDDSSWNLWPINQSQASASATTPTAIPSPGVRLPGGNGGLHIRTNSRSANDNVANVLAMAETVREVLPHIPDDMIFQDLQRTNSVTVTVNNLLQM >CAK8538218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471397369:471397757:-1 gene:gene-LATHSAT_LOCUS7441 transcript:rna-LATHSAT_LOCUS7441 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGSSSTKTVGKRGVTRLQKIHKGKSNGKRIEVQWNARGQPIKHNSKSFASYIGVTVRRLVLISLDNWSAKENKEAVGVYKQNIWDEI >CAK8536814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:23065223:23067092:-1 gene:gene-LATHSAT_LOCUS6146 transcript:rna-LATHSAT_LOCUS6146 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYHLFLSTILVVIIPSLVHANVKEQESYMDNILPFINDTYWRGKASNAEKANNIAYTPDPYTVSENMTSSVSEMIIEGNTGRRNLVGKKLGRGRPCLATNPIDRCWRCDPNWEKNRKKLADCVQGFGRKTTGGKAGPIYVVTDSSDNDMQNPRPGTLRYGVTRNGPLWIIFSHSMVIRLNQELIMTSDKTIDGRGFNVIIAKGAGFTIQFIRNVIIHGIKIFDIQVGSGGLIRDSENHFGLRTQSDGDGISIFGSSNVWIDHVSMRNCKDGLIDVIMGSTAITISNCHFTDHNEAMLFGASNDYDGDKKMQITLAFNHFGKRLIQRMPRCRYGFIHVLNNDYTHWEMYAIGGSQNPTIISEGNRFIAPNNPNAKQITKRDYAPESEWKNWQWRSINDVYMNGAFFVQGGPELTNRPFSEKDMIKSKPGTYVGRLTRYSGSLNCRKGIPC >CAK8544863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709561540:709562061:1 gene:gene-LATHSAT_LOCUS13509 transcript:rna-LATHSAT_LOCUS13509 gene_biotype:protein_coding transcript_biotype:protein_coding MALANEEFFVSKVAKEVIKSLASHLKDLAERLPLGVYDDDDMKPTYLPNGFVETNGIHHQDLNGDPHHTRTEYISSSSFPNGGGTIQKTNNSVSHTIDDTDSKNFLEDESSSRSRNDVLSTEWVKQYSFESRLFGFVYF >CAK8540510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9321643:9322321:-1 gene:gene-LATHSAT_LOCUS9510 transcript:rna-LATHSAT_LOCUS9510 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLYKQAHDIAGGLVTSVAAAVSHGETAATDELPTYIATENAFHQHLMSIDSVEEETELQSYISRKCLTFNEKDKEKFDILCWWKHNVGQYPVLSQIVRDIMPTPVSTMASESAFSTGGRVLEVYKSSLKSEMVEALICTQNWLRPTFYQFKSMEFNEDYEIFEDALLGFTETSVGSEALSSSHTQSQISVCT >CAK8579190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682686661:682688609:-1 gene:gene-LATHSAT_LOCUS31156 transcript:rna-LATHSAT_LOCUS31156 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMAFSGGPGSYYMHRGGVEAAGSGSGGFQVPPGFRALPNNGIIAQPNVRTQGGNGDSSSMFSLEPQPHADFNHEISAGASSGAPSSEPVKKKRGRPRKYGPDGSASLKLTPMSAPANSTQDSGTPSEKRGRGRPRGSGRKQQLAALGDWMTSSAGLAFSPHVITIAAGEDIAAKLLSLSQQRPRALCILSGTGIASKVTLRQPASTNAGVTYEGKFQILSLSGSYLVSEDGGPTNRTGGISVSLSSRDGHVIGGSVAMLIAGSPIQLVVCSFVYGGGSKVKTKQGMITNGESSEPHNDNLGSPASAPPGQNYISSPTGMWPGSQPSDMKSVPAHTGIDLTRG >CAK8569348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695816626:695817357:-1 gene:gene-LATHSAT_LOCUS22209 transcript:rna-LATHSAT_LOCUS22209 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTTGTGGGDVDGNEQQLIKTITTMLTNHQNPQHLQPFIPHLTLPIIISILSWKPLHSHPQTIVSFFKWFQTNAPSTLSVSPKPLLTLLPPLLSHRKFSDAKSLLLDFISSHHPRHSLHSRLLRSDHSIPKLVLDTSIAAYVFSQQPQLAFDIFNKMRRLRFRPNLLTCNTLLNTLVRSNSSHSILLPRQVFQDSIKLGVQPNTNAFNILIHGYCSNNNFNEDFRLINQMGEFSCCPDNVT >CAK8576739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510542452:510544704:1 gene:gene-LATHSAT_LOCUS28911 transcript:rna-LATHSAT_LOCUS28911 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPKVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFSQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGVLVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLRSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAYFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTVIQLQPVFAQWIQNTHALAPGTIAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSINDQGVITHITGGNFAQSSITINGWLRDFLWVQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIDTTWAFFLARIIAVG >CAK8541410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:156495188:156496625:1 gene:gene-LATHSAT_LOCUS10331 transcript:rna-LATHSAT_LOCUS10331 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPDFADASSLTVSSELCRSWCLENCSCVSYSYDTDIGCMSWTGNITDIRQFSNGGLDLYIRVAQAELGKVRNMSVIIIITVITGTVLVLGCAYIMWRRRYNHHARNRNDNAIGELSLIKLQELLLFNFGKIATATNNFHSSNKLGQGGFGPVYKGTLHDSQEMAVKRLSKASGQGLEEFMNEVVVISKLQHCNLVRLLGCCVEGEEKMLIYEYMPNKSLDVFLFDKSKRKILDRSKRLGILERIARGMLYLHRDSRLKIIHRDLKASNILLDNELNPKISDFRMARIFGENEDQENIRRIAGT >CAK8575910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:355946460:355948304:-1 gene:gene-LATHSAT_LOCUS28135 transcript:rna-LATHSAT_LOCUS28135 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGQPRLPKFAVPKRYDIRLKPDLAECRFSGSVAVNLDVITATHFIVLNAAELTVGNDAVSFTNRDSSKVFKPSKVELFDDEILVLEFPEEIAVGLGVLNIQFEGILNDRMKGFYRSKYEHNGDKRNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSDLVALSNMPVAEEKVDNNLKTVSYQESPIMSTYLVAVVVGLFDYVEDHTPDGVKVRVYCQIGKANQGKFALDVAVKTLGLYKDYFDTPYTLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVAIVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAVDGLFPEWKIWSQFLHECEAGLRLDGLAGSHPIEVEINHAREIDEIFDAISYRKGASVIRMLQSYLGAESFQRSLASYIKKHACSNAKTEDLWAALEEGSGEPVNKLMTTWTKQQGYPVVSVKVNNQNLEFAQSQFLSSGAEGEGQWIVPITLSFGSYDVRKNFLLQTKSEIRDVKELLGSPITEDSKSWIKLNVEQAGFYRVKYDELLAAKLRYAIEKKLLSPSDRFGILDDTYALCNALKESLTSLLNLMAAYREEDDYTVQLVVGIWMRLHC >CAK8538472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484177758:484180023:1 gene:gene-LATHSAT_LOCUS7664 transcript:rna-LATHSAT_LOCUS7664 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIWHKVAAVSGVAALGLGTYGAHAFKPQNPSYKDVWHTASLYHLVHTAALVSAPITKNPNLFGGLLTAGILAFSGTCYTVAFLEDRKYSTLAPFGGFAFIAAWGSLFF >CAK8578083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605692576:605693160:1 gene:gene-LATHSAT_LOCUS30125 transcript:rna-LATHSAT_LOCUS30125 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >CAK8564112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652445172:652446553:1 gene:gene-LATHSAT_LOCUS17448 transcript:rna-LATHSAT_LOCUS17448 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTFVSLSLLLLVLLTASTTSAQLTRGFYNNVCPNVEQVVRAAVTQKFQQTFVTAPATLRLFFHDCFVRGCDASVLIQSPNNNAEKDHPDDVSLAGDGFDTVIKAKAAVDKDPKCRNKVSCADILALATRDVVNLAGGPFYNVDLGRRDGRISTLASVQRNLPGPSFKLDQLNTMFNRHGLSQTDMIALSGAHTIGFSHCNRFSNRIYRFSRTTRIDPSLNLQYAFQLRQMCPLKVDPRIAINMDPVSPRKFDNQYFINLQQGKGLFTSDQVLFTDSRSKATVNLFASSEKAFQTAFISAITKLGKVGVKTGNQGEIRIDCSKAN >CAK8530418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20099653:20099934:1 gene:gene-LATHSAT_LOCUS284 transcript:rna-LATHSAT_LOCUS284 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTILSSSSPRLKAPSIRRPKDGLGSWSTLFQRHRYLLSALVLLTILCTVYLYFAITIGSGSCSGLSGAQKASCHMELVKDSISNGKLKFL >CAK8536907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:37529526:37537088:1 gene:gene-LATHSAT_LOCUS6232 transcript:rna-LATHSAT_LOCUS6232 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTNTQLILSLLQPGHHSFSLFPTPTAFSFSLHCHRSFSHSLKFSFRSPTSPIRTFNADDEDDEDEDEEEEDDDEDVAADEYDDVVSGEVSDEGETFEADELIRRDDGFKWQRVEKLCNEVREFGVGIIDVDELASVYNFRIDKFQRQAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFRETFGDNYVGLLTGDSAVNKEAQVLIMTTEILRNMLYQSVGNASSGSGLVNVDVIVLDEVHYLSDIYRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGGTELVTSLKRPVPLTWHFSLKNSLLPLLDEKETQMNRKLSLNYLQLQAAGAESYKDDWPRKRNSQKRGTRTSYENDDSVLEHRPLSKNDINAIRRSQVPQIIDTLLHLQSRDMLPAVWFIFSRKGCDAAVQHVEEFKLLDECEASEVQLALKRFRIQYPDAVRDTAVKGLLQGVAAHHAGCLPLWKDFIEELFQKGLVKVVFATETLAAGINMPARTAVIASLSKRSDNGRTLLTSNELLQMAGRAGRRGIDERGHVVLVQNLYEGAEECCKVLFSGLEPLVSQFTASYGMVLNLLGGGKAIRRSNTLETKLSSGKTLDEARKLIEQSFGNYVSSSVMLGAKEEINKIEKEIELLMSEITDEAIDRKSRKALSKRQYKEIAELQGNLRAEKRVRTELRKQKEAAGLSALKLLLEESENGHLPFLCLQYRDSEGVQHSIPAVLLGKVNSLGASKLKNMIRSVDTFALNSADADSELKEDPVPSYHVALGSDNSWYLFTDRWIKTVYETGLPDVPLVEGDTRPREIMSDLLDKQDMKWDNLANSEHGGLWFTEGSLETWSWSLNVPVLSSFSENDELLLKSQAYRDAAEQYREQRHKVARLKKKMSRTEGHKEYNKILDAAKFIEEKIKRMKTRSKRLTNRIEQIEPSGWKVFMQVSNVIRETRALDINTNVIFPLGETASAIRGENELWLAMVLRSKILLELKPAQLAGLCAGLVSEGIKVRPSKNNNYIYEPSATVVNVIELLDEQRSALLAIQEKHGLTISCCLDSQFCGMVEAWASGLTWREIMMDCAMDDGDLARLLRRTIDLLVQIPKLPDIDPLLQRNARAASDIMDRPPISELAR >CAK8533860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665704706:665709072:1 gene:gene-LATHSAT_LOCUS3451 transcript:rna-LATHSAT_LOCUS3451 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFAKNSQHLSTFFPNNFQFNNFFIQLFYFILLSLFGYLGLKFSNPRSSIKPNDLDLFYTSVSASTVSSMTSIEMEVFSNSQLILLTFLMLVGGEVFTSMLQLFLDRFFNFTKNHSLKNEAPPININQIELGLVSIPQSQNHTQSDDYNIYNKDKTKYNSLRYLSYVVLAYLLIIHFFGFIFVTLYLTYIPSAKNVLKNKGIKIETFSLFTIVSTFASCGYVPTNENMVVFKKNSGLLLLILPHVLLGNTLYPPCLRLFITLLKNVTKREEFSYLLKNSKEMGYDHLLSPLHCWNLVVTVFGFIVIQFVLFCSLEWSSRIMEGLNLYQKVVASWFQVTNARHAGESVFDISTISSAILVLFIVMMYLPPYTTFLPVRDHTNIDVKRDQKSLVECLVFSQLSYLVIFIILICITERESLKEDPFNFNVLNITLEVISAYGNVGFSTGYSCSRQLKADPMCKDSWIGFSGRWSTRGKFILILVMFFGRLKKFNMNGGKAWHLS >CAK8577963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599331945:599332292:1 gene:gene-LATHSAT_LOCUS30019 transcript:rna-LATHSAT_LOCUS30019 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSNMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLYVFESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPTPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8567689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536669273:536678385:-1 gene:gene-LATHSAT_LOCUS20718 transcript:rna-LATHSAT_LOCUS20718 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTKIKWVVLSVITLSVASIIIHLSLAKLWTANIVPYRAIASFPDDFTSGLGRQVVKNKKLWGSIKSLEALQPSSNARSNYTDPKERSNGFIYAKVFGGFEKIRSSICDLVAISRLLNATLIIPEIQESIRSKGISSKFKSFSYLYDEDQFITYLKHDVIIAKTLPESLMERRKRNEFPTFRPTSSASPNFYVQELLPKLKKSKVIGLIISTGGALQSALPPTMAEIQRLRCRVSFQALQFRPEIQMLGHRMVNKLRSLGQPFLAYHPGLMRETLAYNGCAELFQDVHTELIQYRRAQMIKDKILNEDLNVDSHLQRDKGLCPLMPEEVGILLRVMGYPSKTIIYLAGSEIFGGQRVLIPLRSMFINTLDRTSLCSEKELSDLFGPETPLPQNIFRSPPEKSEQELKEEWKRAGPRPRPLPPPPNRPIYQHEKEGWYGWINETPLEPDPSPMDLRMKAHRLLWDALDYIVSLEADAFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVTELFNMIRENLYHPKHNWTILVQEHLNKSMSEEGLIRQSLLSKPAMFLSHPLPECSCRVASANVANRVRGEDGQLLYRGEDICPKWMQHAKKPSSGKEGVKSEDDGVPDYESNDFVDESESDKNDGKTDLAQIWDQDEEMDPND >CAK8575550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:160955321:160956562:-1 gene:gene-LATHSAT_LOCUS27806 transcript:rna-LATHSAT_LOCUS27806 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHIIVHVKLREAFEVDLHQGQTLTSSAKIKLQLFPANEEIRTGLEKDGHNPYLELTLSGRKKISSVLRHIEKKWGSSSSAKGEPMLFPYDRMVNLTDCKRWMINDNDTTATAVYAAVGNPSIFRLKYGWFSIHEPTSFGISSMLVPCEFGVQSGGTDTSCNANLETLCDERDKIEATTEYKTTDEGNVTSETVAQKMNKESTDPQDNEPKEGCSLQQTSMQWVDCLDNISIGGLLSEASLLGKFGSKLFGSNATSQTGHLISDSFDAFINCRINHPPVSTQSAGALRASILDAEETCHAFALKKSSPPAYVQTASATETTYSAACGQDASSNLFKLPCTDKVNDQVGLSQSPLSQKTQTDSMLSSRLFDDERSLGLAGISWNDSLGPFDLSMQAKKHIGGGDSVSINEFFK >CAK8577836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592937227:592940832:1 gene:gene-LATHSAT_LOCUS29905 transcript:rna-LATHSAT_LOCUS29905 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIRRTVQSILRIHRSRYLGVSRDGALVSVAPNINQCRVYMQYKFLSERCSSFMWRGTKESFHKGHSFWNLSAISTKNIASHNPQTWKLLYKIYSSNGSNGFTSINMVAQAVSLALSRSCFLIPSIFAFACGELALAQQNQSDAGCYPSQNALSMHAQDGYNYMFAFVFTVVESLVLLTRAIYLTILFSPSILMAPLAEYFGPEFRKMWLRIVHRALERAGPAFIKWGQWAATRPDLFPQDLCTKLAELHSKAPEHSFSYTKKTIEKAFGRKISEIFENFEELPVASGSIAQVYRASLKYRYPGQQTKPMVVAVKVRHPGVEESIRRDFAIINFVAKSSNFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRQWRDVSFPKPVYPLVHPAVLVETYENGESVSHYVDELQGHERIKSALAHIGSHALLKMLLVDNFIHADMHPGNILVRVPRSKDRKRFFKSKPHVIFLDVGMTAELSGSDRVNLLEFFKAVARRDGRTAAECTLRLSKEQNCPNPKAYVEEVEKAFTFWGTREGDAVHPAECMEQLLEKVRRHRVNIDGNVCTVIVTTLVLEGWQRKLDPGYNVMEALQTLLIRADWAKSFAYTIEGIMAP >CAK8566244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394730344:394733039:-1 gene:gene-LATHSAT_LOCUS19394 transcript:rna-LATHSAT_LOCUS19394 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFNAILGYSSPATAIAPTTHAREHVLHHRFCKAKTSSFKELRSSRSGVGAAAKPQVVKAILHKDVEISDTKKVDGLKGKLDKVVLAYSGGLDTSVVVPWLRENYGCEVVCFTADLGQGASEFEGLEEKAKASGASQLVVKDLKEEFVRDYLFPCLRAGAIYERKYLLGTAAARPVIAKAMVDLASEVGADAVSHGCTGKGNDQIRFELSFFALNPKLNVVAPWREWDIKGREDCIEYAKKHNVPVPVTKKSIYSRDRNIWHLTHEGDDLEDPANEPKKDMYMLTTDPEDAPNQPEYVEVGFESGIPVSVNGKRLSPGNLLAELNEIGARHGIGRVDTVENRIVGLKCRGVNETPGGTILYTAAKDLESLTLDRETLQLKDSLALKYAELVYAGKWFDPLRESLDAFMEKISATTTGSVTLKLYKGNAFVTSRKSPYSLYRKDIASFEDGEIYNHADAVGFIRLYGLPMRIRAMMEQGI >CAK8572995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575289606:575295265:-1 gene:gene-LATHSAT_LOCUS25491 transcript:rna-LATHSAT_LOCUS25491 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHNLSRFSLLPFHTLVTPHSPHHSSSLSFIRKSHHHNPTMSLKAASGSIPSAETSSSDVEEVVKSETYSNNMTEAMGAVLTYRHELGMNYNFILPDLIVGSCLQTPEDVDKLRKIGVKTVFCLQQDPDLEYFGVDINAIREYAKTFSDIQHLRAEIRDFDSFDLRKRLPAVISKLYKAVKSNGGVTYIHCTAGLGRAPAVALTYMFWILGYKLNEATALLLSKRSCFPKLDAIKSATADILTGLSKKPVTLSWRHRNCSNVEISGLDIGWGQRVPLVFDDKQGSWFLKKEMFEGHYEYKYIVDGEWTCNKDELITSPNKDGHVNNFIDVVDDADGDRASLRERVTGDDPNLTEDERNKIIEFLEAFPNEDL >CAK8567226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496098986:496099453:1 gene:gene-LATHSAT_LOCUS20296 transcript:rna-LATHSAT_LOCUS20296 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITTQFATVVTFLLVLLIKIEGHSNSVIIPTDEVNKNLFIDVVVEKVSGNDISPPDCSTRPWICSTAPFPPKSVCCGNRCVDIAKDRNNCGLCGVNCPFNWQCCNRLCVNINVSPFNCGGCGRICPIGSLCRFGICVTSFVNPAPPPLLPPME >CAK8535194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:823317130:823317480:-1 gene:gene-LATHSAT_LOCUS4668 transcript:rna-LATHSAT_LOCUS4668 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNFGMDFEDYFPSMIGSLGAEGFIGELCNGFRLLMDVNKGLITFESLKVNCFMLGLEVRDDELLCMLMEGDLDGDGALNQMEFCILMFRLSPCLMDGPKMCTNTHQGVDPMLM >CAK8544860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709439545:709441512:1 gene:gene-LATHSAT_LOCUS13506 transcript:rna-LATHSAT_LOCUS13506 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGENLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8539357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510048100:510048516:1 gene:gene-LATHSAT_LOCUS8460 transcript:rna-LATHSAT_LOCUS8460 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMNGSRGILATSKPKKQEKNESGEMGLATKEMIDPVVAYSRPPPLPPVIGPLVALSLLDTWWNRKADDDGK >CAK8562671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524420956:524422877:-1 gene:gene-LATHSAT_LOCUS16149 transcript:rna-LATHSAT_LOCUS16149 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTISMASFSPLTPISTTHSSHSRPHHHNNLFTLTLRPKNLPFFTLLSPLRVSAGTSDSPETAVKPPPENISVGTNGSASAVSVAEEVKVSNGFVDPRWVAGTWDLMQFRKNGTTDWDAVIDAEARRRKWLETNPESSNNDSPVVFDTSIVPWWAWIKRFHLPEAELLNGRAAMIGFFMTYLVDSLTGVGLVDQMNNFFCKTLLFVAVGGVLLIRKNEDVDTFKKLLEETTFYDKQWQATWQDENSSNSNK >CAK8569490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2603634:2604588:1 gene:gene-LATHSAT_LOCUS22335 transcript:rna-LATHSAT_LOCUS22335 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPLKDYVSAVQSIKATIAERANAFRRQCELAETIKLKEINFDKLMLIRSDKVGEAEREFHELKAESEQATKTFETIVKLMNEEIGRFQEQKTLDMGIAFHEFTKGQARLANGIADAWRSLLPKLEACSG >CAK8562332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474702095:474703550:-1 gene:gene-LATHSAT_LOCUS15837 transcript:rna-LATHSAT_LOCUS15837-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMEELPGALGTSSSFALRLGQTVFSSASLFYMCLDVDFYGYSAFCFLVMVMGLVISWSTTLLMVDAYSIFIKKVPKQPRFIFTITVGDMVLSYLLLAAACSTASVTDLLMKSDKSYCPENLCSRYQISAAMAILSWFLSSASCLINFWVFPSL >CAK8562331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474702095:474703553:-1 gene:gene-LATHSAT_LOCUS15837 transcript:rna-LATHSAT_LOCUS15837 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMEELPGALGTSSSFALRLGQTVFSSASLFYMCLDVDFYGYSAFCFLVMVMGLVISWSTTLLMVDAYSIFIKKVPKQPRFIFTITVGDMVLSYLLLAAACSTASVTDLLMKSDKSYCPENLCSRYQISAAMAILSWFLSSASCLINFWVFPSL >CAK8540274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548437323:548440915:1 gene:gene-LATHSAT_LOCUS9294 transcript:rna-LATHSAT_LOCUS9294 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMDQESENGSVNLETFILQVPKTPNNQPNAMDISLSRSTSRRVEDQYASSSMFTGGFNQVTRSQLKDKMIESDSSHPQMVGTKGSACEMPGCDGKVMTDERGLEILPCYCDFKICRDCYKDTLRNGELICPGCKVAYVEHGMAEAASVVNQKSLPYPTGASEVSKMEKRLSRMKSNSTSSRTNEFDHDQWLFETKGTYGYGNAMWPKDSENGASSRIGSDSMAGDPNVFKEKEWRPLTRKLNISTTILGPYRFIIFARMVILAMFLKWRVENPNEDAIWLWGMSLVCEIWFAFSWLLDQFPKLFPLNRVADLDVLKEKFETPSPNNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAVDYPVEKLSCYVSDDGGSLLTFEAMAEATSFANLWVPFCRKHGIEPRNPESYFSLKRDPYKNKIRSDFVRDRRRVKREYDEFKVRINGLPESIRRRSDAYNAAEETKAMRLWKEAANDEPMENLKIFRTTRMTDGTHWPGTWTTPASDHSRGDHSSIIQVMSKPPSNEPLIGTASDSNTMDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGAFILNLDCDHYIYNSEALREGMCHMMDHGGERICYIQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPFYVGTGCLFRRTALYGFDPPRLQEEAAEDAGCFGSKKKKSATVASVTEVDSIDDQSLRSGGSIDDEEMNIALIPKKFGNSSLFVESIRVAEFQGRPLADHPSVKNGRQPGALILPRDLLDPATVAEAINVISCWYEDKTEWGDRVGWIYGSVTEDVVTGFRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALLANSKLQFLQRIAYLNVAIYPFTSFFLIVYCFLPALSLFTGQFIVQSLQVEFLVYLMGIMLTLIILAVLEIKWSGIELVDWWRNEQFWMIGGTSAHLVAVLQGLLKVIAGIEISFTLTSKSSGDDENDDYADLHVIKWSSLMIPPLTIIMVNLIAVAVAVSKTIYSEDRKWSSLLGGIFFSFWVLSHLYPFAKGLMGKRGKTPTIVYVWAALLSICISLLWVAINPPSGNNQIGGSFQFP >CAK8562181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451338306:451339544:-1 gene:gene-LATHSAT_LOCUS15700 transcript:rna-LATHSAT_LOCUS15700 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPSIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPIMPHGAQIGSSDAQPNDQEDETPQFCTQGSLETVNLGEEVASVRVVNMHKQRFQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPSIQKFVGCYKQAVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSASGAYSSSSNPQMSIEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFARDYARIEGEKVEIERKKVDAKIKKAGNAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8567443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514143775:514143984:1 gene:gene-LATHSAT_LOCUS20497 transcript:rna-LATHSAT_LOCUS20497 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGVPAAEALLASGRNSEKLNLPALQSKMKCDPEGYESEPHPQPIQLLTRTLPATSRNELHFHIRNQ >CAK8537193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126638386:126639594:1 gene:gene-LATHSAT_LOCUS6500 transcript:rna-LATHSAT_LOCUS6500 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVNGSQQMLTYIMAGFAQDQEIDLYEEIKFDPKIMCELVDKKCTFRDNQLKDGDIICFQKNLQAGEEQQYCYPDVYSFFDYVQNRQVVRFRFLEKPKEDEFSLELSKLHTYDDVVERVSQHLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVNHLSDMLVHYNQATDVLYYEVLDIPLPELQCLKTLKIAFHHDAKDEVMIIRLPKHSTVADVINDLKSKVDLSHPDAELRLLEVFNHKIYKIFHVNETIENINDHYWTLRAEEIPEDEKNFGPHDRMIHVYHFLKDTAQNQMHVQNFGDPFFLVIREGETLAEVKLRVQKKLQVPNEEFLKWKFAFVSLGRPEYLQDSDIISSRFQRRDIYGAWEQYLGLERTDNSPRRSYTANQNRHAFDKPIKIYN >CAK8543916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649150069:649152058:1 gene:gene-LATHSAT_LOCUS12638 transcript:rna-LATHSAT_LOCUS12638 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISYVTGSQLVSLKRLPTIAVVDVRDDERTHDGHISGSLHYASDGFDQNISKLIHDVKGKDTLVFHCALSQVRGPSCARKLVNYLEENKEDVGIKNIMVLERGFNGWEASGRPVCRCNNVPCKEHENA >CAK8574391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679731810:679732076:1 gene:gene-LATHSAT_LOCUS26744 transcript:rna-LATHSAT_LOCUS26744 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRDYGRGGRESNNMLPHPESNIPLIRVWTTYKGRKMQQLPVSSAKKRKILLHLPLIKLHPTKKLRLITHLKNKWIILKIQ >CAK8534932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792118546:792120776:1 gene:gene-LATHSAT_LOCUS4429 transcript:rna-LATHSAT_LOCUS4429 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYLSQLSPEMYVATTMLSLIVVYITMNFLSIQSRTKTQNYILPPGPSPFAIMLHVFELRKNPQYCLAKFSTIHGAIMHLKLGQISTVVISSADAAQEVLQTHDLLFSNRTVPQAVAVLDHDIFSLPFMPVCDLWRDLRKICKNELFSSQTLDASHELRCKKLQEILSEIDRSSLVGEAVDVGRAAFKTTMNFLSNTFFSKDFANSAGETDEYKSIIENLVSAIGTPNLVDFFPVLKMVDPQGIRGVSATYLDKLLQIIDSYIIKRMELRGGENYVKYDDMLDNLLDISQQNGQKMDNTKIKHLFLDLFVAGTDTTSYTIEKAMTELIHNPHAMLKAKEELAKIIGIGKTIQESDIVNLPYLQAIVKETLRLHPSAPLLLPRKAKIDVQIQGYTIPQGAQVLINKWAMARDPKIWNDANSFSPERFLGSEINYRGQNFQLTPFGSGRRICPGMPLAIRMLHTMLGSLINFFDWKLENEVKDIDQHLRAIPSRVNKVEHTI >CAK8530156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3340731:3341042:-1 gene:gene-LATHSAT_LOCUS46 transcript:rna-LATHSAT_LOCUS46 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSIFGCGVSMAPNSLRNKAIRTERRNVCGGLLIECSSRPQKKSTAHHMKTRPRKSQLSDKNRKPTVYAPLPPLPPDFTIVIPADASTVDLTPLPPTPSD >CAK8568092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572968090:572968654:-1 gene:gene-LATHSAT_LOCUS21088 transcript:rna-LATHSAT_LOCUS21088 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVYLNKLHDLPLSSGDVSNTDIATALDSLGLSIRARLCLRAAGVLEKQKERNEEKIKKEIEEKAVESMRDLEEYKATCEINKGKGYYDAFMVQKKEKDFQANVKRLVLAGVWDEWVYFFILETILPCMLFFIIMLLVHGHM >CAK8532211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221280409:221281128:1 gene:gene-LATHSAT_LOCUS1942 transcript:rna-LATHSAT_LOCUS1942 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRYYVLNGYNDPSLKNTYISSLPQELQPKIRRMLAITQKNIKTMSLGQIHQVTLEALEKLCRFHHQFSEVIEQKSKFTQACKKPYLEIKCKEKRCSCPTKKKHKKYIKSHMTFKGKKRKNMKFFRRKHFRGKGENQRCFICGKKAHFSKECPNNTHKAAKLINSLQPLEGDLKSLYSEQSFADEETIFAFQDSSSNEASFSESEDDRYLPVYSFLLYHFLMLKSISSQQSFPVRRKL >CAK8564981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:20353364:20354320:1 gene:gene-LATHSAT_LOCUS18228 transcript:rna-LATHSAT_LOCUS18228 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDVMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLISNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEIYTAPSQLPRPSTSQIREEIEAVENIRLSENRISHEIYQKPHTPRVESPTQSDMNFHL >CAK8539079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503124531:503125780:1 gene:gene-LATHSAT_LOCUS8211 transcript:rna-LATHSAT_LOCUS8211 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCGNSLHSPLSIFSSPRLQLSSSSSSRFSISCSQMDTHNNQGRSKFLELPFVSAPHKNIMLDLVSSLEDRLQSHLLPCSLPSDVQYYKNNSATSQISLHITPGNTHSPIDFVLGSWVHSELPTGGSLDITSISGYLKTSNDAPNFMFELIRSSPTMLILVLDLPPRKDLVLSPDYLKTFYEDTELDKHRQALEKVHEVQPYFSSSLFIRAITSPTAICVRVQTENDGGELINEILRNHVGPITKQVLGIWLDHCACAEREVGEEEKAYLKKRDGFTRNKTVEVDLGTSFPRLFGPEGADKLLDAIKKYFTV >CAK8532604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:317800970:317825274:1 gene:gene-LATHSAT_LOCUS2292 transcript:rna-LATHSAT_LOCUS2292 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDSSLLQPLSRVASTIDAVLLSHPDTLHLGALPYAMKQLGLSAPVYSTEPVYRMGLLTMYDQFLSRKQVSEFDLFTLDDIDSAFQSVTRLTYSQNHHLSGKGEGIVIAPHVAGHLLGGTIWKITKDGEDVIYAVDFNHRKERHLNGTILGSFVRPAVLITDAYNALNNQPYRRQKDKEFGDVLKTTLRAGGNVLLPVDTAGRILELVLMLESYWADENLNYPIYFLTYVASSTIDYVKSFLEWMSDSIAKSFEQTRENIFLLKNITLLVNKTDLDNAPEGPKVVLASMASLEAGFSHDIFVDWGNDVKNLVFFTERGQFGTLARMLQADPPPKAVKVTVSERVPLVGEELIAYEEEQNRIKKEEALKASLIKEEGLKASQGADNNTTDPMVIDTGNNQPSPEVAVAKNGGYRDVFVDGFVPPSTSVAPMFPCYENASEWDDFGEVINPDDYVIKEEDMDQTANNLGGDINGKLDEGAASLIFDTKPSKVISDERTVQVRCSLVYMDFEGRSDGRSIKNILSHVAPLKLVLVHGSAEATDHLKQHCLKNVCPHVYAPQIDETIDVTSDLCAYKVQLSEKLMSNVLFKKLGEYEIAWVDAEVGKTENDMLSLLPISGTPCPHKSVLVGDLKLADFKQFLSTKGVSVEFAGGALRCGEYVTVRKVGDATQKGAGSGTQQIIIEGPLCEDYYKIRDYLYSQFYLL >CAK8542167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:484725667:484727031:-1 gene:gene-LATHSAT_LOCUS11031 transcript:rna-LATHSAT_LOCUS11031 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKGNVLMQKYELGRLLGQGTFAKVYHARNLITGVSVAIKVVDKEKILKVGMVDQIKREISAMKLVRHPNVVELYEVMATKTKIFIIMEYAKGGELFNKVAKGKLKVDVARAYFQQLMSAVDYCHSRGVCHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVINRKGYDGSKADLWSCGVILFVLLAGSLPFQDQNLMEMYRKISEGEFKFPKWFALEVRQLLSKILDPNPKTRISMAKIMESSWFKKGLEKPVVIETENHELATQPADGVFEVSENGSGSITETKQQVKPCNNLNAFDIISFSSGFDLSGLFEDTILEKEMRFLSNKPASIIISKLEEICKCLCLKVKKKDGVLLKLEGRKGTLNIDVEIFEITPHFHLVELKKSDGDTSEYQKLLQQEIRPALKDIMWNWQREQLQHEVVQEEQQPSLVAQI >CAK8532100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:203559487:203564234:1 gene:gene-LATHSAT_LOCUS1836 transcript:rna-LATHSAT_LOCUS1836 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDLNNPPVTVSRIGIIGAGVSGIAVAKQLSNYNPVVFEATDSIGGVWRHCSYRCTKLQSQTWNYEFSDFPWPERDNSDYPTHAEILAYLHTYAVRFDLFKYVKFNSKVVEIKFIRDKDGFDFGRLPNDHGNPLPARPVWEVSVQTNELNTFQRYEFEFVVICTGKYGDIPLMPTFPRNKGPEVFNGKVLHSIDYCKLDKEATSDLVKGKKVVVVGYKKSAIDLALECAQANQGPEGQPCTMIIRSLHWTLPHYQMWGVPFFLFYATRSAQFLHQTPNQGLLKSLLCLLLSPMRRGISKFIESYLLWKQPMEKYGLKPDHPFEEDFASCQIAITPESFFNEADKGKIIFKRASKWWFSNDGVEFDDNTKIDADVVVLATGYDGRKKLKAILPEPFCSLLEYPTGTMPLYRGTVHPLIPNMAFVGYVESVSNLYTSEIRSMWLSGLLDNKFKLPSADKMLSQTIKDMETMKKSTRFYKRNCITTFGINHNDEICEDLGWSTWRKKSLIKEAFTPYTAFDYKKED >CAK8561081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:87693145:87694453:-1 gene:gene-LATHSAT_LOCUS14703 transcript:rna-LATHSAT_LOCUS14703 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLMHAVQYNSYGGGPNALKHVEVPIPSPLKDEVLIKLEAASINPLDWKIQNRILWPILPSKFPYIPCMDVAGEVMEVGKGVRNFKAGDKVVGLTSPFSGGGLAEYTVVKESVAALRPPEISASEFAGLPVAGITALQALSQTIGIKLDGSGERKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVIDYKTPEGAALKSPSGKKYDAVIHCANEFPWSVFEPTLSMNGKVVDMSPTPGSVISFALKKLTFPKKQLVPLFLLPNSRDLQYLVDLVKQGKLRTVIDSKYPLTKAEDGWAKCVDGHATGKIIVEF >CAK8544738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703469947:703471308:-1 gene:gene-LATHSAT_LOCUS13393 transcript:rna-LATHSAT_LOCUS13393 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHDTVFPEEDIDDDEDEFHEYEEDEEDDDVLVDEEENDPSSSSRSVPSVTVAVPGSVISNGVIRPPISTPTATTIVFADSFPSDSKRQRIDQIEEKKPIEDSRKLFQRLWTDEDEMELLQGFLDYTTQRGSSHHNDTAQFYDQIKSKLQLDFNKNQLVEKIRRLKKKYRNVLNKISSGKEFVFKTAHDQATFEISRKIWNNVAPIAGDVAIDDDEINLNPSPNPNLNFSPVILKTEANSNTRKRSRPRSVIRPFEKRESIDLSIRDRDYLNINLNNPNPNPLPIPIPIPHPNPNPNLNPYATTTATVNAAITPTITTTTTTPNANTAINANNYSYNCSSIGNSVAGVIEETVRSCLSPLLKELVAGAGASAMGGSVGGGRGGFGSSMNPLPFQFMNFGFGGGSGGEIVDEKWRKQQILELEVYSKRLELVQDQIKASLDELRSVGGNGG >CAK8562218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457253537:457257440:-1 gene:gene-LATHSAT_LOCUS15734 transcript:rna-LATHSAT_LOCUS15734 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTSNFDAHIAHKLQKIDADTDYVSESFQFIISKSQQTSQNQVQLHDVKYKLKEVEDELVEILAEKTRKEAKRMSLMNAIASAKARVGNLDTSIQEVRARKQEYTALLSEQSLALTASEAKLNESIEYTNETREAISWYNRVLGFHVKGGRGVKFTFKNINVKNPNEEYTFIVSHDKNTYTLLSCEPPLDGIEELVLELNKTNGLFEFVKAMRKKFQETLVQGNLVLTTVEPGESALISTSAPDTSIRGDSTTIENEHQGELSDGSAQLKKKKRHGRKNLALLSPDSVSTVEPGESAFISTSAPDTSIRGDSTTTENEHQVELSDGSAQLKKKKLRGRTSLALLSPDSATSAPDTSIRGDSTTTENEHQVELSDGSAQLKKKKLRGRKSLALLSPDSASSVRQSPRLKARK >CAK8540370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554865035:554868314:-1 gene:gene-LATHSAT_LOCUS9378 transcript:rna-LATHSAT_LOCUS9378 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSESLNGEGMNPMLTDLAGASVQSPAPLFDIQPGDEGPDHPASHEIFNGESASVWAEGLDPDCQPLNSAVACDVPPEEWLPEDHLDDSWLYDEPTQDELCASDSKAAPSIIGAGLANLGNTCFLNSITQCFTHTVPLVEGLLSCHHSSDGHNGYCVICAFRYQMQCSLQSTGTVISPEILVDNLRHFSSMFRRHQQEDAHEFMQCALDKLDSCFLSLKKNDPTFEGENVVNKVFGGSLVSKLRCCTCGRSSDTNEPLIDLSLEIENADSLSSALESFTMVENIAEELKCEGCNEEVSMEKQLMLNQTPSIAAFHLKRFKTDGFFVEKIDKHIDFPLELDLQPYTILNENSNVPLKYDLYAVVVHIGFLSNSGHYFCFVRTAPDTWHKLDDSKVTKVSEKTVLSQEAYVLFYARKDTPWFSEFAESTIPSLDWGRMNTSPKSVLDILEVQDKSFPILNENVEMNKVEDSKKISEKKFDYSSRQSREKLKIDDVIDASPNREQFPTGPSNQKAFNVKGLEDINSKVLPLNSACLTGTAKAGGSSYPDNSAHDNNKYGPSVMDFIENENFNSLTPPNTPLSHTPGKSFQISRERAKTENQGSRSSKKSSSNKSSSSLGKKAAITYLKKMRGSRRGALMDLVNASHNKTFPENKRKNTNSSVSDKGSARKKSSHDSAGGYPVGSRNFTISSLWL >CAK8536199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916505690:916506210:-1 gene:gene-LATHSAT_LOCUS5590 transcript:rna-LATHSAT_LOCUS5590 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTGEQATTGHIHASFPAWFKDQLSCIVAPTQEILHL >CAK8565239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78877870:78885210:1 gene:gene-LATHSAT_LOCUS18468 transcript:rna-LATHSAT_LOCUS18468 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFASKSSETKASRVSRWRSTGIVALRDSKLKTFPDEIIDLDRSVRTLDLTHNRIADIPLEISKLINMQRLVLADNIIERLPVNLGKLQSLKLMNLDGNRITSLPDELGQLVRLERLSISGNLLTSLPATIGSLRNLLILNVSNNKLQSLPESVGSCFSLEELQADDNLIEDLPSSVCNLSHLKSLCLDNNNVKQIPLNLLKDCHALQNISLHGNPISMDQFQQMDGFQEFEARRKKKFDKQIDSNVMIGSKGLDEGVDL >CAK8563245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:585676148:585678400:-1 gene:gene-LATHSAT_LOCUS16675 transcript:rna-LATHSAT_LOCUS16675 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGKLGSILRQATNRKIVSELRPSPSVFQAIRCMCSAPTTKLFIGGISYSTDEPSLREAFSRYGEVLDARVIMDRETGRSRGFGFITFNSVEEASSAIQALDGQDLHGRRVRVNYANERPRVYGGGGSYGNAPYGGGAGYAGGAGGYGGAGYAGGGGGYGGGYGGGGYGGGSSGPGGNYGGSDAGNNYSAPSGSGASYGNDGSSGFPSDFGSAGSGTSSAGGYDGSGGLGYGNIGFPADVSGAGSGTGSAGVHFDSKDSGDVNEDLGNFRDDNDDDTDNFAKRA >CAK8540809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22608721:22611114:1 gene:gene-LATHSAT_LOCUS9776 transcript:rna-LATHSAT_LOCUS9776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAFNVFDRMPQRDVISWNTMIFGYAGIGNMGFAQFLFDSMPERDVVSWNSLLSCYLQNGIHWKSVEVFVKMRSLRILHDYATFAVVLKACTGVEDYYLGLQVHCLAVQMGFDCDVVTGTALVDLYSTCKKLDQAFKVFDAMPERNSVCWSAVIAGYVRNDRFIEGLRLYTDMLKEGIGVSQSTFASVFRACAGLSAFEFGTQLHAYALKTNFGYDSIVGTATLDMYAKCDRMFDARKLFNTFPNPTRQSHNAIIVGYARQDHGLEALKIFRSLQKSYLGFDEISLSGALTACSAIKGHLEGIQLHGLAVKCGLEFNICVANTILDMYAKCGALMEACLIFDDMERKDAVSWNAIIAAHEQNERVEETLSLFVSMLRSTMEPDDFTFGSVVKACAGQKALNYGMEIHGRVIKSGMGLDWFVGSTMIDMYCKCGMLVEAEKIHERLEEQTTVSWNSIISGFSSQKQGENALRYFSHMLQVGVIPDNFTYATVLDICANLATVELGKQIHGQILKLQLHSDVYIASTIVDMYSKCGNMQDSRVMFEKAPKRDYVTWSAMICAYAYHGLGEEAIKLFEEMQLQNVKPNHTIFISVLRACAHMGFVDRGLHYFRKMRSVYGLDPPMEHYSCMVDLLGRSGQVNEALKLIESMPFEADDVIWRTLLGICKLQGNVEVAEKAANSLLQLDPQDSSAYVLLSNVYAIAGIWGEVAKIRSLMKNYKLKKEPGCSWIEVRDEVHAFLVGDKAHPRSEEIYEQTHLLVDEMKWDGYVPDIDNFLLDEETEEQDHYEEQKIAACSVR >CAK8540808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22608553:22611114:1 gene:gene-LATHSAT_LOCUS9776 transcript:rna-LATHSAT_LOCUS9776 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKKLTFSHIFQKCSNLKALNPGKQAHSQMIVTSFVPTIFVSNCLLQLYCKCSNMSYAFNVFDRMPQRDVISWNTMIFGYAGIGNMGFAQFLFDSMPERDVVSWNSLLSCYLQNGIHWKSVEVFVKMRSLRILHDYATFAVVLKACTGVEDYYLGLQVHCLAVQMGFDCDVVTGTALVDLYSTCKKLDQAFKVFDAMPERNSVCWSAVIAGYVRNDRFIEGLRLYTDMLKEGIGVSQSTFASVFRACAGLSAFEFGTQLHAYALKTNFGYDSIVGTATLDMYAKCDRMFDARKLFNTFPNPTRQSHNAIIVGYARQDHGLEALKIFRSLQKSYLGFDEISLSGALTACSAIKGHLEGIQLHGLAVKCGLEFNICVANTILDMYAKCGALMEACLIFDDMERKDAVSWNAIIAAHEQNERVEETLSLFVSMLRSTMEPDDFTFGSVVKACAGQKALNYGMEIHGRVIKSGMGLDWFVGSTMIDMYCKCGMLVEAEKIHERLEEQTTVSWNSIISGFSSQKQGENALRYFSHMLQVGVIPDNFTYATVLDICANLATVELGKQIHGQILKLQLHSDVYIASTIVDMYSKCGNMQDSRVMFEKAPKRDYVTWSAMICAYAYHGLGEEAIKLFEEMQLQNVKPNHTIFISVLRACAHMGFVDRGLHYFRKMRSVYGLDPPMEHYSCMVDLLGRSGQVNEALKLIESMPFEADDVIWRTLLGICKLQGNVEVAEKAANSLLQLDPQDSSAYVLLSNVYAIAGIWGEVAKIRSLMKNYKLKKEPGCSWIEVRDEVHAFLVGDKAHPRSEEIYEQTHLLVDEMKWDGYVPDIDNFLLDEETEEQDHYEEQKIAACSVR >CAK8572556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546456906:546459265:1 gene:gene-LATHSAT_LOCUS25109 transcript:rna-LATHSAT_LOCUS25109 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVNSDSKPTTVEIKELKFTYPGIDGHPPPGSKPLIQDFSLNLFSGDRCLLVGSNGAGKTTILKILGGKHMVEPEMVRVLGRSAFHDTALESSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPERRAELIKVLDINLSWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLKFLRKECDERGATIIYATHIFDGLDDWPTNIVYVAHGRLQLAMPIEKVKEISKLSLMRTIEAWLRKERDEDRRKRKENKAAGLPEFEKQVDGSRVVGGDPARASVRVTNNGWAAGRLHSTIAGEENFVLSSNRVLRG >CAK8532508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:274094855:274095469:1 gene:gene-LATHSAT_LOCUS2206 transcript:rna-LATHSAT_LOCUS2206 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSTLFLKTQIPSPILLFSISKGTFNAKLLPNLCFCKSIQTSSCEVAKGSYVPTPTVDLTKENIIVEKPSRTIESVGAFQKLPIVMPSIDILRSALRKARRVSATKGIVNIAKREKNKGAKQLDALMKELAVPLRTYVESFPNKRRLHPYERSLIELTLGDGYYEKVLRNVDRLRKRVVSVGKEHASICAKSTTKREAEERL >CAK8568704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633350696:633352783:-1 gene:gene-LATHSAT_LOCUS21636 transcript:rna-LATHSAT_LOCUS21636 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFRKYTTKRPSTLIITFASVVCFVMIVTAYIYSTPTPPQRTDKCSFYSSDGCRTRDLFPNAYFSRELTDREIESRVVVKNLLNFVPHRTTTPKIAFMFMTPGSLPFEKLWHLFFQGHEGKFSVYVHASKEKPRHISRYFLGREIHSEPVSWGSFAMIEAERRLLANALLDPDNQHFVLLSESCVPIRHFEFVYSYLLYTNVSFIESFLDPGPHGNGRFIEHMLPEVELKDFRKGSQWFSMKRQHAVIVIADSLYFTKFKYHCRPNMEGGRNCYSDEHYFPTFFHMLDPGGISNWSVTYVDWSEGKWHPRTFSGRDVTPNVLKALMSFDESPHITSEPKRTVLMTPCIWNGSKQPCYLFARKFYPDALERLMYLFYNSTTI >CAK8579530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706126822:706130076:-1 gene:gene-LATHSAT_LOCUS31470 transcript:rna-LATHSAT_LOCUS31470 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLHLQTSPVQQQMMAGNPNNWWNPPAAPDHLPPPSNYFPTPYPLPLPSWHDNQHLPDNSWTQLLMSGGVVYEEDKEQVMLTQPPLSASSLVDHVKHESSVNNYVYGNEEFHGTTNSTWSQIVSVPSSSSSKSNMLDFSNSARSPSSDPSSDQCNSGAAGGALKKARVQPSTTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLESIGYIRFLHSQIEALSLPYLGNGSSNIIKNQHQQSVQGDKNCFFPEHPGQLLNENCLKRKAVSEGCEEEEEKKDLRSRGLCLVPVSCTVQVGSDSGADYWAPAFGAGFQ >CAK8568752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639041689:639044735:-1 gene:gene-LATHSAT_LOCUS21683 transcript:rna-LATHSAT_LOCUS21683 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSSWWVKDTQKGTPVVVKMENPNFSVVEINGADAAFRPVEKNRGKNARQVTWVLLLKAHRAVGCVTWLVTVVWDLLGGIKKRVIQRQGVAVEKGKLLFRMISLFLVISLAVLAFEVVAYLQGWHFGNHNLHIPHSSDFERLFHVAYVAWLTFRAEYIAPPIQALSKFCIVLFVIQSVDRMLLCLGCFWIKLRKVKPRISGDPFKVDDVEGSVCNYPMVLVQIPMCNEREVYEQSISAVCQIDWPRDRLLIQVLDDSDDESIQWLIKAEVSKWNQKGINIIYRHRLIRTGYKAGNLNSAMSCDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWSYVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKVSPWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPLWLICYVPVFMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLAAAERETKSIEQQKIHRGASDSELVELHQLKELKEAAVEPVKKVNKIYKKELTLAFLLLTASVRSLLSAQGVHFYFLLFQGITFLLVGLDLIGEQMS >CAK8538750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494967790:494969397:-1 gene:gene-LATHSAT_LOCUS7916 transcript:rna-LATHSAT_LOCUS7916 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHCSALSQSPPPSISKFLSDHPCLTMLQNPSTTIKDFHQIYPHIIKTGITHNSIASTRVLSFCASPSGNINYAYKLFIRMPNPNLYSWNTIIRAFSRSSTPQFAISLFVDMLYSEIQPQHLTYPSVFKAYAQLGDAHHGSQLHGMVVKLGLQKDQFIRNTIIHMYANSGLLGEAKRVFDERIELDDVVAFNSMIMGYAKCGEIDESRKLFDNMSIRRTSVTWNSMISGYVRNGKLIEALELFYNMQEEGIEPSEFTMVSLLNACAHLGALQHGKWVHDYIKRNYFELNVIVVTAIIDMYCKCGSIDNAIEVFEKCHRRGLSCWNSIIIGLAMNGCEREAIELFSKLESSKLLKPDSVSFIGVLTACKHLGAVDKGKDYFELMMNSYKIEPSIKHYTCMVDVLGQAGLLEEAEELINAMPIEPDAIIWGSLLSSCRKHENVQIAKRAAQRVYELNPNDASGYVLMSNVHAASNKFEEAMEQRLLMKENSTEKEPGCSSIELYGEVHEFLAGGRLHHKTREIYHLLNDPSFAFQD >CAK8535125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815887276:815887658:-1 gene:gene-LATHSAT_LOCUS4602 transcript:rna-LATHSAT_LOCUS4602 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSVAALSFLLLVLLVAQEIVVSEANTCENLAGSYKGVCFGGCDGHCKKQEGAISGRCRDDFRCWCTKNC >CAK8535243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:829393916:829403096:1 gene:gene-LATHSAT_LOCUS4716 transcript:rna-LATHSAT_LOCUS4716 gene_biotype:protein_coding transcript_biotype:protein_coding MESILGRALEYTLKYWLKSFTRDQFKLQGHTVHLSNLDIDGDALHSSVGLPAALNVASAKVGKLEITLPSVSYVQTEPIIVQIDRLDLVLEENSDFDASSNPNSSTSSAAPAKGSGYGFADKIADGMTINIHTVNLLLETRGSSRRQGGATWAPPMASMTIRNLMLYTTNENWQVVNLKEAREFSSNKKHIYVFKKLEWQSLSIDLLPHPDMFTDVEEGSNLRDDDGAKRVFFGGERFIEGISGEAYITIQRTELNSPLGLEVQLHINEAVCPALSEPGLRALLRFMTGVYVCLNRGDVDLKAQQRSTEAAGCSLVSIVVDHIFLCIKDAEFQLEFLMQSLFFSRASLSEVDNDKNMTKITIGGLFLRDTFSSPPCTLVQPSMQAVTGDALHVPEFAKCFCPPIYPLGEQQWQLTEGSPLICLHALQIIPSPLPPSFASQTVIDCQPLMIHLQEESCLRISSFLADGIVVSSGDILPDFSVKSFIFTLKGLDLTVPFDKTKLDISRSDMDDTIHNSFTGARLHIESLLFLDSPSLKLRMLNLEKDPACFCLWEGQPIDTTQKKWTVRASQLTLSLEAYIGTTGHQTSGLWRCVDLTEACIEVAMATVDGSPLLKIPPPGGIVRVGVACEQYLSNTSVEQLFFVLDLYGYFGKVSEMMAMAGKGKQLEDSGNKSFSGKLMDKVPSDTAVSLAVKNLQLRFLESSSMNVEGLPLVQFVGDDLFTSATHRTLGGAIVVSSSLRWESIEISCVDAEGKLACESVSSLSSSINAPSLSDNRYPQLRPVFWVHKNEGHLSDANAQSFPFLDISTVHVIPLYEQDSESHSLNVSAFVSGVRLGGGMNYTEALLHRFGILEPDGAPGKGLCKGLENLQKGPLSKLFKSTPMIDDTEDVESIGEGKETGFPHLKKPDDVDVTIELRDWLFALEGAEDMAEKWWFSSHEDEGREDRCWHTSFHSLQVNAKGSPNNVSGGKGQIRKLQHHPVEMVTVGVQGLQILKPHIQQNIPSSVVIGNGVKELTGIVGGVGLEVRLVLCEENVDDETTNWEVENLKFSVRQPVEAVVTKDELQHLTFLCKSEIDSIGRITAGIIRLLKLEGSVGQSVIDQLGNIGSEGIDKFFSGEKVRDGSIGSRGRSPLPSLIYEEPNKTPEQTLTLLEEAVMDSQTKINDLISDIGTSESSSFQHLTIVKLSQKIETMQGLLLQLRNQL >CAK8575792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:326620288:326622568:1 gene:gene-LATHSAT_LOCUS28027 transcript:rna-LATHSAT_LOCUS28027 gene_biotype:protein_coding transcript_biotype:protein_coding METYSIVIILLLLLSEIAAQVISHSDSTKDNVSQAISQKDSTIRVDPLDNFKKYKGGFVITNKHYWSSVIFTGIYGYAIGVLLLFGGVLFVTIIFCCQRGEGRRNKKIFPSYNFKGCDVWSVPLAIMTTIFAMVFCGLVLACGANFNYQARTSVDIIIKTTYGASEIINNATGALKEIHENLIESNVGVEAFENLYSTADKFNSTAENIIEKATESKLIINKALKVVLVITIVIVSLYLIVVVSLSVFGVLKLWRLLYLLVIMCWLIIVMCWLLFGVYLVLENFSNDACTSLWNFEENPYNNSISYIHHCDELLSAKPVLSEIGEGIYNLVHEMNSYMTNLKGILLPDFVYICSPFTAPPEYLYKPNNCPLDTIQIGDIPKVLKPYTCFEDNDRKCSDEDFLFPGEYEIIESYTSSIQNLLNVYPCMEELIGCELVKDAIDQVVFKHCKLLKGFAKLVWLGIAILALSMMILVMLWMLIKAHQDEHSHDV >CAK8574000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653414232:653416663:-1 gene:gene-LATHSAT_LOCUS26392 transcript:rna-LATHSAT_LOCUS26392 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLFLHLLLFCNTLTVNSLNPEGYVLLTLKHFITDPQGSMNNWNSSDQNPCSWNGITCKDQTVVSISIPKRKLHGSLPSSLGSLSHLRHLNFRNNKLFGTLPPELFQATGLQSLVLYGNSFSGSVPNEIQNLKYLQTLDLSQNFFNGSLPESVLECKRLKALVLSRNNFTGSLPDGFGAGLSSLEKLDLSFNDFYGLIPSDIGKLSSLEGNLDLSHNHFSGSIPASLGNLPEKVYIDLTYNNLSGSIPQNGALMNRGPTAFIGNPGLCGPPLKNPCGSDGSNGSNVSVPVPATSSPSSNPNLPGSEKNKGLSKGAVVGIVVGDLIGICLLGLLFSFFYSRVCGFNQDQDDENDVNNKGMKRGKECFCFRKDGSEVLSDNVEQYDLVPLDSQVAFDLDELLKASAFVLGKSGIGIMYKVVLEEGLALAVRRLGEGGSQRFKEFQTEVEAIGRLRHPNVATLRAYYWSVDEKLLIYDYVPNGSLATAIHGKVGLATFVPLSWSDRLKIMKGTAKGVAYLHEFSPKKYVHGDLKPSNILLGHDMTPYISDFGLGRLANIAGTSPTLQSNRVAAEKLQERQKSMSNEVAANILGNGYQAPEALKVVKPSQKWDVYSYGVILLEMITGRLPIVQVGNTEMNLVQWIQFCIEEKKPLSDVLDPYLAEDADKEEEIIGVLKIAMACVNSSTEKRPTMRHVLDALDRLSVSCD >CAK8562190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452591592:452592548:1 gene:gene-LATHSAT_LOCUS15709 transcript:rna-LATHSAT_LOCUS15709 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8575599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:199435020:199435148:-1 gene:gene-LATHSAT_LOCUS27850 transcript:rna-LATHSAT_LOCUS27850 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEHRVKFRAKEVKRFFNKGIKTVGNSFKKGWNKVKYIKR >CAK8564014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646026427:646034927:-1 gene:gene-LATHSAT_LOCUS17362 transcript:rna-LATHSAT_LOCUS17362 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSKFDSSSSSPDRPLYTTQRGSHIAASLDRSGSFRECMENPILSSLPNMLRSSSPAMHGDVESFFNYVHFDPKLLVLDHKSNRHVDYKRHVNAALGISPDESPSSSAKGKLLPSPVPEDIKRMRDNLYSSTVKARERGKMFNEALSVFNEVFPTVNLKKRSRVESFSNDRSSVMLNDRSALGSSMGKVGIQGHTVTGAFELEQQKSEERTKSLVPNKRTRTSMVDVKMDVRTNSLVRPLGTVDRDKEKLRIANNGVVQSEERNLPVVGDGWEKSKMRKKRSCIKLDGSPSTTLNKPVSGFQETKQGMQQRLATDSRLKLSNDSNTFRLGISNGTVTAGKSDGVSQQTGLGIRAPIHRNDQDNSSLVNDKRVRPASSDKERVNFRAVNKATVRDEFNSASPTSSTKLNAAIRAPRSGSGVAPKLSPVVHRTAVPNDWELSHCATKPPAGVNSTNNRKRVTSARSSSPPVVPWQRPQKSSRTARRASFVPIASSNDEAPAVDAVSDVSGNDIGLGFVRRSAGSSPQQIKQKGEPSPSAALSESEESGVAEVKPKEKGRKPEERDMKAGQNVPKVSNLVTRKSKLVSGEELGDGVRRQGRTGRGLNATRSQKPMAFEKLGNTAKQLRSARLGSDKNESKVGRPPTRKLSDRKAYARQKPTAICAAADYFVGSEDGHGELLAAVKGVINSAYTFSSPFWKQMEPFFGLIPDEDITYWKQKVNLESSTQMATPVPKYIDGGETIVNGYGLIGWERDVRSDVQGSAGTNTEKLPPPKGDHKMVPLCQRLIAALISEEDRSGGNEDFKFDTFDTESEPDGELELNGLNQRSLTKIQFACHSAYNGYGITGRPAHDETESDMIDIPNFGLNPSFGNSVNGFLHDKTLMSGLACSELQYNSLDINDKLLLELQSIGLDLEPVPEMVQEDDEAILEDITRLEELYQGQVSSKRNLLDGLLKSASTAKELQEKDFDQRALDQLVVTAYEKYTACRGSSASGGKNSSNNKMVKQAALAFVKWTLERYHQFEDTGKSCFSEPLFKDMFLTAASQHSIVRQSDGLEADSSKPFLSLEATSASMGSQQSPSQFYQNMDNLDFISSDMLPALNNSSEQTSGKEDFWSNRVKKRELFLDDVGGIGSSLTSSAKGKRSERDRDGKGNGREVLSRNGTTKVGRQASSSAKGERKTKTKPKQKATQHSVSVNGLLGKLSEKPKPALPPVSKSIEKPSNRNAKEKDEFGLGGLDEPIDLSNLQLPGMDVLGDPGDLADQGQDLGSWLNIDDDGLQDHDDFMGLEIPMDDLSDLNMMV >CAK8566901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471188686:471203016:1 gene:gene-LATHSAT_LOCUS19997 transcript:rna-LATHSAT_LOCUS19997 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMSAPIDQQEDEEVLVPHADLPENNHQPMEVVAQPETANAVESQPVVDPPQTRFTWRIDNFTRLNTKKLYSEVFVVGTYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAIVNQIHNKFSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIIEAEVLVRKIVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPSQLDLDREDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDNKRALEEQYGGEEEQLPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDIEGQMGKDIYFDLVDHDKVKSFRVQKQTPFNVFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTHIEETQTVGQLREVSNKVHNAELKLFLEVEKGMDLCPIASPDKTKDDILLFFKLYDPEKEELRYVGRLFVKGTGKPSEILTRLNEMAGYDPEEDIVLYEEIKFEPNVMCEPIDKKVTFRSSQLEDGDIVCFQKAPSVVDNEQQVRYPDVPSYLEYVHNRQVVHFRSLDRPKEDDFSLEMSRLYTYDDVVDRVAQQLGLGDPSKIRLTPHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHAIKDEVVSHTIRLPKQSTVGDVLDDLKTKVELSHPDAELRLLEVFYHKIYKVFPSNEKIENINDQYWTLRAEEIPEEEKNIGPQDRLIHVYHFTKDTAQNQMQIQNFGDPFFLVIHEGEALSEIKVRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSHAVNQNRHTFEKPVKIYN >CAK8566900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471188686:471203016:1 gene:gene-LATHSAT_LOCUS19997 transcript:rna-LATHSAT_LOCUS19997-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMSAPIDQQEDEEVLVPHADLPENNHQPMEVVAQPETANAVESQPVVDPPQTRFTWRIDNFTRLNTKKLYSEVFVVGTYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAIVNQIHNKFSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIIEAEVLVRKIVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPSQLDLDREDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDNKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDIEGQMGKDIYFDLVDHDKVKSFRVQKQTPFNVFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTHIEETQTVGQLREVSNKVHNAELKLFLEVEKGMDLCPIASPDKTKDDILLFFKLYDPEKEELRYVGRLFVKGTGKPSEILTRLNEMAGYDPEEDIVLYEEIKFEPNVMCEPIDKKVTFRSSQLEDGDIVCFQKAPSVVDNEQQVRYPDVPSYLEYVHNRQVVHFRSLDRPKEDDFSLEMSRLYTYDDVVDRVAQQLGLGDPSKIRLTPHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHAIKDEVVSHTIRLPKQSTVGDVLDDLKTKVELSHPDAELRLLEVFYHKIYKVFPSNEKIENINDQYWTLRAEEIPEEEKNIGPQDRLIHVYHFTKDTAQNQMQIQNFGDPFFLVIHEGEALSEIKVRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSHAVNQNRHTFEKPVKIYN >CAK8566899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471188686:471203016:1 gene:gene-LATHSAT_LOCUS19997 transcript:rna-LATHSAT_LOCUS19997-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMSAPIDQEDEEVLVPHADLPENNHQPMEVVAQPETANAVESQPVVDPPQTRFTWRIDNFTRLNTKKLYSEVFVVGTYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAIVNQIHNKFSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIIEAEVLVRKIVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPSQLDLDREDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDNKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDIEGQMGKDIYFDLVDHDKVKSFRVQKQTPFNVFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTHIEETQTVGQLREVSNKVHNAELKLFLEVEKGMDLCPIASPDKTKDDILLFFKLYDPEKEELRYVGRLFVKGTGKPSEILTRLNEMAGYDPEEDIVLYEEIKFEPNVMCEPIDKKVTFRSSQLEDGDIVCFQKAPSVVDNEQQVRYPDVPSYLEYVHNRQVVHFRSLDRPKEDDFSLEMSRLYTYDDVVDRVAQQLGLGDPSKIRLTPHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHAIKDEVVSHTIRLPKQSTVGDVLDDLKTKVELSHPDAELRLLEVFYHKIYKVFPSNEKIENINDQYWTLRAEEIPEEEKNIGPQDRLIHVYHFTKDTAQNQMQIQNFGDPFFLVIHEGEALSEIKVRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSHAVNQNRHTFEKPVKIYN >CAK8568800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:641913288:641913674:-1 gene:gene-LATHSAT_LOCUS21724 transcript:rna-LATHSAT_LOCUS21724 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVQGDDALRRTVEDVNGDTHSYNWEENMGIVNDIESDEDEWEYGWEEGIEIEEDIRFVPAAKSCIEELKTVTTKETEKCSIYFEDFNVGVCMSCSHMFHMDCIQDWLNIGNSCPLCRFQLPPNKN >CAK8560051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6171543:6174451:1 gene:gene-LATHSAT_LOCUS13766 transcript:rna-LATHSAT_LOCUS13766 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCCGWKKLYGIGSSLSPPMLPLSPFRPLSSSLPFSSNSYLSSSSSSIAQLVQDQQPPKPQLRSPQLVALEYADLNLSNDLELGHVRIRQHVNPLSSSFATPAQVPDWNQVFADPALPLMVDIGCGSGRFLMWLAKRTKVRNYLGLEIRQRMVKRAEVWVKDLALDNIHFLFANAPISFKQLVESYPGPLQLVSILCPDPHFKKKYHKRRVLQKPLVGAIVDNLTPGGQVFIQSDVLEVALDMKNQFDEVDALNDIDALNPAILCDSEGWLLSNPMGIRTEREIHAEFEGAKIYRRLYEKKQI >CAK8533287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600549645:600551738:1 gene:gene-LATHSAT_LOCUS2927 transcript:rna-LATHSAT_LOCUS2927 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNNKNNKPFLFPRANSTVLPDPYRFFSPNLLSTPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSVSYPSRSSNSKVISQIFKPDLTITSSSQVQIVQQQFNTFLPSFINNALNVLPFKKESHKISSYSDLSVTLDIPSSNLKFFLVRGSPFLTFSVTRPTHLSITTIHAIHYFSSNNSLKKHTFHFNNGQRWVLYASSPIRLTQRLSEIYSVAFSGIIRIALLPDSNSKSAAVLDRYSSCYPLSGDAIFREPFSVEYKFKKKGSGDLLMLAHPLHIQLLSKKDSNVTVLSDFKYKSIDGDLVGVIGDSWILKTEPVSITWHSSKGVKEESRDEIVSSLLKDVKLLKSSEITTDCSYFYGKLIARAARLALIAEEVNHFDIIPDVKKYLKETIEPWLDGTFSGNGFLYDKKWGGIITKQGSTDTYANFGFGVYNDHHYQLGYFLYGIAVLARIDPIWGAKYKPQAYSLMADFMTLSRNSNSNYTRLRCFDLYKLHSWAGGLTEFTDGKNQESTSEAVNAYYSAALIGMIYDDAELVATASTLTSLEILAAKMWWHVKDDGNMYEKVFTKNNKVIGVLWSNKRDTGLWFGPAEWKECRLGIQLLPILPISEDLFSDMKYVKGLVEWTLPALKRDGVKEGWKGFVYALQGVYDNEGALQKIRKLKGFDDGNSLSNLLWWIHTRGEGVENEVFE >CAK8579511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705067168:705067881:-1 gene:gene-LATHSAT_LOCUS31453 transcript:rna-LATHSAT_LOCUS31453 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPLLGEATATTDTELRLPNPVQNRPKRPRDSNNSNHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFATPEMAARAHDVAALAIKGNSAILNFPELAPLMPRPESKSPRDVQAAAVKAAAMEVPDQQTTTSQSHTSSSSSFSSLSQSSSSSSLAVSSSDEPSTPEPDELGEIVELPALGTSFELPDPVNMVFSDPVDGWPYYSHSWDQISMHQDNSESISMILCGFEGSLWQH >CAK8541899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:426706689:426708109:-1 gene:gene-LATHSAT_LOCUS10788 transcript:rna-LATHSAT_LOCUS10788 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLSYNDFEGQLPMNGIFQNATSFSIDGNIKLCGGVSKLNLPSCTIKKFHSPKVIIPLGSALVFVLFLSCFVAIFMRKRLGKKTSRERVTTKELELNISYSEIVRCTGGFSEDNLIGTGSFGSVYKATLVSNEAVIAVKVLNLEQIGASKSFIDECNVLKMIRHRNLLKIITAISGIDHQGNDFKALVYEFMSNGCLEEWLHPKNETKTLSFVKRLNIAIDVACALEYLHHYCEISIVHCDIKPSNVLLDKNMVAHVGDFGLATFLFEESCDSPKHSTMTASLKGSIGYIAPEYGMGGRASAVGDVYSYGILLLEIFTGKRPTDEMFEGGIGIQQFTALALPNHVIDIIDPTLLHDEELDGYNEDYSDEIALRYENELGELSSMENCLVSLLQIGVLCSSTSPNERMHMTEVVNKLQAIKNSYLRLNELI >CAK8568971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660565471:660572732:-1 gene:gene-LATHSAT_LOCUS21874 transcript:rna-LATHSAT_LOCUS21874 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVRRTSCLNCKLWFVVVVVVEIILILALVDAHTAKPHEDQLQWGGLEKNTKNIASHSCIHDQILEQRKRPGRKVYSVTPQVYEPSILKPLQHKGRAILAVSTSLGPQKDAKEPIRIYLNYDAVGHSPDRDCRKVGAVVKLGEPPTSSLPGSPSCNAHGNPPIVGDCWYNCTSQDISGEDKKHRLRNALGQTADWFRRALSVEPVKGNLRLSGYSACGQDGGVQLPRGYIEEGVPDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRDQVTEQVMDEKLGRMVNRVVLPRVVMHSRYHYAAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTKSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTEFITTPCNLWKGAYHCNTTQYSGCTYNREAEGYCPILTYSGDLPQWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCINSSLEIAVDGIWKACPRAGGPILFPGFNGELICPAFTELCNSDPVSLSGQCLNSCNFNGDCVDGRCHCFLGFHGHDCSRRSCPSNCNGNGMCLSNGFCECKTGYTGIDCSTVVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLSSLSVCKNVLGNDVSGQHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKLFNIFSSTFCDEAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNIACGASLDCSDQTLFSSEGEGEGQCTGFGEMKLSWFDRMRNGFSLRNSSSKGMSVRYRKL >CAK8571187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:330483494:330487819:-1 gene:gene-LATHSAT_LOCUS23876 transcript:rna-LATHSAT_LOCUS23876 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRRTSIHLSLRNQALSNNVGTSRVKLMLNTLVEHEDAVPDSHQMIHARFLSKGHSFYRTGNAFQQFTVSRRELSSQADASSTKDDNDDDDMEIEDQLIELDADGDSVDSETDLLDGDEDGGKPRDELEFSDTESDPAEKKSQSGKSRSELSKAVVNASSLSVESAVDKWVAKGKELSRKEISSTLLNLRKRKMFGKALQVLDWLESNKKLEFTDREYASKLDLIAKLRGLPNAEKYMEHVPKSFKGELLYRTLLANCASLNNLKKTEEIFNKMRELDFPITPFACNQLLLIYKRTDKKKIADVLLMMEKENVKPSPFTYKILIDVKGLSNDIDGMDQIVETMKAEGVELDHQTQATLARHYASAGLTEKTEAILKGIEGENLKENMWVCPTLLRLYAILGRADEVERIWKVCESKPRVEDCLAAVEAWGRLKKIEEAEAVFEMMSNKWKLSAKNYSVLLKIYTRNNMLIKGKDLIKKMGDSGCVIGPMTWDALVSLYVQAGEVEKADSVLQKALQQKRMRPLFTTYMTVMEQYAKRGDVHNAEKIFHRMRQAGYISRITPFNSLAQAYKNAKLPAYGIRERMKADNIFPNRALSDQLAQIDPFRKTPVSDLLD >CAK8561501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:254742192:254744196:1 gene:gene-LATHSAT_LOCUS15087 transcript:rna-LATHSAT_LOCUS15087 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQALKA >CAK8579596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711389881:711391748:1 gene:gene-LATHSAT_LOCUS31529 transcript:rna-LATHSAT_LOCUS31529-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLFNFSIAYFLFLSLLSVHANQLSCTQTPYPLVCNHYIGTTTTNTLSTIDSSSFHDIAIKVTMDQAIQAYKLVSTMDLNHFKDSRAKSAWEDCLELYEDTIYQLNRSINSNNLNDKLTWQSASVTNHQTCLNGFIDFNLPSHLNYFPSMLTNFTKLLSNSLSITNTLASSLLSSSLPNTKQNGGRRLLSDRFPHWLSGSDRKLLQTTPSADIVVAQDGSGNYKTISEGVAAAKGSGKGRVVIHVKAGVYKENIDIKKTVKNIMIFGDGMDSTIVSGNHNAEDGSTTFRSATFAVMGDGFIAKDMTFENTAGPQKHQAVALRSGADHSVFYRCSFKSYQDTLYVYANRQFYRDCDIYGTVDFIFGDAVTVLQNCNIFVRKPMSNQQNSITAQARKDPNENTGIVIHNCRITAAGDLKPYQNSVKSYLGRPWQKYSRTVVMKSNIDGVINSQGWAPWSGGFALSTLYYGEYMNIGDGANTEGRVNWPGFHVITNPSEAVKFSVGNFLAGESWISGSGVPFDAGL >CAK8579595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711389854:711391748:1 gene:gene-LATHSAT_LOCUS31529 transcript:rna-LATHSAT_LOCUS31529 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFSNHIMDKLLFNFSIAYFLFLSLLSVHANQLSCTQTPYPLVCNHYIGTTTTNTLSTIDSSSFHDIAIKVTMDQAIQAYKLVSTMDLNHFKDSRAKSAWEDCLELYEDTIYQLNRSINSNNLNDKLTWQSASVTNHQTCLNGFIDFNLPSHLNYFPSMLTNFTKLLSNSLSITNTLASSLLSSSLPNTKQNGGRRLLSDRFPHWLSGSDRKLLQTTPSADIVVAQDGSGNYKTISEGVAAAKGSGKGRVVIHVKAGVYKENIDIKKTVKNIMIFGDGMDSTIVSGNHNAEDGSTTFRSATFAVMGDGFIAKDMTFENTAGPQKHQAVALRSGADHSVFYRCSFKSYQDTLYVYANRQFYRDCDIYGTVDFIFGDAVTVLQNCNIFVRKPMSNQQNSITAQARKDPNENTGIVIHNCRITAAGDLKPYQNSVKSYLGRPWQKYSRTVVMKSNIDGVINSQGWAPWSGGFALSTLYYGEYMNIGDGANTEGRVNWPGFHVITNPSEAVKFSVGNFLAGESWISGSGVPFDAGL >CAK8541610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:299176615:299178989:-1 gene:gene-LATHSAT_LOCUS10518 transcript:rna-LATHSAT_LOCUS10518 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSIFLSLKIQVIFFLLIGICLFEAALASTTRHYHFDIRLQNVTRLCHKKSIVTVNGKFPGPRIVAREGDRLVIKVVNHVQNNITIHWHGIRQLQSGWADGPAYVTQCPIQTGQSYVYIYTIKGQRGTLFWHAHISWLRSTLYGPLIILPKKNVQYPFAKPHKEVPILFGEWFNGDTEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRFVNAALNDELFFSIANHTLTVVEADASYVKPFETDTILIAPGQTTNVLLKTKPYFPNATFLMLARPYATGLGTFDNSTVAGIIEYETPFSTNNSNYSLKNLPLFKPILPQLNDTSFATNFSNKLHSLSNAQFPANVPQKVDKHFFFTVGLGTNPCNTNQTCQGPNGTMFAASVNNVSYTMPTTALLQAHYFGQSNGVYTPDFPTKPLNPFNYTGTPPNNTMVGNGTKVVVLPFNTSMELVLQDTSILGVESHPLHLHGFNFFVVGQGFGNYDSNKDPQNFNLVDPVERNTVGVPSGGWVAIRFLADNPGAWFMHCHLEIHTSWGLKMAWIVLDGKLPNQKVLPPPADLPKC >CAK8541337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:124951858:124952926:-1 gene:gene-LATHSAT_LOCUS10266 transcript:rna-LATHSAT_LOCUS10266 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTMHVDHLVTQLRNTLNNNFSSSSSSSSNLNASLPTDSNTNKTLSFCCCNGKLCRKQEITSSECLYNHVFKTVPSQREVEDAISALQEFMKAISSTITFQQIPDSYDSRIMLSQGYKRLYDALQMLQADPAVKRLVVSLCSDEAIWDAVIRNVLHQRLLELPRSVNCERPQISGQREIGIEIISWIFDIMKGKILELIESFQSLVNDLFQSPRIGNATGNAVTQLDEKVRSSTLLSIVILLIVIMARSQRPQV >CAK8535305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836760163:836760990:1 gene:gene-LATHSAT_LOCUS4775 transcript:rna-LATHSAT_LOCUS4775 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSKMDMEGSIGTKEHLAKGKRTKRGIRMLSPCTVANTTVTSSCSSATGGGGRSFSSTSFDSTEEKEEADMANCLILLAQGRTGGQGCQNHHQQVGGYNNIVTEKATRNGFESYECKTCNRFFHSFQALGGHRASHKKPKMKELISAGEFEEENNHIHNKNVSTISPLVPPHVSLELRCGGSLNFHGHGNNNKLNRSNKVHECSICGAEFTSGQALGGHMRRHRACSNKNNNNNVGDVHVKTRNVLELDLNLPAPEEDLRDSSFQFPAMVGCHY >CAK8535306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836760166:836760990:1 gene:gene-LATHSAT_LOCUS4775 transcript:rna-LATHSAT_LOCUS4775-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKMDMEGSIGTKEHLAKGKRTKRGIRMLSPCTVANTTVTSSCSSATGGGGRSFSSTSFDSTEEKEEADMANCLILLAQGRTGGQGCQNHHQQVGGYNNIVTEKATRNGFESYECKTCNRFFHSFQALGGHRASHKKPKMKELISAGEFEEENNHIHNKNVSTISPLVPPHVSLELRCGGSLNFHGHGNNNKLNRSNKVHECSICGAEFTSGQALGGHMRRHRACSNKNNNNNVGDVHVKTRNVLELDLNLPAPEEDLRDSSFQFPAMVGCHY >CAK8565169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:55282490:55284952:-1 gene:gene-LATHSAT_LOCUS18404 transcript:rna-LATHSAT_LOCUS18404 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRSIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHADATNTHASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGVNDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPPPRLSPEEIWNRVSKLPKFTDYGEAYRIEGYGVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPDGYASNLARCADTKTGKLHGMKSHDCHVFMERLLPIAFSSLPNHVLYPLTEISQFFRDICASTLRVDSIIKLDQNIPVILCKLERVFPPGFFDSMEHLPVHLAYEAYLGGPVQYRWMYPFERFMGDSKRSVKNKAKVEGSICAHYLHRAEYVRYRPRPCMLLAHSLRQI >CAK8534341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719111528:719111839:1 gene:gene-LATHSAT_LOCUS3892 transcript:rna-LATHSAT_LOCUS3892 gene_biotype:protein_coding transcript_biotype:protein_coding MENIHSQDLRERQRERRAQHARDRRQQMSVEQRQQELARRRSNYRQNKDKGKEVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSDAGPSNAHVSRIPSPS >CAK8572545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545779760:545780798:-1 gene:gene-LATHSAT_LOCUS25098 transcript:rna-LATHSAT_LOCUS25098 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSAAITIFRPGTLVEISNDVEGYYGSWFTGKIVCCLHHHKFVVEYDKIMVDEEGTMGVQETVNLSQLRPIPPKEIIQDLQVGDEVDAYDRDGWWEGRISGNFENGMWAVYFKDWSEQLAYPEDELRRHHNWVNGSWIPPFPQQDDDSKIKETERVNAAETVTGDKDEFKFEPGTLVEVCSKEDGFQGAWFCATLIEPKAGLKFVVEYESFVDDDDNYKLLREEINMHQIRPRPPKTDDGYQFQFLDEVDAYYNDGWWVGVVSNVLGDSKYIVYFRNSNEKMEFQRSELRVHQEWEGTKWAMASKV >CAK8530425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20319470:20321771:1 gene:gene-LATHSAT_LOCUS291 transcript:rna-LATHSAT_LOCUS291 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEFQAGICGETWWNINNSTRNVFPLMINSSSSSTSSCSGSYSNWQNEFLGLKETNNNFDVSDCSLSFLDSPKPPEISVTGTGSTSSMFFDLSSPNSSNWNHSILSENNFECVIQEESTKNFSSRMEQEFCIDHQSLNLSSYPIGSVDSYGCYPSSLIQSLFDSQPQPQNSLFTNPSSMAYSSSSMPKQQLSGLHFSNNTPFWNASAEALNDIRAGVLASSQSQYHQSHNFQNNNFNSSNTLLNKLKRENSPEAKTSSEVTMKRQRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHDQVNVLSTPYMKNGSPIQHQQGCDNVKELERKKQDLRSQGLCLVPISSTFPMTNETSIDFWTPTFGGTLFGR >CAK8565472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:229903330:229907944:1 gene:gene-LATHSAT_LOCUS18686 transcript:rna-LATHSAT_LOCUS18686 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLVFIFSFVFRSIFRTIMGCLFTCFRVRDNKRNLPKTSDTAVAVPSRHNKANDDVVSRNRLSTLFLSDGGEDIVHRNGKVFDEGSQGDNMELKDEAKFLKACGTIVSTPDEIRKTSGKLKVSPSCSNDSGPPKFRSWLLDTSAQNVPIDAQPLNPPTPNKQCEESEKRTDYSDLTPSSCISTAHNTQCDYQDSTEGSGRGSCHPTDGTEVNKGRNKSVRFECETDISSCESVAYGGWHRKKTESPSPTPTKLSEGMQTPGIVYPANLKDFSNDKLVKSQFVYPNSSVGEDAFKNKILEEEDYASVQDSRKQSESIEQSHNTTSTPRKNESPVEESLSSWLKPASVILEERRKKMEMAQIRKTPADRPIIGMVAAHWHEEENSDAPPPKWWDGNGIPNLTNKYKEDQKVSWHATPFEERLEKALSEDPVISKRKDICGKPIAFDENEESDTALSQMKSSTHPQSVVSF >CAK8575358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:93885142:93885644:-1 gene:gene-LATHSAT_LOCUS27628 transcript:rna-LATHSAT_LOCUS27628 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDAYEYVKSIRPRVLLTSSQWQAVQDYYRHLIVRRVVGFLHAADLLVKASEVAAASQDLVKFDDNF >CAK8541598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:287268176:287269328:1 gene:gene-LATHSAT_LOCUS10507 transcript:rna-LATHSAT_LOCUS10507 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTSLPPYIDPTNWHQQQQNHHQVTNTSVNTPFLIPPPQLQPSNLTPLQQPHGSSIRPGSMADRARMANIPMQEPSQKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRTKSSSNNTSKSPVSSDRQTSSANNSPAVLSSQTPPPMRFMTPLHQLGVHHLGEIGLNYNFHSQMGGVGDLNFHIGSSLSGSINGGRSGGSASILPTGNFEQWRMPQTHQFPFLTSLEASSSHGLLYPFGGNDQEHAHGGVSNVKMEENQSKQFLGITNSNNNNNNPSSEQYWSSSNGGGGASSAWNDLSPFNSSSATTNSNYRT >CAK8578808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655659469:655661027:-1 gene:gene-LATHSAT_LOCUS30792 transcript:rna-LATHSAT_LOCUS30792 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETLTLVLVNLAGIMERADTSLLPGVYKEIGAALNADPTALGSLTLYRSLVQSFCYPLAAYLATRHNRAHVIALGAFLWAVATFFVAISSTFLQVAISRGLNGIGLAIVIPAIQSLIADSTIDSNRGTAFGWLQLTGNLGSIIGNLFAILLASTSFFGIPGWRIAFHIVALVSVVVGILVRVFANDPHFPNNSTKPTSYQIPNKSFISEVKDLIKEAKSVIKVPSFQIIVAQGVFGSFRGSSLSFFTLWLELVGFSHGTTAVIWTVFIISASFGGLFGGWLGDFLSQRLPNTGRIMLAQISAGSTIPLTAILLLLLPNDPSTPFIHGFVLVIMGIITFWCAPATNDPIFAEIVPEKSRTAIYALDRSFECILASFAPPIVGVLAQHVYGYKPVPKGSSDSVEIETDRENAASLGKALYSTIAIPIAICVIIYSFLYFTYPMDRERARMVALVESEMDQLKMEHETGEDYSETHVLEDNDEKVLLSVVH >CAK8571620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:450108608:450111736:1 gene:gene-LATHSAT_LOCUS24262 transcript:rna-LATHSAT_LOCUS24262 gene_biotype:protein_coding transcript_biotype:protein_coding MITRYPSHPLLLVHHNPSPFESLTLPPQRSHHVRTLLLPKTLSCHLSPSHNTFSSKPLHFALSGALSLCLLFGGAEIAEAAKVGVNKPELLPKEFTTVIDVAGFLSDGQEKRLAQEISALEKDTGYKLRVLAQNYPDTPGLAVKDFWQVDDSTVVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNIFYWREKGEDASIESAVMAISNCLREPVGPNNCSEVK >CAK8560643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33423801:33425959:1 gene:gene-LATHSAT_LOCUS14302 transcript:rna-LATHSAT_LOCUS14302 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFAGNLEYDTRQADLERLFSKYGRIERVDMKSGFAFVYYEDERDAEEAIRALDNIPFGYDKRRLSVEWARGERGRHRDGSKANQKPTKTLFVINFDPIRTRVSDIERHFKPYGQLHHVRIRRNFAFVQYETQEDATKALECTNMSKILDRVVSVEYALRDDSERVDNYSSPRRGGGLARSPSPAYRRRPSPDYGRPRSPVYDRYSGPDRRRSPDYGRNRSPEYGRNRSPEYGRYRSRSPVRRSRT >CAK8570271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33058572:33059731:-1 gene:gene-LATHSAT_LOCUS23034 transcript:rna-LATHSAT_LOCUS23034 gene_biotype:protein_coding transcript_biotype:protein_coding METQNLTIDDTLLLSDIFNETEFAGEDLFSILGNLENFNDFPFITNEQNSKISLTTPQVSETEPSQKCKRQKLAPSSVVEEPNSDGQHRVSHITVERNRRKQMNEQLSILKSLMPCFYVKRGDQASIVEGVIDYINELHQLLQCLESKKQRKVYNEVLSPRLLVSSPRPSPLISPRITLPISPTTPHSQQWLQLQHYGGHLSSEPSPTSSASSINDNINELVANSTSSVADVEVKFCGSHLILKTVSSRIPGQVFRIMSALEDLALEIVHVSVSTADETMLNSFTIKIGIECQLSAEELAQHIQQTFC >CAK8544188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671195402:671197038:-1 gene:gene-LATHSAT_LOCUS12889 transcript:rna-LATHSAT_LOCUS12889 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRMRNFLSRIKTRRTKKTIRKNRNKNAHKLRHQSCISSITNNSPSLIEDEDTEEGFSIKSSAPSHTHGVQPLGNLYFSPGSINSRDTGLGNLHTLTDELVLDILGFLDGTSLGVLASVSKSFYVFTNHEPLWRNLVLENLIDGFQYNGSWKTTFVSSCYPLFDGSCSALRGFEVRDFYSDYLFQSWLCANLEMKPEWLERDNIVRKRGISVEEFVMNFEEVNKPVLLEGCIDNWDALRKWDREYLMKLCGDDVKFAVGPVEMTLGEYFGYSDQVREERPLYLFDPKFAEKVPTLGSEYEVPVYFQEDLFGVLGNERPDYRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWILFPPDVIPPGVHPSPDGAEVASPVSIMEWFMNFYGVTKNWKKKPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASILVSGTTDRVNLHDKFKNAIEASFPGEIDELTRKEEEKKTQQRKLSIWDSIADSSVGAFKFSF >CAK8539777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524846555:524847019:-1 gene:gene-LATHSAT_LOCUS8840 transcript:rna-LATHSAT_LOCUS8840-2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNPTNLFSSFDKEKLVEFAKFYPREFCQTNLVFIDNQLETYIIDMRSSIEFASLKGVGELSEKLVETRRHVVYPLVYQLLKLTMILPVVTTTVKRSFYAMKIVKTRLHNRMGDEWMNDYLMSYIEIDVLKDIDNEPISQRFQNMKSRKGQL >CAK8539776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524846555:524847079:-1 gene:gene-LATHSAT_LOCUS8840 transcript:rna-LATHSAT_LOCUS8840 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQELNNRFNETNIRLLICMACLNPTNLFSSFDKEKLVEFAKFYPREFCQTNLVFIDNQLETYIIDMRSSIEFASLKGVGELSEKLVETRRHVVYPLVYQLLKLTMILPVVTTTVKRSFYAMKIVKTRLHNRMGDEWMNDYLMSYIEIDVLKDIDNEPISQRFQNMKSRKGQL >CAK8531037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:72552760:72553592:1 gene:gene-LATHSAT_LOCUS854 transcript:rna-LATHSAT_LOCUS854 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVPAPDELLQLFSDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTIYHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPIFNQAIVYKLQKILHQCNSFVIMFRQLALEQNVEECRLLIKERPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVISCDGNLTKVQETIGYYDPLQYPILFPFGTYGWDIETKNNVGKKCYMPRVLQLCASDST >CAK8562128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443356145:443361055:1 gene:gene-LATHSAT_LOCUS15652 transcript:rna-LATHSAT_LOCUS15652 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCMHVFDSLLLCFPTSWNKNSTPTSRRKFHRDVEKEEEFQFASTQCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTTKSLNSLAYDLRYELLQRPILRMWNILNTTAEITTAQVKLSEYVIRSHSNLANQAEKVQMYESMRAVTWALFASRKALNSITVKYNNGFVQAFHRDLKDNNTFYIYTDLAYNETNSFAAYDGISNDEEVHSNKSVIWYREQLDPVSGEKIGKAIKIAPENSISIAGLSQVPDGVASWNVSVNKFTDSPLLSAALPVWDSSNKNIVAVVGVTTALYSVGQLMKELVDKHSGHIYLTSQKGYLLATSTNDPLLTNSRKEPKLKMAVDCDNEVIREGAQWLQKTYGNDFPPSHEVHVENARLGRQQYYIDSFYLNLKRLPLVGVIILPRKHIMGQADERAFKTLVILISASLCIIVIGCFCILILTDGVSKEMNLRAELISHLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILLSDDHLTNEQCATVTQIRKCSTTLLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHTVEIILDLSDDMPKLVRGDSARVVQIFANLINNSIKFTLSGHIILRGWCGNSNFYGDNENFTPEPKKWGCSPKTRTKQHENHAKKASNSDNKMIIWFEVDDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRSLVKKMGGEIKIVKKEGPGTLMRLYLHLNAPVDATQDHCQVDFANNGLVVLLALHGNMSRLITSKWLQTNGVVTMEASEWNGLTQILRELFHERTSIHNNNDFDVPYQAHEVLKSKFLSIQELTNPTFVIAVDIDLLDLSTDIWKEQLNFLHKYYGRAKFIWLHNHDSANTIKTELRKKGRILTVNKPLYKAKMVHILEAVINERNVEAQKQNMITSRFTVKKGDLHESLEIDYTHCDVGSSDGSDISEIGSSNLGTANGDKQRKEVLRFNQTSIYQKSNSLLGLSNECMEGSETRAKGEDSEGSETKKVSGSSKAIKGNKSLEGLRILLAEDTAVIQRVATIMLEKMGATVVAVGDGQQAVDALNGTIGVENYRNTRTSETEILSFPSYDLILMDCQMPKMDGYEATKEIRKSEVGTGFHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDFKLMESTILSLTREKP >CAK8560869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51527797:51529544:-1 gene:gene-LATHSAT_LOCUS14509 transcript:rna-LATHSAT_LOCUS14509-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAPQRYAVVTGSNKGIGFEIVKQLAEAGIKVVLTARDEKRGLHALETLKASGLSDFVVFHPLDVADAASVAALAHFVKSQFGKLDILVNNAGISGTVINDKDLATVLISNPGALSEDEKKKAVTQTYELAEECLKINYYGTKIASELLLPLLKLSDSPRIVNVSSTLGKLERIQNEWTKEVFSEADNLTEEKVDEVLKNFLEDFKTDGPKPGGAYVISKAAINAYTRIVAKKFPTISINSVCPGYVITDITANTGILTAEEGAASVVKLALLPNGSPSGQFYNRTEVSSF >CAK8560868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51525744:51529544:-1 gene:gene-LATHSAT_LOCUS14509 transcript:rna-LATHSAT_LOCUS14509 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAPQRYAVVTGSNKGIGFEIVKQLAEAGIKVVLTARDEKRGLHALETLKASGLSDFVVFHPLDVADAASVAALAHFVKSQFGKLDILVNNAGIIGTIINNKELFNLAIINRGALSTDNRRKALTQTYELAEECLQTNYYGSKLTAESLLPLLQLSDSPRIVNVSSTLGQLKSIPNGCAKSIFSDGDNLSEEKVDKVLKKFLKDFKEGSLDEENGWPKTLGAYIVSKAAMNAYTRILSKKFPTLSINSVCPGYVVTDITANTGLLSVEEGAASVVRLALLPNGSPSGFFFYRSEVSSF >CAK8530844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55286293:55286493:1 gene:gene-LATHSAT_LOCUS680 transcript:rna-LATHSAT_LOCUS680 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLLRPPPPSPISTSHQPLALHHHRTSSSPFNFPKTKTTTSNSFVLNSTNPSSLSATLKSEPRD >CAK8541921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:433094014:433122304:-1 gene:gene-LATHSAT_LOCUS10809 transcript:rna-LATHSAT_LOCUS10809 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIVQYEEKIIETVDNNSIVVIIGETGSGKSTQLSQMLHRHGYSKIAVTQPRRVAAVSVSRRVAQELGVQLGEEVGYAIRFEDRTSRNTRIKYLTDGVLLRESLADPELNDYSVIILDEAHERSLNTDILMGLMKRLVKLRTSNLKVLITSATLDGDKVSKFFRDCPVLNIPGKLYPVEVLYSKERPVNYLDTALKTALDIHVRQPEGDILIFMTGQDDIEKLVSKLEDEVRSLDEGSCMDAIIFPLHGSLPPELQVRVFNPPPPNCRRIIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSRMYSLEVVQISKVQANQRAGRAGRTRPGKCYRLYPSQLYHDEFLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDSPSSESLQDALKQLYLIDAIDENGAITSIGRKMAELPLEPSLSRTLMEANNYGCITEALTVAAMLSTETALLPGQSKTEKKRKRPLSNLPDGFGLGDHIQLLQIYERWHQTDYDIGWCKDHGLQVRGMTFARDVRKQLSQILQKIAKEPLDIKENRKGEEYRRDYRNLRKALCVGYANQLAERKMHHNGYRTLGFRGQIVQVHPSSALSSDELGKFPDYVVYHELIATPRPYMRNVCSVEMKWVIPIINKLNTLNVYKLSGGGMDHVEEESRIPDLPKKNVEVSAAADDNESRIQAARERFLARKANK >CAK8573919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:647073971:647076689:1 gene:gene-LATHSAT_LOCUS26314 transcript:rna-LATHSAT_LOCUS26314 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLTSQTLRIPTPTSLSLASKLPLSSAFSLPLPSHRRRSLVVYVSADAKPTVLVAEKLGEAGLALLKDFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASIKAGKWLRNKYVGVSLVGKTLAVLGFGKVGTEVARRAKGLGMSVIAHDPYAPADRARAIGVELVSFDEAIATADFISLHMPLTPATSKMLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDSGIVAQAALDVFTVEPPTKDSKLVLHELVTATPHLGASTTEAQEGVAIEIAEAVVGALKGELAATAVNAPMVSSEVLTELKPFVVLAEKLGRLAVQLVAGGSGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRLSEERVILDGSPENPLEFIQVQIANVESRFASAISDLGEVTVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGLIGKVGSILGEENVNVSFMSVGRNAPRKHAVMAIGVDEQPRKESLKRIGDIPAIEEFVFLKL >CAK8560529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23727838:23728317:-1 gene:gene-LATHSAT_LOCUS14193 transcript:rna-LATHSAT_LOCUS14193 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVTATATPGSSDRLTDSDPIELTGKIMVVAIIFLFVVVMFVLMLHLYAKWFWWRFEERTAPQPRNRRRRRRFQFAPGQDPIFYGSGTHQIGLEASILKSLPVLVFKPEEFKDGLECAVCLCDIVEGEKARLLPKCNQNSKVATFYRDGKQSKGGKK >CAK8533038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:568977584:568979219:-1 gene:gene-LATHSAT_LOCUS2690 transcript:rna-LATHSAT_LOCUS2690 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNKLQELCQKKSWRLPVYDTTRAGPPHDPLFTTTVTVNSVPFTSSTPSRTLKLSQNDAAFLAFNHFSEQNPVSSPFLPNLAAFPQPSFSGSLNAAVSQDDGSWRKTRQYRLIFAHCGKPKNRQSVAMADI >CAK8532982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:559785944:559790757:-1 gene:gene-LATHSAT_LOCUS2635 transcript:rna-LATHSAT_LOCUS2635-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSPDPSKVAERLQNISLDSESRTVVVPEPPKSGHGPANDGPKPFNPNASFVPHVHPSTAYYYGAAGYEGQNNYEWNPYNSYVNSNGGMAQGVFGDGGSYMYPQGYGYAPYGSYPSPSSSPSLPPDEAIYGIQQYQYPSYYHPPASANGTYGANKINGHGGKTSTDVTAEHVPTSVMNKGSSTTATNGYSSNNNGSNDAYQRAGFPGAEYQDPRVTHGTQPSYPSDPSLFSDRQSKHGAKYGLSSPTMPAKDFNSQRNANFPQPLPQFTNMYASMHSSGLGLSSGYANGMYPSNTAYSQYGNTYRARSNFGSTAYVSRAGSFDNKFKASSYGRGFDHVKKNTDGFGELNKGPRGSNSSDDKNVKSLGAVTLLHKGQDLPVKSDDNKEVHPVPNKQQYNGEDLSESYSDAKFYVIKSYSEDDIHKSMKYNVWTSTPNGNKKLDAAYQEAKEKPGDCPIFLLFSVNTSGQFVGLAEMVSPVDFDRTVEYWQQDRWTGCFSVKWHIIKDIPNNVLRHITLENNEYKPVTNSRDTQEVKFDKGVEILKIFKEYSSKTCILDDFGFYEDREKTTQEKKAKEQLLTKEMVSKSNDLTTIGTDTLPKSHDGTLTNESAIPDAAETRENLTEVNGPALPTEPPAGDRTGDKVKP >CAK8532981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:559785944:559790757:-1 gene:gene-LATHSAT_LOCUS2635 transcript:rna-LATHSAT_LOCUS2635 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSPDPSKVAERLQNISLDSESRTVVVPEPPKSGHGPANDGPKPFNPNASFVPHVHPSTAYYYGGYEGQNNYEWNPYNSYVNSNGGMAQGVFGDGGSYMYPQGYGYAPYGSYPSPSSSPSLPPDEAIYGIQQYQYPSYYHPPASANGTYGANKINGHGGKTSTDVTAEHVPTSVMNKGSSTTATNGYSSNNNGSNDAYQRAGFPGAEYQDPRVTHGTQPSYPSDPSLFSDRQSKHGAKYGLSSPTMPAKDFNSQRNANFPQPLPQFTNMYASMHSSGLGLSSGYANGMYPSNTAYSQYGNTYRARSNFGSTAYVSRAGSFDNKFKASSYGRGFDHVKKNTDGFGELNKGPRGSNSSDDKNVKSLGAVTLLHKGQDLPVKSDDNKEVHPVPNKQQYNGEDLSESYSDAKFYVIKSYSEDDIHKSMKYNVWTSTPNGNKKLDAAYQEAKEKPGDCPIFLLFSVNTSGQFVGLAEMVSPVDFDRTVEYWQQDRWTGCFSVKWHIIKDIPNNVLRHITLENNEYKPVTNSRDTQEVKFDKGVEILKIFKEYSSKTCILDDFGFYEDREKTTQEKKAKEQLLTKEMVSKSNDLTTIGTDTLPKSHDGTLTNESAIPDAAETRENLTEVNGPALPTEPPAGDRTGDKVKP >CAK8538965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500456103:500456837:-1 gene:gene-LATHSAT_LOCUS8109 transcript:rna-LATHSAT_LOCUS8109 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNNISSPSPSPSNQSNIQISPEQTGLPKPPKNYHPFRTRSSRKLAILKVGEHQKTKPIVWFAAILCFIFSLTLIFFGIATLICYLALKPSNPSFDIPNASLNLVYFDSKPYLNGEFTLLTNFSNPNRRVHVKFESLHIELFFTNRLISSQTITPFTQKPRETRLQAVKFMSSLLFVAQEVGVKLQNEVQSSNRLNYYAKGTFKVKVKMGIIHLSFWLHSVCQMEMTGPPAGSLVARQCVTTR >CAK8578795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655125630:655125951:1 gene:gene-LATHSAT_LOCUS30779 transcript:rna-LATHSAT_LOCUS30779 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPTMRYSPGKEARGDGHKRRHSLESGILLRGTMTILLCSMKYRLEKETIFCFSLQMTWKIHSLQG >CAK8533640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644437898:644438395:-1 gene:gene-LATHSAT_LOCUS3252 transcript:rna-LATHSAT_LOCUS3252 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSNGVVSSKTTTNNDRNRGNRSTNKRFTTVDDGEADIECSGKHCKSCTSGLVADCVALCCCPCVVLHCFSLPFIMGKKCLGLGSKNKNKKKRCCYKKKCKREHKDVDGVVLEGKKEEGLDVEQSTSSMDNVHVNDGFEAEKVWHDLHQIRHLGFGWVSFSKD >CAK8542518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522316598:522317098:-1 gene:gene-LATHSAT_LOCUS11354 transcript:rna-LATHSAT_LOCUS11354 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTAEVCDANPQLILSGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASLRCAILGGNPVVQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALGSHPMKANKKGMGEKHVPITIAGTRISDGEWLYADTDGILITRTELSV >CAK8574928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16021863:16023700:-1 gene:gene-LATHSAT_LOCUS27226 transcript:rna-LATHSAT_LOCUS27226 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQEPKFPLRLLVDKEKNRVVVAESKSDLVDILFSFLTLPLGTIMRLVSNNHHDHIHEINYDQPQDTFGCIHNLYKSVENITDGVFWNNVCKTMLLRPRNPSEALCKKLKLNLDDSGSIKYFMCGRCNKRSDLFLSTFVGASCCYCGKLMEKEMKLHGDFGEEGLDDGVFVKKGSLYLIFDDLKVLQNSPGNSVQQLLQLGYNNLNKFKQISLNVGLKEILDLLKQSLISNSPLTDIFLANKECKKMHKFSPKQGPKVEKWTNIKLKLMVRKSKKKILYAEAEGDFVDFLLSFLTTPIGSILEQLNGDFSLGSMQNLHKSVREFNPSWLIKPLGNPLQNPKVASQFGCKKQPLININEEKTPSYWYGKGVVKNHICYSNVNGVISKKKSGVKNPEAMKLFDPRSGYGRSESALGFVKRPSLYVVWDDLQVTPLVNSSSISFLHKMNVSLDDLEEHVVSIGESEALNLLGASLTSSKEALTEGLFHILKRPKEEDRD >CAK8570966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:199253253:199253818:-1 gene:gene-LATHSAT_LOCUS23670 transcript:rna-LATHSAT_LOCUS23670 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKNDDDNNKSDTNLHGRVVNGANELAASVDKTDQQNQDKKPVEKKSGAYMVEEEQQTEEYEFFNNGYTNFDAEMFSNENEDSNIVYQHESLNEKLELLNLKNGYNVAPGIDPLSRNPTVRRSLFTNPGEMDLFSGEDKLTRRVRLQVFQDFTSSS >CAK8568163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578728631:578729841:-1 gene:gene-LATHSAT_LOCUS21152 transcript:rna-LATHSAT_LOCUS21152 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDLPDCVILHILSFLDTKDAVRTCILSVRWKDLWKSHPALTLDFVKFTHYDVNEFVSKVLSLRDSSISLQSVNVRLLICGMVPLILTRILSYAVSHNVHRLEIEVCGHIAQILPTMFSSLTLTHLELSIHSLGAPAPETIFPNSLNLPALTNLQLENISFSVGENGFAEPFSTFPKLNSLFLYNCNVGRSHTGTLCISSSTLVNLTMYNHNCIFYKIDLRSPSLCKFVFTGNPYHKVLATDVSSLKHLDMVAYRKAPPLFLFNWLLEFVNIESLTVSAATLQVLSLIPNLLKMDFPSLVNLKSLKVIMGPISYGFRMTVCQKKLRNVKSKEEAVALRKAFANGSDPSLLIPDGIVDFLLQNSPLAEVYFIGYKKL >CAK8579393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695497720:695498374:-1 gene:gene-LATHSAT_LOCUS31342 transcript:rna-LATHSAT_LOCUS31342 gene_biotype:protein_coding transcript_biotype:protein_coding MVFERNTNVLLTSFVVVKDVVLKLKPLQTKDELLVFAAVGIKLKVIIINLRRKQGAIDLKFLSVVVVLILENENMEGLERLLWSCFDV >CAK8578343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621906308:621908736:-1 gene:gene-LATHSAT_LOCUS30360 transcript:rna-LATHSAT_LOCUS30360 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKQEQDTVIASVHSTVFKESENLHGKCIQIEGYDFNRGVDYHNLLESMLTTGFQASNLGDAIQVVNQMLDWRLVDEPIAEDSSDEEKDLEYRKSVDCKVFLGFTSNLISSGVRDVVRYLCQHHMVHVVVTTTGGIEEDLIKCLAPTYKGDFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQNDENVLWTPSKLIARLGKEINNESSYLYWAYKNNIPVYCPGLTDGSLGDMLYFHSFHNPGLIVDIVQDIRAMNGEAVHANPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVARTFASRRKPQD >CAK8539299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508636599:508637372:-1 gene:gene-LATHSAT_LOCUS8408 transcript:rna-LATHSAT_LOCUS8408 gene_biotype:protein_coding transcript_biotype:protein_coding MATALALRFRSNLTRTASLFISSLHPPQFKPSPPLFSSTPLDSTSWLSLSTHRFLSTSRRQPTSRPKKTIDIAARARQLQAKRLWTYGLSFATFAGFIVVVLNNFQDQLVFYVTPTDAIEKFRTNPTKAKFRLGGLVLEGSVVYPSSSPGVEFVITDLITDIVVRHEGSLPDLFREGHSVVAEGFVKPFTDEIKKDNSLKKVSAKARSGEYYFSATEVLAKHDEKYMPKEVAASIEKNKKILEEANAVSEVKPNIAS >CAK8562725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531603910:531613556:-1 gene:gene-LATHSAT_LOCUS16197 transcript:rna-LATHSAT_LOCUS16197 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDVLLLLLLTSLHGSIARPNRLEWESVIRLPGELVDADVDEIGTRWAVLVAGSNGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHELNPRPGVIINHPQGPNVYDGVPKDYTGDYVTAENLYAVILGDKSKVRGGSGKVINSKAEDRIFIYYSDHGGPGVLGMPNMPYVYAMDFIDVLKKKHASSGYKKMVIYVEACESGSVFEGIMPKDINVYVTTASNAQESSWGTYCPGVDPAPPPEYITCLGDLYSVAWMEDSETHNLKRETVKQQYMSVKERTSNYNNYALGSHVMQYGDTNITDEKLYLYHGFDPATVNLPPNNGMLETKIEVVNQRDAEILFMWQMYQRLDDQTEQKRDILEKISDTVKHRNHLDGSVELIGVLLFGPTKGSSVLQSVRVSGLPLVDDWECLKSRVRVFETHCGSLTQYGMKHMRAFANICNRGISEESMEEACMAACGGYDVGLLHPSNKGYSA >CAK8566577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438183067:438183946:-1 gene:gene-LATHSAT_LOCUS19698 transcript:rna-LATHSAT_LOCUS19698 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLLFCFLLSTISVTTAGVVTNGNGTGIQLIIVNNCKESLWPGILSNGGQPSIKGGGFHLPSGEQVVLQLPNHWAGRIWGRQGCWFDETTGKGTCQTGDCGGQLKCKGTGGVPPATLVEMTLGTSDSPLHYYDVSLVDGFNLPMSMKPVGVGVGGGGCGDASCAADLNGCCPSSLVVKHDGKVVGCKSACLATQSDKYCCTGEFADPKSCKPTMFARVFKSVCPRAYTYAYDDLTGLMSCLANRYAITFCPP >CAK8573307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602282376:602285781:1 gene:gene-LATHSAT_LOCUS25773 transcript:rna-LATHSAT_LOCUS25773 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRTLLKVIVLGDSGVGKTSLMNQYVHNKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLAYDVNVMRSFDSLDNWHEEFLKQANPSDSRTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKANIPYFETSAKEDYNVDAAFLCIAKTALANEHEQDIYFQGIPEAVQENEQKGGGCAC >CAK8559979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2851747:2853648:-1 gene:gene-LATHSAT_LOCUS13703 transcript:rna-LATHSAT_LOCUS13703 gene_biotype:protein_coding transcript_biotype:protein_coding MREIQLQFSKTQKVRLQKAMESLSSRMNSDSLVTVADSIPVNHEDGVLKGHGTSDLDGQVVATLCGTVEHVNKLVYVRGLSSRYKPEVGDIVVGRVIEVAQKFWRLDINCSQNAYLMLSAMNMPDGVQRRRTALDELNMRGIFEESDLICAEVRGLSHDNIHLHARSKKYGKLSTGQMVAVTPYLVKRQKQHLHHLEQHGIDLIIGCNGLIWVGEHVEAEDETKYQVNLTEPAHKEEGKSDTKRKYICRAANAIRVLSKLGFSITLEIIKGVIDLSQSLNLEIHDMLGSEFCVLVAEKKTERKSSNKRKREIMKAHMKL >CAK8564778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9458133:9460492:-1 gene:gene-LATHSAT_LOCUS18046 transcript:rna-LATHSAT_LOCUS18046 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLAVSSHNNLFLSVINKPNLHKHRTTKPSRTRTVVTCSQHHTNHRNFIEKPQQHQQATFMVDVDTHQRFVVRENRKKKEKEEGKSVLHEKEEKKKKKGSSSSGGTQIGSKQMAILCGFGYWLQGFRCFPWLALNFHMASNLNLNPSVLQLVQYSANLPMVAKPLYGILSDVIYVGAAHRIPYIVIGVILQIFAWNYLAFVPAAREVLTVLVATVLLSNLGASITEVAKDALVAEYGKKHKISGLQSYAFMALAAGGILGNLIGGYFLLKLPPRIMFVIFSSLLSLQLAISFSTREDSLGLQKPLSQNLATPSISENISKQVSDLIEAISDKSISRPLLWVVGSIATVPMLTGSVFCYQTQCLNLDPMIIGWSRVIGQLVLLSGTVLYNRYWKKFSMRKLIGAVQILYATSLLLDLVLVRQINLQFGIPNEVFAPCFSGLAEVLAQFKLLPFSVLFASLCPKGCEGSLTSFLASALILSSIVSGFFGVGLASLLGITSGDYSGLTVGILIQFVAALLPLRWIGSLPMSQPDSEEKQRRKSMSRRARRNRRVGKVVISSINAYRREREWEPQC >CAK8571132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308994244:308994645:-1 gene:gene-LATHSAT_LOCUS23823 transcript:rna-LATHSAT_LOCUS23823 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNFDQTNPYFPYPTFNTTFSQPASTFTPDDVYIPAIQQTQAETYPQPPQPSHSFQHFLLTEEQLMQMPDFNIDDILDDQPGPSSRQTNPPTTHHNEDLSSDSSQSTANERLGRGYRQRRPPRCGTGGHLR >CAK8570056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22578309:22581660:1 gene:gene-LATHSAT_LOCUS22841 transcript:rna-LATHSAT_LOCUS22841 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENVENVRVTRARARAMKASSTKEPAAVRLRDVTNISTKSSNNNKRVHTSNLQKNEVCKKRKTKVASEDNASLHVSKTKENAETELAKDSSTFTETMKDSLQLKVQPYLVVPMLSMQDSVKSPIEDINLICEKLRTSVGFGVVDIDSEAKDSLVWTSYAPDIYYNIHVRECEKRPLADYMEKVQRDITPTMRGILVDWLVEVSDEYTLVPDTLYLTVNLIDRFLSCRVITRQRLQLLGVTCMLISSKYEEICAPRLEEFVTVTDNTYSKKEILKMEKEILNVLHFQLSVPTIKTFLRRFIQAAQSSYKVAYPELEFMANYLAELTLVEYSFLQFRPSKIAASAVFLARWTLGQSEHPWNQTLEHYTNYKVSELKTTVLALLDLQLNTKGCGLKGVREKYKQHKFKSVADFSPKPVEPLF >CAK8542920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559754530:559756367:1 gene:gene-LATHSAT_LOCUS11717 transcript:rna-LATHSAT_LOCUS11717 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVSSSPKKWMRNCVELLNSFMCCVVFLVFDFLDAVLCVIYRYLDERIEGVASPCCCFKWERQKNNKNKILMHDQDDGLSDSLYERKNVFRDMGLLQFGRKQEDSNGKCGGRRVNSWSDCGCESCLSWVNGDDDDYKLHFVLKEPFIATGENCSEESYENVIFLHGFMCSSSFWTQTVFPCFSENVNHNYRLIAVDLLGFGKSPKPRDCLYTLKDHVEMIEKSVIQPLELGSFHLVAHSMGSVIALALAAKYANCVKSITLVAPPYSSYEENDACLKALEKFAGKKLWPMLSAGSSFMSRYEHLGRTVCLIYCRNHRTWEKILKFITRKRDLSFSITDMTRHTHQSAWNSMHNVICGGTKFMGGYLKILTKSGVRINVIQGDRDQVVPMECISKLKLKAPNVEINIIPNADHSTVLLGREKKFAQSLEHTWTSSC >CAK8543207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588354300:588355975:1 gene:gene-LATHSAT_LOCUS11980 transcript:rna-LATHSAT_LOCUS11980 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHHRKRSPDSIKDEESQLHLMDCATSVYYKRTKPKLLSFLFLITFLSCCYVFAPFFLGPSFYLSLLYSNGPENDANQHGVDVKASTCSSVSTGTICCDRTGYRSDICVMKGDIRTDSSSSSIFLYNSINKNNVSRTVNGRKVENEEEQVLQQEKIKPYTRKWETSVMDTIDELNLISKKVNSRRVRKCDVHHDVPAVFFSNGGYTGNVYHEFNDGIIPLYITSQRFNKKVVFVILEYHSWWIMKYGDILSHLSEFPPIDFSEDNRTHCFNEAIVGLKIHDELAVDSSLMEGNKSIVDFRKLLDKAYSPRIKGLLREEEREQQQNSLSPSSRSDSETSQGVLEIVRKKPKLVIISRSGSRAITNENLLVKMAEEIGFHVAVLKPKKTSELAKIYKVLNESDVMIGVHGAAMTHFMFMKPKSVFIQVVPLGTNWAADTYYGEPARKLGLKYIGYEIHPKESSLYDKYDKNDPILSDPDSITNKGWEYTKKIYLDSQNVKLDLRRFRKRLHRAYEYTVLRLNLNLQHQAQ >CAK8573395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609350926:609351597:-1 gene:gene-LATHSAT_LOCUS25846 transcript:rna-LATHSAT_LOCUS25846 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNSPLNQAKLVHPNNNHDHEQNATLEIESDEFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILSGFAGLVAGACSMAIGEFVSVYSQLDIEIAQLKRDKNIENGNSNVEDVVIEKESLPNPLQAAAASALAFSVGAMVPLLAASFIKDYKLRVGVVLVAVSFALVVFGLLGAVLGKAPVLKSCLRVLLGGWIAMAITFGLTKLIGSSGL >CAK8570817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:120044039:120045949:-1 gene:gene-LATHSAT_LOCUS23536 transcript:rna-LATHSAT_LOCUS23536 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKNVLMSLSNVFIFILVCCSYMIENVASADYGTALTKSLLFFEGQRSGVLPKKQRLNWRGDSALKDGQDVGMNLVGGYYDAGDNLKLGFPMAYTITMLSWSAIEFKDKLARKKELNNALYAIKWGTNYLIKAHPQPNVLYGENGDPDSDHECWERPEDMGTPRTSYKIDEQHPGSDLAAETAAALASASIAFRSVDSKYAFTLLTHAKQLFNFANSHQGLYQNSIPTAGKIYSSSGYKDELLWAAAWLHRATNMKQYLDYIGNSGDNGGVRSMFSWDDKYVGAQILIAKLVLQGKVPSSGIWAEYKANAEQFICSCAQKSNQNIQKTPGGLLWFLPWANNQYVTSATFAISTYSQYLSSNKASLQCSGGAVSPSDLASLVKSQVDYILGSNPQKLSYMVGYGSNYPQQIHHRGASIVSVKKDRSPVGCKDGLDKWLNKNAPNPNVLEGAVVSPDQNDGFTDNRNNYQLGEPTTTAVAPLVGVLAFLA >CAK8543646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628641205:628643111:-1 gene:gene-LATHSAT_LOCUS12392 transcript:rna-LATHSAT_LOCUS12392 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDTYSGSGIGTKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGTHHDEIDMEFLGNLSGDPYILSTNLYANGIGGREVQFYLWFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSRGLPYECHNRLTDHPDEY >CAK8576144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416017881:416025484:-1 gene:gene-LATHSAT_LOCUS28355 transcript:rna-LATHSAT_LOCUS28355 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSKPCFSLQLSTRISVNSSSLIPFNFSFPISSTPRRAMSKPRPSAFDALMSGARAAAAKKKPPPPSSSPKKRKSPPPPPSPKPPQSTLSAPAQNPNSNLKTLETVETVKQPNETVQEEPPSKIRNTSSSPKDVIAELKERVPQLKKKPSSFDPSEVACWEKDKPVPFLLLSLAFDMIDAESGRIVMTDIVCNLLRTVIHAAPEDLVSVVYLSANRIAPAHVGLELGIGDASITKALAEAYGRTEKQIKNQYKEKGDLGLVAKASRSSQSMMRKPEPLTITKVFNTFHLIAKESGKDSQEKKKNHIKSLLVAAIDCEPLYIIRLLQSKLRIGYAEQTLLAALGHAAVYSEEHSKPPPEIQSPYEEAAKIVKKVYSVLPDYDKIVSALLSHGVWELPKKCDFTPGVPVGPMLSKATKGVAEILNKFQDVEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKFPDVVAAVSRLKKTTVSSFILDCELVAYDRAKQRILPFQVLSTRARKNVSVSDIKVEVCIFAFDLLYLNGQALLQENLKIRREHLYGSFVEETGFFQFATTTTSNDVEEIQKFLDEAIDASCEGLIIKTLHEDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFAGRGKRTGVYGAFLLACYDTDREEFQSLCKIGTGFTENVLEERSTSLGSKVIPKPKSYYQYGESVKPDVWFEASEVWEVKAADLTISPIYRAAVGTVDPNKGISLRFPRLLRVRPDKAPEQASSSEQIAEMFKAQKHNHNDTQDARQNDDEDV >CAK8562097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439940572:439942094:-1 gene:gene-LATHSAT_LOCUS15623 transcript:rna-LATHSAT_LOCUS15623 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSTSKSFYVCSFLVLFYPFVVAATFNSGYKNYSFPAVIAFGDSVLDTGNNNYIHTIVKSDFKPYGKDFTGGKATGRFSNGKIPSDFFAEYFGIKELVPPYLDPNLTTEELLTGVCFASAGSGYDPLTIELASVLSAEDQLEMFKEYIAKLKESVGENRTTEIIENSIFIISMGSNDVSGTYYTSPFRKDEYDIEKYTTMLISASSKFVEELYLLGARRIGVFSLSPLGCLPLQRTVKGGIKRDCVEIVNEGALIFNSKLSSSLVDLAKKLPDSRLVYLENYIELRDIMLNHTHYEFENGDGSCCGIANIELGPLCSSFTLKVCANTSEYVFWDSYHPTERAYRILVKQVLDNKIDEFL >CAK8565798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336138700:336140266:1 gene:gene-LATHSAT_LOCUS18980 transcript:rna-LATHSAT_LOCUS18980 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPWFCHQCSSVHVDEVIKKYKNAKSNLEELQKLGAYLLHGVDATQMKFHPDLKMRRFDRIIFNFPHAGFHRKEGDFIMIKMHMDLVFGFFKNASHMLRINGEVHINHKTTPPFDTWNIVKLAEQSFLMMIGCADFKQEDYPGYNNKRGHKSRCDDSFPLGKCSTFKFIYNPRSMKDHLRRNHMEVSRQQITTNLPFEEIQNTERFPAPVDSSYHPRTRFPFIEIQNTKRFPAPVDSNYHLQTRLPFEEIHNMERFPPPVGSNYHPQTRLPFEEIHNMERIPAPVDLNYHPRASLVQKPSQLNYYPQTSPFPKTDQLDHYPQTSLFPKANQLNHFPQRVASFADYYHYARDTTQDTQRLLQPPMESSYNQFSQQWPIPTSRRLCLTEHHRRTMDVAPSMPLGARSDGYHQYQQVLERSSTYLQEELYGNAQRSSDCYDIARHDLERYNAEVPRRGLLEKYMIRSEYVDAA >CAK8538434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482563913:482564415:-1 gene:gene-LATHSAT_LOCUS7630 transcript:rna-LATHSAT_LOCUS7630 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAATASYTNAFEKAMLEMKVVNVEAFKHLIKLPARFWTKAFLKTNHLCDTLVNNMSEAFNSVFVIASSKPIITMIEEIKVYLMQRWQSNRQKITKFEGDILPNIKKKIVKESEKTNCWIMRRAGEYDYEVILVSSTVDVT >CAK8531132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83230544:83230852:1 gene:gene-LATHSAT_LOCUS944 transcript:rna-LATHSAT_LOCUS944 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPIHSLHTFLSPTVCHNLVSKCDKPPSLLLPSLVLLHCRLCLRCDLLNGVKVVESDTCNSRLTLLSSKLSMLPTMLVLRLPSNQNPALKNGKTKSTYYSI >CAK8576780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:513099309:513100330:1 gene:gene-LATHSAT_LOCUS28948 transcript:rna-LATHSAT_LOCUS28948 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKDQSNKTKSNKTDIYVLYRKEALEMTRLAFEHFKEATKAYQQKDHFSAKQHSMAGRKIRLMVEEHNYNAAKEIFKINNRKNKIWRIDLHGLHGSEAIQILQSRLNEIIATQSKSLEVITGVGKHSHGKPVLPMIITKFLKENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8540095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539565621:539567138:-1 gene:gene-LATHSAT_LOCUS9132 transcript:rna-LATHSAT_LOCUS9132 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFASLSRVPPPSSLNSLHTSGSNESTNKDSSLCREVSNLKVFSYGDLKIATKSFKSDALLGEGGFGKVYKGWLNAETLAPAKAGSGMMVAIKQMKPDSLQGVQEWQSEINFLGSISHPNLVKLLGYCRENDELLLVYEFMPRGSLESHLFRRNTNGEPLSWKTRLEIATDAARGLAFLHSSEKQVIYRDFKASNILLDGNYNAKISDFGLAKFGPSGGDSHVTTRIMGTFGYVAPEYIASGHLYVKSDVYGFGVVLLEMLTGLQVLDTERPPGKQNLVEWIKPSLSDERKLKSTIMDCRLEGQYSPKAALETAKLILKCLESDPKKRPSMNDVLQKLESIKVIKDGRKISKNHCTKSAANSYVQFRKDNLSI >CAK8538102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462878164:462879874:-1 gene:gene-LATHSAT_LOCUS7337 transcript:rna-LATHSAT_LOCUS7337 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVDLLPKEYGFVAIVLVIYCFLNFYMAFHVGKARRKYKVFYPTLYASESENKDAKLFNCVQRGHQNSLETMPIFFTLMILGGLKHPSICAALGVLYTVARFFYFKGYSSGEPKNRLKLGGLFMPAILGLMLCTLSFGWSLLNQPAASH >CAK8540225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545919811:545921765:-1 gene:gene-LATHSAT_LOCUS9251 transcript:rna-LATHSAT_LOCUS9251 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSKPWRPFTSNCCTTENQTIFSNFSKCKPSRSDYSKNIAPLPSFRRLSFSDLSRSSSTRINEDLALSFGSDMFDFQLSELRAITQNFSSNFLLGEGGFGTVHKGYLDDNFRQGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHMFRRLTSLPWATRIKIAIGAAKGLSFLHGAEKPVIYRDFKTSNVLLDSDFTAKLSDFGLAKMGPEGSKSHVTTRVMGTYGYAAPEYISTGHLTTKSDVYSFGVVLLELLTGRRATDKTRPKTEQNIVDWTKPYLSSSRRLRYIIDPRLAGQYSVKGAKEIAHLALQCISLHPKDRPRMAMIVETLENLQQFKDMAVTSGHWPISSKSTKNGASNVKTRANGANQKQLAPVRSKKT >CAK8569796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11597493:11601472:1 gene:gene-LATHSAT_LOCUS22606 transcript:rna-LATHSAT_LOCUS22606 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLLGWILSSVLSLFALYSLVFSGKRNSAESVKPSQRGESVTTDAGEIKSEKLNREADVIVVGAGIAGAALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVDKIDAQKVFGYALFKDGKHTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAASLPNVNMEQGTVTSLLEEKGTIKGVQYKNKDGQELTAYAPLTIVCDGCFSNLRRSLCNPKVDSPSCFVGLILENCELPCANHGHVILGDPSPILFYPISSTEIRCLVDVPGQKVPSISNGDMTKYLKTTVAPQVPPELYDAFIAAVDKGNIRTMPNRSMPADPRPTPGAVLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGLFSEGPISLLSGLNPRPLSLVLHFFAVAVFGVGRLLLPFPSPKRMWLGARLLSGASGIILPIIKAEGIRQMFFPATVPAYYRTPPVSQE >CAK8542154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:480523149:480525450:-1 gene:gene-LATHSAT_LOCUS11019 transcript:rna-LATHSAT_LOCUS11019 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGRFCTSAGIKAPLGYVETDPSGRYGRFRDILGRGAMKTVYRAFDEFLGIEVAWNQVKLGDVFHSPEQIQRLYSEVHLLKHLDHKSMMIFYGSWIDLNGKTFNFITELFTSGTLREYRQKYKKVDIRALKNWTRQILSGLEYLHTNNPPVIHRDLKCDNIFVNGHKGEVKIGDLGLAAILCKSQLAQSVIGTPEFMAPELYEEKYNELVDIYSFGMCMIEMLTLEFPYNECSNPAQIYKKVTSGKLPNAFFRIKDLEAQRFVGRCLAHVSKRPSAKELLLDPFLATEQYEVPLLNKITNQTLKVNSSQELVNYKHLSIGDPITSTNMKITGSINEEDNTIFLKVRIFDEIGKTRHVFFPFDTKNDTAIKVAMEMVEELEISHLEPLEIAAMIDNEISNLFPTWISIHGKCKHQLQHSFNYEDDEDVNNHNPFVVSSSSCPSSPHDSLSSMNSYKFSSIRYHDEDEHVQRTDDHDEAKHNKPRACRCGGRDSRRHGWSRMMKNGLNMEEHRSMQLQRWELLEEVYKRRMFNTVATMEGIGFQQPDGGGHHRRAR >CAK8572662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554014719:554016524:-1 gene:gene-LATHSAT_LOCUS25197 transcript:rna-LATHSAT_LOCUS25197 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVLVSAVSLILVVGVALGVVALVRTNQPGESAGGGELNAHTKAVQAVCQNTDDKKLCVDTLNPVNTSDPNDYIKAVVKTSLESVFKALNMSDRLIIEHAKKEEPTKMALEDCKDLLQFAIDELEASTMSVNEGHGQNPNDRAADLKNWLGAVIAYQQSCLDGFETDGEKKIQADLKVGSLDQVEKLTALALDIVTAVSKILASLNLDLNVQPSHRRLFEVDSDGYPEWMSGPDRKLLADMRTGGPVTPNVVVAKDGSGQFKTVLEAINSYPKKHVGRYVIYVKAGVYDEYVQIDKKKPKIMIYGDGPTKTIITGKKNNVDGWKTMRSATFSTVAEDFIAKSIAFENTAGPAKHQAVALRVQGDRSALYDCAMHGYQDTLYAHAHRQFYRNCEISGTVDFIFGYGSTLIQNSKIIVRRPGPTQQNIVVADGTVQKNMPTGVVLQNCEIMPEPGLQADRLKVQSFLARPWKPYARAIFMENVIGDLIQPVGFIPWGNNQFTENCYFAEYANTGPGADVKARAKWGKGVLSKADAMKYTADQWLKGGLWLPATGIPFELGFTKP >CAK8541838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:413240015:413240785:1 gene:gene-LATHSAT_LOCUS10731 transcript:rna-LATHSAT_LOCUS10731 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKGTLFGADFIVVRSCMEIEGKALESIENQSKKKVIPVGLLPPSLEFSEEKKDENWDTILKWLDKQEKQSVVYVAFGSEVTLSDDEFTEIAKGLELSNFPFLWIVKNHDKNDLLVENDSNKNGLIWNNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPLIMLPFHNEQGLVARLMEEKMVGVKVERNDEKFSRDSVAKALRLVMVEAEGKSYRSKAEEMRKIVGDKELHQKYTDKFVDYVELNIPASKH >CAK8536716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:10297993:10300291:-1 gene:gene-LATHSAT_LOCUS6050 transcript:rna-LATHSAT_LOCUS6050 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLFVFLILVIASHSLCARIIPKADYEGSTFDVSKYGAIGDGNTDDSEAFVKAWQDVCASQDNATLIISKDKTFFLQPLKFQGPCKSTTIKVELGGTIIAPKNIEDWKWTEDKVTGWIRFEDINGLIVNGGGQINGQGAPWWKEYPDDESKRPSGIKFIRCEGLTISNLTHSDSPKNHMSITSCKEVSISNLKMIAREDSPNTDGIDIANSTNVIIKDSTITTGDDCVAINTGSSFINVIGVLCGPGHGISIGSLGKNGEYAKVEDIYVKNCTFTKTSNGARIKTWEGGNGYAKNITYEDIEFIEVKNPIIIDQSYNPKIYDDVDKGVAVTDVTFRNLHGTSTKDPIQLKCEPTISCINIVLDNINITRIDEEKNTYVVY >CAK8539759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524286585:524290840:-1 gene:gene-LATHSAT_LOCUS8827 transcript:rna-LATHSAT_LOCUS8827 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRGKTETIILSSSEEENFDDDSEEQFSDCDEPSESDDTDIDDEEEDRDEPTGSDDCDVDDESLSEKVVSLLQDGKDIGSLKLNKCKAYLRKHGLRLAGNRAVCIARIKEHWRLRHESGYTLYPRSSFNINCTGDVCMGDVVLFKQKVYEKFSKMTRHGRVIGNRTVAGRVVKESYGAAKQQHTFTVEVLWSSGARKLPPLSPLLVKGRNLYKQKTYRQRWKNEADRVKVLSEKHRRGAEARFVRALKQKKKSCYANGSKGSKRQQEAHNTKRSKKGRSHDLNKVRHYDGSRGANEYQSQGATSSTQATWNESASSRAFRSARGRVHNSAEFDRYQAPAYPLQASSRQIPYQYQVNSQSQNGSNEFAYHERDPVPNMRGFPPFRPRVNEFTYQERGQVPNMRGFPPLRPGVTEFTSRANLSSDSYRAHINRYNFDTNLNAEHIDRPLYLFSRDRYGGRKYAYDI >CAK8530212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7743357:7744184:-1 gene:gene-LATHSAT_LOCUS97 transcript:rna-LATHSAT_LOCUS97-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNPNPQNSQVPPFSTQVGVEIEERVLVKKKSREQFTRDEDICLIQSWLNVSKDPIVGVDQKAESFWHRVAANYNQYCGQLREKLSGQLKCRWHRINASVQKFVGCYRQAVIGKKSGTSENDIMAAAHAFYAQDQGITFNLEYAWRLLKDEAKWGIIGESIGNSSKMTQTSPSGASSEIPDTPSSYEFNSSSPMERPMGQKAAKRKGKAKEISNATQDARNKRAETMERLAQSKEDEIELKVMQIMMKDTSTMNKSQRDIHEKYCNKMKKKYGL >CAK8530211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7743357:7744325:-1 gene:gene-LATHSAT_LOCUS97 transcript:rna-LATHSAT_LOCUS97 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAMFNFMQNYQNPNSQNSQIPSVPPNPAIFFPSPNNSNMYQNPNPQNSQVPPFSTQVGVEIEERVLVKKKSREQFTRDEDICLIQSWLNVSKDPIVGVDQKAESFWHRVAANYNQYCGQLREKLSGQLKCRWHRINASVQKFVGCYRQAVIGKKSGTSENDIMAAAHAFYAQDQGITFNLEYAWRLLKDEAKWGIIGESIGNSSKMTQTSPSGASSEIPDTPSSYEFNSSSPMERPMGQKAAKRKGKAKEISNATQDARNKRAETMERLAQSKEDEIELKVMQIMMKDTSTMNKSQRDIHEKYCNKMKKKYGL >CAK8536322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931203823:931207518:1 gene:gene-LATHSAT_LOCUS5701 transcript:rna-LATHSAT_LOCUS5701-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEACVKPKFCGGDDDGNLHVKCLASDMKEPVFGDGFEFKDGDDVLLSTRISQIACLGTCSNKRDVSVKRLFDDSDSLPIKVKKSKVSTYVDHGKDEDDIHLPVKSTNKSFSLLKKDLALLEKLFEEGKRKTRVEGKRLQSIKREIEECCKELETKKKKVRLFRRIKEAHNKMQGKIEECIKDFVVKEGQLYLMEDLIGERTQELKTKEVELCQVIDKIDKECGRKEEELKALSEKIAKCTLELKAKEKELDAMDKLIGGQAEEFESERKKLEAKEREFECQMIELVSKQKHLERRLEELESNEKHFEIRFKEHESKEREFEGQVKKMESIKKHFERRVNELESKENIHVERVKEFESKKKEFELPLKELVKKLESKQKHFNGRVKVFESTKKQLEGKVKEMESLKKHFERRFNELESKEDILVGRVKEFESKKKEFELPLKELEKKLESKQKHFNDGMKVLESIEQLEGKVKELESKERELEDHVKDIKSKEEDLEGRVKDIKLKEEELDGRVKEFESNKKHFESLFQNFKSKEKQFEGLWKELELRENKFKVKVKELNLKEKQFGGQVNDLESKMNKIDRQLKEPELTEKQYEVLTKYIVDKNDSGLQLDTGEKTDGVESICSGVLVNLLESSDPAKLVLDIILNATIPLCDKKGHNAVIINDSHINLLERLIRISPNIKPCVREEALKLALDLKANMKENTENSLAILGFLLLLSIYGLVTSFIEDEILELFAFAAHHKIAVELFRTLGFANKVSDFVENLIKKKEFVGAVRFSCEYNLGDKNQLVDLLQEHVRNAKQICETSCNISNSNEIKDKARDREIASLGSVLRCISDHGLEIKVLFKKEIKYRILELKGYQGI >CAK8536321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931203823:931207518:1 gene:gene-LATHSAT_LOCUS5701 transcript:rna-LATHSAT_LOCUS5701 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEACVKPKFCGGDDDGNLHVKCLASDMKEPVFGDGFEFKDGDDVLLSTRISQIACLGTCSNKRDVSVKRLFDDSDSLPIKVKKSKVSTYVDHGKDEDDIHLPVKSTNKSFSLLKKDLALLEKLFEEGKRKTRVEGKRLQSIKREIEECCKELETKKKKVRLFRRIKEAHNKMQGKIEECIKDFVVKEGQLYLMEDLIGERTQELKTKEVELCQVIDKIDKECGRKEEELKALSEKIAKCTLELKAKEKELDAMDKLIGGQAEEFESERKKLEAKEREFECQMIELVSKQKHLERRLEELESNEKHFEIRFKEHESKEREFEGQVKKMESIKKHFERRVNELESKENIHVERVKEFESKKKEFELPLKELVKKLESKQKHFNGRVKVFESTKKQLEGKVKEMESLKKHFERRFNELESKEDILVGRVKEFESKKKEFELPLKELEKKLESKQKHFNDGMKVLESIEQLEGKVKELESKERELEDHVKDIKSKEEDLEGRVKDIKLKEEELDGRVKEFESNKKHFESLFQNFKSKEKQFEGLWKELELRENKFKVKVKELNLKEKQFGGQVNDLESKMNKIDRQLKEPELTEKQYEVLTKYIVDKNDSVTSYIDYQLSAAIDATGLQLDTGEKTDGVESICSGVLVNLLESSDPAKLVLDIILNATIPLCDKKGHNAVIINDSHINLLERLIRISPNIKPCVREEALKLALDLKANMKENTENSLAILGFLLLLSIYGLVTSFIEDEILELFAFAAHHKIAVELFRTLGFANKVSDFVENLIKKKEFVGAVRFSCEYNLGDKNQLVDLLQEHVRNAKQICETSCNISNSNEIKDKARDREIASLGSVLRCISDHGLEIKVLFKKEIKYRILELKGYQGI >CAK8540297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549953168:549953308:1 gene:gene-LATHSAT_LOCUS9313 transcript:rna-LATHSAT_LOCUS9313 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHADFPGSNNGTGLFQTIVGLKIRDLFEQIVASRAETPAGAAKA >CAK8533735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655182557:655182913:-1 gene:gene-LATHSAT_LOCUS3336 transcript:rna-LATHSAT_LOCUS3336 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHLCEKLRVKLVGRKGLGLNADSLIVAIKDERGNRKPISTSMSLKPGLGVSKLKAGIMKQKIFRKAKVVKKSNRSIPRANLNVGSASKVSEENRIIDQVLPNVVVSGNDLSISNP >CAK8562631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517815340:517815897:-1 gene:gene-LATHSAT_LOCUS16115 transcript:rna-LATHSAT_LOCUS16115 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFTYILFSFLFLSQYSNASNLIVRSCREASKNDPNLSYNFCVTSLYESARKNKLHPKKLEDLVSMSIQLTKSNGTNIISIISHDLQNQTQGEYVKACLKDCFDLYNDSLESLDDAMVALNTSKDLDTANINLSAALDDSVTCEDQFKERKSENETSPLTEQNHVYFQLNVISLSFIQMIRKRY >CAK8575424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:116375800:116378678:-1 gene:gene-LATHSAT_LOCUS27693 transcript:rna-LATHSAT_LOCUS27693 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARARSLVGPTQFPLVSSPYKNAVIKSFHSSVHVRMSAEHPSLEIMGGARDRFLPFLTQVSHPYKPFPLLAWNCHVETIFAAFFRSTPDVKLRRECLRTEDGGSVALDWVSGDDRRLPPHAPLVILLPGLTGGSEDSYVRHMLVRAASNGWRVVVFNSRGCGDSPVTTPQFYSASFLGDMSQVVSHVSARYPKANLYAVGWSLGANILVRYLGHESHNSPLSGAVSLCNPFNLVISDQDFRKGFNKIYDKALSKALRDIFRKHNLLFQDIGGQYNIPLVANAKSVREFDDGLTRVSFGFNSVDEYYFNSSSSNSIKHVQTPLLCIQAANDPIAPSRGIPREDIKENPNCLLVVTSKGGHLGWIAGDEAPLGAPWTDPLVIDFLQYLETEAAKAPQTGSNPGDNKQTLHHLQV >CAK8535362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843256075:843258879:-1 gene:gene-LATHSAT_LOCUS4827 transcript:rna-LATHSAT_LOCUS4827 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGSILHFLQDKTILVTGATGFLAKIFVEKVLRVQPNVKKLFLLLRASDDKSAASRLQNEILAKDLFNSLKEKWGSNFKSFVSEKLTVVAGDITCEDLGLKDAILRKDILSQTDVIINLAATTNFNERYDVALDLNTFGVKYVINFAKECSKVKVLVHVSTAYVCGEGKGLILESPYVFGDSLNGVAGLDVNAEKKLITEKLGELRENGASEREIKLAMRDLGMTRAKVYGWPNTYVFTKAIGEMFVEQLKGNLSVVILRPAIVTSTLKEPFPGWVEGVRTIDSLGVAYGKGKLTCFLGGLECVVDAIPADMVVNAMLVAIVAHANQPRDDRMIYHVGSSIRNPVRYLNLQDYGFRYFKAKPWINKDGSAVKVKKVTVLADMDSFQRYIYIRYLLPLQGLKLANTAFCQYFQETYLELNRKIQVVMQLVELYRPYLFFKGIFDDINTEKLRLAARESGTETDLFYFDPKDIDWDDYFMNAHIPGIVKYIFK >CAK8541985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448003803:448004669:1 gene:gene-LATHSAT_LOCUS10862 transcript:rna-LATHSAT_LOCUS10862 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFSTVPEKKNVLFIMGATGTGKTKLSINIGIQFPSEIINSDKIQVYKGLDIVTNKIPETERYLIPHHMLGIIDDPDYDFTVHDFCKKMLETLDVVVENGRLPIIVGGSNSYLQVLVDDPIYTFRLKYNCCFIWVDVSLSILFPYLDKRVNEMVEAGLIDEIRDFFVPGENCTMGIRRAIGVPELQRYFEIENDEGIDEGHKEKILKESIAKMKENTCILAENQLIKIDNMVNILGWNMHKIDSTKVFEAILKGEDYQHLYQEIVIKPSLEIVKSFLEEETHENTK >CAK8534343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719273801:719279531:-1 gene:gene-LATHSAT_LOCUS3894 transcript:rna-LATHSAT_LOCUS3894 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIFNFLKYSMKVLVVILLLIFLTTVAYSFPSSETTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVVNNENHRLNLYGDNVEVDYRGYEVNVENFMRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADVVKQMKEKHRFKELLIMVDTCQASTLFSQFHSPGVLAIGSSMKGQNSYSHHLDSDVGVSVVDRFTFYTLAFFERLNIYDNASLSSLFNSYNPNLLMSTAYYRMDLYQRQLEKVPVTNFFGSVMKTIHTNSAYKSQSNRIKLSLDQSTSDNGRRILKSSDDDEDQFNKLSTEEHFNGVGQLWNTILNKVNTFESIDAFVFYGLVSMLPLMIVSTWLSS >CAK8562418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:487352378:487353154:-1 gene:gene-LATHSAT_LOCUS15914 transcript:rna-LATHSAT_LOCUS15914 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLHGGKPYVFLTMTCNPSWSEITSELLPFQTPQDRPDLLTRMFRSKFEQLKDDIINKGVLEKVKSYMYVTEFQKRGLSHVDMLLVLESNDKLCGPEKYDSVVRAEIPKLECEPQLHEAVLKHMIHGPCGVINWKSPYKKDGHCKKRYPKQFLDETRQGTDSYPEYRRRFDEPISLSRGRSVDNRWVVPYNPWLLLKYDCHINVEICSWYHLRRERCFTCEYYYLMSEVQPVGNIFLQIMALLSVYLKNHLRIEDF >CAK8575916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:361489526:361492060:1 gene:gene-LATHSAT_LOCUS28141 transcript:rna-LATHSAT_LOCUS28141 gene_biotype:protein_coding transcript_biotype:protein_coding MARISTLYLLAYNSFQAIGWAVSLTIILFNLLSTSSVTGTFASAGTLICFLQSAAFLEVIHGAIGLVPSGVLLPMLQWSGRTHFVLAIVRGIPEVQELPSVFITFLAWSIGEVIRYSHYAFSCLGNCPSWITYIRYTAFIVVYPLGVFPGEVWAMYQALPIIKKNNIYADSFSVLPFSYYDFLKVVIVVYPFLWFKLYLHLFKQRRSKLYKRHDKKKA >CAK8542342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502552329:502553552:-1 gene:gene-LATHSAT_LOCUS11187 transcript:rna-LATHSAT_LOCUS11187-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCREGYSLRPTDLLGAYSYSKRVNLGAATSGSARGECVYTTVSYFNTIHFQCHQEAKRADAALKIPKKKWDGAALRNNESLCNSLFPVRGPSVPLTQYIRFVDQHWDNLNALGRADGNRLRLLTYDIVLMLARFATGASFSVDCRGGGQESNSRFLPFMFQMARHLLDQGSPLQRRTMARAVSAYITSSTSDLKPSSLSGTQITLGTEETVQFMMVNSLLSESCESWLQHRRAFLQRGIYHAYMQHTHGRTTARPSSVSPSVQAVGSGSIGQSATTETGQSDELLSIIRPMLVYTGLIEQLQHFFKFKKSAIATSTSTGAAVSSVTEGEDESGNLEGWELVMKERLSNVKELLSFPKEMLSWLNDINSASDLQEAFDIVGVLPEVLSGGFTRCEDFVQAAINAGKS >CAK8542341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502552329:502553651:-1 gene:gene-LATHSAT_LOCUS11187 transcript:rna-LATHSAT_LOCUS11187 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAKCELCFSHHVLAGVLELELFARLVWLACMVCREGYSLRPTDLLGAYSYSKRVNLGAATSGSARGECVYTTVSYFNTIHFQCHQEAKRADAALKIPKKKWDGAALRNNESLCNSLFPVRGPSVPLTQYIRFVDQHWDNLNALGRADGNRLRLLTYDIVLMLARFATGASFSVDCRGGGQESNSRFLPFMFQMARHLLDQGSPLQRRTMARAVSAYITSSTSDLKPSSLSGTQITLGTEETVQFMMVNSLLSESCESWLQHRRAFLQRGIYHAYMQHTHGRTTARPSSVSPSVQAVGSGSIGQSATTETGQSDELLSIIRPMLVYTGLIEQLQHFFKFKKSAIATSTSTGAAVSSVTEGEDESGNLEGWELVMKERLSNVKELLSFPKEMLSWLNDINSASDLQEAFDIVGVLPEVLSGGFTRCEDFVQAAINAGKS >CAK8577644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580863504:580866744:-1 gene:gene-LATHSAT_LOCUS29732 transcript:rna-LATHSAT_LOCUS29732 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRKLKQIPLITIYIVLSILSLAKNVSCLNQDGLYLYQFKLTLDDPDSSLSSWNPTDTTPCNWYGVRCDATNTTVTELDLSDTNIQGPFTASILCRLPNLSSINLFNNSINQTFPLQISLCKNLLHLDLSQNLLTGSLPESLSTLPNLRYLDLTGNNFSGNIPQSFGTFQKLEVLSLVSNLLEGTIPPSLGNITTLKMLNLSYNPFFPGRIPSELGNLTNLEVLWLTQCNLVGVIPETLGKLKKLKDLDLALNDLYGSIPSSLTELTSLRQIELYNNSLSGELPRGMGKLTSLRLLDASMNHLTGRIPEELCSLPLESLNLYENRFEGELPASIADSPNLYELRLFGNRLTGKLPENLGKRSPLRWLDVSSNQFWGSIPASLCDLGELEELLMIYNLFTGEVPASLGTCQSLSRVRLGYNRFSGEVPAGIWGLPHVYLLELAHNSFSGSISKTIAGAGNLSLLILSKNNFSGTVPDEVGWLENLVEFSAADNMFSGSLPDSLVNLGQLGILDFHNNRLSGELPKGIHSWKKLNDLNLANNGIGGKIPEEIGSLSVLNFLDLSSNQFSGKIPYGLQNLKLNQLNFSYNRLSGELPPQLAKEMYKSSFLGNPGLCGDLKGLCDGRNEVKNLGYVWLLRAIFLLAVLVFLVGVVWFYFRYKNFKEAKRAIDKSKWTLMSFHKLGFGEDEILNCLDEDNVIGSGSSGKVYKVVLNSGEAVAVKKIWGGGKKEVESGDVEKGRVQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCQLLVYEYMQNGSLGDLLHSSKGGLLEWPTRYKIAVDAADGLSYLHHDCVPAIVHRDVKSNNILLDGDFGARVADFGLAKVVETTGKGIKSMSIIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDLVKWVCTTLDQKGVDHVIDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGIENQMKPTKKDGKLTPYYYDDASDHGSVV >CAK8541949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441369279:441374575:-1 gene:gene-LATHSAT_LOCUS10835 transcript:rna-LATHSAT_LOCUS10835 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESPSDSAERFKLYDELELQEFQNKFVIKSHQSPNHGFSISRIDGNINSLDGDTFSESPTKTSTIYGVVGTIRLVVGTYAIVITSRKEVGSFLGFPVYRLMSMRVLACNEALKFSTSQEKRDEEYFLTLLKVVESMPGLYYSYETDITLNLQRRSKLVEGWMSKPLWKQADPRYVWNKHLMEELIESKLDRFIMPLVQGSFQTAELKLKDSCVKLTLISRRCTRRLGTRMWRRGANFEGDTANFIETEQLLETEDFSFSFLQVRGSIPLLWEQIVDLSYKPHLRVINHEQTPNIVERHFHDLSQRYGEILAVDLTDKHGEEGQLSAAYAAEMQNQQNVRYVPFDFHLHCGNSNYNSMKILYDQISEDLEKQRYFMIDRKGDILDEQKGIIRANCIDSLDRTNVTQCYMAQKALNLQLQRIGVLTCSECISMFGEEIGKFRILWAEQGDEISLEYAGTNALKGDLVRYGKKTLAGLIKDGISAVSRYYLNNFHDGIRQDALDLISGHYTVSRNIPSPFQRNSFEPLSYLPVASALIIGSLTATSFTLQQAGRNTQQYVSSVICAGITAGIMAIVKANGRQFCSRPRLCGLL >CAK8541951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441369279:441372434:-1 gene:gene-LATHSAT_LOCUS10835 transcript:rna-LATHSAT_LOCUS10835-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLNRCLSFQTAELKLKDSCVKLTLISRRCTRRLGTRMWRRGANFEGDTANFIETEQLLETEDFSFSFLQVRGSIPLLWEQIVDLSYKPHLRVINHEQTPNIVERHFHDLSQRYGEILAVDLTDKHGEEGQLSAAYAAEMQNQQNVRYVPFDFHLHCGNSNYNSMKILYDQISEDLEKQRYFMIDRKGDILDEQKGIIRANCIDSLDRTNVTQCYMAQKALNLQLQRIGVLTCSECISMFGEEIGKFRILWAEQGDEISLEYAGTNALKGDLVRYGKKTLAGLIKDGISAVSRYYLNNFHDGIRQDALDLISGHYTVSRNIPSPFQRNSFEPLSKNVTLQYLPVASALIIGSLTATSFTLQQAGRNTQQYVSSVICAGITAGIMAIVKANGRQFCSRPRLCGLL >CAK8541952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441372511:441374575:-1 gene:gene-LATHSAT_LOCUS10835 transcript:rna-LATHSAT_LOCUS10835-4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESPSDSAERFKLYDELELQEFQNKFVIKSHQSPNHGFSISRIDGNINSLDGDTFSESPTKTSTIYGVVGTIRLVVGTYAIVITSRKEVGSFLGFPVYRLMSMRVLACNEALKFSTSQEKRDEEYFLTLLKVVESMPGLYYSYETDITLNLQRRSKLVEGWMSKPLWKQADPRYVWNKHLMEELIESKLDRFIMPLVQGNILKF >CAK8541950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441369279:441372434:-1 gene:gene-LATHSAT_LOCUS10835 transcript:rna-LATHSAT_LOCUS10835-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLNRCLSFQTAELKLKDSCVKLTLISRRCTRRLGTRMWRRGANFEGDTANFIETEQLLETEDFSFSFLQVRGSIPLLWEQIVDLSYKPHLRVINHEQTPNIVERHFHDLSQRYGEILAVDLTDKHGEEGQLSAAYAAEMQNQQNVRYVPFDFHLHCGNSNYNSMKILYDQISEDLEKQRYFMIDRKGDILDEQKGIIRANCIDSLDRTNVTQCYMAQKALNLQLQRIGVLTCSECISMFGEEIGKFRILWAEQGDEISLEYAGTNALKGDLVRYGKKTLAGLIKDGISAVSRYYLNNFHDGIRQDALDLISGHYTVSRNIPSPFQRNSFEPLSYLPVASALIIGSLTATSFTLQQAGRNTQQYVSSVICAGITAGIMAIVKANGRQFCSRPRLCGLL >CAK8575595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:195470854:195478530:1 gene:gene-LATHSAT_LOCUS27846 transcript:rna-LATHSAT_LOCUS27846 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAFEFNSNFTSSSSFHYAANSFSSRPFFASHAPKLNFSPVMVSMKYASNSDHHLHKELEFKPSFDEYLKAMESAKSVRVSETPKKGYEGNHVRNFKRVGTKIYKKDDEDSSTGNGSPRGKPKKESRDEYGGKERNQHQSRSLEPNSDDSSVIIKSQEEIRRGGISNNIIRSKLNGGRGVAYDLYSSKMSEQEVVHNRKGKTLGGDHFVDRKKAMDRGPGKRYGENQRLTNGSQRSNGNIKHFHNRGYDSDNLEVERAAFKNLEDPNNVITKAHFSHKELEERIQKLAKQLNGVDINLPEWMFSKMIRSAKLKFNDYSIRRLITILGNLGNWQRVIQVIEWLQTRERFQSHKPRHVYNAALDALGKLRRPVEALNVFHAMQQQMSTYPDLVAYHSIAVTLGQAGQMKQLFDVIDIMRSPPKKKFNKGIFENWDPRLEPDIIVYNAVLNACVKGKQWEGAFWVLQQLKKQNIQPSAATYGLVMEVMFSCGKYNLVHEFFRKLQKSSIPNPLTYRVLVNTFWKEGKIDEAMSSVNEMERRGIVGSASLYYDLARCLCAAGRSQEALMQIDKICKVASKPLVVTYTGLMQASLDSGNIQDGAYIFEKMKEICAPNLVTHNIMLKAYVKHGMFQEAKELFERMLENTNYLNNKDDYKMRVIPDIYTFNTMLDACAAEKRWDYFDCVYQRMLYYGYHFNPKRHLRMILEASRAGKEEPLEITWKHLAATDRVPPVSLIKERFCTKLEKDDYVVALKCITDNTPKDMQPFSKLSWLNLMKENSIRFHKDTLVRLMNAASNVISNNSVPNPALVCLIQSCKELCFDIHHNVAYVDSANNVFSLETNTRSTSAS >CAK8542124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475293122:475293871:-1 gene:gene-LATHSAT_LOCUS10992 transcript:rna-LATHSAT_LOCUS10992 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYKKAYFGVVIDSGLTYNIQTSFEIEWYFSIKIKEWKEDMVDKERVTLLRCYGIPCHAWNVAFFDILAKTVGIYVCIDDNTLKRECKNVAIILVRTHCAMVINETFKVMINNEVFNIKMCEDYHGPLHIVYNKTDRKVINDSSSSESDCNWSHLGGEEEYVVWDQGGYRENLDIGVLERSSPVRSTTEEKLALIFGVMMYQKRWLTFLSRN >CAK8569246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687144515:687147280:1 gene:gene-LATHSAT_LOCUS22124 transcript:rna-LATHSAT_LOCUS22124 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSILLFLTLFAIADSQSFIGVNYGQIANNLPAPDVSARLIQSTTIGKVRIYGADPAIIKSFANSGIGIVIGTSNSDIPSLASDQNAAKQWVNTNVLPYYPASNIILITVGNEVLTSGDQGLVSQLVPAIRNVQTAISSVSLGGKVKVSTVHSMAVLSRSDPPSSGSFNPVLQNTLNQLLAFLKDNKSPFAVNPYPFFAYQSDPRPETLAFCLFLPNSGRVDSGNGKLYTNMFDAQVDAVHSALSAMKYDDIEIVVAETGWPSNGDSHEVGPSVENAKAYNGNLINHLRSLVGTPLIPGKSVDTYIFALYDENLKSGPGSERAFGLFKTDLTMSYDVGLAKSNQQNPPPTSPVTPAPRTSWCIPKAGVSDAQLQANIDYACSQGLDCRPIQPGGVCFEPNTLQSHAAFVMNLYYQTFGRNQWNCDFSQTATLTSQNPSYNGCNYVGGE >CAK8537042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66077824:66081074:1 gene:gene-LATHSAT_LOCUS6359 transcript:rna-LATHSAT_LOCUS6359 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANGESNGTSSSHSETAFNKDSEEASENASENKPSEKASEKNASAPSPSKPPSDDSNPPEKAPSKSPPPHRSPPPSPSPPPPEAQTPPKAPPEAQSPPEAPPEAQTPPEAPPEAQTPPEAPDSSSPPSKVSPPPPSQSSPPQSSPPPTPISPPPAPSFSPPPPPDDNSPTPPQSKSPPRPPQAPSLPSPSSKFSPPPLYSHPSPPQSQSVSPSSHLRPSSSPPRSKSQSKQNFFNANQAQYIGLTLAAVLVLAFIAVILCLVLKRKEKRGNVYAMPPPKKSQMKGDVHYYVESPGFGYSNRNHLVAMQYLRSPSDPTQQQQMNTGQTLFSYEQIVEITNEFSSENVIGEGGFGRVYKASMYDGRVGAVKLLKVGSGQGEREFRAEVDIISRVHHRHLVSLIGYCLSEQQRVLIYEFVPNGNLDQHLHGSEWNVLDWSKRMKIAIGAARGLAYLHEGCNPKIIHRDIKSSNILLDNAYEAQVADFGLARLTDDANTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELITGRKPVDATQPVGDESLVEWARPLLLRAIKTGDFSELADPRLQRQYVDSEMFRMIEAAAACVRHSAPKRPRMVQIARALDSGDQLYDLSNGVKYGQSTIYDSGQYNEDLMRFKRMANGSFDESEFDMYSSEYSSRGGSSWVPHTPGGDSQIKPVQE >CAK8566930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473662175:473663698:1 gene:gene-LATHSAT_LOCUS20025 transcript:rna-LATHSAT_LOCUS20025 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYYIFFALSILSFLFKRRIIIRNTSTHTPPSYPIIGCFISFYKNRHRLLDFYTHHLSQSPTQTILINRLGARRTILTANPLNVEYILKTNFINFPKGKPFTEILGDLLGGGIFNVDGKLWSNQRKLASHEFTTRSLKGLVEMTLEDEVQQRLIPLLESASNVNCVIDMQDVLRRLTFEIVCKVSLGYDPCCLDLSKPVPPLLYAFDKASEISAMRAASPIPLIWKIKRMFNVGSEKSLKEAVKLVHECVIEIIRKKKKEIIEKNNVSGSDLLTKLLEAGNDEIMVRDMVISIVLAGRDTTSAAMTWLFWLLTRNRSKEELIVKGVREAFGGKNNKNDFELMRSFDYDDLKEMKYLKACLCESMRLYPPVAWDSKHAAGTDMLPDGTKVEKGDKVTYFPYGMGRMEALWGKDWNEFKPDRWFDEAVKEGDTNVVLKHVSPYKFAVFQAGPRVCLGKEMAFIQMEYVVASILKQFEIRPVSDDQPVFVPYLTAHMAGGFKVKVHKRL >CAK8531913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:175282470:175285164:-1 gene:gene-LATHSAT_LOCUS1670 transcript:rna-LATHSAT_LOCUS1670 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEVKTVVPESVLKKQKRNEEWALVKKQEQESAKKKRSETRKLIWSRAKQYAKEYDDQQKELISLKREAKLKGGFYVDPEAKLLFIVRIRGINAMDPKSRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKVDRQRIALTDNSIIEQVLGKHGIICIEDLIHEILTVGPHFREANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNREEYINELIRRMN >CAK8560714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37529798:37530181:-1 gene:gene-LATHSAT_LOCUS14369 transcript:rna-LATHSAT_LOCUS14369 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVSKDFGEVKDARAIFAIWDCGSPLYDSCELVTLSHIVERQMMEWPYLGGSKQIIPKVFDLDEVKISSGNAKSSSKWINLSDFFEKISWKRKRNGKQRSTNKIEIEFFGFYSRFVCGRNKVFP >CAK8543098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578217399:578219904:-1 gene:gene-LATHSAT_LOCUS11882 transcript:rna-LATHSAT_LOCUS11882 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTDWPWKPLGDFKFVILTPWIAHTIYTFIWTQPDPVYHLVFPYIFIRILHNQIWISISRYQTSKGKCKIVDKGIEFEQVDRETNWDDQILLTALMTYIGYMIFPMASNLPWWRTDGVVLTALLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTQPITSVTHPFAEMLAYFTLFAIPMLTPLFIYKSSVAALYGYIFYIDFMNNLGHCNFEFFPEKLLSFFPLFKYLSYTPSFHSLHHTKFRTNYSLFMPIYDYIYGTVDKTTDATYEKCLKRPKESPDVVHLTHLTSFDSIYQLPLGFSSLASNPHRSKWYLHLMWPFTMFSMLMTWICGRAVVIESNTFNNLKLQSWLIPRFKTQYFSKKHRRTLDNLIENAIMEAKLNGAKVISLGLFNEKHFNAHCELYIGRSPELKIKVVDGSSLVAAIVLNNIPKGTNQVLLRGKFDKVAITIVNALCIKNIKVGVLYKYELEELEQKVPMSKENLALSPINTSKIWLVGDEWNEDEQMEAPEGSLFIPFSHFPPKKMKERCFYHYTPSMITPNTFMNSYSCENWLPRRVMSAWRIAGIIHALEGWNVDECGDIILDTKKVWEATIRHGFQPLKICAQKPCVTN >CAK8562658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:523428951:523431166:1 gene:gene-LATHSAT_LOCUS16137 transcript:rna-LATHSAT_LOCUS16137 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPLKELGSGNFAVARLAKDKNTGELVALKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVMLTPSHLAIVLEYAAGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGNPSPRLKICDFGYSKSALLHSIPKSTVGTPAYIAPEVLSRKEYDGKTADVWSCGVTLYVMLVGAYPFEEPEDPRNFRKTIGRIIGVQYSIPDYVRVSAECRNLLSRIFVADPAKRISLQEIKHNPWFLKNLPKDIIEAERKGFVETKKDQPSQSVEEIMRIIQEASVPGPGSKVGEGGQAGSGSMNIEDDEEIDVDVSGEYEYENA >CAK8574503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1060337:1061172:-1 gene:gene-LATHSAT_LOCUS26844 transcript:rna-LATHSAT_LOCUS26844 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSTVFYSFGSLGSILFAFWLQKAYSSPKDDPDLGTEEKRIILEGGVSKAPVSDIPWKLILSKASVWALISHFCHNWWAFIQLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIRGHIS >CAK8560139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8615173:8619290:-1 gene:gene-LATHSAT_LOCUS13844 transcript:rna-LATHSAT_LOCUS13844 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDSVAKMMDSTSSKIQQLQKAFAELESYRAVTLNMKWKELEEHFHGLEKSLKRRFHELEDQEKVFENKTRKAREMLDKQEAAAFAKEQASLQSLQEKRDVAVFTIVNAREKYRKVSSKDLGIVFNGIQGSPSVEEKPEDVVSTGGEANLENLKHSPENGNVDMMSYPELVKLCKEIDSAGLHKFISDNRKNLVAVREEIPLALKAAPNAARLVLDCLEGFYSTEVSNQDIKKDANLLGLRRTSIMLLECLSDFLTELGSDSNVVSEDVKDRAKAVAEEWKPRLDTLDIDASNGNSLEAHAFLQLLASFGIASDFNEEELSRLIPMVSRRRQTADLCRCLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPIPLLKSYLNDAKKTSTPVKSVNSSSTAQIEVNERELVALKSVIKCIEEHKLDEHYPMDPLQKLVIQLEKAKADKKRETEVTKPQPKRPRANGVGYGPRVTIVPSDKTSYTRVADRYPQYVYNQSYMYPGPTENHCTPFLSSATYNVSPNPGNYFGNGYQYQATYLH >CAK8579126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677915611:677919939:1 gene:gene-LATHSAT_LOCUS31094 transcript:rna-LATHSAT_LOCUS31094 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRRLRLDDEDDDEDAADTVEPQPQPRTSPHQTQTSPNFPSEPVVISDDDFIDVPDVTVPPNPPPHVPEPQASDCPVNDFLRRLGFCLKRDSLADCLRELGVSVNGFQGFDVARKAKLCFEQFLLSDLNFCGSGALPPNVESMHLDVLPGPYVLQVDEILNITCPLRSRYQQAPPGLKRCLKLSMTDGIQRVFGMEYRPIKDLEVCASSGLKVAISNVQVRHGLLMLVPETIQVLGGLVEELDAARKRLVDELNKPARGKRTRDGVLPPLSVRATLAAWPPSRVDDPSHSGSTLHSTDTVQANNQGTGHSIRGTRNNLRTEDSSRMNAQNSTSNSIPHTVSNGERTNVDMRHQQGASITTGYTTPMVARNASSNSIPHMVSNVERMNIDTPGQRGARIATAHASPVDSQNATSNSIPHMVSNVQPNVERMNIDTPGQWGARIATEHASPMDAHNATSNSIPHNASNVQPMNIDMQWGTNPVSHHNSMANRTSSIAEEMHIDTAHIFRENSAANQSSHMNSNVAAVHEDTVHVASSVTTERSPVSEIVDTDRDRVPVVTGNTPLNGSSSTGFNYDDILMDDVSGNPLTLSGDPEVPFTYLASLSAKWAAMKETDSLVQGKIKCFLTGVKGFQYKKRTTYELQAYVDDGSLISEILIDHDVVQKGIGYSPVEVTAALSSSDTNIVQNMKETMRKFQAFLANFEGVMLVELNRKHSLPLALEMSQGCPKSDVWSLLRRLKMEQSWA >CAK8537698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421378041:421379417:1 gene:gene-LATHSAT_LOCUS6962 transcript:rna-LATHSAT_LOCUS6962 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMKKISELIFIPAPGIGHLVSSLQFAKLLINTHTNLSITVLCIQFPGILISDSYIKSALASEPQIQLIDLPQVQRPPEELLKSPEFFILTFMESLIPHVKATIQTILSDKVVGLVLDFFCVSMIDVGNELGIPSYMFLTSNVGFLSLMLSLQNRRIEDVFDESDHDRQLLIHGFSNLVPSNVLPDAVFNKDGGYVAYYKYAERFRDTKGIIVNTFSDLEQFSIDALSNHDEKIPPIYAVGPLLDLKGNPSAKLDQAQRDLILKWLDEQPYKSVVFLCFGSIGISFGPSQIREIAFGLKNSGIRFLWAVKSPPEEFLEWMKLEGKGMICEWAPQVEILAHKAIGGFVSHCGWNSILESLWFGVPILTWPIYAEQQLNAFRMVKELELAVELRVDYRKGSKDVMAEEIEKGLKDLMDRDNIVQKKVQEIKEKARNAVASGGSSFISVGKLIENILGSN >CAK8563635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621449061:621449369:1 gene:gene-LATHSAT_LOCUS17027 transcript:rna-LATHSAT_LOCUS17027 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKMISERPVVIFSKSSCCMSHTIKTLLCDFGVNPAVYELDEISRGREIEQALSRFGCSPSVPTVFIGGELIGGANQVMSLHLNRSLIPMLKKAGALWV >CAK8537464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:363369578:363370114:-1 gene:gene-LATHSAT_LOCUS6754 transcript:rna-LATHSAT_LOCUS6754 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGKGRGRGRPPKNTVPPPSTTPPMSPAQQQLELRNHLESNTSLTEEGILEVETLDARTRKPNQEEMETATQPINAIQAVIPKQPENGKPIHEGASEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNEKLEVVIEDEDIISEVKFWESSLILYTMGVDLSMNAVKNFMTRNWNFV >CAK8573534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618331831:618336264:-1 gene:gene-LATHSAT_LOCUS25970 transcript:rna-LATHSAT_LOCUS25970 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSQVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPGLVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFKMITN >CAK8539594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517453277:517455388:-1 gene:gene-LATHSAT_LOCUS8676 transcript:rna-LATHSAT_LOCUS8676 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDIAGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIISIGMEDSTIHIYNVRVDEVKSKLKGHQRRITGLAFSTNLNILVSSGADAHLCVWSIDTWEKRKSIPIQLPAGKPPVGDTRVQFHSDQLRLLVVHETQLAIYDASKMERIRQWIPQDVLPAPISYAAYSCNSQLIFASFCDANIGVFDAESLKLRCRIAPSICLSSAALNRSQAVYPLVIAAHPLEPNQFAVGLSDGSVKVIEPSESEGKWGSSPPMDNGVLNGKAPSSSATSNHTADQAQR >CAK8565054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32081306:32082280:1 gene:gene-LATHSAT_LOCUS18295 transcript:rna-LATHSAT_LOCUS18295 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFNTELSSPHYFATEPHYPNVKAKQFAELDDIDLTGVGSDMGVIISKGNKGNHPIKFKEHFKRELRQWEDIDRRFAQNFQTIEAFTSETAGDTEAPSFETTGDHQSPNIEYSASRVYGISVVEETNIQLEVELNDNVEIVNDIGDENAGRDGFRRVRRRGRGIATPPAPLTSDRRERKKPDKFMHSTDHVKAIRAKTKRKSMIQILFSIRKKCGISFRKSNTILGPGLHRMHI >CAK8568731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636839292:636839975:1 gene:gene-LATHSAT_LOCUS21663 transcript:rna-LATHSAT_LOCUS21663 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNSYVMCLALILSFSSINCIDITQILGQNPEFSTFSKYLTETKLADQINAAKAVTILALDNKDIASLSGKSLDAVKTVIGTHIITDFYDEKKLFEAIGSKVALATLSPASGLASKIYVALINEGEVAFSSAVAGSTFDTKLVRPVKIEAGVVSVLQVNQPIVKVDGSVSGAAGTDTSPTTNTASVVGEAPGVAHATAPAPSASSRTTFGFIGAVMAFASIFVSL >CAK8574864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12648781:12650507:-1 gene:gene-LATHSAT_LOCUS27164 transcript:rna-LATHSAT_LOCUS27164 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLLLAPPSPLSIQFQSSCSHLSFSRSQILHSSFITSSLPSLSASSSISSLSPTTPSVYCGRGDRKTAKGKRFIHSFGNARPRNKNKGRGPPRIYAPPDLSKKETLEDKEVIEITIQE >CAK8574865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12648781:12650507:-1 gene:gene-LATHSAT_LOCUS27164 transcript:rna-LATHSAT_LOCUS27164-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLLLAPPSPLSIQFQSSCSHLSFSRSQILHSSFITSSLPSLSASSSISSLSPTTPSGNFSVYCGRGDRKTAKGKRFIHSFGNARPRNKNKGRGPPRIYAPPDLSKKETLEDKEVIEITIQE >CAK8566119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381741111:381743086:-1 gene:gene-LATHSAT_LOCUS19282 transcript:rna-LATHSAT_LOCUS19282 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >CAK8533633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:643688767:643689157:1 gene:gene-LATHSAT_LOCUS3245 transcript:rna-LATHSAT_LOCUS3245 gene_biotype:protein_coding transcript_biotype:protein_coding METICASDMQKKIDELWTDVVWTSDEVEYDQRLNQLEETCVDYNEFIDYVKDIWLTPHRQRFVGAWINRVLRLGNTMTNWVEYAHCKLKQMLGNKWSNVGRL >CAK8534594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746442752:746445394:1 gene:gene-LATHSAT_LOCUS4127 transcript:rna-LATHSAT_LOCUS4127 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNSGLSKKTIFGLKVWVLMGIIVGLFIIVILVVLSICLTLRKKSRRGTGSMLPLGHILSISEDIKEISVDQVSSNSHPRNGGFMSLNDKLSDGDSGKVLIQTKNGDNSSQSGSFNCIEKDLNGSQSGEDSGGFRSVSVYRSSSHPISAPSPCSGLPEFSHLGWGHWFTLRDLELATNRFSKDSIIGEGGYGIVYRGQLINGNPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGFCIEGTHRLLIYEYVNNGNLEQWLHGAMRQHGYLTWEARVKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPATEVNLVDWLKMMVGCRRSEEVVDPNIETRPSTSALKRSLLTALRCVDPDSEKRPKMSQVVRMLESEEYPIPREDRRRRRNQAGNIEAESQRETSDTDKSDTPDSRQNLRRN >CAK8533402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613488146:613491109:1 gene:gene-LATHSAT_LOCUS3029 transcript:rna-LATHSAT_LOCUS3029 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMNFKTIIITLFYFSISLFPLQAYDPVDRYTLNCGTTGNSTDGVRTFTGDTNSKLLLSSQNNNPTTISVTTTPQKPSINKIPYSTARLSQSIFNYSFPVTKGPKFLRLLFYPSTYSNGFNRYDASFTVISNGFTLLKDFNASLNADNEGVDTIFNEYVINVGDDQRLDLSFIPSSGNSNNYVFINGIEVLSMPDDLYYTPTTDPGFSLVGSTIIPAYSISTNVALQTEYRIKAGLLTNSLNILDIGMLRNWNENDDDYLRTPTSFDFISEDTSGRMNITVTPDYMAPKDVYRSSRDLGTNATLNKLLNLTWEFPVDSGFYYMIRLHFCELDPSITTVGDRVFIVYLQGNVAEDQADVMKWTLNQRGLAVHRNYAVSVPKSNNSKKVKLSIQMHPYGDGRISRYSDPFLNGLEIFKISNIGLKNLAGPNPDPVQNPNKFQEKKTISGTTISHVFLGVVFGVVFLSFVVLFYFMQKRETKAKKATTNTQISPLPSGLCRRFSLRQIRAATNNFDELFIIGVGGFGHVYKGYIDNGLTPVAIKRLKPGSQQGENEFLNEIDLLSNLRHVHLVSLIGYCNDNNEMIIVYDFMTRGTLQDHLYNTDNPVLSWKQRLKISIGAARGLHYLHTGAKRTIIHRDMKTTNILLDEKWIAKVSDFGLSRIGPTGISKSYVSTVVKGSIGYLDPEYYKRLRLTEKSDVYSFGVVLFEILCARPPLIRTAEKNQVSLADWGKNCYKDGTLESIVDPSIKGSIASECLKKFSEIAVSCLLDDGRMRPSMNDVVGTLEFALQLQETAEQREHVAVENDDVEVGRKKDEDDVFSVRSATYSGNVSNFNSKSVAVSLEWRQCLL >CAK8540335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553139020:553143585:-1 gene:gene-LATHSAT_LOCUS9349 transcript:rna-LATHSAT_LOCUS9349 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRHRRHQIAATAKTQQRHRAKSIFRYVEKSDLSSSSNSVTVEERVSPVDVDVNGNSSNNNNAADDVASSSMSKECGDDDDIDLIIDNRLDKLLSDIQEPELSIEEITTNDQLQQDELLVVESIYGENVFSLDTWKGLRCFQIHINIDILDEIGITAKVNSVSEVESISGNSDDFLYSFKVKYLPPIVLTCLLPKSYPSHQPPIFTISVKWLESAKILSLCSKLDSIWTEQQGQEVIYHWVDWLHSSSLSHLGFDQEIRLGPYGLNHVADSRVVSGIGCIDADIPFLQSYNNEKRHQNFLKELHECCICYSEYPGTEFVQLPCKHFFCRKCVQTFTQIHVKEGNISNLQCLDAKCKDMIPPSLLKHFLGDEDYERWESVMLEKTLASMSDVVYCPRCETPCIEDEDQHAQCPNCFFSFCTLCRERRHVGIECMTLDLKLQLLQDRQNSSQLKGNQRRLELEKINEMLSEREIRRDSKFCPSCNMAISRTEGCNKMKCGNCEQYFCYRCNQALDASDPYGHFRDGSCELFPREMVDNWQAHARINPLQEIQQVHAELFHLGGSACPSCRQFNVKIGNNNHMLCWACQSHYCYLCNGIVRRGTKHYGPKGCKQHSEG >CAK8564447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674720585:674724065:1 gene:gene-LATHSAT_LOCUS17752 transcript:rna-LATHSAT_LOCUS17752 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAHSETSVSDESINNNLKVPLLPLQRTHTNLFTLKSLFTLKNFYVLLGPLLSLFICLFVKLDAPKTSRNMLAVVAWVFTWWVTSALPLPVTSMCPLFLFPLFGIASADTVSRSYMDDVITLVLGSFILALAVERYNVHRRLALTVTSVFCGEPLNPALLLLGLSATSFFVSMWLHNVAAAVMLMPVATGLLQRLPPSPEQSELVNKFSRAVVLTVVYAVPIGGMTTLTGTGVNLILVGMWKSLEPGAKPVSFNTWFFYAFPVAFVFVICFWCILCFIYLRKGSATALSPYLSKAHLKRELEALGPMSFAEKMVLSVFGLLIILWMTRRITDDIPGWGAFFNGLVGDGSVSVMVAVLLFIIPNRKQEGEKLMDWNECKKLPWNLILLLGAGFALADGIQASGLADVLSRAIDFLENAPYLAIVPAVTLISSIITEFITSNDATATLIIPLLYHIAKTMHVHPLLLMIPGAMATEFAFLLPTSTPSNVVGFATGHIEIQDTLKVGLPLKITGIVLLSVFMPSLGALVFGINDGFQGMTNIPLLRN >CAK8539311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508983798:508984472:-1 gene:gene-LATHSAT_LOCUS8420 transcript:rna-LATHSAT_LOCUS8420 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIAFPNILITCFLLLFCTFSTSTLIQLDPSDIDQFDIENFFAEDATFLPTQNLPEPDSHPAEETPHQHSNIDKPTTRESLEDSKPLPLTVFRFGRSRFPRRPLPSSSDRPVRHRCHHARRHYKPWNKRHLPRRDNGLEKEFDVAASRVEAREVPDDWMRFEDNIKEPISVSRKRSKAMEKSELLKRIHARYSQLRQEEAKKKIKDNRTTFVKRIRKFLNGV >CAK8572399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534223255:534224928:-1 gene:gene-LATHSAT_LOCUS24970 transcript:rna-LATHSAT_LOCUS24970 gene_biotype:protein_coding transcript_biotype:protein_coding MAINMQASRSVLSLPPQLNQRLAVTSTASKRSSLISSYGRWNVSFSPKSRPMRLTVTCAAKPQTVEKVSQIVKKQLALSDDSNVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEDSAQSITTVQEAADLIEDILSKQSP >CAK8539826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527601578:527603210:-1 gene:gene-LATHSAT_LOCUS8886 transcript:rna-LATHSAT_LOCUS8886 gene_biotype:protein_coding transcript_biotype:protein_coding MISQGTNYVIWRFVLTIAVVIFFIPKLSSAKKSKLIGLNVNMIDRCWRLNPEWRRQRQQIATCSIGYVGKMTNNIGKDLIQYEVTDPNDDPINPKIGTLRYGASVIQGKVWITFQKDMNIKLIKPLLISSFTTIDGRGVNVHVANNACLMIFKTTNIIIHSIRIHHCKAQTSGMVMGPNGKVIHLGPVDGDAIRLVTASKIWIDHNTLNDCEDGLLDVTRGSSNVTISNNWFREQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRQNGQTNGDKWEFHSVRDSFENGASFEVTNGGHVQRPKYSKEENFKVVDVKFVRSLTRSSGAL >CAK8541591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:279555403:279556685:1 gene:gene-LATHSAT_LOCUS10501 transcript:rna-LATHSAT_LOCUS10501 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWLVYLLWLCTCFKEPENLWAYESETGEQTLHIALENSPTQPLLTNSEAKEKDVDIDEEKDDDDEDEEAYDKTESQKPVTSIALVYKLLTPSVKVQLFVYFMLKYVMEILLAESSLVTEYYFFWSTTKVAVFLACLGLTVLPVNIIIGSYISNIFEERQVLLTSEIMVCIALLLSFETLIPYSVTQYVGSALITFVSAEVLEGDNLSLLSNMMSSRLSRGTFNGGLLSTEAGTLARVIADGTITIVGYFSESKLLNASLLPALLICISSIAATCCTYNSLY >CAK8569840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13290682:13294014:-1 gene:gene-LATHSAT_LOCUS22649 transcript:rna-LATHSAT_LOCUS22649 gene_biotype:protein_coding transcript_biotype:protein_coding MREEISNNNPSENKSKASKFSDQNQPPKLQSAKTTNPNNNHSKPRLWGAHIVKGFSADKKTKQQSSLPTKKTTTSDNANQKNPFVPPHSRAKRSLMGDLSCSQVHPHAFPTHRRQSSTDLFTELDHMRNLLQESKERESKLNAELAECRKNRNEVDELVKKVALLEEEKASLFEQLTVLSRSCGLERQEEVLKGGNEDSSMQNLELEVVELRRLNKELHMQKRNLTCRLSSMESELSSSANSSESDIVAKFKAEASLLRLTNEDLSKQVEGLQTSRLNEVEELAYLRWVNSCLRTELKNSCSTLDSDKPSSPQSVVSSSGDSIVSFSDQCGNANSFNLVKKLKKWPITSSDNSSQVESTNSTSSSLFEKNWIESISEGSNRRRHSISGSNSSEEDIVVLNKRRQSNCFDSLECLKEIEKESVPLPSLFVQQSVMEKRPLRIPNPPPRPSSCSISSKTKQENPAQVQVQVQPLPPPPPPPPPMSFASKSNTAMVKRAPQVVELYHSLMKRDSRKDSSNGGLADAPDVADVRSSMIGEIENRSSHLLAIKEDIETQGEFVNSLIREVKNAVYQNIDDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREASFGYQDLKKLECEVSSYKDDPRLPCDIALKKMVALSEKMERTVYALLRTRDSLMRNCKEFQIPVEWMLDNGIIGKIKLGSVKLAKKYMKRVAMEVQTKSAFDKDPAMDYMVLQGVRFAFRIHQFAGGFDAETMHAFEELRNLASLLNKT >CAK8531212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89404772:89405526:-1 gene:gene-LATHSAT_LOCUS1019 transcript:rna-LATHSAT_LOCUS1019 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEVIQFYDSDKRFPAWGFGGMIPGSTVSHCFNLNGNLASYEVVGVEGIMEAYANALHTGSFLQPKDDPDSEISKVVRAIRKKLQQIKMLETKHSKGHILTARVKNFL >CAK8531213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89404772:89405457:-1 gene:gene-LATHSAT_LOCUS1019 transcript:rna-LATHSAT_LOCUS1019-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSTVSHCFNLNGNLASYEVVGVEGIMEAYANALHTGSFLQPKDDPDSEISKVVRAIRKKLQQIKMLETKHSKGHILTARVKNFL >CAK8538161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466263135:466264184:1 gene:gene-LATHSAT_LOCUS7391 transcript:rna-LATHSAT_LOCUS7391 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGFMEVHLLKAKGLQESDIFARMDPYVVLQYKSQEKKSSVVHEGGSNLEWNEKFVFRVEYPGSGDQYKLSLKIMDKDVFTADDFVGQAIIYVKDLLAEGAEKGSAVLRPHKYSVVRADNSYHGEIEVGINFTRKDEDNTDGEYGGWKDSEC >CAK8574944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16559922:16563316:1 gene:gene-LATHSAT_LOCUS27241 transcript:rna-LATHSAT_LOCUS27241 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEGFEGYHVPQQSRRDKLRVVVAQNQQPHDPLLPFYDSSFITTFSNQQVLKPNSCSSSNSMKDLECSNFMMRFSREEGKRVMNNTNSNNNNNVSHSPSSSNHPYYESLNHSSNNPFLYQAQNIQNLRNFDQVQNSTSTSTMMLNHEPLSLSLSSNKSVGNNNLPLELNLQRYGSVIYGGGGVIQGLVDGGGSGGGGGGSVPFTGYASVLKDSRFLKPAQELLEEMCDVGGRNVCGEKVVVMADSSLMMESPLERLSEEEDPFGDGRNKSRLLTMLDEVYKRYRQYYQQMQSVVTSFEYVSGLNNVAPYASLAIKAMSKHFRCLKKAITDQLQSNNKAHFHSSNRRDESPRFGNNERGGPYSHRTGYLEQQQQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKIMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQAAPKDSQQREENSRNKSNEQQHHLPSDTSLHSENPSTSTSKFPDSSSYKRVVNEIPNIPTRTQGQQQPPQQQQMNLPFQQVDVGVNMGSGSNNNSNSNVSLTLGLYQNHGIGLAEPFPLSAAQRFGLGLETNNEGNYVMSGFESQNRHFGGQMFHDFVG >CAK8564450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675149622:675157011:1 gene:gene-LATHSAT_LOCUS17755 transcript:rna-LATHSAT_LOCUS17755 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLRRGSNLIDRRFHPSFTYILHSDEAKRDQPDEKPSSAGISNSFIQTRSFGSSLNGSMGFFSGFRHNQVYPFAGYNFCRNMSTMDQNSDKITVMTDVADVLTDTAVETVTSQAPVVSEVAIAAADSYLPVQALQYVIDAVHSYTGLNWWLAIVLTTLLIRSATIPLLINQLKTTSKLTLMRPHLEAIKEEMDGKTLDPEAVAEGQKRMKKLFKEHGVSPLSPLKGLFIQGPVFVSFFLAINNMSEKMPSFKHGGAFWFTDLTTPDASYIFPVLAALSFLVVVECNMQEGMEGNPMGDTMKKFSRILAFLTVPFTMTFPKAIFCYWITSNLFSLSYGMALKVPGVKKTLGIPDLPAAPTAIGQSSLPVETPKEPNNKKSTSSAVISQRLRSLEKQVKGRKKNKK >CAK8541369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:138922181:138929662:1 gene:gene-LATHSAT_LOCUS10294 transcript:rna-LATHSAT_LOCUS10294 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSQIGYLIPLNQNFEEEKASTPKLAISDGTTIIGRNNLAVTDKRLSRKHLTITTSTDGTANLHVEGTNPVVVNSGNKRRKLNSKETVAISNGDVIELIPGHHLFKYQVLQRDSSGADKEARERGRNAVTQKCDKIAVTQKCGYSRSREEAISDFHVAEDQIPCTFRLLRVQRLPSWANTSCVSISNVIQGDILVAILSNYMVDIDWLIPACPALSKVPHVLVLHGEGDERVACMKISKPKNWILHKPPLPISFGTHHSKAMLLVYPRGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQNSPSRGSRFENDLVEYLSALKWPEFSVNLPSLGNFSICPSFFKKFDYSDAMVRLIASVPGYHSGNGLKKWGHMKLRSVLQECTFDEEFKKSPLVYQFSSLGSLDEKWMVELASSMSAGLSEDKVPLGMGEPQIIWPTVEDVRCSIEGYAAGNAIPSPMKNVEKAFLKKYWAKWKASHTGRTHAMPHIKTFARYNNQNLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLLKRGCGFSCTSNVKQLKDKSSTQGTSEMKKTKLVTLTAPTRDTTHSSSEVIIQLPVPYELPPLPYSSEDVPWSWDRRYFKKDDYGQVWPRM >CAK8534921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789804395:789806500:1 gene:gene-LATHSAT_LOCUS4419 transcript:rna-LATHSAT_LOCUS4419 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITEAIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLALQGKVLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVATDLCRLFSKDPEISIKYVDNRPFNDQRYFLDDQKLKNLGWSERTSWEEGLKKTLDWYIKNPDWWGDVSGALLPHPMLVMPGGMERHFNGSKEENSSYVSNTNTRMLVPTTKNVGSSQKHPLKFLIYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRSSLVADIQNVKPSHIFNAAGVTGRPNVDWCESHKTETIRVNVAGTLTLADVSREHNLLMINYATGCIFEYDEAHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGAVSHNEILEMYRDYIDPNFKWFNFTLEEQAKVIVAARSNNEMDASKLKNEFPELLSIKESLIKYVFEPNKTSA >CAK8575061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25454759:25457182:-1 gene:gene-LATHSAT_LOCUS27352 transcript:rna-LATHSAT_LOCUS27352 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSTTFCNLKFHPKIPNNNTSSLPRTLHMKQQEENNNNNNNNNPTPQINRRQLILRSSELATIGAIFNLSGKKPEYLGVQKSSSALALCPATKNCVSTSENISDLIHYAPPWNYNPEGRKSPVSREEAMEELIEVIESTRPEKFTPRIVERKKDYVRVEYQSSILGFVDDVEFWFPPGKGSIVEYRSASRLGNFDFDVNRKRIKALRQELEKKGWASQDTI >CAK8574802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9677117:9677704:-1 gene:gene-LATHSAT_LOCUS27108 transcript:rna-LATHSAT_LOCUS27108 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQNFLTFFFFLLLSSTTLTSSTPSSQNDDFARPIDRKLLGINKKEKLSHFKFYWHDIMSGKNPSSIMVVPPPLKLNTTTGFGLVNMIDNPLTLGPELSSKLVGKAQGFYASASQVELGFLMAMNLAFIEGKYNGSTITILGKNPAMSKVREMPVVGGSGLFRFARGYAQANTHWVDYKTGDACVEYNVYVFHY >CAK8572021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502448045:502448638:-1 gene:gene-LATHSAT_LOCUS24630 transcript:rna-LATHSAT_LOCUS24630 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVRYSTIRDDGMQWDGWGVSTSLARGWDSFTCILARTTKSSGLHAPTTAVQPFLGFVESGFPFTHNGGPHQTRQAATGHNALFAQQIHFEVDLSARPIVGMCTIYHKGPISQ >CAK8536563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954483218:954485526:-1 gene:gene-LATHSAT_LOCUS5919 transcript:rna-LATHSAT_LOCUS5919-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCEFCEIVRAVVYCKPDSARLCLRCDAIVHSANALARRHPRSLLCDRCNFDSAIVRCVDYKLSLCQLCDWNSTDECFVLGHNHVPLSFYTGCPSLTELSKIWPLDGNSSVESSVAGSQPLDWPPEKNGDFFGLERAKKKHKMDGEEPSVKYEQPWIETPPMVSSVSSNSTQYCRDQAFLFDLDSNLQKLQGCPDVKELVFHEGTSLCEGFNMDDVQLDFADEIFGCSLSSLSAAKYNHENGGIECLLMDKNIPVTKCTSLTEIATEALSPAQQDCVVFPLSEAGGSTSMMQGINNNANCALMTPSCNSSITMGFPQTQIHLGTSIELPNLNGENNVTELLNCGLPPVFHPGESPWEPNSEGSCAEAREQALMRYQEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLLSD >CAK8536562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954481802:954485526:-1 gene:gene-LATHSAT_LOCUS5919 transcript:rna-LATHSAT_LOCUS5919-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCEFCEIVRAVVYCKPDSARLCLRCDAIVHSANALARRHPRSLLCDRCNFDSAIVRCVDYKLSLCQLCDWNSTDECFVLGHNHVPLSFYTGCPSLTELSKIWPLDGNSSVESSVAGSQPLDWPPEKNGDFFGLERAKKKHKMDGEEPSVKYEQPWIETPPMVSSVSSNSTQYCRDQAFLFDLDSNLQKLQGCPDVKELVFHEGTSLCEGFNMDDVQLDFADEIFGCSLSSLSAAKYNHENGGIECLLMDKNIPVTKCTSLTEIATEALSPAQQDCVVFPLSEAGGSTSMMQGINNNANCALMTPSCNSSITMGFPQTQIHLGTSIELPNLNGENNVTELLNCGLPPVFHPGESPWEPNSEGSCAEAREQALMRYQEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAEETGVNGEPS >CAK8536561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954481802:954485565:-1 gene:gene-LATHSAT_LOCUS5919 transcript:rna-LATHSAT_LOCUS5919 gene_biotype:protein_coding transcript_biotype:protein_coding MIISVIFIRIRLFMEALCEFCEIVRAVVYCKPDSARLCLRCDAIVHSANALARRHPRSLLCDRCNFDSAIVRCVDYKLSLCQLCDWNSTDECFVLGHNHVPLSFYTGCPSLTELSKIWPLDGNSSVESSVAGSQPLDWPPEKNGDFFGLERAKKKHKMDGEEPSVKYEQPWIETPPMVSSVSSNSTQYCRDQAFLFDLDSNLQKLQGCPDVKELVFHEGTSLCEGFNMDDVQLDFADEIFGCSLSSLSAAKYNHENGGIECLLMDKNIPVTKCTSLTEIATEALSPAQQDCVVFPLSEAGGSTSMMQGINNNANCALMTPSCNSSITMGFPQTQIHLGTSIELPNLNGENNVTELLNCGLPPVFHPGESPWEPNSEGSCAEAREQALMRYQEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAEETGVNGEPS >CAK8532238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:228013483:228014159:-1 gene:gene-LATHSAT_LOCUS1968 transcript:rna-LATHSAT_LOCUS1968 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVFRRSKHPTQELCRHQLVNTRLGKSNNGSDAYALPVYSAIVAYMNVTLVQHMQKTFGRWKVFLSYFNLFNLYCRIEEFIDYLFLENSDNKNNNNNKWINFRHNVLK >CAK8568981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661388939:661397425:-1 gene:gene-LATHSAT_LOCUS21882 transcript:rna-LATHSAT_LOCUS21882 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGNPQDVVVPPVEGVAGGGTSYGWNDGDAHDLNHLKWPIDPTEIPTRDLVHVWCMPSTANVGSQEIPRHFEPINLLAARNERESVQLAIRPKVSWGGSGVAGTVQVQCSDLCSPSGDRLIVGKSLLLRRVVPILGVPDALVPLNLPVGQINLFPGETSALWISVDVPSAQPPGQYEGEVLITAIKTDAGPPAQCLSKVEKHQLYKELKGCLDIVDPIDGTPLDEVVERLNSTTISLKRILLSPSFSEFSSENESEDVMEEDAISSLSLRLKLNLTVWEFVLPETPSLPAVFGISDTVIEDRFGVKHGTDEWYEALDKHFKWLLQYRISPYFCKWADGMRVLTYTCPWPADHPKSDEYFSDPRLAAYAVPYKQVVSGNDAGKDFLQKQVEILRTKDHWRKAYLYLWDEPLNLEQYDSLRNMASDIRAYAPDARILTTYYCGPNDAPLAPTPFEAFVKVPCFLRPHNQIYCTSEWVLGNREDLVKDITAEIQPENGEEWWTYVCMGPADPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVASAEIKFRHGLPPGDGVLYYPGEAFSTNEPVASLRLERLLSGLQDIEYLRLYASRYGRDEATALLDRMGVYFGPERYTHEHMPIDAMRGQIFNSCR >CAK8568982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661388939:661397425:-1 gene:gene-LATHSAT_LOCUS21882 transcript:rna-LATHSAT_LOCUS21882-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAGNPQDVVVPPVEGVAGGGTSYGWNDGDAHDLNHLKWPIDPTEIPTRDLVHVWCMPSTANVGSQEIPRHFEPINLLAARNERESVQLAIRPKVSWGGSGVAGTVQVQCSDLCSPSGDRLIVGKSLLLRRVVPILGVPDALVPLNLPVGQINLFPGETSALWISVDVPSAQPPGQYEGEVLITAIKTDAGPPAQCLSKVEKHQLYKELKGCLDIVDPIDGTPLDEVVERLNSTTISLKRILLSPSFSEFSSENESEDVMEEDAISSLSLRLKLNLTVWEFVLPETPSLPAVFGISDTVIEDRFGVKHGTDEWYEALDKHFKWLLQYRISPYFCKWADGMRVLTYTCPWPADHPKSDEYFSDPRLAAYAVPYKQVVSGNDAGKDFLQKQVEILRTKDHWRKAYLYLWDEPLNLEQYDSLRNMASDIRAYAPDARILTTYYCGPNDAPLAPTPFEAFVKVPCFLRPHNQIYCTSEWVLGNREDLVKDITAEIQPENGEEWWTYVCMGPADPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVASAEIKFRHGLPPGDGVLYYPGEAFSTNEPVASLRLERLLSGLQDIEYLRLYASRYGRDEATALLDRMGVYFGPERYTHEHMPIDAMRGQIFNSCR >CAK8540865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26737965:26738420:-1 gene:gene-LATHSAT_LOCUS9825 transcript:rna-LATHSAT_LOCUS9825-3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFTQDQGTTFNLKYGWRLLKDEVKWRIVEESIGSSAKITKIYASGASSENPDTTLSYEFNSSSPMERPMGQKAAKRKGKASEIPNVTQDAKNERAIAMDRLAQTKEDELELRVVQMMMKDTSTMNDSQCNIHEKYCNKMKKKYGM >CAK8540863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26737965:26738798:-1 gene:gene-LATHSAT_LOCUS9825 transcript:rna-LATHSAT_LOCUS9825 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTQVPPFSTQVPPFSTQVGTEKEEKVVVKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAETLKGKKSGTSETDVMADAHAIFTQDQGTTFNLKYGWRLLKDEVKWRIVEESIGSSAKITKIYASGASSENPDTTLSYEFNSSSPMERPMGQKAAKRKGKASEIPNVTQDAKNERAIAMDRLAQTKEDELELRVVQMMMKDTSTMNDSQCNIHEKYCNKMKKKYGM >CAK8540864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26737965:26738495:-1 gene:gene-LATHSAT_LOCUS9825 transcript:rna-LATHSAT_LOCUS9825-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKFVGCYKIALKGKKSGTSETDVMADAHAIFTQDQGTTFNLKYGWRLLKDEVKWRIVEESIGSSAKITKIYASGASSENPDTTLSYEFNSSSPMERPMGQKAAKRKGKASEIPNVTQDAKNERAIAMDRLAQTKEDELELRVVQMMMKDTSTMNDSQCNIHEKYCNKMKKKYGM >CAK8579773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722110420:722112424:-1 gene:gene-LATHSAT_LOCUS31691 transcript:rna-LATHSAT_LOCUS31691 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIFLILSFCIACCKADGRNKIQLDGENNLPIDNYFDCVDIYKQPALQHPSLKNHKIQLYPTFAKKTSFYGETVNECPAGKVPLYNMTRRHQNVNNSSSKLQINDFQEDSQSSPGTYTVTLDTTQNMIFHGAYAGITGYDLVLQDNQYSISSIWVENGPPPQLNSIKVGLGIHPSLYGDSRTHLTARWTIDGYNKTGCYNTICPGFVQVNHNKEYALGAIMAPPNTIGSTRKSFAFLKIKQDRTTGHWWLIVELKSVYVGYWPKELFNHISKGASLIRFGGQTHSPPNKDSPPMGSGRLPKEKYKNSSFMERLQIIDSEYNEVDVKSDDMKSYKDANSNCYDLGYHGYEGPVYRQAFLYGGPGGRNCGI >CAK8561219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:120752447:120753425:1 gene:gene-LATHSAT_LOCUS14828 transcript:rna-LATHSAT_LOCUS14828 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKQLSCLVLLFFTFVEIDALKSGEEYWKSVWPKTPIPNILSDIFIPDKETNVHIRGNDEDQDWTAFFQHDLYPGKKISLGFHKHSNRQSLRSKAGQPLGTWIWSETHSLSSYCRNPAAIGEEKYCAPSLKSMMDFAILKLGKNIKVISSSFAENQDQYVVEEVKKIGDNTVMCHRLNLEKVVFYCHQVNATTGYMVALVASDGTKAKALTICHHDTRGMDPKVLYEVLKVKPGGTPICHFVSNKAIAWVPNHDGSEHNDNHPCVI >CAK8578408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627045392:627046452:1 gene:gene-LATHSAT_LOCUS30421 transcript:rna-LATHSAT_LOCUS30421 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQQEKTPVQTPAILKYILETSCYTKEHEQLKELREATVKKFEGSWEHLMSVPIDEAQFLSILLKTMNAKKTLEIGVFTGYSLLATALALPTDGKIMAIDVDREAYEVGLPFIQKAGVEHKIEFILGDALSVLHDLVDGKQEESFDFVFVDAAKDQYIKYHEQALKLMRKGGIVAYDNTLWAGSVAMSEDEEMGDHIKPYRKPLIEFNKFIASDSRIESIILSVGDGLTLCRKV >CAK8544892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710608699:710612010:-1 gene:gene-LATHSAT_LOCUS13537 transcript:rna-LATHSAT_LOCUS13537 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYLVATLLTVLTSSQGILTTLSQTNGTYKYDYATVPFLAEVFKLAVSSLLLWKECQKSPLPRMTTEWKTVSLYPIPSVIYLIHNNVQFATLTFVDTSTYQIMGNLKIVTTGILFRLFLGRKLSNLQWMAIMLLAVGTTTSQVKGCGEASCDSLFSAPIQGYMLGILSACLSALAGIYTEFLMKKNNDTLYWQNIQLYTFGSIFNLAKLIVDDFRGGFENGPWWHRIFNGYTVTTWLVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSTFLFSFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPLTVKPDEEERLIEVSVDRRTHS >CAK8542056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:462796121:462798327:-1 gene:gene-LATHSAT_LOCUS10930 transcript:rna-LATHSAT_LOCUS10930 gene_biotype:protein_coding transcript_biotype:protein_coding MVSENTLSSSKHSSTSTTPKFCNSYTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRRMVAEANKGSNVSNLELLNFPGEHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRTDIYLNEFVFQSLQKSVEVLSTCEMLPLNIVEEIEISKGCVEAIAMNACKEQLVSGLSKLDCDGESKELKEDFVAWWIEDLSVLRIDFYQRVVCAMRRMGVRSHSIIASLMHYAQSSLKGIGKCQYWNPSRTNSSPTTIEKDQRTIVETIVSLMPTDKSSSMIPLSFLFGMLKMAIMLGAPIPCRLELERRISLRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRIEEEDTEDYGYESDGVGSNGHGSLLKVGQLIDAFLAEISPDPCLSVQKFIALIEILPDYARVIDDGLYRAVDIYLKAHTALTEQECKKLCKFIDCQKLSQEACNHAAQNDRLPLQMVVQVLYFEQLRLKNALSGSSGEGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRLRVRLSELEKEQMFMKQGMIDKAGNGRTFFTSLSKGIGRIANFSGQGGGKHQKSSRKAREGKNGRSRRYSVS >CAK8544619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696022672:696023602:-1 gene:gene-LATHSAT_LOCUS13278 transcript:rna-LATHSAT_LOCUS13278 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAAGTKGKKKGATFTIDCAKPVEDKIMHIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDSNFSQRYLKYLTKKYLKKHNVRDWLRVITSNKDRNIYELRYFNIAENEGEEED >CAK8563380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598723317:598725873:1 gene:gene-LATHSAT_LOCUS16799 transcript:rna-LATHSAT_LOCUS16799 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTSIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDNTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHITQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWKKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGSPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8566300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:402015019:402017417:-1 gene:gene-LATHSAT_LOCUS19439 transcript:rna-LATHSAT_LOCUS19439 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLEYIDIKLSPAKLPLDLPLDPPTKSPPMKSHRRLRRRGDTWVVSVLVIIHIGFFVTTMVVNDCYTNSHGDCTFPSLGRLSFQLLSENPLLGPSMSKLDEMGALRKNVLTEHHQTWRLFTFPFLHAGLFHLVINLCSVIYVGIRLEQEFGPLRVGIIYILSAFVGALMASLFLQSIPSVGSSGALFGLLGTLLSEFVWNWKFHTNKISKIASLVFVFVCNFFLGFMPYVDNFSSIGGFISGFLLGTVLLFTPQPQQITPNKGGQIDYGFKSYIKLKFKQKLDRPVTRIVSLILFTLLLAGCFLGVLYGININSYCTWCPYVDCIPFTSWHCKDKEIFCETMVSNAHLTMTCLGNGNFKVYHYTNISRARINDLCYMIC >CAK8536143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911675260:911675574:1 gene:gene-LATHSAT_LOCUS5539 transcript:rna-LATHSAT_LOCUS5539 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCLPISQESTHQPIHNESGNQSVDAPLPTPNDNIEVDSQTIVSRQSRHISIVWDHFTKVKVDGKDKAKCNYCSKLLNESSNDGTTHLKKTYGILS >CAK8576196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:423485569:423489806:-1 gene:gene-LATHSAT_LOCUS28402 transcript:rna-LATHSAT_LOCUS28402 gene_biotype:protein_coding transcript_biotype:protein_coding MKIENMKNNNQVPGGISETSSKILYIVVIDDAEKKQKDKGKESFRYTRSVLQSTLQLMGCKARHAFKISKTVFERTKNESSTDILQPDAAVSSGCDAPKGNFVKKEGCHGGVCLDKLDLGSQSFLGKDNRSKSIPFELYKRRTSVFVRRETFLDIACDALAEYKYVGPNQRADLVLACRLRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVVSTDSIRHMMRSFADEKENPLLWASTYHAGECLDPVAVAKARAKRKAKKMDGVSQSLTKDDVKDGHKSVKSDIRTSEMSSSATELPSPKEMAVEGYKAQSEMVIDSLDRLITSWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHLVPKINNTNVDKSVAAIHATVFSCLRRREIGDQLYDPVRNTVTVVDEEYRNQCAANSLSSKGMFQLIQRKGSSRDLMALVNTDGSVAKAWPVNSVDSNGKPVWGPGPGNGIGHPMYGPLQIGKAEPVNLQFGFYGISAWPSDGGTSHAGSVDDSRADGTDTGSRYPSSSCSSPRMSDCLSKELKEDYSVHGSDEENDDQPDAGSDEDFSDDGDGDKNVDEVGSVDEESTKSDEEYDDLAMQDVFENGYWSDDDHEFPSKLDAAELGNKIHGNNYSQNMDHFLRTISEPVPIEVASQEPLSSYSSLLAEKSEKKFSSNGKAKLRKRSLSIPAIRKHSSAINDPILSCAPQNPLFNTKV >CAK8578160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610380122:610380373:1 gene:gene-LATHSAT_LOCUS30198 transcript:rna-LATHSAT_LOCUS30198 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRSIFGGNDGGNNGTVDAFNNSCGRQDFDEADFKTGAQVTNGGYTTHRNNGTKNAFNNSFGGTQKFGKAKFDTGARIGN >CAK8578441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629531748:629533396:-1 gene:gene-LATHSAT_LOCUS30453 transcript:rna-LATHSAT_LOCUS30453 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHRLRPLLFNPPFSSNSLQSFRLSFSTASLSTPKNQNSKETTFIFDYLNSNFKLPKPQSSYISKRFSGTTFPQNPLSVINFFKQIGFSQTQIQTIIRQRVQLLFSDVDKTLRPKVDLFQQLGFQGSDLSGFISRYPTILTASLNKTLVPSVEAIKKIVRNEKDLIQVLCKCGWILPKYQLFVSNIAFLESYGIVGDQVLFLLKRHSRLLSFSQSAVRNYVLQALELGFHQNSRMLIHALHTIVGLSKKTFKRKLDLIQSFGFSKDETLQMFKKSPALFRVSDKKLKVGIEFFLHTVMLPKSALANRPMILMYSIEDRVFPRYRVFQLLKSQNLCKVSSFVYLLCLSEEIFLIKYISKFKENTEALLIAYKGHHIPGGRV >CAK8573314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602854655:602857234:1 gene:gene-LATHSAT_LOCUS25777 transcript:rna-LATHSAT_LOCUS25777 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMHPHLDRILWTEDQISHRVSELATQIVHDFPASSPPPVFIGVATGAFIFLADLVRKIDLPFTVDLVRVQSYGSGTVSNGAPTISSDLKVEVNGQHVILVEDIVDTGHTLSRLISHLKLKGASSVSVCTLLDKPARRKVNVQLVGEGKFYRGFECPDYFVVGYGMDFDELYRNLPYIGVLKPEHYS >CAK8565394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:163252956:163253267:1 gene:gene-LATHSAT_LOCUS18612 transcript:rna-LATHSAT_LOCUS18612 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIRGLGKGRTKRHMMIMRDNIWGITKPPIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRQGRTLYGFRG >CAK8543215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589020903:589022529:1 gene:gene-LATHSAT_LOCUS11988 transcript:rna-LATHSAT_LOCUS11988 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRETGGKKKAWRKKRKYELGRQSANTKLSSNKTIRRIRVRGGNLKWRALRLDTGNFSWPSETVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQIDATPFKQWYLQHYGVEIGRKKKTAGKKDSEEAEAVTEEVKKSSHVQRKLEQRQKDRQLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGRELEFYMKKLQKKKSKGAA >CAK8563116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574873162:574881890:1 gene:gene-LATHSAT_LOCUS16559 transcript:rna-LATHSAT_LOCUS16559 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDPSLSDMEEEEEENGVKVNDSPDILSQFRLHDAPILLFVCFHKALRSELDQLRVFAETASLEDDPDRCREIIVMLQQRFQFVRLALKYHCAAEDEIIFHALDAHVKNVVCTYSLEHNSTDGLFDSILHFLDELRASSENISKLFRELVYCIDILQTCIYQHMLKEEEQVFPLLIQNLSTKEQASLVWQFICSVPIMLLEEVLPWMVSFLSADKQAEVTQCFNEIAPMERALQEVLVSWLESNKQTFSGVYFHGEELQGSHGSRHIEKSFGQSSCNSNYKDVSSQMKVNDKETEDEVNQVKVLHLWHNAIRKDLKEIQQELYLIRNSGCSQNLDSILIQLKFLADVLIFYSNALKKFFHPILNKLAHGRFSMSTGHLLGESHIEDIQQLLLYNSESEMPLTKFVEKLCGKLELFISAVNKQFSFQEIEVFPIFRKNCRNGMQVRLLSLSMHMMPLGLLKCVITWFSVHLSEKESRSILYCIKEGNNSVSKAFAPLLHEWFRIGYSGKTSIEKFRQDLQYMFKRRHSFSSEKMKETCGFSFLSSEKQPHKSCGKNCLSHSSSSGAKNVNKYETPYSTGINLHIFFPDTAMKLNQHPRFHAANLSSVSFLNDPKPIDLIFFFHKAIKKDLDYLVLGSAQLEGHDEFIDFQKRFHLICFLHQIHSDAEDEIVFPALEAIGQLKNISLAYTFDHKHEVEHFGKISRILDRLSELHPSVSTTDSKIRVKRMLRRDHLIKKLQEMCKSMHKLLSDHINREEIEIWPIIREFFSNREQGKIIGCMLGRISAEILQDMIPWLMASLTQEEQHVLMFLWSMATKNTMFDEWLSEWWNGYSLAKAADGSNDAPLQNVDPLDIISKYLSEEVLNELQEEPSANENINFWQKDLIGNSFELSNSNVDDKVKVNNAAQNYSQCSKCTNQFHDIKKHTCNEVKPMGILTSRSFQHFDLDKSEHYDRLLKLSQDDLERVIRRVSRDSCLDPQKKSYIIQSLLTSRWIISQKISSMEVNIKSDGLEFPGKHPSYKDPLKQIYGCKHYKRNCKLFAPCCNELHACIHCHDEVSDHLIDRKSITMMMCMKCLVIQPINATCSSVSCRNFSMAKYYCRICKLFEDERKIYHCPFCNLCRLGKGLGVDFFHCMNCNACMSRSLMIHTCREKSLEENCPICHEYIFTSCSPVKALPCGHVMHSTCFQEYTCFNYTCPICSKSLGDMQVYFRMLDALLAEEKMSDEFSGQTQVILCNDCEKKGAAPFHWLYHKCISCGSYNTRVL >CAK8560601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27897354:27897479:-1 gene:gene-LATHSAT_LOCUS14262 transcript:rna-LATHSAT_LOCUS14262 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEDKSETSSSHGGLSAAGAVPVPQLPKLQESVCNSMFFC >CAK8542268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:495673267:495673842:1 gene:gene-LATHSAT_LOCUS11117 transcript:rna-LATHSAT_LOCUS11117 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLWGDPNVEWSESGACGASGGIIILWRKGILNINFSFRGVRFVDINAAWKGQDIFFVNVYSYCLSALKRRYWGDLVAIKSRLNKGSWIVGGDFNAVSRNNERIGASTGGRRSEIQNLCKFIEDLDCINVPCIGGIFTWFSGYGSSMSRLDRILILEELVMNWSIVGQIVGKRDISDHYHIWLKSSSVN >CAK8570351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38669847:38671332:1 gene:gene-LATHSAT_LOCUS23104 transcript:rna-LATHSAT_LOCUS23104 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTTKVMKIDGETFKLKTPVKVGDVLKDHPGLVLLESEEVKHYGVRARPLELHKELKSKRLYFLVELPKESMVTRRVRSVINMSAKDRLENLSLARRSASDLTIIKSKSDFEVGKEELANGGVRLKMRLPKAEVEKLMQSSKDEGEAAEKIMSLCMASGSNDKKQKKKMVWKGSRGAGEFTKAYEKRVSFMPINEGSCSIAVAS >CAK8574654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5738018:5738692:1 gene:gene-LATHSAT_LOCUS26979 transcript:rna-LATHSAT_LOCUS26979 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNWFASVLLLTNVMLTTTLGAFVGVNIGTDVSDMPSVSNIVATLKAHQITHVRLYDANAHLLQALSNTSIDVIVGVTNEEVLRIGESPSAAAAWINKNVVAYVPSTNITVIAVGSEVLSTIPNVAPVLVPALNSLHKALVAANLNFRVKVSTPQSMDIIPKPFPPSTATFNSSWNSTMYQLLQFLRNTNSSFMLNAYPYYGYTKGDGISLLNTLFSDPFPR >CAK8532685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:478269825:478270651:1 gene:gene-LATHSAT_LOCUS2367 transcript:rna-LATHSAT_LOCUS2367 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALLGKTFAAECKLLLKLTKNRIEVIRRKRRATEKFLKKDVADLLHSGLDINAYARAEGPLVELGFVEKSCELVLKHLSVMQKISKL >CAK8573854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642647268:642650356:-1 gene:gene-LATHSAT_LOCUS26255 transcript:rna-LATHSAT_LOCUS26255 gene_biotype:protein_coding transcript_biotype:protein_coding MTMILELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTAEDMRWHATDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVMSTNNSIWPVVLIPYNTPPWVCMKQTSFIMSMIIPGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHRRFLDRRHRFRLNKIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVKEKSLKKTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPATFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASETQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNNNI >CAK8536882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33113291:33152036:1 gene:gene-LATHSAT_LOCUS6208 transcript:rna-LATHSAT_LOCUS6208 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRVPSSRTVGLGRVKPQAPGNRTILCNDRQANLPLRFKGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISIFSTTPISPVSPITNVLPLSMVLLLSLIKEAFEDWKRFQNDMIINNNVVDVLQDKEWVSIPWKKLQVGDIVKVKQDGFIPADLLFLASTNVDGVCYIETANLDGETNLKIRKALEKTWNYLTPEKASEFKGEIQCEQPNNSLYTFTGNLIIQDQTLPLSPNQLLLRGCSLRNTGHIVGVVVFTGHETKVMMNAMNVPSKRSTLERKLDKLILALFATLFVMCFIGAVGSAIFVNKKYFYLHLESSSEEEGMSQFNPRNRFLVFLLTMFTFITLYSTIIPISLYVSIEMIKFIQSTHFINNDLHMYHYETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGNGVTEIEKGIAERRGIKLEENISPNAVRERGFNFDDARLMGGAWRNEPNPDSYKEFFRCLAICHTVLPEGEEFPDKIRYQAASPDESALVIAAKNFGFFFYRRTPTKIYIRESHAERMGKTQDQSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYSKGADNVIFERLADGSNDIKKVTREHLEQFGSAGLRTLCLAYKELHQDVYESWNEKFIHAKSSLIDREKKLDEVAELIENDLILIGSTAIEDKLQEGVPACIDILQRAGIKIWVLTGDKIETAINIAYACNLINNEMKQFIISSETDAIREVEDRGNQVEIAQFIKEEVMKELKKCFEEAQSYFSSLSRPKLALVIDGKCLMYALDPSLRVMLLNLSLNCHAVVCCRVSPLQKAQVTTLVKKGAQKITLGIGDGANDVSMIQAAHVGVGISGMEGMQAVMASDFAIAQFRYLADLLLVHGRWSYLRICQVVMYFFYKNLTFTLTQFWFNFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSMKYPELYMEGIRNVFFKWRVVAIRAFLSVYQSLILFYFVSSSSLSAKDSAGKIFGLWDVSTMAFTCVVVTVNLRLILFCNSITRWHYISVGGSILGWFIFVFLYSGIRTRYDRQENVYFVIYVLMSTAYFYIMLILVPVVALFCDFLYHGVQRWFFPYDYQIIQELHRHENDDSGRNQLVKKESQLTPDEERSDKISQLPRSISKYTGFAFDSPGYESFFASQLGIHAPQKAWDVARRASMRSKTTIEKKK >CAK8579422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697484438:697484656:-1 gene:gene-LATHSAT_LOCUS31370 transcript:rna-LATHSAT_LOCUS31370 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSLKAKGKSSKGSKGSEDRSLLDSAKEWTTWGLKKTKVIAHYGFIPFIIIVGMNTDPKPQISQLLSPV >CAK8530349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15909331:15912003:-1 gene:gene-LATHSAT_LOCUS218 transcript:rna-LATHSAT_LOCUS218 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAVHLKQLLMMMVVFFAKAASQLISMQNCATKCGSVIIPFPFGTTKDCSLDSTFLINCNKTSSSSTSSQVPSLPESNQTVLNISLNGELHVAWPVARDCYAENRKLVNQTYWGMDIKHFSISSTRNKLVAVGCDTIGALSAFDYGGNNYTTGCVALCNRLNDIVANESCSGTGCCEISIPQGHVLNKVAYTSGSVFSNHSAVHDFNPCGYAFLVENGAYKFESSDILKLEKKEFPVLLDWAVGNQTCQQAQKELSSYACKDNKSTCYDATERSGYLCRCFHGYWGNPYLIHGCEDINECVESNDCVEGATCINLPGSYHCLCPAEYEGNGKKNGTKCSPKSSTKTRKEIILIIALSVSISFVALLVGSFYAYLALKKRRLIKLKEQFFQQNGGMLLQQKIVRRGKSTETAKIFTVEELNEATNNFDENKILGQGGQGTVYKGVLQDKRIVAIKKSKISDRKQIEPFINEVIVLSQINHRNVVRLLGCCLETEVPLLVYEFISNGTVYEHLHDQNQNLKLTWKTRLRIAKETAGVLAYLHSAASTPIIHRDVKSSNILLDHNLIAKVSDFGASRIVPLDHSQITTLVQGTLGYLDPEYFHTSQLTDKSDVYSFGVVLAELLTGKKALSFSRPEGDRNLAVYFVSSMKKGRVLHILDKSLDGSNTEQLKKVAHIAERCLRVKGEERPTMKEVATELEGILVFEEHRWGSGNLSSEETDHLLREVSSVINNEDGGINSSDSHSINQITMSTIGGR >CAK8577465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566446283:566446756:1 gene:gene-LATHSAT_LOCUS29571 transcript:rna-LATHSAT_LOCUS29571 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNYIVHARFNGDTYISENSGFGFQNTDVIRLTMSRKSIFLHFKERIESEILSGPITQIIYRSPVFFDNNQVKYFQENIQDNSDVQQMFDSHKHSGFDYIEVYLVLCQTQHEVGESHDETMDLDEVDVVDEEEENLRPWLIKWLICSGLGTTSQ >CAK8538672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492445798:492453907:-1 gene:gene-LATHSAT_LOCUS7847 transcript:rna-LATHSAT_LOCUS7847 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGMTSDLSRTGAAERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFGSNESLQKDSGDHLRLHSPYESPPKNGLDKALDVVLYAVPQKSFFPLDSASASVHSVSSGGSDSMHGHMKTTGMDAFRVSLSSTVSSSSHGSGHDDGDALGDVFIWGEGTGDGVIGGGNHRVGSGLGVKIDSLFPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGNGAYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSYISCGPWHTAVVTSAGQLFTFGDGTFGALGHGDRESVSLPREVESLKGLRTMRASCGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVALVEHNFCQVACGHSLTVALTTAGHVYAMGSPVYGQLGNPQADGKLPTRVEGKLSKSFVEEISCGAYHVAVLTLRNEVYTWGKGANGRLGHGDTNDRNNPTLVDALKDKHVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDGCFNKLRKTLESDSSSHSSVSRRGSINQGSLELIDKDDKLDTRSRNQLARFSSTESLKQVESRSSKKNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTTPKIVVDDAKKTNDSLSQEVIKLRSQVESLTRKSQLQEIELERTSKQLKDAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPIAAAKSVKSPSIASLGSNELSFASIDRLNIQATSPEADLTGSDNQLLSNGSSTASNRSTGQNKQSQPDSTNRNGSRTKDSESRSETEWVEQDEPGVYITLTTLPGGVIDLKRVRFSRKRFSEKQAENWWAENRARVYEQYNVRMVDKSSVGVGSEDLAH >CAK8530236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8878590:8878886:1 gene:gene-LATHSAT_LOCUS119 transcript:rna-LATHSAT_LOCUS119 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMMQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQRGTGGHLDRADH >CAK8530237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8878656:8878886:1 gene:gene-LATHSAT_LOCUS119 transcript:rna-LATHSAT_LOCUS119-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALDYGSACDMGPPGYWGQMMQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQRGTGGHLDRADH >CAK8563091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572918305:572920763:1 gene:gene-LATHSAT_LOCUS16535 transcript:rna-LATHSAT_LOCUS16535 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQNIKQKVFTCLTKLSDRDTHSLAASELVTIARSLDTTTVPVFLSCLYSTDASDKSPVRKQCVHLFGILSETHGNALSPYLSKIIANIIRRLRDTDTSVRSACVNSVSALACHVTKQPFLSFLKPLSEALFTEQEQNAQIGAALCLSSAIDGAPDPDSPRLAKLLPKFQKLLKREVFKAKPALLTLIGSVIEAGGASGHVSLKNLVPCLVESLSNRDWAVRKAAGETLVVLANVERDFLSEFKSDCLKVFENRRFDKVKLVREVMTQMLEAWKHIPDTSDEFSPPPKSQSSSKENGSDADYPPISQSSCNPGSVMANLRRKSAPVSRFSPPDSSSASNGKNVSALSNNKRRSSVVSRKLNNQNWDVQVSMTDQGDLQEGDENDTSLEKNKSGKSRFLRPEMNRALLNKNSDDRIKKHGGSKAGSRVVPYHDESQNSDPVSNVAKDLFKKDKESEELSLIRNQLHQIEKQQSSLLDLLQKFMGSSQNGMQSLETRVHGLELALDDISYDLAVSNGRITNSNVPRNSCCLLSGADFFSSKFWKRTQGQYSTPLFSRRTAAPSLASMHYSAGRSAETNLTSQRFRLDGGFITNPLATAHTNSRDYASFN >CAK8534437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726351095:726351707:-1 gene:gene-LATHSAT_LOCUS3983 transcript:rna-LATHSAT_LOCUS3983 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWFIIPATRTPQTDHKNNALALIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSVDRYTTCWKSVCKKEDNPHSCFLYLDCSSLNNDMLKIWANSTDVFNRCDPNNDDIPFKYGLFQNALTKHVVSSNFISKYLYCLWWGL >CAK8537156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:114794109:114794597:-1 gene:gene-LATHSAT_LOCUS6466 transcript:rna-LATHSAT_LOCUS6466 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNVVEVPISAEELKIRSELAKKIEKDLEEEIKEGIYNLARRLNQIFQQRKEREAKEAAFDKVNNKTRALSKVIINIGIEGGTKIEIKEVNKEAKERRCDYRSKKCLKEVKEIDWERSLRRGPSPVYVKESCVRSKQKDRNVMRGKNGSEDKKVFHFGWKV >CAK8542077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469269285:469269713:1 gene:gene-LATHSAT_LOCUS10948 transcript:rna-LATHSAT_LOCUS10948-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFHLASEELKLSCCCNCWLLFHGISPAFGIQGMVRPLHYSEDFTGTTGNEELVAMSSVWNDDTEP >CAK8542076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469269285:469269713:1 gene:gene-LATHSAT_LOCUS10948 transcript:rna-LATHSAT_LOCUS10948 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFHLASEELKLSCCCNCWLLFHGISPAFAGIQGMVRPLHYSEDFTGTTGNEELVAMSSVWNDDTEP >CAK8532298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:242726110:242727111:1 gene:gene-LATHSAT_LOCUS2022 transcript:rna-LATHSAT_LOCUS2022 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQNPSSQKPNRMETSIWVAKFVLMSMGIISIPVLLKVVIIPYTFDLVLSTLPQLWFSIKRWLTLPFLYIVVNFIIITIAASSSFSDPKHKTTTTILEATTNPVELEDQTNELREEEKVVEEVEEVEEVEEIEEDEEEKRVVEVEDSELFCNRFITDPSQKKCSNDYYLTNSNDKVKDFGLFCNKFIIDPSSKKCRNDYNLIDSDDKGDGDDSLEATWKAIMEGQEKTMKPHLKKSDTWTARIVKAEPFRNNGGFDGGGGDDDDDPVAWAQRELKKSETFNDRASLRREKSISPEELNKRAEAFIQKFNNQMKLQRLESYQRFMKLVNRGV >CAK8568528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614234507:614236643:-1 gene:gene-LATHSAT_LOCUS21476 transcript:rna-LATHSAT_LOCUS21476 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSSQVLSMDDAPVSGGGLSHNGKFSYGYASSPGKRSSMEDFYETRIDGVNGEIVGLFGVFDGHGGIRAAEYVKKNLFSNLISHPKFISDTKSAISDAYNHTDSEYLKSENNHHKDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVTNEEAVAMIKPLEDAEEAAKRLMQEAYQRGSADNITCVVVRFLMNQGSSSSHTSSVVNRP >CAK8568405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:603857016:603858410:-1 gene:gene-LATHSAT_LOCUS21364 transcript:rna-LATHSAT_LOCUS21364 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDFVRTIIARIVFEPVLPMSRKFNEMNAQYITRLKEGIREFMLSSDNHGQILAFQKVLMNRSDITLDVLVKCHRVQLEILVALKTGLTHYLHLDDNNISSSDLAQVFLSLKCRNVTCQSQLPVDECDCKVCVQKNGFCRECMCLVCSKFDNASNTCSWVGCDVCLHWCHTDCGLRESYIRNGHTTGTKGTTEMQFHCIACDHPSEMFGFVKKVFQSFSKEWSAESLRKELEYVKRIFSASKDIRGRQLHEIADQILPRLTKSNLPEVLRHIMSFLSDCDSSKLTMTTNFSGKEQVKENNGVAGPSQEAAWLKSIYSEKPPLLERPPNVLPRFDQNDKRTLVQELQMSSVQKDFGFDELESIVKIKHAEAKMFQSRANDARREAEGLKRIALAKSEKIEEEYTSRLAKLRFTETDELRKQKIEELQALERAHLEYLNMKRRMESEIKNLLSKMEATKMKLAM >CAK8534688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755148486:755151375:1 gene:gene-LATHSAT_LOCUS4209 transcript:rna-LATHSAT_LOCUS4209 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFTYWDDCVDPEDLEAMWNVPEVSVEWLKAGEEQGQKVHLSRDPDGQPYLTQTEMRAVADIVINRHFQSEINPGMICAIAELESDRILIVMNTDYKSKEPNVGLMQLLPKTAEWLTSELGYDSYAAEDNTEYLFKPFVNVYFAAAYLKWLSTFDNKPRDEEFIVRAYKGGTQKAAHKSTLRYWKSYLAVKESFRGRKSVDDDSPPSSNEGAPVHFHTGSPKDSDQSKDANDDTYWDSRTSPEDMNAMWDHPAVRKVWTKSKETRGKVRFSQDEKKRPYLSRVEMKAVADIVLIKYLSNAKTKSTVLCAIGEVASTRFVHGVGPRPGIMGVDYSTAFWLYLELGFRAYKLESVDDLSNPFVSMYFGAAYVSWLSEYEGRERTPDFFVQAYFEGPKNVNPQDTSTLWLKYEEVLSKYEDERKSRHSESCSIM >CAK8534687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755148486:755151375:1 gene:gene-LATHSAT_LOCUS4209 transcript:rna-LATHSAT_LOCUS4209-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFTYWDDCVDPEDLEAMWNVPEVSVEWLKAGEEQGQKVHLSRDPDGQPYLTQTEMRAVADIVINRHFQSEINPGMICAIAELESDRILIVMNTDYKSKEPNVGLMQLLPKTAEWLTSELGYDSYAAEDNTEYLFKPFVNVYFAAAYLKWLSTFDNKPRDEEFIVRAYKGGTQKAAHKSTLRYWKSYLAVKESFRGRKSVDDDSPPSSNEGAPVHFHTGSPKDSDQSKDANDDTYWDSRTSPEDMNAMWDHPAVRKVWTKSKETRGKVRFSQDEKKRPYLSRVEMKAVADIVLIKYLSNAKTKSTVLCAIGEVASTRFVHGVGPRPGIMGVDYSTAFWLYLELGFRAYKLESVDDLSNPFVSMYFGAAYVSWLSEYEGRERTPDFFVQAYFEGPKNVNPQDTSTLWLKYEEVLSKYEDERKRHSESCSIM >CAK8539785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525165245:525166197:-1 gene:gene-LATHSAT_LOCUS8847 transcript:rna-LATHSAT_LOCUS8847 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRHFVLVHGACHGAWCWYKVVALLKSSEHKVTALDMAASGIHPKQVHELDSIEDYYEPLVEFLRSLPQEERIILVGHSLGGICISMAMELFPKKIAAAVFVAAFMPSPDLRSLTLLQEYHERLDSSLDTKFIFDENSNNIKQNGSMILGPQFLATKLYQLCPSEDLSLAMMLLRPLCSFGDQELLQEKTSVTKDNYGTVVKVYIVCQQDKVIKHDFQLSMIERNPTSEVKVIHDADHMVMFSKPQELYAYLQEIADTYY >CAK8533750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656754894:656756774:1 gene:gene-LATHSAT_LOCUS3350 transcript:rna-LATHSAT_LOCUS3350 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRPSNSFYSLSHLILTKNSSFFSSSSSSSSSLVTLHDSESKPISSPFYNLLPPTQNPNNIVNLISSILKQKSFHLSLFQNEIKGILPHMGSHEISRVLLRCQSDYSSALTFFNWVKNDLNITHSVHNYCIIVHILAWSKVFSQAMKLLSELIQLNVPDEDIYKNLIGCTEDCNWNPVIFDMLIKAYVKLGMVDKGLETFRNNVDACFVPNVIACNCLLNGLSKFNYIDECWEVYEEMGRLGIHRNVYTFNIMTHVLCRDGDTDKVNGFLEKMEEEGFEPDLVTYNTLINGYCMKRRLEDAFYLYKIMCIRGMVPNLVSYSALMNGLCKEGKIKEAHQLFNQMVQKGIDPDIVSYNTLVSGYCKEGKMQMCRSLLHEMIGIGIRPDSVTCRIVFQGYAKEGKLLSALNLVAELQRFGIMIPQNLYDYLLVALCKEGRPFAARSFLIRISQDGYAPEMSTYVELVESLCRFNNVDEALILKSEMTKKSMKLNLTTYKAIISCLCRVRRTSEAEGLLEEMVSLGILPDLEINRALINGYCEENEVEKAVLLLKYFAEEFRVYDTVSYNAIVKVFCEGGNVAELMELQDKLVKIGFVPNSLTCKYVIQGLQKDMELDDDIVNRNMVEV >CAK8579245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687106565:687108581:-1 gene:gene-LATHSAT_LOCUS31206 transcript:rna-LATHSAT_LOCUS31206 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMNLDQPQLLSKIAIGDGHGETSSYFDGWKAYDKDPFHPSKNPNGVIQMGLAENQLTADMVQNWIMSNPEASICTIEGVHNFKQIANFQDYHGLPEFRNAVAKFMSRTRGNRVTFDPDRIVMSGGATGAHEATAFCLANRGEALLVPTPYYPGFDRDLCWRTGVKLVPVICESSNNFKLTKQALEEAYEKAKEDNIRIKGLLITNPSNPLGTVMDRNTLRTVISFINEKRIHLISDEIYAATVFSHPSFISIAEIIEHDTDIECDRNLVHIVYSLSKDMGFPGFRVGIIYSYNDTVVDCARKMSSFGLVSTQTQYLMAKMLSDDEFVEKFLAESAKRLAQRYRVFTGGLIKVGIKCLQSNGGLFVWMDLRGLLKNATFESELELWRVIIHEVKINVSPGVSFHCSEPGWFRVCYANIDDRDVQIALQRIRSLVTQNNKEAMVSDKNSKPCWHSNLRLSLKSRRFDDIMMSPHSPIPQSPLVKATT >CAK8542796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547340894:547342204:-1 gene:gene-LATHSAT_LOCUS11603 transcript:rna-LATHSAT_LOCUS11603 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQETGKYVYGVDDTLKALEMGAVETLIVWENLDINRYVLKNATTAEIVIKHLKKEQENDQSQFRDAATSAELEVQEKLPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDGLYEDSD >CAK8532224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:223904495:223905007:1 gene:gene-LATHSAT_LOCUS1955 transcript:rna-LATHSAT_LOCUS1955 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSLNIRGGGNISKRRRIIKIITSGKAEVFFIQESKVKSIDIVVIKILWNCCDIGWSYSKAHGFSRVIITIWKEQFVQTSFSFSGKMYHGTKVEWNSDIFHLVNIYSTCDILSKRKLWKESIEFKNKFTNGAWIMGGYFNAISKSSERKGRSLSNRISETREFEAFIF >CAK8575522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:149630151:149630684:1 gene:gene-LATHSAT_LOCUS27779 transcript:rna-LATHSAT_LOCUS27779 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSSVRDYFNRILQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELVDSMSKSNEPMSHLKAIYFLRPTSENIQYMRRQLASPRFGEYHLSFSNIMKDHHIHLLADSDEQEVVQQVQEFYADFVAIDPYHFTFHVPSNYIYMLPAGIDPPALQRLSDRVVEGLAALFFWH >CAK8578217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612972610:612976367:1 gene:gene-LATHSAT_LOCUS30250 transcript:rna-LATHSAT_LOCUS30250 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRNLIVRSLALRHAIKNSVRPLLTNTNLHSRISKSSLFAATLASSSYSSHLSLHHSRSLSSASASPGVILVNSAEEFNKILSKVQDDSLHAIFYFTAVWCGPCRFISPVVGELSKKYPNVATYKIDIDQEAIQDTLSMLQITSVPTLHFFQNGKKTDELIGADVARLNHITEKLFKKD >CAK8540427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559810064:559812524:-1 gene:gene-LATHSAT_LOCUS9432 transcript:rna-LATHSAT_LOCUS9432 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGDHKNRGELRVYDSEDEVKTVLAEYIADVSDVAVQEHGFFTLAISGGSLIDLMGKLLQPPYNKTVDWTKWYIFWADECVVSKSHEDSCFKHAKDVFLSKVPIVPSHAVSINDSVSAEEAADDYEFVIRQLVRTRVINVSEISDCPKFDLILLELGTDGHVASLFPNHPALDEREEWVTFITDSPIPPPERITFTLPVINSASNVAVVAAGESKADAVLLAVDETGPDCLSIPGRMVQPANGKLVWFLDKLAATKLEDSDLNNWYMKSLAEV >CAK8534494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:735237962:735238345:1 gene:gene-LATHSAT_LOCUS4037 transcript:rna-LATHSAT_LOCUS4037 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILCLKIHYSCEFVDSEKTDYVGGKCNDLEIDVDRWSYFESVGVVKDLGYTEVDTIYYNYPTFGMNVLKDDKGALDVADLCRAHLKVDIYIEHSLSQPEYVKNPINMMEEEAIDEEPLNMVNPEV >CAK8533689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650475280:650481427:1 gene:gene-LATHSAT_LOCUS3293 transcript:rna-LATHSAT_LOCUS3293 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFGGEKWKHNRHMWPVPSNATTVVTVSSPSQFICKDGRKIRVGDCALFKPPQDSPPFIGIIRKLTFDKEESPTLVVNWFYRPADLNLSKGIVLEAAPNEVFYSFHKDEIHAASLLHPCKVAFLRKGVELPSGISAFVCRRVYDIENNCLRWLTDKDYINEQQEEVYQLLDKTKLEMHGAVQSGGRSPKPLNGPTSTQSLKSSSDNIQNSSSFGVQGKGKKRERGDQGSDSSKKERLFKVEDGDSGQFRPESMLRSEIAKITDNKGGLVDSDSVKRLVQLMQPDSADKKIDLAGRIMLVDVISLTERYDCLCWFVQIRGLPVLDEWLQEVHKGKIGDANVDKSEEFLLALLRALDNLPVNLHALQTCNVGKSVNNLRSHKNSEIQRKARTLVDTWKKRVEAEMNMTDTKTGSTRAVSWPAKPTPSDVSHSGNRKTGGSSENVAKSSAIQPSTSKNSQSKLNSGEVASKFASSPGSTKSATASVCSNSKDQNVKLVVCATTDLPLTPIKEERSSSSSQSQNNSRSCSTEHAKAIGSCREDAKSSTAMSMSVNKVSGGASRSRKSSNGVHGASVAVVQKDHSSAKNSARNSPSDKVSPTRMSHEKPSDQPLTDQGHNQRLILRLPNTGRSPSRGASGGTFEEPAFTCGKTSPPADNKKENQDRRVKAKIETHASNVINDASNANDTTSCDEAKDSPSVGERCRANDDGDKAAEIPKTNCSSTGFVSRSGQTYDASLSPMNALVESCVKFSEASASVSPGDDGINLLASVAGEMSRSENVSPLPSPERKSPAADESSSGNDSKLRHSHEGAARTLGQADGGVTGEHTRNTVEPLQFKKDSRHPAMAMTISHDFSDGEAVSSSRLEKTGDSKIQTNLSATDPTQNAEGPCLRPDTKGDTSETVFPGREETHAEVGGEVRFQEQRESGTQWAKNCSTSSSKLKSGTSSFDDNQKIASMVGGIAEHEKMLVSETVASGVIENGQGKKSPELSSGADSKAQISADKVTGIVMPVQKGSPVTDNCESIDLKRESPSCNASTVARDENDNHVMPVDIEPDEKRMDLDSAVADDDNERSAENFGRKEVIGSGSLANPDLPIPVKENEDLKTCDSNLERKKSVVAAELDVGNANPSPVACGSDVNVKLDFDLNEGYPVEDVGQGDIVRPEEPISSSAVHAPCPLPFPIPSLSGAFHASITVASAAKGPVVPPENPLRSKGELGWKGSAATSAFRPAEPRKNAEMPSNTVDIPSVDATSVKPDRTPLDFDLNVADEISFEDVAYRGSLESGAHDRSSVGFDLDLNRLDETPEIGSFSMGKMDIPLPSKQPSLSSGLSNGGSVSRDFDLNNGPGLDEVSTEVPTRTLQMKSTIPFSSSVHGTRTNNTEFGNYSSWFPPGNSYSAITVPPLLTGRGEQSYVGGSGAQRILGSTGSAPFSPEMYRGPVLSSSPAVAYPPSTPFPYPGFPFETNFPLSSNAFSGCSTPFMDSTTVGGLCFPTMPSQPIGPGGVVSSTYPRPYGMSLPGGTSNVIPDSRKWGSQSLDLNSGPGVTDTERRDDRLPSGLRQMPVPSQQQALMEDHLKMFQMAGALKRKEPDGGWDGTDRFSYKHPSWQ >CAK8560604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27955381:27955935:-1 gene:gene-LATHSAT_LOCUS14265 transcript:rna-LATHSAT_LOCUS14265 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYRTVMDLLYFLLTLMVVWLMRCKLKSTYMKEFDTMWISLLVVPSEILAVLVNPRTPHVWLVRVVFAFTIYVEPISVLPQIRYMQNAKMVEPFTGYYFFALDISRFFALAY >CAK8567350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507552155:507553931:1 gene:gene-LATHSAT_LOCUS20414 transcript:rna-LATHSAT_LOCUS20414 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGYKDEKSCCYFHPKQLVVGVCPLCLNERLLLLVAKQGRRHHQNHRSSSKKGGNSQKPPNSSSIHKIFAFGSLFTRLESRQCKSHTNNHYEYDDLSPSPEEEAFISIKFEENGVASWEKSSVSKVTLENCNNNKNKLETKSVIEHGKSRDIFRWRKRIGHMFQLIRWKKSAGVCHVSNKVEGGTVKVRKSWIKTLTKRKKKNKTME >CAK8568523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613706193:613711073:-1 gene:gene-LATHSAT_LOCUS21471 transcript:rna-LATHSAT_LOCUS21471 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIISRLIHSTPSSISKLNAFAVSINGRNFSTDSTKIDEPFKVEEAGTINVPPPQPPTEKLLVLGGNGFVGSHVCREALNHGVPVASISRSGRSSLNDAWASNVIWYKGNLLSTESLKEALNGVTTVISCVGGFGSNATMYKINGTANINAIRAASDQGIKRFVYISAADFGVVNYLLQGYYEGKRAAETELLTRFPYGGIILRPGFIYGTRSVGSMNIPLGIVGSPLEMVFQHTRALTQIPLVGPLLTPPVNVTAVAKVAVRAAIDPVFPPGVIDVYGIQRYSQNKSK >CAK8578743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650836303:650839075:-1 gene:gene-LATHSAT_LOCUS30729 transcript:rna-LATHSAT_LOCUS30729 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQTAQACVVAVTSFYLFMKLTPSIPQPQSYHDFADKREFLGIPNAFNVISNFPFMVIGLIGLMLCHHRNYLNFSLQGELWGWTCFYLAVTSVAFGSSYYHLGPNDNGLVWDRLPMAVAFTSLVAILIIERIDAKKGTLLIIPLIMAAITSNVYWRFFGDIRPYLLVQTVSCIAIPLMAIFLPPMYTHSTYWLWAAGFYPLAMLQETADRLIYAATFHIVSGHTLKHLSAAMVPLILTVMLAKRRPLHAKSS >CAK8561500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:254554550:254554789:-1 gene:gene-LATHSAT_LOCUS15086 transcript:rna-LATHSAT_LOCUS15086 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTDVISSTTENLSSLISNCVTAKSLKHAKALHSHLIKTALFFDAFLANGLINLYSKCGCLESTHKAFDDLPNKITRS >CAK8572029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502834198:502836961:1 gene:gene-LATHSAT_LOCUS24638 transcript:rna-LATHSAT_LOCUS24638 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPGTPGTMFGLALRMSQFIFAAGSIASMATTPSFFNITAFCYLIAAMGLQTIWSFVLAVLDAYALVRKKVLHNHVLVSLYLVGDWVTATLSLAAACSSAGITVLYFNDLGHCQFGKECRKYQISVACAFLSWIPISISSLIMLWLLAAG >CAK8532521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:278408414:278409031:-1 gene:gene-LATHSAT_LOCUS2219 transcript:rna-LATHSAT_LOCUS2219 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMLGQFSEKSEIYSFGVMLLEIIAGRKNMNSYTPHQGAEGVNGLLNYVWRQWKDQTPLSILDPNIVEDYSKVEVIKCIQIGLLCVQHNPDARPSMVTVASYLSSYSIELPTPEEPTFFLHRRTNSKYHAQESSSTQSINNSSIFSMNEMSITNFIPR >CAK8568854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648274196:648276152:1 gene:gene-LATHSAT_LOCUS21768 transcript:rna-LATHSAT_LOCUS21768 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIEEEEPLLNQEQNSNGIVVNNNKGGFRTLPFIIANEACEKVASIGLMPNMIIYLTKEYNMHTAEATNFILLWSAATNFTPLIAAFLADSYFGRYSMIAFGSLSSLLGMILLWLTTIIPLSRPMLMLHSSFALMSIGAGGIRSSSLAFGLDQLSKRGKNAGIKEGYLSWYYASAGVSSLLGLSAVVYLQDNMGWTVGFGVPVILMFIATLSFFLATPFYVMVEAKRNILSSLAQVVVASYRNRLLQLPQEDVNVNGSIYHHDKDSNLLVPTKKLRFLNKACIMRNPLEDLTPNGSALKPWNLCTIDQVEDFKALVKIVPIWTTGIMMTVNVSQSSFLVLEATSMNCQIGSNFKIPPASFGTFMILSLILWVILYDRLLVPLSLKIRGRATCLGAKQKLGVSLFSCCISIASLAIVENIRRRMAINQGYSEQPEAVVNMSAMWLLPRQIIDGLAEACGVVGQSEFFLNELPESMSSVASTLGGLGISVANLVASFILSIVESVSGSGGNESWVSSNINKGHYDYYYSFICALSILNFVYFVYCSKSYGPCKNRQK >CAK8568855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648274196:648276152:1 gene:gene-LATHSAT_LOCUS21768 transcript:rna-LATHSAT_LOCUS21768-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIEEEEPLLNQEQNSNGIVVNNNKGGFRTLPFIIANEACEKVASIGLMPNMIIYLTKEYNMHTAEATNFILLWSAATNFTPLIAAFLADSYFGRYSMIAFGSLSSLLGMILLWLTTIIPLSRPMLMLHSSFALMSIGAGGIRSSSLAFGLDQLSKRGKNAGIKEGYLSWYYASAGVSSLLGLSAVVYLQDNMGWTVGFGVPVILMFIATLSFFLATPFYVMVEAKRNILSSLAQVVVASYRNRLLQLPQEDVNVNGSIYHHDKDSNLLVPTKKLRFLNKACIMRNPLEDLTPNGSALKPWNLCTIDQVEDFKALVKIVPIWTTGIMMTVNVSQSSFLVLEATSMNCQIGSNFKIPPASFENIRRRMAINQGYSEQPEAVVNMSAMWLLPRQIIDGLAEACGVVGQSEFFLNELPESMSSVASTLGGLGISVANLVASFILSIVESVSGSGGNESWVSSNINKGHYDYYYSFICALSILNFVYFVYCSKSYGPCKNRQK >CAK8567510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519725720:519727415:-1 gene:gene-LATHSAT_LOCUS20557 transcript:rna-LATHSAT_LOCUS20557 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLRVTSVSSPFFQLGSTASGFSLSQPCSISKHPLRFSLNTSRKCSHPTRFVIRAARIESKSVTLGFRAPQFQLPEPLTGKVWTLEDFEAHPALLVMFICNHCPFVKYLKKDIVKLTKFYMKKGLAVVAISSNSAVTHPQDGPEFMAEDAKLFGYPFPYLYDESQEVARDFGAVCTPEFYLFKKDGRRPFELVYHGQFDDSRPSNKNIPVTGRDLSLAIDRVLSGQLVPSEQKPSVGCSIKWHP >CAK8541637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:322939038:322939683:-1 gene:gene-LATHSAT_LOCUS10542 transcript:rna-LATHSAT_LOCUS10542 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSCAAPPHFFFLISPSFSISASFSFFLSPLKAMTTTSESQNPTPSNRYPARSIHNSVSHARNLKGSRTTLPWVVIAKDGIWPENSGVKRLFEKIKYQRRFGTMVHQLCQQIADKCSCLECVLSFVSVEGKQREWHLSSC >CAK8564434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674044379:674045353:1 gene:gene-LATHSAT_LOCUS17740 transcript:rna-LATHSAT_LOCUS17740 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGRNIPMRFERIAAAFESDEAVRMRLCESSGSEHSAEDSPDLSDLVKSFMEKNSVREDSVVHDNDDGEFDWYDYSEKKEILQEIFGDDDDDYNVKEKIRREVEVAIELVAGDKSSPGFKRLVMSSLRERGFDAGICKTKWERKRKFPSGDYEYIDVNYGGNRYIVEISLMAEFEIARPIDQYASLLDVFPFVFVGKVEELKKVVRLMCTAMKDSMKTMEMHTPPWRRNSYMQAKWFNPYKRTTNEIAATRKSIGFEAYNCRDDFGSKSAFKNGNLSAAFNVDALG >CAK8531795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152327174:152328655:1 gene:gene-LATHSAT_LOCUS1561 transcript:rna-LATHSAT_LOCUS1561 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEENVKHLEDCSVANALGTWVFSVAGALLAIPVGIKRKSLGPLVFFGTTGTMLDIIMGITACEREHAEHQMKLLEAQNGASETSLDETNTDS >CAK8562901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554568560:554570411:-1 gene:gene-LATHSAT_LOCUS16363 transcript:rna-LATHSAT_LOCUS16363 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRQSFLGKRESYKHDSVMEFEYYKYDDSSAKEDREEGEILFDGDEALPPPEKRRKFSPIVWDLAKKKAKHVSESLSRSTVDEQSPEGKGVLSESLLNSSCVVDESDMQGWNITKSKWASDDLSPMVDDDKYKQGKRSSSSEDGGCSGSTITRSDVDDEVSDPTSDSFENSDMMHVQRNFNMCQSCRTVSEFEMIKKINEGTYGVVYKAKDKRTGEIVALKKVKMEKEREGFPLSALREMNILLSLDHPSIVDVKEVVVDDDDRDDGTYMVMEHMQYDLKQLMEVRTQPFSMGEIKSFMKQLLEGVKYLHDNWILHRDLKTSNILLNKEGKLKICDFGMSRQYSSPHKKYTSLVVTLWYRAPELLLGAKKYSKAIDMWSLGCIMAELFSREPLFRGKTEIEQLDKIFRTLGTPDEKIWPGFSKLPGSKAKFVKQRCSMLRMKFPAASFTGLPVLSESGFDLLTKLLAYDPDKRISAEAALQHDWFQ >CAK8564849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12452702:12455220:-1 gene:gene-LATHSAT_LOCUS18107 transcript:rna-LATHSAT_LOCUS18107 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFSLLFFILLAPLLLLAQSPSPSSSPSPSSSAACKATLYPKLCRSMLSTIRASTSDPYNYGKFSIKQNLKLTKKLSKVFLDFLNRHQSSSSLNHEEFGALVDCKDLNQLNVDYLESVSDELKSATSSSSSSDTELVDKIESYLSAVSTNHYTCFDGLVVTKSNIANVLAVPLKDATQFYSVSLGLVSDALNKHMKRNKTRKHGLPNKSFKVRQPLEKLIKLLRTKYSCKKTSNCTNTRSERILKQSESQGILLNDYVLVSPYGDANHTSIGDAIAAAPNNSTPQDGYFLIYVREGYYEEYVIVPKEKKNILLVGDGINKTIITGNHSVIDGWTTFNSSTFAVSGERFIAVDITFRNTAGPEKHQAVAVRSNADLSTFYRCSFEGYQDTLYVHSLRQFYRDCKIYGTVDFIFGNAAVVFQNCDIYARKPLPNQKNAVTAQGRTDPNQNTGISIQNCTVDAAPDLADDLNSTLSYLGRPWKIYSRTVYMQSYIGSFVDPSGWLEWNGTLGLDTIFYGEFDNYGPGSSTDNRVQWPGYFLLNDTQAWNFTVLNFTLGNTWLPDTDIPYTEGLLN >CAK8574059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656312791:656314070:-1 gene:gene-LATHSAT_LOCUS26439 transcript:rna-LATHSAT_LOCUS26439 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTKKDEENELRRGPWTLEEDSLLIHYIARHGEGRWNMLAKSAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESGSKRFIDAVKCFWMPRLLQKMEQNNNSSPISDHSSMTNMNNFGNSIEASTSNSISTSFNVPSQRGFIDASSGNHSFNNPSLDFFQFSQPLETSETSKNVPNMLENNVYNCQIQDNFYFDDTNSYGLEGLSFDPLSSMENYDFSQFDFQTSGNGWMLDNMANNSNLWNMDTI >CAK8568580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620197806:620199840:-1 gene:gene-LATHSAT_LOCUS21524 transcript:rna-LATHSAT_LOCUS21524 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAKASEYLVITGVGIKDIKLAKKAWILPGQSYTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRADDEESLLKYAKLISPHDKLSNHVNELVQGIIEGETRVLVASMTMEEVFKGTKEFKREVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEATMKGEIGSKLRKGQTLQNAAKIDAETKVIAVRRNGEGEKEGIKVRSEVKVFENEREAEVAQANSELAKKKAAWTKAAQVAEVEAAKAVALREAELQGEVERMNALTTTEKLKAEFLSKASVQYETKVQEANWELYKKQKEAEAILYEKKTEAEAQIALADATFYARKQAAEADLYAKKKEAEGIVALGQSQGAYINTLLTVLGGNYTALRDYLMINGGMFQEIAKINAEAIRGLEPKISIWSNGGDNGGGIAEGGMGMKDLAGVYKMLPPLFKTVHEQTGMLPPSWMGALPSKALD >CAK8566847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466941889:466943310:-1 gene:gene-LATHSAT_LOCUS19950 transcript:rna-LATHSAT_LOCUS19950 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGRYVAQRCAVVTGANKGIGLEIVKQLASLGIAVVLTARNETRGRDAVAKLHQIGLSNVVFHQLDVLDALSIESLTKFIRQQFGRLDILINNAGASCVEVDKECLKALNVDPATWLSGEVDNTLFQGVIAQTYEKAEECLNTNYYGVKRVTKALLPLLQLSSAKARIVNLSSLRGELKRIPNGRIRNELGAVEELSEEKIDTIVKKFLYDFKGNALEANGWGMMLPAYSISKASLNAYTRVLAKNNPRMLINCVHPGFVSTDLNWHKGTMTVDEGARGPIMLALLPEEGPTGCYFDCTELAEF >CAK8538205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471231217:471232468:-1 gene:gene-LATHSAT_LOCUS7432 transcript:rna-LATHSAT_LOCUS7432 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDANAVVAIRNEANKNIFFQCSLQGFQDTLWVIFGIQFYKNCDIYGTVDFIYGNATTVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVEGKSEVRATLGRPLRNYSSVAILQCYIDSMVDPRGWEEMSGQGTDNVTYVEFENVGPGSNTDCRVEPHGVRVLGNHNQTLVFTASYFLDADSWIPTRGVPYDSEL >CAK8538206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471231217:471232462:-1 gene:gene-LATHSAT_LOCUS7432 transcript:rna-LATHSAT_LOCUS7432-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDANAVVAIRNEANKNIFFQCSLQGFQDTLWVIFGIQFYKNCDIYGTVDFIYGNATTVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVEGKSEVRATLGRPLRNYSSVAILQCYIDSMVDPRGWEEMSGQGTDNVTYVEFENVGPGSNTDCRVEPHGVRVLGNHNQTLVFTASYFLDADSWIPTRGVPYDSEL >CAK8567918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556757529:556758758:-1 gene:gene-LATHSAT_LOCUS20927 transcript:rna-LATHSAT_LOCUS20927 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQSHSNNPNLNPNPLASSVPIWPTIDGSLGLSEEESVKYARRFYKFGFALLPLLWAVNCFYFWPVIRHSHSFPRIRPYVVGSAVGFTLFSAVLCSWALTFSIGGERLFGPVWDQLVMYNLADRLGLVGWS >CAK8571846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482984723:482988967:1 gene:gene-LATHSAT_LOCUS24470 transcript:rna-LATHSAT_LOCUS24470 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSIAAASQSSRGGDSADSSDIKRAKKRGSYNCGRCGLPKKGHNCNIKTPISATTTPADSSLSVVSVPSSAVSAFRQPTSNLRRALSFDGLEDRASGLDLLRLDDRADADSFVEPDLESDLDLDVDFDSSGLPTSIRWDVLRRLPPAGLLSAAKVCKGWRETARKLWRATEELKLRVPAKVHVGFVASMLQKCPGIVRLSLRMESDFDSTMLACIAFSCPNLESMEISIFDTATNRINGDELGRFVADKRNLKCLKMEGCSNLGGSVICSSSLSTLWLSDLHSLSKMIFNCPQLREISLEFSCQENDSTDLIAMIEGFGRSCLKLQNIHIASMRLSHAAVLALTAAQLRGLRMLSLVLGSELTDASVAAIASSYPNLELLDLSGSGISDSGIGMICNVFPETLKRLLLALCPNVTSSGIQFATAQLPLLELMDCGMTICDPNFPDPTADGNDCESQKTSTTNLQHINQKLIIKHSRLKKLSLWGCTGLDALYLNCPELIDLNLNSCRNLISERLLLQCPTLENVHASGCQDMLVGAIQSQVHNAFPAVDNHSPSKRLPDGSKRVRVPYLLTGESPEPDKKQRRIERLPCNVLVD >CAK8563967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642636204:642639244:1 gene:gene-LATHSAT_LOCUS17320 transcript:rna-LATHSAT_LOCUS17320 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTAANRFLCGARHFNGNIGLRFRRPLALITSSRFSTTENPFSNPHSIHDAIPISTTENPSFKDSTSSTSSSSSSSSDEDSRRESSKSRAKYENEHARLLHASLTHVMKLGWTEAALMAGAKDVGLSPSIVGSLSRKEAALVEFFMDDCLQRLVDKIDTDETLKKLSPSDCISKLIKFRLEMQAPYVSIWPEALSIQAQPVNVPTSFKQRAMLVDEIWHAAGDNASDIDWYAKRTVLGGIYSTTEIYMLTDSSADFQDTWAFLDARVKDAFDLKKTIQEARYLAEAVSIGLGNSFQRFVGKVYRR >CAK8579626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713365347:713366174:-1 gene:gene-LATHSAT_LOCUS31557 transcript:rna-LATHSAT_LOCUS31557 gene_biotype:protein_coding transcript_biotype:protein_coding MICMVSRSGRELQRYNNMGGRQVVGCIPYRYKQDKDGNKSNELEVLMVSSQKTQRLMFPKGGWELDESLEQAASRESLEEAGVIGLVECELGEWNFISKRHGIYYEGYMFPLFVKEQLDYWPEKNLRTRLWMTVGQAREVCQHWWMKEALDILVQRLISSSQQQ >CAK8563521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612348454:612348864:-1 gene:gene-LATHSAT_LOCUS16921 transcript:rna-LATHSAT_LOCUS16921 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVECARKRRLHQSREGCDPTTTRSFCLYTRNLQSSTSFPPIPSSLERSMLKKKQSLIDEKLGGSAREAKRRLDEKLTACMKSDENQHQKRKSIFAGLLRQFKS >CAK8575869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:344176866:344177525:1 gene:gene-LATHSAT_LOCUS28096 transcript:rna-LATHSAT_LOCUS28096-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKCRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8575868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:344176842:344177525:1 gene:gene-LATHSAT_LOCUS28096 transcript:rna-LATHSAT_LOCUS28096 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKCRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8532567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:297839504:297840514:-1 gene:gene-LATHSAT_LOCUS2259 transcript:rna-LATHSAT_LOCUS2259 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYPPERSWVYDRHYPHRGGLKLTFVNGVDEFIKKAKMQLNVERDGGIRCPCNKCMCVPVLSEGDVRFHLYKYGFQPNYWYWTDHGEKAPRIDSTNVPISSRHVDNEDHFELMQHMVEDAIGSDYDFRSMGNKGDKDVDNEYNVNEDVGNENEVGEERGINEDNVDEYEVDREHVNKEDNHEEPPNKEAQEFYDLLTSANQPLYEGASESKLSVCLKLMACKTNWNVPQKCIDFFANMLLEVCPSKDSLPKNFYQVKKLVSMLGLKSQKIDCCVNGCMLYYKDTIADRECRFCHEPRYAPRKPGMGNYNDVPVKRMHYFPITPRLKRLYASREMV >CAK8567261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498355182:498355763:1 gene:gene-LATHSAT_LOCUS20327 transcript:rna-LATHSAT_LOCUS20327 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPISKVTAISTLLTLPPPSSHFSSKPNAAVKLSVKPPLNNTLTASSSTPSQSRGVTDKLNGLASEFASLSEPIERVKRLLHYASLLPPLDRSDRVPENRVSGCSTEIWVVARMDSGRKMRFKADSDSEISKGFCWCLVWMLDGAEPEEVLTVERDDLVRMNLGLNIVKSRSRINTWHNVFFAMQKATQDLI >CAK8575159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41898446:41898919:-1 gene:gene-LATHSAT_LOCUS27445 transcript:rna-LATHSAT_LOCUS27445 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSTVVTKVVCLAIVCLVSFGPNAGEAVPCGQVVNSLMPCVSYIMNGGSVPLRCCDGVKGLNNMARTPADRRDVCTCIKNAVTSSGFSYSNTNLGNAASLPTKCGVNIPYRISPNTDCKSVN >CAK8572490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:540397224:540399063:-1 gene:gene-LATHSAT_LOCUS25051 transcript:rna-LATHSAT_LOCUS25051 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNDAHKGRRITIIGVSSLLLVVMVVAFMVGTNSSHNNHENNIEHNKKNGVASTMKAVQSICKPTDFKQECVESLYAEAEARNVTDPKELIKIAFNVTINKIGEKLKETDLIHEVEKDPRSKDALDTCKNLMNLSIGELLRSLDGIGSLDLNDIDQILMNLKVWLSGAVTYIDTCLDGFENTSTDGGIKMKELLTSSMHMSSNALAIISDFADAISHWNATKIAGRRLLRDSKSTPSWVEHHNLVDVNTNTLKQIPNVTVALDGSGDFKSINEALMKVPVKSKKAFVIYIKEGVYREYIEVTKHMTHVVFVGDGGQKTRITGNKNFIDGINTYNTATVAIQGDHFTAINMGFENSAGPHKHQAVALRVQGDKSILFNCSMDGYQDTLYVHAMRQFYRDCTISGTIDFVFGNALSVFQNCKFVVRKPMSNQKCIVTAQGRKERFHPSAIVIQGGSIVSDPDFYPVRFDHKAYLARPWKNFSRAIFMDTFIDDLIHPDGYMPWQTPEGFSGMDTCFYAEYHNYGPGSNKSKRVRWAGIRNLNSKTAHLFAPSKFFHGGDWIQATGIPYFSAIPQHHKHNKTFIKW >CAK8572694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556535777:556536730:1 gene:gene-LATHSAT_LOCUS25227 transcript:rna-LATHSAT_LOCUS25227 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLVEARHLSLFIDLDDLLGYSWGAAVLVTLYRYLGDASMFSCKKLGGYPTFLHCWIHEYFPTLGKRRENWIPANNVSLPRAMRWSYRQGSLKVDDLRPILDELTPVDVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPSLNSRMAGDIDVDWISYHQSVQDVIRPTAPATTPYETDDGYLEWYYRVSHPRLIPPNVDVTTEIPVLVYEAGPSDPIVARMSSLIHRYLQQAGAEEDDPQFADLFEALHLGRSQ >CAK8574568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2481666:2484211:1 gene:gene-LATHSAT_LOCUS26899 transcript:rna-LATHSAT_LOCUS26899-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIATMVKSSSLNYSDALTKSILFFEGQRSGPLPPSQRITWRKDSGLSDGFDIRMDMIGGYYDAGDNVKFHFPMAFTTTILAWSVVEFGDFMGPDLQHAIEAIRWGTDYFLKATQSPNILIAQIGDPNSDHGCWERPEDMDTSRKTYFVSRERSGSEVSAEIAAALAASSIALNKIDCSYSRILLDRAKSVFDFANNYRGSYNDSIGDGACPFYCDINGYMDELVWGAAWLYKASNDESYLNFVKSNMQSIRSIDDFGWDSKDAGINVLVSQWTMNDPSNQNPFIPNADNFICHLLPNSPNKTVTYSKGGLLFISGPSNMQHVTSYSFLLIVYARYMEANKKIVNCGNVVVKANDLVNLAKSQVDYVLGKNPLGMSYMVGYGEKYPQKIHHRGSVIPSIDVYPKHIGCRDGDKYFQSQEPNINILTGAIVGGPADDDSFQDSRYNVSQSEPTTYINAPFVGVLAYFKQLNSM >CAK8574567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2481615:2484211:1 gene:gene-LATHSAT_LOCUS26899 transcript:rna-LATHSAT_LOCUS26899 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNKVEILSKLCLLVTMMIATMVKSSSLNYSDALTKSILFFEGQRSGPLPPSQRITWRKDSGLSDGFDIRMDMIGGYYDAGDNVKFHFPMAFTTTILAWSVVEFGDFMGPDLQHAIEAIRWGTDYFLKATQSPNILIAQIGDPNSDHGCWERPEDMDTSRKTYFVSRERSGSEVSAEIAAALAASSIALNKIDCSYSRILLDRAKSVFDFANNYRGSYNDSIGDGACPFYCDINGYMDELVWGAAWLYKASNDESYLNFVKSNMQSIRSIDDFGWDSKDAGINVLVSQWTMNDPSNQNPFIPNADNFICHLLPNSPNKTVTYSKGGLLFISGPSNMQHVTSYSFLLIVYARYMEANKKIVNCGNVVVKANDLVNLAKSQVDYVLGKNPLGMSYMVGYGEKYPQKIHHRGSVIPSIDVYPKHIGCRDGDKYFQSQEPNINILTGAIVGGPADDDSFQDSRYNVSQSEPTTYINAPFVGVLAYFKQLNSM >CAK8577686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583410669:583411454:-1 gene:gene-LATHSAT_LOCUS29769 transcript:rna-LATHSAT_LOCUS29769 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNNLKSPRNGSSLPSPRYSQSSSSSTNSNIINNEPVLNLPKPVTRSEPGNPYPTTFVQADPSSFKQVVQMLTGSAETAKQTSSNSTTTTTTNSNNNNSRNHIPPIKTIPKKPNQSGFKLYERRNSLKNLKLNPLLPVFSPNNSNPSGFSPRNADVLSPSILDFPALALSPVTPLIPDPFNRNAVPGGGGSGYRCENVSPSPSPVLNTEAEEKAIKEKGFFLHPSPGNTPRDSELRLLPLFPTTSPRASDPSSSSTSST >CAK8532405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257605338:257608128:1 gene:gene-LATHSAT_LOCUS2115 transcript:rna-LATHSAT_LOCUS2115-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALSQREEQIENVSNRTIPKVLIQCEECKSNPSKYKCPGCSIQSCSLPCVKAHKARTGCNGKRNQTQFVPISQFDDNILLSDYNLLEEVKRVAEVASKTRTKLGVSTYFKLPYHLKSLQRAAGSRRTKLLFLPNGMSKRENNHSRFDNREKSIHWTIEWRFHSTNIVLHDHGVHEDTSFCTILEKHLKPGPWNHQLKEFCDDHDRLKLFIRKYPKGPKSPFKELDMKAPIKQQLKNIVILEYPVVFVFLPSHTINFEVIKNVIPSMPKSLQKDSEVNLIPEGVSFREEEIGDDNNSDDPKVFDLLKHAESSPSHQVLTENTPSEKAPNDPKVFDLLKHAESSPSHQVLTENTLFEKAPNYTLDIPVFQGDVKRNFSPSCFIDTDLQLSESGMFDFEQDLMFEGHSYDLMYQFNPQVFPEFDCEFAKKDETEIDVFDAINQLPEIRQTEQLEEGEIPD >CAK8532406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257605338:257608128:1 gene:gene-LATHSAT_LOCUS2115 transcript:rna-LATHSAT_LOCUS2115 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALSQREEQIENVSNRTIPKVLIQCEECKSNPSKYKCPGCSIQSCSLPCVKAHKARTGCNGKRNQTQFVPISQFDDNILLSDYNLLEEVKRVAEVASKTRTKLGVSTYFKLPYHLKSLQRAAGSRRTKLLFLPNGMSKRENNHSRFDNREKSIHWTIEWRFHSTNIVLHDHGVHEDTSFCTILEKHLKPGPWNHQLKEFCDDHDRLKLFIRKYPKGPKSPFKELDMKAPIKQQLKNIVILEYPVVFVFLPSHTINFEVIKNVIPSMPKSLQKDSEVNLIPEGVSFREEEIGDDNNSDDPKVFDLLKHVESSPSHQVHTENTPSEKAPNDTKVFDLLKYAESSPSHQVLTENMPSEKAPNDPKVFDLLKHAESSPSHQVLTENTPSEKAPNDPKVFDLLKHAESSPSHQVLTENTLFEKAPNYTLDIPVFQGDVKRNFSPSCFIDTDLQLSESGMFDFEQDLMFEGHSYDLMYQFNPQVFPEFDCEFAKKDETEIDVFDAINQLPEIRQTEQLEEGEIPD >CAK8532701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:490591742:490593298:-1 gene:gene-LATHSAT_LOCUS2382 transcript:rna-LATHSAT_LOCUS2382-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIFIPRHNTAFPPRPHRQHLRTLFDGDFTLVRDRGLDHAVEREKNLKPLLNLRNLIKHEPSKSLPVSLIRESIQLPFRPIDFVRKYPSVFEEFNLAGGFEPHIRLTPEAVELDFEEGFMYNSELFKQQVADRLLKLLMISKIHKIPLKVIEGLRWDLGLPQDYAKSMIPEFPDYFRVIGTGGNAVLELVCWSKELAVSVLEKKLGSKGKELAFPLQFSTGFKMDNKYEKWLREWNRLPYVSPYENAGHFPASSDESDRWVAASSDESDRWVVGVLHEILHLLVSKKTDKDNVLVLGEWLGLASRFKRAILQHPGIFYLSSKNRTHTVVLREGYKRGLLIEDNPAMEFRRKYIHLMNTVKEDSKKQKVVKGKSSTKEGIVKGCEGKEGEEKCDNDENREEEQEEGSSELSESEDEDASETVVDDDEELSARANRRSSANRRGRNLVEMKLGDKKPSRDSHRERPGERITRKMWEKNPSEGSKRIQTRGEYKDVRSSPRRSRLSKRRERSFTKNTVV >CAK8532702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:490591742:490593298:-1 gene:gene-LATHSAT_LOCUS2382 transcript:rna-LATHSAT_LOCUS2382 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIFIPRHNTAFPPRPHRQHLRTLFDGDFTLVRDRGLDHAVEREKNLKPLLNLRNLIKHEPSKSLPVSLIRESIQLPFRPIDFVRKYPSVFEEFNLAGGFEPHIRLTPEAVELDFEEGFMYNSELFKQQVADRLLKLLMISKIHKIPLKVIEGLRWDLGLPQDYAKSMIPEFPDYFRVIGTGGNAVLELVCWSKELAVSVLEKKLGSKGKELAFPLQFSTGFKMDNKYEKWLREWNRLPYVSPYENAGHFPASSDESDRWVVGVLHEILHLLVSKKTDKDNVLVLGEWLGLASRFKRAILQHPGIFYLSSKNRTHTVVLREGYKRGLLIEDNPAMEFRRKYIHLMNTVKEDSKKQKVVKGKSSTKEGIVKGCEGKEGEEKCDNDENREEEQEEGSSELSESEDEDASETVVDDDEELSARANRRSSANRRGRNLVEMKLGDKKPSRDSHRERPGERITRKMWEKNPSEGSKRIQTRGEYKDVRSSPRRSRLSKRRERSFTKNTVV >CAK8572591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550148204:550149561:1 gene:gene-LATHSAT_LOCUS25144 transcript:rna-LATHSAT_LOCUS25144 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKRLRQSGIDPNTHKPLSEIENDNEKALTANKSNQKASVSSNEVMSLVMEPTKASIDGYPLEVSTSSKINNGSSSHELFLDRFNTTGYFSFQNMNYGSNIGISENPNASICFTPTSSSSQMMSDTNSVINSNMLHSVTTSIFQTPTQVKPTVSVSSDGVHNWEASNYNSINNASKTNANFLDNGTLPLQEEMKWSEYLNTPFLMQNQPPQSIYPEAKQETGFITDESCTSWNQCQPQQQQQQPGFQLSDIYSKDLQRFSVAFGQTL >CAK8538946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500091495:500093924:1 gene:gene-LATHSAT_LOCUS8091 transcript:rna-LATHSAT_LOCUS8091 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRCLLNSNSMVQSSNSAAAEERVDGSRNSHRPERVSLPPSFSVRMAMRISRSRWFTFLRRVFHYQNGSRSSLGSNPFNSSTWLMLEFGALIVQIIVSTFTLAVSNMEKPVWPMRVWISGYDIGCVLNLLVVYGRYRQIYLVQGDAVSLSNMEQQRNSEDTSVYRMSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFQQAPKLHVLCITLLTWNAICYSFPFLLFLLLCCCVPLISSLLGYNMNIASSNKGATDEQISQLPSWIHKDPPHTTKLDLGNDSESIEKFINEDPECCICLAKYKDNEEVRKLPCSHVFHLKCVDQWLKILSCCPLCKQGLER >CAK8540705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16521797:16522609:1 gene:gene-LATHSAT_LOCUS9683 transcript:rna-LATHSAT_LOCUS9683-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIDRNWYDAHATFYGDAAGGGTMQGACGYGDLFKQGYGLATTALSTTLFNHGLTCGACFQIICVNDPQWCLKGAAPISVTATNFCPPDYSKTTDIWCNPPKKHFNLSYKMFTSIAREKAGVIPVKYRRVPCVKSGGVRFELKGNPYFLMVLVYNVANAGDVSHVSIRGSKTRWLTMSHNSGQTWHINQNLVGQSLSFLVTTSDGKALEFSFVAPSNWQFGQTYESKQNF >CAK8540704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16521743:16522609:1 gene:gene-LATHSAT_LOCUS9683 transcript:rna-LATHSAT_LOCUS9683 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSLVPLFFFMMLLVQAMAGGIDRNWYDAHATFYGDAAGGGTMQGACGYGDLFKQGYGLATTALSTTLFNHGLTCGACFQIICVNDPQWCLKGAAPISVTATNFCPPDYSKTTDIWCNPPKKHFNLSYKMFTSIAREKAGVIPVKYRRVPCVKSGGVRFELKGNPYFLMVLVYNVANAGDVSHVSIRGSKTRWLTMSHNSGQTWHINQNLVGQSLSFLVTTSDGKALEFSFVAPSNWQFGQTYESKQNF >CAK8543572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620540589:620541137:-1 gene:gene-LATHSAT_LOCUS12320 transcript:rna-LATHSAT_LOCUS12320 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDLESLAEATSGAIGSLLSSTILYPLDTCKTKYQAEARSHGNRKYKNLSDVLWEAVSNRRVLSLYQGLGTKNLQSFISQFIYFYGYSYFKRLYLEKSGYKSIGTRANLLIAAAAGAVTAITTQPLDTASSRMQTSAFGKSKGLLKTLTEGSWSDTFDGLSISLLLTSNSATPKTILHTRQ >CAK8578589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640479858:640482243:1 gene:gene-LATHSAT_LOCUS30586 transcript:rna-LATHSAT_LOCUS30586 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEREYISIFILFMVATCFLPTIIADTDAQILMNFKSFLSNAEALNNWSNDSTNVCTWIGLICINQTTLHGLRLENMGLSGTINIDILMKLSTLKSFSVINNSFEGQIPAFNRIVGLRALFLTNNKFSGEIPNDAFDELRWLRRLFLAGNGFQGHIPISLAKLPRLYDVDLHGNRFDGSIPEFVQNGFRVFDLSNNQLMGAIPEGLRNENPSAFAGNKDLCGIPLNRTCSKSTVSVPHEEGKEENKNRHVLISIIAFVAVLVLVLILALLFIRYRRKKTAAKSISNNENGQSQSQNTKASTASTSEDKSIVAESKKSKDEDLIFVTNERVEFDLQDLLRASAEVLGSGSFGSTYKAMVLTGPVVVVKRFKHMNKVGKKEFFDHMKRLGGLTHPNLLPLVAFYYGKEEKLLIHDFAENGSLASHLHGKHGCDLDWPTRLKIIKGVARGLAYLYREFPDEKLPHGHLKSSNVVLDHSFEPRLTEYGLVAVTDLKHAQQFMVGFKSPEASQNEGPSEKSDVWCLGILILELLTGKFPANYLRHGKGATEDLAMWVESIVREGWSGEVLDKSIGGSRDKEGEMLKLMRIGMSCCEWSLENRFGWREAVAKIEELKEMDSVEVGVESQNSDLSM >CAK8578179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611317679:611346500:1 gene:gene-LATHSAT_LOCUS30216 transcript:rna-LATHSAT_LOCUS30216 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKVREPKEETVTLGPAVKDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >CAK8578180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611317679:611319244:1 gene:gene-LATHSAT_LOCUS30216 transcript:rna-LATHSAT_LOCUS30216-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKVREPKEETVTLGPAVKDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >CAK8532677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:475219576:475219923:-1 gene:gene-LATHSAT_LOCUS2359 transcript:rna-LATHSAT_LOCUS2359 gene_biotype:protein_coding transcript_biotype:protein_coding MINQCGYRNKMDIDNLMNYPGENEAYSEVQSLEDIVGTIIENNAEDDDKDDTVSLEPVTRKEALMASNTLHNFVIQYKNTTPELLDAIRKVKDELQIDLNFKGKQITIESYFNRV >CAK8565248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:80166410:80166714:-1 gene:gene-LATHSAT_LOCUS18477 transcript:rna-LATHSAT_LOCUS18477 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >CAK8538573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487528054:487529583:-1 gene:gene-LATHSAT_LOCUS7757 transcript:rna-LATHSAT_LOCUS7757 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPTKPLEAEPKPEVAEAQPEPTTDQEPSHENMAVDEEIAPVQENQPQNEEQEGEGEGEEQEQVAEEEEDEEQQGEDETLENQIQQQDAAEVSNPTAVEQAETVEANGAGETNNDEEEDLDLEDEPVEKLLEPFTKEQLHALVKQAIEKYPDLSENVRQLADVDPAHRKIFVHGLGWDATAETLTSVFQKYGEIEDCKAVTDKASGKSKGYAFILFKHRSGCRRALKQPQKQIGNRTTSCQLASAGPVPAPPPNGPPVSEYTQRKIFVSNVSSDIDPQKLLEFFRQFGEVEDGPLGLDKNTGRPKGFALFVYKSVESSKKALEEPNKTFEGHTLYCQKAVDGPKGYKGHYQQPQHHHHQQHHSHHHHHQPHYNSRKEKNKYSSGGGPSHGGHLMAPPGPVGGYNPGVPAQGLNPALGQALSALLANQGAGLGLGNLLGGLGGAPVNQAGPPPAPYGNQAAMGYGNQPGLQPQYQNPQLGQSGGARPHPGPGGAPYMGH >CAK8560817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47029649:47030222:-1 gene:gene-LATHSAT_LOCUS14462 transcript:rna-LATHSAT_LOCUS14462 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLFSYRDDPELEKSLKERIRWGDPMAHLVKKKYPEPVLPNLGDSDKMKASGFVVPQDIPDHSWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKGMFKRTNERQAKDKEAYLWSVSDM >CAK8534091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:693009516:693009968:-1 gene:gene-LATHSAT_LOCUS3661 transcript:rna-LATHSAT_LOCUS3661 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAPAVSEHDDDFVMSNQKKKNSTSKTSVDFPKSILKQSPLHSSMNVSRTNLKRVSINLPDNEISLANIGSVSSRRSQVPARSPVCFPFMTNMDYCDEGNVRLSSSRSILEVLREIDADVVALCSLCFLANNLWLTNFFRLSVETMKL >CAK8560890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52946696:52947187:-1 gene:gene-LATHSAT_LOCUS14529 transcript:rna-LATHSAT_LOCUS14529 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDKPKTLELTVLSAQDIHVNGEPVTTDVFVVVRAESTTAHTTPLSTVDNGVHSWNEKFTVELSTYARSISFEVKYQTATGVRDIGVARIAVSDFLGGSVPETSLQFLSYTLRDWNGLRNGVINFSVRVVLEEETEEHVAAHGVVTGIPCLWNSCTDNDRV >CAK8574515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1281699:1286294:1 gene:gene-LATHSAT_LOCUS26855 transcript:rna-LATHSAT_LOCUS26855 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLVVHFDKGTPALATEIKEALEGNDITSKIDALKKAIMLLLNGETIPQLFITVIRYVLTCDDHTVQKLLLLYLEITDKTDSRGKVLPEMILICQNLRNNLQSPNEFIRGVTLRFLCRINESEIVEPLIPSILSNLEHRHPFVRRNAVLAVMSVYKLPQGEQLLDSAPEIVEKFLASEQDPSSKRNAFLMLFSCSQDRAVNYLFSNIDRIIDWGEQLQMIVLELIKKVCRNNKGEKGKYIKIIISLLSANSTAVVYECAGTLVSLSSAPTAIKAAASTYCQLLLSQSDNNVKLIVLDRLNELKSSNREIMVDMVMDVLRALGTPNHDIRRKTIDIALELITPKNIDQVVMMLKKEVVKTQNGEQEKNGEYRQMLVQAIHTCAIKFPEVASTVVHLLMDFLGDTNVASAMDVVVFVREIIETNPRLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGDLPFYTISEDGEGQETSKAVQQVNSTTVSSRRPAILADGTYATQSAALETAMSPPTLVQGSLSSIGNLRSLILSGDFFLGAVVACTLTKLILRLEEVQASKVEVNKATSQTLLITVSMLQLGQSSVLPHPIDNDSHDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLADKQRRETEEIKAKAQISNAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYIAPASCADVAFRTMWAEFEWENKVAVNTVLQDEREFLGHIIKSTNMKCLTPPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQGDGKLSGYIRIRSKTQGIALSLGDKITLKQKGSA >CAK8563723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626910627:626912286:1 gene:gene-LATHSAT_LOCUS17102 transcript:rna-LATHSAT_LOCUS17102 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYPDLYEASGLASLPGDVDGYISICGYGSLLSEKSARSTFPNLTNFRTARLTGFRRLFSVVAPVFFTHGIANLTTQEIAGLSVEPCQDETIIITVFDINKTEIPAFIQREREYSFLPVFPESLDGKPFTNPAVLCASYTDEEFFKYRCSEGREIYFKQYGEYNIHKIWRDNVFPCRVYLRHCVLAAKSLGNEVYNNFLDHTFIADRKTTIRQYFEKTSTGIMEEEPPESLKTRYGG >CAK8573146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589246522:589252404:-1 gene:gene-LATHSAT_LOCUS25626 transcript:rna-LATHSAT_LOCUS25626 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWRLLLWLILTLSFLFGKRIHCQEMNDYDQLDNPAVLPLITQLVYSRISNLTSILSHQISADSNFCVKDPDSDWNQAFNFSSDLGFLASCIKKTKGDITNRLCTAAEVKFYLNSLMDRSTSANYLKPNKNCNLTSWVPGCEPGWACSVPPSQKIDLRDSKDVPARTSNCQACCEGFFCPHGITCMIPCPLGSYCPLATLNKTTGICEPYLYQLPPMQPNHSCGGANIWADFSSSSETFCSAGSFCPTTTTKFPCSSGHYCRTGSTSEKRCFKLSSCNSNTATQNMHAYGVMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANAHQRWKLAKDAAKKGASGLQAQLSRKFSRKKDEETLEKVKILNQETSETDVELFPHSQPSSMVASSSSMPTEKGGNPNGLMHIVHEIEDDPHVDHNPDTRKETRYKSATKEKQPHTHTQIFKYAYAQLEKEKAQQQENKNLTFSGVLKMATNTEKIKRPFIEISFRDLTLTLKSRNKHILRNVTGKIKPGRITAVMGPSGAGKTTFLSALAGKTFGCLVTGSILINGRNESIHSFKKIIGFVPQDDVVHGNLTVEENLWFSAQCRLSADLSKPEKVLVVERVIEFLGLQSVRNSVVGTVEKRGISGGQRKRVNVGLEMVMEPSLLMLDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFKMFDDLILLGKGGLMVYHGSAKRVEEYFSGLGINVPERINPPDYYIDILEGIAAPGESSGLSYEDLPVKWMLHNGYPIPLDMRQHAAQFDMPQSVNPLNDIDSNGSGGVGKTFAGELWNDVRNNVEVRGEKIKLNFSKSKDLSDRKTPGVFKQYKYFLIRVGKQRLREARIQAVDYLILLLAGACLGSITKSSDQTFGASGYTYTVIAVSLLCKIAALRSFSLDKLHYWRESDSGMSSLAYFLSKDTMDHFNTVIKPVVYLSMFYFLTNPRSTFAANYIVLLCLVYCVTGIAYALSIVFEPGAAQLWSVLLPVVLTLIATQPKDSKILKAIANLCYSKWALQALVIANAERYQGVWLITRCGSLLKSGYNLHDWSLCLSMLILMGVIGRAIGFFCMVTFKKK >CAK8531372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105669451:105672503:1 gene:gene-LATHSAT_LOCUS1169 transcript:rna-LATHSAT_LOCUS1169 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLNIVALCLCVWTLFFCFVSCVPNEGLRRIGLKKVKLEPKNFLGLKGGEDSLSNNILEGTVEPDVVALKNYLDAQYYGEISIGTPPQTFTVIFDTGSSNTWVPSVKCYFSLACLFHAKYKSSQSSTYRPNGTSAAIQYGTGAISGFFSYDNIKVGDIVVKHQEFIETTREPGITFVAAKFDGLLGLGFQEISVGNSVPIWYNMVGQGLVKDPVFSFWLNRNPKEEEGGEIVFGGVDPAHFKGEHTYVPVTRKGYWQFDMGDVLIDGKPTGYCAKDCSAIADSGTSLLAGPKTVVTMINQAIGASGVYSQECKNVVDQYGQTILQLLLTDTQPKKVCSQIGLCTFDGTHGVSMGIQSVVPQTDRISSGGGHQDATCSVCEMAIVWMQSQLKQNQTEEQIINYANALCDKIPNPMGQSSVDCAKIPSMPNVSFTIGGKTFDLAPQEYILKVGEGPQAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGKLRVGFAEAA >CAK8542628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:533595760:533599614:1 gene:gene-LATHSAT_LOCUS11452 transcript:rna-LATHSAT_LOCUS11452 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSVKNLAITDKVQKPGGCVGIFFQLIDWKKRLVKKKLFSKKLLTPARAKKFRGDDKMPNSKLHLIANENSGGFPKGGNHGVDVDQKSEMRVPSLVARLMGLDSIPAAQRDKSNKALCPDYSCGDEKECLSNHCELDRQGKDLEMRVVKHDSRPQKLQKTGVCERNGVTRFGAEALHIKSVLSRAKKYNQHHNHHHPKLASPLKSPRVNSGKSASRGSRLMGAAAKILEPGMHASRGKGSLMYHASTCPLKAGIGTDGVGNKSPVMQNQSCYGSSTAKPLTGQSSCKNCGNLLDVIDCTVEVRGSPDVPPPTVSDVEINATSMVSSFKKGKSFTPSQGQGRDIVLPRSRGKFLSSVADEEVKNYAQCSWNEPTTIRIPMPHEAPAKCSSSSQPLRAQEEDGSSFTHKHKTQESKLSSEGSSSGSTTSSMQVKRVSSCGSTTSGTKDFVALNRSVTGRTRMRPPTKVDSSKFDLEKKPCYRQQHESLSHVRTLERKRRTPNVTQLEGTTPANSVGLKQRNLRRDAIVGKRRDFDSSSLNSPNVKNKGGGQGEPVKVSRNRKIDAASFTFSSHLKQKTGMPVEMEETNINNDRNKYFQRPPPLKVDDLSCFLEQKLKELTSQKNELATNALPQKSSAAILQELISALSSEHLNHHDGHMHNEDTGFLCGTKQERLLGTSCNDNHLSPGSVLEASFSSSSLDECSGRGFHPDSVSFSYSQPEQMEHDDELFDSVVSFNKGSISKILIDIVNQIPMVLQCLYSFGTQFTRSKLNNMKSVLVNAELVLRIANERGEEEVPQFLIYRFLRNELDTMLTDFSGFVGCEDSKPRKMLNGFILDCVMEYLESNCCQYFHSGFKAWTKLPLCMKTETLAQEVTREANKCARMVGMVPDEIIEWEMSHSLGKWNDFDIEAFEAGVDIDGDILHCLVDEVVEEFVGCKHTSYSF >CAK8539809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525947104:525947652:1 gene:gene-LATHSAT_LOCUS8870 transcript:rna-LATHSAT_LOCUS8870 gene_biotype:protein_coding transcript_biotype:protein_coding MKILHLFPLFSLAALTVFIISSNAARPAATVPEPVSPPLPAAAQEFLDSHNKARAEVGVEPLQWNEKLAKDTSLLVRYQRNKMGCDFANLTTSKYGGNQLWAGSAVVTPSKAVEEWVKEKDFYIHANNSCVGKHECGVYTQVVWKNSAQLGCSQASCSVKEKASLTICFYDPPGNVIGETPF >CAK8577060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535088559:535088906:-1 gene:gene-LATHSAT_LOCUS29202 transcript:rna-LATHSAT_LOCUS29202 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITVTNDKGRLSAFEISKMIEEAERYHVEDKNFLRMAKVMNKLDFAVYGMKNTLKIKDVSLKLSPKESEKINNAITVSTKLLAKNNQHKEIDVLEGHLKELECMLEHLIPMTD >CAK8576656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:502120338:502122154:1 gene:gene-LATHSAT_LOCUS28829 transcript:rna-LATHSAT_LOCUS28829 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSDQATEALKPLEDFDPQNKSKRNKFSFICAILASMTSILLGYNIGVMSGAAIYIKRDLKVTDVQIEILLGIINIYSPIGSYIAGRLSDWIGRRYTIVVAGVIFFVGAVLMGLSMNYAFLMFGRFFAGVGIGFAFLIAPVYISEVSPTTTRGFLTSLPEVFLNGGILIGYISNYGFSKLPLHYGWRVMLGIGAIPSIFLAVAVLAMPESPRWLVAKGRLGEAKKILYKISDSKEEAQQRLDDIKEITGISLDCDDDIVSVTKVQGRGVWKELFLHPTPAVRHIFIASLGLHFFAQATGIDAVVLYSPRIFEKAGIKSDTNKLLATIAVGFMKTMFVLVSTFLLDRVGRRVLLLTSVGGLILSLLTLAVSLTIIDNYNATFTWAIWLSIAAVLSYAGTFSIGSGPITWVYSSEIFPLRLRAQGVSIGAMMNRLTSGVISMTFLSLSKAITIGGAFFLFAGIAMVAWVFHYTMLPETHGKTLEEIESSFGSFWRKRNANSTVEGVNNLNLRLVSG >CAK8532392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255776355:255777353:-1 gene:gene-LATHSAT_LOCUS2102 transcript:rna-LATHSAT_LOCUS2102 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNTTSHLPWKVRFTMSILSFLISASRRSNDTVNRRLFNFFDRKIPPNPNFKDGVSSSDVIVDPTRNLWFRLFTPSSNSVSDAPLPVFVFFHGGGFALSSPSSIMYNNVCHLFCRSFPAIIVSVNYRFAPEHRFPSQYNDGLEILKFLDQNNNVLGKSADISKCFLSGDSAGGNLAHHVAVRVPLEKFQNLKIIGLVSIQPFFGGEERTESEIRFKRASAVSMDKTDWYWKMFLQDGLTRDHEVVNVSGPNAMDISNVDYPNTLLCLGGLDPLLDWQIRYYEWLIKSGKEVELIEYPNMIHVFFFFSKLPETSHFISKVKDFITRQMANVS >CAK8563449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607140337:607143351:1 gene:gene-LATHSAT_LOCUS16860 transcript:rna-LATHSAT_LOCUS16860 gene_biotype:protein_coding transcript_biotype:protein_coding MITVDEDRVDAAPDLKNAPVGADCQPDSDLSDSRVEPDTGVPTSELQASDGDANGRTTNHELDNTIEPPNNKPENSESLANEQSNNADASDNNQQVDYEAPPNNPSVESETPSDNQPVSSEGTLDQKFSAEGNSEELSNDGGVVVSEAQHSNEVALPEMQHSNGMVTFETQQSNEVVMSEEQTINDVVMTEAMTENELGTSTIDPNHHLSHPETHPHNHHFADFHMIPEDQLPQPESLPNCDPLPCSEQLEDSHIRDVKPMHHDHLAQYDTVPNNHLDHSEALCNHQLTDSETLSPGQLANSQMLHHYELENSETLHENQLVNSQEHYGLVNANIIPSYEIVSADTPLNCEEPTPDTQPNKRRKKKSIVWEHFTIENVDPGCRRAYCKQCNQSFAYSTGSKVAGTSHLKRHIAKGVCAALLRGQDQNTYTPRSRGTGAGNASSTPKRRYRTGGSPYIIFDQDRCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPQFNMVTFNTVQGDCVATFLSEKQKLQNYFEGLPGRFCLTLDTWTSSQSVGYVFITGHFVDSDWKLQKRILNVVMEPYPDSVSAISHAVSACLSDWNFDGRLFSITCNSTLNEVSLGNLRSFLSAKNPHILNGQLLVGSCIARTLSSIANDLLSSVQDLVKKIRDSVKYVKTSDLHEEKFLELKQHLQVPSERSLFIDDQTQWNTTYLMLVAASELKEVFSCLDTSDHDYKETPSMQDWKLVETLCSYLKPLYEAANILTTTTHPTPITFFHEVWKLQLDLARAVMNEEDPFLSKLIKPMQEKIDRYWRDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGDDAHEFVKIVDDGIHELFNEYVALPLPLTPAYAEDGNVKSNGSPGGNLLSENGLTDFDAYIMETSTQQTKSELDQYLEESLLPRVPDFDVLSWWKLNKLKYPTLSKMARDILSVPVSSAPADSIFDSKSKEMDQYRSSLRPEVVEALVCAKDWMHYGAAEASSALVKMEF >CAK8578559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638002765:638014833:-1 gene:gene-LATHSAT_LOCUS30559 transcript:rna-LATHSAT_LOCUS30559 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPNYDVFLSFRGEDTRETFTSHLHAELCRQNIETFIDDRLGRGEEISSALCKAIEESAIYVVILSQHYASSIWCLDELTKILECKQRYGREVIPVFYKVDPSNVRHQRQSYADDFVKHHLRFGDKVDAWKDALTQVANLSGWDSQKIRPNSKLVEEIVKDIRKKLSSCVLNDHERKIGMDKHIEKIQTLLHLESSTVRIIGIWGMGGIGKTEIASAIYEKLATHFSFTGIVKNVQQEIKLYRVEHVKSKYLSHLLVQQDITSSKSNISFDPRLKQRKVLLVFDDVKDSDQLIDLIGTCSNFGPGSRIIVTSRDKQVLKNSNVDEIYHVVEMDDKDSLQLFCLNAFKQKEPIEMYVSLTKKVLGYAKGVPLALKVLGLFLQYRTKEAWESQLQKLEKNPEPKIFNVLKLSYDGLDNEEKEIFLDIACLYRGETEKCVVQTLDCFGFSTRIGMDVLQDRCLISISEGRVWMHDRIQEMGHEIVRQENVNNPEKRSRLHKPDDIYNVLKKNKGQGTDAIQSIILDVSEIKKVELHAKTFKKMHNLRMIQFDAFQNYSNVTFHTFLNSFPNNLKFLNWDYFPQRSLPQDFCTDNLVTLDMPYSNLEQLWERDQTIPNLKRLDLEYSKKIIGVPDLSLFPNIEEIILTGCVSLEKVYSSNFLHKLNCLCLDGCTSLKSLSIRSNILSRSTGFLALEGCHNLETISITSTTDLVETVILSKFEGGYGFQHPYDSSESSWLDLSECESLTSLPAELLNLKFQTMLRLDHCLKLEELPEIEDTMENLKVLSLDNTAIKELPSSLHHLVGLEELSLQGCRKLKTIPSSIGNLSKLLKLQLCECESLETFPSSIFKLKLTELNFYGCSMLRTFPDIPRDIGHLSSVTQISLQGSNIVNLPESMIHLSSLKSLNLSDCKSLECIPKLPPWLKELLAFDCTSIKKMVPNSLLSDSNDEMDGSYEFRLTNSDDLDSYSWSYIVNKAWIKITDDACLSALICFPGSRVPDCFLHRGQGHSVTVKNNDEYACSENRLVGFALCVVLGGEDMYESRIVGSSIPYNLSFKSNGKRYLFQNKLKCKHEGRFIRDHTYLWKYRLNRTRIGKNLIHVQNITFEMLGNSWLSDYRLNVTVKECGIRPLYITQEPNASKAVQ >CAK8573171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591080700:591087328:-1 gene:gene-LATHSAT_LOCUS25648 transcript:rna-LATHSAT_LOCUS25648 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSTVVPHLDKADFLKLQNGSDIRGVALDGVEGEGVNLTEPVAEAIGAAFAAWLVEKKKANASQHLRVSIGHDSRISAKFLQNAISRGLAGAGLEVVQYGLASTPAMFNSTLTKDEAFLCPVDGSIMITASHLPFNRNGFKFFTNAGGLGKTDIKDILERAEKLYNQFTAESLTNSEKKASVSIKTVDYMSVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENNWLDDGAYLMVKILNKLASARASGVGGGSSVLTGLIEGLQEPGFAAELRLKINQNHPDLKGGSFREYGETVLKHLESSIGSDPNLQKAPVNYEGIRVSGYGGWFLLRLSLHDPVLPLNIEARNNEDAVKLGLVVLAAVKEFAGLDTSALNKFVGS >CAK8573170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591080700:591087328:-1 gene:gene-LATHSAT_LOCUS25648 transcript:rna-LATHSAT_LOCUS25648-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKIVQNVFMSHCCQQNTQSSSPSRRDYCAPYLRNFLPSPPGKLTWSSISSMQLRTLSKPQNNFTFRRNILCNASASSTVVPHLDKADFLKLQNGSDIRGVALDGVEGEGVNLTEPVAEAIGAAFAAWLVEKKKANASQHLRVSIGHDSRISAKFLQNAISRGLAGAGLEVVQYGLASTPAMFNSTLTKDEAFLCPVDGSIMITASHLPFNRNGFKFFTNAGGLGKTDIKDILERAEKLYNQFTAESLTNSEKKASVSIKTVDYMSVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENNWLDDGAYLMVKILNKLASARASGVGGGSSVLTGLIEGLQEPGFAAELRLKINQNHPDLKGGSFREYGETVLKHLESSIGSDPNLQKAPVNYEGIRVSGYGGWFLLRLSLHDPVLPLNIEARNNEDAVKLGLVVLAAVKEFAGLDTSALNKFVGS >CAK8576630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499178342:499178714:-1 gene:gene-LATHSAT_LOCUS28804 transcript:rna-LATHSAT_LOCUS28804 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLSYMGYVIANRYNVVLVCLGIECWTFFPMTTSFSPNVTIYCIGFVNRNHWVQVNMKEGFPLPPVTEIGRSFVLHQQHFGC >CAK8564912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15417161:15418075:-1 gene:gene-LATHSAT_LOCUS18163 transcript:rna-LATHSAT_LOCUS18163 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESSSVSITSHDQGDSSHLKNVVNMEVEQDRSSNSNSNNLIDFVMLSKEDPAPVLKIQEHDFLNLTQVGSSSCLPNDNTELKDNNNTEEKSSDLRSFSCSFCKRKFSTSQALGGHQNAHKAERALEKQRKQRYDGSVLGLGQSHFNPYFSYSSNLFTPYTYNYRLGVRMDSTIQKPPYFSPRTTPHSFGYTNGALSFQDILNPSVLSLRNMGGGNRGIGTLAIGGANSIKVEDGGASDKFSSLLKFGVSSTNVATSSNSNLEKKIMVASTSIKDEINDQSKSNIEEEPSNSESSDLDLSLKL >CAK8533091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577176520:577177627:-1 gene:gene-LATHSAT_LOCUS2741 transcript:rna-LATHSAT_LOCUS2741 gene_biotype:protein_coding transcript_biotype:protein_coding MAINITFENSASYFGKKVEQAVALRISGNKSAFYNCTFRGVQDTLYDHQGLHFFKNCFIEGSVDFIFGFGRSLYEECTLNSIAKSIGYITAQKRSNSSLDTGFSFKKCTVKGTGQVYLGRPWAEYSRVIYSYTYMNEIVLPKGWEDTMNGTHYPKTIYYGEYKCSGPGSNVSGRAPWARNLTDEEVKPFLEIDFIEGETWLINPN >CAK8543031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568254100:568257339:-1 gene:gene-LATHSAT_LOCUS11818 transcript:rna-LATHSAT_LOCUS11818 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPILAAQPPDQPSSDLDFDDFAGQFNNLPIPSLDAFFNHDALDSEPFPSDLEFPLGDFEITFDDIDNLCIPTDTDGFILPAAWNPNTNGIPISPMIGDCVNGDGNCSPENFDSPGSGASVVSGEQSDQSPGVSRFLNSESVSADDNSVDVKVSSIPLPETEISDREESSNGPVSSQGSGNGGSGVYEAMNSPSHDSGGYERDISSSHEHAIVEEGVKVEGIVKGSDLKRKKENSHESAENRTPKCSRRSSSMENKTQQQQQSQSQSGFDGIEDDDEKRKARLMRNRESAQLSRQRKKHYVEELEEKVRSMHSTISDLSSKITYVMAENATLRQQLSGGVMYPPPPPGSGMYPHPPMPHMPYPWMPCAPYVVKPQGSQVPLVPIPRLKPQQPAVASKSKKNESKKTETKKTEVKTKKVASISLLGLFFCIMLFGGLVPLVDFKFGGLVDNLSGRSSYASDRWLYGQGGGRIWPVNDPMKESGRDGEVGLSNGRFGISDRNNCERGCKLGEEMNELKNSSCFGHRDNASEPLLASLYVPRNDKLVKIDGNLIIHSIMASEKAMASQDAQGKKEKSKTGLAIPRDSALAIPEVGRNRGQHPNVYRVSAEQRKAIGSGSAKTLKDHMKSSATDGKMQQWFREGIAGPMLSSGMCTEVFQFDVSPAPGAIVPATTVTNISNESRHNATTTNLSRNRRILHGLPDPLPGSKLNITEEHARNLPKDHLPGNKSSMVVSVLVDPKEAGDGDVDGMMAPKSLSRIFVVVLLDSVKYVTYSCGLPRASPLVAAYV >CAK8534100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694883286:694883558:-1 gene:gene-LATHSAT_LOCUS3670 transcript:rna-LATHSAT_LOCUS3670 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISFNVRGCCSSIKRRRLTQIIQRGNADIFLIQETKVIKMEDGIVFSMWRNFDLDWSAQNSVGNSGGILTMWNTVRISACFSLMGKDS >CAK8539974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533082554:533086712:-1 gene:gene-LATHSAT_LOCUS9020 transcript:rna-LATHSAT_LOCUS9020 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLQPISQPVMTGDVRVFENSQMIGVNSVNTDVATTETVVKRKRGRPPRGTLPQPHPPTKKVKDEEDVCFICFDGGSLVLCDHRGCPKAYHPACVKRGEEFFRATDRWSCGWHQCNDCGKSCHYMCYTCTYSLCKGCTKKEVDFVSVRGNKGLCGTCMRTIVLIENSARGIKCEVDFDDKSSWEYLFKVYWMYLKGKLSLNFDEVLRAKSPWNGAVQVSCKVQAPQKVLGIRKVDNGYGSESSCIINSNSPINKKAKGNIGDSASYSAGRNVQDLSTIGEFGVSKYILSFLQSTGMEQAVWHYQDPTGKVHGPFSMSLMRKWKGTGYLPPNLRIWSEKQEESILLTDALSKCSQNVTFPLNDEQQSLGASVTVVNKENSQDGQQSLGASVTMVNKENSQDGQQSLGASVTMVNKENSQDGQQSLGASVTVVNKEKSQDGLNIATRSEVCANNQIVMPIADEKVADICTQSNDKESVKSNGGHTPSPGFTIQGDGDDSAKSNGGQTLSPGLTIQGDGNITNICTQSNGKDESVKSNSNSGYTPSPGLTTQGDGNISDGQSGHFERREESPKCEVSCADVQPALPSTAFDENLNEKPPLDKVVVGGNEIQEKLEENVNLGSNGFSEGPGNSGQSDQKQSDNEVNSGQYVNLGSNGYSEGPGNSGQSDQKQSDNEVNSGQSSSQNWRASDVINSDIVHPPMDAPSWLAAIFGETDSVSDLLEQLEANEKGGELESPAGIMEWDDDLTEGAITDCFGFANALSPMLDGGKLDTLPSSGDLHLPSIDLHLSSQPQSQSQSVASKEPFQQADVHNHQVIRGEQSSKPSETQTEPTFPGISWNPAGQFSWKSTR >CAK8541199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:87794550:87795708:1 gene:gene-LATHSAT_LOCUS10135 transcript:rna-LATHSAT_LOCUS10135 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKRGPWTIEEDHKLVSFILNNGIHCWRVVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTEMEEDQIIQLHARLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLKFLGLDGVNLKPIEQKQQTNNDDNDDDHKDKTNQQLNISERIEENMENVKSLDGNGTKEMKKTELKREENKVIWDDSDELLNKFEMLCSNLDLGTWISQDTNISTTTNSVSSSSVSLDDTSHISFDESSYFQNSLHQWVDDMDSILSWDGFNPLDQDISFFDK >CAK8540353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554139514:554141731:1 gene:gene-LATHSAT_LOCUS9364 transcript:rna-LATHSAT_LOCUS9364-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDITSHTVCVMDASGQLGFSLVQRLLQRGYTVHASIQKYEEDLFNGVSADSDKLKIFRSDPFDYHSIVDALRGCSGLFYSFEPPLDQPNYDEYMADVEVRAAHNVLEACAQTETIDKVVFTSSATAVVWREDRKTIEPDLDEIHWSDVNFCRKFKLWHGMSKTLSEKTAFALAMDRGVNMVSINAGLLMTQDLSIKHPYLRGAAEMYEDGVFVTVDLGFLVDTHICVYEDVSSYGRYLCFNHIINTQDDAVQLAHKLTPTASSSLPQSGDYGKSFIEQKISNKKLNKLMVDFEA >CAK8540354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554139514:554141731:1 gene:gene-LATHSAT_LOCUS9364 transcript:rna-LATHSAT_LOCUS9364 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDITSHTVCVMDASGQLGFSLVQRLLQRGYTVHASIQKYAEEDLFNGVSADSDKLKIFRSDPFDYHSIVDALRGCSGLFYSFEPPLDQPNYDEYMADVEVRAAHNVLEACAQTETIDKVVFTSSATAVVWREDRKTIEPDLDEIHWSDVNFCRKFKLWHGMSKTLSEKTAFALAMDRGVNMVSINAGLLMTQDLSIKHPYLRGAAEMYEDGVFVTVDLGFLVDTHICVYEDVSSYGRYLCFNHIINTQDDAVQLAHKLTPTASSSLPQSGDYGKSFIEQKISNKKLNKLMVDFEA >CAK8561425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203982506:203982844:1 gene:gene-LATHSAT_LOCUS15019 transcript:rna-LATHSAT_LOCUS15019 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQWNPYYNYLQTPSDSSQNFQMCPRPSLLIGDSSQNLQPFMFQSPPFSTGNNSQYTRAFMFQPPPTIDVVESPNVESESPIGSTTDSQVAGNSTQDGLENITFTGEGER >CAK8564683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7031277:7032722:-1 gene:gene-LATHSAT_LOCUS17961 transcript:rna-LATHSAT_LOCUS17961 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSTAFWKAEQRVMNLFNHCSTSNHLKQIHARIILTGFHHNLILTGKIIMFSALSNNGDMNYALSVFHTIHKPDAFLWNTIIRGFGNSTQPLKAIHFYKRMQLATEYVLPDNFTFSFLLKIIARLQLLILGKQLHCTVFKFGLQPHTYVRNSLMHMYGMLKDIQVAHHLFEEMRKPDLVAWNTIIHSHVYCGNYNEALDLFTRMLRHCGTQLQPDDATLVVILSACGAIGALDFGRKIHLFIRDSTINFGESISVFNALVDMYAKCGAVEEAYQTFSNMKRKNLVSWNIMILGLASHGNGEEALSLFTRMLQENVERPNDVTFLGVLCACSHGGLVDEGRRYFDIMNRDYNIQPTIKHYGCMVDLLGRAGLVVEAYELIKNMPVECNAIIWRTLLAACRNYGNVELGEKVRKHLLELEPNHSSDYVLLANMYASAGQWNEMSNERRSMQERRVRKPEPGNSFIGIPGMKLEKESVERLL >CAK8560317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14227098:14227298:-1 gene:gene-LATHSAT_LOCUS14001 transcript:rna-LATHSAT_LOCUS14001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPTVALRAILVGGIAAFAKVAGVMKAAGGVKVGAAAAAMTAAATAAMAGSKQEQKDASQQPTK >CAK8565696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:308523500:308529201:-1 gene:gene-LATHSAT_LOCUS18886 transcript:rna-LATHSAT_LOCUS18886 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKQKSNNNNNNNNPDTGFFGSISSTSIKKLLPLSSFRKPNSSNPKSNLENIPPVHSNIPITHHQTQPNPKIQFSHNNPHVKVVVRIRPDVKEGDCIANKVSSDALCVGDRLLKFDEVFDANSNQEDIFQSVGVPLVRNALAGYNTSVLSYGQSGSGKTYTLWGPPSSMAEDPSCRSPQGIVPRIFQMLFSELERERLVSDQKQFSYKCRCSFLEIYDDQIGNLLNPNQKNLEMKDDSKNGLYFENLIEEYVTCYDDVVQILIKGLSSRKIGATSINSNSSRSHIIFTFVIESLCKGIAKGFSSLKASRISLIDLAGLDRDRVDSGSSQYQWENVYVEKSLSQLGHFVDALTDKSQPGENEDIPRSNTCLTRLLQESLGGNAKLSVICSISLDSKSIDETLCTLRFGAQVRSLRNKPIINIIKEADDLSGKIRLLKEELIRAKADDVHSSAVSKNNGCFQGQNARESLNQLRVSLNRSLLLSNLDSNTDEAANINEDDIRQLHQQIDELDSSCEWNTKDLSIAEDGVQFYSFDEYYDADTAIGDKNSVGNNISAISFSKSPILEEPPLSESPKFSNTKRKSVAISSSYLGSRNTVEESSAFGDDVLGKSLKQGEHKKASSQSNKADFLAESLQRGLQIIDYHQQNSTLNKSSSSFSFDHLTLTPCPEIDKVQPYDQTIRQKVSNDEVTSTFLCASCSTDLTDKVPIPKNLENVIAKGNMREKELENVCKEQAARIEQLNQLVDKLKGEKNLNSTSMPGQCDEYNSMKDENKLLWGTSSNGHSLYSSIEEKCETKVQEELAQRDIFFDSTEKESLLQEIQSLRRKLQLCNNAPVKMSTDKLRSSLMSRSIQLQKSGVFSQDNRNEELENERQRWTEMESDWICLTDELRADLESYRQHAEKLESELNLEKKGREEMDDALKRAVNGHGRMVEHYTDLQEKYDDLASKHDAMKEGISELKKAVAKASKKGQARFAKSLSAELSALRMERERESKLLKKENQCLKIQLRDTAEAVQAAGELLVRLREAEQAAYVAEENFANVRRDNEELKREVEKLNRKHKTEINTIKQYITESKLPESALKPLYQENSDTIHNNTTSSYAYDDQAWKSEFGAIYREHY >CAK8543682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630945124:630946872:-1 gene:gene-LATHSAT_LOCUS12426 transcript:rna-LATHSAT_LOCUS12426 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPVAGILQDQMEKSTTKTQKSRICFLAILTAFFWLFLLYFHFIILPQQDQPQSTITITVNNQLTTPLNHQPPPPPPQFKSPPRKNFGFPDKSTLQSQTPPEKNFPFTKALATSSNKTDPCGGRYIYVHDLPSRFNQDMLRDCKTLSLWTNMCKFTTNAGLGPPLENIDGVFSDKGWYATNQFAVDVIFANRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISMRDAASLDLVDWLTKRPEWGIMNGRDHFLVAGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDEDVFLWQERMRKLERKWLFSFAGAPRPGNAKSIRGQIIDQCRSSKVGKLLECDFGESKCHSPSSIMQMFQGSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKDYTKYSVFIPEDDIRKRNVTIEQRLSQIPDEQVRIMREEVISLIPRLVYADPRSKLETLKDAFDVSVQAMIDKVTNLRKDIIEGRTDENFIEENSWKYALLDEGQREVGPHEWDPFFSKPKGGNGESTDSSAEAAKNSWKNEQRTQ >CAK8564441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674241198:674242474:1 gene:gene-LATHSAT_LOCUS17746 transcript:rna-LATHSAT_LOCUS17746 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFFNDFFKVEDIDPDGKKYDKVSRIVARSEKYGMYMLLDVNTEIYPMNRKEIFLLALSPSLVLNTKDGPVSIQEKFEYIMYGRLYDITADGLSRSPPEVEVYASFGGLQLMLRGDPSHCVKFAVDQKLFLLIRKLES >CAK8575193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:50319421:50319777:1 gene:gene-LATHSAT_LOCUS27477 transcript:rna-LATHSAT_LOCUS27477 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKLACVVLICMALLYAQNGGAISCGQVSKSLKPCMHYLKNGGAVSPSCCAGVKGLVNAARTIADRRTTCECLKSSAATFKEIIVGFAAVLPAKCGANIPYKISPSTNCSRYACFI >CAK8561851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:394169434:394174177:-1 gene:gene-LATHSAT_LOCUS15405 transcript:rna-LATHSAT_LOCUS15405 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGSGEIWKAHTAMAIVQLFTGGYHVITKLALNVGVNQIVFCVFRDLLALSILAPIAYFRERRTRPPLTKRFVTSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAIQPATPVFTFVLAIMMGTERVNLLRYEGLAKVGGIFVCVLGALVMVLYRGPALVGSSELDLISHSEISARGQPEPSGWLVSGLMDLGFDHFHLGVLCFIGNCMCMATFLSIQAPLLKKYPANLSVTAYSYFFGALLMVTTSYFVTDESTDWSLNQSETFAVLYAGIIASALNYGIITWSNKILGPAMVALYNPLQPGAAALLSRIFIGSPIYMGSVLGGSLIITGLYAVTWASYRERQAAAGVIPHHASNWVSESLKTPFHRVNIFSGSSSLLSPKPSD >CAK8564120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652860709:652861023:1 gene:gene-LATHSAT_LOCUS17455 transcript:rna-LATHSAT_LOCUS17455 gene_biotype:protein_coding transcript_biotype:protein_coding MDIINLGFADDLLLFAKGDLKYVEMWVVVYEEFSNSTRLKINPSKCKAFFGNMGDEDGQRIQDITKFYEGQMPFRYLGIPFTSNKLNINHCLPLGDRILTRINH >CAK8572806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564465670:564472192:-1 gene:gene-LATHSAT_LOCUS25328 transcript:rna-LATHSAT_LOCUS25328 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESKIIKPIAKGIVHRICSGQVILDLSSAVKELVENSLDAGATSIEISLKDFGEEWFQVIDNGRGISPNNFKVLALKHHTSKLSEFHDLQSLTTFGFRGEALSSLCALGNLTVETRTASEQVATLLTFNHSGALADEKKTARQVGTTVTVKKLFSNLPVRSKEFKRNIRKEYGKLVSLLNAYALIAKGVRFGCTNITGKNAKSAVLKTQGNDSLKDNIITVLGMNTFNCLEPMALCISESCKVDGFLSKPGLGNGRNLGDRQYFFVNGRPVDMPKVGKLVNELYRSANSKQYPIAILNFSVPTKVYDVNVTPDKRKIFFSEETSLLQALREGLQQIYSPDNASYAVNEFMQPGVRENCFESCSSQKKSPIVTKPSSLNGILPCEEHYTECKTDSKDASESDEEDLFSEERLISENIRGSTGQEFILKAHKSLKGDDTRGRQPASAHSAWRNQATRVSRTIDQYSSDSPRHVQSTLNNFVAVSKRKHDDIITALSEVPVLRNQAPHHQLKTANTETNDLITRSYHHLDQINETSKPSETENLQQLTPDSISHKSVNSPSFRDGSTDREPGMKLYQENTTQLADTATITPSSNNLISTTEHVLVSDSPIRSSPLRLDSPKSYGQKIFSNMQFSFQDLKSRREKSLSLMQPRNYRYGKYIGKSHYTAATLELSQPESEQQKESYLAAAATELERFFKKEDFSRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFECLSQSTILNQQPLLRPINLELSPEEEIVASIHMDIIRKNGFTLEEDLNAPPGCRYKLKSVPYSKNTVFGVEDVKDLISTLSDGDGHGECSIIGSYKQDSTDSICPPRVRAMLASRACRSSIMIGDALGRNEMQKIVEHLAELKSPWNCPHGRPTMRHLVDLTKVHKRSELTMQM >CAK8574716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7244830:7259974:1 gene:gene-LATHSAT_LOCUS27033 transcript:rna-LATHSAT_LOCUS27033 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTLCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYLKGSRRVVIGYDEGTIMVKLGREEPVASMDNSGKVIWAKHNEIQTVNIRSVGADVEIADGERLSLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEIVWSSDGEYAVRESTSKIKIFSKTFQEKKSIRPTFSAERIFGGTVLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDASFYILKYNRDVVSSYLDSGGSVDEQGVEDAFELLHEMSERVRTGVWVGDCFIYTNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVVGYTLLLSLIEYKTLVLRGDLERANEILPSIPKEHHNSVAHFLESRGMIEDAIEVATDPEYRFDLAIQLGRLEVAKAIAIEVRSESKWKQLGELAMSSGKLEMAEECLKHAMDLSGLLLLYSSLGDAEGISKLAILAKDQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLGKVNPKAAESLADPEEYPNLFEDWQVALAVESKAAETRGAYHPAEEYVNHADKAHVTLVDAFRNMEIEEGDQPLENGDSNHELPEQNGEEDYAEGHEEQNGEEGSQEDEVVVDADSTDGAVLVNGNEADEELSTNKGAPSA >CAK8571397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:397916641:397919614:1 gene:gene-LATHSAT_LOCUS24064 transcript:rna-LATHSAT_LOCUS24064 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPLGFQQPQQQAFSMDLQNDAVSKPIAVITCIALALLYVVTLYAPTFLLRLPPPSSYTNFMIRRFLCAGVSTTLSLFITPFVLPVQTRDLACILGVYGVRMDHVWQALVLPLALTSLMYAGSLFLKSLLLFDFWRQHTFFGGGLSFDSFKCAMARYIDWLSAISSNVLTWRSYVVAPLTEELVFRACMIPILLCGGFKPYSAMILCPVFFSLAHLNHFTEIYAKQNYRIMQAAMVIGLQLGYTVIFGSYASFLFIRTGHLAAPLVAHVFCNFMGLPVLYSPRSGIVSIASIMGLVSFLWLLFPMTGPDLYNDRIDNCSCWQGYCSWREQIRISYM >CAK8567674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535160004:535160231:1 gene:gene-LATHSAT_LOCUS20703 transcript:rna-LATHSAT_LOCUS20703 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMVTVSYWFSINGTPSKILRAKRGLRQGDPIPPLLFVIIMVYLHKTFQSLQYNPNFNFHPKCKLKIINLLFSR >CAK8570731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:100035722:100036737:1 gene:gene-LATHSAT_LOCUS23462 transcript:rna-LATHSAT_LOCUS23462 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFPENLLLGDVFWDEHLLSTTNFVQTQQPCPIQNPSAFVQYRDQPKISLGKQNSLKGSNSHSMNKRMFAFLRKSLPSERIKAAECERERGFKHMISERMRRQRQRQCCSNLHSVLPHGTKTDNNSVVQTAAKEIQRLQGCKEELERKNFVLEGNIEGRKLQHLRVTYSSTSGIDSIVETLKLLKGHGVDTRRVKTDFSQQEFFAVLEIETEIARADVEEAVKRTLDELEWKFHSHVQGGSEKQILHPF >CAK8560795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:43629859:43630377:1 gene:gene-LATHSAT_LOCUS14442 transcript:rna-LATHSAT_LOCUS14442 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYAEVFFPNLLLHTLTLLGHLRNLVIHLFHFLGLSDFLETDTVWPHTNAETPLTPGPTKPPSVSAVLIRELLPETKYGDLAGAEEGCCCAVCLLEFSSEEEIRCLRNCRHIFHRNCVDRWIDLDQKTCPLCRRAFVPDDMMEDYNQRLWDASGVSEFYSYADYTSSF >CAK8566391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418526990:418530537:1 gene:gene-LATHSAT_LOCUS19524 transcript:rna-LATHSAT_LOCUS19524 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGFASFSSKAKPYVLTVAIQFGFAGAYIISMASFNIGMSRFVFIVYRNIIAAIALAPFAWFFERKVRPKMTISVFLRIMALAFLEPVIDQGFTFLGMQYTSASFTSVLANTVPSITFFLAVIFRIEHVNIKQIRSIAKVIGTIVSFGGALLMTIYKGPQIHLFYSPNTAHHHAGSHDTQPLKHWVSGTLFVLLGCFAWSSFFILQSITLKKYPAEMSLSTLICLVGSLMSTAVALVAERHSGPGVWALGWDFRLYGPLFTGIVTSGITYYVQGLVFQSRGPVFFTAFNPLCMIITCALGAFLFGEQLHLGSVIGAVIIAVGLYSVIWGKGKDCSSATTTMPPSSLTMKQIETQQLPITSSDHV >CAK8569461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1900803:1904481:1 gene:gene-LATHSAT_LOCUS22310 transcript:rna-LATHSAT_LOCUS22310 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTATYLLFTLTLGLLIFFIVEKLVSIATTDNNTTIKLRLQEQPVGPVLQRLAVQSPQTKSRVGFIKPVQVTSTTTTTTSLETEDAIVNSDSGVDVEFPPTKSNVEETEHDIGVAKVESDSDVVEYLEETVVKSGDSTEEKRIEYVEETTGEKLVSDVVNEGEEKEDDEDWEWEGIERSEVEKTFMAATEFVAEKGYIGKCGDGLEMELYGLERVAIEGPCREPQPMPLKLSARAKWNAWQNLGNMSPEVAMEQYISLLSDKFPGWMKNASTGMSEGEPSGSEVSESAAPDLSSASSHQQTILAERKLVQESKSGAQEHIPYDAESDSENNVKK >CAK8571894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490791445:490792106:1 gene:gene-LATHSAT_LOCUS24517 transcript:rna-LATHSAT_LOCUS24517 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKGFVLCLAMVLVAANMMTQNAAQSGCTTTLTSLSPCLNYIMGSSSTPSTSCCSQLSTVVQSSPQCLCSLFNGGGSSFGITINQTLALSLPVACKVQTPPVSQCKGQTSPSSSTSPVASPVGSPTDSSTQSPEGSITPASDFPSGGGSKSVPSTEGGSSDGSTIKVSFNFVLSLVTIVFCAVTKF >CAK8531176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86868744:86869421:1 gene:gene-LATHSAT_LOCUS984 transcript:rna-LATHSAT_LOCUS984 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEETEELKLLAEWKRKKGGFRATMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLVGGFISDTYLNRFTTCLIFGSLEVLALAMVTFQAASGHLHPNACGKSSCVKGGIGVMFYASLSLYALGIGGVRGSMTAFGADQFEEKDPNEAKALASYFNWLLLSSTVGAISGVTGVVWVSTQKALALGVFHNNHSFFYWFCHICSW >CAK8538575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487610860:487615142:1 gene:gene-LATHSAT_LOCUS7759 transcript:rna-LATHSAT_LOCUS7759 gene_biotype:protein_coding transcript_biotype:protein_coding MGNANGREDGAISDGVDLTGREPHAPDSRPPVRAFSSDSMANSPPQSPRRSRSPILFGPQVPLAPLQRGNGPPFLNQIWQNEPHGIVHQPPEQGIPVMITWNYGGNSVAVEGSWDNWTSRKAMQRGGKDHSILIVLPSGIYHYRFIVDGEQRYIPDLPYVADEMGNVCNLLDVNDYVPENPEGVSEFEAPLSPESSYGQAYPAEEDFAKEPSAVPSQLHLTVLGMENADTGPSSKPQHVVLNHVFIEKNMASKSVVALGLTHRFQSKYVTVVLYKPLKR >CAK8538078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461682648:461684738:1 gene:gene-LATHSAT_LOCUS7316 transcript:rna-LATHSAT_LOCUS7316 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSFFFLLGPSFFIVFITASTEFDFGTLTLTSLKLLGDAHLNNATVTLTRDLTVPFSGAGQALYSRPVRFRQPGNNIPASFTTFFSFSVTNLNPSSIGGGLAFVITPDDDSLGDAGGYLGLAADGFVAVEFDTLMDVEFKDINGNHVGVDLNSVVSNKVGDLDSIGIDLKSGDTVNAWIDYDGSSNEFNVWVSYSNLKPKDPILSMNLDMGQYLSDFMYVGFSGSTQGSTEIHRVEWWSFSSSFVSSGDPSGLPPPPAVSLMNTTASSTRSPPPSMAPSMNHSNEERKESKKNCHNGLCKQGLGAVAGVVTAGAFVLALFAGSMIWFYSKKVKRVSRFDSLGSEIIKMPKQFSYKELKTATKCFNANRIIGHGAFGTVYKGILTENGDIIAVKRCSHSSQGKNEFLSELSIIGSLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQTEHDKSPDATVAAGTMGYLAPEYLLTGKATEKTDVFSYGAVVLEVASGRRPIEKDAAGVGKVGVSSNLVEWVWSLHREGRLLMAADPRLEGRFDEAEMRRVLLVGLACSHPDSLSRPTMRGVVQMLGGETEVPIVPRTKPSTGLNISHSRLLISLQDSVSDCDGIISISTSTSENSFNGGDIV >CAK8575837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:333918918:333919922:1 gene:gene-LATHSAT_LOCUS28068 transcript:rna-LATHSAT_LOCUS28068 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAHFVNRNWELEKKVVSFTHCPPPHSSFNLAEKLINLLKEWGIEKKIFTITLDNTSKNDVIVNILKKYLLSGLGLIAEGTYFHVRYGAHILNLIVHDGLKVIDGSLDKIRLCVKYVRGSEARKIKFAFCLEQLSNVTSKQVRQDLPTRWNSTYLMLETAMGQREAFTLLSDIDPYFDCCLSNEEWDEVKTIADFLKPFYDITVFFSGSTYPTANLYFSGVWRIHMKIKEVACVDHSEFEKRNQLYCMARRMKKKFNKYWNSYSVVLSFAVILDPRYKLQFVEWCYVRLLGGEGVQVAKLIFDKLKAFFQEYLKSLNEASTSSSQRSIRGFF >CAK8536223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919070221:919070925:1 gene:gene-LATHSAT_LOCUS5613 transcript:rna-LATHSAT_LOCUS5613 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVNGSPTKDFQVLGGLRQGDPLSPFLFSIVAEGFSTLVKRATGFGMLKRFEVNEATSYNLLQFADDTIILCNGERSNLWAIKTIMKGLEMTFGLRINTTRSFLFDIGVDDYSLKASEQFLACRSGEIPFKFLGLKVGDKHNRLSYWNLVIEVFKTRLTLRKGRLLSIGGRVSLINSVLTNLPIHYLSFYKASKKVLEVLISLQHNFLWKGIDESKRLTWVKWESICKTKEE >CAK8571040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273241295:273242755:-1 gene:gene-LATHSAT_LOCUS23737 transcript:rna-LATHSAT_LOCUS23737 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFCDETETETSDHKQKQVIDANTNMDHKCSSKKMEGDEGLNLDTVACLRGRLLAERHASKVAKEQAESMGKKLVELEKLVKEEIKLRDKSERKLKLLRKKLESSSSKSSKLSQLGHSDSSQKSENSCGSSSVNSNSKNSEANETRNCVKALTKNLVANHSVSGSENGSSCTKDCDSQITDNSSSSNYSEHGFSSLKNVSQKPNLSFEFENLKNDENNESKDLKNNEIRLSSLSAKSSVTENESDHADSFDNSLAIVPVTVNMTATSQARTNHKQVNGNVFEALDALRVAKEKLQSSLGTRQMIQVGLI >CAK8573204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593432976:593435289:-1 gene:gene-LATHSAT_LOCUS25679 transcript:rna-LATHSAT_LOCUS25679 gene_biotype:protein_coding transcript_biotype:protein_coding MATENTSNRAGLILSSTNSIRDFLSGASNDPTISSQLRQTSSELLIQSEIPYEPLRAVWIASDPSTRPELIRLLSGTGFVFSSPKPREKSEELKARLKKLEDLAERKAYKELVKDIAPKEDVQEPFSSYKDQLGFGLHVIVTMFTGYLVGFAAFRALFDHSPAMNAAGGILGLVCAMLVETFLFIIRSSNPDANKTRKPNPKSRSSFSTSSLKKNL >CAK8535388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845903853:845904065:-1 gene:gene-LATHSAT_LOCUS4853 transcript:rna-LATHSAT_LOCUS4853 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8562703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:529025643:529027028:1 gene:gene-LATHSAT_LOCUS16177 transcript:rna-LATHSAT_LOCUS16177 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSIQTTKPQKSTDNVKQNQQDPNKFSYNFVYKATIVLIFFVILPLFPSQAPDFINQNLLTRNWELLHLLFVGIAISYGLFSRRNQEHDKDNNNNNSKFDSAQTLVSRFLQVSSFFEDETDHQNQSVESDEITKLQTWSNQNQHYRNKSMVVVAPQVKDSVFEDEQSGGEEIEKPLLLPVRSLKSRLSDDAGVLQSQSVDGSSKTGSKRFSSNSFNRVRNYAEFEGVGEDKMKEKENVVLASPIPWRSRSASARMMEPKQEAIEKASKASMAKASVMKFTPSESIAKDAEDSIKRKSFNYKSCFPPPPPPPPPPMFQKPIFMKSRYGEKTGRNKSMGKSIEEGINEKEDEDVDEDKEQKEYSSSMQEATEKERFIEKRVIMETEDEETESEEDEDVGKQKQSEESCSKTEEPSCSYSVGDEGPDVDKKADEFIAKFREQIRLQRIESIKRSTRVVRNSSR >CAK8562805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538636021:538638588:1 gene:gene-LATHSAT_LOCUS16271 transcript:rna-LATHSAT_LOCUS16271 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYHSLHDAEIALGRNLTFAETLWFNYSATKSDYVLFCHNIIFLFLVFSLVPLPLVFLEIKRLYPFDSYKIQPKVRLSLHEMLNCYKDVMVMFFLVVGPLQLVSYPSIKMIGIRAGLPLPSGWEILSQLVVYFLVEDYTNYWIHRFLHNKWGYDKIHRVHHEYQAPIGFAAPYAHWAEILILGIPSFLGPALAPGHMITFWLWIALRQVEAIDTHSGYDFPWNPTKYIPFYGGSEHHDYHHYVGGQSHSNFASVFTYCDYIYGTDKGFRYQKKILRNLKEDLTKDAVKNTGSYDAQDYGKSE >CAK8577662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582117005:582119021:1 gene:gene-LATHSAT_LOCUS29749 transcript:rna-LATHSAT_LOCUS29749 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKQSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRLSTATKPSVTA >CAK8574807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9813167:9813697:-1 gene:gene-LATHSAT_LOCUS27113 transcript:rna-LATHSAT_LOCUS27113 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYFLTLFFVLLLSCNTFSSSSSLSEKLSHLRFYWHDIRSGKNPTSIGIVAPPMKLNSTTGFGFVDMTDNPLTLEPQLSSKLVGKSQGFYASASQVEMDVLMAMSFVFVEGKYNGSSITIFGRNPVMNKVREMPVVGGSGLFRFARGYAQATTYSFGPKSGVAIVEFNVYVFHY >CAK8542222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490563941:490566081:-1 gene:gene-LATHSAT_LOCUS11078 transcript:rna-LATHSAT_LOCUS11078 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYHTLEESKHALGRNLTSAETLWFNYSAKKSDFILHCHNTLFLCFFYSIAPIPFALIELSGYKKLNQYKIQPYVKRTFMEMFKCYKYVMKTFIIAVGPVQIVSYPTIKWLGIRTSLPLPSRWELFWQILVYFLIEDYANYWIHRMLHYKWGYENIHKVHHEYKTPIGFAAPYAHWAEIWMLGIPAFLGPVLVPGHIVTYWLWFILRQLEAIDTHSGYEFPWSFTKYIPFYGGPAYHDYHHFVGGTSQGNFASVFTYCDYIYGTQKGYQYKKKMSQNYKVD >CAK8579803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:723682352:723683813:1 gene:gene-LATHSAT_LOCUS31717 transcript:rna-LATHSAT_LOCUS31717 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASISKELNAKHTKILEGLLKLPENRECADCRNKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPDQVSFMQFMGNDKSNKHWETDLPPNFDTSRLEIDKFIRAKYVEKRWVSKNKLESTSKLAENIVNITELPYGIPKNNRRLSLEESIFVNHLAQVLPPVTRSREIRGSLDKQKKGSPPLKRPSSSVDFDKSPGKSVEFFNLLCIHDNKQTFSTIPPSSWATFDCMTITFHIISHNIYSY >CAK8530849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55534500:55539129:1 gene:gene-LATHSAT_LOCUS684 transcript:rna-LATHSAT_LOCUS684 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQNTEVDDNGRDNKTNQKVSFYKLFTFADTLDVSLMIIGNISAIANGMSQPIMTLILGRIINAFGNTDPHHTLKEVSKASLLFVYLSVGTGIVSFLQVSCWMVTGERQAARIRSLYLKTILKQDIAFFDTETDTGEVIGRMSGDTILIQDAMGEKVGKFTQLATSFFGGFVVAFIKGWRLALVLLACVPCVVVVGGVMSMLMAKMSTRGQAAYTEAGNVVDQTVGAIRTVASFTGEKKAIDKYNSRLKVAYTTTVQQGTATGFGMGLLSLIVFSTYGLAMWYGSKLVLEKGYTGGTVMSVIIALMTGGMSLGQTSPCIDAFTAGQAAAYKMFETIKRKPKIDAYDTSGVILEDIKGDIELKDVYFRYPARPDVQIFAGFSLFVPNGTTTALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNLQLKWIREQIGLVSQEPILFTTTIRENIAYGKEGATDEEITAAIALANAKKFIDKLPQGLDTMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALEKIMFKRTTVVVAHRLTTIRNADTIAVVHQGKIVEKGAHDELIKDVDGAYSQLIRLQEGEKESRNSEADKSSHMLNRDMSRSSTRKNSLVKSISQRSSGRISQIGVQIEEPDIKEGLVDDNNKKKQKNVSIRRLAYLNKPEIPVLLLGSIAAIVNGVVFPIFGLVFSSAISMFYEPPEQQKKDARLWSLLYVGLGVVTLVVLPLQNYFFGIAGGKLVERIRSLTFAKVVHQEISWFDDPANSSGAVGARLSMDASTVKSLVGDTLALIVQNVSTITAGLVVAFTANWILAFIVLSVSPVVLVQGIIQMKFLEGFSGDAKVMYEQASQVANDAVSSIRTVASFCAESKVMDMYRKKCSGPEKQGVRSGLVSGVGFGFSFVALYCMTAFCFYIGAVLVQHDKATFQEVFKVFFSLTITAVGISQSSTLAPDTNKAKDSAASIFGILDSKPTIDSSSNEGETSETVTGDIELQHVCFNYPTRPHIQIFKDLCLTIPAGKTVALVGESGSGKSTVISLLERFYNPDSGHVLLDGVDIKTFRLSWLRQQMGLVGQEPILFNESIRANIAYGKERDATEDEIIIAAKAANAHSFISSLPNGYNTSVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAESERIVQEALDKVSVNRTTVVVAHRLTTVRGADTIAVIKNGVVAEKGRHDVLMKITGGVYASLVALHSSAS >CAK8568239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584546972:584547445:-1 gene:gene-LATHSAT_LOCUS21217 transcript:rna-LATHSAT_LOCUS21217 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRGNGASTIIMLLLCMFVFYSKMIYAETHIVGDEHGWTFNIENWPAETTFNAGDILVFNYDPSKDSVVKVTENNYNTCYVREIEFYKSGADRITLVKGGNYFISGEPGHCDAGQKIAIIAN >CAK8573867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643083930:643086277:1 gene:gene-LATHSAT_LOCUS26267 transcript:rna-LATHSAT_LOCUS26267 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFHNRRHRLVRNDLDSSFHSSPSPDFHNHRFHDSDDDDSLRIRRHYNVRRIRHPERASDRFDARYRRSLFHDNFDSGESVRGTPSASGGNRLPVGVRLARERLLQRLRGEPVDRNRHYDRDPIDEDQESELSIELPTEDSLITDLTSQMARFQLLQETSSKPPGLTQEAVDCLHLEVFSSSNTESESRILQDCGICLESFADGDKLIHLQCGHKFHSACLDPWIRSCGDCPYCRRCIVVSNRSPKNEAV >CAK8535893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889079062:889081201:-1 gene:gene-LATHSAT_LOCUS5312 transcript:rna-LATHSAT_LOCUS5312 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVANRWTGVAAAIWIQSSCGASYTFSIYSPILKSTQGYDQSTLDTVSVFKDIGANFGVLAGLLYSAVTPYRDRSPPSKWSSLGGPWIVVAAGSVQCFVGFFFIWASVVGLIHQPPVPLMCFFAWLASNGQTFLNTTNVVTGLRNFPHYGGTIIGIMKGFVGLSGAILIQLYHAFFDGEPATFLLMIACLPAIICMLFMFLLRIYEVQDYDYKKHLDGFSVVTVIIVVYLMFIIVLQNFVSLPYWARAFTFVVLMVLLALPFGIAAKAHLEDSKILSQGRSIETAAPTIEYQELPRVEVQVPDTSDDMLPIEVEMNILQAICTIEFWMLFVTMIAGLGSGLTVINNMSQIGQSFGYSYIEISNMVSLWSMWNFLGRFGGGHVSDYIMHERGWPRPLLLSVTLGITILGHLIIASGFPGNFYLGPVLVGICYGTNWSLMPIITSEIFGVKHMGTIFNAIAAASPLGSYILSVRVVGYIYDKEANIENSCFGIHCFMLSFLIVAGVSFLAFLVSLALYFRTRRFYKLVVLRKLKHYAR >CAK8534247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709582096:709582410:-1 gene:gene-LATHSAT_LOCUS3807 transcript:rna-LATHSAT_LOCUS3807 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGPQITFDQRDMLVMPVTENEILQTLKDTGDLKALGVDGFGAKFFKASWTVVKQDVIDVILDFSIITTSTIFSTPPLCPSSPKGNEGKTIRDIRPISCCTTV >CAK8562424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:488035104:488038041:1 gene:gene-LATHSAT_LOCUS15920 transcript:rna-LATHSAT_LOCUS15920 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNEGKHQNQTIEYKDLAHKTLLNSDALYEYILETSVFPREHPCLKDIREMTEKHPKSLMAVPADEGQLISMLIKLMNAKKTMEIGVYTGYSLLSTALALPSDGKVLALDISREYYELGLPMIEKAGVLHKIDFREGYALHLLDELLQDENTKGTFDFIFVDADKNNYINYHKRAIDLVKVGGLIGYDNTLWSGSVAAPPDAPMIDIIRNFRDYVIELNKYLVQDSRIDICQLSVGDGITLCRRIS >CAK8540613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12490790:12493021:1 gene:gene-LATHSAT_LOCUS9599 transcript:rna-LATHSAT_LOCUS9599 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMIENEVSLKKSQRYMEEKEVKGARCVKRQRREHVIAPKTGDDNHKQKFHAGVTDNSTANTTKRSSKFRGVSRHRWTGRYEAHLWDKLSWNITQKKKGKQGAYDEEESAARAYDLAALKYWGTSTFTNFQVSDYEKEIEIMNTMTKEEYLATLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGIHAVTNFELSSYIKWLKPESTTEPNHESQTLEKESQTIASPNNSTLLQESKLLALQKSFFIPDYNLNSTEKQESSNENKNYSFLSNKSTSPTALSLLLRSSLFKELLEKNSNVSEDEVAKEQQTVSDDELGEIFYDGIGNISFDFDPNRCNIELQERDLHSIFFT >CAK8579026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667819923:667826120:1 gene:gene-LATHSAT_LOCUS31001 transcript:rna-LATHSAT_LOCUS31001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIALTASPTVLAFHRGAKQWSTLCPSSWRSRGINPSSFPNSTRKRLQLRFFASGKNGGNGGVVDEISETVKAHTNFVWPDNKKPRVCILGGGFGGLYTALRLESLQWPEDKKPHIALVDQSERFVFKPLLYELLSGEVDEWEIAPRFSDLLANTGVQFLKDRVKVLQPSDHLGVNGSNASTRGGTVHLESGLHIEYDWLVLALGAEAKLEVVPGAVEFAIPFSTLEDARKVNNRLTILERKTFGKDYQISVAIVGCGYSGVELAATVAERLQNKGIVRAINVETTICPTAPPGNREAALKVLSSRKVELLLGYFVNCIRRASELESTNTPTEVESFETVPGFEKYILELQPAERGVQRKIIEADLVLWTVGSKPPLPHLEYSDVPFVIPLNARGQAETDETLRVKGHPRIFALGDSSALRDSNGRILPATAQVAFQQADFTGWNLWAAINGRPLLPFRFQNLGEMITLGRSDAAITPSFIEGLTLEGPVGHTARKIAYLMRLPTDEHRLKVGISWLTKSAVESVSLLQSTLSKVLSDSSARSD >CAK8576773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512923434:512923853:-1 gene:gene-LATHSAT_LOCUS28941 transcript:rna-LATHSAT_LOCUS28941 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHNNLTTDETTQNSGTTFTRAPPHRRNKSSVWNHFTPDPDLIGIARCNYCDSKLKSNNGTNFLAGHSKICKSNPNSETNKRLKITPSSTTNVTSLSAIVFGKFDQEKCRQVVVDMIVEMELPYMHADHKAFRRCMSV >CAK8538922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499598661:499599410:1 gene:gene-LATHSAT_LOCUS8069 transcript:rna-LATHSAT_LOCUS8069 gene_biotype:protein_coding transcript_biotype:protein_coding MDISCQLKDQNISTLIQGMKDNSNVIKLTQLFISISVFSFIFSPSSLFVFLHFFKFYFSTLPFQLYTHNIDKNIMFLLCNGLLVFVGLTRSFSSSSCDTDDNKPSYNTEVLSSLQYIEEEDCSQSHILDVEVNEPKLEREAEKRTSEPDEQSAEEEEEKVEEMKEVEKEEGEVELFDVEDEEEDKGSEFDYVLNEEHNIEEEEEENYVEEGEEESCMLSTEELNKKFEDFIRKMKEDLRIDARRHLVMV >CAK8538923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499598883:499599410:1 gene:gene-LATHSAT_LOCUS8069 transcript:rna-LATHSAT_LOCUS8069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCNGLLVFVGLTRSFSSSSCDTDDNKPSYNTEVLSSLQYIEEEDCSQSHILDVEVNEPKLEREAEKRTSEPDEQSAEEEEEKVEEMKEVEKEEGEVELFDVEDEEEDKGSEFDYVLNEEHNIEEEEEENYVEEGEEESCMLSTEELNKKFEDFIRKMKEDLRIDARRHLVMV >CAK8573127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587604326:587609675:1 gene:gene-LATHSAT_LOCUS25611 transcript:rna-LATHSAT_LOCUS25611 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKVDVQTLDLTNRTIEDTLQSELERILQDQRNQQFINRDRGFDIYRSGSAPPTVEGSLSAFGSLRNFDYGANNGGRSNNNNGILTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFQVGGSSSTEGFGDWRKNATPNGDSSSLFSMQPEFSVKQTENDLMELRKASGRNLSRQSSTQMLDRHMDGMTRMPGTGIGVRRTCYSDILQQDGFDQPALSSNMSRPASHNAFGDIRDSTGIVDRESFEGLRSSASTPGLIGLQNHSVNSLSFSSAVGSSLSRSTTPESHVIGRPVRSGLPHVGSDVFSAEKNGIGLGNHNGHSNNINDLADMVSSLSALNLSGARRAEQDNLLKSKMQMEVDNHANVMLNTPNNANLPKHNELATELNTFSLNERVNLLKKTASFASLRSNVHSTGNITSMPNIDFAGQVPGAYHGNTKLHNVYNNHLDTALRGRRDGQNLDTLGNQVGSEFNSATVDPRIIQCLQQSSDYSMHGMSSSGDPLQMRNFSDASHGDLDGLRKAYLETLITQQKQLYELPLLSKSGLLNHGLFGTQPYGLGMPHSGKQMTNSSLPSLGSGNPLFENERISHINSMMRSSMGGSGSSWHADIGNNMEARFASSLLDEFKNNKAKPFELSDITDHAVQFSTDQYGSRFIQQKLETASVEEKNKIFPEIIPHARALMTDVFGNYVIQKFFEHGTDSQRKELANQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQSQMVSELSGAIMKCVRDQNGNHVIQKCIECVPQDRIQFIISSFYGQVVALSTHPYGCRVIQRVLEHCDDLNTQEIIMDEIMQSVCTLAQDQYGNYVIQHILEHGKPHERTIVISKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDQSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >CAK8573128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587604326:587609675:1 gene:gene-LATHSAT_LOCUS25611 transcript:rna-LATHSAT_LOCUS25611-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKVDVQTLDLTNRTIEDTLQSELERILQDQRNQQFINRDRGFDIYRSGSAPPTVEGSLSAFGSLRNFDYGANNGGRSNNNNGILTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFQVGGSSSTEGFGDWRKNATPNGDSSSLFSMQPEFSVKQTENDLMELRKASGRNLSRQSSTQMLDRHMDGMTRMPGTGIGVRRTCYSDILQDGFDQPALSSNMSRPASHNAFGDIRDSTGIVDRESFEGLRSSASTPGLIGLQNHSVNSLSFSSAVGSSLSRSTTPESHVIGRPVRSGLPHVGSDVFSAEKNGIGLGNHNGHSNNINDLADMVSSLSALNLSGARRAEQDNLLKSKMQMEVDNHANVMLNTPNNANLPKHNELATELNTFSLNERVNLLKKTASFASLRSNVHSTGNITSMPNIDFAGQVPGAYHGNTKLHNVYNNHLDTALRGRRDGQNLDTLGNQVGSEFNSATVDPRIIQCLQQSSDYSMHGMSSSGDPLQMRNFSDASHGDLDGLRKAYLETLITQQKQLYELPLLSKSGLLNHGLFGTQPYGLGMPHSGKQMTNSSLPSLGSGNPLFENERISHINSMMRSSMGGSGSSWHADIGNNMEARFASSLLDEFKNNKAKPFELSDITDHAVQFSTDQYGSRFIQQKLETASVEEKNKIFPEIIPHARALMTDVFGNYVIQKFFEHGTDSQRKELANQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQSQMVSELSGAIMKCVRDQNGNHVIQKCIECVPQDRIQFIISSFYGQVVALSTHPYGCRVIQRVLEHCDDLNTQEIIMDEIMQSVCTLAQDQYGNYVIQHILEHGKPHERTIVISKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDQSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >CAK8539825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527585170:527586803:-1 gene:gene-LATHSAT_LOCUS8885 transcript:rna-LATHSAT_LOCUS8885 gene_biotype:protein_coding transcript_biotype:protein_coding MISQGSNYVIWRFVLTIAVVIFFIPKLSSAKKSKLIGLNMNMIDRCWRLNPEWRRQRQQIATCSIGYVGKMTNNIGKDLIQYEVTDPNDDPINPKIGTLRYGASVIQGKVWITFQKDMNIKLIKPLLVSSFTTIDGRGVNVHVANNACLMIFKTTNIIIHSIRIHHCKAQTSGMVMGPNGKVIHLGPVDGDAIRLVTASKIWIDHNTLNDCEDGLLDVTRGSSNVTISNNWFREQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRQNGQTNGDKWEFHSVRDSFENGASFEVTNGGHVQRPKYSKEENFKVVDVKFVRSLTRSSGAL >CAK8540240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546609338:546617004:-1 gene:gene-LATHSAT_LOCUS9266 transcript:rna-LATHSAT_LOCUS9266 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFIHRVYVFDSCFSTEALSDGMYELYLHEIVSELHEEFPESSFLAFNFRDGEKRSNFAELMCEYDVIVMDYPKNHEGCPLLPLSLVQHFLRVCESWLSLGNHQNVILFHCERGGWPLLAFLLACLLIFRRVHSGERKTLEMVHREAPKGLLQLLSALNPLPSQLRYIQYVARRNIAPEWPPPERVLSLDCVILRGIPSFDSSNGCRPMFRIFGRNLLSKGGPSTQMIYNMHKKKHLRHYRQVDCDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFSTAFIRSNILMLSAENLDILWDSKEWYPKGFRAEVLFGEVENTSCQRASAETTILNGEERGGLPIEAFSRVQELFSGVDWGDNGDAAAVWLLRQLNVLNDAKEFSRLQGKGSWFSSPADSEEEFNESSVADSSDEAFDVTPKSSADSSKLWTSDIPDLDHLALENSGGNFVNPTSRMSDHLLTDNVSSPRHVSGSPLGWNTDNAAGPHPPPPPCMASNASSASTLSSLPPPGPEHTSSVPLPPPPPPPPPGFAQTGSSPTPPPPGLVQTGSSLPTPPPPPPGPVQTVSIPPPPPPPGPIRTSSIPPSQPPPGSVQTHSVPPPPPPPPPPPGHVQTGSSSPPPPLPGPARSAPPPPPPPPLPPAPGLTHIGLTPPPPPPPPPIPGRTSSAPPPPPPPPVPVRTGAVPPPPPPPPNSTRTGSAPPPPPPPPPGPPRAGSSPPPPPPPPPGPPRAGSAPPPPPPPPPGPPRAGSAPPPPPPPPGPPRAGSAPPPPPPPPGPPRVGSAPPPPPPPPRAGSAPPPPPPPPGPTQTVSAPPPPPPPGPARTGSAPPPPPPPPGPARTGSAPPPPPPPGPARTSSAPPPPPPPSVRMGSGPPAPTPPNAPPPPPPGRGSLLAPPLTAGKASSVPPPPGKASLATTNVGRGRGTGAIAVKKTLLKPLHWVKVSRAAQGSLWADSQKQGSDSRAPEIDISELETLFSAASISDGNSTKGGLRRGSNINKPEKVQLVDLRRAYNCEIMLSKVKIPLPDMLKAILALDSCVLDIDQVENLIKFCPTKEEMEVLKNYTGNKDMLGKCEQFFMELMKVPRVESKLRVFAFKITFSGQVSDLRKNLNIIKDATREVKESVKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELIDFDKDLVNLEAASKIQLKALAEEMQAVHKGLEKVEQELTASENDGSISSGFRKVLKSFLDFAEADVRSLISLYADVGRSADSLSQYFGEDPARCPFEQVTQILIVFVKTFNKSKEENERLADAEKKKLEKEAMKEKAANNMHSKKDAVR >CAK8532970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:557813432:557814463:-1 gene:gene-LATHSAT_LOCUS2624 transcript:rna-LATHSAT_LOCUS2624 gene_biotype:protein_coding transcript_biotype:protein_coding MENEQWCTICSKMVIPMNEYENKCPFCDTQFGNAIENLSEHHNNDAIDLRSAWVFSLYAPIFLGLMNAFSPPMATISSQESSTSRNDEDFEQERGNYNELVIGRRRRTSTYMMHLFRGLHVRMVSENENIEQNRNIVDSNSNNNNNNSSSNSNNNNNNNNSIVVIDPFNEGALIVRGPNLNHTSLNRSNENNINPNSIGSLNDFVDGSGFDLLLQHLAQMTPSGYASVNPPTKKEAIEAMENMINDEKLQCTICLEDVEIGSVAKEMPCKHKFHSECIVSWLKLHSSCPVCRFQMPCEDSNVLANMENGNREIQNNEVVRRGRNGRRNWFPVLQSFNNFLPFP >CAK8565538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263775274:263775585:-1 gene:gene-LATHSAT_LOCUS18741 transcript:rna-LATHSAT_LOCUS18741 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHLGKMFMDFMNDGMDEELVKLYFESHAQEECESSSRPRRQRRNIERNCVEGHERLFNDYFSKTPVYTDAQFSRRYRMHKHVFLRIVEALGQHDEYF >CAK8530805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51106674:51113964:-1 gene:gene-LATHSAT_LOCUS645 transcript:rna-LATHSAT_LOCUS645 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDKGGSSSMKTVGKHGVTRLQKIHKAKSNGKRIEVQWNARGQPIKHNSKSSTSYIGLTVHRLVPISLDNWSAKKNKEVVGVYKQNIWDEIEKAFVIGEEHRAFIYKEDGKLHKAFRTKMAMFYLRDSKGGFVKHRQAKFSYCIKQEDWDKFVAQRLTEKFQKVSSENRERALNPQHPYRKSRLGCARLEAEW >CAK8571099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:295927130:295927553:1 gene:gene-LATHSAT_LOCUS23792 transcript:rna-LATHSAT_LOCUS23792 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFKKQLGYFGTQLAKLTLEKSTPAYWWDSIRFECPELQRFTIRILSLTCSSSGCERNWSAFEMVHTKRRNRLKQKMMNDVVFVMVNSRLSKKKKGRRPFVIEFDDLGSNDD >CAK8561530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:275709138:275727374:-1 gene:gene-LATHSAT_LOCUS15112 transcript:rna-LATHSAT_LOCUS15112 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQKTGLGDSRDIEQAITSLKKGSYLLKYGRRGKPKFCPFKLSNDESLLIWYSGKEEKQIRLSTVSKIIPGQRTATFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEIWFAGLKALITRGNYRKWGYESRPESLYSDSPKSCTRRSIPSFAPFDPRDIDRVSFQSSSPNRWVKAFSEIISYTAVPSKSSSQAESITNSSMSSGSMDNSSNRNSASEAVRVSYSSAVSSSSQGSYQEDFDALGDVFIWGEGIYDGILGGGLHRVGNVSFSEMDAFLPKALESKVVLDVHSIACGYKHAVLVTKQGETFSWGEESGGRLGHGAEVDVLNPKLVDTLSGMNIELVACGEYHTCAVTYSGDLYTWGDGTHNSGLLGHGNEVSHWIPKKVGGALEGLRVSYVSCGPWHTAIVTSAGQLFTFGDGTFAALGHGDHSSSSIPREVETLKGLRTTRVSCGVWHTAAIVEVIDESVESSTQSSSGRLFTWGDGDKGQLGIVDKKPRLVPVCVIALSNENICRVACGHSLTVALTTSGHVYTMGSTAYGQLGCPAANGMVPARVEDKIADSFIEDIACGSYHVAVLTSKAEVFTWGKGLNGQLGHGDNSHRNKPTLVQFLKDKQVKSVFCGSNFTAVVCLHKWIPSVDHSACSGCRNPFNFRRKRHNCYNCGLVFCNSCTSKKSMKASLAPNSSKTYRVCDDCYFKLKKTAESVSLQTPSWRSISSQDSKAPKTQGTLLKLSSFGSIVHSESSHSKLPDSLDSHIFPALNGKLQLGGFVLSKSSNSLSGEARKYLSVSELATRISCQSTSPVSSKSSPRQSCEDIIHEDLKHRNGVLSHEVISLKAQAEELTRKSKSLEAELERTSKQLKEVTEVAADEAGKCKSAKEVIKSLTAQLKEMMQRLPEGHVADLSTDSYVETTNRIIDHQSIDESHMTNMITPKNESRSNAEKVVLPNGATTQSGKAEWVVQDEPGVYVSLSSLPGGGNELKRVRFSRRHFTEEEAEKWWAENGPKVLERHNVVAL >CAK8571699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462205483:462209690:-1 gene:gene-LATHSAT_LOCUS24337 transcript:rna-LATHSAT_LOCUS24337 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASMANTFTLPRFNFNPSHTLSSSSSLPKFFTLSNPIPTPSPITNASSSTTKRAPTLIRATSSSPSSSSSSFGSRLEETIKNTLSQNPVVVYSKSWCSYCSEVKSLFKKLGVQPLVLELDEMGPQGPQLQKLLERLTGQYTVPNVFIGGKHIGGCTDTLKLYRKGELETLLSEAIAKIKGS >CAK8530848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55354214:55357351:-1 gene:gene-LATHSAT_LOCUS683 transcript:rna-LATHSAT_LOCUS683 gene_biotype:protein_coding transcript_biotype:protein_coding MIATETIAPTTKLKIKFSTKRIEVVSEPKCQFGEKVSQLDANRPCNPIKKSSLLYSKKREPSGNIEGPKNKRQKIDRKGSQMCAAILKCLTSHHYSWVFKVPVDPVALNIPDYFTVISHPMDLGTIKSKLEKNIYHNKEEFAADVRLTFSNAMTYNPPKNDVHLMAKELNKLFDRKWKDMDKKWNCEDELGKSETGIIKETRKSCIGTQSRHKVSLTKRSRAFEHKEIHKISSLTPRDAKVEVPKLLQIPCKPLQKDLIKDREPACSATALRQDCKTKCTSPLQRKSDPGSDGAVSSLDSEHACPSSQHTTLATDASSGDVWSTTVLPVQLSPKKALRAAMLKSRFADTILKAQQKTLLEHCDKGDPLKMQLEKERLERLQREEQVRIEAQIKTAEAAARMRAEEETRQQIEKEREAARAAIEMMKRSVDIEHNLEVVKELESLSGCTLSYKALGGRNGYRTAMGRLDKLQFENPLERLGLFIKDDYVADEDEEVLNGGAGGWEEGEIF >CAK8530847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55354214:55357351:-1 gene:gene-LATHSAT_LOCUS683 transcript:rna-LATHSAT_LOCUS683-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATETIAPTTKLKIKFSTKRIEVVSEPKCQFGEKVSQLDANRPCNPIKKSSLLYSKKREPSGNIEGPKNKRQKIDRKGSQMCAAILKCLTSHHYSWVFKVPVDPVALNIPDYFTVISHPMDLGTIKSKLEKNIYHNKEEFAADVRLTFSNAMTYNPPKNDVHLMAKELNKLFDRKWKDMDKKWNCEDELGKSETGIIKETRKSCIGTQSRHKVSLTKRSRAFEHKEIHKISSLTPRDAKVEVPKLLQIPCKPLQKDLIKGFEDREPACSATALRQDCKTKCTSPLQRKSDPGSDGAVSSLDSEHACPSSQHTTLATDASSGDVWSTTVLPVQLSPKKALRAAMLKSRFADTILKAQQKTLLEHCDKGDPLKMQLEKERLERLQREEQVRIEAQIKTAEAAARMRAEEETRQQIEKEREAARAAIEMMKRSVDIEHNLEVVKELESLSGCTLSYKALGGRNGYRTAMGRLDKLQFENPLERLGLFIKDDYVADEDEEVLNGGAGGWEEGEIF >CAK8537651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:415776307:415811631:-1 gene:gene-LATHSAT_LOCUS6917 transcript:rna-LATHSAT_LOCUS6917 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAANILSIFFLFCVAVFLLFTFSSALLSWILSRILGASVEFCVGGCNSLRDVAVKFVKGATESVCIGEIKLSLLYSSVEPGVGFRSWNPKLQLSICNLEVVMRPTSTNKSSAKKKTQKSKTRSLGKGKWKTIVNIARYLSFSLTEFVLKTPKGTVGIGKMNVDISKGGGPESNLFVSLHISPIGIHISDPQVSCDQSSKFSVGGCSVSSQASAAPTEKSSAPFICEKFSVSCEFGHRREVGIVIKNVDVSIGEIAVNLNERLLIKKKRSSESSSGSDRSSVDSMSTKQSSTKQEKLARFSSLFPEKVGFNLPKLNVNFAHSEHGLSVENNITGIQFNSIKSRSNKDIGESTRFHFQLEFREIHLLREAGASVLEITKVKLVSFVCVPVQSISLARAETEIKLGRFQCNIIMSRLKPWLRLHSSKKKKVVIREEASVVKPKSNESKPITWICKFSTPEITIVLYNMAGFPVYHGCLQSPHLFANNISNMGTSVHFELGEFNLQLADENKEWLKESIFGVESDSGSIMQITKVSLDWGKKDMKSSEEHGPMSMLGLSVEVTNMGIYLTIKRLETLISTAISFQALMKSLSSKKKSTQSRGRSSKSSGKGTQILKCNLVQCSVYVLGETGLENTDVLDPKRVNYGSQGGRVIIDVSEDGTPRSAKIVSTVSDNYQKLKYCISLEVVQTKLCINKVKQSTQIELERARSIYQEYVEESRPMTKVALFDMQNTKFVKRLGGLKEKAACSLFSATSITIRWEPDVHLSLIELVLQLKLVVHNKKLEEYGNECMGDSSNVKDANCKNEATMESKNLEKKKGSIFAVDVEMLKISAELGDGVDAMVQVQSIFSENASIGVLFEELMINFNQARILKSSRMQISRIPSISASASDAKGPVTTTWDYVIQGLDVQICLPFRLELRAIDDALEDMLRALKLIVAAKTNLIFPVKKDNSKVKKPSSTKFGCIKFFLRKLTADIEEEPIQGWLDEHYQMLKKEAGELVVRLNFLDEFISKAKQDRKSSDDLNNSSEEGKIYFNDVEVDVNDSSIIESMREDIYKRSFRSYYEACQKLVLSEGSGACRDGFQAGFKPSASRSSLFTITVLDLDVSLTKIDGGDDGMIECVRKLDPVCLECDIPFSRLYGANILLNAGSLAAQIRDYTFPLFSGNSGKCKGSLVLAQQATSFQPQVLQDVYVGRWRKVWLLRSASGTTPPMKTYLDLPLHFQRGEVSFGVGYEPVFADLSYAFTVVLRRANLSIRNPGPLILPPKKEKSLPWWDDMRNYIHGKTSLLFSETRWYILASADPYEKLDKLQIVTSSLEIHQSDGRILVSSKDFKIFLSSLESLANKRGSKIPAVVNSPFLEAPVLTTEVTMDWGCDSGKPLNHYLFALPIEGKAREIIFDPFRSTALSIRWTLSFGSALPLSEKKHPSSIAINSTEANANVSHPPNICQNFSPASPTIQLGAHDLAWIVRFSNLNYLPPHKLRMFSRWPRFGVPRIVRSGNLALDKVMTEFMMRIDSTPICIKNMPLHDDDPAKGLTFMMKKFKLELYFSRGQEHYTFECKRELLDLVFQSIDLHRPKCFLNKEECGTVAKSVNVIPKSTQSTSEEKTPSKKGYLAQKNHDDGFLLSCDYFTIRKQSPKADPATLLAWQEAGRRNAEKTYVQSSCEKQSETDEHMQSDPSDDDEYNVVIEDDGYNVVIADSCQRVFVYGLKLLWNIENRNAICFWAGGLAKAVAPAKPSPSRQYAQRKLHENNNQQDGSETRQDDACETHQDDETEMQRDDGPETHKDEGVETHKDEGVETHQDEASKSLPTGNILDSPSSLAAKTTEFPSFPSSSFKMDSLPSAKYENTDDSMEGTRQFMVNVIEPQFNLHSEGANGRFLLAALSGRVLAQSFHSVVHVGHDMIERARGTTEENISEYQPEIAWKRMELSVMLEHVQAHVAPTDVDLGAGVQWLPKIIKGSPKVTRTGALLERVFMPCDMYFQYTRHKGGTPEVKVKPLKELKFNSHNITAAMTSRQFQVMLDVLNNLLFARLPKPPKSSLTLSNEDDENVEEEADEVVPDGIEEVELEKINLEKKEREQKLLLEDMKKLSFLCDPSKDPHPEKERDLWMIDGGIAMLVQGLKRELVSAQKSRKEAYASLRMAMQKAAQARLMEKEKNKSPSHAMRVFLQINKVVWSMLLDGKSFAEAEINDMIYEFDRDYKDVGVSQFTTKYLVFKNCLPNAKSDTILSAWNPPAEWGKKVMLQVDARQGAPKDGSSPFELFQVEIYPLKIHLQETMYRMMWGYFFPDEDRVSQRRQEVWKVSTTAGARRVKKGSSASEASTSSNQSTKESEASSKSSISSFLFPTTNQASAQAGSAQASKAQNAKVVPGSTAELKRSSSFDKSWEETVAESVADELVLQSLASNKISPFDSTEQQDEASKSKSKDPKGVKAGQSSIEEKKVAKPQKIMEFHNIKISQVELCVTYEGQRFVVNDLKLLMDQFHRAEFSGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKGQNQPSGPTVPEIDLNVSDTEGHAGKPDKLPPSWPKRPTDGAGDGFVTSVKGLFNTQRRKAKAFVLKTMKNEAENEAQGDWSENDVEISPFARQLTIIKAKKLIKRHSKKINPKAEKGSSSTTAVQKEELQPSFPKEEAIYDSDSSSGSSSYEAFLE >CAK8575376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103276821:103277549:1 gene:gene-LATHSAT_LOCUS27645 transcript:rna-LATHSAT_LOCUS27645 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNSKVCWICDKWFSSGKAFGGHMRSHYVKLPIPPKLETNNQVQDDSANSTQHPIQSASSLNFGSMKRNSSDISASSNRENKSKSYPQNPTPKRSKCLREKNAAADTKSQSEQTSPISETKIPRGAWIVWDFYKLAQQEEEAKAQKIKEINEMKAKDSGSGRHDLLAQADSQTRFKCERCGKVLRSFQALGGHKAHCRIEKDGDFIDQKPFQCPYCNRMFKNAQALGGHRRVHFSVANEF >CAK8543909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648939654:648940488:1 gene:gene-LATHSAT_LOCUS12632 transcript:rna-LATHSAT_LOCUS12632 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVITKGSIIEVNVRELGLVTHAGKVVWGKYAQVTNNPENDGCINVVLLVSTRKFQATKFDNDL >CAK8563096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573433126:573438368:1 gene:gene-LATHSAT_LOCUS16540 transcript:rna-LATHSAT_LOCUS16540 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLSHSLHLPNPSPFIPTLLDPNTTFRQLCFPSTVTKTHRKLQFKAHAKPRELILGNPSVTVETGKYSYIVEALINRLSSLPPRGSMARCLESFTNKLTLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHICTIIITLLGRESLLDKCREVFDEMPNQGVPRSVFAYTAVINAYGRNGQFQTALELLDKMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACAHRGLGDEAEMVFRTMNDGGVVPDINTYSYLVHTFGKLNKLEKVSELLRVMESGGSLPDVSSYNVLLEAYAELGSIKESIGVFRQMQEAGCVPNAATYSILLNLYGKHGRYDDVRDLFLEMKVSNTDPDAGTYNVVIQVFGEGGYFKEVVTLFHDMVDENIEPNMETYEGLIFACGKGGLYEDAKKILLHMNEKGVVPSSKAYTGVIEAYGQAALYEEALVAFNTMNEIGSNPTIETYNSLVRSFARGGLYKEVEAILFRMGESGLSRDVHSFNGVIEALRQAGQYKEAVKAHIEMENANCDPNELTLEALLWIYCSAGLVDESQEQFQEIKASGILPSVMCYCMMLALYAKNDRSDDANNLINEMVTTSVSDIHQVIGQMINGDFDDESNWQIVEYIFDKLNFKGCGIGIVFYNALLEALWWMHQRERAARVLNEASKRGLFPELFRKNKLVWSVDVHRMSEGGALTALSIWLNDMQETFMTGKDLPELAAVVVARGKMEESTDAQDFPIAKAAFSFLQDNVSSSFTYPAWNKGRIVCQQSQLKRILSGTGSSSSRKEVDKLVSLSNSSLTTAGAITSKSDVQSGRANDVDSRTNSARTKLLISAV >CAK8532600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:315362796:315363158:1 gene:gene-LATHSAT_LOCUS2288 transcript:rna-LATHSAT_LOCUS2288 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWRNIPLSKKEEESVVAIREEVTSEEIFQRTLARKLWTDNNFNTRAFISNMLNAGKLKNSVKTQELSNNLFLFWFASKRDLEFMMRSRPLSFDQNLLVLARILGEKQPSAFNMHFGVF >CAK8534419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724837926:724838135:1 gene:gene-LATHSAT_LOCUS3966 transcript:rna-LATHSAT_LOCUS3966-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGQSLDYVGLYLPKNVFSHGQLYVAISRVKSKAGLKVLIHDKDNNYLTQTTNVVFKEVFHNVI >CAK8534418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724837710:724838135:1 gene:gene-LATHSAT_LOCUS3966 transcript:rna-LATHSAT_LOCUS3966 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNLDQSEGLCNGTRLTVTKLGNHVIEAKIISGTNIGNIIYIPRMSLSPSQSPWPFKLIRRQFPIIVSFAMTINKSQGQSLDYVGLYLPKNVFSHGQLYVAISRVKSKAGLKVLIHDKDNNYLTQTTNVVFKEVFHNVI >CAK8539656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520145455:520152826:-1 gene:gene-LATHSAT_LOCUS8734 transcript:rna-LATHSAT_LOCUS8734 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKTYRPNWSLRTKKFGLPSVFLLSIFFFLAGFYGSRFFHHSQEDEYGLRARLQEKERRLSHVGESGDNFITSIPFQILSWKPRILYFPNFASAKECESIIDRARVLRTSKSKMATVEGISDLRRTSSGMVISASEDKTGVLDIIEEKIARATKIPKAHGEIFHILRYEVGQKYDPHYDATDPGEFDPAEYDYQESHRVASFVLYLTDVQEGGETNFPFENGSNMDGSYHYKDCIGLKIKPRKGDGLLFYSFFPNGTFDRTSLHGSCPVIKGEKWIATKGIRNQLHYENF >CAK8532841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:529322040:529324448:-1 gene:gene-LATHSAT_LOCUS2505 transcript:rna-LATHSAT_LOCUS2505 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNMDIPENNTFLLPRDILTAANHLIGMKFGMGTLDNMNHLKNKRICSVADLLQDQFGLALVRLENIIREKMCRAIRDKLIPTTENLVTSTPLTTTYESFFGLHPLSQVFDQTNPLSQIVHGRKWSSLGPGGLTARTASFRIRDIHPSNYGRICPIDTSEGINVGLIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPRRDEYYMVATGNFLALTRGIQEEQIVPAQYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANRALMSSNMQRQVVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8576677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505103488:505103763:-1 gene:gene-LATHSAT_LOCUS28850 transcript:rna-LATHSAT_LOCUS28850 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRITSIIRKASFPTPQGSTKGIEVPKGYLAVYVGDKMKRFVIPVSYLNQPLFQELLNKVEEEFRYDHPMGGLTIPCSEDEFLNLTYRLN >CAK8571266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:357775204:357788981:-1 gene:gene-LATHSAT_LOCUS23944 transcript:rna-LATHSAT_LOCUS23944 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPLLSVCNHTFSYNPINYHHVPPLKFQSLALGRYGRTEFSSGSCSNASRRKRVLVKGTVGLEEGVVESGKRVNESEGFDAIVIGSGIGGLVAGTQLAVKGARVLVLEKYVIPGGSSGFYQRDGYTFDVGSSVMFGFSDKGNLNLITQALEAVGCRMEVIPDPTTVHFHLPNHLSVRVHREYDKFIEELTSYFPHEKDGILNFYGECWKIFNALNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGAIARKYIKDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAKSLAKGLIDQGSQILYKANVTSIITEQSKAVGVRLSDGRVFFAKTIISNATRWDTFGKLIKEESLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPLDTDCHHFVLEDNWTKLEEPYGSIFLSIPTILDSSLAPKGRHILHIFTTSSMDDWKGLSRIEYEAKKQVVADEIISRLEKKLFPGLKSSIEFIEVGTPKTHRRYLARDEGTYGPMPRSIPKGLLGMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPVLDTMLLRLLGWFRTLA >CAK8539476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513675916:513676158:-1 gene:gene-LATHSAT_LOCUS8566 transcript:rna-LATHSAT_LOCUS8566 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISWNYKGLGVPVQFEPLKLIRLENPDLVFLMETRLRLEEGMLIKVRSGFDCCQVVDCVGDGRRRAEAYVIMEGGCET >CAK8562369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479206405:479209548:-1 gene:gene-LATHSAT_LOCUS15871 transcript:rna-LATHSAT_LOCUS15871 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAMTVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMQDKQTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERISNAGHFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPTPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGSYPFEDPNEPKDFRKTIQRVLSVQYSIPDTVQISPECRHLISRIFVFDPAERITMPEIWKHKWFLTNLPMDLMDEKIMGNQFEEPEQPMQSIDTIMQIISEATIPAAGTCSLDQFMADNIDMDDEFDELEYESELDIDSSGEIVYAIY >CAK8575023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22472830:22474305:1 gene:gene-LATHSAT_LOCUS27316 transcript:rna-LATHSAT_LOCUS27316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpB MTINPPPSDTEVSALENKNLGRITQIIGPVLDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVQRLLGNNRARAVAMSATDGLKRGMEVIDTGAALSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDMISILGLDEVSEEDRLTVARARKMERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEATAKATNLT >CAK8566027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368893902:368894156:1 gene:gene-LATHSAT_LOCUS19195 transcript:rna-LATHSAT_LOCUS19195 gene_biotype:protein_coding transcript_biotype:protein_coding MDIILKELKTHFPDKPISKEKIKDHMKHIKTKFNCCYDLFQNGLSGFGWDSTTNMWIAEDNVWNKLIEVLFTIIVLYTYPIIFS >CAK8569738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9487871:9488356:-1 gene:gene-LATHSAT_LOCUS22554 transcript:rna-LATHSAT_LOCUS22554 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKWRSVWNWNRNLVNVKLKRENGCRWRLLHEGPDTVEELLDRHLVKRVNNNNNDDDEDELLNRRRLTSTRREALSLYRDILRASRFFAWSDSKGVLWRDLIRDSARKEFELARFETDPEVVTRLLIGGHEAVNNAIEKLAEKQRQQIQKDRGSGGPDQT >CAK8531278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95664834:95670274:1 gene:gene-LATHSAT_LOCUS1081 transcript:rna-LATHSAT_LOCUS1081 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEIDPVFVSWEEQVICQERGNRVIHFYLKHVSGDSVLAVVGTERSVRHMMYVVPQQFLQAYGSINVTYKWRARREVVDWLNNLVLKNQSRRADALLDGSEIAPGLGSFDMSANNRKIPDKLISKKLKFESSDIEWSGTAWFCAKSLKHYSGFDRKGTTINVHSFVYIMAEDENSYLGYLEDMYEDKKKQKRVKVRWFHRGQEVKHVIPDLDLGEGEVFITPNVQVISAECINGPATVLTPKHYEKYKAELLPNTSLPEIHMCFRQLKNNKLKPFALTKLRGYGNQPILSGLNNPSPTLSKRKANYPKLEDGENFTQDDPLSYIKRNRSSVEYSVPENGSSGLQYSSPVNEMSKGEPKYPSLKLKLSKTMGVKVMEPKPELPFKVNDKIEFLCQDSGIRGCWFRCTILYASQKKLKVQYDDLMDADDEGLLEEWLPASRVANPDKLGMRRYGRLTVRPRPPEFVKGCTFEVGAAVDAWCGDGWWESVITAVDVSEAGTYQLYSPGEEKYMLANKDAIRIAQDWIDDKWVDIVGKPDICSIIASNSGSQTKLSSNSAVVEGSMTGSSATLESPKPVAKVEVTPKVEQESSGSELFHYGMKRLTLWKPPLHAIHEDEDIDPSGFDADTDEADAEILKSNDPDSGSSSDDDGGDGDGEGTDNDGDDDADNSGDDSEDNFSMDEAGSIHVT >CAK8534300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714121215:714122336:1 gene:gene-LATHSAT_LOCUS3855 transcript:rna-LATHSAT_LOCUS3855 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSQGLTDASKIAILNANYMAKRLESYYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVAGTLMIEPTESESKAELDRFCDALISIRKEIAEIEKGNADVHNNVLKGAPHPPSLLMADAWTKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLVCTLLPATQAVEEQAAATA >CAK8579173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681818466:681822020:1 gene:gene-LATHSAT_LOCUS31139 transcript:rna-LATHSAT_LOCUS31139 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGASFLFMGLVSMFSFVSSAMVASNEVWALKAFKEAVYEDPHKVLSNWNALDSDPCDWNGVSCTGIRDHVIKLNISGALLRGFLAPEIGKITYLQELILHGNNLIGIIPKELNALKSLKVLDLGKNQLSGPIPREIGNLTEIVKINLQSNGLTGRLPSELANLKYLQELRLDRNKLEGPVPATSTSNFSSNMHEMYASNANSTGLCRSSLKVADFSYNFLVGSIPKCLEYLPRTSFQGNCLRVKDVKQRTKVQCAGASPAQGHPVVKPKHQSKAEHIPKHQGGSKPAWLLAIEIATGTMVGSLLLIGIFTAVQRCNKKASIIIPWKKSASEKEQIAVYIDSEMLKDVRRYNRQELEVACEDFSNIIGSSPDSVVYKGTMKGGPEIAAISLCIKEENWTAHLELYFQREVADLARLNHENTGKLLGYCRENAPFTRMLVFDYASNGTLYEHLHCYEEGFQLSWTRRMKIIIGIARGLKYLHTEIDPPFTISELNSNAVYLTEDFSPKLVDFESWKTILERSEKNSGSVSSQGAVCILPNSLQARYLDTKGNIYAFAVLVLEIISGRSPYCQDRGYLVDWARDYLEMPEVMSYLVDSELKHFGYDDLKVICEVVTLCISPDPNVCPSMHELCSMLESRIDTSISVELKSLAWAELALST >CAK8567364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:508595515:508596240:1 gene:gene-LATHSAT_LOCUS20426 transcript:rna-LATHSAT_LOCUS20426 gene_biotype:protein_coding transcript_biotype:protein_coding MFITSNSSCVLFYSQSLDCTAISFFSSMERDEGKQSSQKNPYTELEEVSSDFLLAIGLQEQESERTTFTNLATIESESEEEDLSSDSSFINDDIIGDADFTLSQEFEADLRFLEDEESNIDDDEDYDEDEMDIEEDEVDPDELSYEELIELGEFIGEEARGLSRKEISTCLNPYTCKTSESKSGIDLCVICQIEYEEGEALVTLHCDHPYHKDCISKWLQIKKVCPICSNEASTPNKAKNA >CAK8540455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36198:36662:1 gene:gene-LATHSAT_LOCUS9458 transcript:rna-LATHSAT_LOCUS9458 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNLRRLTQSATRLSSLSFLTHSPICRPTSTKKVSDRIVRLSAIDFQGQKHNVLGLTGQTLLKALINTGLIDPDSHRLEEIDACSAHCEINIAQEWLDKLPPRSYDEEYVLKHNSRARVLNKHSRLGCQVLLNHDLQGMVVALPEPKPWDTS >CAK8562378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479986553:479990170:1 gene:gene-LATHSAT_LOCUS15879 transcript:rna-LATHSAT_LOCUS15879 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSKPLSSPDSETNFEIQLQQETTSTNANSEIQNPKKSPFFPFYSPSPAHHLFSGKFPKTPGTPRTPRRFFRPASPAKHIRSLLARRHGSVKPNGASASTGSGGEGEGEGVARLDKNFGFLKNFGSKYQLGEEVGRGHFGYTCSAVVKVNNNKGDVKGHRVAVKVIQKVKMTTAIAIEDVRREVKILRALNGHKNLIKFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYSEEDAKAVMTQILNIVAFCHLQGVVHRDLKPENFLFSTKDENSELKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRAYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSFDEPPWPSLSDDARDFVKRLLNKDPRKRMTAAQALGHSWIKSYKDVKVPLDILVFKLMKAYMRSSSLRKAALRALSKTLAADELNYLKEQFSLLEPSKNGTISLENIKAALMKNATDAMKESRITDLLASVTFNALQYKRIDFDEFCAAALSVHQLEALDRWDQHARCAYEIFEKNGNRAIMIEELASELGLGPTVPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSRSLVKPQ >CAK8569131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674556766:674559985:1 gene:gene-LATHSAT_LOCUS22015 transcript:rna-LATHSAT_LOCUS22015 gene_biotype:protein_coding transcript_biotype:protein_coding MREYSILVMLIVYSLLLFLGESRIDNNQVYIVYMGAADPTNGTIRRDHAHVLNTVLRKNDKAIIHNYKHGFSGFAARLSKNEANSIAQQPGVVSVFPDPILKLHTTRSWDFLESQTQIIINKRLSSSSPASSDIVIGLLDSGIWPEAESFSDKGMNPIPAKWNGTCMKSSDFNSSNCNRKIIGARYYPNPDGDDDGANTPRDTFGHGTHTASTAAGNVVSGASYYGLATGTSKGGSPESRLAIYKVCNVGCSGSGILAAFDDAISDGVDVLSLSLGRPPSSQPDLTTDVIAIGSFHATEHGILVVCSAGNSGPDQNTVVNDAPWILTVAATTIDRDFQSNVLLGNTQVIKGEAINFSPLSKSSDYPLITGESGKTTTADLDEARKCHSSSLDEAKVKGKIVVCDGINDDYSTYEKISIVQEVGALGLVHITDTEGAVANNYIDFPATVVRPINASALLQYINSTSNPVATILPTITVMEYKPAPMVAIFSSRGPSALSKNIIKPDIAAPGVTILAAWIGNDEKDTPKGKKPSPYNLESGTSMSCPHVSGLAGSIKSRNPTWSASAIKSAIMTSATQINNMKAPITTDLGSIATPYDYGAGEITSTEPFQPGLVYETNTMDYLNYLCYIGFNTTTIKVISRTVPDGFTCPKDSTSGHISNINYPSIAISNFSGKEIVNVSRTVTNVGEEDETVYSAIVEAPSGVKVQLVPEKLQFTKSSKQLSYQVIFSSSLSSLKNDLFGSITWSNGKHNVRSPFVLSM >CAK8571362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390789848:390801059:-1 gene:gene-LATHSAT_LOCUS24029 transcript:rna-LATHSAT_LOCUS24029 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMKENDGNGKDKELGGDNGFSKVKEPSVSSGGGTVSVNRKSEVKEDISYANILRSRNKFADSLALYERVLESDGGNVEALIGKGICLQMQNMGRPAFDSFSEAIKLDPQNACALTHCGILYKEEGRLMEAAESYQKALRVDPTYKAAAECLAIVLTDIGTNIKLAGNTQEGIQKYFEALKVDPHYAPAYYNLGVVYSEMMQYDMALTFYEKASSERPMYAEAYCNMGVIYKNRGDLEAAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINRGVAFYKKALFYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGDIALAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGNDDKLFEAHRDWGRRFMRLYQQLPSWNNSKDPERPLVIGYVSPDYFTHSVSYFIEAPLIYHNYAKYKVIVYSAVVKADSKTIRFREKVLKKGGIWKDIYGTDEKKVADIIKEDQVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPAIDYRISDSLADPPETKQKHVEELVRLPNCFLCYTPSPEAGPVCPTPALSNGFITFGSFNNLAKITPTVLKVWARILCAIPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSNVGLENLIAKNEEEYVKLAMKLASDIPALQNLRMSLRELMSKSPVCDGANFILGLESAYRSIWRRYCEGDVPSLKRLELLEPPVSADKNSEPTSAVNAIEGSTGSVKANGFNSAQPPKLNTNGCEENGRSLKNSK >CAK8532933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551886404:551887570:1 gene:gene-LATHSAT_LOCUS2592 transcript:rna-LATHSAT_LOCUS2592 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYPPPGFGSAYPPPPPQAQEGYPPPGYPGGYPPPPPPSHHRPPYDSYQGYFDNGYPPPPPPPPQYNYQHVDHCHHHGDPGCCSFFRGCLAALCCCCVLEECCCFF >CAK8568522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:613680565:613681140:1 gene:gene-LATHSAT_LOCUS21470 transcript:rna-LATHSAT_LOCUS21470 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSIFIVLALFLCSITIPVNSEYHSEGSLKSLHLKEKVTHLHFFLFDILSGKDPSAVQIAQPNITLGSSKTATPFGHVYAIDDPLRDGPNESSKLIGNAQGLYLSSSKSENLTLVLYVDFGFTTGKFKGSSLSVFSRNPVTETKPRELAVVGGRGKFRLARGYAKVKTHFLDFKNGDAILEYNVTVLHY >CAK8566641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444734066:444734981:-1 gene:gene-LATHSAT_LOCUS19758 transcript:rna-LATHSAT_LOCUS19758 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSITANEAETEIAMFPNWLDLPRDITANILRRVGTYEIVTSLCEVCSLWWNICKDPIMWRTIHMTSLWMPPYPNYNLDLVKICCIAIERSCGHLEDIDVNSFATDDLLECMANNANNLQYMRLVFCREISDKKFGDTVRRLPRLEKLDISYTNISIDSLEAIGRSCPLLKSLKFSKMVFKANECDDNVAFVIAETMSGLCHLDIEGYTFTNVGLLAIIDECPLLESLDIITCDIFDLSQSLKTRCFEEIKYLRLPFKYTYPNFYNELFRSRNL >CAK8569777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10706641:10710551:1 gene:gene-LATHSAT_LOCUS22589 transcript:rna-LATHSAT_LOCUS22589 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEEKVKTVGVGGGLVAEIKLLKEMQEHCGVRKSLNSELWHACAGPLVSLPQVGGLVYYFPQGHSEQVAASTRRTATSQIPNYPNLPSQLLCQVQNVTLHADKETDEIYAQMTLQPLNSEKEVFPVSEFGLNQSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTIQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLRVGVRRANRQQTTALPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSDFVIPLAKYRKAVYGTQLSVGMRFGMMFETEESGKRRYMGTIVGISDVDPLRWPGSKWRNVQAEWDEPGCGDKQNRVNVWEIETPESLFIFPSMTSSLKRPLQPGFSENEWGTLIRRPFIKAPENGTIDLSNSMSNLYQENMMKMMYKPQVINNNGTFLPVMQQEYAPIRVPLQDIKSSHAMENPKVHLSTTESIPNNTLNMHSLLRSDQPEKFHPLTKTEKMKIESESLPDQIFDFPSFEACNNIEKANAANPVNPQSLANQSTYHNQNPLLFAQTSPWPIQQQPQLDSSMLHPQLIDMVQPDSSVVNGILPQIDVDEWMMYSSCQPQAVNPSIPSMNQEVWDHYVKNFNMKDLSDESNNQSEICVNLDVSNSVNTTMVDPSSTSNTIFDDFGTMKDKDFQHPHDCMVGNLSSSQDGQSQITSASLAESHAFSLRDNSGGTSSSHVDFDESSFLQNNNSWKQVAAPIRTYTKVQKAGSVGRSIDVTTFKNYQELIRAIECMFGLDGLLNDTKGSGWKLVYVDYESDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSGALQGINV >CAK8568433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605974833:605978365:1 gene:gene-LATHSAT_LOCUS21390 transcript:rna-LATHSAT_LOCUS21390-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEDEEKRLKLKRNSSRFSNFQRDLMAGALMGGAVHTLVAPIERAKLLLQTQESNLAIVASGRRKFKGMFDCILRTVKEEGVVSLWRGNGSSVLRYYPSVALNFSLKDLYKSILRAGNSNADNFFSGASANFVAGSAAGCTSLILVYPLDIAHTRLAADVGRTEVRQFRGIYHFLATIFQKDGVRGIYRGLPASIHGMVVHRGLYFGGFDTIKEKLSEESKPELVLWKRWMVAQAVTTSAGLISYPLDTVRRRMMMQSGMERPVYTSTLDCWRKIYRTEGLVSFYRGTVSNVFRSTGAAAILVLYDEVKKFMNWGGL >CAK8568432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605974788:605978365:1 gene:gene-LATHSAT_LOCUS21390 transcript:rna-LATHSAT_LOCUS21390 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWKEGKQVAANRSMSCEDEEKRLKLKRNSSRFSNFQRDLMAGALMGGAVHTLVAPIERAKLLLQTQESNLAIVASGRRKFKGMFDCILRTVKEEGVVSLWRGNGSSVLRYYPSVALNFSLKDLYKSILRAGNSNADNFFSGASANFVAGSAAGCTSLILVYPLDIAHTRLAADVGRTEVRQFRGIYHFLATIFQKDGVRGIYRGLPASIHGMVVHRGLYFGGFDTIKEKLSEESKPELVLWKRWMVAQAVTTSAGLISYPLDTVRRRMMMQSGMERPVYTSTLDCWRKIYRTEGLVSFYRGTVSNVFRSTGAAAILVLYDEVKKFMNWGGL >CAK8561138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:102736078:102736281:1 gene:gene-LATHSAT_LOCUS14752 transcript:rna-LATHSAT_LOCUS14752 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKFLKATTVTVLILILSLTATAHSYPPSDRVALLAFRAAFHDPYLSIFNSWTDTDWESWVVGKR >CAK8574849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11615622:11618163:1 gene:gene-LATHSAT_LOCUS27149 transcript:rna-LATHSAT_LOCUS27149 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSWIPIMLLISCILPALVECKVRHYKFHVVAKNTTRLCSSKSIITVNGKFPGPTLYAREDDTVLVRVVNQVNHNITIHWHGIRQLTTGWADGPAYVTQCPIQSGHSYVYNFTITGQRGTLLWHAHVNWLRSTVHGAIVILPKKGVPYPFPKPDDELVLILGEWWKSDTEAIINEALKSGLAPNVSDAHTINGLSGKVNNCSTQDVYNLPVENGKTYLLRIINAALNEELFFKIAGHKLTVVEVDATYTKPFTIETIVIAPGQTTNVLLKANQKSGKYLVAASPFMDAPITVDNVTATATLHYSGTTLANTPTFLTTPPPKNATQIANNFLNSLKGLNSKKYPVKVPLKIDHSLFFTVGLGINPCKSCVAGNGSRVVAAINNVTFVMPTTALLQAHYFNVKNVFTTDFPANPPHIFNFTGAGPKNLNTDSGTKVYRLAFNDTVQLVMQDTGIIAPENHPVHLHGFNFFVIGRGVGNYNSQVDSKSFNLVDPVERNTIGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPKQSVIPPPKDLPKC >CAK8543611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626436318:626437579:1 gene:gene-LATHSAT_LOCUS12359 transcript:rna-LATHSAT_LOCUS12359 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINDNGDKVYTTKKESPVGLATQSAHPARFSPDDKFSRQRILLKKRFGLLPTQHAAPKY >CAK8538640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490505399:490506591:-1 gene:gene-LATHSAT_LOCUS7820 transcript:rna-LATHSAT_LOCUS7820 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLERCEDNNMMFSMDSQKTVPAPFLTKTYQLVDDPLTDHIVSWSEDQSTFVVWRPPEFARDLLPNFFKHNNFSSFVRQLNTYGFKKVVADRWEFANEYFKKGEKHLLCEIHRRKTSQNYQQQYYEQSPQILQQDETICWIDNTPLLQQSPKPNTDILTALSEDNQRLRRKNLMLLSELTHMKNLYNDIIYFIQNHVTPATSFEQRSNNNSAAILKLVELDSSVSQLPNDSNVVKDCNGSYVKLFGVPLCGKKRLHP >CAK8534753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763816685:763817947:-1 gene:gene-LATHSAT_LOCUS4269 transcript:rna-LATHSAT_LOCUS4269 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATTNEIEIKFKSNSDNRMHELKAFDETKAGVKGLVDEGVVKIPTLFHHPPDKLSNPTNSTNNEHIVPVIDFTNTRQDIISRIKEASETWGFFQVVNHGIPLNVVEDMKNGVAKFFEQDTEVKKAMYTRDWTRPFVYNSNFDLYSSQALNWRDTFKWNIAPNAPKPEDLPLVCRDIVLEYGAHVEKLGMTLFELLSEALGLDPKHLKEMDCSKWIMLLGHYYPACPQPELTMGTTKHSDGSFLTVLLQDHIGGLQILHEDKWIDVPPIPEALVVNIGDLLQLVTNDRFKSVEHRVLANSIGPRISVACFFRGQEKLYGPIKELLSKDNSPKYRETTTTNYLAYYKAKGLDGSSALQHFKI >CAK8539561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516266936:516270781:-1 gene:gene-LATHSAT_LOCUS8644 transcript:rna-LATHSAT_LOCUS8644 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLELEHSKENKFKEDSEFESESEELTLDGSVDFYNRPAIRAKSGRWSAATIILLNQCLATLAFFGIGVNLVVFLTRVVGQSNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVIGLMSLSLSSYLFLIKPRGCGNESIPCGKHSSLEMAMFNLSIYLIALGNGGYQPNIATFGADQFDEEHSREGHNKVAFFSYFYLALNFGQLFSNTILVYFEDEGMWALGFWLSAGSAFAALILFLVGTPRYRHFKPSGNPLARFCQVLVAASRKVKVQMPSNGDDLYNMDTKESSANMNRKILHTHGFKFLDRAAFISSRDLDNQKCASYSPWRLCPVSQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFKVPPASMSSFDIISVAVFIFFYRRILDPFVGKLKKSDSKGLTELQRMGVGLVIAVIAMLSAGIVECYRLKHANQTCTHCKESSTLSIFWQIPQYTFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSIVMKISTEDHMPGWIPGNLNKGHLDRFYFLLAALTSMDLIAYIACAKWYKSIQLGEKPKENDDPSSFTV >CAK8563924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639423627:639432162:-1 gene:gene-LATHSAT_LOCUS17282 transcript:rna-LATHSAT_LOCUS17282 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDKKISDIVDVVRSWIPRRIEPPNVSRDFWMPDQSCRVCYECDSHFTVFNRRHHCRICGRVFCAKCTANSVPASSHDPNTAREDWERLRVCNYCFKQWEKGVAAAVDNGTPSAVPCLSPSPSSTSLVSSKSSCTCHSSSSTAGSVPYSTGSYQCVPCSPHQSSQLNLIMDEQENLNSERSTNDSLAVENLNSNQYGYCFNRSDDEDDDYGVYHSDTESRPYSRINDYDDLVNIQGIDRVYGPHITHSDGDNIQERSSNCVEAPQNLEVEGADGIQALGKETDEHDHTDGCETSPYQEEANNMEPVDFENNGLLWLPPEAEDEEDDREAVLYDDDEDEGSTGEWGYLRSSSSFGSGEFSSRDKSGDNRKAMKNVVEGHFRALVAQLLQVESLTVDDDGKESWLDIITTLSWEAATLLKPDTSRGGGMDPGGYVKVKCIACGHRNESLVVKGIVCKKNVAHRRMTSKIDKPRFLILGGALEYQRVSNQLSSVDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCEKFHVDKFFEEHGSAGQIAKKLTKTLMFFEDCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETSFLADEGASPLELPLKSPITVALPDKPSSIVKSISTIPGFSAVTGREHQGTETNKVLKCNDGYKVHRTSSSCSESIQRSLVGESINSVASEKDGKERPKESIHYRQDEGTKTMIRADLISDFFGTFEPSGRDGNNHIKAVALASNQGAGPESPVVKHDDDKSYNLDNDMIHSQEDFPPSTSDHQSILVFLSTRCVWKGTVCERSHLVRIKYYGSSDKPLGRFLRDQLFDQNYTCRSCEMPPEAHVHCYTHRQGSLTISVKKLSEFSLPGEREGKIWMWHRCLKCPRVNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGKMVACFRYASIDLHSVYLPPSKLEFNCDGQDWLQKEVDEVHNKAEILFSEVCNALNQISEKFSGYVLQEGGNTVADFRHLIAELEGMLQKEKEELEDLLQKFLHNEAKTGGLVVDILELCKLRRHILILSYVWDQRLVYASNQSKITSSQDSRNFYQKEKSIGSKEKVADTDVATRPARGHSSSDFSLLKTKPNGNLDLENASHLRQSGEMIQGEDKSKDTAHVKFDLSLSPGTNINDKSNSSEFGGSVRRRSSSEGGSRNVADLSDTLDAAWTGETHPENILYKENGCQVSDVEAVMVHSPMATATAKSNLDNYSADIGGIETGYTHDSRLHSKGLDTRWTGMPFANSCSVNKTSAFNIHKLFDYNFVYILSFRDLDRQTGARLLLPAGINDTIVPVYDDEPTSVIAHVLVSKDYHIQMSESDRPKDSLESSVSLPLFDSTSLLSLNSFDETITNSYRSSDESTVLSTSGSRSSLVGGDSPLYSKDFHARVSFNDDSSLGKVKYTVTCYYAKRFEALRRTCCPTELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFVVKQVTKTELESFIKFAPAYFKYLSESINTGSPTCLAKILGIYQVTSKHLKGGKETKMDVLVMENLLYRRNIRRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIYVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGPKNTSPTVISPQQYKKRFRKAMSLYFLMVPDQWSPPRLQTSESQSNLCDENS >CAK8535744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878958946:878960160:1 gene:gene-LATHSAT_LOCUS5172 transcript:rna-LATHSAT_LOCUS5172 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRETGGKKKAWRKKRKYELGRQSANTKLSSNKTIRRIRVRGGNVKWRALRLDTGNFSWPSETVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGKKKKTAGKKESEEAEAVTEEVKKSSHVQRKLEQRQKDHQLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGRELEFYMKKLQKKKGKAAA >CAK8571301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:367651250:367651480:-1 gene:gene-LATHSAT_LOCUS23975 transcript:rna-LATHSAT_LOCUS23975 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSCSLTWTSPSLSHKLNWPLSNRLLRSTPTSSSNNVSCSMETSSSSDKIPDRYRAFVDPSDGCSYVYPSDWRI >CAK8544627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696436311:696438283:1 gene:gene-LATHSAT_LOCUS13285 transcript:rna-LATHSAT_LOCUS13285 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFKKKYSDMFMEHETDDKFAPKIGFNHQTEIPLNLSDSEEDVYDTPVSSAICFPVTASWSDADAESLVLALFLFDKNFTQINKFLENKGMEEIISFYYGRFYKTDGYRRWSECRNLKGRKCIISKKLSTKMRQNDLLSRLILHVSKESRHTLLQVSKSYVEGKTCLEEYISSISSIVGLGVFAQALGIGKENGVLTRSDLETTKNSCGEVSAPACKSLSSLGPDDIIQSLMGGIQLSKTRSNELFWEAIWPRLLARGWHSEQTKNQDYLFFLTPGVKKFSRRKHVKGKHYFDSVKDVLSKVVAEPNIIVLEEEVKEGGSNEDDFSDDDDHHKCYIKDHTSLVHGRKPSDLRELKYVGNRVHTVEADVDGKIYKGHKYTKRVHHSKDMYERKLLKVKENRYPPLELEDATMTIKHELNWRVGLGDSNEAAVPTKRRRLTGCAKAENSRFIENSSGGLRSDKVGFSQSSSFLDANRNVCDPVSHQQNGSSTILRFHRSSHNDSFQCMSVSCVFSIPQVPLKSQNSKTMGTTEEGEQGLRSATEEVIEEPLELRSATEEEIEEPLEQSRRRQSSRNSKLSVKANEFWHAQKRQKKNDIIFNPCRKARTRGKTRPR >CAK8574858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12024612:12025160:1 gene:gene-LATHSAT_LOCUS27158 transcript:rna-LATHSAT_LOCUS27158 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGKKNPGKKNQGLGQKPSPVKKRASAPKKFTKNVDNRTHTKAGGFLQGSASIEGGATRIKRNLKTQINSWTGKPSIREIGDLPGLRTSGPGQTSQHGSFTRSGSSYGTIQSSYQTADKSYYQKTKEKYESSLRLGSTSETYYQSSHPPNDNSTVGSSSELASSKKSSKQEKGKNKDQPK >CAK8562849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547361193:547363436:1 gene:gene-LATHSAT_LOCUS16313 transcript:rna-LATHSAT_LOCUS16313 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIYILFIALTFVLSFHVHFTQGSELSLTSSKVYIVHVNEPEGKIFTQSEQDLQSWHHSFMPPTVMSSNEQPRMIYSYRNVLSGFAARLTQEELRNVEQKNGFISAHPQRTLRRQTTHTPDFLGLQQNIGLWKDSNFGKGVIIGVLDSGITPGHPSFSDVGISPPPAKWKGRCELNGTACNNKLIGARSFNNAATAMKGEKAEAPIDEDGHGTHTASTAAGAFVDDAEVLGNAKGTAAGMAPHAHLSIYKVCFGEDCLESDILAALDAAVEDGVDVISISLVLDEPPPFFNDTIGIGAFAAIQKGIFVSCSAGNFGPSDGSLVNGAPWILTVGASTIDRSIVATALLGNGEEFEGESVFQPSDFSPTLLPLAFSGTNALCINGSLNDLDFRGKVVLCERGGGIGRIAKGQEVKRVGGAAMIIMNDEINGFSLSADAHVLPATHVSYAAGLKIKAYINSTSTPTATISFKGTVIGNSLSPAVASFSSRGPNLPSPGILKPDIIGPGVSILAAWPFPLDNSTDSKLNFNIMSGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTSADTLNLENKPIVDETLQPANFFATGSGHVNPSRADDPGLVYDIQPDDYIPYLCGLGYSDRQVGIIAHRTINCAERSSSTEGELNYPSFSVVLGSSQTLTRTVTNVGEADSSYVAVVTAPIGVDVKVQPEKLYFSEANQKETYSVTFNRLENGNKTGEFAQGSLKWVSAKHTVTSPIVAKFA >CAK8570700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89917342:89917742:-1 gene:gene-LATHSAT_LOCUS23433 transcript:rna-LATHSAT_LOCUS23433 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLWDYYSSNTTDQSKIHDNNFLDVLSPEFLSSLKTYGLPNHHIKLNIGTPIMLMMDIDQSEGLCNGTRLIVTKMGNHVIEAAIMAGKVNGKLIYIPRMDMSPS >CAK8534717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:758278489:758278787:-1 gene:gene-LATHSAT_LOCUS4237 transcript:rna-LATHSAT_LOCUS4237 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMNEMAEIVLSFENKSIPIQHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLENYILLD >CAK8572386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533723312:533723891:-1 gene:gene-LATHSAT_LOCUS24957 transcript:rna-LATHSAT_LOCUS24957 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIHLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPSVKGRPRFVQPGELEVNKKRLCSTTMCKSSIIPPSIKTTITLPPVQTKITPLPIETTITHLPIQTAKDVVVEDEAEDDVVGDEAEDVVVGDEAEDVVVGDVTEDVVKVAKSVYWDVNVISK >CAK8534387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722149260:722152955:-1 gene:gene-LATHSAT_LOCUS3937 transcript:rna-LATHSAT_LOCUS3937 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKATVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDASPMLRNGETGDWIGTFEGHKGAVWSCCLDANALRAATASADFSTKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGAEKILRIYDLNRPDAPPKEVDKSPGSVRSVAWLHSDQTILSSCTDMGGVRLWDVRTGNIVQTLDTKSPMTSAEVSQDGRYITTADGSTVKFWDANHYGLVKSYDMPCTVESASLEPKFGNKFVAGGEDLWVHVFDFHTGNEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTAGESEGLSPNGSLEKEKANAEEDVSRRIEGFHIADETKSKGKEEAGDEL >CAK8570815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:119197037:119198850:1 gene:gene-LATHSAT_LOCUS23534 transcript:rna-LATHSAT_LOCUS23534 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNCILLLLFTFIFLKLLHSLLTKQHNLPPGPRGLPIIGNLHQLGQKPHQTLTNLSKIHGPIMTLKLGQITTIVMSSSQTAKSVLQINDQHLSNRTVPDAMRGANHHIYCLPFIPLCQRWKDLRKTCNNLLFSNKNLDSNQTLRHKKLLELSNDIYESAAKLEAVNIGKLAFKTTINMLSNTIYSVDFLQSSDKVGELKELVTNIMKEVGRANVADCFPVLKIFDPVGIRSRTSEYFGKLLDNFRGLVNERLKMRELKGYCGKNDMLDTMLDDENNNGEMYEDKIERLSVDLFVAGTDTMTSTIEWAMAELLRNQNVMSKAKSELNQIIGKGNLVKESDIAKLPYLQAIVKETFRLHPAVPFLLPRKAEIDIEINGYKVPKGAQVLVNVWAIGRDPNLWDNPNEFLPERFLGSDIDFKGRNFELIPFGSGRRICPGLPLAIRLLFLMLGLFINCFDWKLDGGIKPEDMNMDDKFGLTLEKAQPLLAVPIKVSNT >CAK8532225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:224013400:224038375:-1 gene:gene-LATHSAT_LOCUS1956 transcript:rna-LATHSAT_LOCUS1956 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNEKEIQIRTLTGESITLHITPTATVQHLKLLLNHSFSPATNSPNFHLFFKGNKLQLHDEVGSYLTQGGEFLVLVPFAKKKTTSTEKPNSAFSSPNVACNASTSNLADTTWSNIMEDLSEIDPNNVSKFEKNKEKTVEAEKGIELPYHHILNTLDCTNECALGERNCEVFSKVLDSVNCLSDLPLGHCQLFKRACLKGSCDNDGGGVTCLCPQWLKIVVKSFAFMNIFSAFLHLQGRKVTTGLLEQALDQLAKFGVKLGLHDMKHLSLLCPHLVCFVDDIEKASFGDVIVVVTHSSNNEDQIEDNLKRARKSLYVSKIVNTLKRRDRSFRECLGWAFEQFQFEIGDEMNVRISFEELLAAVKDRDFTRKENKSKRVKRSSTSSRPDMDRIRCHDTRSLMAVDMVEHLKKGIGSEGQIVHIEDICARKAIYSEIPAELSEKMRSALDNIGVSKLYNHQAESIQASLAGKNVVVATMTSSGKSVCYNLPVLEELLKNSSSCALYIFPTKALAQDQLRSLLHMTKEFDVDLNIGIYDGDTSHSERTWLRDNSRLLITNPDMLHISILPHHRLFGRILSNLRFLVIDETHTYKGAFGCHTALILRRLRRLCSHVYGAVPSFIFSTATSANPHEHSMELANLSKVELFQKDGSPSARKLFILWNPALRPKAIFNKTRFSMDNDVFADESTNFVRSSPIVDVSRLLAEMVQHGLRCIAFCKSRKLCELVLSYAREILHETAPHLLDSICAYRGGYIAEERRKIESALFGGKIYGVAATNALELGIDVGEIDVTLHLGFPGSIASLWQQAGRGGRRDRPSLAIYVAFGGPLDQYFMKNPRKLFERPIECCHIDTQNKQVLEQHLVCAAHEHPLSVQYDEQYFGACLESVLNSLKDRGYLCPDLSDSSRIWNYIGPEKLPSQAVNIRAIETIRYSVIDQKKNEVLEEIEESKAFFQVYEGAVYLRQGKTYLVEKLDLSSKTAFCKEADLKYYTKTRDYTDIHVIGGNIAYPVINSIMFPKTNVRANVCQVTTTWFGFYRIRKGSNQIIDAVDLALPQYSYKSQAVWVPVPQSIKEAVVKQNYDFRGGLHAASHAVLHVVPLHIMCNLSDLAPECPNPHDSRYYPERILIYDQHPGGSGISVQAQPHFTKFLAAALEVLTGCRCSAEVGCPNCIQSFACHEYNEVLHKEAAIMIIKGILDAENS >CAK8561505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:257151399:257151976:1 gene:gene-LATHSAT_LOCUS15091 transcript:rna-LATHSAT_LOCUS15091 gene_biotype:protein_coding transcript_biotype:protein_coding MINAHANLHKRKSSLIADGNHAAAFKTLRKGTYMDSLERKDDTVVDDNNNNEEKDGGEVVDDEKKKPSTRCFSHGFHLMEGEMNHGMEDYVFAQHRKLNGYDLGLYAIFDGHAGRDVAKYLQNHLFEIILNEPDF >CAK8564058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648554863:648555470:1 gene:gene-LATHSAT_LOCUS17401 transcript:rna-LATHSAT_LOCUS17401 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIVENKKKLEALNLPKLSQSLHKTSLSSSKPSMSVKGCPRFVQPGELEVNKKRLRSTTTCKSSIIPPPIKTIITLPPIQTKITPLPIETTITPLPIITAKDVVVADEDEDIVVGDETEDDVVGDEAEDVVVGDAAEDVMAEDVTEDVVKVAKYMYWDVNVISK >CAK8540406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558342770:558343093:1 gene:gene-LATHSAT_LOCUS9411 transcript:rna-LATHSAT_LOCUS9411 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFRYYRSWMYDRTLPGILGLTPKFEEGVKGFITWAFAQEYCRREGGVRCPCHKCECRPIISDPEEVERHLKRKGFIKNYWVWTCNGEQLPSNIHAETTNTHTSSS >CAK8544274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675170446:675170751:1 gene:gene-LATHSAT_LOCUS12967 transcript:rna-LATHSAT_LOCUS12967 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTRLASEKGVVIFTKSSCCLCYAVNILFQELGIRPMVHEIDQDPEGREMEKALMRLGCTAPVPAVFIGGKLRGSTNEIMSLHLSGSLTQLLKPYQSCS >CAK8564959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19009437:19010006:1 gene:gene-LATHSAT_LOCUS18208 transcript:rna-LATHSAT_LOCUS18208 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNHAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYTGVTSPSIQNVLEKIKRVAEGWIATWHENDDFSIFRVSNGVETYGVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEEYVSSFYRKSNVLSTYSHIIMPTNGPQLWLVNVANLINPH >CAK8573457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613530603:613531262:-1 gene:gene-LATHSAT_LOCUS25903 transcript:rna-LATHSAT_LOCUS25903 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEIHDDKIKQKAMKAVSGLSGVESVSMDMKDKKLTLVGDIDPVKVVAKLRKFCHAEIISVGPAKEEKKEEPKKKEDDKKDSTKEIVIDPFIFYGTHAYYNHQMKPQYSPYYGVVSVEEDPNSCVII >CAK8576029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:387712106:387712663:1 gene:gene-LATHSAT_LOCUS28247 transcript:rna-LATHSAT_LOCUS28247 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFLVACKSISNLRKAAAQEVVDKVRQHNGVLVDQTQLVSKELIRVAILWHKLWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEDGAMKNNATIKERVFIEAY >CAK8541268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99821645:99823180:-1 gene:gene-LATHSAT_LOCUS10200 transcript:rna-LATHSAT_LOCUS10200 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIYNNTIQNNNNKQPQISEMFQKFALAFKTKTFEFFADENLEDSDGFSLLDSAEEIITDQKVVVIKPDPNPLSSPPKSPSPSPSPSPSPLTPSPSPSNAPIQIVLNETTSHDLISSIFAAVSAFEASYFQLQSAHVPFIEENVKTADKVLVSHLQRLSECKKFYCNPNLYTNFPFGSSLEAEVEENQSKLRTLGTVSNRLQLELEQKHDEVFSLRRKLSEIQKGNVSLSKKLCSSNVNSGLKLSLSLKPSCDVLLSVRVFDSLLHDASRAAHKFTKILIGLMRKAGWDLGLAAKAVHPGVVYSKKGHNQYALLSYVCLGMFQGFDSVCFGLSSERKEEEEESMSNGEFCDLDLKGRDRCLKQLLEHVSSNPMELLGIHPGCEFSRFCEMKYEKLIHPSMESSIFVDLDQNEAVLNSWRSLSMFYEAFVGMASSIWTLHKLSHAFDPAVEIFQVESGAEFSMVYMDDVTKRLTWPNKGRAKVGLTVFPGFRIGKVVIQSQVYVNSFSLTE >CAK8539536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515246434:515248140:1 gene:gene-LATHSAT_LOCUS8620 transcript:rna-LATHSAT_LOCUS8620 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSRGRTYPEHEDPFILASETTFSISEVEALFELFNSISTSIVDDELISKEEFQLAIFNNRAKENLFTSRIFDLFDVKGRGGIGFGDFVRALNVFHPKASREAKIDFSFRLYDLHNSGFIERHEVKEMINAILCESEIKLSDDMVETIVEKTFLDADPNHDGKIDKSEWENFVFKNMSLLKIMTLPYLTDITTSFPNFVFNSKLDDDIVP >CAK8541139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:72211214:72214143:1 gene:gene-LATHSAT_LOCUS10084 transcript:rna-LATHSAT_LOCUS10084 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQSNQVQAIIGTITHNEATFASEFSDTINTIPIMSLTSFSTRPELLSPRLPHFIQVGDDINLHMKCISAIVGEFGWKKVTVIYELNNDFSSDPGMLLSLSYSLKLVGSDIDNHLGFPSLSTLSDPKTRIENELNKLKKKRNRVYLIVHSSLELANMLCEKAKQIGLMEKGSVWIIPNEVAGLLDSVNSSVIFNMQGVVGFKTHFIEKSEAFRQFKFKFQRKIALEYPEEDNINPSIFALQAYDATKAIAKAANKSLQGKFETLSGKTFSKNGQLLQSQAFNIINVIGKSYREMAFWSSALGFSNNIVSTNKDDSNGVFKTVYWPGEMQSVPKGHNNEERSLKIAIPSNGAFTQFVNVEYDRGNNEPTVTGFSISIFEAAVKRLPYVLNYKFCPFNGTYDEMVDRVYNKTLDAAVGDTSIMAYRYHLVEFSQPYIESGLHMVVTEQPAKSKQTWMFLAAFTKEMWFMMTAMHVFVGCVIWLIEREVNEDLRGFGSMLWFLVTVLFYAHREPIRRPLARVVLTPWLFAIFIVTNSFIASLTSITISQVKPSVLDIQTLKERNSPIGCDGNSFIVKYLTEVLKFKHENIRKINSMSHYPAAFDNKEIEAAFFVAPHAKVFLAKYSCKGFIKAGNTYRLGGFGFVFPKGSSLAAEISEALLSMIESGETEQLEKEMLNEIENGSKTNCSDLESKGKHNSSIGLLPYLGLFSICSTIAILGLSYNMICWLVKNVETLTSHAVLTLTQVWRIWRWTANIFARFCSKLKSRIMRRVSSCTETRNAEENVANNSQQIPVVVELVDTVLAARAS >CAK8577205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544745586:544749115:1 gene:gene-LATHSAT_LOCUS29334 transcript:rna-LATHSAT_LOCUS29334 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSINSSISPFRNHYYDVFVSFRGEDTRNNFTDFLFGALQTQGILAFRDDTNLPKGESIAPELLHAIQHSHIFVVVFSTNYASSTWCLQELEKICECVQVSGKHVLPVFYDVDPSEVRHQKGFYAEAFSKHEHRFQHDSLMVSRWRQALTQVANLSGWDLRDKPQSAAMKEIAQKVINILDYKSSCVSKDLVGMDSPIQELEKLLLLDSVHDVRVVGICGMGGIGKTTLAAVLYDRISQQFGACCFIDDISKIYRLHDDSPLGAQKQILDQTLGQEHHQISNHYNATNLIRRRLCRQRALIILDNVDHIEQLEKLAVHREWLGAGSRIIIISRDEHILIQYRVDAVYKVPLLDSINSLQLLCRKAFKLDHILNSHEGLVNGILHYANGLPLAIKVLGSFLYGRDVSEWSSALARLRESPEKNVMDVLRISFDDLRETEKEIFLHIACFFNMHTEKYVKSVLNCCQFHADIGLRVLIDKSLVSTKHGRIVMHNLLQELGRNIVKENTSKEPRKWRRLWFEKQLNDVKLENMEKNVEAIVLDHDYEEYSEVDKDMDAVILMDAVIFEDFSNLRLLILDYVNVSRSLNCLSNELRYIEWSHYPFMYLPSSFQPNQLVELILKSSSIKQLWEGKKYLPKLRILNMSHSKNLIKMPDFGEFPNLERLNLKGCIKLVQLDSSLGLLRKLVDLDLEYCGSLVSIPNNIFGLSSLKYLNMHGCSGCCFKEFNNTRHLDISETASHSQSTSSIRKWAINTSLLHTPSINTPMFPSFLSKYCLRELDISCCGLSQVPEAIGFLRCLEMLNVGGNNFVTLPSLRELSKLVYLNLENCKCLESLPELPFPTTIKKDLRKNKYMWRTGLFILNCPKLGDRERWSIMTFSWMTQFIQVNKEFFDIGIVIPGSEIPAWFKDQSVGSSIRVSPFMQDKGNNVVGFLCCTVFSLVPYPPKVTRFPEWIESVHMKLHAPLRTTTYLPIIANEDVVTVKSNHIWLIYFPWKSSSRAVYDHFRVGIVRNRSLDVEVKKCGYRWVYEQDLQEFNSTTIHPEKMLALKRKFWEIEDEAQPQPQLHSFRS >CAK8571836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482251492:482252019:-1 gene:gene-LATHSAT_LOCUS24462 transcript:rna-LATHSAT_LOCUS24462 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRGLTPNFEKGVKGFITWTFAQECCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWIWTYNGEQLPSNVHAETTNTHASSSRSHMEFDEQFNLIDVMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLIEMNTPLFEGSADSKLSMCVRLLAAKSN >CAK8578775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653956781:653964558:1 gene:gene-LATHSAT_LOCUS30760 transcript:rna-LATHSAT_LOCUS30760 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRSRTQRKHFRDNRENVWKRSKPDPDSSLSSDKTQTTHWAPFATQNPSFDSYYKEQLIVDPQEWDKFITVLRTPLPASFRINASSQFADDIRSQLENDFVHSLRAEVAEGGETEAIRPLLWYPGNFAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLEIIHQSTKAGSLPAGMVIANDLDVKRCNLLIHQTKRMCTANLIVTNHEAQHFPGCRLKGNHKKYELDHNIHQLLFDRVLCDVPCSGDGTLRKAPDMWRKWNSGMGQALHSLQILIAMRGLSLLKIGGRMVYSTCSMNPIENEAVVAEVLRRCGESVKLLDVSSELPQLIRRPGLKSWKVYDKSSWLVSYSDVPKFRRSVIFPSMFPSGKGNQDLDNGNCNVDMEDDITSVENGKTEDGIEALENPVTSESADEVSVFPLEHCMRILPHDQNTGAFFIAVLQKVSPLPAVTEKPSKQIDEQNVELSNQSLENAQAPQINSSDSTIEEVVKAVPEENMIDNISNTEDLEVSPLTREEQNSEETEVPHNAQDMAKKAPGKRKLQLQGQWRGVDPVVFFKDETIINSIRDFYGIDERFPFNGHLITRNSDTSHVKRIYYVSKFVKDILELNFSAGQQLKITSVGMKMFERQTAREGTDAPCAFRISSEGLPLILPYITKQIIQASPVDFKHLLQDKDVKFTDFADAEFGKRAENLLPGCCVIVLGKENMVAATESLKVDESTIAIGCWRGRARLSVMVTAMDCQELLERLLIRLDTEKGSSGHVGKSSNDGHVGKSSNDVGGEACTEVEQ >CAK8576067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:398562667:398564055:-1 gene:gene-LATHSAT_LOCUS28284 transcript:rna-LATHSAT_LOCUS28284 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIVLYPALGSGHLMSMVELGKLIVTHHPSFSITILILTPPNNKHTPLSPQEQYIASVSAAFPSINFHYIPPISSPTTLLTHFITFEISPQSNHHVDNILQSISKTTNLKAVVLDFFTYSAYQITANLQIPTYFYYTSGAIALATFLYLPTLHENAKMPIQDLHMPLQIPGLPNNFTTDDYPDELDSESDEFKVLLESAKTMTKSDGIIVNTFEAIEGKAIESLNKGLFVPNGTTPTILSIGPLITSSYGGDENGCLRWLDLQPSQSVVLLSFGSMGRFSKAQLKEISLGLEKSEQRFLWIVRSELDSEDLSLDELLPEGFLERTKENGKVVRNWAPQGAILSHDAVGGFVTHCGWNSVLEAVCESVPMVAWPLYAEQSLNKVILVKEMKVALELNKSEDGFVSGTELGDRIKELMDSDKGDEIRQTIFKTRISSKEAKDQNGSSFVDLTKLIQLFKFKQ >CAK8539389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511154667:511155377:-1 gene:gene-LATHSAT_LOCUS8489 transcript:rna-LATHSAT_LOCUS8489 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMKRNLSIFLFIAITSIASTQAGKFNITNKCNYTVWAAAVPGGGVKLNTGESWNMNITNGTSNARIWGRTNCTFDNSGIGKCQTGDCNNTLECKTFGTPPNTILEFSLNMYNDLDFYDVSLVQGFNIPIKLTPSSSSCGTVNCTRNINDECPAQLKVPGGCNNPCTTFGTPEYCCDLNSAKSVATNASATCAPTNYSKFFKDRCPCAYSYPKDDATSTYTCMGGTTYDVVFCP >CAK8541307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:111464034:111464361:1 gene:gene-LATHSAT_LOCUS10237 transcript:rna-LATHSAT_LOCUS10237 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHTSAQPIGYPRGPYDTLLVKYEHHIAIHIWFGERGTKKELKATRHGLKLIKRVPLHLPSEMKGWVSRSDLSSL >CAK8530520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27401457:27402523:1 gene:gene-LATHSAT_LOCUS378 transcript:rna-LATHSAT_LOCUS378 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFKEKLVNAPKELNSPASKNSNTKKAKPSHEILRDFMSCNSSNAFFMTFGNDALLAYSPSNTPSIYQRLFSGLDNIYCAFMGNLHNLSQLNKQYGLSKGSNEAMFIIEAYRTLRDRGPYPADQVLKDFEGSFGFVIYDHKNGTVFAASGSDGQIGLYWGIAADGSVVISENLELVKSSCAKSFAPFPNGCLFHSEHGLLNFEHPTKKMKAMPRIDSEGVMCGANFNVDSQSRNQMMPRVGSEANWSTWGQT >CAK8540055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537343004:537357126:1 gene:gene-LATHSAT_LOCUS9094 transcript:rna-LATHSAT_LOCUS9094 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGADGNGFTSLHGDLDLTIIAARRLPNMDVVSERFRRCVTACDTIKYNSTSDAADEITHKEHRHRSKIITSDPYVTVMVPQATVARTRVLKNSPNPEWKERFNIPLAHSVVDLEFRVKDDDVFGSQTMGTVKIPAQRIATGEAISGWFPILGTNGKPPKPDTALHLEMKFTPVDQNPLYLRGIAADPEHKGVRHTYFPVRKGSLVRLYQDAHCPHGTVPEIKLDNGNIYKAENCWEDICYAITEAHHMVYLVGWSIYHKVKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKVFFKSTGVMMTHDEETKKFFKHSSVMCVLAPRYASSKMSFIKQQVVGTVFTHHQKCVIVDTQASGNNRRISTFIGGLDLCDGRYDTPEHRLFRDLDTVFAGDFHNPTFPSGTRAPRQPWHDLHCRIDGPAAYDILINFEQRWRKATKWKEFAFLFKKASQWNDDALIRVERISWILSPSHRTSKDEYTTVPGDDPLVCVSKEDNPENWHVQIFRSIDSGSLKGFPKRVDVALSQNLICAKNLVIDKSIQTGYIQAIRSAQHFIYIENQYFIGSSYAWPSYKDAGADNLIPMELALKIASKIRANERFAIYIVLPMWPEGDPKSGAVQEILFWQAQTMQMMYTVVAKELKSMQLNDVHPQDYLNFYCLGNREHFNEESSGTNGAPVSGAYKYRRNMIYVHAKGMIVDDEYVIVGSANINQRSMAGTKDTEIAMGSYQPHYTWSARKRHPHGQIYGYRMSLWAEHLGMLDETFKEPESLECVQKVNEIANNNWQIYSSDELSLIQGHLLKYPVKIDSDGLVSSLPDCDSFPDAGGKILGAHSATIPDILTT >CAK8538306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476063419:476068914:-1 gene:gene-LATHSAT_LOCUS7520 transcript:rna-LATHSAT_LOCUS7520 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNITLVGRGGTNPGQIKIYSGGIIWERKGKSIEVDKADLLSVTWTKVPKSNQLGVQNKDGSFHKFTGFRDQDVVSLTSFFQKTFGVTVKEKQLSISGRNWGEIDLNGNMLAFMVGSKQAFEVPLADVSQTNLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFHDKIVPVVDVVGENSVVTFESIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVISLDPPIRKGQTLYPHIVMQFETDDVVQSELALSEDLYNAKYKDKLELSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLITHEEIDHVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLYGFISSKGLKIMNLGDAQPAAGVSKAFESDDDDAVDPHLERIRNEAGENESDEEDEDFVAEKDDEGSPTDDSGADDSDASQSDDEKEKPPKKEPKKDLPSSSKASTSKRKSRDADEDGKKRKPKKKKDPNAPKRALSGFMFFSQVERENLKKTNPGISFTDVAKVLGEKWKKMSGEEKEPYEAKARVDKKRYQDEISGYKNAQAQPVNIDSGNESDST >CAK8531144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84005157:84005306:-1 gene:gene-LATHSAT_LOCUS955 transcript:rna-LATHSAT_LOCUS955 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKKGRPVSTRIITEMDNFDKLERKCSMCRQMGHNRTWCPNVGTSNR >CAK8565329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:130966218:130968354:1 gene:gene-LATHSAT_LOCUS18551 transcript:rna-LATHSAT_LOCUS18551 gene_biotype:protein_coding transcript_biotype:protein_coding MERWEKKPVKMSVPQFGGWENKPKGVPTDYSMVFNQARENKKNHKTDLTEIRRLSLGNDRNAGNNATNYRHRPGHGRGHNHDFGPQQTHHHHHVHPDPPVMERRNILSYMNCCMRRP >CAK8576012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384689620:384690492:-1 gene:gene-LATHSAT_LOCUS28231 transcript:rna-LATHSAT_LOCUS28231 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNATYALNQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSDVLQSEQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIVACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8541261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99004321:99006608:1 gene:gene-LATHSAT_LOCUS10193 transcript:rna-LATHSAT_LOCUS10193 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLQLHCTQRITILALRLSFLLIFYSSFPTCHSYPSRAHITIYASCSQEKYQPNTPFESNLNNFLSSVATSSSEVTYDSFAIENDTSAGGGGVYGLYQCRGDLHPLDCSKCVGRCVNQIGLVCPYSLGASLQFEGCYVRYEHSGDFLGKLDTGIRYKKCSKGVSSDVEFFRRRDDVLEDLQTKNGFRVSSSGLVQGFAQCLGDLSVSDCSSCLVNAVGKLKSLCGSAAAADVFLGQCYAQYWASGYYDERDSHNDDQVGKSVAIIVGVFGGLAVLVVLLSICKKAAGIIIYFTKIVILIRRVV >CAK8538304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476016734:476019808:-1 gene:gene-LATHSAT_LOCUS7518 transcript:rna-LATHSAT_LOCUS7518 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIVESLDNGAETNTRKQIFCNRPLNMKNVNAVGFDMDYTLAQYKAETFESLAYEHTVRKLVDNFKYPSEILDLSFNSNYMVRGLVLDKKRGNILKMDCHKYVKVAYHGFEELSKEEKVQAYGKFFVSNSFDEPEYAVVDTLFSLAEAYLFAQLVDFKDRNPEKIPQSVDYACIYKDVRNAVDMCHRDGTLKQKVAENPERYINDDKSIVPMLKMLKESGRVTFLVTNSLWDYTCVVMNFICGSNEMNDGSKFDWLQYFDVVITGSAKPNYFQEDNHANLFEVEPESGVLLNTNNGAPLPQVGNFQARVSTKDENGDHKVFQGGNVSHLYPLLDIGSSSQILYVGDHIYGDILSSKKTLGWRTMLVIPELETEVQLLQKLRDDRKELRSLRSRCADIEDKMHHLNQSLKLNSPDDDTKQKINSEINQLEVERMNVRLDHQEALGELHQQFHQPWGQLMRTGYQSSRFAQQVKRFACLYTSKVSNLGMFSPDKYYRPSEDFMQHEYAIFES >CAK8530493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24226916:24227362:1 gene:gene-LATHSAT_LOCUS351 transcript:rna-LATHSAT_LOCUS351 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKETEVYEEELIDYEEEDEKALDSAKPTTKTVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTVVFVLSTLQQIDPVPGQVVALVLCHTRELAYQMHQCDKDDLICSCDGDSGEI >CAK8565768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330211074:330211715:-1 gene:gene-LATHSAT_LOCUS18953 transcript:rna-LATHSAT_LOCUS18953 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAISDVPIVQPPVPIEGFKLETNGQEFVRILEQKLKGLNITVMSHEDYSEDNNENHIHQIADMFANIDISNLDINNANSRNPIYSPKPIEKYYYKRPSPQDLLFEETEPFQNSYSGKAIYEWNVDGLNEKKIIDTIHRMIMYSTVCKQHGNSDSSIASFITTGFVGQLRGWWDQYLTESQKLEILNHKKIVKIEPGTNTSTTLSTTTTGE >CAK8530716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44021312:44025797:-1 gene:gene-LATHSAT_LOCUS560 transcript:rna-LATHSAT_LOCUS560 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFHDSLSPPSRPVPVREDCWSEEASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKSRVSSSNGVASSSWPFFERLDALIGPNFNTKKSSSSPSPSPPVALALVPHRKFPSSSPAISVPPTAVALPQKRPLAAVMDDGYFRRNYSAVAAAAAAAEADEDEEEDEEEEVEEEEVEVEEEEARGSEVDEGDKGKEGMKRLAKAIERFGEMYERVEGQKLRQMVDLEKQRMQFAKDIEVQRMQMFMDTQVQLERIKRGKRSGSNDIYNS >CAK8571687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462009152:462009541:-1 gene:gene-LATHSAT_LOCUS24326 transcript:rna-LATHSAT_LOCUS24326 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIEEAIDEDHNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADKAPAEDFWDAMFQSLKEKRKEKN >CAK8571374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:393460033:393460617:-1 gene:gene-LATHSAT_LOCUS24041 transcript:rna-LATHSAT_LOCUS24041 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFYTFPPVSPACWSPDLGITLEEATKIFKAYRREVRVLMNECKEANFYPDKLIWWEFRLEEECVKEEEQSITSDEEEDALEESEVNKKYGEEESRQLEDDLVLDENTDVKSCIDVDIRQENLQNNSIPKNYFNKADERQEIDKVLDFIYALFTKIPLKMTWKQHHQYLKFMGLLPNKIKKKDDVFFVSYMPS >CAK8537659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417270252:417272537:-1 gene:gene-LATHSAT_LOCUS6925 transcript:rna-LATHSAT_LOCUS6925 gene_biotype:protein_coding transcript_biotype:protein_coding METVLDQATIICSHCDRAIPVANVDLHNAHCSRNLQKCKVCGDMVPKKNAEDHYLTTHAPVSCSLCSEKMDRDVINIHEGENCPKRIVTCDFCEFPLPAVDLAEHQEVCGNRTELCHLCNKYVRLRERYNHEAKCNGNIQDSAVGSSRNVREAERDEDVPRRRRPRPQNEFSTKLLLFSISIIGIAVILGSFLLQRKADPSEVH >CAK8569024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663874313:663878481:-1 gene:gene-LATHSAT_LOCUS21921 transcript:rna-LATHSAT_LOCUS21921 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILKEKEADDWVYRGEGAANLVLSYIGSSPTFIGKVMRIRKASRSDPPTESSTSPSALTAHERYLWKDVDEGISSSDNEIASQLFVLHVMKRLLGSKFVDAGMHVQVSRGFFESVEKNVIRQRPAWRVDTAQVDMRCDYVLVMSDHSLFDHGNPESSPCISVEIKPKCGFLPVSRFVSEETAIKKRVTRFEMHQALKLNQGEISLPSEYNPLDLFSGSKERIHKAIKDLFTTPQNNFRVFMNGSIIFGGLGGGAEDTNLSIAKAFETALKSIIQADDGLCTENFLTLVAEAAHKSGALDRLLEVQKLDDVDIEGAIHAYYDITRQQCMVCTELNEDQMKTYTPLHSASLDESLRIVKDYLIAATSKDCSLMICFRPSKEVNLGSVWSNVYLESTKQTFDFKVHFIDLDLKRMSKMEEYYELDKKIVSCYRKMIKLAK >CAK8539790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525447325:525448281:1 gene:gene-LATHSAT_LOCUS8852 transcript:rna-LATHSAT_LOCUS8852 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLNMNQKQQKHFVLVHGVCLGAWCWYKLKPQLESIGHKVTTLDLAACGTNTHKIEDVHTFAEYAKPLLEFLASLDPSEKVILVGHSFGGMSTALAMEKFPEKIAVGIFIAAFIPDTKHQPSYVIQQYIERYPMSGWLDTQFSFDGRKMNVIPGINFLSTKFFQRCSKEDFELVKTLLRDGSFFLEELSEAENLSKERYESVRRAYIVANEDLAIPVEFQQWMIENAGIDVVKVVDGADHMTILSKPQELCLSLLEIADKYT >CAK8566109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380798945:380799775:1 gene:gene-LATHSAT_LOCUS19272 transcript:rna-LATHSAT_LOCUS19272 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNVVLLDFWPSSYGMRVKIALEEKRVLYECRQEDFQDKSSLLLEMNPAYKMIPVLIHNGKPLCESLNIVEYIDDAWNHKPSLLSSDPYKRSQAKFWGDYIDKHIYNIGKKVWTGKGKEQEEGKKKFIECLKTLEGELGEKPYFGGDDFGYVDVALVPFTSWFYTYETYGKLSIEEECPKLVAWAKRCMEKESVAKSLPNPHKIHGFAMQYKQNHGLD >CAK8530955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66195354:66201589:-1 gene:gene-LATHSAT_LOCUS780 transcript:rna-LATHSAT_LOCUS780 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGRGRYSTVYKGRKKKTIEYFAIKSVDKSQKNKVLQEVRILHTLDHQNVLKFYSWYETSAHLWLVLEYCVGGDLLSILRQDIQLPEDSVNELAYDLVRALQYLHSNGIIYCDLKPSNILLDENGRTKLCDFGLARKLKEISKVPSSSLPQAKRGTPSYMAPELFEDGGVHSYASDFWALGCVLYECYAGRPPFVGKEFTHLVKSIISDPIPPLPGNPSRPFVNLINSLLVKDPAERIQWPELCGHAFWKTKFSLVSLPSQPAFDDMIELHAKPCLSERNGDKSSHNRTPPKYREKDMKGVLKKDENSGSRGIETPTRATPNSHRTQTKGSGRTVEVKQKDSSNVKKGLNLLRLSRIAKSNLQKENEKENYRRPLPNGSEKDADVKIENTDMELDFNENSEEDTLEETDGSEHTPVPNEKMENNFQNQGKAGDTDNVIHRLDTLSVTTPASDDSRSFDHESTPDRSDISAISPSVSPLVKKHRPKEDLGSGLDSDSSRSSNDNSQVIWHPSDLSVRPVMPSRKVDKGSEVIPSLPFEALQAPDFVKMPKEQLEAVHNRIISILSGNTSIGEKQNVVRYLEMLSSNADAANILTNGPIMLILVKLLRQSKASALRVQLASLIGLLIRHSTFVDDSLANSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQSADSKDNTPLESPSKDNRTAHGWQVPNSLISLVTSMLRKGEDDITQLYALRTVENICSQGGVWVARLTSQDVINNLCYIYRAAGKQESMRLTAGSCLVRLVRFNPSSIQSVIEKLSFKDLASALVKGSPREQQISLNLLNSAMLGSHILTNVGRYLIQLSEDKNLIPSLLSLVEQGSEVLKGKALVFVALLCKHGRRWLPQFFCSHKLLSVVDRLGKEKDAFVRQCLVAFLHIVASTIPGLLDIITGDIQQMMGGRRHGHISSLTGRSAPKANINLFPVVLHLLESSAFKHKVATLPVLRQLANLIKLAEAPFQGRDDFQITLLRILESLTEESSVILGNPDIFIREILPSLTVLYKGNKDGDARFLCLKIFFDVMIILLSEPIEEEQRLNDLKFVSNTHFLPLYPTLIEDEDPIPIFAQKLLVMLLEFSFISIPDILHLKTISQCFEFLLGDLSNANVNNIKLCLALASAPEMESKLLSQLKVVRRIGNFLEFVCAKGMEDLLEPTLGLCRAFLARSVFRHTTEPTLLGDCPPEVSGAVDPQQCIRDITDLGSNVGVFLELSASRETSIADIASECVILLLKAAPREATTGLLTNLPKVTVILESWSKGILHLTVQRMLHALGYACKQYLLHAMILSISIPEISRIEGIVSELKSSSVPALAKTAGLAASELQRLPRCI >CAK8539260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507741788:507744082:-1 gene:gene-LATHSAT_LOCUS8372 transcript:rna-LATHSAT_LOCUS8372 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLRAKKLVHCVFPKPCTMVPNLYCTSTAQPEQPQTIARNINPQIHTLSLQGNLEEALSLVYTHPSLSLQDYAFLFHACAQKKWIQQGMTLHHYIFSKHPTIQNDLFLTNNLLNMYCKCGHLDYAHHLFDEMPRRNFVSWTVLISGYAQFGLIRECFALFSGMLACFRPNEFAFASVLSACEEHDVKYGLQVHAVALKFSLDFNVFVANALITMYSKCSYGFGGGYDQTLDDAWTVFKSMEYRNLISWNSMISGFQLRGLGDKAIGLFAYMYCNGIGFNSATLLGVFSSLNQRNNTLDDIITHLRNCFQLHCLTIKSGLISEVEVVTALVKSYANLGSHISDCYKLFLDTSGQHDIVSWTAIISVFAECNPEQAFLLLCQLHRENIVLDRHTFSIALKACAYFVTEQNATAVHSQVIKHGFQDDTVVSNALIHAYGRCGSLALCEQVFDEMCRRDLVSWNSMLKSYAMHGRAKDVVKLFKQMDVQPDSATFVALLAACSHAGLVEKGVEIFNSMTENHGISPQLDHYACMVDLYGRAGKLFEAEELINKMPMKPDSVIWSSLLGSCRKHGEAGLAKLAADKFKELDPKNSLAYIQMSNIYSSGGSFIEAGLMRKEMRDSKVRKRPGLSWVEVGKQVHEFTSGGQHHPKRAAILSRLETLIGQLKEMGYAPEISSALHDIELEHIEDQLFHHSEKMALVFATMNEANFPGAGNVIKIMKNIRICVDCHNFMKLASKLFHKEIVVRDSNRFHHFKYGTCSCNDYW >CAK8542811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549706908:549707492:1 gene:gene-LATHSAT_LOCUS11615 transcript:rna-LATHSAT_LOCUS11615 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAINSVLKATRNLPIIALVLSTYYRMGSLFGKRGHKWTKMLSTGKVFTDGCNKGMTDEVAKANTHNFMQFYCERLCFMVQEKINQHDGRPTGTFSIDLRNCLCDCGKFQAFHLTFSHVIVTCYSIQQNYTIHIPEVFTVLNVFKVYKESFLGLPYEENWSKYEGFTLCHDDSMRRNKKGRPTSSRIRT >CAK8542566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526137876:526141689:-1 gene:gene-LATHSAT_LOCUS11394 transcript:rna-LATHSAT_LOCUS11394 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLLLSYPKLSTTKSFSFLSNPNSPLSASLPQSHISPPTKKPQIPSLKPHSPISLQNPLTKSCFSLATINLLAPLQSLAVEPTLLESDAGRINLETILVSIDDFFNRYPFFVAACSLVYLVGIPLAEEYFRKYKFVSAIDAFRKLRDEPDSQLLDIRDRKNVKFLRSPNLMMLKKEVVQVEFNEGNEDVFVKKVLERFEDASNTVVFILDSFDGNSLKVAELLFKNGFKEAYAIKGGVRGQQGWMDIQDTLLPPPVHIKRRKKTKVPQELGTNGNGSIQQNDSDNESVLSSDIPEVGNQETENGHVKRSVESKPKMKLGSVVSYSPYPNYPDLKPPSSPTPSKPQ >CAK8577191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544060189:544060671:-1 gene:gene-LATHSAT_LOCUS29320 transcript:rna-LATHSAT_LOCUS29320 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNVMESKIVVSSCGHDGTFGATSVKMLKSIGLIETVPGMKALDMNTTEDAIVWHTREVVPGMLVTEMEVAEIDGAPRMGPTFGAMMISGQKAVHLALRALGLPNAMDSTRKIHLELVLATADNAGVAGA >CAK8561093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:91991829:91992785:1 gene:gene-LATHSAT_LOCUS14714 transcript:rna-LATHSAT_LOCUS14714 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8573610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624927961:624928626:-1 gene:gene-LATHSAT_LOCUS26040 transcript:rna-LATHSAT_LOCUS26040 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVATDVAATKTHVVGLPPLGKKTKQNANGPRKSSPAWDHFIKLPNETEPVAACKHCHKKYLCDPKSHGISNMLANTKICTKRPQNDPTQTALFFASGKGGGLVAASQRFNLAACRKAIALFVILDEHSFRVVEGEGFKLLCKQLQPQLTIPSRRTVARDCFQLFVDEKVRLKGYFKSDCNRVALTTDCWTSIQNLSSMMVHGSMIG >CAK8578787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654789474:654791162:-1 gene:gene-LATHSAT_LOCUS30771 transcript:rna-LATHSAT_LOCUS30771 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVWGYSSNEDSNDDDDDEVVLQNASDEENNIHFTSSSLPKLQFRNVKSKCRWNEEMEMAEVIEKNGKMWVSTGIVRSGKIYTSIEETLYLMELGALHLVDNENTSISLIEMYNKVAGRESGCCWELFEAYRHLKSLGYIIGRHGVAWSLKSVRSSQKQVALEVTEESTQLVDMGSKLELSISKLFGDLKISDLKPDFDVYLPDSRFRKSSPGDPNFLLYLSRGHLPSRAEIEALKRQCAGIPLKICQVIEGRVSFFSFDKVELPVLP >CAK8560535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23923404:23925808:-1 gene:gene-LATHSAT_LOCUS14199 transcript:rna-LATHSAT_LOCUS14199 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKNKDYYTNNNPFVDDFIDPLCKLNLRETSEFVKSFPLPKPNAENTRFSNDSSTQLRKLEAPSTPGRPLFSFSSSSSSIVGRNNLPRKSFPSKWDDAEKWLISTSCHDSPAHNNSNNSLKAVSSDSSNIGTKQCDNNNSNSFKQKMEEKVSKVVTKCQSSSSTLDHNKAFNGISYPTDIVLKDKFTDSIEPVLPKFIYSEPTKEGFLFKNKAFDESMNDAAYTEVIHEVKHKDVGTEMTPLGSSTTSRCHTPFKSSSPARHNTPASRSGPLALDDVDGNGCSVDGIKLEECHFDKLQFGTAKYDLVAPNWSSSEEEEKEISKSLRHNASLKADSDCVASTWEEEEKNKCCLRYQREEAKIQAWINLQNAKAEARSRKLEVKIQKMKSNLEEKLMKRMSVVHRKAEEWRETARQQHLEQMEKSTQHAKKIIHKHNSQFSRYNSCGCFPCNNNH >CAK8560519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23212959:23214677:-1 gene:gene-LATHSAT_LOCUS14183 transcript:rna-LATHSAT_LOCUS14183 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQPGDGGKSPQCSRSVLPEEEADQIVNSGGGEVSLKKGIEADRTVNAGGGEVALKKGSTSTRKRKVSSLSSGSDVGKRSKLSPSILPEEEADQRDNAGGGEVALKKGPWTKEEDEILKDRIKKHGEGNWKAVQKESGLARCGKSCRLRWSNHLRPGVKKGSFTTEEERLIIECHFLKGNKWAHMATLLPGRTDNEIKNFWYTRSKKRKQDGLPIYPDEITSKYSLNDSQESADTLPNESNQHDETKTFNLDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQIYFFNSRSVAVPEVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMNFEPPSFQNSQTQQPTWSDMDVPPLPSFEYDDTPVHAPLIESCPPVPDSLDWGHLIDAIELPSFEYVDTPVQAPPIESCPPVPDSLDCCHLIDQIDYDHDVIVANQLNFLR >CAK8536540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953620997:953621932:-1 gene:gene-LATHSAT_LOCUS5899 transcript:rna-LATHSAT_LOCUS5899 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQMIQIYSKETQTIKLFTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSRMAYVRDGRCKDFKQSLAAIVETSLSHGPVYFDVSPNLNLSLTDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLYSKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWPLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTTPSQLPRPSTSQIREEIEAVENIRLSENRVPHGIYQKPHTPRVESPT >CAK8566876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468828819:468830347:-1 gene:gene-LATHSAT_LOCUS19976 transcript:rna-LATHSAT_LOCUS19976 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSAAAQLPSCWYRIPSHLNVHHHTRQKRKLTTLSLANADNQIPLRNLYGPSLPQTYSCCKGVESNYRSRYNSDSNQDDKHLVLIKFFSLADSEDTNNQNPETRNQNQHQVDRQREFKENDKKSVLFTKMWWVDLKAAFGQSINFEGILCSTMVILKDPHLALPHISVRDIRYIDWAALRRKGFKGVVFDKDNTITAPYSLTPWPLLESSLESCKSEFGPDIAVFSNSAGLSEYDHDGSKARHLENTIGIKVIRHRVKKPGGTAEEVEKHFGCDSSQLIMVGDRPLTDIVYGNRNGFLTILTEPLSLTEEPFIVKQVRKLETTFVNYWSRKGLKPLEQKLLPDPRHCVKEPRP >CAK8533448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:619261322:619265802:1 gene:gene-LATHSAT_LOCUS3071 transcript:rna-LATHSAT_LOCUS3071 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSFSRLILHHSLPNFHSGKNTQFSPFQASLTSQLKPTSIKALNSLSLKKREPFSNGVSRIRRNPKTFTVRCADSTGKVSQQEFTEMAWQAIVSSPEVAKQNKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATDKHIQRQPKVLGESAGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFTQDRRFGKVLFRDFQISQQSLKTAIESVRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEFRKYIEKDPALERRFQQVYVDQPSVENTISILRGLRERYELHHGVRISDTALVDAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLMNDTDKASKDRLNRLETELSLLKVKQDELTQQWEHEKSVMTRLQSIKEEIDRVNLEIIQAEREYDLNRAAELKYGSLNALQRQLESAEKELHDYMSSGKSMLREEVTGNDIGEIVSKWTGIPISKLQQSEREKLLYLEDELHKRVIGQDPAVKAVSEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHTVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNAVIIMTSNVGSQYILNTDDDTTPKDLAYETIKQRVMDAARSIFRPEFMNRVDEYIVFRPLDRDQISSIVKLQLERVQKRVADRKMKIQVTEAATQLLASLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKEEDTILVDTEVTVLNGQLPQQKLVFRRVEVDSESTAKNSRESFPQIL >CAK8560837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48873459:48882519:1 gene:gene-LATHSAT_LOCUS14482 transcript:rna-LATHSAT_LOCUS14482 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNRARYPPPGIAPGRGGANPNVNLNQNPNQYPNLNNQVQQRPPYHHHNQQQHHQAQQHQPQHQQQQYYAQRQMVQQHQQQHQQQQHQQHHQQQQQQWLRRAQLGGSESNAADEVEKTVQSEAIDSSSQDWKAGLKIPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCILKHCSMLVMDEADKLLSPEFQPSIQQLIHFLPTNRQILMFSATYPVTVKDFKDRFLNKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAFYCR >CAK8540005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535315832:535316317:1 gene:gene-LATHSAT_LOCUS9047 transcript:rna-LATHSAT_LOCUS9047 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVVSLPSSLYAANFAGVNTTVAPPPCRVRSRPILITASATATAEARSTWTEQPRTSYLNLNTISSPSLYEILGIQAGASVQEIKSAYRRLARVCHPDVAAIDRKNSSADDFMKIHAAYSTLSDPDKRANYDRSLFRRQRPLSMMSGYTSRKWETDQCW >CAK8567073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485047754:485049284:-1 gene:gene-LATHSAT_LOCUS20160 transcript:rna-LATHSAT_LOCUS20160 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPFSVAVKPSIIRNNRKSCGRRYDVITSELTMVPKHKTTAFPQLSKQRVSVADLHVQEVVEKQSRTADSDTPCLSSFKAKFEPSFLKNAYEMCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNADYMSSAVLDRWEDRLHDIFNGQPYDMLDATLTDTISKFPLDIKPFRDMIEGMRMDTRKTRYKNFEELYLYCYYVAGTVGLMSVPVMGIAPESLIPAQSVYKSALYLGIGNQLTNILRDVGEDALRGRVYLPQDELGEFGLCDKDVFSREVSERWKEFMKQQIARARFYFNSAEEGASHLDKASRWPVWSSLILYRKILDAIEDNDYDNLTKRAYVGRAEKFVSLPAAYTRSLSIPKTNLHSSFTRLL >CAK8562049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:431078381:431089910:1 gene:gene-LATHSAT_LOCUS15582 transcript:rna-LATHSAT_LOCUS15582 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFRKLRESAASLKRSTTSNSPERSHHHHHDAPLGLFDSLPSDLLLKIIRLLDPKHAAKLCIVCKSWRSLVSDNELWSDFLQKHQPLHYDSIIFSETILTSGYPLPFFATQTPRVLSFKHVYGQRERLPPVVIIDGGSGYCKFGWSKEERPLGRTATFLEFGNVEIPIYSRLRHFFGTVYGRMKVKPSSQAVVVSLPICHYDDTESARASRQQLKEAICAALFDMNVPAVCALNQATLALYAAKQTSGISVNIGFQVTSVVPILNGKVMRKVGVEVVGLGALKLTGFLKEKMQQNSLNFESLYTVRTLKEKLCYVAYDYEAELLKDTQASFEAGEGRYTLSKERFQTGEILFQPRLAGVRAMSLHQATALCMDHCYSAELAGGSDWFKTIVLSGGSACLPGLAERLEKELHLLLPHMSNGIRVIPPPHGADTAWFGAKLIGSLSTFPAPWCTTKKQFRQKSKLKRIW >CAK8539703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522406327:522408331:1 gene:gene-LATHSAT_LOCUS8777 transcript:rna-LATHSAT_LOCUS8777 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKFTSFSFFFHMLFIYLLSPENSQVVATCSTATDCGPGFYCGHCPGLGRTQSVCTRGQATVVTSIVSGLPFNKYSWIMTHNSFSIMDAPFLNGIQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFQNDVWLCHSFQGQCFNFTAFQPAINTLKEVEVFLTENPTEIVTVIIEDYVRAPKALINLFVNAGLDKYWFPVSDMPKKGEDWPTITQMVQANHRLLVFTSDASKEAEEGIAYQWRYMVENESGDSGLHRGSCPRRKESNPLNSRSASLFLQNYFPTYPVEAESCKENSAPLANMVNTCYKTAGNVLPNFIAVNFYMRSDGGGVFDIIDRINGHTLCGCSTVTACEAGAPFGSCKNISVPSTSPMTNTPGTFNGYVQFSIKSASPVHSPNRPLFLLFYFLLTTVLLQFP >CAK8530935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64670178:64674229:-1 gene:gene-LATHSAT_LOCUS762 transcript:rna-LATHSAT_LOCUS762 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRKHKKLGRVKKVQLSDTTHGARTPIRHPKRNGNSNGDGVAGTSGHSSSSEDIDCQISSTAPEVISNCASGSSENWMVLSIAGDKPVPRSYHAACVIENKMIAVGGESGNGLLDDVQVLNFDTFSWTTVSSKLYLSPSSLPLQIPACKGHCLVSWGKKALLIGGKTDPGSDKISVWAFDIETECWSLMEAKGDIPTARSGHTVVRANSTLILFGGEDCKKRKLNDLHMFDLKSLTWLPLHCTGTTPSPRCNHVASLYNGKILYIFGGASKSRTLNDLYSLDFETMAWSRIKVSGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHGETLIYDIKKSDWFLEIASPPSSITTNKGFSLVLVQHKEKNFLVAFGGSKKEASNQVEVLKTEESESASRRQPTTTKGSGSILEKHSSSTQHRNDSSQRFIDSIVRQKLVSAIEHGSERKSLSEALSVQDSGSLSTNISLHSQFDPDLEFDVDDRGDKHSEDESFHENVKSGTLSTASNIYNYYETKVSSLTRKFGILEAQLAASLESKEAAEKNLASAFKSKQETDKKMDDTLKEIELLRGKLVGAELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFHV >CAK8577176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543152228:543153187:1 gene:gene-LATHSAT_LOCUS29305 transcript:rna-LATHSAT_LOCUS29305 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKNLQKKIINMGYALNQPTFHYYRSEIGMANADALRWIDSIPSEKWIRAFDGGGRWGHMTTNLVESMNVVFKGTRNFPITALVRETYYRLGSLFAKRGGKWSVVLNYGQTFTNSCLKVMKEETTKSSTHHVRIFDYRNNVFSVKETMDHDEGKPMGHYKVDLLNGWCDCGKFQAYRVPCSHVIAACSNVRHDAYALLSDVYRITNLFGVYSASFPVMSCDEYWPVYEGDQICHNPRMWRNKKGRPVSTRITTEMNNFDKIEIKCFMCRQTGHNRTRCPNVGTSSR >CAK8538779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496227425:496229440:1 gene:gene-LATHSAT_LOCUS7941 transcript:rna-LATHSAT_LOCUS7941 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIFLRSSPQLLHGTSYPPILSECKGVSGRKTSVKCSVNLTSKVLSKHVLSGLAASLMLISPVNQSVAADLSSHQQNICQLASANDNAVNSPFENDSGENLMMMKGMSAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDREAPAIQVDTFCVHGSPNGYITGIRGKVQCLSLEDMKKNESQLEMQEMIREKCFLRFPTLPFIPKLPYDVIATDYDNFALVSGAKDTGFVQIYSRTPNPGTEFIEKYKADLANYGYDPSKIKDTPQDCEAMSNNQLTAMMSMPGMQQALTNQFPDLELKGNIAFDPLTSVFDTLKKLVELYFK >CAK8568572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:619152444:619153186:-1 gene:gene-LATHSAT_LOCUS21517 transcript:rna-LATHSAT_LOCUS21517 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGTRARTNFPYNPNMSQSSSSKLLSATLTAKLHRCYMASLQITRPISHQQPQRESAQALTISTTDNVPVKNSEETDTHTIIPHDEEEKNSEGNWVFKKVKVENSQQFFKPLEEDHIEQMIEELLHYGSIELCSVIPPQSH >CAK8565275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95653325:95657207:1 gene:gene-LATHSAT_LOCUS18500 transcript:rna-LATHSAT_LOCUS18500-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIHSRNHDDQELLPDSHTRSSSISNRKCSVATSMPLFASLFLITLSLFSLSFILPYSPSTTSTSTTSTIQQQGLNSDASDSVKFIKDKLLSGLLAAGFDDGSCLSRYHSIKGLSGNPSSYLISRLRKYEALHKKCGPYTESYNKTVKDLSSGHLSESPDCKYVVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGVDMADLFCEPIPDVSWFLPPDFPLNGQFHGFNQKSDQCHGKMLKDKSVTNSKVPSSVYLHLVHDYNDEDKLFFCDEEQKFLQKVPWLLMKTDNYFIPSLFLMSSFDQELSNLFPNKEKVFHFIGRYLFHPTNKVWGFVTRYYESYLANVDQRVGIQIRVFDTRPGPFQYVLDQILACTLKENLLPDVDKKHGITGLFGRSKSKAVLMTSLSSGYFEKIRDMYWEYPTLTGEVISVHQPSHEGYQQTEKQIHNQKAWAEIYLLSLTNVLVTSSWSTFGYVAQGLGGLKPWILYKPENETAPDPPCRRAISMEPCFHAPPFYDCKAKRGADTGALVPHVRHCEDMNWGLKIVDNYG >CAK8565274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:95653295:95657207:1 gene:gene-LATHSAT_LOCUS18500 transcript:rna-LATHSAT_LOCUS18500 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIHPTTNMKRIHSRNHDDQELLPDSHTRSSSISNRKCSVATSMPLFASLFLITLSLFSLSFILPYSPSTTSTSTTSTIQQQGLNSDASDSVKFIKDKLLSGLLAAGFDDGSCLSRYHSIKGLSGNPSSYLISRLRKYEALHKKCGPYTESYNKTVKDLSSGHLSESPDCKYVVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGVDMADLFCEPIPDVSWFLPPDFPLNGQFHGFNQKSDQCHGKMLKDKSVTNSKVPSSVYLHLVHDYNDEDKLFFCDEEQKFLQKVPWLLMKTDNYFIPSLFLMSSFDQELSNLFPNKEKVFHFIGRYLFHPTNKVWGFVTRYYESYLANVDQRVGIQIRVFDTRPGPFQYVLDQILACTLKENLLPDVDKKHGITGLFGRSKSKAVLMTSLSSGYFEKIRDMYWEYPTLTGEVISVHQPSHEGYQQTEKQIHNQKAWAEIYLLSLTNVLVTSSWSTFGYVAQGLGGLKPWILYKPENETAPDPPCRRAISMEPCFHAPPFYDCKAKRGADTGALVPHVRHCEDMNWGLKIVDNYG >CAK8532617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:331096410:331097420:-1 gene:gene-LATHSAT_LOCUS2304 transcript:rna-LATHSAT_LOCUS2304 gene_biotype:protein_coding transcript_biotype:protein_coding METKESSWLGVFVLLFEQDCHYVGKMLQVVSVLWSAVQIVFVCRGLCAVYDFDGDGHGFFLYFCILGM >CAK8541977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445822263:445825868:1 gene:gene-LATHSAT_LOCUS10854 transcript:rna-LATHSAT_LOCUS10854 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLSSSEVVTKYKTAAEIANKALKLVISECKPKAKIVDICEKGDSFIREQTSNVYKNVKKKIERGVAFPTCISVNNTICHFSPLASDETVLEEGDILKIDLACHVDGFIAAVAHTHLLQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSISNPDTRVDDFEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECMNHELLQPYPVLHEKQGDFVAHIKFTVLLMPNGSDRVTSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKEDKADASVEAAPQDSTNGATPKE >CAK8538578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487683041:487683433:-1 gene:gene-LATHSAT_LOCUS7761 transcript:rna-LATHSAT_LOCUS7761 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTPSLVRLYEQMPKLKYVSSMGACTIIGGMFSTNSYSIVRGVDKLIPVDVYLPGCPPKSEAVIDAIKKLRKKIAREIHEGPISYQHRCFTTNHTFDVGDSTHTGNSNQGLFYQPSSISEITFDTFWKY >CAK8574585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3067735:3069354:1 gene:gene-LATHSAT_LOCUS26915 transcript:rna-LATHSAT_LOCUS26915 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQGVTEDRLVLLKGVNGAFRPGVLTDLMGVSGAGKTTLMDVLAGRKTGGHIDGDIKVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSKTRKMFTDEVMDLVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYIGPLGRHSVHLVKYFESIEGVSKIKDGYNPATWMLEVTTTAQEFNLGVGFTDLYKNSDLYRRNKQLIQELGQPAPGSNDLHFPTQFLQSFLVQCQACLWKQRWSYWRNPPYTTVRFFFTTFIALMFGTMFWNLGGKQASRQDLFNTFC >CAK8533783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659784169:659785701:-1 gene:gene-LATHSAT_LOCUS3381 transcript:rna-LATHSAT_LOCUS3381 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLVSFLAMAITLILSLFLYAFYQTLYLKTPAFTNWPFVGMLPRFLWNVSRIHEFSAQFLKAKGGTVEFLGPWFTNMKAVITADPQNVHHIMSKSFDNFVKGDLFREMFQAFGEGIFTTDSIQWKYHRNLLQYLFKQRSFEAFQEKVIHNKVEKSLIPLLNHVHQLGLMVDLQDIFNRFTFDNICLIVLGNDPNCLSIDFPEIAVEKAFNQAEESIFYRHTVPGFVWRLQRWLQIGEEKKMTEACKVFDKFLYDCIASKREELLKNCNKNENDAQTEKNHHVDLITAMIGEEKSKDNDKFLRDSAFNLFVAGRDTITSALTWLFYLIATHPLVEAKILEEIKENFGHKEKPWVLSVDETKKLVYLHGAICEALRLFPPVPFERKEAIKADILPSGHHVHPNKMIFFSLYAMGRFEDIWGKDCLEFKPERWISERGGNVYVPSYKFFSFNAGPRTCLGRDLAFLQVKMVTASILWNYCVHVVQGTCVTPSLSIVLLTKEGLKVKITKREN >CAK8574699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6796949:6799413:1 gene:gene-LATHSAT_LOCUS27018 transcript:rna-LATHSAT_LOCUS27018-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFQTFNKQFPVLPFHHHHHHDKFRQHQFSYPLLSPSCVNYSYRTISCSQSRSSSHSSSIAKQEVAAHDSVALRADQKSYSYNQLITSAQKLSNLLCENDVKGNLGGARIGIVAKPSAEFVVGILAIWFSGGVAVPLALSFPEVELLYVMNNSDVSALLSTEDRSELMQSIANKTSSRFFHIPPVPNKSSENSNNGHSKNGKNDVDRIFLENIVRSIEDPSLILYTSGTTGKPKGVVHTHRSILAQIQALTKAWEFTSADRFLHCLPLHHIHETFF >CAK8574698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6783295:6801527:1 gene:gene-LATHSAT_LOCUS27018 transcript:rna-LATHSAT_LOCUS27018 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSFKTFNKHLPLLPFHHHHHHKFPHHHFSYPFLSPSSVNYSRTATISCSQFRSSSYSSSSQSTTFMDIFKAIAKQEVAAHGSVAIRADQKSYSYNQLISSAQKLSNLLCENDVKGNLGGARIGIVAKPSAEFVAGILATWFSGGVVVPLALSYPEVELLYVMNNSDVSAILSTEDHSELMQSIANKTSSRFFHIPPVPNKSSEKSNNGHAKNGESEADRIFLENIERSSEDPALILYTSGTTGKPKGVVHTHRSILAQVQALTNAWEYTSADRFLHCLPLHHVHGLFNGLLAPLYVGSSVEFLPKFSVSGIWKRWRDSYPTEGYEADDAITVFTGVPTMYTRLIQGYHAMDPELQAISASSTRNLRLMMCGSSALPQPVMQEWESITGHRLLERYGMTEFVMALSNPLKGERKAGTVGKPLPGVQVKILADEEHESEESGVGELCIKSPSLFKEYYKLPEVMKESFTDDGFFKTGDVVTTDKDGYFIILGRKNADIIMNSGYKLSALEIESVIVEHPIVSECCVLGIPDKVYGEIVCAIIVPAAKSEQESKPALSLAELTAWAKTKLAPYKIPTRLIVWESLPRNAMGKVNKKELKKLVTSE >CAK8536406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938506693:938509221:-1 gene:gene-LATHSAT_LOCUS5777 transcript:rna-LATHSAT_LOCUS5777 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPADCSVVIPSSKFHTLADFINSGNSISEYIWKVGGLLDDHSFFIWKVGVIDSFVDACIHDVASAVEQNLGFDQSLNFMKKKLEIQLRKHISHYLKERVAPSLLASLDREKENLQQLTDSSKELALDQVKKDGAVKKVLHMLEEYCNAHETARATKSAASLMKRQVSELKEALRKTTLEAVQMEWMHDASLNPSYNRRITYEKYLDNDDSLYPIILNLIRSKLLENLQSAISKITSSLDSLQSCEQPSLIAEGQLERAMGWACGGPSSSSSGNTSTKNSGIPYEFHEHIKKRKEILWESREKASDIVKLCMSVLKFEASRDGHLLIPGQPYPSRSGVEGNTWQQLYLNSLTRLDVTLHSYTRTEQEWKLAQCTVEAASNELYAAANELGIASLKAKSASGDLQSTVLSMRDCAYEASVALSVFAQVSRMHTALTSECGSMLEEVLAITEDVHDVYNLGKEAASIHLSLMENLSEVNAILFPLESVLSKDAAAMADAIARESETKEEISHIHGQDIYQSYCLRIRDSCQTFKPLVPSLTSTVKGLYLLLTRLARTTNLHAGNLHKALEGIGESQEVKSQDIALSTSDAGGGDAVEFDGKEGESLSRSDDDKTDDIIGFSRLSLEEKGWISPPDSSFCSSSGSDITSAEVSLSDCFNDSAENTDMLSQVSSSRNPTSDLHTTSLSQTDVEEISLFEVPKSFPLEVDLNDADSVKLTYEATELLATPFPSHKSVARSAVSHNPSTENLDKFDGKDDLLSINKAKNGTEHLETPDADINTSTRIGRGKNAYALSVLRRVEMKIDGRDISERREISIAEQVDYLLKQATSADNLCNMYEGWTPWI >CAK8533539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633995355:633996446:1 gene:gene-LATHSAT_LOCUS3160 transcript:rna-LATHSAT_LOCUS3160 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGIYNKGCKYINNIFVVKEREIEIGCPTDVKHVAHIGWDGPTGGGPSWMNEFKTAPDFSTSIGSLNERKDPNLKAESTSDSNQDVKDPTINKPTPIMSKGDIPSDDSATHHVPKKPKRKKTKPTSSPRSRQTRAPRPKGVYSERDCEREEKPIAQTFEDSNWQC >CAK8573101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:584514314:584515045:1 gene:gene-LATHSAT_LOCUS25587 transcript:rna-LATHSAT_LOCUS25587 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGRNVLAPLLFLNLLMYLIVLGFASWCLNRLINGQTYHPSFGGNGATTFFLIFAMLASVLGIVSKFIGGNHIRAWRSDSLASAGATSVVAWAVTALAMGLACKEIHIGGHRGWRLKMVEAFIIILTFTQLLYLLLIHAGLYNSRYGPGYRDTDYGVGGGTTGDPMHKGVPATRV >CAK8579041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669134665:669137860:-1 gene:gene-LATHSAT_LOCUS31016 transcript:rna-LATHSAT_LOCUS31016 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNSSSKVPFRLFMRWFMFILVLKLSSSINDPDIEGEALLDLLNSLNDSNNQIKDWDSHLVSPCFSWSHVTCRNGHVISLTLASIGFSGTLSPSITRLNYLVDLELQNNNLSGPLPDYIANLTYLQYLNLANNNFNGSIPNTWAQLSNLNNVDLSSNDLTGTIPTQLFSVPMFNFSDTHLGCGSSFEQSCVSKSDRPDSTGKSKLAKVVRYASCGAFALLILGAIFTYRHHQKDRHKNDVFVDVLGEDESKVYFGQLRKFSLRELQLATKNFSESCVIGQGGFGKVYKGILSDNTKIAVKRLTDYHNAGGEAAFEREVDLISVAVHRNLLRLIGFFSSSTERILVYPFMENLSVAYQLRDLKSDEKGLDWPKRKRVAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEPVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAIDLSRLEEEEDVLLIDHVKNLLRENRLEDIVDNNLDTYDPKEVETILQVALLCTQCYPEDRPTMSEVVKMLQGVGLADRWADWKQLEEARNQEIELSLMTHQFPWSDESTLDQEAIQLSRAR >CAK8537717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422878378:422878800:1 gene:gene-LATHSAT_LOCUS6980 transcript:rna-LATHSAT_LOCUS6980 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLVLSLSNGVRVNGERGGRQCGANPSLKPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGVKLYVPPGTWLTQSFNLTSHLTLFLEKGAVIVGSQDSHHWEVVDPLPSYGRGLEVPGGRYQSLING >CAK8575963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374965364:374966089:1 gene:gene-LATHSAT_LOCUS28186 transcript:rna-LATHSAT_LOCUS28186 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDACRKIFTVYKPIIGVDGCFLKGIYGGQILAAVGIDPNDQMFPTTMVLVEVETKDFWAWFFDLLVRDLGGSEGLLPAIDELLLGVNQRFCVRHLYSNFKKRFTGKHLKELMWMVAKATYPQAWEREMKEMRKVNEEAFKH >CAK8574878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12984977:12988709:-1 gene:gene-LATHSAT_LOCUS27177 transcript:rna-LATHSAT_LOCUS27177 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAIFSPSSLFFGEEDDDAHTSVEENGENHETYVERKHQFPGMELIIREFSFHQLNANLLWPGTFAFAEWLVQHKSCIEGRRILELGSGTGALAIFLQKSYNIDITTSDYDDQEIMENIAHNCSANDLPVIPHIKHTWGDKFPNSDPDWDLIIASDILLYVKQYPNLIQTITFLLKSYKPRDMTTVSRTGNDDTNGDVVLPWPAFLMSWRRRIGKEDESIFFDGCEKAGLEVNHIGSRVYCISLIENEESNKCLKKEDNVQASSGRK >CAK8540393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557565506:557568047:-1 gene:gene-LATHSAT_LOCUS9399 transcript:rna-LATHSAT_LOCUS9399 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFKILTSTFNTTFFPIYDNLCTTNLLTPSFQNPKTKPIAQNFKPHFLLCASLPSPQASNASMAEVEEQEEVEIVKGYTMTQFCDKMIDLFLNEKTKSKEWRKYLVFREEWKKYRNSFFIRCQRRAEMENEPTKKEKFASLGRRVKKIDDEMEGHYELLKEIQDFPTDINAIVARRRKDFSGDFFRYLTLIADTYDSLEDRDGIARLGAKCLSSVSAYDNTLKNMETLDAAQAKFDDILNSPSIDAACQKIKSLAKAKELDSSLVLLINGAWAKAKESTTMKNEVKEIMYQLYKTTKSSLRTIAPKEIKLLKHLLNIIDPEERFSALALAFSPGDERDAKDPNALYTTPKELHKWIQIMLDAYSMNKEESDLREARRMTDPIVIQRLFILKDTIEKEYMDKKAVQKSETEDDSQSEVF >CAK8544038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659613354:659616401:1 gene:gene-LATHSAT_LOCUS12748 transcript:rna-LATHSAT_LOCUS12748 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKRYASASSSLTPTSSSSSSSSSPSEEIEAAETLAYLARLAMRRHTTHSTDNCCTKRFDLDSHIPHSDLPPPSRGQGVAGQQLDEKVSCTSSIETERNQQNDRLRNIKVEQDADLSKTSHCNKSRRNLTEEEKEARRIRRVLANRESARQTIRRRQALSEELSRKAATLVLENENLKRKKELALKEYQSLETTNKLLKAQVSKSINTKVEKTPVAQELSMADVAPMSGNSPWFHYNHFPVRQLFWPSIFQSSNQVHTPFNSAIPSHVYIPCSSESEPPHKKNNLINDNQTQKPLYMFPCPWLYPPPDIGNGQPPASRCIEDKQDNLPPDKQCSTSLSLNRAGNGSYHATLPIKLKTEPTDRTESRSSSGPGHTTPSFSLDGIEQKKRCHNIEKFHRPAFDCNRHAFVVKQEPELQLNLASNTKASSTASGITASSLEKKQEQFICKGKNLADAVAAAEARKRRKELTKLKSNQSRRMEC >CAK8559951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2307027:2307521:-1 gene:gene-LATHSAT_LOCUS13680 transcript:rna-LATHSAT_LOCUS13680 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSPNAEKKDCQVPVTPEVVKENGDFELQSPLTLTVVRKQLNETTIHSGPKDVVLDSFADTRKNLNDSRSSVVRRLPFRADSRSDLDIFESLHDSLFQFILSKQMEERLLTQMSNVQQDDECKSPPPQLRFTGIAHTCPPAPRKLKHQPKVILMELCKKLEF >CAK8540972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37250281:37253473:-1 gene:gene-LATHSAT_LOCUS9926 transcript:rna-LATHSAT_LOCUS9926 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVCDESVKEASNFKPEKILQPVDESIVCPTPEKKSEELLPNKFKDPLSHLPEDYRNIAELFRHMSCSLRLLHMRKKSPTFLNICNKVEVLAKRNFSLADLAQMKYILPEGIDIEKVVVIDKKSLCVKPDLKITLVFEVVKDHSEQSADIALRRHFNSRLINFFNLHPQVTKIPEFRLPEPFSQEACNLAFNQGPADFAAELSLTSNEVVEQLNNLHLTPSFKRRFYQKNATDQTVQTKQVQSFSSSENSLSSDESDSLDNQESESSWQKECSPFSDCESNLNAESGKQKESLSMSFQANVISTPSEHKICSPRSVSRCSAESPDLKIVSCADSLLTQTPAQSAPERLVLGSDVKPQKMSAQKSMSGFKPAKRALDFTLTEGNGDLDNRVMLESSKATLPREIQENLCYSFEKINQNQISLDASDDNPPSLVELVNVIDSIFCSVKTNEITKEELLQKIMINCSEFVETTREVEEQIEILEKIVPDWMCKKLLASVDGVTMYCINNAIDLDSVRSRLLGK >CAK8540439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560593561:560594379:-1 gene:gene-LATHSAT_LOCUS9444 transcript:rna-LATHSAT_LOCUS9444 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGHLTNNFFTRTPHQKTVNSVVKSWKFSAAKLATAK >CAK8572243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524232911:524235278:1 gene:gene-LATHSAT_LOCUS24828 transcript:rna-LATHSAT_LOCUS24828 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPCCGPQKNKQSNSKREHGSTTPQEVTSASKAPDMKKQKGDEHMQGDGTNVNAQNFTFRELATATKNFRQECLLAEGGFGRVYKGVIPATGQAVAVKQLDRHGTENSKDFMYEVSLLSHVQHENLVNLIGYCADGEQRLLVYEYYPACTLEDRLLGNKTDEPPLNWFDRMKIAAGASKGLEYLHDSTNPPVIFRDLKAVNILLGTESNNLNNAKLYDFGMAKLCGGDKMNNAPPRVMGTYGYCAPEYTRTGQVSMKSDVYSFGVVLLELITGRRAVDTTKPNEEQNLVSWAQPLFRDPKKYPDMADPLLKKHFPEKDLNQAVAIVAMCLQEEPEARPLIGDVVTALSFLSTAPTEPFPPPSISAATSVSRPSTATESEYESESETEGETSDDEDADQEYDGKTAQNHVAANDDLSQPQKRATSKKSSRKSSTRSRKETTSANSAEGSASSRGKTSKKWKNFVGNLSQKSNSSKKSSIREIAQKSSKKNSIKELCQKSSKKSSTKGLNHKSTGKSSAKVSSRAEPEDRSMISSHRTASSQYTCSSMSDRSSSRGSGSVHSDIKGSRRTEESMRLARESDDEGTDRSYE >CAK8567553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524215695:524219120:-1 gene:gene-LATHSAT_LOCUS20596 transcript:rna-LATHSAT_LOCUS20596 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAERGLGCQKIMDGKESNGNGSDKGIPSCCLKAMASAPELESNCHSTVVSGWFSEIQTSSEKSGQVVYFNNPMWPGEAHSIKVEKILFKEKSLYQEVLVFESLTYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSRKFFPELAVGFDDPRVHLHVGDAIEFLKRAPEGRYDAVIVDSSDPVGPAQELVEKPFFETISNALRPGGVLCNMAESMWLHTHLIQEMISICRVTFKGSVHYAWTSVPTYPSGVIGFLLCSTEGPHVDFVNPINPIEKLEGADKHKRKLRFYNSEMHSAAFALPAFLKNEVSLLGDYSRQK >CAK8530592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33046601:33048040:1 gene:gene-LATHSAT_LOCUS445 transcript:rna-LATHSAT_LOCUS445 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLFKWPKQVTNSLVEQLIKAEKDINKAVLMFDSATDEYSNGFRHDHKTFGAMIYRLVSVNQFRPAEGMLERMKQEDCKVDEDIFLTICRGYGRVHRPLDAIRVFHKMENFQLRPTQKSYLTIFDILVEENHVKRALGFYKEMREKGIPPSVVSLNILIKALCKNEETVESAFRIFREMPNRGCQPDSYTYGTLINGLCKLGKINQAKELLDEMEEKGLSPSVVSYTSLIHGMCQSNNLDEAIELLEEMIRNGIEPNVFTYSSLMDGLCKCGHSSQAMELLEVMVRRRHSPNMVTYSTLIYGLCKEGKFREAVEILDRMRLQGLKPNAGMYGRVISGLCATSSYHEAANFIDEMVLGGISPNRASWSFHVRMHNMVVQGLCNNIDPPRAFQLYLSMRTRGISVEIDTFDCLIKCFCKRGDQHKAARILDEMILDGCIPDEGIWNVLMCGLWDRKKVREATELLLAELKQKVIEAES >CAK8562876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551723725:551726915:1 gene:gene-LATHSAT_LOCUS16338 transcript:rna-LATHSAT_LOCUS16338 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRELSLVATATLVGAFASALALRFFRRSQDHPSKTNPSQNGTVSSSTDPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQDGIILGIGYNGFPRGCSDDKLPWAKKSKTGNPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLENSDVAYTASHKLLSLASVKVRKHQPAMSEIHLKYDEH >CAK8565701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:309600544:309600702:1 gene:gene-LATHSAT_LOCUS18891 transcript:rna-LATHSAT_LOCUS18891 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLQGWKDFSKGFRVLLLEGDNNSATEIRIKLEDKIVKFDFIFYRLH >CAK8562210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456710873:456715042:1 gene:gene-LATHSAT_LOCUS15727 transcript:rna-LATHSAT_LOCUS15727 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGNMNTKLPVFDGKNWNRWMIQMRVLFGAQDVLDLVTDGYVPVAADATDEQKNAQKEVRKKDQKALFFIHQCVDVNVFEKIADSTTAKAAWDTLVRCYGGDASVKKVKLQSLRKQYENLNMKNNEKVSEYISRVILITNEMKACGETLSEETIMEKVLRSLTPQFDYIVVAIEHSKDLSTMRIEELQSSLEAQELRLTERTSEREVEQQALKATSDRRYQKQSEVKRRSDGGQKSESSTSDRQKNAQRGKEKYDKRKIQCYCCKKFGHFARDCWSNKEKKSEEANIARSSDDESVLLMASESDDLTDWWYMDTGCSNHLTGNKKWLVDFDSEKRTKIRCADDKYLNAEGMGNVRVTLNNGKIALIQNVWYVPGIRSNLMSVGQLIEKGFSVTMKDNLLKLYDCNQKLIMESEQGRNRTFKVNVRTADSECLSATSTEKESELWHRRFGHLNFRSLQHLNSKKLVHGIPAIKKPEKSCKVCMEGKQPRLPFASEVAPRAKHALGVVHSDVCGPFPVASIGGNKYFVLFVDEFTRMTWVSLIKFKHEVFDEFKKFRMKAENQSGQKLKILRTDGGGEYNSKEFQKFCEENGIEHEVTAPYTPQHNGLAERRNRTLLDMVRSMLKEKKLPQKLWGEAVATATYVLNRCPTKKLKEIVPMQKWTGDKQSVSHLKVFGSVCYKHVPEARRQKLDDKSKVMILIGYHSTGAYKLYCPETNKIEFSRDVIVKESEVWNWDKSQSDSDVRTSEERPELRISEVEVNPDVDSDSDTDSNSEDDSEDEGDSDDPDSDDSDSDGNPDLGGNSDSGNMPDSEDGQSSRGQSSEVRNSEAQDSEQVQRPQRIRSVPRRFAEFDMLQDTEVDSEGEVIQCAMLVDSEPISTEEALKQKLWLKAMKEELDAIERNKTWKLTELPKDKKAISVRWVFKQKLKPDGSIGKHKARLVARGFLQKPGLDYSEVFASVARHETIRMVIAIAANRNWPLMHLDVKSAFLNGPLEEEVYVSQPPGFEKKNQEGMVYRLYKALYGLKQAPRAWNQKIDSFFKKQGFQKCEMEYGVYVQHTSEGNMTLVCLYVDDILLTGSSEQEIAKFKKVLMNEFEMTDLGKMTYFLGMEFRYSEKGIILHQLKYELELLKRFDLKNCKMAVTPSDTNQKLDSDSEGKDVDATTFKQLVGSLRYLCNTRPDICYSVGMVSRFMSKPKWSHYQAAVRILRYIKGTLKYGVLFPSGGNNESELLSYSDSDWCGDRVDRRSTSGYLFKFLGGPISWCSKKQPVVALSTCEAEYIAGAVTACQAVWILNLLQDLKIKVDKPLKLMIDNKSAINLARNPVLHGRSKHIETKYHFLRHQVQSGVLEVVHCSTQKQLADVLTKAIKTDQFLRLRDGIGVTSFDGI >CAK8538014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:458515486:458515689:-1 gene:gene-LATHSAT_LOCUS7256 transcript:rna-LATHSAT_LOCUS7256 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAVFSITDVDMVDTSHQPEHVCEDEELSFGYTPLPTRQQPEELGRGKHVKKSKMCGTGGHLRRQK >CAK8563023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567057210:567065738:-1 gene:gene-LATHSAT_LOCUS16473 transcript:rna-LATHSAT_LOCUS16473 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKIVDLFDYEGVKNDLEAFSQVSDENIVSSGRSSCRSYTGASGLGFLNVDDSVISNSQRLPAEPLCRKFWSAGNYGDGLGSKVGSQYANNYLHVHPLFLHSNATSHKWVFGAIAELLDNAVDEIQNGATSVKVDNILNPKDGTPALLIQDDGSGMDPEAMRCCMSFGFSDKTSKSAIGQYGNGFKTGSMRLGADAIVFSSHMNDDVVCTKSIGLLSYSFLSRAQLDRIVVPMVSYKCDTSTGYLEKLNHPEHFRSNMSLLLDWSPYRSEAEILKQFDDIENHGTKIIIFNLWLNDDGSLELDFDTDPEDIRIAGDIQKIDTSLARKRVNEQHLANRLHYSLRDYLSILYLRVPESFRIILRGQAVKLRNIADDLKDIEYIVYRPKSGGLEEALVVTTIGFLKEAPAVSIHGFNIYHKNRLILPFWPVVNGNRGRGVVGMLQADEVQPTHNKQDFERTSLFQKLEIRLKDMTWEYWDCYCHKIGCQGQGRKRKVPVGPLNRSKEKPLVTENPVALDNYSSPVPISNSQGGSEQTYLTRTKTREFIDQYEVKRQAVEKIETRLCCNQSVQTTASPADQIVDQETANLLEWNKKLIADCFAFEKAEAELILKVTQLRNKIEEAKLEYDRLLAEAGEY >CAK8542025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456480884:456483293:-1 gene:gene-LATHSAT_LOCUS10899 transcript:rna-LATHSAT_LOCUS10899 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYITTNLNLDGIDTDPIFSEATTAISQIIGKPEKFVMVLLKSSVPISFEGNKKPAAFGEIISMGGIDKQVKKKLIDTLGTILQSKLSIPRTRFFLKVFDTTAFPTRSKI >CAK8566070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376790852:376792329:-1 gene:gene-LATHSAT_LOCUS19237 transcript:rna-LATHSAT_LOCUS19237 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLRMGEQEFSIAMVILFVLFISMNMLSVEGYYGGWESAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNDDPRWCKPGSIIVTATNFCPPNPAQANNDGGWCNPPLQHFDMAEPAFLQIAEYRAGIVPVSFRRVPCMKKGGVRFTINGHSYFNLVLVTNVGGAGDVHSISIKGSRTSWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTITSYNVAPANWQFGQTFQGAQF >CAK8574051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655427847:655433111:1 gene:gene-LATHSAT_LOCUS26432 transcript:rna-LATHSAT_LOCUS26432-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMEGTSTPAVRRDPYEVLSVSRDSSDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYNILSDPEKRRQYDSAGFEALDADSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTISEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKTASYTIEAVCAESLEDTTEKLKDIEAQILRKRNELRQFESEYRKALARYQEVTDRYAKEKQSVDELLKQRDGIHSTFTIVKPTNISGSGSNLSNGSSSKISGEDSKGESPGEDGSDGKDKSGKKKWFNLNLKGSGSDKRLI >CAK8574050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655427847:655433345:1 gene:gene-LATHSAT_LOCUS26432 transcript:rna-LATHSAT_LOCUS26432 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMEGTSTPAVRRDPYEVLSVSRDSSDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYNILSDPEKRRQYDSAGFEALDADSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTISEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKTASYTIEAVCAESLEDTTEKLKDIEAQILRKRNELRQFESEYRKALARYQEVTDRYAKEKQSVDELLKQRDGIHSTFTIVKPTNISGSGSNLSNGSSSKISGEDSKGESPGEDGSDGKDKSGKKKWFNLNLKVKGIQNMSLTTHIFAVQ >CAK8533753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657096377:657096949:1 gene:gene-LATHSAT_LOCUS3353 transcript:rna-LATHSAT_LOCUS3353 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSNPNPKQHQRIAVGSFIEEYTNRVDILSFNPDTLFIKPQPSLSFDHPYPPTKLMFHPATHSPLQKTSSDLLATSGDYLRLWEVRENSVEALSLFNNSKTSEFYDPLTSFDWNEIEPKRIGTSSIDTTWTIWDIERGVVETQLIAHDKEVYDIAWGESRVFASVSADGSIRIIDLRDKEHSIRIFWL >CAK8568417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604926905:604936496:-1 gene:gene-LATHSAT_LOCUS21375 transcript:rna-LATHSAT_LOCUS21375 gene_biotype:protein_coding transcript_biotype:protein_coding MERDILPWLQPPTEEDRHTTGNINDNGDGFRIDDEDLASFRSMLEIEWCMNNMPTHNEQVVPNFQIQTQQHENFVSPSDLNGSITMQPLGSSSFSAPSNFSFSHLLNTEGNINNIINTSTTNNNEENNNNPFGSVLSLEPQSDFLTPFHGNQADLSAQIDHLCIFPKTSALGYVPTGLQEESSFGGGSGSSSSMFLSNDKDFGHAEIPSPLLASPNLLNNKDMSSNMSEVDKLVPLSIPQFTSTWLTKFDQKTEKELNGEVYKELNCESKEDVVIGGNQGGNDDQDENGIGEVDPKGKKKENPSKNLLAERRRRKKLDDKMYTLRSIVPIISKMDKASILGDAVEYLNELRQKVSDLQTELGSISLGPSLAPTTSTLPVQVNEELYHSYVSSPRNQSTKVETWEMEDGTVNIHMFCAYKPGVLVSIMKAFDILGLDIHQATISCFNGFTIDVYKAEQCIQGEKVNTELIKAVLVNAVDYHMA >CAK8569301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692659958:692662184:1 gene:gene-LATHSAT_LOCUS22169 transcript:rna-LATHSAT_LOCUS22169-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATVSSSSCEKEKDNGTIPNSTTRQSLKSDSQFNNYQLRKQKLRDNCFKRVREDRTRLLWKCRFSSPSQNLHQLDIEFQDIVSDEFHKIIRHDNDGEDHDDDLLWDDQSPHTTYQGDDCQEILLEMQRIFYEDLESQPSIQQVDTWEDEVDDYLARAVYEHMHLNADDTCRKEIWCPVCKHGELKDTHNIIYCTRCKLQLTKADELTLDFLRDRLAEVHMEHLDRGCKLKPRFCIKTQFNLTALYIMCEGCETFEIVI >CAK8569300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692659958:692662184:1 gene:gene-LATHSAT_LOCUS22169 transcript:rna-LATHSAT_LOCUS22169-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATVSSSSCEKEKDNGTIPNSTTRQSLKSDSQFNNYQLRKQKLRDNCFKRVREDRTRLLWKCRFSSPSQNLHQLDIEFQDIVSDEFHKIIRHDNDGEDHDDDLLWDDQSPHTTYQGDDCQEILLEMQRIFYEDLESQPSIQQETAVDTWEDEVDDYLARAVYEHMHLNADDTCRKEIWCPVCKHGELKDTHNIIYCTRCKLQLTKADELTLDFLRDRLAEVHMEHLDRGCKLKPRFCIKTQFNLTALYIMCEGCETFEIVI >CAK8569299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692659958:692662184:1 gene:gene-LATHSAT_LOCUS22169 transcript:rna-LATHSAT_LOCUS22169 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATVSSSSCEKEKDNGTIPNSTTRQSLKSDSQFNNYQLRKQKLRDNCFKRVREDRTRLLWKCRFSSPSQNLHQEQLDIEFQDIVSDEFHKIIRHDNDGEDHDDDLLWDDQSPHTTYQGDDCQEILLEMQRIFYEDLESQPSIQQETAVDTWEDEVDDYLARAVYEHMHLNADDTCRKEIWCPVCKHGELKDTHNIIYCTRCKLQLTKADELTLDFLRDRLAEVHMEHLDRGCKLKPRFCIKTQFNLTALYIMCEGCETFEIVI >CAK8543542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618564493:618564831:1 gene:gene-LATHSAT_LOCUS12293 transcript:rna-LATHSAT_LOCUS12293 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSALDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPLEKIKTKGGVKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLS >CAK8574062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656408760:656410490:-1 gene:gene-LATHSAT_LOCUS26442 transcript:rna-LATHSAT_LOCUS26442 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKIQLVAILSFICLVQNIQGWGEEGHAITCKIAQARLSDAAADAVKQLLPDYANNDLSSVCTWADRVKFALRWTSALHYADTPPKLCNFQYARDCKDLEGVKDRCVVGAINNYTTQLLEYGKKDTQYNLTQALLFLSHYMGDVHQPLHTGFTTDKGGNTIDVHWYTRKQNLHHVWDANIIETAEERFYDNNIDEYFKDIQKNITKTWSAEVADWEACSSDLTTCPNVYASEGVKDACQYAYKDAPEDATLEDDYFLSRLPIISLRLAQGGVRLAATLNRIFQ >CAK8538105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462949735:462953099:1 gene:gene-LATHSAT_LOCUS7340 transcript:rna-LATHSAT_LOCUS7340 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKTNNETEKEANEIVGLLDKVFSWTLQDVFNENLYKYKVKKIPETFDSPTDYKKAFIPLLLEETHSDLSSSLSGVARAPFCEILKLERDDSKLFNLPKPLIYNIEFEKDVGKYEPEYGDLVLFTDIRPKSVDDLKLNTPKSPYHVAFVLGPKSEFDKKIQVISSKCINKDFESDKRDFETQKLYAVYLMNMTTNVRIWKALNSKSHGSIIEKVLQPDLKSGENCQICLSEVTGYAPFIKEDAIICSQNLNESQQDAVMSSVDMKNCHPSEVKLIWGPPGTGKTKTVACLLFSLLKIQTRTLTCAPTNTAVLQVAIRLHSLVMDSLEYDTYGLGEIVLFGNSKRMKLDCYPGLEIVFLDNRVKILMKCFHRITGWKQILELMKRLLRDPQEQYLFELCAYRAYRAYKQNMGNEAWKDIAGINQMVEHDKKKRTMTMEEFVNQIFMESSVEKDRFLELRERLTLCSRTLHEHFTKILEGYKEQHFLDDLATGKNMDAYPMTFERYVQKAWKEIAQKYELDDDDDDKNACVISLEKFVKQRFGNLREILEFLNHALYTHLPKSFVSLETVKVMLQAPKMLESFENSLSQCIFKPALFDLEEKFVSDCFGPLSDKRDEILSILSLLSSSISLPDIYMKRDIEQFCLSNTCLIFCTASSSGKLYTEGMTPVKFLVIDEAAQLKECESTIPLQLPGLSHCILIGDERQLPALVKSKIADKCDFGRSMFERLVRLGYKRKMLNIQYRMHPSISLFPCKEFYDEKLSNAGVVMEESYNKCFLEGEMFASYSFINIAEGKEKTGRGHSLKNMVEVAAISEIIKSLKREFMRKKKKVSIGIISPYNAQVYEIKEKVKQYTSNSNSEFSVSVRSVDGFQGGEEDIIIISTVRSNGSGNVGFLSNRQRANVAMTRARYCLWILGNATTLINSDSVWRKVVLDAMGRNCFYNANDDMKLAGAIEDVLFEIKLLEETELPFKKLSIDGKSTTSYR >CAK8578629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642359753:642361063:-1 gene:gene-LATHSAT_LOCUS30622 transcript:rna-LATHSAT_LOCUS30622-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEIKSCLVILILFSSAIYCVVGEPQVPCLFIFGDSLSDNGNNNNLPTYAKANFKPYGVDFPDGPTGRFTNGKTSVDIIGDLLGFDHYIPPYANTNGKDIVEGVNYASGAAGIRNETGTHMGQHISMGMQLEHHKDIVSQISKKLGSDKVQQHLNKYLYYVNIGSNDYLNNYFLPQHYPTKAKYTAKNYAIALIKEHSTYLKALYQLGARKFSLIGLGDIGCVPHEIIRHGKKSCVDEETKAALIFNDQLKSLVERFNIIFPDAKFILINNSIMKDERLNLDTVNLICCKVGPSGQCVPNEEPCKDRNMRPFFDEFHPTEVVNNASANRAYTGLVPSFAYPMDISHLVKL >CAK8578628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642359753:642361075:-1 gene:gene-LATHSAT_LOCUS30622 transcript:rna-LATHSAT_LOCUS30622 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEMDCEIKSCLVILILFSSAIYCVVGEPQVPCLFIFGDSLSDNGNNNNLPTYAKANFKPYGVDFPDGPTGRFTNGKTSVDIIGDLLGFDHYIPPYANTNGKDIVEGVNYASGAAGIRNETGTHMGQHISMGMQLEHHKDIVSQISKKLGSDKVQQHLNKYLYYVNIGSNDYLNNYFLPQHYPTKAKYTAKNYAIALIKEHSTYLKALYQLGARKFSLIGLGDIGCVPHEIIRHGKKSCVDEETKAALIFNDQLKSLVERFNIIFPDAKFILINNSIMKDERLNLDTVNLICCKVGPSGQCVPNEEPCKDRNMRPFFDEFHPTEVVNNASANRAYTGLVPSFAYPMDISHLVKL >CAK8575624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:212002545:212003642:-1 gene:gene-LATHSAT_LOCUS27872 transcript:rna-LATHSAT_LOCUS27872 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKLVQEAVDALLDNGIRGQPMRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIIWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNWFNCRNSQNEKMSNNNFKNLKYMKKKEPCFCNSYDAIGAYRQKRINLDSPFWLRWQIHQCIMSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8575625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:212002545:212003573:-1 gene:gene-LATHSAT_LOCUS27872 transcript:rna-LATHSAT_LOCUS27872-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIIWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNWFNCRNSQNEKMSNNNFKNLKYMKKKEPCFCNSYDAIGAYRQKRINLDSPFWLRWQIHQCIMSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8574862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12625733:12627238:1 gene:gene-LATHSAT_LOCUS27162 transcript:rna-LATHSAT_LOCUS27162 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAATSSFIGTRLREVQSNSGRVQARFGFGKKKAAPKKPSRSTPTTDRPLWFPGAKSPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRTEFEDVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVEGSTYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDSEKRLYPGGKFFDPLGLAADPEKKETLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >CAK8542810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:549409908:549411888:1 gene:gene-LATHSAT_LOCUS11614 transcript:rna-LATHSAT_LOCUS11614 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLKLITFLLFSMPAITSSQYFGNNLLTNRKIFQKQEEVSSYAVVFDAGSTGSRVHVYRFNQNLDLLHIGKGVEYYNKITPGLSSYANNPDQAAKSLIPLLEQAEDVVPEDLQPKTPVRLGATAGLRLLDGDASEKILQSVRDMLSNRSTFNVQPDAVSIIDGIQEGSYLWVTVNYALGNLGKKYTKTVGVIDLGGGSVQMAYAVSKKTAKNAPKVADGSDPYIKKIVLKGIPYDLYVHSYLHFGREASRAEILKLTQNSPNPCLLAGFNGIYTYSGEEFKATAYTSGANINKCKNTIRKALKLNYPCPYPNCTFDGIWNGGGGNGQKNLFASSSFFYLPEDTGMVDASTPNFILRPVDIETKAKQACALNFEDAKSTYPFLDKKNVASYVCMDLIYQYVLLVDGFGLDPLQKITSGKEIEYQDAILEAAWPLGNAVEAISALPKFERLMYFV >CAK8573006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576557616:576558431:1 gene:gene-LATHSAT_LOCUS25501 transcript:rna-LATHSAT_LOCUS25501 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYHTNLPTQALFSLLSFVFLLLATNLNSAQALSFNFKRFTPGDSKITLQGDAQTFANGVIALTKSSPLPPGQYFTTVGRALYTTSVPLWDSATGIGASFVTSFSFIIDTTKGPITDGLIFFIAPPGTLIPKNSTTPFLGVVDSGSSINRFVGLEFDVYSNSWDPNTRHIGINLNSIISTKTVEWNLVSGSLTTVTIIYDSPSNTLSAVVTHENNQIFTIAQVIDLKAVLPNTVQIGLSAATLTGESYNIHSWSFTSNLETTTTSSVSDK >CAK8542551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525313672:525324945:-1 gene:gene-LATHSAT_LOCUS11381 transcript:rna-LATHSAT_LOCUS11381 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILQKKRNILFNHLISQHTRFILGFSSVGIGQPFDSSELDGLSQIPFSSSRTIGHGHGREHGQERKLCTLSDDELAACSSSRLYLHSSFGVSNFGIRNEKIELVSLSRLGWTSLNARYISTAAANQSRLGNGDSGNEQLDSKQKKEASPEECDEAVEDLSTVKAKAKAKQLQEPHKSTESIVKRLWAKILGIGPAFRAILSMSREDWAKKLSHWKDEFKSTLQHYWFGTKLLWADVRISSRLLLKLGNGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEVLKRRLNARIEYAKFLQDTVTEMAKEVQNSGSGDTKKTAEDLDEFMNKVRTGARVSNDEILGFAKLFNDEFTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKLIQEEGVESLSEAELRQACRDRGLLGLLSVEDMRQQLNDWLDLSLDHSLPSSLLILSRAFSISGKVKPEEAVQATLSSLPDEVVDTVGVTALPSGDSVSDKKRKLEYLEMQEELIKEEEEKEEGEHAKVAESNDGARDLATKEMASMTGPAQEDAKAKALEKHEQLCEISQALAVLASASSVSREREEFLRLVKKEMELYNSMVGKEGTEDEQEAKEAYRAARKDSDGAMEVAISDKVSSALVDKVDAMLQKLEKEIDDVDAKIRDRWRLLDRDYDGKVTPEEVVSAAVYLKDTLGKEGIHEFINNLSKDSDGKILVEDIVKLGTQKEDADKDEVGRS >CAK8572119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512402786:512403611:1 gene:gene-LATHSAT_LOCUS24718 transcript:rna-LATHSAT_LOCUS24718 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRMGIGRGNASSGMGVAEHSVSTFKELQRKKVHRYVIFKIDEKTKEVVVEKTGGPAESYDDFTASLPENDCRYAVFDFEF >CAK8536987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:50910468:50911304:-1 gene:gene-LATHSAT_LOCUS6308 transcript:rna-LATHSAT_LOCUS6308 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHRWRVGIMTEMAPIGYVGVSPKCILDFNKNHGEEISLRLRTDDLKGFGKYESIKKTLQHELAHMIYSEHDANFYALDKQLNQEAASLDWTRSAGHTLSGVRSSEIYEDDFMAEDSNNIPQKLGGNRSDQLMSARESSVTAA >CAK8567394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510671353:510673440:-1 gene:gene-LATHSAT_LOCUS20454 transcript:rna-LATHSAT_LOCUS20454 gene_biotype:protein_coding transcript_biotype:protein_coding MIARHLWLPSLLLLLVFPLICAAEEEDSTRNSIIFTTLGRAFYAFDIYSLPIPLHHQSPNNNQELQLTDGHSVNFNGHFLPNHTSIKLPSDQDQPPLQLVYVTERNGSPTIYFDAVYVTTKKTSTRRSALESDIIDRIQLPLLQNHVTENQNQVSIKDKPSVTNDGEYLVYISTHENPGVPRVSWTAVYSTHLKSGITRRLTPYGIADFSPAVSPSGKWTAVASYGAQGWSGEVQDLTTDIYVFLTVDGTQRQKVVEHGGWPCWVDDRTIYFHRRGVDNWWSIYRAILTTDSSDSVIIERVTPPGLHVFTPATSPGNHKFIAVATRRPGSSFRHVELFDLVNSEFKELTRFVSPQTHHLNPFISPDSTRVGYHKCRGMESNTSPQLLLENVRSPVPNLTLFRFIGSFPVFSPRGDRIAYAEMPGVYVVNRDGSNPRKVSNAMAFSTAWDRVRPGVIYTAVGETFASESTEVDIVSIDVDRNIIKKLTLDGKNNAFPSPSPDGKWIVFRSGRSGHKNLYVMNAVEGEKQGLRRLTEGPWTDTMCNWSPNGEWIAFASDRHDPGSGSFEIYLIRPDGTGLRKLIHSGSGGRTNHPYFSPDGKSLVFTSDYAGISAEPISNPHHYQPYGEIFTVRLDGSGVTRLTHNSYEDGTPAWSPKYIKPVNVERPKGGPYCSFDDCHWLNNMPKYAGLNAQCGL >CAK8563007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566027791:566030884:-1 gene:gene-LATHSAT_LOCUS16457 transcript:rna-LATHSAT_LOCUS16457 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKGYYSVSLTKKHMKDSFFSPQFTTRQHQCKQENHLVSEQTSLLNHSLKLSSSTSQNNLPPILHVFVTLLLFFTSISPILSHPILSNSTSSQQLISHTNNVNQTFRPDFALRKLKRIRAHLTKINKPAVKTIKSPDGDLIDCIISHQQPAFDHPKLKGQKPLDPPERPKGYNNNNNNVENATYNFQLWTDSGETCPKGTVPIRRTTEQDFLRASSVRRFGRKPRGVRRDSSGTGHEHAVVFVNGEQYYGAKANINVWTPRVTDQYEFSLSQIWVIAGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFIQTNNRIAIGAAISPRSIYNGRQFDIGLMIWKDPKHGHWWLEFGSGLLVGYWPANIFSHLRNHASMVQFGGEIVNSRSRGYHTATQMGSGHFSGEGFRKAAYFRNLQVVDWDNNLLPLSNIHQLSDHSNCYDIKEGRNNVWGTYFYYGGPGRNVRCP >CAK8536152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912170265:912171176:1 gene:gene-LATHSAT_LOCUS5548 transcript:rna-LATHSAT_LOCUS5548 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFTMVVIEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHTDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8530350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:15965174:15965548:1 gene:gene-LATHSAT_LOCUS219 transcript:rna-LATHSAT_LOCUS219 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQSRQKSYHDKRRKALEFQEGDHVFLRVTLVTGVGRALKSRKLTSHFVGPYQITQKLRKYIPDPSHVIQMDDVQVRDDLTVEVLPVQIDEREAKQL >CAK8574159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666550361:666551328:-1 gene:gene-LATHSAT_LOCUS26531 transcript:rna-LATHSAT_LOCUS26531 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMANANALRWIDTILVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHLPITALVRATYYRLGSLFAERGSKWSVVLNSGETFTDNCLKVMKEETTKSSTHQVRIFNYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYNNSFPVMSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLKRKCSMCRQTGHNRTRCPNVGTSNR >CAK8561209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117354840:117355208:-1 gene:gene-LATHSAT_LOCUS14818 transcript:rna-LATHSAT_LOCUS14818 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTNLNVADNSGARELMCIRIIEASNRRYAYMGDIIVAVIKKAVPNSSLERSQVIRAVILCTSKELKRRNGIIIKYDDNAAVLIDKQGNPKGTRIFCAIARELRQLNFTKIISLAPEEL >CAK8567236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496634531:496636531:1 gene:gene-LATHSAT_LOCUS20305 transcript:rna-LATHSAT_LOCUS20305 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNITDQTITAIVVATPLPTFQRQQRHCFGNSTPGEFPLSANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDLTLSLSELAAFDMCRKRAIFKPMTTEEKQDLKQRCGGSWKLVLRYLLAGEACCRREKSQAIAGPGHSIAVTSKGDVYSFGSNSSGQLGHGTTDEEWRPRPIRTLQGIRIIQAAAGAGRTMLITDSGKVYAFGKDSFGEAEYGGQGSKTVTTPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLGHHTDPNDMEPHPLLGALEDIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGTRTDEKFPRLIEQFQTLNIQPKVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECEAAPKVVEALSNVKAVHVATGDYTTFVVSEDGDVYSFGCGESASLGHNAVNDVQGNRHANVLTPELVTSLKQINERVVQISLTNSIYWNAHTFALTESGKLYGFGAGDKGQLGIELVANQTERVNPERVDIDLG >CAK8541632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:319813239:319814192:1 gene:gene-LATHSAT_LOCUS10537 transcript:rna-LATHSAT_LOCUS10537 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMHEIPAAVSAIQFYENAQPSMRGRNVYVQFSSHQELMTVDQRSQGREDEPNRILLVTVHQMLYPITVDVLQQVFSPHGYVEKVVTFQKSAGLQALIQYDTRQSAITARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTEQKGRPSQSGYGDAGMYGVQGSGPRSAGFSQMGNAAAVAAAFGGDFPPGITGTNERCTILVTNLNPDRIDEDKLFNLFSIYGNIVRIKILRNKPDHALDGRWFPIRIGSVFSEGIHAI >CAK8578319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620009289:620010260:1 gene:gene-LATHSAT_LOCUS30340 transcript:rna-LATHSAT_LOCUS30340 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFSLHVPKALPPLPYYISSSPSLFISSKLKPFHSNTTTPSNQSLHTVKCCIQKPNDHVSLKKPNLALPIGAALLALAEHPAALAVTGANNHPQELSWILIQLGIVLFFYFLTAPPLILFWLWKRWYRRKLVEMYLQFMFVFIFFPALLVWVPFLNFRKFPRDPDMEYPWSVPEDPSKLRNAYYKYPFADPEDYD >CAK8568093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572986288:572993265:-1 gene:gene-LATHSAT_LOCUS21089 transcript:rna-LATHSAT_LOCUS21089 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKREEKNECMDIVWQTPANPPLPQDYIFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYEYYVSAVKCGRIQVDGEMVPVSYVVKSSQKISHFLHRHEPPVMACEVPILLKEPDVLTVCKPASVPVHPCGQYRKNTVVGILQAEHGLAPLFPIHRLDRLVSGLLIIARNATKADSFRQEIEAGLVKKQYIAKVVGEFPQDELIVDANIDYNAREGRSTAEVRDSAKGKVSSTKFTRISSNGTHSIVLCEPVTGRTHQIRVHLQYSGHPIANDMLYISKEPVDRSINGSTADRSARISDVSLTSKFGEELPNECQENANDDFSLDPMCTNCPNLAPNGYDADEEGLWLHCMRYSGPGWTYECPYPDWAKL >CAK8561895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406242237:406243364:1 gene:gene-LATHSAT_LOCUS15443 transcript:rna-LATHSAT_LOCUS15443-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKVDWSQLPIVLWPKIGKYLDNHIDVLRFRSVCESFRSSIPPSLPNSPYFPLQVPHPIHISQNTHLNQSTIYLIEPTDATSTSNSSSSSSKGWLIKVQESKNLPLSLLSPISDRKLSYPQSNNNTAFKLWNLLDCRVIELCKSYTIPKTPLIASSVYKVVFFPNSPWTNVEDCVSCCIFQEGELGLMKHGDEKWKLVGDKNFHYDDVIVFKGQFYVTDKWGTISWIDVCSLKLIEFSPPLCGFGNKKHLVESCGSLYVVDRYYESSESMRRNNVGRRRNRGAVVECFKVYKLDEEWGKWVDVESLRDRAFILSNSCNFSVSVEDLIGYQGNCIYFRDTFDVRMYNLDDHRITSVDFFSSIDNTLWLHLPWIRC >CAK8561894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:406242165:406243364:1 gene:gene-LATHSAT_LOCUS15443 transcript:rna-LATHSAT_LOCUS15443 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFSKNNLNKKHRSLTSDSSSAMDHKVDWSQLPIVLWPKIGKYLDNHIDVLRFRSVCESFRSSIPPSLPNSPYFPLQVPHPIHISQNTHLNQSTIYLIEPTDATSTSNSSSSSSKGWLIKVQESKNLPLSLLSPISDRKLSYPQSNNNTAFKLWNLLDCRVIELCKSYTIPKTPLIASSVYKVVFFPNSPWTNVEDCVSCCIFQEGELGLMKHGDEKWKLVGDKNFHYDDVIVFKGQFYVTDKWGTISWIDVCSLKLIEFSPPLCGFGNKKHLVESCGSLYVVDRYYESSESMRRNNVGRRRNRGAVVECFKVYKLDEEWGKWVDVESLRDRAFILSNSCNFSVSVEDLIGYQGNCIYFRDTFDVRMYNLDDHRITSVDFFSSIDNTLWLHLPWIRC >CAK8534734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:761936743:761937443:-1 gene:gene-LATHSAT_LOCUS4253 transcript:rna-LATHSAT_LOCUS4253 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKTCCNTIKDDLVAFVNEFYANAKLSKDIITSFLTLIPKLENPSRLKRVVPSLIFRNQSGFGHKKMLDGVLLINELMDLYKRLRRECFLPKIGFEKECDNVFWNYIRSVMGFLGLGARWMDGSVFSSSFSILVNDSPTKNFDAYRGLMHGDPIFPFLFLLAVEGLISLVKNVILQGELPTSPYKDLAMKLIKNIIQITFFLRISSNGGLP >CAK8532622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332111047:332112685:-1 gene:gene-LATHSAT_LOCUS2309 transcript:rna-LATHSAT_LOCUS2309 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYLNNDYFTLPPSQRHETIPFLHLPTPISSAVDHHLNFYSSLPDVSLHIDRFPIHSALSSFLFSVLPHKIEIPNPDPSSSVSNYSNSNNALLREADFIFEDQVSDADIEFSKESKTVARSNENEAFMNRFNLKHQSSWTHSWKMYASLRRRGCKCCLTLQRLKIAWKCFCLSH >CAK8532578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:301705062:301707377:1 gene:gene-LATHSAT_LOCUS2270 transcript:rna-LATHSAT_LOCUS2270 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGISTNDDDHGGYLSSSMTCLDDIPESCISSMLMKMDPQEICKLARVNKTFHRASSDDYVWESKLPQSYKFLVNKVIEEEKVCSMTKKKIYAKLCQPNFFDDGTKEVWIDRCSGQVCLLISSKSLKITGIDDRRYWNYIPTEESRFKSVAYLQQMWWVEASGELEFEFPKGNYSLFFKLQLGKPIKRLGRRVCNLEKVHGWDIKPIRFQLSISDGQDSLSQCYLNGPGEWAYYHAGDFVIEKPNGPISLKFSLAQIDCTHTKGGLCIDGAVICPKELAHKLKQF >CAK8572559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546587724:546588466:-1 gene:gene-LATHSAT_LOCUS25112 transcript:rna-LATHSAT_LOCUS25112 gene_biotype:protein_coding transcript_biotype:protein_coding MALSERTHNYQMKVAENNGRCLLPDHSKDHYSVSCIWLFKCVLLWSSALGKSVGVALAAKGHISYSEWITFPSFK >CAK8572326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529802190:529802768:1 gene:gene-LATHSAT_LOCUS24902 transcript:rna-LATHSAT_LOCUS24902 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTTTATYGAIGSPSTATWQPPQTSNLIPTPRSWREFLDISAFSRPLSYDDAMLRLRQNLTYFQFNYASVMLLIVFLSLLWHPVSMIVFLIILIAWFFLYFSRDGPLVIFNQTLDDRIVLCLLGLVSVIGLVSTHVGLNVLLSLIVGVVVTGLHASCRVIEDLYVDEESGLLSVVGGTQPPPTRTNYTRI >CAK8541996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:449294899:449295681:1 gene:gene-LATHSAT_LOCUS10873 transcript:rna-LATHSAT_LOCUS10873 gene_biotype:protein_coding transcript_biotype:protein_coding MTINPPPSDTEVSALENKNLGRITQIIGLVLDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVQRLLGNNRARVVAMSATDGLKRGMEVIDTGVALSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYF >CAK8578067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604824101:604824817:-1 gene:gene-LATHSAT_LOCUS30109 transcript:rna-LATHSAT_LOCUS30109 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTICDSESLNHVVTNPNPTKEIDGKKIIQVTENNKNNNTDINYWQRAQWLRAAVLGANDGLVSVASLMMGVGAVKKDITAMLVAGFAGLVAGACGMGIGEFVSVYTQYEVEVGQMMREIGTCDGSEKKLENELEKRKSLPNPMQAASASAFSFSIGGLVPLLCGSFISDYKIRVIVMVAVSSFALVVFGRVGAVLGKTPKMKASIRFLLGGWMAMAITFGLTKLLAHCSGLDLDI >CAK8541729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:374186163:374189157:1 gene:gene-LATHSAT_LOCUS10625 transcript:rna-LATHSAT_LOCUS10625 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYTVLRRATAAVIPLAARRTVASSSRTFHSALSVKLLSHQEMIPFVPSRSFANAVAKKSIPDSNLIKVLQSEINCALEDNEATEQVEIPVGFPFEIEDNPEVRTIQLKRQYEDEVITVQVDIPTRTPEENEGDDADDNEKNDTESSIPLVVTVFKGNGVSLEFGLTAYPDEVLIDSLSIKKPDDSEDELAYEGPEFTDLDENLQKAFLKYLEIRGITAGTTNFLQEYMFNKDSKEYLLWLKKVKSFVE >CAK8538000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:456510553:456511544:1 gene:gene-LATHSAT_LOCUS7243 transcript:rna-LATHSAT_LOCUS7243 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHLTALSLFCLAFVGITATSPGEDYWQSIWPNTPLPKTFSDLLIPNGKTNSLPIKTEELNQYSTLFFEHDLHPGKTFHLGNTHPVGNVIRPFTQSKQGITDSIWLANKDEQSLEDFCYSPTAIAENKHCVSTLKAMIDQVISHFGTTKIKAISSNFAQDQNQYVVEEVRKVGENAVMCHRLNFKNVVFNCHQVKKTTAYVVSLVGQDGTKTNALTVCHHDTRGMNAELLYEALEVTPGSVPVCHFIGNKAAAWVPNHTVDNRC >CAK8542661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535363861:535364253:-1 gene:gene-LATHSAT_LOCUS11481 transcript:rna-LATHSAT_LOCUS11481 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRYLSRRGHRRLDYGGTTTTGQRKKIPIIRMRGPRRDRRIRTSPKIRWMIRSPLKLVRKVKNIYMNFMLKMAGNVGGALNTDNKFGVKRIPKASQLCSKGCSGDAFEARLIFEISKNLVASHELYSM >CAK8562911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555433711:555435653:-1 gene:gene-LATHSAT_LOCUS16373 transcript:rna-LATHSAT_LOCUS16373 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNAYIKPRNAKLCYSAFHNVSAMVGAAVLGFPYAMSQLGWGLGITILVLSWICTLYTAWQMIEMHESVPGKRFDKYHELGQHAFGEKLGLWIVVPQQLMVEVGIDIVYMVTGAKSLKKLHEILCDNCKPIKTTYFIVMFAFVQYFLSHLPSFNSVAGVSIVAAVMSLSYSTIAWIASIHKGAQPGVQYGSRYSSKAGNVFGIFSAMGDIAFGYAGHNVILEIQATIPSTPEKPSKLSMWRGMIIAYLVVALCYFPVTIFGYRAFGNSVDDNILLSLDKPQWLIVAANIFVVVHVVGSYQVYAVPVFDMMESFLVKKMNFKPTRFLRFMTRNSYVSITMFLAIAFPFFGGLLSFLGGFVFAPTTYFLPCIMWIFIYKPKIFSLSWFANWFCIVFGVVLMVLTPIGALRQVILQAKDHKFYL >CAK8532807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520346783:520347931:-1 gene:gene-LATHSAT_LOCUS2475 transcript:rna-LATHSAT_LOCUS2475 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKEVNEEAFKHLWKIPPRQKISKYVDTILPNIIKKLGKESQKINSWIVRHAGEVDYKVRHISLIEEKFVVNLSKHECSYRRWMLIGLPCCHALAFMKDQHLQVDYFVPDYYKKECYEAFYAPKIYPVNGESLWTKTGDVDLQPLPIKRQPGRPKKKWNKDAGEQVRNDTQLKRSKFGIKCSRCHKDGHNKSTRKLPTTTIITTPNVAAITTPPPTTAAKTTPTLNVVATSAPPLTVVATTPSPPTTAATPPPPPTTAATSIRPPTAAATSAQPPTPVVIAPSLSPTPVTATSSQPTPH >CAK8578757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651504443:651506289:-1 gene:gene-LATHSAT_LOCUS30743 transcript:rna-LATHSAT_LOCUS30743 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMGESGGNYCSKKSDDLCSNVCGQESSQASSMSRIRCILRGLDVKTYIFLFAFVPMCIFGLYIHGQKISYFLRPLWEKPPKSFNVIPHYYNDNVTMENLCRLHGWGVREYPRRVYDAVLFSNEIEILTLRWKELYPYIAEFVLLESNSTFTGLPKPLVFNSNREQFKFVEPRLTYGTIGGRFKKGENPFVEEAYQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDEVPSVLHLQLKNYLYSFEFLLDDKSWRASIHRYQSGKTRYAHYRQSDNMLADAGWHCSFCFRRISDFVFKMKAYSHYDRVRFSHYLNPGRIQKVICEGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAFLLENAEKYKFLLPGNCMRER >CAK8541788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:395442886:395443485:-1 gene:gene-LATHSAT_LOCUS10683 transcript:rna-LATHSAT_LOCUS10683 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQLNNSTCSKTQLHSSSHLSFLSSTRTRTLPRHYHSTFAPLHRAQHARISCSVVPNQVQVPAAQTQDPKGKPNCYGVFCLTYDLKAEEETKSWKKLINMAVSGVAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVTLELEDSLFPLLREVVISIDPYEVFQDAEWALLIGTKPRGPGVERAALLDI >CAK8570108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24051386:24053425:-1 gene:gene-LATHSAT_LOCUS22890 transcript:rna-LATHSAT_LOCUS22890 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSVGCNGDSRIENLICATKSLKFSLEKSKVVGLALEKAGPRLDEIRVRLPWLESAVRPIRAEKDALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRIDLPGYLSVLKRLEEALRFLGDNCGLAIQWLDDIVEYLEDNSVADQVYIKNLKKELESLKESQNGDLDGGLLDAALDKLENEFRLLLTENSVPLPMSSNSLGDQACIAPSPLPVSVVHKLQAILGRLRANDRLDKCVSIYVEVRSSNVRASLQALNLDYLEISVSEFNDVQSIEVYIAQWGKHLEFAVKHLFEAEYKLCNDVFERLGLDVWMGCFSKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGDACVEIQNLTRELIKSVIDGAAEIFWELLVQVELQRQSPPPPDGNVPRLVSFITDYSNKLLGDDYKPILTQVLIIHRSWKRQSFQEKLLVNEILNILKAIEINLDTWIKAYDDPMLSNFFAMNNHWHLFKHLKGTKLGDLLGDSWLKEHEQYKDYYSTIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKKFNEVFDDMYSKQSGWIMVERDLREKTCQLIVQAVVPVYRSYMQNYGPLVEQEASSNKYAKYTVQKLEEMLLCLYRPKPARHGSLRSPQLSGKYGNGIPDLRRTASAVV >CAK8571640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454243365:454245618:1 gene:gene-LATHSAT_LOCUS24282 transcript:rna-LATHSAT_LOCUS24282 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNCFDLETMTYSSPRQPIHLPTDPDLSLTSFLFQSTSSVADSIALADAQTGDSLTFRQLETQVTALSHSLLRLDIQRGDVVLLFAPNSIRFPVCFLAIVSIGAIATTCSPLYTVSELSNQIQDSNPKLVVTVSELLHKIEQLHLNLPSILLDDASTRVLSSNISSSLVWDYNDLTGESNKSSNEIHANGVVSQSDVAVILYSSGTTGRSKGVMLTHRNFIATALAGAADQDYYGEGKNVALCLVPMHHVMGLAVITYTYLRRGNTVVSMVRFELEKALATVEKFRVTHLSIAPPVMVELVKRRQVVSRYDLSPLKRLACGAAPLGKDVMKECAKILPQTKIVQGYGLTEACGLVSVENSREEWFICGLGSSGALLSSVESQIVSLETSKTLPPNQVGEIWLRGPTMMKGYFNNPEATKHTINDEGWMITGDLGYFDEKGQLFVVDRIKELIKCNGYQVAPAQLEDLLVTHPEISDAGVIPSRDANAGEVPVAFVVRSRNSSITEEDIKKFVAKQVAPYKRLRRVTFIDKIPKSITGKILRKDLVSLDRQKTSKL >CAK8570395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42743317:42744570:1 gene:gene-LATHSAT_LOCUS23144 transcript:rna-LATHSAT_LOCUS23144 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIWELLYGGNHFRTNKSAALFMNSTRYHSSQDVYDMQWYRNEFPKIIKLTRLLANVDTINGRLVDVNSNSTIFDDEIDHQMRTFKSLVRVFIGSPFVQHKMRCVLPPTTNTQHDTFTPFSKTTERESMVVDSLTKVSNFLNVSAQQRKVVRFKVCPQVTQHHIWTGALKETLNNFVVDLDSLGSQGLEEGAILGQQIIHSCLKFLTETASFSDADSSSWMKFSPTKIVTSSESQKWEDVLAMFNDLIKCCKSETRLKSHVAKVEVMKEGLLHIKDIMIDNSIAYKDARYLQNLVQKKLSKTLGHSSGCLFTLLQYYLYGRVTDIEVDLCGGIYRNGNDSRFCLFMGRILTSDSDRMVGRGVKQLDRALGLFKFVWETAGLEGILELQGHLWCVGANSRMLRYRGNMYFVHGVCL >CAK8568532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614555510:614557473:-1 gene:gene-LATHSAT_LOCUS21480 transcript:rna-LATHSAT_LOCUS21480 gene_biotype:protein_coding transcript_biotype:protein_coding METMKSMFLSILVSFIFTCYVSSVTAALSFDFYAASCPNAELMIRNTVSTASSNDPSVPGKLLRLVFHDCFVEGCDASLMLQGNNTEQSDPANRSVGGFSVIESAKRLLEIFCPGTVSCADIVALAARDAVEIAGGPRVQIPTGRRDGMVSIASNVRPNIIDTSFTMDEMVKLFSNKGLSLLDLVILSGAHTIGSAHCNTFRSRFQQDSNGTLKLIDRTIDTNYADELMRQCPVTAQPSVTVNNDPETSMLFDNQYYRNLLAHKVLFPSDSVLLSNINTKKMVEDFANDQQLFFVNWGAAFVKLTSVGVKTDEEGEIRRSCTATNV >CAK8568533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614555510:614557464:-1 gene:gene-LATHSAT_LOCUS21480 transcript:rna-LATHSAT_LOCUS21480-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMFLSILVSFIFTCYVSSVTAALSFDFYAASCPNAELMIRNTVSTASSNDPSVPGKLLRLVFHDCFVEGCDASLMLQGNNTEQSDPANRSVGGFSVIESAKRLLEIFCPGTVSCADIVALAARDAVEIAGGPRVQIPTGRRDGMVSIASNVRPNIIDTSFTMDEMVKLFSNKGLSLLDLVILSGAHTIGSAHCNTFRSRFQQDSNGTLKLIDRTIDTNYADELMRQCPVTAQPSVTVNNDPETSMLFDNQYYRNLLAHKVLFPSDSVLLSNINTKKMVEDFANDQQLFFVNWGAAFVKLTSVGVKTDEEGEIRRSCTATNV >CAK8535830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883974293:883976497:-1 gene:gene-LATHSAT_LOCUS5250 transcript:rna-LATHSAT_LOCUS5250 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPTVKAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGAIFLLFLSFISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWAGHLVHVAIPGSRGEYVRWNNFLSVLPHPQGLGPFFTGQWNLYAQNPDSSNHLFSTSQGARTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDILEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNKDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFSQWIQSAHGKTSYGFDVLLSSTNSPALNAGRSIWLPGWLNAINENRNSLFLTIGPGDFLVHHAISLGLHTTILVLVKGGLDARGSKLMPDKKDFGYSFPCDGPRRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLLVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPMALSIVQARLVGLVHFSVGYIFTYAAFLIASTSGKFG >CAK8568038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566067435:566069108:-1 gene:gene-LATHSAT_LOCUS21037 transcript:rna-LATHSAT_LOCUS21037 gene_biotype:protein_coding transcript_biotype:protein_coding MISLYKLLATLIKNGLHNNPLSLRSFFLTCANSLDTARYAASLLLRFPIPPDPFSYNTIIKQVAPHSPTLALSLFSHMHRNSVPFDHFTFPLILKQHHPYHLHSLILKLGFHSNIFVQNALINAYGSCGSLHLAVKLFDEMCQRDLVSWSTLIACFVNNNLPAEAISIFQQMQLDHPDIVSSIDGVIMLSVLSAVSSLGVLELGVWVHSFISRIGLLITVPLGTALINMYSRCGSIDRSVKVFDEMPHRNVVTWTALINGLAVHGRSREALKVFDDMKESGLKPDRASFIGALVACSHGGLVEDGWRVFESMTNEFGIEPMLEHYGCMVDILGRAGLLVEAFEFVEKMPVKPNSVIWRTLLGACVNHNHLLLAEKARERVKELDPHHDGDFVLLSNAYGGVGNWGGKAGLRNSMKQNRIVKEPGLSFIHIDQVVHEFVSGDHSHPQWEEITHFLVSVIDTLKLGGYTPNTSSVLHDIQEEEKEHCVGYHSEKLAVAFVLLHHRDRRTIRVIKNLRICNDCHDFMKHVSGIFDREIIIRDRNRFHHFRQGSCSCQDFW >CAK8569987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19215439:19218188:1 gene:gene-LATHSAT_LOCUS22779 transcript:rna-LATHSAT_LOCUS22779 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHNQHLSQEIPIHHFTDQSNNTFRSILPDSPDPNSKPDSDPNQLQTTPNWLNNAILRTHYTDSNNNNNNDVNDNNNTNDNVNGPSNFLNLQTTSDTVQNSGQWLARSILHRHHGEVIDDVTDVHDLDDLKGEGGTKGDAVVVGDGMVNWQTGRWKAEIMSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVEKYSAFGQNIVGVDDKELDHFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDEQVDSDANMFDGSFDGGGDNMGFGPLIPTENERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRSQN >CAK8543112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579725896:579726327:1 gene:gene-LATHSAT_LOCUS11894 transcript:rna-LATHSAT_LOCUS11894-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQLEANVMSNKAEDDNQGPIEVGNVNMDIVQIRKGNASTTADESLSIRNKDVETIMEKWTEVIKSGRDRAKKTGNAGAAGVLSYDNGFDALEILKDLIEAQNTGQ >CAK8543111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579725836:579726327:1 gene:gene-LATHSAT_LOCUS11894 transcript:rna-LATHSAT_LOCUS11894 gene_biotype:protein_coding transcript_biotype:protein_coding MDITKELPQSITIADHEGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQLEANVMSNKAEDDNQGPIEVGNVNMDIVQIRKGNASTTADESLSIRNKDVETIMEKWTEVIKSGRDRAKKTGNAGAAGVLSYDNGFDALEILKDLIEAQNTGQ >CAK8534647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752197971:752198590:-1 gene:gene-LATHSAT_LOCUS4175 transcript:rna-LATHSAT_LOCUS4175 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCKHLIAIIFLTLFITGTYGQCPLNSLFIITRMTGAKIGGKTQWRVTVVNKCNCPLSQIVLNCQGFQSTMPVDNTILLKRGDNCLLYNGHALSGNDADQFAYAWDTPFNLYPVSAVTGSPCK >CAK8536660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6176807:6181383:1 gene:gene-LATHSAT_LOCUS6000 transcript:rna-LATHSAT_LOCUS6000 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFEGYERQYCELSANLSRKCSSASLLSDKEEKLQKISEIKTGLDDADVLIRKMDLEARSLQPSVKAMLLAKLREYKSDLNNLKKELKRLTSPTADQAARDDLLETGRADAYLASSDQRERLTMSVERLNESSDRIRESHRTVLETEELGVSILQDLHQQRETLLSSHKRLHGVDNAIDKSKKVLTTMSRRITRNKWIVGSLIGALVFAVVVILFYKLSR >CAK8564588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1593900:1596943:1 gene:gene-LATHSAT_LOCUS17880 transcript:rna-LATHSAT_LOCUS17880 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMSTNNTSTMENHKVLMLMVFTFFFCSMPTFSKQSTFTTVAPNQFIQYRDTLVSAAGTFEAGFFNFGDPQRQYFGIWYKSISPRTIVWVANRNIPVKNSTAMLKLNDQGTLVILDGSNGIIWSSNSSRIVVKPAVVQLLDSGNLVVKDANSSGENKDFLWESFDYPGDTFLAGMKLRSNLVTGPYKYIRSWKSPDDPSDGEFSYRLDTRGFPQLVTAKGERLVYRSGSWNGLLFSGISWPMHRIYNLLFVFTDKEVSYQYETLNSSIISRTVLDSYGTSQRFLWSDETQNWQATVTRPADQCDDYALCGINSNCNVNDFPMCECLDGFIPKFQAKWESSNWSGGCVRRTELNCPDGDGFLKYTNMKLPDTSSSWYDRSLSLEECKTVCLKNCSCIAYANLDIRYGGSGCLLWFHSVVDMRKHLDQGQDIYIRLAFSELDHTKNKKNMNMKLAAIFLSVIAFIIGLTALLLATSPFRKKLGYIRKLIQWNHKKEKKEDDLPTVFDFSTIINVTNNFSYQNKLGEGGFGPVYKGVLEDGREIAVKRLSETSGQGTKEFKNEVKLMATLQHRNLVKLLGCSIHQDEKLLIYEFMPNRSLDYFIFDTTRSKLLGWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDHAEANTNRVMGTYGYMPPEYAVHGYFSTKSDVFSFGVVVLEIISGRKNRGFCDPQHHLNLLGHAWRLWIEERPEELMADILYDEAICLEILRFIHVGLLCVQRKPENRPNMSSVVFMLKGEKLLPTPCEPGFYDGRDNTNSTGSSSKGCSINEASISLLEAR >CAK8564589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1593933:1596943:1 gene:gene-LATHSAT_LOCUS17880 transcript:rna-LATHSAT_LOCUS17880-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKVLMLMVFTFFFCSMPTFSKQSTFTTVAPNQFIQYRDTLVSAAGTFEAGFFNFGDPQRQYFGIWYKSISPRTIVWVANRNIPVKNSTAMLKLNDQGTLVILDGSNGIIWSSNSSRIVVKPAVVQLLDSGNLVVKDANSSGENKDFLWESFDYPGDTFLAGMKLRSNLVTGPYKYIRSWKSPDDPSDGEFSYRLDTRGFPQLVTAKGERLVYRSGSWNGLLFSGISWPMHRIYNLLFVFTDKEVSYQYETLNSSIISRTVLDSYGTSQRFLWSDETQNWQATVTRPADQCDDYALCGINSNCNVNDFPMCECLDGFIPKFQAKWESSNWSGGCVRRTELNCPDGDGFLKYTNMKLPDTSSSWYDRSLSLEECKTVCLKNCSCIAYANLDIRYGGSGCLLWFHSVVDMRKHLDQGQDIYIRLAFSELDHTKNKKNMNMKLAAIFLSVIAFIIGLTALLLATSPFRKKLGYIRKLIQWNHKKEKKEDDLPTVFDFSTIINVTNNFSYQNKLGEGGFGPVYKGVLEDGREIAVKRLSETSGQGTKEFKNEVKLMATLQHRNLVKLLGCSIHQDEKLLIYEFMPNRSLDYFIFDTTRSKLLGWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDHAEANTNRVMGTYGYMPPEYAVHGYFSTKSDVFSFGVVVLEIISGRKNRGFCDPQHHLNLLGHAWRLWIEERPEELMADILYDEAICLEILRFIHVGLLCVQRKPENRPNMSSVVFMLKGEKLLPTPCEPGFYDGRDNTNSTGSSSKGCSINEASISLLEAR >CAK8544447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685300707:685301733:-1 gene:gene-LATHSAT_LOCUS13123 transcript:rna-LATHSAT_LOCUS13123 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTDKQEALVNSSWESFKQNLPQNSVLFYTIILEKAPAVKDMFSFLKDSAGVQHSPTLQAHAEKVFGLVRDSAVQLRTKGVVVLGDATLGAIHVQKGVAGPHFVVVKEALLKTIKDVVGDKWSDELSTAWEVAYDELATTIKKAMS >CAK8578583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640343216:640343596:1 gene:gene-LATHSAT_LOCUS30580 transcript:rna-LATHSAT_LOCUS30580 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKTTVSYETYPQQVQIVTKSVSDRLLQKFYDEPLFDFDYEKSGLWSPPVPRTVFLSSPGSIFTHRELLDRLRNTNARRKIRVFCCS >CAK8536836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25975660:25976202:-1 gene:gene-LATHSAT_LOCUS6165 transcript:rna-LATHSAT_LOCUS6165 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHLGKMFMDFMNDGMDEELVKLYFESHAQEECESSSRPRRQRRNIERNHEEGHERLFNDYFSETPVYTDEQFRRRYRMHKHVFLRIVEALGQHDGYFQLKIDATGRSSLSPLQKCTGVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVISLFGSQYLRKPTIEDNERLL >CAK8560217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10750122:10754905:1 gene:gene-LATHSAT_LOCUS13911 transcript:rna-LATHSAT_LOCUS13911 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGHPRIYDVFINFRGEDTRKSFVSYLHAALSNAGINTFLDDKNLQKGKKLGPELKRAIEGSQISIVVLSVKYANSSWCLNELLHIMKCHKINGQLVLPVFYEIDPSVVRWQTGDFGDALKFSAKRVESFSLTKKKGDLLEKWRTALTEVANLSGWNSTSFRSGDNLVTNIVDDILRKIDVSLLSITEFPIGLDSRLQNMTYFIDDQSSKACMIGIWGMGGSGKTTTAKALYNQIHRKFMGRTSFIENIRETCENDTRGVIHLQQQLLSDIFKIKQEIHSIALGITKIKTRLRGLKSLVVLDDVTKLEQLNALCGNPKLFGSGSVLIVTTRDVRLLNSLNTGHVFPMTEMDDNQSLELFSWHAFRQPSPRKDFSELSKNVVAYCGGLPLALEVLGSYLSRRTKQEWRSALSKLEKIPNNQVLKKLRISYDGLEDYKEKDIFLDICCFFIGKNRADVTEILNGCGLHADIGIAVLIECSLVKVGKNNKLQMHDLLRDMGRAIVGESSEKEPAKHSRLWFREDVLDVLSNNTGTEAVEGLILRLQQTGRIHFSTNAFQEMKKLRLLKLDGVDLKGDYGLISKQLRWVDWQRSSFKFIANDFDQENLVIFELKYSNVKQVWQETKLLEKLKVLNLSHSKYLKSTPDFSKLPNLEKLIMKNCQSLSEVHHSIGDLKKIHLINLKNCTSLANLPREIYQLISVKTLIISGCSKIEKLEEDILQMESLTTLIAANTGIKQVPFSIVRSKSIGYISLCGYEGLSRDVFPCLIQSWISPTRNSLPRVSPFGSSSLSLVSLDVESNNMAYHSPMLTILSKLRCVWVQCHSKNQLTQELQIFIDDLYDVNLSELEISNHSLRSLVIGMGSSQIVMDTLEKSLSQGLTASSSDSFLPGDNYPFWLAYTCEGPSVLFQVPEDNDCGMKGIALCVLYSSTHENRTTECLTSILIINYTKFTIQIYKRDTVISFNDEDWQGVISNLEVGDNVKIFVAIEHGLIVKETSVYLIYGQSTDMKVEPTIEVEVQPSPDVKTEPSSRVELQPSPDVKTEPSSRMEVQPSPDVKTEPSSRVEVQPSPNVIAEPSPRVEVQPSPDVKTETLPNMIAEPSPRVEVQPSLDVKMEPSPRLEVQPSLDMIAEPSPRVEVQPSLDVKMEPSPRVQPSPNMIAEPSPRLELQPSLDVKMETLPKPNENIFTRLLKRIGRCLFSNQT >CAK8534410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724185992:724186881:-1 gene:gene-LATHSAT_LOCUS3958 transcript:rna-LATHSAT_LOCUS3958 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLNNQIEKTVPQWRDKFLSYKLLKKKLKLVQPTSDERPNKRARIDGDGEMSNEETDFRNSLENELHKFNSFFVEKEEECIIRFKELQDCVAKGRGSNEQMMQIHKDIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQEPFFTTDLLYKLIKECETMLDGLFPFGESVESSGDVPSTSSSATNSDDQFMLKEIGEMQKSLYLKSTISALHVLQEIRSGSSTVSMFSLPPLEQTLNKISVFEQTAK >CAK8575316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:76922767:76924221:1 gene:gene-LATHSAT_LOCUS27589 transcript:rna-LATHSAT_LOCUS27589 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFSRTSNTSHKLVICSNIRYYDVRTTPKNACYRVRRGKTWSGSMLQCYSTGSSEESSYFGDQRVKFEIENENGGESLKQKFEILACEYGWRIRRLTVNADEIKMAAQVQAEAFHVPMALFNDLFFQFFKAEVLSGLLYKLKHSPPNRYACLVAENDQDSPKQLVGVVDVTVMRDQDVLQHLPDEAQEYLYVSGIGVSNAFRRMKIGTVMLKACDMISNLWGFEFLVLRAYEEDVGARTLYTNAGYQVVSKDPPWTSNWIGRKCRVLMIKRISLLP >CAK8539723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523042061:523044681:1 gene:gene-LATHSAT_LOCUS8795 transcript:rna-LATHSAT_LOCUS8795 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCDKGFADSYMILNPEDAHFFDIIHVLFSRNIGHRKFVDSNAEGDVEGSFRGRWLIFISIILQKFLLYIARPLAKLGSCVEMLVNLIALNGGIIMIIINFLSGHLEIPDPKSHRYLSCIGNLDTRVKCDILKREDSKYYVSLAMMASKACYENEAYLKSTIAHEWKMEFVGFFDCWNEYQGRATTQILILLDKSKDRDTYVVAFRGTEPFDADAWCTDLDISWYGIPGVGRVHGGFMKALGLQKNLGWPNEIERDEKLAPLAYYVVRDILRKCLSENPNAKFIVTGHSLGGALAILFPTIMFLHDEKLLIERLEGIYTFGQPRVGDERYAEYMKEKMKENHVRYCRFVYCNDIVPRLPYDDKDMLFKHFGICLFFNRRYELKILEEEPNKNYFSPWCVIPMVFNAILELIRSFTIAYKNGPHYREGWFLFFFRMVGLLIPGLPAHGPQDYINSTLLGSIERHFKEE >CAK8543451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608452474:608454976:1 gene:gene-LATHSAT_LOCUS12207 transcript:rna-LATHSAT_LOCUS12207 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLNKFKRFNPLEPSITTFGFFFLSVLFIGCFFYVDYKGILHSHGTKLFTFQFSTLSSSSSSSSSSPPPPVQFMTPQGDKCDVFDGNWVWDEAYPLYHSSNCSFLDQGFRCSENGRPDAFYTKWRWQPKDCDLPRFDARKMLEMLRNKRLVFVGDSIGRNQWESMLCMLSSAITNKSSVYEVNGTPITKHTGFLAFRFEDFNCTVEYYRSPFLIVQGRPPPGAPHRVKLTLRVDQMDWTSHRWRNADVLVLNAGHWWNYQKTIKMGCYFQIGNEVNMNMSTEDAFRVSVETVVDWIAREVNRNKTYVLFRTYAPVHFRGGDWNTGGGCHSETLPDLGSLPTLSDMHFSTVANVLPQRANKSEGLNLDLLNVTQMSARRKDGHASIYYLGPKRTASMQRQDCSHWCLPGVPDSWNEILYSLFLKKTFSGHNSTEVSQVSL >CAK8567449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514673608:514674068:1 gene:gene-LATHSAT_LOCUS20503 transcript:rna-LATHSAT_LOCUS20503 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRGSASMNMVTVMISLLLLLFLSENSEAATYTVGGPGRWTYNTDTWPNGKTFRAGDVLVFNYDSTIHNVVAVDKGGYGSCKAPGGAKVFSSGSDQIKLARGPNYFICGIPGHCQSGMKVFINAV >CAK8579608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712531462:712532313:1 gene:gene-LATHSAT_LOCUS31540 transcript:rna-LATHSAT_LOCUS31540 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPQEGKPSYNQPQPGAATGFPVSYNSNPSTYSGTTSSDYQPPPPPPKPIVDWSTGLCDCCSDPGKSCITFWCPCITFGQVAEIIDKGSTSCGASGALYTLICCVIGCGCLYSCVYRSKMRQQYGLKGNDCTDCIIHCFCETCALCQEYRELKHRGFDMVIGWHGNVEQRTRGIVMASNAATTTAPTVELGMSR >CAK8544151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668074092:668077321:1 gene:gene-LATHSAT_LOCUS12853 transcript:rna-LATHSAT_LOCUS12853 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYHGNSEIQSGGGGGGADGLQTLVLMNPGYIQYSDTTQQPQAQSQPPSHAAASGNYVFLNSTAAENNSFSPHAPPSNTQQFVGIPLNHQHQHQIHAHHEVVPSLHGFLPHMQYNQWNTIDPSTAARETPRAQQGLSLSLSSQPARFMSFREGQAGGISGGGSPSPASGITNNGNSGIQVLSSKYLRAAHELLEEVVNVNSGVELGKKNKMNIGESSGVGSGGDGSIIGGGEGSGGKRSSELSTAERQEIQMKKAKLITMLDEVEQRYRQYHHQMEVVVSSFEQAAGIGSARAYTALALQTISKQFRCLKDAITGQVRGINKSLGEEDCFGGKIEGSRLKYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEMKENELNASDDKSSKSNEDSSMKITPSQEKALITSETEPRGYNSTQDISKNQETQIISVSRPQTSSLVGNVRNNSGFSFIGSSELEGIPQTSPKKPRNHELMHTPNSFIDVKHNEASNELKFGDERQSRDGYSFMGNQTNFMGGFGQYPIGDIGRFDSEQFTPRFSSNGVSLTLGLDSIQGNHQTFLPNQNIQLGRNIDISETNEFGSINTSSPQYESINMQNPKRFAAQLLPDFVA >CAK8573629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626122225:626123541:-1 gene:gene-LATHSAT_LOCUS26058 transcript:rna-LATHSAT_LOCUS26058 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSCLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDMGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDSLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSTRLDPLETLETSDPDYINDLDGSDRSDPLETLETSDLDEIYYPDGSARSDPLETLETSDPDYINDPDGSDRSDPLETLESSDPDYINDPDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPNG >CAK8562171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450705127:450707166:-1 gene:gene-LATHSAT_LOCUS15690 transcript:rna-LATHSAT_LOCUS15690 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSCVPPGFRFHPTDGELVGYYLRKKIASHNIDLDVIKEIDLYRIEPWDLQDMCRIGNEEQHEWYFFSHKDKKYPTGTRTNRATMVGFWKATGRDKSVYEGTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRVETVENAPPQEEGWVVCKAFKKKTNVQTKTNERWEPTNLYDMEQTSGIISRQPQRISSQNFMYKQDIEEDNLIFMHSQQLVPLPQLESLSLPLEKRQISMSIESENNVNNNNVQIGLLSSISKTEKVTDWRDLDKFVASQLSQEDHRYETSSDMSLLLLQSSRDEEIKLSSFLSSSLDCDIGICVFEN >CAK8566487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428404385:428404792:-1 gene:gene-LATHSAT_LOCUS19614 transcript:rna-LATHSAT_LOCUS19614 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVYSPSSAAKSTMEDSNHHRSKKSQRRRRPSATPTNGDYSDVEDAEDGDPEAWATLNKSFRQVQSVLDRNRAIIQQVNENQQSRMPDNMVKNVSLIQELNGNISKVASLYSDLNSDFTSICHQQQRSSHTRGK >CAK8566718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455267322:455267828:1 gene:gene-LATHSAT_LOCUS19830 transcript:rna-LATHSAT_LOCUS19830 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGVFLHETLKDSDLDKYKVIVMDEAHERSLNTDVLFEILKNVVASRRDFKLIVTSATLDAQKFSNFFGSVPIFHIPGRTFPVNILWSKTPCEDYVEGAVKQAMTIH >CAK8536440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941506381:941506764:1 gene:gene-LATHSAT_LOCUS5808 transcript:rna-LATHSAT_LOCUS5808 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGVMEDDVAYQDDEISLVNGVIEIEEITSLGDTVVVGQQVDATIFLSANHVEEEEEESGDSEDDNIISDEDSDDYNDE >CAK8568621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624855700:624857472:-1 gene:gene-LATHSAT_LOCUS21563 transcript:rna-LATHSAT_LOCUS21563 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMEWWLGSGQSNKHAVKVTQLKLQLGLFGANVSTTVNDKLAIEITWVKKGNNQNSSPSCSIIPFQFHHRTLKRPCTHTTPCRRFITAGKTPSLVWDDRDLCAFDLRLKDVYHVAFHVLYGEGELKDRRTVVGKVSMTLGMEEMKIESNIERKLPIRLKVNGLIFEATLSVCLRLCDSARTTFENTAKTDKKHGIIEKVKHLTCMTKKTNDTNFDSYESDDSPVFDSDDSSNESTTSGGSSTSNSPKEPRITFLTSEKNTGNASKWEINYILSRDSQTKLKANVFFASFDQRSEKAYGESACTVLVALIAHWLHSNQGIPTRTQFDNLITQGSYEWRKLCKSDYYSKLFPDKHFDLETVIDANLRPITVLPQKSYTGFFSPEKFHCLEGAMSFDEIWKEIENNKMDDFEARIYIVSWNDHFFILKVEIDAYYIIDSLGERLFEGCRRAFVLKFDDSSVMYGKKDEVGGAKSNNSRGEESFEVVCRGKECCKEFIKRFLAAILVRQLEKEEKKWIVSNPNLHRQLQIDFHFSL >CAK8538634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489650181:489651834:1 gene:gene-LATHSAT_LOCUS7814 transcript:rna-LATHSAT_LOCUS7814 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRNSSSSTYFLFSILSCIFLSCFLGSVGAYKNYTVGDSLGWFDKLEKPAVNYQKWVAKKQFSLGDFLIFNTDSNHTIVQTYNFTTYKQCDYDDAQDKDTVQWSSGSQSNGEVTPLTVAVPLLKEGPTYFFSSDYDGEQCKNGQHFRINVTHGLGLPKTLLPADDSPAPASPISGDDDSAPDTTVPSNFNNPKEDKDDDKTSDEKSDSCSVLKYAQLYNKFYVFLVLLGVFSLF >CAK8561493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:250210193:250210708:-1 gene:gene-LATHSAT_LOCUS15080 transcript:rna-LATHSAT_LOCUS15080 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAKANTHNVMQFDRERLCFMVKEKINYNDGRPTGTFRVDLRNRFCDCGKFQAFHLPCSHVIAACASIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYKGFTLCHDDSMRRNKKGRPKSSRIRIEMDDGEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8578244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614357586:614358122:-1 gene:gene-LATHSAT_LOCUS30271 transcript:rna-LATHSAT_LOCUS30271 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMRSKREKRLRAIRREIVQPFYDEKEAAKLSAQEAALAAPKLQVPVRPNTTMEISTSTVDNTNTMDVDMTDENKSKVSLKPSGRIGKKLKKKFKMAKGNRRNGNGKPSRKRHI >CAK8572290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526884570:526885121:1 gene:gene-LATHSAT_LOCUS24872 transcript:rna-LATHSAT_LOCUS24872 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSESSNSSLTKIESSTSGSSITTTNNSISSGSSTPTSSRYENQKRRDWNTFCQYLRNHRPPLSLALCTGAHVLEFLNYLDQFGKTKVHNHPCPFFGIPSPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRPETNPFGSRSVRIYLRDVRDFQAKARGVSYEKKRKRPKPKITAPTSAS >CAK8533449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:619325406:619327044:-1 gene:gene-LATHSAT_LOCUS3072 transcript:rna-LATHSAT_LOCUS3072 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSASESVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWVVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMSVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPHPPLDHTMAGDIDVDWISYHQSVQNVIRPTTPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPVYEAGPSDPSWARVSSLIHRYLQQAAAEDDDPQFADLFEALSIARSQ >CAK8578778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654061901:654065372:1 gene:gene-LATHSAT_LOCUS30763 transcript:rna-LATHSAT_LOCUS30763 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTHHLHLPFTHSLFTTPFRPLHLTTPILNLKPPSIPPRFTSFTVHADSYRSQSQPSIPNHVVANSTIDSFLSLLEFLCLLASLIVSANVAVIAVWKKELYEAIGNRVAPWSMLLLVVGVFTGALIRRRRRRDIMIGGVPASEVTLLPRIQKLEGDLARSANVIRVLSRQLEKLGMRFQVTRKNLKEPINETASLAQKNSQAATVLAMQSDVLQKEVEEIQEVLLAMQEQQQKQLDLILAIVKPAKPWESKGINEVNQEVHQI >CAK8563745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628771523:628771999:-1 gene:gene-LATHSAT_LOCUS17123 transcript:rna-LATHSAT_LOCUS17123-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTYENDTTSTVPPAKLFKAVVHDADVIVPKVVDSIKTVEIVEGNGGPGTVKKLTFVEGGQTLYVLHKIEAIDDAKFEYNYSIVGGVGISDIVEKISFEAKLFESPNGGSVGKMTVKYHTKGDAKPVEKEVEEGKAKGDALFKAIEGYVLANPNYN >CAK8563744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628766510:628771999:-1 gene:gene-LATHSAT_LOCUS17123 transcript:rna-LATHSAT_LOCUS17123 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTYENDTTSTVPPAKLFKAVVHDADVIVPKVVDSIKTVEIVEGNGGPGTVKKLTFVEGGQTLYVLHKIEAIDDAKFEYNYSIVGGVGISDIVEKISFEAKLFESPNGGSVGKMTVKYHTKGDAKPIEKEVEEGKAKGDALFKAIEGYVLANPNYN >CAK8574712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7202152:7206274:-1 gene:gene-LATHSAT_LOCUS27029 transcript:rna-LATHSAT_LOCUS27029 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSKRVDSFETIKVQSEIPMLLQSPFEIHKVRLPPQRTTLQKLRLRLSEIFFPDDPFHGFKNQTWITKFLLALQYLFPIFQWGPEYSLTLLRSDIVSGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPALIYSVLGSSKHLAVGPVSIASLVMGSMLSESVSYNQDPILYLKLAFTSTFFAGLFQASLGVLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGILHFTNKMQIVPVLTSVFKQRDEWSWQTILLGFSFLAFLLTTRHISMKKPKLFWISAAAPLTSVILSTILVFSMRHKIHKIAIIGELPKGINPPSSNMLFFNGPYLALAIKTGLVSGILSLTEGIAVGRTFASLRNYQVDGNKEMMAIGLMNIAGPCCSCYVTTGSFSRSAVNYNAGAQTAVSNIIMASAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDFRVAYKLWKVDKLDFLACLCSFFGVLFISVPIGLGIAVAISVFKILLHVSRPNTLVLGNIPGTPIFHNLNQYKEALRIPSFIILAVESPIYFANATYLQERILRWVREEEEYVKANNGSILKCVILDMTAVTGIDTNGIDTLCELRRRLEQKSLQLVLANPVGSVMEKLHESNILESFGMKGLYLSVGEAVADISSSWKAQP >CAK8564595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1773641:1776498:-1 gene:gene-LATHSAT_LOCUS17885 transcript:rna-LATHSAT_LOCUS17885 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDFSEMLLRMKVLADEVVKAKKSEIQVEAFSEFGMLVEKLLPILNELSDKSTVLDKPSIRKSLESLENELRRARTLTRSLNLRHPVKQIEDMTHDIGRSLGVLLVASLEVSIDFREQIGILQRQMMNARFDGVSSMTSSPKSEFFVSEMKTVGEIEEEIIDVSIGDVVLQLKNGNDEEFAVSLLRLKEFLRNEKLDGGLINEEATISILFNRLSSCKADNRLAIIQLLRQIAFGNDEKKEKMVEVEFLSAVVKSLTRDSEERREAVGLLLDLSNVPAVRRRIGRIQGCIVMLVAILNGDDSVASHDAAKLLDILSSNNQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELTDNSKLTLGEDGAIEPLVKMFVTGKLESKLSSLNALQNLSSLTENVQRLIQSGITGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQSHLLEALNSMSSHLGASKVRRKMKEKGALQLLLPFLQESNTKIRCKVLNLLYTLSKDITDELTEYLDETHLLNIVSIVSTSTSESEKAAAVGILSNLPASDKKVTDILKRANLLPILISILYSSNASKSPSTNSLIESAAGVINRFTNSSDKKLQLVSVQHGIIPLLVKLLSTGSPITKSRAANSLTQLSQNSLSLRKSRKSRWLCVQPSTNAYCEVHDGYCFVNSTFCLVKAGAVSRLIEILEDKEKEVVEASLVALSTLLQDEIWENGVNFIAKLSGVQAIIKSLEVGDAKVQEKALWMLERIFKIEEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQDQSSYF >CAK8543347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602101830:602102135:-1 gene:gene-LATHSAT_LOCUS12109 transcript:rna-LATHSAT_LOCUS12109 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKETAANVGASAKSGLEKTKANVQEKTEKLTTRDPLEKELATQKKEERVSQAELDKQAARQHNAAATAGNTVGQGQHHTTGTGGNPTATGYATGGTHR >CAK8534080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691306910:691311442:-1 gene:gene-LATHSAT_LOCUS3650 transcript:rna-LATHSAT_LOCUS3650 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKTPGTPASKIDRTPVSTPGGPRAREDKIVVTVRLRPLNRREQLAKDQVAWDCIDDYTIVYKPPQYERATQPASFTFDKVFGPASVTEAVYEEGVKNVALSALMGINATVFAYGQTSSGKTYTMRGITEKAVNDIYNHIMKNPERNFTIKISGLEIYNENVRDLLNSEPGRSLKLLDDPEKGTVVEKLVEETAKDDKHLRHLISICEAQRQVGETALNDNSSRSHQIIRLTIQSTLREEADCVKSFVATLNFVDLAGSERAAQTHADGTRLKEGCHINLSLMTLTTVIRKLSVGKKSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALTHVEQSRNTLYFATRAKEVTNNAQVNMVVPEKQLVKHLQKEVARLEAVLRTPDPSKEKDWKIQQMEMEIEELKRQRDQAQTQVDELRRKLQVDQQVSKPFESAHSSAKKCLSFTGALPSPKSGLGCERVRNTSLRQSMRQSSTAPFALMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQSEIREMRSIKPAPKAVVVGSMVSVNKSVSANLKEEITRLHSQGSTIANLEQQLENVQKSIDKLVMSLPNNFQTLITNEASPKHKKEHKRKKLLPLCSSNSANRPNFIRSPCSPLSTTQQVLESDIENKAPENDDNVSTEIHLESEKDTPSKSEEAGDITSKENTPGYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEASGHNIEDEMDTGEPEEPQVLWQVTFKEQRKLILELWDACYVSIIHRTQFYLLFKGDPADQIYVEVELRRLTWLQQHLAELGNASPAPNVGGDEPTISLSSSMRALKREREFLAKRLISRLTPEERETLYMKWDVPLEGKQRKMQFINKLWTDPHDQRHVEESAEIVAKLVGFCTGGNMSKEMFELNFVLPSDKRPWLMGWNHLTNLLNL >CAK8570936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:179650942:179651469:1 gene:gene-LATHSAT_LOCUS23644 transcript:rna-LATHSAT_LOCUS23644 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHVTVRARPLSPEDAKTSLWRISGNSIFIPNHSSVFEFDQVFDESSKTSQVYETRTKDIVAAAVSGFNGTVFAYGQTNSGKTHTMRGSKAEPGVIPCAVQDLFHILQQVITIAQFLCFP >CAK8530386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18289403:18293757:-1 gene:gene-LATHSAT_LOCUS254 transcript:rna-LATHSAT_LOCUS254 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRFLPIIEEEEIEIDDNFYEKIEAPKFVDLTAPDKRHPDDDRHWFCARFGCDQKHEEELDSEAIYKSFVLRVMAARSPNVRLRKALNRREREASSNLKCPLSAPAKPRMSRMAFISSLSHKVTENNVKVVKPLSKVTETPNAKVKQPPTMTKALTTPRNQKKVSNLEQFRSVQNKKSMTVAVAKSKSRVVAKSLVFNSPKKVKALVFNSPKVVGTKSSVESNTSIKTLCSAMKKLAFTRVKKNGEECDNSLPVASYRKPQFRGREVKSRVFNSLYSSNQKELESNSVRCLKEKKLKEMQKHKVLVSQSDSNDMGIEEKSRSESIEGGESSILALSEASRNDITSQSSRSGSLERGESSVLALSLASRDDITSLSSSNEEEKKTIEESENEEKRITNKGRIPEVIKRKAGQNAMAYHDKENEIEITENDDDKENSSAPSENITMSTNSVCSKNAILGSKRDDRKIYKKSTPTTAGSHVVKYRKLKPTNPKPFKFRTDERGILKEANLEKKITSPSTDTTAKDDKAAIRKHKNKNQTYMSQSDRDNYSNCDEKSHKTAQSDQDSYSSWDVKSHKTTQRHQTGNIHSDNNSNSKVNHKLSAKTPQRNAGAKLQKNIVLDENFNRKSKMMQHDGVRPLSVLSRKKEKVALVKPKETAKSSKHDDATCLQGRRALTVPKEPKFHSIHVPKSCNTRKPT >CAK8574968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18896452:18897714:-1 gene:gene-LATHSAT_LOCUS27264 transcript:rna-LATHSAT_LOCUS27264 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKPPSPFTHKSTIESFKHAAAFSSSPPNLQAMAGLAPEGSQFDAGKFDARINDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGIGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKGQIYDIFQLLPGKIQVGIFSATMLPEAFEITRKFMNKPVRILMKRDELTLEGIKQFYVNVEKEEWKQDTLCDLYETLAITQSVIFNTRRKVDWLTDKMRSRAHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDLPTQPENYLRRIGRSGQFGRKEELPSNVADLL >CAK8574969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18896452:18897612:-1 gene:gene-LATHSAT_LOCUS27264 transcript:rna-LATHSAT_LOCUS27264-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARINDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGIGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKGQIYDIFQLLPGKIQVGIFSATMLPEAFEITRKFMNKPVRILMKRDELTLEGIKQFYVNVEKEEWKQDTLCDLYETLAITQSVIFNTRRKVDWLTDKMRSRAHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDLPTQPENYLRRIGRSGQFGRKEELPSNVADLL >CAK8576586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:495454927:495456273:1 gene:gene-LATHSAT_LOCUS28762 transcript:rna-LATHSAT_LOCUS28762 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRTCLKSMKKKRKSCIKSDFYLPDDCWEYVFSFIINPVDDIKKLNFKSLSLVSKQFLSITNRLIFSMKIDHLHLSYLPCFFHRFSSLNSLDLSFSSCYLDSSHAATALALRDRSTLKSLSIFWIMLMDANHTTSHYIASFVSLKGLNSLKFLSSRISDDLLYSITREDLPLKTFVLESCTGYSYQGIYALLSKCHWIQHLGLQGVDFLTNHQFSQLSLLLPDLLSINLSYCFKLTQSTLFAFIKNCHSLDEIKMHYIERQSLENSDTLKDFHVNLPLKFLNLSHNLFINDDIIILLASILPNLQLLDLTCCYHISEKSICQVLSKCCKVRHLYLTDCKNVRELQINSVLHRLETLNLFDTRVNDKTLYDISKTCCGLLKLALAHCKYVTEKGVMRVVEKCRNLEGIYLRGCDKVNVDAMKISMLSSNQSLEKDDCSDFDQLRLMF >CAK8565498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:245943951:245950779:1 gene:gene-LATHSAT_LOCUS18709 transcript:rna-LATHSAT_LOCUS18709-3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNEGGEGSSQKKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSTRGRLYEYANNSVRATIERYKKACAASTNAESVSEANTQFYQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQQNLMPETMCESLPSQTYDRNFFPVNLLGSDQQEYSRQDQTALQLV >CAK8565497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:245943951:245950865:1 gene:gene-LATHSAT_LOCUS18709 transcript:rna-LATHSAT_LOCUS18709-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNEGGEGSSQKKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSTRGRLYEYANNSVRATIERYKKACAASTNAESVSEANTQFYQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQQNLMPETMCESLPSQTYDRNFFPVNLLGSDQQEYSRQDQTALQLV >CAK8565496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:245943751:245950865:1 gene:gene-LATHSAT_LOCUS18709 transcript:rna-LATHSAT_LOCUS18709 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNMELPNEGGEGSSQKKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSTRGRLYEYANNSVRATIERYKKACAASTNAESVSEANTQFYQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQQNLMPETMCESLPSQTYDRNFFPVNLLGSDQQEYSRQDQTALQLV >CAK8560764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41049748:41050344:-1 gene:gene-LATHSAT_LOCUS14414 transcript:rna-LATHSAT_LOCUS14414 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPYPPPPPPPPPPPPLPYLSNLGFGYSIAIALGFLFLISTLILSSYLCCRTFRNRHNRRNSNNNNSNSNNQRNSDGIVLPRVIFVAEDDDDRDDVASGLDQSVINSYPRMQYGKEMMGRDGTCSICLCEYKDSEMLRMMPECRHYFHLCCLDSWLKLNGSCPVCRNSPMPTPLSTPLQEVVPLSHYIGERRTRR >CAK8532353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249353090:249355343:1 gene:gene-LATHSAT_LOCUS2069 transcript:rna-LATHSAT_LOCUS2069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENISETWLVMIIVILVTALFARLIQIKRRKEEKSLWRLPPGRRGWPLIGDSINWYNAVASSHPPRFVEEMAQSLFGKWAVVSSDPSFNRYVMQNEGKLFISSYPKSFRDLVGKNGVITVQGDQQRKLHGIASNMMRLDKLKFHFMNDIQNVMIQTLSNFDDNQVILLQDVCRKVAINLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGSSYHTAMKAREKIISKINKIIEIYRKNGAAKESTNNGVLGRLLEEDSLPDEAVADFIINLLFAGNETTTKTMLFAVYFLTQSPNAMKELLDEQDSLKTNSREEFLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDIQYQDFVIPKGCFVVPFLSAVHLDEKVYNGATNFNPRRWNEPENEEKRNWRTSPFFSPFGGGARFCPGAELARLQIALFLHYFVTNYRWKQMKEDRMSFFPSARLVNGFEICLTRRQDDNQRN >CAK8532352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249353090:249355343:1 gene:gene-LATHSAT_LOCUS2069 transcript:rna-LATHSAT_LOCUS2069 gene_biotype:protein_coding transcript_biotype:protein_coding MRENISETWLVMIIVILVTALFARLIQIKRRKEEKSLWRLPPGRRGWPLIGDSINWYNAVASSHPPRFVEEMAQRYGKIFSCSLFGKWAVVSSDPSFNRYVMQNEGKLFISSYPKSFRDLVGKNGVITVQGDQQRKLHGIASNMMRLDKLKFHFMNDIQNVMIQTLSNFDDNQVILLQDVCRKVAINLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGSSYHTAMKAREKIISKINKIIEIYRKNGAAKESTNNGVLGRLLEEDSLPDEAVADFIINLLFAGNETTTKTMLFAVYFLTQSPNAMKELLDEQDSLKTNSREEFLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDIQYQDFVIPKGCFVVPFLSAVHLDEKVYNGATNFNPRRWNEPENEEKRNWRTSPFFSPFGGGARFCPGAELARLQIALFLHYFVTNYRWKQMKEDRMSFFPSARLVNGFEICLTRRQDDNQRN >CAK8531634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133123067:133126156:-1 gene:gene-LATHSAT_LOCUS1410 transcript:rna-LATHSAT_LOCUS1410 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGGAVIVGRRNTRHHVENRFYCPPALRKQRQQQEEQEELESTLMENNRPCSSSDNSVSSSLETTCEASNLCKFLEYTTPFVPAQYRPMRSKRRLKTMETELQPYFVLGDLWESFKEWSAYGAGVPLVLSGNEPVTQYYNVSLSAIQLYIDPSKPSLRLRKPSKESDSESARETSSDSSSGYRHERGARSVVNGSLNQPNFSDASNRGLERVSLGSKPDTCPSSDENGSCSAVGQLVFEYFEREIPYNREPLSDKINDLAEQFPQLKTYRSCDLSPSSWVSFAWYPIYRIPVGPTLQDLGACFITFHSLSTSSQSLTTINCSKDRDISSKLSLPIFGLAFQKFDVSIWDPEGDFEGQKANSLLRAAHNWIRQLQVCHPDFQYFTTHYAYCR >CAK8543658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:629289973:629299835:-1 gene:gene-LATHSAT_LOCUS12403 transcript:rna-LATHSAT_LOCUS12403-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEPMVAETSATESYPLDGYDNTNTASNPVPEAGATAVAEVAGEAAAQANSGYELPYSGDGSAYAAGGPNSVLQQAQFSAVDESKQAIGVPDANDASGVVGMEATESAIVSGDHSSVNGGVDTTGHENGNAPENVDGSADEKQLTDAYAAALSAEEDRLWNIVTANSSDFTAWTSLIEETEKVAESNILKIRRVYDAFLAEFPLCYGYWKKYADHEARLSSADKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDSDTVRRLFERGLAYVGTDYLSFSLWDKYIEYEYMQQDWARLAVIYTRILENPNQQLDRYFSSFKELASNRPLSELRTTEEVAAVADVVPEGTDLGVEGEVRPSAAENSPKHVSTGLTEAEELEKYIAIREEMYKKAKEFDSKIIGFETAIRRPYFHVRPLNVGELENWHSYLDFIEREGDLSKIVKLYERCVIACANYPEYWIRYVLCMEASESMDLANNVLARASQVFVKRQPEIHIFCARFKEQAGDIVGARAAYHLVHTEISPGLLEAIIRHANMEHRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLFAQYSRFVYLASGNAEKAKEILVGGLENASLSKALLEALLHFEAIQPQPKRVDIDFLESLVVKFITPNPENPGVASATEREELSTIFLEFLNLFGDVQSIKRGEDRHAKLFLPHRSMSELKKRHAEDFLASDKTKVSRAYSAQSPGHSVTGAYPNAPNQWTNYGVQPQTWPATTQGQQWPAGYTQPASYGAYPGYGGNYANPQLPAPVPQSTAYGAYPPAYPAQALPQQNYAQPAAPAQQPGTVPQAYYGSYY >CAK8543657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:629289973:629299835:-1 gene:gene-LATHSAT_LOCUS12403 transcript:rna-LATHSAT_LOCUS12403 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEPMVAETSATESYPLDGYDNTNTASNPVPEAGATAVAEVAGEAAAQANSGYELPYSGDGSAYAAGGPNSVLQQAQFSAVDESKQAIGVPDANDASGVVGMEATESAIVSGDHSSVNGGVDTTGHENGNAPENVDGSADEKQLTDAYAALSAEEDRLWNIVTANSSDFTAWTSLIEETEKVAESNILKIRRVYDAFLAEFPLCYGYWKKYADHEARLSSADKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDSDTVRRLFERGLAYVGTDYLSFSLWDKYIEYEYMQQDWARLAVIYTRILENPNQQLDRYFSSFKELASNRPLSELRTTEEVAAVADVVPEGTDLGVEGEVRPSAAENSPKHVSTGLTEAEELEKYIAIREEMYKKAKEFDSKIIGFETAIRRPYFHVRPLNVGELENWHSYLDFIEREGDLSKIVKLYERCVIACANYPEYWIRYVLCMEASESMDLANNVLARASQVFVKRQPEIHIFCARFKEQAGDIVGARAAYHLVHTEISPGLLEAIIRHANMEHRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLFAQYSRFVYLASGNAEKAKEILVGGLENASLSKALLEALLHFEAIQPQPKRVDIDFLESLVVKFITPNPENPGVASATEREELSTIFLEFLNLFGDVQSIKRGEDRHAKLFLPHRSMSELKKRHAEDFLASDKTKVSRAYSAQSPGHSVTGAYPNAPNQWTNYGVQPQTWPATTQGQQWPAGYTQPASYGAYPGYGGNYANPQLPAPVPQSTAYGAYPPAYPAQALPQQNYAQPAAPAQQPGTVPQAYYGSYY >CAK8567023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480315597:480318337:1 gene:gene-LATHSAT_LOCUS20113 transcript:rna-LATHSAT_LOCUS20113 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASGMMYTNTNTTLIPVFNSTIQRNHLRLCQLPLSRRHHVQFSVSATASETSAFRETIPWGCENDSLENSSSLLKWLSEAGLPSQKMAIDKVDVGERGLVALKNIRKGEKLLFVPPSLVISPDSEWSCPEAGQVLKKNSVPDWPLLATYLISEASLMESSKWFSYISALPRQPYSLLYWSQSELDRYLKASQIRERAIERTNTVVETYNDMRRRIFSKYPDFFPEEVFNLASFKWSFGILFSRMVRLPSMDGKVALVPWADMLNHNCEVETFLDYDRSSKGIVFTTDRPYLPGEQVFISYGNKSNGELLLSYGFVPKEGTNPSDSVELPLSLKESDESYKEKLELLKKYGLSRSQCFPLRVTGWPLELMAYAYLAVSPSSMRGKFEEMAAIASNKTTSNKDLRYPEIEEQALQFILDSCESSISKYNRSLQGSGSLDLDITSPKQLNRRLFLKQLAVDLCTSERRILFRAQYILRRRLRDMRTGELKALTIFRKFFK >CAK8575717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:274548635:274549027:1 gene:gene-LATHSAT_LOCUS27956 transcript:rna-LATHSAT_LOCUS27956 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQTSTAAFEVGTKTNDMMDDENALLQQALAMSMDDPAVSHDVKDADMSEAATDDPELARAFQLSVSEPPNDTVGRLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSESQKKNDEERPNEEEKK >CAK8570655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82765042:82766470:1 gene:gene-LATHSAT_LOCUS23391 transcript:rna-LATHSAT_LOCUS23391 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDGVAIGLLLNTKGIMAVILLNVAWDKRILDPYTFMVMMLAIIVMTVMVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRIVACVHNVKHANNMIHVIEATNATRLSPIHVSVTHLVQLTRHGTAILVSQMDNSNNTVGGTEATNYGSQLEFESITNAFEKLVEQYNGVRFDMSSAVSSYTTIHEDIYNVAEEKRASLILLPFHKEFSTIEDAPEIIHNEHCEINKNVLQQAPCSVGILVDRGLRSLLKTKLRIIMIFIGVPDDREALSIAWRMAGHPGTQLHVVRINLLGKAAEETKQKMEKSKSRHEMLSTVIDNVMQKELDEECIISFRHKAVNNNDSIFYSEKEVHSNTGEEIPMLLNDIDKPGYDLYIIGQGSGKNSVIFSRLLEWCDHPELGVIGDILASSSFGTQSSVLIVQQYLVGRKRAVKKCHEVKSGTENL >CAK8538059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460882547:460883224:1 gene:gene-LATHSAT_LOCUS7299 transcript:rna-LATHSAT_LOCUS7299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDPISYQRRCFTTNHKFDVGHSTHTGNSNKGLFYQPSSISEITSDTFWKYKKMKYPPTNEKVN >CAK8563616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620597207:620616724:-1 gene:gene-LATHSAT_LOCUS17010 transcript:rna-LATHSAT_LOCUS17010 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQNVEMEAANFLHKLIQDSKDEPVKLATKLYVILQHMKSSGKENSMPYQVISRAMETVINQHGLDIEALKSSRLPLTGGPQIGSSSQAVGSANDSRAGLAENEASKIEPFAAGRPPIAPTGGAPEYYQGTVAQRSNQSFDQGSPTSLDSRSANSQSQDRQVNQKDGKKAIPKRERGDSTSPGEMHVDSSSLGEPRNTGVNTRKGKMTKTEPSDGIQAKSGEMTNFNMAPNNTQMENISTFSSNMKTMIRANQEGHLLLAKQTDLTKIGNPMARAPTSKYPEDVEVSSAHIAPGKQQQGAYARVHGGMAVPANVSPMNEQVISSSTTLSDGHQISQTGRQNSGSEMTMLRQGVHPRDTGKSPVPAAAASSTMPFKENQLKQLRAQCLVFLAFRNGLPPKKLHLDVAFGTFFSREDGSNKDLNEPKGKSQSFNEPGNTPGVIVPFGSSSNLRQTDKNPSGSSSAGKFLEAESLSKGTESPRMLEDKGNLHSDIQTPSEDRKHLAAKRDVERRNQERMAAQSSSATPYQQKDSSSTRGIVVGNSHLNDSDNGILTAGRTNQHSVLGPNNWTGSNPHVSPIQHELPIERRENIPSQFQNVSNNSGSWNHNSINQLTSYSLKEHWKPVPGVDSNSHGVPVMKDGNVLGKNVSAEQGGNERLVSTDFPSSKRFTMSERCIMDRQRKRLLVGQNWVQKQQKAKERMTKCFHKLKENVSSCEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFSKPIMNEMEHLKSIKKHRHGRRVKQLEKYEQKMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHRERIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKATEKYLQKLGSKLQEAKNAAGRLGLDVDDAGTTNFLENSETTLVDEDESDQAKHYMESNEKYYKMAHSVKESIAEQPSILHGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSIHKIVYAGSPDERRRLFKERIIHQKFNVLLTTYEYLMNKHDRPKLSKVHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESTADNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPSKIERLIRCEASSYQKLLMKRVEDNLGAIGTLKARSVHNSVMELRNICNHPYLSQLHTEEVDNYIPKHYLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTSKQYRYLRLDGHTSGGDRGALIDLFNKPDSPFFIFLLSIRAGGVGVNLQAADTVILFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEDAPVLDDDALNDVLARSEAELDVFESVDRNRKEHELVTWKKLVLEHATDGSDVIPPLPSRLVTDEDLKQFNEAMKIYDDVPKGEVDSNGVKRKRGGLGGPDTQHYGRGKRAREVRSYEEQWTEEEFEKMCQAETSDLPIVKVEEMSHQTNASSSVVSATITQPAATVLQPADTVTEPAGTVPQTAAVPPLAPILPSVETLPVQHVKEIAPPAKRGRGRPKRTAPVKSPATLISTGTSGIVQVDMQLQKGNKSGHLTSSAPDSVGHSAEVTGVGRLMQQSTTGVTANVPPATPLPTIPPNSLSTVTPPPTNIESMHQSNTEVAANALPAIPLPSQSAAASVSVPIQAKGHGQKTQSGRELPRRRGKKQVMMSPPVPDGSVGPDVKVNEQLEDKLVSPSSGQGIPQSETVPSVAVVHPPTAISGSVSNSENNNLGAGVVLNSQLPLPLPSVTTMAQTAPSYPSVQMQSKGQIGKSQTGAGTSRRRGKKQATMSSPVPVVLGLLGRDPTSNLPTSSGVVSGDKASEVQNLQENNVQESKCIIQDQASQSNQDLKSLEGSDDLAKQAEILPSCKDSTVNSPGQDLEKVKNADVHESSVKIKSSEINPSKVEVGTNSGNENLFVTSLPITEITKDQYSDNKAPQTDVGLTTSPLVVDPLTNSSTGSATTESISQSVDPVAAKIVPSTLSTVFPSAPGSESNPSTHESVSVKRQGRKTQNRLEPPRRRGKKATPVLPVAPDALIGQDPKLSHHAQISPVNSLVGTATSNVTQAQAFEILLPGGVANNSKRKERTTNPAQNKQQKVTSTRIDSAPVSSDKIAALGRMQNVNDVARVMKEVFSGTCLSKPKAAADAFGSQSVEDKACSATGSVEVHVHEKQSEVASGMQNPEENPSLDTPATGAPSLTPTMPVSRNKQQPGIASDEKIILENTALSTVSKPETICYGEAKEKADQTQHYTEHSTSKSEMEALDITPLNNDRKVDGSSEKPPTGCGSTDVERPFVNDHNLESQSDSIEKCSRSSPIDIDGQGCPTIPLAPEICSNNPESSQADICIQSHSSANKALDITENTSNEKLEHSKPSSSLACAGQVEISSDQPKVTSADHDRNNTAPLNLSLDPASPSEPSSKALEPSMKQSSVSASEKEGPVSPNAVQTQKHPDTLEPVDLRETSEVESFSESPSQERRDIGDSSSETIVTNIVGVSGIDSLGGGTTSETAILPPSTLVKEKNKVTVPLSTEKAVANCSGVQEEAKIDKVESDDQMDSSTTSLDELKDSKIVRGDNFEVGDYTLKSSSNLIAMSASQNDMEGSNTDQSNCSDRLQSSHLMPDSRDLKINKMTEDCPVTIGQIDATQVSEPEDDPKRLTSENMPSCVLVKEDNVDVQDEQRDPLIPEGSSRDGTEDSIVNPLRQEKSACSEAEMVDLGLTSKTMGLPLSAMEEDEVDVSSERDILRNPLAATKPEDNQMDDKKESKLLEAEVGTSTVIPGSCTTEIANVLQVPNSSSSVEKEEGLSEKDTDGSTARMQAAVGDGIESNDNSEANETKQKDQVEQEENAQKD >CAK8568269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588669269:588672240:1 gene:gene-LATHSAT_LOCUS21243 transcript:rna-LATHSAT_LOCUS21243 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSYEESRRKRMEENRKRMEALNLTQLSQSLHKSSSPISKPSPSKPRTTEKQLVVVRRSGRVANQPAPVYKEILIDRVIIPRSRTSSGGSYDKYRDYSKRVYASDEDRVEALDKAEKLQSELNSPHPIFIKTMLQSHVTGGFWLGLPVHFCKKNLPKRDEVMTLIDEDENESPATYLAQKTGLSAGWRGFAIAHKLADGDALIFELVKRTAFKVYIIRVNGPSFRG >CAK8535667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873375279:873376679:1 gene:gene-LATHSAT_LOCUS5102 transcript:rna-LATHSAT_LOCUS5102 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLCIDSDSAVETMKDDLLVQEGYVFEEEIHLTVLKTSLFFAGDGFTVYDSKGEVTFRVESYGPDSRDLDELVLMDPDGRCILTVRRKKPSLHQRWEGFKGERINGDKPTFSVKRSSIMGRSYAGVSVEVYDSPGEEYHIEGCFSQRSCTVFNASKESVAQIHRKVDPTTGVLIGKEVFSLSVKPGFDAAFAMGFVLVLDQIGGDDSLEDDATAEPTVHPTTEDYEDK >CAK8535668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873375321:873376679:1 gene:gene-LATHSAT_LOCUS5102 transcript:rna-LATHSAT_LOCUS5102-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDLLVQEGYVFEEEIHLTVLKTSLFFAGDGFTVYDSKGEVTFRVESYGPDSRDLDELVLMDPDGRCILTVRRKKPSLHQRWEGFKGERINGDKPTFSVKRSSIMGRSYAGVSVEVYDSPGEEYHIEGCFSQRSCTVFNASKESVAQIHRKVDPTTGVLIGKEVFSLSVKPGFDAAFAMGFVLVLDQIGGDDSLEDDATAEPTVHPTTEDYEDK >CAK8532983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:560197570:560204245:-1 gene:gene-LATHSAT_LOCUS2636 transcript:rna-LATHSAT_LOCUS2636 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTVGGKKRCLTLSATSPFSSITPDSDDEDPNPHLRKDIIWNRWVIFSQDRTKKPCEFKGKSTFNTNPSRNKPCIFCIGYEDECSPEIFRVPFDELNWKIRVVEHPYPSLSRYVPELHSVPPEDTVLDGFGIHDVVIESPVHSDQLLELSPREIGEVFVAFINRIHELVHLGSIKYIQVFKNHGASAGASMSHSHSQILALPIIPTSVSARLANMKDFFYQTGKCCICDIQHEDLLIDSSTYFFSLVPFAASYPFEIWIIPRNHSAHFHELDAEMAFDLGGLMRQTLRKISFQLNNPPFNFMVHTSPLHGDGSELAYSHWFIQIVPQLVPITGVELGTGCHVNPVLPEDAAKVLREVIVPVFAHDRRTIGFSPPSKIVDYSEAFTTKEVFPTREYLLNWARAIGRENGFTVIIQRSDNGGTGKKKIGRKTTVILGCERSGKYRQYKDALARKTGTKKCGCPFRLRGRPVRNGDGWKVNVVCGFHNHEVIETAIGSTYAGRLSGEEKSLVDDLTRSMVKPKDILQTLKERNEENLTSIKQIYNVRQQLKRSRIEVGTLSIEN >CAK8539525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514997930:514999739:1 gene:gene-LATHSAT_LOCUS8610 transcript:rna-LATHSAT_LOCUS8610-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSLITITPDELRFQFELEKQTFCDLKVLNNTQEYVAFKVKTTSPKKYFVRPNTGVIHPWDSCIIRVTLQAQHEYPPDMQCKDKFLLQSTIVSPNSDVDDLPQDTFTKDSGNSIEDLKLRVSYISPAPTEGGSEDDAKNSTQKLDSSSAVQALKEERETVLRQTRQLQQELDMLKRRRHQSGDPGFSFTFAVFVGLLGFLLGFLLKILFSSPSTE >CAK8539524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514997930:514999739:1 gene:gene-LATHSAT_LOCUS8610 transcript:rna-LATHSAT_LOCUS8610 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSLITITPDELRFQFELEKQTFCDLKVLNNTQEYVAFKVKTTSPKKYFVRPNTGVIHPWDSCIIRVTLQAQHEYPPDMQCKDKFLLQSTIVSPNSDVDDLPQDTFTKDSGNSIEDLKLRVSYISPAPTEGGSEDDAKNSTQKLDSSSSQAVQALKEERETVLRQTRQLQQELDMLKRRRHQSGDPGFSFTFAVFVGLLGFLLGFLLKILFSSPSTE >CAK8541471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:194282638:194285554:-1 gene:gene-LATHSAT_LOCUS10390 transcript:rna-LATHSAT_LOCUS10390 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSILSSSNGIYEDFPFPRDNSVESGNTSSCDDFVVGERNKRVSINQEILQSFNELKIDSESLKKGKKKILSYRPGTWIWKARGLKVCDYDVPETTCLILVGPSRSGKTSLINRISKVFNDKFEPARAQVSYNSLIGDGTYFLHEYMIPRNSNSICLYDTRSLSDNSHQNHKMLKNWMTKGVRDGELVVRSTDNQRLSESLKCKGDKKGFISSKRRKVNFVIYVVNGLSVLSAMKSAGGASEAQYIKTVVSTFNYPFLSFKDDKPVLVLTHGDLLSLSDRARVRAFLGELLGIPPTQIFDIPDYDDPVTESAIIGMLRHTLEHADRNFPQKRNDMNKVFKISASVFMILLILGIGFAIGLAQNKLMHQCHAPQQQGPQPQACSSEVREMHPNLKVHKKEPKIERPKPKVPEKEPKIEWHKIRHIW >CAK8537264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:188302093:188302665:1 gene:gene-LATHSAT_LOCUS6566 transcript:rna-LATHSAT_LOCUS6566 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGNISPPLSSSLQLHLKTRTHHRNFTLSSRSSLDYQLSISDEALESRGFILRRTADGLNLEALNKVFVAVGFPRRDPEKIRVALEHTDSMVWVEQRKSQKAVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVVERLIEDLVGRGISNIALYSEPRVLGFYRPMGFVSDPDGIRGMVYSRKQKRK >CAK8570658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82900944:82905130:1 gene:gene-LATHSAT_LOCUS23394 transcript:rna-LATHSAT_LOCUS23394 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPIPLTAAQVGTYFVGQYYHVLQNQPEFVHQFYSDASTMLRIDGNARETAAAMLQIHALVMSLSYTGIEIKTAHSLESWSGGVIVMVSGSVQIKDNLRRKFMQTFFLAPQEKGFFVLNDIFHFVEDDLIHHHHHQAVLLAQSNLDSKLNVPSTINKPVSNYMMGGDVQAREYVAANEVKENGVADSYGFSEQQMQRGPDSEQIREDNAGEESNGSHHSSGNAVQDHLPASPEEPAGEPQKHTYASILRVAKGQSSPSAASQPSHKNASPSEWDHTPPSSNQQTTASANVFERSDTDAVEELPAAEYEDEIKSVYVRNLLPTVSPSEVEEEFKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMSGVHNAVKAGSVEIAGRPVYIEERRPNSNIPSRGGRRGRGRGSYHSEAPRGRFNSRNYGRGNGQDGGDREYNKPRGNGFYRPNPRQERGYSGHHVPRNGQNLADSSVTN >CAK8562264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463563252:463563567:1 gene:gene-LATHSAT_LOCUS15776 transcript:rna-LATHSAT_LOCUS15776 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELLEAASMPVIQVLLISALGAFMATQYFNNLLSSDFRKSLNKVTEGFQGIHSYY >CAK8569656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7411053:7415531:-1 gene:gene-LATHSAT_LOCUS22484 transcript:rna-LATHSAT_LOCUS22484 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTDEFTRERSQDLQRVFRNYDPILRPQEKALEYQRALNAVKLDKIFARPFILAMDGHVDSVSCMAKNPSQLKEIFSGSMDGDIRLWDIAAGRTTYRFPGHQGAVRGVTASTDGRILVSCGTDSTVRLWKIPVSSSMESDFSIKTVEPASVYTWKNAFCAADHQWDGEHFATGGAQVDIWNHNRSQPVNSFVWGSDTVLSVRFSPAEPNLLASSASDRSINLYDLRKATPLRKLIMMTKTNSIAWNPMEPLNFTVANEDGCCYSYDLRNLDIAKYVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFPNTAGHSREIYHTKRMQRVFCVKFTGDGSYVISGSDDTNLRLWKAKASEQLGVILPREKKKHEYHEAIKKRYGHLPEVNRIARHRHLPRPVYKAAALMRTMAIAKKRKHDRRKAHSAPGSVTTKPLRIKRIVKEVE >CAK8567197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493939918:493940238:-1 gene:gene-LATHSAT_LOCUS20268 transcript:rna-LATHSAT_LOCUS20268 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLGTRPLDKWMTLPDIDHVIANWYNVILVSLGYPSLTFFPMTTSHSSNVSIYCIGFVNQNHWVQVNMNEGFPLPPITLD >CAK8560184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10054723:10056190:-1 gene:gene-LATHSAT_LOCUS13881 transcript:rna-LATHSAT_LOCUS13881 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEIELDLGLSIGGAFRKPVEKEKSKPELGQNQIQSNVMQKVDQNQQSPVKNEIHAVKRKREQENGTGNRAGGFEPVFKREKTERVNGGTVSVNAPFHVLQMQQFGKVKFGLPINGMALPCWFGGDKNVGGINGVSNVNGGDGKVKSTGSSSRCSSVVSDYQCSSREDGGSTESHSQHSGNSLTEQTQLKSSKEINFRRNQHEEIASSKQSNIVKETQTHSNTMKPNEDSAATTTASATQTISNPNPNPVKKPLSLSQMPYVSTKGNGPNGKTVNGFLYRYSKCDEVSIVCVCHGSTFSPAEFVQHAGGTDISHPLRHITVNSSVFP >CAK8571117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303730505:303742199:-1 gene:gene-LATHSAT_LOCUS23810 transcript:rna-LATHSAT_LOCUS23810 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRLLNLYQSLQFCSIQKHFTSKSLLCSLTVKPMGSKRLKTPFSNSSHPISSFLQSNKDMNHKVLESSPISISNHHILKENDVHSYSIEIERLRNHPAIADTMTVPQLRKTLKSIGVPAKGRKEDLLSALKTFMDDNMCEQDSQITDKQELFVSSENTSLEMKAKMLSDEEPVDDVNDTPETVELNQGKRRLKQSEPESKIVKATTKKKLLVKSVEVSDFKSSRAKRKVSSDVVSVVAQSDEISTATTIQTETWTVLAHKKPQKDWIAYNPRTMRPPPLSRDTKFVKLLSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDIEEIKQRLLDGYENSFWTCSVSKLGYSGTAIISRVKPLSVRYGLGILDHDSEGRLVTVEFDTFYLITGYVPNSGDGLKRLSYRVTEWDPALSNYLKELEKSKPVVLTGDLNCAHEEIDIYNPAGNKRSAGFTDEERKSFETNLLSKGFVDTFRRQHPGVVGYTYWGYRHGARKFNRGWRLDYFLISESIADKVHDSYILPDVIGSDHCPIGLVLKL >CAK8571118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:303730505:303741910:-1 gene:gene-LATHSAT_LOCUS23810 transcript:rna-LATHSAT_LOCUS23810-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRLKTPFSNSSHPISSFLQSNKDMNHKVLESSPISISNHHILKENDVHSYSIEIERLRNHPAIADTMTVPQLRKTLKSIGVPAKGRKEDLLSALKTFMDDNMCEQDSQITDKQELFVSSENTSLEMKAKMLSDEEPVDDVNDTPETVELNQGKRRLKQSEPESKIVKATTKKKLLVKSVEVSDFKSSRAKRKVSSDVVSVVAQSDEISTATTIQTETWTVLAHKKPQKDWIAYNPRTMRPPPLSRDTKFVKLLSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDIEEIKQRLLDGYENSFWTCSVSKLGYSGTAIISRVKPLSVRYGLGILDHDSEGRLVTVEFDTFYLITGYVPNSGDGLKRLSYRVTEWDPALSNYLKELEKSKPVVLTGDLNCAHEEIDIYNPAGNKRSAGFTDEERKSFETNLLSKGFVDTFRRQHPGVVGYTYWGYRHGARKFNRGWRLDYFLISESIADKVHDSYILPDVIGSDHCPIGLVLKL >CAK8533338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:604729628:604731319:1 gene:gene-LATHSAT_LOCUS2976 transcript:rna-LATHSAT_LOCUS2976 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGMVDENAPLLPKTQESDVKLDDFSGASFSSAVFNLSTTIIGAGIMSLPACVKKLGMVPGLIAIILSSLYTEKSIDFIIRFSRAGNVSSYGSLMGDSFGKYGKALVQMCITVNNIGFLIIYMIIIGDIISGTSSSGVHHYGILEGWFGVHWWTGRTSVLAFTTLAVVVPLTSFKRIDSLRYTSAVSIGLAGVFLVIVAGISIVKIINGDTIIPRLFPIITDAASVFELFTTFPVLTTAYICHTVVHSINNELKDSKQMQGVVRTSIVLCSSVYILTSFFGFLLFGEEIFDDVLANFDTDLEIPFSLILNDVVRASYAAHLVLIFPVVFYAVRINIDGLLFSSSSTRPLVDGNFRFFSITFSLVGMIFLGANFIPSIWVIFQFMGATTAVSVGFIFPAAITLRDKHNIATKSDKILSVFMIVLSVLSSVVAIYSNAYALINKNKV >CAK8572869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568695537:568695896:-1 gene:gene-LATHSAT_LOCUS25381 transcript:rna-LATHSAT_LOCUS25381-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLNFICSNSIPLTYSITCNVSGFKLEFTGYPPAPTTMPQMMPPPGAPQIPGQLNTLPRPPSLVPPPAVPGSTVPPPSNGAPSMASSAMYQASAPPPSSGGYDNHNANAQAPEANQ >CAK8572868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568695537:568697613:-1 gene:gene-LATHSAT_LOCUS25381 transcript:rna-LATHSAT_LOCUS25381 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGGAYNHLMGQRPNLPPVLPPPRFPIPGGQPLMPGFRPLMPRPLPIPGAPGYPPAPTTMPQMMPPPGAPQIPGQLNTLPRPPSLVPPPAVPGSTVPPPSNGAPSMASSAMYQASAPPPSSGGYDNHNANAQAPEANQ >CAK8540027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536341897:536342349:1 gene:gene-LATHSAT_LOCUS9066 transcript:rna-LATHSAT_LOCUS9066 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFKFIIQNHGLDTEAGYPYQGVDGTCNANKASIYAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGVSNDGTKYWLVKNSWGTDWGEEGYIMMQRGVEAAEGLCGIAMQASYPTA >CAK8534448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727566970:727577352:-1 gene:gene-LATHSAT_LOCUS3994 transcript:rna-LATHSAT_LOCUS3994 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEFGDLYTDVLRPFATDSPPSAPLPPHASPAPSSIDLNQIPRAASQSANDAPDQIDPPDHRVTAPTEDEPPPVKKEEEPADSVRVLLEPADRFSIDSKPASLDVIGDVLVEGNNPMDQDQADDVKFDIEDEDGEDVGDGGGSEPVIPGLSGGEGMEEGGGYDGGGGNEDWDSDSDDDLQIVLNDDNHMAMENVGVVEGDEDVDGGQMIVAGETNQGLEEQEWGENANVPTDGERKDVAEPGKAVPGPGGVPVVPKIGYGSQPHGYHPFHSQFKYIRPGATTVPGVTGAAQGGPPGQIRPLANMMGRGRGDWRPPGVKGTVGMQKGFHAGPGLPSWGNNAAGRGFGGGGLEFTLPSHKTIFDVDIESFEEKPWKYPNVDVSDFFNFGLNDESWKDYCKQLEQLRLESTMQSKIRVYESGRTEHDYDPDLPPELAAAAGLHDGPVENANSLKSDVGQSDVMKGSGRMRLPIPTGRAIQVEGGYGERLPSIDTRPPRMRDSDAIIEIILQGTEEDESSVGIDVQDQSDDGEPQRESFREDHVDGNEIPSLDHEYSDGIAQDYNRQKKELAGRTMPFVNSVSSNVPNEDEDLFVSQEEPIKYSGSRGQNPRSYGGNFSSSHEERKTQKRARSQSPISHTRKLDTDNNKKEDSVESMEDKDSTLLPSPVIKDVKESSLENKDTELEDTGTADGSPRLGKEETDLSTVDKVDVLKDGIEKKQNLTAQVEQPLPDESDDWEDLKAARSSDNSKARSASSRDNQKRREGLEEEVVQDPRSTHLASIRQHTDENEQGFYRKEHDGKQEPERNRMVLRGKEGSYPHKDRHRNSAHQLHTNTDGFDRQKDRDSSDMDWARRDDDVYSRKVRTNEPRKRDRAKVRENERSDKEDSLYSKKQFDNGSYRIPYEKDVGSRDSRHKERDEGMRVRYEAAEDYHIKRRKDEEYLRREHIDREEIPHGYRENASRRRRERDEVLDQRKRDDLQRTRDYPDDQYITRQKDDAWLLRERGDRQRDREEWHRLKQSHDGPLLKREREEGRSSGRSVRGAEEKAWVGHVSAKDEHQSREAVRHSDQLKRRDRIQEESSHLKGRDDTYSRVNQFTADERRSRQERSSSRSDRVTNNSDSQRLHERKHKEGSRKSKERDISDHNSLGMSKKSLENPNGPSNEKGSKEFADQERAGHDIQGHRLSRKHQDGISSDDEQQDSYRGRSKLERWTSHKERDFSINKSSSSLKFKDIDKDNNGRSSEVGKPVDESAKTVDDDNQQLLMAEARDSVDMESRDGDSKESGDQHLDTVERLKKRSERFKLPMPSEKEAQVIKKLESEPLPSTKTENPVESDVKQERPARKRRWISN >CAK8534449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727566970:727577352:-1 gene:gene-LATHSAT_LOCUS3994 transcript:rna-LATHSAT_LOCUS3994-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEFGDLYTDVLRPFATDSPPSAPLPPHASPAPSSIDLNQIPRAASQSANDAPDQIDPPDHRVTAPTEDEPPPVKKEEEPADSVRVLLEPADRFSIDSKPASLDVIGDVLVEGNNPMDQDQADDVKFDIEDEDGEDVGDGGGSEPVIPGLSGGEGMEEGGGYDGGGGNEDWDSDSDDDLQIVLNDDNHMAMENVGVVEGDEDVDGGQMIVAGETNQGLEEQEWGENANVPTDGERKDVAEPGKAVPGPGGVPVVPKIGYGSQPHGYHPFHSQFKYIRPGATTVPGVTGAAQGGPPGQIRPLANMMGRGRGDWRPPGVKGTVGMQKGFHAGPGLPSWGNNAAGRGFGGGGLEFTLPSHKTIFDVDIESFEEKPWKYPNVDVSDFFNFGLNDESWKDYCKQLEQLRLESTMQSKIRVYESGRTEHDYDPDLPPELAAAAGLHDGPVENANSLKSDVGQSDVMKGSGRMRLPIPTGRAIQVEGGYGERLPSIDTRPPRMRDSDAIIEIILQGTEEDESSVGIDVQDQSDDGEPQRESFREDHVDGNEIPSLDHEYSDGIAQDYNRQKKELAGRTMPFVNSVSSNVPNEDEDLFVSQEEPIKYSGSRGQNPRSYGGNFSSSHEERKTQKRARSQSPISHTRKLDTDNNKKEDSVESMEDKDSTLLPSPVIKDVKESSLENKDTELEDTGTADGSPRLGKEETDLSTVDKVDVLKDGIEKKQNLTAQVEQPLPDESDDWEDLKAARSSDNSKARSASSRDNQKRREGLEEEVVQDPRSTHLASIRQHTDENEQGFYRKEHDGKQEPERNRMVLRGKEGSYPHKDRHRNSAHQLHTNTDGFDRQKDRDSSDMDWARRDDDVYSRKVRTNEPRKRDRAKVRENERSDKEDSLYSKKQFDNGSYRIPYEKDVGSRDSRHKERDEGMRVRYEAAEDYHIKRRKDEEYLRREHIDREEIPHGYRENASRRRRERDEVLDQRKRDDLQRTRDYPDDQYITRQKDDAWLLRERGDRQRDREEWHRLKQSHDGPLLKREREEGRSSGRSVRGAEEKAWVGHVSAKDEHQSREAVRHSDQLKRRDRIQEESSHLKGRDDTYSRVNQFTADERRSRQERSSSRSDRVTNNSDSQRLHERKHKEGSRKSKERDISDHNSLGMSKKSLENPNGPSNEKLVSIVKGSKEFADQERAGHDIQGHRLSRKHQDGISSDDEQQDSYRGRSKLERWTSHKERDFSINKSSSSLKFKDIDKDNNGRSSEVGKPVDESAKTVDDDNQQLLMAEARDSVDMESRDGDSKESGDQHLDTVERLKKRSERFKLPMPSEKEAQVIKKLESEPLPSTKTENPVESDVKQERPARKRRWISN >CAK8573741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634295845:634298476:-1 gene:gene-LATHSAT_LOCUS26149 transcript:rna-LATHSAT_LOCUS26149 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKKQMQHFKVSATAYVFLFFALIIATTTTQAATDLSYIQNYCPNTTTFSPNTTYQTNLNKLLSLLTSNSTNKNGFYYTTVGQNNPGNTVYGAFLCRGDLTTKDCQDCVSVASNQILQNCPTEKESVIWLSECMIRYSNIAFFSIAAEVPVQTLWNTASVTEQNRFMQLLADTMNAATAEAVNGGGDKKFGTKKTNFTGFQTLHTLAQCTPDLSKSGCEKCLTIATTALPSCCNGQQGARVLIPSCNIRYELYPFYHESNVPAPAEPRPSPQDTVEGKKSRNSVVIIIAIVSPIVIILLLTLLVLWIISEKKRRKFNPVSVPVPEESVEISRVEFLQFDFDTIVAATNNFRDDNKLGEGGFGEVYKGMLFNGQEIAVKRLSRSSGQGIDEFKNEVVLVAKLQHRNLVRILGFCLDGEEKMLVYEFMPNKSLDYFLFDPEKAHQINWPRRYKIIEGIARGTLYLHEDSRLRIIHRDLKASNILLDENMDPKIADFGMARIFGVDQTRGITNRVVGTFGYMSPEYAMHGEFSIKTDVYSFGVLVLEIITGKKITSFRKSGYTEDLLSYVWKKWNDGTPMELLDMTLRDSYSRVEVTRCIHVGLCCVQEDPEQRPSMQTIVLLLSSNSVTLEQPQRPAGYCTKDFNLKEQI >CAK8564042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647440834:647445460:1 gene:gene-LATHSAT_LOCUS17387 transcript:rna-LATHSAT_LOCUS17387 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPISPTWYRSSSSSSLHFHFFQSQSQTKAIFKFSLSCSNKTTHVEIKVVNKKNKNNSKPSFTDKIRGKWSLRLPSQTDKFPWQQPQQEPEPEPEPEEEPQPQSNKNDSSTSLNFEFPKRLSPWLVAGNPKHPQLDSETGASNDIEESEKPLQKISGGSVVERQVQESENGGLKKRRSNTELAERLIPEHELKRLRNVALRMVKRFSVGVAGITQELVDSIHEKWKVDEVVKFKFDSPLSANMKRAHQILESKTGGIVVWRSGSSIVLYRGMSYKLPCVESYTKVRDAKENAMDNSVDVGSGSSAQVKVKEIVVPIESFNRDSAVYMKDMSEKESMELIELNHLLDELGPRFKDWTGREPLPVDADQLPAVVPGYKTPFRLLPYGVKPCLSNKEMTVMRRIARRTAPHFALGRNRELQGLARAIVKLWETSALAKIAIKRGVPFTSNDRMAEVLKKLTGGTLLSRNKEYIVIYRGNDFLPPVVTKTLTEREKLTVLQQDEEEKARQKASSITISNSKSSRMPLFAGTLAESRAATANWGHQPCTQEVEKMMRESTLDRLSSLIKSHEKKLALAKTKFRKAEKDLVKIQGDYNAADLPTDLETLTNEERFLFRKIGLSMKPYLLLGKRDVYAGTIENMHLHWKYRELVKILVKGKNLAQVKHIAISLEAESGGVLVSVDKDTKGHIIIIYRGKNYFRPQVMRPKSLLTRRQALARSMELQRREALKHHISDLQEMIGLLKSELEDMKNEKVFDGDKTLHSTLDNNPVSRS >CAK8539890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530432084:530433067:1 gene:gene-LATHSAT_LOCUS8947 transcript:rna-LATHSAT_LOCUS8947 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNTCSQPHVMGHCTCGMFHGQAQNNSFSMLFSKPYEESLETYCFTPSSTSSSVDCTLSLGTPSTRFTEDEEKRNRHERRSVKNFCWDLLTPKQYNSTQTKPTRGSNINHNINNNDSLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAATPTAVSVGVMETAPMYNHHHHNHSSWFVQPQNQKTQCYSQAISNEFRFMDDSDRDSENGIPFLSWRLNVTDRTSFVHDFTR >CAK8535164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:819667425:819670087:-1 gene:gene-LATHSAT_LOCUS4640 transcript:rna-LATHSAT_LOCUS4640 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHSTVSPQSFLPSISKGKSKPNSSISKAINFPASRFSVNPSFPRNKLAVVATKLSAEEFEVLAVQSDDVTTQQEGLMVSRVEMEGGDSELTTQVNGFGANEGLLSLEGFPSSSGLVGNENGESMEKLLDRSINASIVLAAGTFALTKLLTIDSDYWHGWTIYEIVRYAPQHNWSAYEEALKTNPVLAKMVISGVVYSVGDWIAQCFEGKPIFEFDRARMFRSGLVGFALHGSLSHYYYQFCEELFPYKEWWVVPVKVAFDQTAWSAVWNSIYYTVVGLLRFDSPINIFNELTATFFPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDMIELIWVTILSTYSNEKSEARSSSVPIEEKSTTSEES >CAK8574068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656510911:656511474:-1 gene:gene-LATHSAT_LOCUS26447 transcript:rna-LATHSAT_LOCUS26447 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSKLHGFNYDQQKINLNGPRPTPLMIRKPNSSHKQQRVPIIIYTQSPKIIHTKAQDFMALVQRLTGMSTTNQVLPRQQEVSENFESSLSEGSNNNSINFIQQEGDENSVDKGGVNSNNDNDDEQSPNNMMKFAEMPLFTPTSYDFFSPNNSSRPVYKFSDSPYGILGSLISPSGLGFIQDLPEY >CAK8531251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93379089:93381663:1 gene:gene-LATHSAT_LOCUS1057 transcript:rna-LATHSAT_LOCUS1057 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSQDALNQLQALVDQVEEPLQKTFQNVHQGYVIETLIRFLKAREWNASKAHKMLVESLNWRVQNEIDKILSKPIIPPDLYRGVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVVLPSASKKHERPITTCVKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKTNTYYIVNAPYIFSGCWKVVKPLLQERTRKKVQVLQGCGRDELLKIMDYASLPHFCRKEGSGSSKHSGSGSENCYSLDHPFHQELYNYIKEQSRTEARGPMKQGSFHVEFPEPAAEEEADIAKTIESEIHKFENNLEIVD >CAK8570061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22639683:22652272:-1 gene:gene-LATHSAT_LOCUS22845 transcript:rna-LATHSAT_LOCUS22845-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNNHSLFFGTKLHASLHTTKRNLFQFERKKGFCTCRSKRCRLVSQALRFSYFSGQNVGGFRKDVVLRSGSRLKCANQKEPFFWGETFVNYLAPFLKEGLLLIRASVYTAVVFGVCMLVWYGQNKAKVYVQNNLLPSVCSVISEFIQRDVEFGKVRRISPLSITLESCSFGPHKDEFSCGEVPTVKLRIRPFASLRRGKWVIDAVLTQPSVLVVQKKDFTWLGIPFVEGDRERRFSSEDGIDHRTRTRRLAREEAGARWERERDEAAKEAAEVGYFVSERCGLSEGDGLKEIATSSTELSESTPFFCVDGGKHDHRFMDTGVDYDRKHADLEKSFGVKFLGSGFRFWSRVLPGRRKNRFKRKANGSNIYASDVATKKRMFERSALAARAYFLDQSHGKHGETASSPECLSFMNHDMDTGKSEVGDNAKSVTTSDENISNDSQSATPFRDMGIWPPSVNGNIVGQSDDLKFDCDPTLQTGENKFEILQSSEDVAVPPANANSSMEKNEVLVSRVEDNDFGDGNSSGGQPDFTSENLAVAKKNLQWETYFQIPFKSMLAKFGLNPCIRNIEESISCFLSGPIEKLRSDVAVKVEDIVAEPVDGLDFVQSEGINKTFPVTLDSVHFKGATVMLLAYGDREVREMENVNGHVKLQNHYSRIHVHLSGNCNTWRSDVLPEDGGCLSVNVFVDTIEQNWHVNLKIDNLFVPLFERLLEIPITWSKGRASGVVHLCMSKGETFPNLHGQLDVTGLDFQVLDAPSCFSNISTSLCFRGQRIFLHNASGWFGSVPLEASGDFGIHPDEGEFHLMCQVPAAEVNALMRTFKMKPLLFPLAGSVTALFNCQGPLESPVFVGTGMVSRTFSNSHIDNPASVASEALAASKEAGALAAFDRIPFSYVSANFTFNTDNCVADLYGIRACLIDGGEIRGAGNAWICPEGEVDETAIDVNLSGSLAFDNIVLRYMPSYYHQMPLKLGVLNGETKLSGALLKPRFDIKWTAPTAEGSFGDARGDIIISHDFISLNSSSAAFDLCTRVQTSYLDDLSVKEGDPYAPRAIPFTIDGVEMDLRMRGFEFFNLVSAYTKDSPRPLHLKATGRIKFQGKVLKPSGGISEQNSEINRQHVQTMEKGSSDSLVGEVSISGLKLNQLMLAPQLSGLLRVSPERIKLDAAGRPDESLEVEFIGPLQPSNEDGLQSGKLLSISLQKGQLRANVCYQPFHSANLEVRHFPLDELELASLRGTIQRAELQLNLQKRRGHGVLSVLQPKFSGVLGEALDVAARWSGDVITIEKTVLQQNYSYYELQGEYVLPGTRDRNPIDGGGGLFKRLMSGQRVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVHSRSKDFFLQSLQSVGVYSESLQQLLEKMRGLHAPSNDVVLEDLTLPGLSEFKGHWHGSLDASGGGNGDTLAEFDFHGEDWEWGDYKTQRVVAVGAFSNDDGLHLEKIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVFESTATDFVHSLRQLSASIRGILHMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAELVASLTSTSRFLFNSKLEPITQSGHVLIQGSIPVAFVQNNISQEDIESDKSRTTWVPDWVKEKNRGITDDVSDKKVSRDKNEDGWNTQLAESLKGLNWQILDAGEVRIDADIKDGGMTLVTALSPHASWLHGNADVMLEVRGTVDQPVLNGRASFNRASISSPVFRKPLTNFGGTVNVKSNRLCITSLESRLSRKGKLLVKGNLPLRTSEAVPDDKIELKCEVLEVRAPKTLSSGQVDSQVQITGSILQPNISGNIKLCNGEAYMPHDRGGAPASKRFPLNQSMLPSGGVSQVFASRYVSRFFSSESPTANANTSQSSGSVNKSSQVENEMEQVQIKPNVEICLNDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPRGVLAFENGEVDLVATQVRLKREHLNIAKFEPENGLDPMLDLVLVGSEWQFRIQGRASNWQDKLVVTSTRSVEQDALSPTEAARRFESQLAESILEGNGQLAFQKLATATLEKLMPRIEGKGEFGQARWRLVYAPQIPSLVSVDPTADPLKSLTSNISFGTEVEVQLGKRLQATMLRQMKESEMAMQWTLSYLLTSRLRVLLQSSSTSRILFEYSATSQD >CAK8570060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22639683:22652272:-1 gene:gene-LATHSAT_LOCUS22845 transcript:rna-LATHSAT_LOCUS22845 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNNHSLFFGTKLHASLHTTKRNLFQFERKKGFCTCRSKRCRLVSQALRFSYFSGQNVGGFRKDVVLRSGSRLKCANQKEPFFWGETFVNYLAPFLKEGLLLIRASVYTAVVFGVCMLVWYGQNKAKVYVQNNLLPSVCSVISEFIQRDVEFGKVRRISPLSITLESCSFGPHKDEFSCGEVPTVKLRIRPFASLRRGKWVIDAVLTQPSVLVVQKKDFTWLGIPFVEGDRERRFSSEDGIDHRTRTRRLAREEAGARWERERDEAAKEAAEVGYFVSERCGLSEGDGLKEIATSSTELSESTPFFCVDGGKHDHRFMDTGVDYDRKHADLEKSFGVKFLGSGFRFWSRVLPGRRKNRFKRKANGSNIYASDVATKKRMFERSALAARAYFLDQSHGKHGETASSPECLSFMNHDMDTGKSEVGDNAKSVTTSDENISNDSQSATPFRDMGIWPPSVNGNIVGQSDDLKFDCDPTLQTGENKFEILQSSEDVAVPPANANSSMEKNEVLVSRVEDNDFGDGNSSGGQPDFTSENLAVAKKNLQWETYFQIPFKSMLAKFGLNPCIRNIEESISCFLSGPIEKLRSDVAVKVEDIVAEPVDGLDFVQSEGINKTFPVTLDSVHFKGATVMLLAYGDREVREMENVNGHVKLQNHYSRIHVHLSGNCNTWRSDVLPEDGGCLSVNVFVDTIEQNWHVNLKIDNLFVPLFERLLEIPITWSKGRASGVVHLCMSKGETFPNLHGQLDVTGLDFQVLDAPSCFSNISTSLCFRGQRIFLHNASGWFGSVPLEASGDFGIHPDEGEFHLMCQVPAAEVNALMRTFKMKPLLFPLAGSVTALFNCQGPLESPVFVGTGMVSRTFSNSHIDNPASVASEALAASKEAGALAAFDRIPFSYVSANFTFNTDNCVADLYGIRACLIDGGEIRGAGNAWICPEGEVDETAIDVNLSGSLAFDNIVLRYMPSYYHQMPLKLGVLNGETKLSGALLKPRFDIKWTAPTAEGSFGDARGDIIISHDFISLNSSSAAFDLCTRVQTSYLDDLSVKEGDPYAPRAIPFTIDGVEMDLRMRGFEFFNLVSAYTKDSPRPLHLKATGRIKFQGKVLKPSGGISEQNSEINRQHVQTMEKGSSDSLVGEVSISGLKLNQLMLAPQLSGLLRVSPERIKLDAAGRPDESLEVEFIGPLQPSNEDGLQSGKLLSISLQKGQLRANVCYQPFHSANLEVRHFPLDELELASLRGTIQRAELQLNLQKRRGHGVLSVLQPKFSGVLGEALDVAARWSGDVITIEKTVLQQNYSYYELQGEYVLPGTRDRNPIDGGGGLFKRLMSGQRVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVHSRSKDFFLQSLQSVGVYSESLQQLLEKMRGLHAPSNDVVLEDLTLPGLSEFKGHWHGSLDASGGGNGDTLAEFDFHGEDWEWGDYKTQRVVAVGAFSNDDGLHLEKIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVFESTATDFVHSLRQLSASIRGILHMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAELVASLTSTSRFLFNSKLEPITQSGHVLIQGSIPVAFVQNNISQEDIESDKSRTTWVPDWVKEKNRGITDDVSDKKVSRDKNEDGWNTQLAESLKGLNWQILDAGEVRIDADIKDGGMTLVTALSPHASWLHGNADVMLEVRGTVDQPVLNGRASFNRASISSPVFRKPLTNFGGTVNVKSNRLCITSLESRLSRKGKLLVKGNLPLRTSEAVPDDKIELKCEVLEVRAPKTLSGQVDSQVQITGSILQPNISGNIKLCNGEAYMPHDRGGAPASKRFPLNQSMLPSGGVSQVFASRYVSRFFSSESPTANANTSQSSGSVNKSSQVENEMEQVQIKPNVEICLNDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPRGVLAFENGEVDLVATQVRLKREHLNIAKFEPENGLDPMLDLVLVGSEWQFRIQGRASNWQDKLVVTSTRSVEQDALSPTEAARRFESQLAESILEGNGQLAFQKLATATLEKLMPRIEGKGEFGQARWRLVYAPQIPSLVSVDPTADPLKSLTSNISFGTEVEVQLGKRLQATMLRQMKESEMAMQWTLSYLLTSRLRVLLQSSSTSRILFEYSATSQD >CAK8540329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552912881:552916646:-1 gene:gene-LATHSAT_LOCUS9343 transcript:rna-LATHSAT_LOCUS9343 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKTVKVSNVSLGATERDLQEFFSFSGDIHYVELRGHDERSQIAYVTFKDSQGAETAVLLSGATIVDLSVTITLDPDYQLPPGALVSSVNENKAPGGAESALRKAEDVVSSMVAKGFVLGKDAVNKAKTFDEKLQLSSTASATVASFDQKLGLSEKIGAGASVVSDKVREVDQKFLVSEKTKSAFTAAEQTVSSAGSAIMKNRYILTGATWVTGAFSRVSKAAVEVGQKTKEKVENAGQQEKKQVDDDQDTHVQPPKAGAASEPKSPKSPKSGPAPAQGLIL >CAK8536063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904149091:904151604:-1 gene:gene-LATHSAT_LOCUS5464 transcript:rna-LATHSAT_LOCUS5464 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTPSKMLLSLSPISPFLQPSSCLHNQNQTACSISIPSCKFNPRIYTNRKQHDSKGRGGNRITVIVKARRGESPYEVLGLSPSASVVDIKKAYRKLALKYHPDVNKEVNAQEKFLRIKHAYNTLLNSSRRKYDSGNRGSNSSQRSQSWNPKTEEEFYGLGDFFKDLQEEFRNWEASAASQGKPKSLWEELAEIGEEFVGFLEKELNYVDPNDNPQGGNPSDLYGTETPSNRTQGEASKENKSVEDNIEEIEAALAQLKKELGL >CAK8536062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904149091:904151604:-1 gene:gene-LATHSAT_LOCUS5464 transcript:rna-LATHSAT_LOCUS5464-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTPSKMLLSLSPISPFLQPSSCLHNQNQTACSISIPSCKFNPRIYTNRKQHDSKGRGGNRITVIVKARRGESPYEVLGLSPSASVVDIKKAYRKLALKYHPDVNKEVNAQEKFLRIKHAYNTLLNSSRRKYDSGNRGSNSSQRSQSWNPKTEEEFYGLGNFLRDVEITIGDFFKDLQEEFRNWEASAASQGKPKSLWEELAEIGEEFVGFLEKELNYVDPNDNPQGGNPSDLYGTETPSNRTQGEASKENKSVEDNIEEIEAALAQLKKELGL >CAK8532007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:190111925:190112446:-1 gene:gene-LATHSAT_LOCUS1752 transcript:rna-LATHSAT_LOCUS1752 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLCLKIHHSGEFVDSEKTDYVGGKCNDLEIDVDRWSYFELVGVVKDLDYTEVDTIYYNDPTFGMNFLKDDKGALDVADLCRVNLKVDIYIEHLLFQPEYVENPINMMEQKSIDEEPLNMVNPEVESTLEDLYEEVIKDTNVGTDGEGVNNNGVEGTNVGKGVSNNDVEGR >CAK8533139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:583363778:583370555:1 gene:gene-LATHSAT_LOCUS2787 transcript:rna-LATHSAT_LOCUS2787 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEAIVAQIQGLSSSSSDIARLHTILRQSDDSLRSDSNRLSPILSLIDPSIHSLGFLYILDAFTASSALNQPQAEEIVPIITRFISACSVEQIRLAPEKFISVCKRLKDQVMLLEAPIRGVAPLLTALRKLQVSTEHLTPLHAEFLLLCLLAKCYKTGLSILDDDVFEVDHPRDLYLYCYYGGMICIGQKRFQKALDLLHNVVTAPMTMMNAIVIEAYKKYILVSLIRHGQFSTSLPKYCSPLAQRNLKIFCQNPYMEIAHTYNTGKVADLEAFVNANAIKFESENNLGLAKQVVSSMYKRNIQRLTQTYLTLSLEDIANTVHLNSAKEAEMHVLQMIQDGDIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMALSKKLTKSDEQIACDQLYLSKVGRERQRYDFDDYDVPQKFNI >CAK8568170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579622135:579624424:-1 gene:gene-LATHSAT_LOCUS21159 transcript:rna-LATHSAT_LOCUS21159 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANEEFQGVSNCYVFKSRLQEYAQKAGLPTPVYETTKDGPSHEPCFRSTVVVNDVRYDSLPGFFNRKAAEQSAAEVALMELAKSGEVNQSITQPVHETGLCKNLLQEYAQKMNYAMPMYQCKKDDTPPGRAPLFSCTVDIGGILYIGGTTRTKKEAEIKAARTALLAIQTNASQASENQFGHLTVIPSRKRAADSVADEASKAPKPKKARFKRKFPKRKPSRNKKPNVQTDNAGDGANINHGIESLANANDESMFPSEAVKNYENGVPSEALKNYENGVPSEGVKNSENGVSSNHHEKEALAGDGSFALNNQDGSFALNNQEVLDNGKSTEFHSKEVKLGNVTEVSFAPNGDLPANGDLPAKSDGMFAVTGDMSNGTFAPTRDISAKSDGTLAPTGDIPAGMFAPNRDDILAKSEEMNKQHFNGDTVSGN >CAK8573278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599967526:599968152:1 gene:gene-LATHSAT_LOCUS25746 transcript:rna-LATHSAT_LOCUS25746 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRKNDEKDNKDGQEGGWASTFFKIAGAAAATAAVVGGLYSVLNQPQAEVTPYGVRQPDPEVVILKVDGSLLPGKAGCGGYLSSASEKWIRGFSQKLDPRLREDETERQAILKGLEWVRENGKRKVEVKSDNSGVVNLVNSGRRSNDSVICEIRGLLRSTDWEAKLSWIPGDQNSVADRLAHKAHGLPSFDLFEIDFPPQNCTNLL >CAK8562125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:443240277:443244464:1 gene:gene-LATHSAT_LOCUS15650 transcript:rna-LATHSAT_LOCUS15650 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQSDAVSLICGAKTQSNPENTVGVLTMAGKGVRVLATPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFSGSPVKHEKKMLEMIGRKLKKNSVALDIVNFGEDDEGKTEKLEALLAAVNNNDSSHMVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAASAGGVSGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASKQEKGGEQQTVSQDATMTEQTSTAASEVGTKTDDMMDDENALLQQALAMSMDDPAVNHDVKDADMSEAATDDPELARAFQLSVSDPPNDTVGRLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSESQKKNDEERPNEEEK >CAK8569921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15654877:15657294:1 gene:gene-LATHSAT_LOCUS22720 transcript:rna-LATHSAT_LOCUS22720 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPEPPPPNVITEFNPNEIVRDPGRRKKINEYAPDIQDQVRRAYILKGPMQPELASFPRTPFGSVKRAFSKSWYKNYTWLEYSEIKDATYCFYCFLFKKPGRAEHFGFEVFTKSGYKDWKHASQGLKYHVGSHNSFHNSCVKHYNDYNNQRQSVASKFVKATKNSEELYKIRLTCSVDCSRYLIAQGMSFRGHDESSISLNKGNFREMIDWVKVKNEQVKDAFDRGGKNCTMISGDIQKELAMCCAHEVTKVLFGDLGDKQFSVLIDESRDISVKDQMAVMLRFVNDKGNVVERFIALHHVKDTTSESLKDALYGILDKYTLSISRIRGQGYDGASNMGGEFNGLQRKILDENPYAFYVHCYAHRLQLVVVSVASSCSSIHDFFEYISLIVTTTSASFKRRDALTEAQHQDILNKLESGEISRGRGLHQSSSLIRPGDTRWGSHHTTLLRLNQMWSSVLNVLSMVDEDGRGPSQAACLIEKMESFKFAFILKLMLKLFGITNELSHVLQRKDLNIVIAMELVDVVKARLATMRDSGWDDLFVDVQELCVAKGIPVPNMDDEIRVRGHSRVEGRTITNLHHYRAEIFYVAIDKICVEMDHRFSEGSNIILDCFSCLDPKNSFSKFDVDKLVRLADIYHVDFSDDDRGTIRDQLDTYVLQVKRNASFSTCEDVQSLAIKMIQTEKHLVFPLVYKLIELALILPVSTTSIERAFSAMKIIKSKLRNKINDVWFNDLMVCYTEQEIFKSLDDVDIIRTFTTKKSRKGHLPRNFI >CAK8544688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699353532:699354092:-1 gene:gene-LATHSAT_LOCUS13344 transcript:rna-LATHSAT_LOCUS13344 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSASGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFARDYACIEGEKIEIERKKVDAKIKKAGNAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8578273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:616178780:616183299:1 gene:gene-LATHSAT_LOCUS30299 transcript:rna-LATHSAT_LOCUS30299 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHTLPLPSSDDVVLNVPDSPACIQKQGKGKKGNIVLAYKTLGVVFGGLVTSPLYVYPSMPLNSPTEQDYLGIYSIMFWTLTLIGLVKYANIAIKADDHGEGGTFALYSLLCRHFNIGILPSKQVGLTSPKVTESRTWLAKLFETSLVARRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGVRAPFPSFTKTWVETLSAVVLIFLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIHNYPTIFKALSPHYIVQFFLRNGKSGWLLLGGTVLCITGSEAMFADLGHFNPRSIQIAFIFTIYPSLVLTYAGQTAYLIKNPNDHNDGFYKFIPTPVYWPIFIIATLAATVASQSLISATFSVIKQSVVLDYFPRVKIVHTSPNNEGEVYSPEVNYILMILCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTHVVLVSMYFCVFFVMEGVYVSAVFTKFAEGGWVPFAISLTLAFIMFGWFYGRQRKIDYELTHKITFERLEYLLADCSVQRVPGLCFFYSNIQDGLTPILGHYIKNMKSLHKVAVFTTLQYLLVPKVAPHERIVIKKSNLEGVYCCVIQYGYADALNTEGSNFVDQVINNLKIHIQNCPGNLLSDSQKIEEVSSLEEARLAGVVHVRGKTRFYIGLNCGWFDKIMLAFYEVMHSNCRSGLPALGISLKQRMEVGMLYEA >CAK8570522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55157091:55159816:-1 gene:gene-LATHSAT_LOCUS23264 transcript:rna-LATHSAT_LOCUS23264 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDVMVKRVPLLCLQIAYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQYGILAVETFANLGIMYYVFLSGLEMNADTILRSRKKGTSIAIAGIVTPMLFGVGFLALQQKLIDKNDVFAQTPKENHGEAYLFWCLTLSVTSFPVLARILANLKLLYTKLGKDALTVAMLTDTYGWVMFTLLIPYSSRGGKPYLSVISTLLFIVFCFVVVRPILTPIVEHKTSTNTWRKSLLLDVLTGVFICSYITDCLGTHPIVGAFVFGLILPRGKFADMVLEMSADFVSGILCPIYFAGFGFRLNLPLVLKHKNAGLMLLIMLLLSIPKVLSSLVVTFFFGMPARDGVAIGLLLNTKGIMAVILLNVAWDKRILDPYSFMVMMLAIIVMTVMVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRIVACVHNVKHANNMIHVIEATNATRLSPIHVSVTHLVQLTRHGTAILVSQMDNSNSTIGGTEATNYGSQLEFESITNAFEKLVEQYNGVRFDMSSVVSSYTTIHEDIYNVAEEKRASLILLPFHKEFSTVEDALEIIHSEHCEINKNVLQQAPCSVGILVDRGLRSLLKTKLRIIMIFIGGPDDREALSIAWRMAGHPGTQLHVVRINLLGNAAEETKQKMEKSKSRHEMLSTVIDNVMQKELDEECIISFRHKAVNNNDSIVYSEKEVHSNTGEEIPTLLNDIDKPGYDLYIIGQGSGKNSVIFSRLLEWCDHPELGVIGDILASNSFGTQSSVLIVQQYLVGRKRVVKKCHEVKSGTENL >CAK8579687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:716541448:716543044:-1 gene:gene-LATHSAT_LOCUS31614 transcript:rna-LATHSAT_LOCUS31614 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSISSPSSSKSPPLISSRHSPQFTPIQEEHEFDEYSNENRSQSRRTTPTNSTTPNHNHHLHHIHHPPTPIVNKNTKNHKKKRSETDQEDGSVSCNKCRPHSRDKIFILPYDQTNSGNRNYSSLLASPNGIFKSIVSKLTRKSPMSSSTSNTNNNEEQWKMAVSELSHKLVQATRKRDEALQEASRLMNSMSELEKKLNKLEIYCHSLKSGLEECNINSVANSSVSQKIWHHQVLQDTDNNVVQHFLVSVSEARSSVRLLSRSLTMQLRHTGNKVYEKVSLLLQPYDIKISFSKNPRSLLFYLEALLNKTFFEDFESISFQKNGCNRILNPMERCESSYTSFNMIHGLTWDEVLSKGTRHFSEDFSRFCDRKMSEIVAMLGWNRAWSEPLLQAFFVASKSVWMVHLLANSVHPSLTIFRVDKGVSYDSIYMEDMGGDKSSRLVPNMVRIMVAPGFYVYGSAVKCKVLCRYLTNSNIVNHNNNGNKLQDKGLTPSP >CAK8538882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498738078:498740105:1 gene:gene-LATHSAT_LOCUS8031 transcript:rna-LATHSAT_LOCUS8031 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKQPRLVTRKFLAKPQREGVGALVRRSIGRFELKYFDPFLLLDEFSVAAPAGFPDHPHRGFETVTYMLQGAITHEDFEGHKGTIEAGDLQWMTAGRGIVHSEMPAAQGIQKGLQLWINLASQHKMIEPKYQEILSKDIVESMQDGIMVRVIAGEALGIKSPIYTRTPTMYLDFTLKPGSHLQQLIPKSWNAFVYVLEGEGIFGNQKSHPTTSHHILLLGNGDGVEAWNKSSKVLRFILVGGEPLGEPIVQFGPFVMNTQQEIDQTIDDFENYTNGFEKARDWRS >CAK8538935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499810820:499811200:-1 gene:gene-LATHSAT_LOCUS8080 transcript:rna-LATHSAT_LOCUS8080 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVVLTLLSSVSCFSFDAYGDELGNTECDPPCLQYSPPPPPPSGGYPIYTAPPPPPPHHEKGKCPPAGSGVVCCTPPAPLTYAPPNPYTYVPYDEGEHSGGSGYNVVMQFLVPILLLFSSFILF >CAK8534330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717511913:717515023:1 gene:gene-LATHSAT_LOCUS3882 transcript:rna-LATHSAT_LOCUS3882 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSKGSGEEKENNLNTASAPSSPRRTAALSATESTNSTPHVSINPVEGDAVKNGGLLFPDEVKPDNDDGLDHLDSKQYIERFRNYENEFTHRMLAKYFSGKTLNGGNSFYAEITIGDEVIKASRVPCFQLYADPVVGFKEQCSNGSSSPAEIQAHTPNEKNMVKN >CAK8543077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574400105:574400563:1 gene:gene-LATHSAT_LOCUS11864 transcript:rna-LATHSAT_LOCUS11864 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGELTRIFQMFDKNGDGRITRKELSDSLQNLGICISEQDLITMIEKIDVNGDGYVDIDEFGELYQTIMDDKDEEEDIKEAFNVFDQNGDGFISGEELSAVLSSLGLKSGKTLEDCKNMIKKVDVDGDGMVNLKEFKQMMKAGAFATDSLS >CAK8530206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7362014:7362466:1 gene:gene-LATHSAT_LOCUS93 transcript:rna-LATHSAT_LOCUS93 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGVSNDIKDQHEEQSVTKISVLERERDELVSENNEKKKQIKKLMVEIDEVRNKGEETRQKIVELQDEVERLQDAVKATEAITARAAELETHVARLQHDVVLNLNAGEELKKVKAERETRLRNLEKRMDVLETKKIEERNQYSGFLMK >CAK8564292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666473217:666474809:1 gene:gene-LATHSAT_LOCUS17613 transcript:rna-LATHSAT_LOCUS17613 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTYGKVIGNKWDSYLDFLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTMEKHDAN >CAK8544030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658934016:658935438:-1 gene:gene-LATHSAT_LOCUS12740 transcript:rna-LATHSAT_LOCUS12740 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGFCCFFFHNLEAVICGVLIQAWGWSRMPRLSPINPNPFHFPYATKWSAYGMNYEKTPHHCAPGYRTFFDHFEEDDFLWRPYLELEDEDPTKSDMWSSTTFIISFTIIEMHHSDRVKLQFGIKQDIPGPPTCMDTYHKSAANDQWKFDDWRDHNRQERQQWMDRRRTVLRGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQPTSSNFQNTPSMTYDTQPPIFNTPQPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYTQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTLSQDQHPYTPYMTNAAPPINPPTWSNEGTRLSYGSAAAIPADDDFNDDLVAQFMNPNNDAGPSTQTQNAEVNRRRSTRNVQAPACGTHQRLRRPGRN >CAK8541617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:301563440:301565137:-1 gene:gene-LATHSAT_LOCUS10523 transcript:rna-LATHSAT_LOCUS10523 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHNGIWERGIPFLSFKYLFSKMFSLRRILPAQHHHHPFSASISVAIAANTTTVPSIPESYRIQPPIKPWPHRLNPKLLSSLISRQHDPHISLQIFHHAQHHHRPPFPHNPQTYQAIFLKLSRFRCFREIESLLTTLRHSPQQFVNYCGEEPLITVIRGYGLAGKPLRALKTFMRIKSFGIQPSVRSLNALLNSLVQNKRYRLAFLLFKNSRDRFGVLPNVVSCNILLKALCKGNEVEVAVRILDEMPGMGLVPNIVSYTTVLGGYVWRGDMDGAMRIFCEILDRGWGPDVTSYTILVDGFCRLGKLVDAIRVMDIMEENGVEPNEVTYGVMIQAYCKERKSGEAANLVEDMLEKDCVPGLELCCSVVDLLCEEGNVERACEVWRMVSRKDCSLDSAVVVSTLIHWLCKKGKVLEARNVFDEFGKELVASLLTYNTLIAGLCEGGKLCEAAKLWDDMVKKGVAANAFTYNMLIKGFCKDGNANEGIRILEEMLENGCLPNKSTYLILIDGLLLSGGMQQEINKVVSLAMSTGVDADLWNHFVKPIVGVDYGAAVLDRILLENAV >CAK8541618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:301563440:301565068:-1 gene:gene-LATHSAT_LOCUS10523 transcript:rna-LATHSAT_LOCUS10523-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRRILPAQHHHHPFSASISVAIAANTTTVPSIPESYRIQPPIKPWPHRLNPKLLSSLISRQHDPHISLQIFHHAQHHHRPPFPHNPQTYQAIFLKLSRFRCFREIESLLTTLRHSPQQFVNYCGEEPLITVIRGYGLAGKPLRALKTFMRIKSFGIQPSVRSLNALLNSLVQNKRYRLAFLLFKNSRDRFGVLPNVVSCNILLKALCKGNEVEVAVRILDEMPGMGLVPNIVSYTTVLGGYVWRGDMDGAMRIFCEILDRGWGPDVTSYTILVDGFCRLGKLVDAIRVMDIMEENGVEPNEVTYGVMIQAYCKERKSGEAANLVEDMLEKDCVPGLELCCSVVDLLCEEGNVERACEVWRMVSRKDCSLDSAVVVSTLIHWLCKKGKVLEARNVFDEFGKELVASLLTYNTLIAGLCEGGKLCEAAKLWDDMVKKGVAANAFTYNMLIKGFCKDGNANEGIRILEEMLENGCLPNKSTYLILIDGLLLSGGMQQEINKVVSLAMSTGVDADLWNHFVKPIVGVDYGAAVLDRILLENAV >CAK8579295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689951909:689953387:-1 gene:gene-LATHSAT_LOCUS31250 transcript:rna-LATHSAT_LOCUS31250 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTLSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVDRAHREGSLPLTGQYSPREFVLSLQRPRSVIILVKAGAPVDQTIAALSDHMEPGDTIIDGGNEWYENTERRIQEISEKGILYLGMGVSGGEEGARNGPSLMPGGSYQAYSNIQDILVKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNSELADIFAEWNSGELESFLIEITADIFKVKDEGGDGYLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDGRFLSGLKEERENAAAVLKEAGLSEEVGFVRSGIDKKKLIDDVRQALYASKICSYAQGMNLLRAKSNEKGWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLIVDPEFAKEMVQRQGAWRRVVGLAISAGISTPGMCASLSYFDTYRRARLPANLVQAQRDLFGAHTYERLDRPGAFHTEWTKLARQSGAGILN >CAK8577705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584280819:584282971:-1 gene:gene-LATHSAT_LOCUS29786 transcript:rna-LATHSAT_LOCUS29786 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCNSWVRRTNFSHTVCHRLDYSRVGSFIIWPDAVLNSGLNSRTVSSISSSASASASAATVAPASASATVAPGSAPPVVSKVSKVQRNPSTNKQRSVSPLPEIYLSETFKEAKNEQKRFSTPGRRRKEQDTRIMGKLLNKDSQVSRSKSPLKSPLRYFGSKNSDKSKNRKDSGWTKYFDHGGVKVTAVETAEEWNVDLCKLYIGLRFAYGAHSRLYHGKYEGDQHVAVKLIRVPDDDENGMLAAKLEKQFITEVTLLSRLHHQNVLKFVAASRNPPVYCVITEYLSEGSLRAYLHKLEGKTMSLQNLIAFSLDIAHGMEYIHSQGVIHRDLKPENVLINEDFHLKIADFGIACEEAYCDLLAYDPGTYRWMAPEMIKRKHYGRKVDVYSFGLILWEMFTGRIPYEDMTPIQAAFAVVYKNSRPVIPLNCPPAMRALIEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTLLQNPCEQDHKKGLLHWIHKLVPAHHNISPVIKPKFT >CAK8579703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717507324:717514158:-1 gene:gene-LATHSAT_LOCUS31629 transcript:rna-LATHSAT_LOCUS31629 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHGGKKSKQSNSKSSGDHLQRTSQSISPHGGSTGRQPEASPATGSTSQCGKNSSEAGSSSRGKGMSRLVLNPIKNHKPETYEDMNLDFSSMLLSSLEMHLPRYLLGASRKNKASYMRGILQDYVPPALRYRDQKQKEYREKIMSNYQPLHQELYTIDPVAFFVPAFLKAIKDNTEQSFRSIMSEPAPGIFTFEMLQPRFCELLITEAEHFEKWAERTKLQVMRPNTMNQYGAVLDDFGLQTMLKKLIEDFISPLSKVFYAEVGGSTLDSHHGFIVEYGSHRDVDMEFHVDDSEVTLNVCLGRQFSGGELYFRGMRCDEHLNTMGHSEEIFDYSHVPGCAVLHHGRHRHGARATVAGHRVNLLLWCRSSIFREMQKFKRGFASWCAECNQEKKAQKDQLLTAGMELLMR >CAK8568216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582817085:582817318:1 gene:gene-LATHSAT_LOCUS21196 transcript:rna-LATHSAT_LOCUS21196 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWTRMEASGLGFGVVIRRFGNGLDRRNVFVTMLCERSGSYRTLIQSYKRDETGSRKCEVLFKLRDYMLANKKMEI >CAK8560456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20337323:20339301:-1 gene:gene-LATHSAT_LOCUS14125 transcript:rna-LATHSAT_LOCUS14125 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLLTLPSWVTLFTTFAILLLLSRRLRRRKYNLPPGPKPWPIIGNFNLIGSLPHQSLHGLTQKYGPIMHLWFGSKRVVVGSNVEIAKAFLKTHDAALAGRPKFSAGKYTTYNYSDITWSQYGPYWRQARRMCLLELFSAKRLESYEYIRKQELHVFLHELFNSRNKTILLKDHLSTLSLNVISRMVLGKKYLEKVENSIISPDEFKKMLDELFLLNGILNIGDFIPWIHFLDFQGYVKRMKVLSKKFDGFMEHVLEEHIERRKGVKDYVAKDMVDVLLQLAEDPDLEVKLERHGVKAFTQDLIAGGTESSAVTVEWAISELIRKPEIFKKATEELDRVIGRERWVEEKDIVNLPYVYAIAKETMRLHPVAPMLVPREAREDCNVDGYDIPKGSLILVNTWTIARDSNVWDNPNEFMPERFLGNDIDVKGHDYELLPFGAGRRMCPGYPLGIKVIQSSLANLLHGFNWRLSDNVRKEDLNMDEIFGLSTPKKIPLEVVLEPRLDDHLYSL >CAK8565437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:199173386:199174457:-1 gene:gene-LATHSAT_LOCUS18654 transcript:rna-LATHSAT_LOCUS18654 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERMMGDFKRSVKNKARVEGSICMSYLHRETTYFCSHYFKTATLSATSKRNEALGLNDDVVPTLSISNPLGRPSGKSQIHWLTDAEWRSAHVHILINCNEVKPYTNIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGVTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGVIKHIFELEYHELSHKVALFYCQWFDPKRGRGTKVHPHYDIVDIKMNKKYDRYDPFIIAQKAKQVYYVPYP >CAK8579407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696344852:696346890:-1 gene:gene-LATHSAT_LOCUS31356 transcript:rna-LATHSAT_LOCUS31356 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGAKFPIDLNKNNNFYDFSQGFYHKLGEGTNMSVDSVGSLQTSNGGGSVAMSVDNSSVGSNDSNTRMLDHQGLKRRANDNYSVAHSANRRGRVTHALSDDALAQALMDNSSPTEGLENFEEWTIDLRKLNMGEAFAQGAFGKLYRGTYIGEDVAIKILERPENDTSKALLMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSLRQFLTKRQNRSVPLKLAIKQALDVARGMSYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRNVRPLLPHDCLPVLREIMTRCWDPNPDVRPPFPEIVAMLENAEIEVMTTVRKARFRCCITQPMTAE >CAK8535865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887024531:887026437:1 gene:gene-LATHSAT_LOCUS5285 transcript:rna-LATHSAT_LOCUS5285 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEPFQEIQIPEQKLLPNGIRFPAVVSPSTTSSPTLPLNVTHSIKTHKPYLESLLLQSGALIIRGLPLQTASHFNDVVEAFDYDELPYIGGAAPRTNVIGRVFTANESPPDQMIHFHHEMAQVPQFPSKLFFFCEVEPATGGETPIVLSHVVYDRMKERYPEFVEKLEKLGLLYVRVLGEDDNPSSPIGRGWKSTFLTKDKTVAQQRASEVGTKLEWLEDGVKTVMGPIPAVKYDEVRKRKIWFNSMVAAYTGWKDERNDPVKAVTFGDGSPLPADVVYDCLKIHEEESVAIPWRKGDVMLLDNLAVLHSRRSFVPPRRVLASLVK >CAK8573382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607046670:607050267:1 gene:gene-LATHSAT_LOCUS25835 transcript:rna-LATHSAT_LOCUS25835 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSISASSTITLSSLNSSTRVGASSSSPQLQFPLRTRFLPIGTRRLPASSRPRFLVQAKKQTFSSFDDLLANSDKPVFVDFYATCNPMLVYRCGPCQFMVPVLEEVSTQLNDLIQVVKIDTEKYPSIASKYKIEALPTFIIFKDGKPFDRFEGALTADKLIERIETSLKS >CAK8573381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607046670:607050267:1 gene:gene-LATHSAT_LOCUS25835 transcript:rna-LATHSAT_LOCUS25835-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSISASSTITLSSLNSSTRVGASSSSPQLQFPLRTRFLPIGTRRLPASSRPRFLVQAKKQTFSSFDDLLANSDKPVFVDFYATWCGPCQFMVPVLEEVSTQLNDLIQVVKIDTEKYPSIASKYKIEALPTFIIFKDGKPFDRFEGALTADKLIERIETSLKS >CAK8574348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676642626:676643588:-1 gene:gene-LATHSAT_LOCUS26703 transcript:rna-LATHSAT_LOCUS26703 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTFLACDPSDDDYIDMEVSSYSNFFNHHSEKSREFEFQMSSIVQEKEPSTSPADELFYKGKLLPLHLPPRLQMVEKLLQNPHKTFEEEKYIFEEFYSTPLATTAFTTPVTGTPFESCNISPSDSCQVSRELNAEEYHNLDYEPMDTSGFVIENQKKSWTKKLKQSSLGSKLKASRAYLKSLFGKSGCSYENYVTSSTKVADEGSVSKARENLKKDPYGQIQRHRYHQSSISVMREFKEKSSEDGSNHHRRSFSVGIKLLSGNKSSSSSSSFSVSKKSYGCHQTHQLLKRCSSTSSEIENSIQGAIAYCKKSQQMLS >CAK8540679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15839588:15841402:-1 gene:gene-LATHSAT_LOCUS9661 transcript:rna-LATHSAT_LOCUS9661 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMPITSGVRAFTWFSLRRHLEDNITDLHKCTNLNLIKQIHAQLIKCHLHQDPYIAPKLIASYSLTNHISSAVNVFNQVPDPNVHLYNYLIRAHALSNNESNSSLAFAAFEKMQLNGVLADNFTYPFLLKGCNGGSWLNLVKMVHAHVEKLGFYGDIFVPNSLIDCYCRCGGVEMGVKLFEVMEEKDVVSWNTMVGGLVKCGDFDGALKVFDEMPQRDRVSWNTMLDTFVKAGELEKAFELFGRMGERDVVSWSTMVYGYCKSGDMEMARMLFDRCPVKNLVLWTTIMSGYVVKGELREVTKLYDEMEEAGLRPDDGFLISILSACAESGILKLGKKIHDSVLRRRFRCSTKVLNSFIDMYAKCGCLDDAFRVFSGMNAKKDLVSWNSMIHGFGIHGHGDKAIELFSRMVREGFEPDRYTFIGLLCACTHAGLVNEGRTYFYSMERVYGIVPDIKHYGCMIDLLSRGGHLREAFRLLRSMPMEPNDIILGTLLGGCRMHNEIELARAVCEHLFKLVPSDPGNFSLLSNIYAQAGDWINVGNVRLHMKMKGGQKPSGVSSIEVEEEVHEFTVLDQSHPKSADIYNMIDRLVEDLRQVEYVPGIS >CAK8562365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478961787:478964966:1 gene:gene-LATHSAT_LOCUS15867 transcript:rna-LATHSAT_LOCUS15867 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTELDVLTSQLQQTFKQVQHDKTSMRFFRSTLKDLSPLVHEIEQYNDQLNPPREEIKFLIKENHAEQRNLCWNKFFSLFHQLFKKKDDSGSCVADNKQAVMAKDVKDTLYKLREILNKENFEKKISGSGGLLFRGSFGVPQNPEFTVGLDLSLINLKMEVLRDGRSTILVTGFGGMGKTTLAAKLCWDAEVKGKYKGNILFVVFSKTPQLKIIVERLFEHCGYPVPEFQSDEDAVNELGLLLKKIEGSPILLVLDDVWPGSEDLIEKFQFQISDYKILVTSRVSLSRFDKTFILKPLVHEDAVTLFRHYTLLEKTNSDIPDKDLIQKVVKNCKGLPLAIKVLATSLSNRPYELWEKIVKELSLGRSILDSNTELLTRLQKILDVLEDNPINKECFMDLALFPEDQRIPVTALIDIWAELYGLDDAGVEAMNIIKKLDSMNLANLVIARKNASDTENYYYNNHFVVLHDLLRELGIYQSTQEPIEKRKRLLIDVNENKRNWWLGEKQKGTMTRILSKCFKWCAKPKFQQIPARTLSISTDETCASDLSQVQPAQVEVLILYLQTKQYTFPELMEKMSKLKALIVINHGFRPSELNNSELLSSLSDLNRIRLERISVPSFGTLNNLKKLSLYMCNTRLAFEKGSLLISDAFPNLEDLNFDYCKDLMALPNGVCDIASLKKLSITNCHKLSSLPRDIGKLENLELLSLISCTDLGELPDSIGSLSNLSLLDISNCISLSSLPEDIGNLRNLRNLYMTSCASCELPISVVNLENLKVICDEETAVSWESFQSMISNLTIEIPQAEVNLNWLHAIRS >CAK8560733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38878095:38881826:-1 gene:gene-LATHSAT_LOCUS14386 transcript:rna-LATHSAT_LOCUS14386 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLPRLLCLFLALVVFVGASSPATAADELERGGGGGHRQREFDYFALALQWPGTFCQRTRHCCSSNACCRGSNSPAIFTIHGLWPDYNDGTWPACCTKSRFDPKEISTLDNALQQYWPSLSCGSPSSCSGGKGSFWAHEVEKHGTCSSPVFDNEYDYFLTTLNVYFKYNVTKVLNEAGYVPSNTEKYPLGGIISAIENAFHASPLIVCSKGSVEELRLCFYKDFTPRDCAIKPDAKIDMVTSKGSCPKYVGLPEFIGHDGFQSRVSNDASL >CAK8533164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586403062:586407803:1 gene:gene-LATHSAT_LOCUS2811 transcript:rna-LATHSAT_LOCUS2811 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLILMLLMMLGIDVSEGARKVSWRVHTLFSVECHNYFDWQTVGLMNSYRKAKQPGPITRLLSCTDEEKKNYKGMHLAPTFEVPSMSRHPITGDWYPAINKPAGVVHWLKYSKDAINVDWVLILDADMIIRGPILPWELGAEKGKPVAAYYGYLIGCDNILAKLHTKNPELCDKVGGLLAFHISDLREFAPLWLSKTEEVREDKEHWATNITGDIYGKGWISEMYGYSFGAAEIGLRHKINDNLMLYPGYVPQEGIEPVLLHYGLPFSVGNWSFDKLAHHNDGIVYECNRLFPEPPYPKEVLQFELDANRRRGLFISIECINTINEGLVLLHGANGCPKPAWSKYLSFLKSKSFAELTKPKYVTPATLEMMNEEIVEAPVDGKPHPKIHTLFSTECTTYFDWQTVGFMHSFRLSGQPGNVTRLLSCSGEDLVKYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWLNHVNIDAEYIVILDADMILRGPITPWEFKASRGHPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFAMLWLHKTEEVRADRAHYARNITGDIYESGWISEMYGYSFGAAELKLKHTISSEILIYPGYVPALNVKYRVFHYGLQFSVGNWSFDKAAWREIDMVNRCWAKFPDPPDPSTVDQTNPENFRRDILSIECGKTLNEALEIHHKKKCPTADSLITKGNEKTQESGTSSEIGNTDAGISITNRVTATNQSEELASDQKDEIPSSFRFWFVFLWAFSGFGFLVVTYIVCTGHRRGRTRMKHHNRRRRSSNAGFMDINGRDRHGRDVDL >CAK8567042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481806034:481807242:-1 gene:gene-LATHSAT_LOCUS20130 transcript:rna-LATHSAT_LOCUS20130 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTVPEVVLPSSTGQRKMPVMGLGTAPEATSKVTTKDAVLEAIKQGYRHFDAAAAYGVEHSVGEAIAEALKLGLISSRDELFVTSKLWVTDNHPHLIVPALKKSLRTLQLEYLDLILIHWPITTNPGEVKYPIDVSDIVEFDLKGVWTSLEECQKLGLTKAIGASNFSIKKLERLLSFATISPAVNQVEVNLGWQQEKLRAFCKEKGIIVTAFSPLRKGASRGANLVMDNDILKELADAHGKTVAQICLRWLYEQGLTFVVKSYDKERMNQNLQIFDWSLSEDDYKKISEIHQERLIKGPTKPLLDDLWDEE >CAK8565561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:278219426:278222407:1 gene:gene-LATHSAT_LOCUS18762 transcript:rna-LATHSAT_LOCUS18762 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQTAAAARDQYRLLGENVAKIRTDMMKEQLSTFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLASRPLNGGLINLQELCNLLRQRRKSDRGVVSEDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNEILELAQGQGFVTVDQVEKRLSWTSGRAIDALDTLLDDGLAMIDDGHKDGKRRYWFPCVSPISSLTGTDSL >CAK8543500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611628467:611630315:1 gene:gene-LATHSAT_LOCUS12255 transcript:rna-LATHSAT_LOCUS12255 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVKKLKSESTELPDCVISHIFSMLTLKNLVKTSALSKQWYHEWGLRKDLNFDHHNILDYNRIPKLPKTLPHFQQIQSQFATSLDNFMQKYSGDTISSIRVKFPLGADHTYAIDGLIHKAVLKGANRIELLFAYETHLKIEPYKFLFPFLPGRNSLTYLHLQNCHIAATMEFSGLENLRTLVCTLVPVEQNMLQNLCFNCIRLENFTLNECEFLSDLEITSPTLLHLNIDCSSTSATRTESRNINITASNLLSIEYTSKDIYFSHRLHILSINSPMLSKLTYTCAKLFNLESSRLTNVTTIVLYGLFLDYDIAHLFSKCLQLEDVTINLCRFTRDLKIISAKLRRLRIIHCHRVTFRSCKIDIHALNLSSFEYRDFKKMRSMISIEAPKLLKVFWDAGFNMINIYNFATIARLPHPENLATPSLPQPENFPMHMSLSQISELIKKYLVQSRKLDELELYIAGAYNPNMDYFWILDIAMPSQHLQKLSLIIRNGDTKISHING >CAK8538535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486288701:486296221:-1 gene:gene-LATHSAT_LOCUS7723 transcript:rna-LATHSAT_LOCUS7723 gene_biotype:protein_coding transcript_biotype:protein_coding MISVTDALQTVLNAAKRLPPISVSLHDALGKVLAQDVRAPDPLPPYPASTKDGYAVIAADGPGEYPVIAESRAGDDAFGVTVVPGTVAYVTTGGPIPDGADAVVQVEDTEKVNNTSDEVERVRILVKTIQGNDIRPVGVDIQKDEVVLTSGEILGASEIGLLATVGVTTVKVYPTPIVAVLSTGDELVEPSTGHLGRGQIRDSNRAMLLAAAVLHQCKVVDLGIAKDDEDCQGRILDKAFASGIDILITSGGVSMGDKDFIRPLLENRGKVHFDKVCIKPGKPFTFAEIGSQSTESKILAFGLPGNPVSSSVCFHLFVVPAIRHLAGWTNPHRLRVQARLQQPIKTDQFRPEYHRATVIWTHNDGTGSPGFIAESTGHQRSSRLLSMKSANALLEFPATGRVVSAGTSVAAIIISNLKPVSFGKNHTSSDPTSALPGIKPDKVTTDSSGGVDLRVAILTVSDTVAAGAGPDRSGPRAVSVVNSSSERLGGARVVATSVVPDNVAKIQDILRRWSDDEQMDLIITLGGTGFTSRDVTPEATKELIEKETPGLLYVMMQESLKVTPSAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHGLKQLRGDKREKHPRHIPHANAVPTDIWEQSYLSATGGGGSEVSCSCCK >CAK8560901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:55889650:55893774:-1 gene:gene-LATHSAT_LOCUS14540 transcript:rna-LATHSAT_LOCUS14540 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMNINFATLLHNIKSKKSNKLLTNLYFITFATFLCTFFYLFGLWHNYPSTTVISATGYSTTTAAAGASSTCFQHENTTVAKSLPSPPPPSSFVKLDFTASHHLPDPPPTTARVSHLPPCDSSLYEYTPCEDQKRSLRFPRNKLIYRERHCPLPEEILRCRIPAPFGYRLPLRWPESRDSAWYANVPHKELTVEKKEQNWIRFEGNRFKFPGGGTMFPRGASAYIDDVGKFINLKDGSIRTAIDTGCGVASWGAYLLSRDILTVSFAPRDTHEGQVQFALERGVPALIGILASIRLPYPSRAFDMAHCSRCLIPWGQYDGMYLTEVDRVLRPGGYWILSGPPINWERHWKGWERTKESLNDEQDEIENVAKSLCWKKLVQKGDLAIWQKPTNHMHCKIKRKIFKTRPFCDDAQNPDMAWYTKLDTCLTPLPEVDNVKEVAGGELSKWPTRLTSIPPRISSESLKGITSEIFQENTELWKKRVAHYKTLDPQLAEHGRYRNILDMNSHMGGFAAALVDDPVWVMNIVPVEAEINTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYKNRCSMENILLEMDRILRPQGGVILRDDVDVLTKVKSIADEMQWDARITDHEDGPYEREKILVAVKQYWTAPQAEQ >CAK8572120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512537949:512540645:1 gene:gene-LATHSAT_LOCUS24719 transcript:rna-LATHSAT_LOCUS24719 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRTIVWFRRDLRIEDNPALAAAARDGSVFPVFIWCPNEEGQFYPGRVSRWWLKQSLTHLDQSLKSLGVRLVLIKTDSTLKALLECANAIQATKVVFNHLYDPVSLVRDHNIKEKLVEFGISVKSYNGDLLYEPWELYDEKGHAFTTFDPFWQRCLHKQMEPVSLIPPWQLIPAKGKVERCSIEDLGLENELEKPSNALLGRAWSPGWGNANKALTEFMDKQLLNYSKNRQKVGGDSTSLLSPYLHFGELSVRKVFQMARVKQISWGNEGNSVGKESVTLFLRAIGLREYSRYLCFNFPFTHERALLGHLSFFPWNADPSNFKTWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLECDILGWQYISGCLPDGHELERLDDPEILGAKFDPEGEYVRQWLPELARMPTEWIHHPWNAPLSVLRASGVELGQNYPNPIIDIDLAREKLTQAIFKMWEIQAASKASGSEARDEVVVDNENQDIPKVILKDKGPCVTISANDQKVPALPDPKNELPVRKRKKGMDEKGKEQESSVNNEKDSKVSSPDQETCSTADSSACKKQCSTSTYSFSVPQQCSSSSNLKWPWQEQIDMEQSSGKDGAT >CAK8540712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16872517:16872927:-1 gene:gene-LATHSAT_LOCUS9690 transcript:rna-LATHSAT_LOCUS9690 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8577159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:541088004:541088699:1 gene:gene-LATHSAT_LOCUS29288 transcript:rna-LATHSAT_LOCUS29288 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPNKLLTKADKLMKLSLTRWSADWKIATGLYEQAAIGFRASKNHEKDKLAYEKALQGQEMLSSPWDAAKHMESAAALAKELSNWREVGDFYQKASQLYMECGRPQPASDALAKGSSCFMPEEAIQLYTDACTILEDDKEQMAFDLYRVVTSVYIKLEKYTDAASSLLRLGLAADKCDATNSQSKAHLSAIIVYLHDHDIKLSYLFDSASDFGFEFSRKELQWNMKKG >CAK8568226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583685657:583686386:-1 gene:gene-LATHSAT_LOCUS21205 transcript:rna-LATHSAT_LOCUS21205 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWLPTWAMDENFQITHSYNKHEFIVDIAKRSCTCNFWELVGIPCRHVVAALGFRQQNPKMFVDECYSREKYVICYGFAVSPINGHEIWPEVKGEEFLPSKYKKCLGRPRKLRIRYCGEEDAIRRLPSVSHNCTKCDKFKHNVQLCKSKKQDPNALKRKKKVKSDAGTSATTKVMVNQPNQPTETSQHDTSAQQNQPIEASQHDAVTPDT >CAK8540358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554231853:554232773:1 gene:gene-LATHSAT_LOCUS9368 transcript:rna-LATHSAT_LOCUS9368 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNNIIGFINLLAVILSIPIIAAGIWLTNEPADTCVKFLQWPVIILGVLLLIVSLAGFIGSFWRVPCLMIFYLVAMLVLIILLVCLVVFVYMVTVRGHGMMEPNRAYLEYRLDDFSGFLKRRVRSSFKWDVIRSCLSETNMCAELNQNFRMAQDFFNARLTPMQTGCCKPPTQCAYTFVNPTYWISPINNAADMDCLQWSNDQTQLCYSCDSCKAGLLANLRKEWKRANVILMISVVVLIVVYLIGCFAFRNAKTEDLFRKYKQGYT >CAK8575234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57049174:57050493:-1 gene:gene-LATHSAT_LOCUS27513 transcript:rna-LATHSAT_LOCUS27513-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVMIFIVNIILVTSSPSVLRPGYYSKTCPQAETIVRDVMKKALLKEPRSLASVMRFQFHDCFVNGCDASMLLDDTPTMLGEKLALSNINSLRSFEVVDKVKEALEKACPGVVSCADIIIMASRDAVALTGGPDWEVKLGRLDSLTANQEDSNNIMPSPRANASALITLFKKYNLSVKDLVALSGSHSIGQGRCFSIMFRLYNQSGSGKPDPAIDPHFRAELDKLCPLDVDQNKTGNLDATPKIFDNQYFKDLVGGRGFLNSDQTLFTFPQTRGFVKLYSKDQSKFFKDFAEGMVKMGDLQSGRPGEVRKNCRVVNPRRDHFLIENNLQDSDLPM >CAK8575233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57049174:57050529:-1 gene:gene-LATHSAT_LOCUS27513 transcript:rna-LATHSAT_LOCUS27513 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQTHNNDIVIMFMVMIFIVNIILVTSSPSVLRPGYYSKTCPQAETIVRDVMKKALLKEPRSLASVMRFQFHDCFVNGCDASMLLDDTPTMLGEKLALSNINSLRSFEVVDKVKEALEKACPGVVSCADIIIMASRDAVALTGGPDWEVKLGRLDSLTANQEDSNNIMPSPRANASALITLFKKYNLSVKDLVALSGSHSIGQGRCFSIMFRLYNQSGSGKPDPAIDPHFRAELDKLCPLDVDQNKTGNLDATPKIFDNQYFKDLVGGRGFLNSDQTLFTFPQTRGFVKLYSKDQSKFFKDFAEGMVKMGDLQSGRPGEVRKNCRVVNPRRDHFLIENNLQDSDLPM >CAK8570921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:172242334:172243519:-1 gene:gene-LATHSAT_LOCUS23630 transcript:rna-LATHSAT_LOCUS23630 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMVPDNWQIEIVVGGQKVLAGSNGTIAWRHTPWLGVHAAKGGVRPLRRALQGLDPLAVSAVFSVAQHMGEKQIDGVDCFVLKLSADQKDLVERSDNTAEMIKHAIFGYFSQRSGLLVYLEDSYLTRIQAIGTNPTYWETSMSTKIKDYRDVEGVMIAHSGSTTVIITRFGDNLKDGPVITKLEESWSIDDVAFNVQGLSIDCFLPPQELNKDCPQQHLDWKSSLHR >CAK8560594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27126546:27127247:-1 gene:gene-LATHSAT_LOCUS14255 transcript:rna-LATHSAT_LOCUS14255 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGEVACSYSLMILHDDNIAVTGEKISTLLKAAKVDVETYWPNLFAKLAEKKNLDDLILNAVGGGAPASVSAPVAAASATAVAAPTEEKKEEPKEESDDDMGFSLFD >CAK8542148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478558002:478558470:-1 gene:gene-LATHSAT_LOCUS11014 transcript:rna-LATHSAT_LOCUS11014 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSMFINVTSLAMICLVLGIPLANAAQTCDEIKTSLSPCLGYLRHQEPTVPVICCNGVRTVTDQAKTVPERKDGCECIKSSLTNIPGLDVGAAQGVLNNCGVKPSFPIGADVDCSKITVEL >CAK8538231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472130364:472131752:-1 gene:gene-LATHSAT_LOCUS7453 transcript:rna-LATHSAT_LOCUS7453 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKIDNEYDYLFKIVLIGDSGVGKSNILYRFTRNEFCLESKSTIGVEFATRTLQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVLRWLRELRDHADSNIVIMLAGNKSDLKHLRAVLTEDAESLAEKEGLSFLETSALEALNVEKAFQTILFDIYHVIRKKALAAQEATPTTGVPHGKTINVSNISENSGNKTCCSS >CAK8544414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683042062:683043424:-1 gene:gene-LATHSAT_LOCUS13097 transcript:rna-LATHSAT_LOCUS13097 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNASPQVDSGETSLEVKPESQASKKRKMIQKRVVSVRIGENASKVKNEGLPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERSSTDSSMLIVTYTSTHNHPDSASVSATNSARQPKESETETIQNLPITSKEENQKHVEQEMDHSKRPVTNDQVTNEENFHYLQSPIEDPFKLNMEKHHIGLVLEEKPLCYAAQLKSLSESKSEELDYFDELEELPMSSSVFHFTRSIFSDERIPVAPS >CAK8540148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542168229:542173541:-1 gene:gene-LATHSAT_LOCUS9181 transcript:rna-LATHSAT_LOCUS9181 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKESEEVSHSQSIPAPVNIEEAGHVIDENGSPNQASASPCLRDDHRMIIDSLAGLDSYLDREMHLEVQPVTAEETYVPYDDKYEREELLAVDKNVKPKVVSEDSAQENVQDTLHLVPVEVDDQILNTTECGAASDAKVEGKRLPQSNNGVENDDTVCRNSVNDGDAETTGMEVDVKPEVCEAADSKTCDNELISSSHNNPTPSGANEPMPSSHNDPIIPQHAHAETGSEIKNVLEVESSKAGEEQADEHADNGNSDSQQNMFFLDADHYYDGNESGTEEDQAVFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRAVMRLGGYEKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHNIKGGELNVPIASPPEPVNVENPALASGRARRYAAEQAMRGWHSQRLLGNGEVSDPIIKDRNSLSVQKREKQLKNINILKRKKPSYMDNAAVKAARSKPSKPQLETAVVDIGPPADWVKVNVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHLNNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSE >CAK8575661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237439958:237440260:-1 gene:gene-LATHSAT_LOCUS27904 transcript:rna-LATHSAT_LOCUS27904 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGSTGATHFDQLAMILTGYEITGARSSGIFMGILSIVVGSLFKITTVPFRAAVERTAAYRLLGRVGGTTQIAANLPNRARAGLSARETHHYLVRALRP >CAK8535242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:829246233:829247835:-1 gene:gene-LATHSAT_LOCUS4715 transcript:rna-LATHSAT_LOCUS4715 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPIDAFGRRTHKLSKRQKSNEGRDLTSNLPDHVIGHILSFLPTKEAIHTSILSKRWIYLGTFITGLNFDDRDFYYSSHTIRKKKFVDFVDRALLYWNNGGFQSVSLALLETYDSSCIKKWISVVLNLRIKKLCVNLQNEFIASSDAFYKCKFLEELVLNRFAITVSSFVCLSSLTILKLSRTKITCDSSNESETLALNFPALRKYETLDCTWSGVKSVTLQVPLLEVVSIKYTSVSRDSHVEIKFYASQLTKFCYSGFVSDTILLDTDSLASADISLYNNEKSVQEIEMFVCKLLSINPESLKLCVCIKQFVLAGPKHHFTDIPPFGMLSYLELNFVACEYLLSILLKTPCLKTLVLKVIYFDGMLMDFATVPHCLLHTLKVLKFKKSVGHVRGLSIAKYILENGQVLERINFCLCSKEAQETVLSFKKSSSSVILEFSSEL >CAK8535326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838425031:838431320:1 gene:gene-LATHSAT_LOCUS4792 transcript:rna-LATHSAT_LOCUS4792 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPANPAIEEEEEEHERDGPTHHPSAPSHEFFDLSTTVDPSYIISLIRKLLPSDSGSVPGVVLNCPSQGLVTDIDNKEADAPASAPICNDYCIGLSKNKHENMDVDLSCESSHARGEYQNKSDGRERSGDSVGEDAWEEYGCILWDLAASKTHAELMVENLILEVLLANLINCKSMRVNEISIGIIGNIACHEVLMKRIVSTKGLIEMIVDKLFLDDPQCLSETSRLLTVGLQSDESISWAEALQSEHVLCQILWIAENTLNLQLLEKITGLILAILESRQKVVDDLLPPMMKLGLANILINLLTFEISKLTSDRIPERYSILDLILRAIESLSVIDGHSQEICSNKELFHLACNLVKFTDKVEVGNCCVTAAVLIANILSDVVDRSSEISQDWCLLGGLLDIFPFASDDLEARNAVWSVLARILVRIHETEMNSSSLCHFVLVLVRRIDLIEDELLNQQCVDYSPGSTADTRNTSLVRIISIVNQWTAVRKETENNGNAEVLVSETGLKKLLDCCHKFSK >CAK8578486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632132940:632137235:-1 gene:gene-LATHSAT_LOCUS30492 transcript:rna-LATHSAT_LOCUS30492 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKVPDWLNSSLWSVPKSPPSSVAAEDNSSLYNSISQPRPPSPPVVVQDPPPEQIIEDSRSEDQHDAPPSDFDVSHQAQLVTELSRKVMDMRELRRIACQGVPDSAGIRSTLWKLLLGYLPPDRGLWSSELAKKRSQYTQFKEEILMNPSEITRRMYNSTSGDAEDAAKRDRALLSRSEIPHDEHPLSLGKTSVWNQFFQDTEIIDQIDRDVKRTHPDFHFFSGDSKFAKSNQEALKNILIIFAKLNPGVKYVQGMNEILAPLYYVLKNDPDEENEAFAEADAFFCFVELLSGFRDNFVQQLDNSVVGIRSTITRLSQLLRKHDEELWRHLEITSKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLGDPEGPQETLLRVCCAMLVLIRKRLLAGDFTSNLKLLQNYPSTNISHLLYVANKFRVQSV >CAK8533618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642411661:642416626:-1 gene:gene-LATHSAT_LOCUS3232 transcript:rna-LATHSAT_LOCUS3232 gene_biotype:protein_coding transcript_biotype:protein_coding MPERCMHFKLCSFYSKWKLKLQLVVFLAAKVLIFEHSTMSTSSIESLTQDTKDELKEKTLEENNEVELEVLEEKVTMMDLKDIRGDNEVLSNFLRSEKEVKWHMALLGLRIVAFVFCLIGFSILGANEQRVLVNEEITNWFSSGFSVKTPYEFHWYDYDEFRYNFSVNVIGFVYSGLQICYLVRYLVTKKHAMNPKLQSYFNLVIDQALAYILMSASSSAATGAHLLRSDWIEHGAHKFIEMANASVVMSFFAFVAFALASIVSTFILFKFN >CAK8533619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642411661:642416512:-1 gene:gene-LATHSAT_LOCUS3232 transcript:rna-LATHSAT_LOCUS3232-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSIESLTQDTKDELKEKTLEENNEVELEVLEEKVTMMDLKDIRGDNEVLSNFLRSEKEVKWHMALLGLRIVAFVFCLIGFSILGANEQRVLVNEEITNWFSSGFSVKTPYEFHWYDYDEFRYNFSVNVIGFVYSGLQICYLVRYLVTKKHAMNPKLQSYFNLVIDQALAYILMSASSSAATGAHLLRSDWIEHGAHKFIEMANASVVMSFFAFVAFALASIVSTFILFKFN >CAK8566959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476180250:476180453:1 gene:gene-LATHSAT_LOCUS20054 transcript:rna-LATHSAT_LOCUS20054 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQGRPKQLVDQLSPHRCREWHSDQTSASDCLRLRLMPSLGGEHPSKKQKSEEVQKEKANPRMDLN >CAK8567301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502330671:502334005:1 gene:gene-LATHSAT_LOCUS20365 transcript:rna-LATHSAT_LOCUS20365-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYSQLFIVSLYFVIGLETCFDFAVSLQKQRQIQEFLEGNGNVPVGCSCLKLNKPNVHDLNSQLPLDMPPILVEETSFPDGLHGSQVQDEKANSASPLAFLSIVEVPSQAKSGMCLDTNTNCQNNIDFQMKSKDIYTQCIIDIPSVNGNSVSPESYEEGVESFKTGNSPTSVLCRESSLKVGAKLMQSLGSFNNPRDKPVTEKLHDLPSNKWRRYKRSTSFDSRKVALLFSILSSLGTLVLIYLTLRVRQKADGFVLI >CAK8567300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502330182:502334005:1 gene:gene-LATHSAT_LOCUS20365 transcript:rna-LATHSAT_LOCUS20365 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAVDSPSSCQKQRQIQEFLEGNGNVPVGCSCLKLNKPNVHDLNSQLPLDMPPILVEETSFPDGLHGSQVQDEKANSASPLAFLSIVEVPSQAKSGMCLDTNTNCQNNIDFQMKSKDIYTQCIIDIPSVNGNSVSPESYEEGVESFKTGNSPTSVLCRESSLKVGAKLMQSLGSFNNPRDKPVTEKLHDLPSNKWRRYKRSTSFDSRKVALLFSILSSLGTLVLIYLTLRVRQKADGFVLI >CAK8536775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:18527011:18529551:1 gene:gene-LATHSAT_LOCUS6108 transcript:rna-LATHSAT_LOCUS6108 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNRLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8565188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:61759361:61762508:-1 gene:gene-LATHSAT_LOCUS18422 transcript:rna-LATHSAT_LOCUS18422 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGRVGNIIRNAANVKITSEFKLRTFPSVFQAIRCFSSTPSTKLFVGGVSYSTDEQSLREVFARYGEVVDVRIIMDRETGRSKGFGFITYNTVEEASSAIQALDGQDLHGRRVGVNFANERARGGYGGGDGGFGGSYGNTSYGGGGGAGYQGGYGNSPYGAAPSGGGYGDAGGNVTGGYGNAYNDGTTSGGYGGNNANYSAPVGSGESNTLNYGSAPAVGGYGGGNNGNYGAADTVNYGSAPGVGGYGGGNNASYGGGESNSVNYSAPGVGGGESNSVNYSSAPGVGGYGSGNANYGAAVGGVESNSVNYGKAPVDGGYGGASAAGGPSNGFAGSQYPGSAAGYGSGGHGYGESGQENFSNDDHEADAFAKRA >CAK8565187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:61759361:61762508:-1 gene:gene-LATHSAT_LOCUS18422 transcript:rna-LATHSAT_LOCUS18422-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGRVGNIIRNAANVKITSEFKLRTFPSVFQAIRCFSSTPSTKLFVGGVSYSTDEQSLREVFARYGEVVDVRIIMDRETGRSKGFGFITYNTVEEASSAIQALDGQDLHGRRVGVNFANERARGGYGGGDGGFGGSYGNTSYGGGGGAGYQGGYGNSPYGAAPSGGGYGDAGGNVTGGYGNAYNDGTTSGGYGGNNANYSAPVGSGESNTLNYGSAPAVGGYGGGNNGNYGAADTVNYGSAPGVGGYGGGNNASYGGGESNSVNYSAPGVGGGESNTLNYGSAPAVGGYGGGNNGNYGAADTVNYGSAPGVGGYGGGNNASYGGGESNSVNYSAPGVGGGESNSVNYSSAPGVGGYGSGNANYGAAVGGVESNSVNYGKAPVDGGYGGASAAGGPSNGFAGSQYPGSAAGYGSGGHGYGESGQENFSNDDHEADAFAKRA >CAK8574102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662762903:662769382:1 gene:gene-LATHSAT_LOCUS26479 transcript:rna-LATHSAT_LOCUS26479 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGYDSRRKHHRSSSPDDHDKSSKRHKHRHHHSHRHRHGSKKRDEEIQIQYDAEIVAAVPSPSPVSDPAPAPRNHLPDDDVEEGEILDDEPLGGEVGKKQIESDVESGEIEVKGDRDLRSEKKIPGLLAKSLKIESEDFNSDRFISPTSDVEDGKWRRRHIESRSCEDDDSPNHSRAGRDVQAGHDALGNGYLDVKSSKGDKWQNGEFGHFKGNEMLKGDYNDEALEANRKEENSHRNASESGEEKRRMPGNSPLHDGYRSRSKSIGHTRDRSPSRSAVEEYVHPNSRHSREKGSLYYTSRHKTDYDLDEERVSAYRREHRHGSKDLVEGDKQEHSTRYHSRETRDRDRATYRSRDRDVDRDLHREKKGEETNKNKEVDWVRRREKERGRSHDRHRRDIEKEKDRSREREEGRDRRREKERDRSRDTVYERDRRREIERDTSKDRTRGGERDRDWKSEWDYRNRERDNIKERERRDDRYRHNDKDAPNGKDKHIRREDGNDSGDRYKKHSRHEENGHHTDRKRNYTMEEDGRKLKGEIEQDDVDEDTLQLPEQEEEDLNRIKEESRRRREAIMEKYKKQNQKIEQAAGNEGKGKDLEIHTDILKAVDGKSDDVDNVEPSFSVGKSPPENVNVGSEKVPGAGGLGEGTPKSERSADKYCDDIFGETPTGVRKSGKGDGLLIERVGLHDNWDDAEGYYSYRFGEILDGRYEVTAAHGKGVFSTVVRAKNLKTGNGEPDEVAIKIIRNNDTMYKAGLDELVILKKLVGADPDDKRHCVRFLSSFKYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLQYDHPLDIWSVGCCLYELYTGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHYDQDLSFHATEEDPVTKKTIKRMILNIRAKDVGTIITGSPGEDPKMLANFKDLLDKIFVLDPDKRLTVSQALNHPFITGK >CAK8573144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589227955:589229652:1 gene:gene-LATHSAT_LOCUS25624 transcript:rna-LATHSAT_LOCUS25624 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARILRLQTRFISTQSFQYDRYPILRASSRHSSINTILNRYGFLKRGVSTQTNPNKHVCEDVENNEVDALKSSANSDNVPTSMSITENSAIKFSANSNLKTSSRHDLAMIFTCKVCETRSIKTVCRESYEKGVVVARCGGCNNLHLLADHLGWFGEPGSIEDFLATRGEEVRRGSVDTLNLTLEDIAGKQS >CAK8576643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500161978:500165326:1 gene:gene-LATHSAT_LOCUS28817 transcript:rna-LATHSAT_LOCUS28817 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASNLAFTFILLSFILVTFSSTNVHIVYMGDRSQSQNESELIEDSHHDMLSCILGSKRAAMKSILYSYKYGFSGFAAVLTHSQAKLIADFPGVVRVIPNKILSLHTTRSWDFLHVKPDIVTGVLSRAQSGRGTIIGIIDTGIWPESHSFRDDHMDNPPSRFRGICQEGENFDSSHCNRKIIGARWYIKGYEAEFGKLNTSDGVEYLSPRDASGHGTHTSSTAAGVLVENASFKGLAKGSARGGAPSAWLSIYKICWSTGGCSSADLLAAFDDAIFDGVDIISASLGSSPPLPTYVEDVLAIGSFHAVAKGISVVCSGGNSGPYAQTVINTAPWVITVAASTIDREFPSKIILGNNQTIQGQSLYTGKELNKFYPIVYGEDIATSNASEESARSCESGSLNSTLAKGKTILCFQSRSQRSATAAIRTVTESEAVGLIYAQFPTKDVDMSWDIPSVQVDFIAGTKILSYMEATRNPVIKFSKTRTVVGRQMSPDVALFSSRGPSSLSPSVLKPDIAAPGVNILAAWSPASYSSLESDTSQDELLSALNFKIESGTSMSCPHVSGIVALVKSIHPSWSPAAIKSALVTTASLKNKYSQYIGAEGAPYKQADPFDYGGGHVNPDKVADPGLVFDLGETDYLNFLCSMGYNNTAITLLTDSPTKCIKSRKFLLNMNLPSITIPELKQPLTVTRTVTNVGPIKSTYIVRVAAPVGISVTVEPTVLTFNEKRKKMKFKVKFSSELRVRSRFSFGYLFWEDGLHEVRIPLAVYSVV >CAK8571188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:331425886:331430626:-1 gene:gene-LATHSAT_LOCUS23877 transcript:rna-LATHSAT_LOCUS23877 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRVFTTPLLNKFHFYNNNLAPFFLFYKTRYLHCCSLNYVICKVYGHQHDFKVPSTLGFQSFHSAPFLKFNHKVVDQLQDSPNSPTANGDVKLIRKNLKGKRAVVRWLKFFRFKKKKEFQRMTTEERILYKLFKARKKEERLREALKKIEPTESSETTHDPEILTPEEHFFFLKMGLKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVVVKTFSAEEVREIATELARLTGGIVLDIHEDDTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKYRDGLRAVRRYIPKLEQELEILRAQLKSTGESNIEAAEGSDRVSVEPSSVSNFQLDKIGAMFNDNNGCSEEDEEVMDSDLDSYSDKLSDIFETDSDTEKLVKEEKPLYLDEFNNFPEQSDGDTNDFEEHLQQILFNSKIMEKDADLPKFDEVDRIFLHAASFLKKKRK >CAK8571481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429257333:429259726:1 gene:gene-LATHSAT_LOCUS24143 transcript:rna-LATHSAT_LOCUS24143 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPNYIVHAHYDGETFISENSGFGFQNTDLTRLTMSRKANFVHFKERIQSKILSGQISQIIYRSPVFFDNNQVKYFQEKIQDNNDVDQMFDSHEHSGFDYIEVYLLLCQTEHEVGETTDIDEIDVVDEEEEDPEAMVDQMVNLFETGDYNAMTPLQDIDEEALPLNQVYCPPQHMTNLQFSGDDTSSYYFYNPSQQIESVLKVGNQYRTKEECIKAIRKFHMDNFVDFYINRNDSKRYVVVCRSAICKFRLAASYRKRSDCWEICSTDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFSIAFALVEGETGEAWSFFLRNLRTHVAPQPNLCLISDKHASIVSAYNNPANGWHNPSSVHVFCIRHIAQNFMWEIKDRNLRKKVVNAGYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMATNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSVVLQPGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICCLPGHTRKNCPNVGTSSR >CAK8538400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481345928:481346275:1 gene:gene-LATHSAT_LOCUS7609 transcript:rna-LATHSAT_LOCUS7609 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIASIHILGLLALAVLISSEVSARVFTETSSNTKMDGGYPGNPHVLGGIVGRAAVGGIVGRGIVGRAAVDGTRN >CAK8577978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599791492:599791797:1 gene:gene-LATHSAT_LOCUS30032 transcript:rna-LATHSAT_LOCUS30032 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKVNVRFKLGVKDYKLTYYTPDYQTKDTDILAAFRVTPQPGVLPKEAGTAVAAEFSTSTWTTVWTDGLTSLDRYKERCYEIEPVPGEDNQFSFHL >CAK8564775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9403091:9406950:-1 gene:gene-LATHSAT_LOCUS18044 transcript:rna-LATHSAT_LOCUS18044-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNISNTLHTPLLKSTQKPPYLIFVTFGSILFLMSMLALIFIINQTQNPLEKLENISPFANISYDFHKVSYNWTDAMFSWQRTAFHFQPQKNWMNDPDGPLFHMGWYHLFYQYNPDSAIWGNITWGHAVSKDMIHWFYLPIAMEPDKWFDINGVWTGSATLLPNGEIIMLYTGDTNNYVQVQNLAYPANLSDPLLLDWVKYADNPVIEPPPGIGLKNFRDPATSWIGPDKKWRVVIGSKKEKTGLAFVYKTTNFTYFELNDNYLHAVPSTGMWECVDFYPISINGPNGLDTSVNGPEVKHVLKASMNDRKIDCYAIGTYLIENDTWVPDNPNEDVGIGLLLDYGRYYASKTFYDQVKKRRILYGWINETDSASDDLKKGWASLQTIPRTVLFDKKTISNLVLWPIEEIESLRISSDEYEGVVITPGSVVPLNITQATQLDIFAVFEIESLISEGNISNDNIDCGGGAFERSAFGPFGIIAIADDTLSEQTPVYFSISNTSLGCSTTFFCVDETRSSKASEVAKPVYGSKVPVFSDEKLSMRVLVDHSIIESFAQGGRRVISSRVYPTEAIYGASRLFLFNNATNINIKVSIKIWHLNSAFIGPFPFDHQSQ >CAK8564776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9403091:9406950:-1 gene:gene-LATHSAT_LOCUS18044 transcript:rna-LATHSAT_LOCUS18044-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNISNTLHTPLLKSTQKPPYLIFVTFGSILFLMSMLALIFIINQTQNPLEKLENISPFANISYDFHKVSYNWTDAMFSWQRTAFHFQPQKNWMNGPLFHMGWYHLFYQYNPDSAIWGNITWGHAVSKDMIHWFYLPIAMEPDKWFDINGVWTGSATLLPNGEIIMLYTGDTNNYVQVQNLAYPANLSDPLLLDWVKYADNPVIEPPPGIGLKNFRDPATSWIGPDKKWRVVIGSKKEKTGLAFVYKTTNFTYFELNDNYLHAVPSTGMWECVDFYPISINGPNGLDTSVNGPEVKHVLKASMNDRKIDCYAIGTYLIENDTWVPDNPNEDVGIGLLLDYGRYYASKTFYDQVKKRRILYGWINETDSASDDLKKGWASLQTIPRTVLFDKKTISNLVLWPIEEIESLRISSDEYEGVVITPGSVVPLNITQATQLDIFAVFEIESLISEGNISNDNIDCGGGAFERSAFGPFGIIAIADDTLSEQTPVYFSISNTSLGCSTTFFCVDETRSSKASEVAKPVYGSKVPVFSDEKLSMRVLVDHSIIESFAQGGRRVISSRVYPTEAIYGASRLFLFNNATNINIKVSIKIWHLNSAFIGPFPFDHQSQ >CAK8564774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9402754:9406950:-1 gene:gene-LATHSAT_LOCUS18044 transcript:rna-LATHSAT_LOCUS18044 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNISNTLHTPLLKSTQKPPYLIFVTFGSILFLMSMLALIFIINQTQNPLEKLENISPFANISYDFHKVSYNWTDAMFSWQRTAFHFQPQKNWMNDPDGPLFHMGWYHLFYQYNPDSAIWGNITWGHAVSKDMIHWFYLPIAMEPDKWFDINGVWTGSATLLPNGEIIMLYTGDTNNYVQVQNLAYPANLSDPLLLDWVKYADNPVIEPPPGIGLKNFRDPATSWIGPDKKWRVVIGSKKEKTGLAFVYKTTNFTYFELNDNYLHAVPSTGMWECVDFYPISINGPNGLDTSVNGPEVKHVLKASMNDRKIDCYAIGTYLIENDTWVPDNPNEDVGIGLLLDYGRYYASKTFYDQVKKRRILYGWINETDSASDDLKKGWASLQTIPRTVLFDKKTISNLVLWPIEEIESLRISSDEYEGVVITPGSVVPLNITQATQLDIFAVFEIESLISEGNISNDNIDCGGGAFERSAFGPFGIIAIADDTLSEQTPVYFSISNTSLGCSTTFFCVDETRSSKASEVAKPVYGSKVPVFSDEKLSMRVLVDHSIIESFAQGGRRVISSRVYPTEAIYGASRLFLFNNATNINIKVSIKIWHLNSAFIETFKKLSPFR >CAK8565201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67144625:67145137:1 gene:gene-LATHSAT_LOCUS18434 transcript:rna-LATHSAT_LOCUS18434 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERWRRETNTFHFDCTQITLAPSPQLPPFLSLTLSSNPFLDYCRYFVAKRSSCGGLIFVDKDKTACGGGSVYLLMFIAKLFL >CAK8572350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531532538:531534211:1 gene:gene-LATHSAT_LOCUS24925 transcript:rna-LATHSAT_LOCUS24925 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMLGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8565322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:122554962:122562814:1 gene:gene-LATHSAT_LOCUS18544 transcript:rna-LATHSAT_LOCUS18544 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRRLPTAGTATLFGQVRLLQSTNSASIYRIRHGYSLECPGLVLPLQRRPWTPVVEAIGNSGARERSDFSEEDEKEMPHSLAEDSSRKDVNQAVKVVVAAAAVVAMGVGNRVLYKLALVPLKQYPFFLAQFSTFVYVIVYFSILYIRYRAGIVTDEMLSMPKTPFLIVGLLEALGAATGMAAGAMLSGASIPILSQTFLVWQILLSTIFLGRRYKVNQLLGCFLVAIGVIITVASGSGAGKSLQEAGIFWSLLMMTSFFFQAADTVLKEVIFMDSARKLKGGSLDLFVVNSFGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNLGTLSSGCDGAPLLPFLFIIVNMGFNISLLHLLKISSAVVSCLATTLSVPISIYLFTLPLPYLGVASSLPTGFLAGAIILIIGLLIYTWTPSNVSSNSSLTAPT >CAK8575079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26897001:26897744:1 gene:gene-LATHSAT_LOCUS27369 transcript:rna-LATHSAT_LOCUS27369 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWSSENAKNAYLQTVKMATSGKEPDVAEFISAIAAGNNAKLMVVASASVTDSVIFALTSAAQQTHGRVVCISCDQNESRIDKECVEFVVGDAKSLLLNAYKGADFVLVDCDMSNAREVFLAAFKGANKDGALVVGFNVRHRALRWRQLRATYLPIGEGLLVTKIDLNMKKDNDMVVERNKKSHWIVQVDNCTGEEHIFKITSPCKKVDIEV >CAK8579434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699032681:699034534:-1 gene:gene-LATHSAT_LOCUS31382 transcript:rna-LATHSAT_LOCUS31382 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGSSTHKKHKAKDDIISNLPESLITRILSFLPTKDAVRTSVLSKRWIEKWTLITKVELDDSVFYSSEKKKSSEEQQCFIDFVNRVLLLTGSHSVESFSLVICDFYDVTVLNTLITCVLKKRVKKLSISLREDLLFSALTSHYLFNHATCLEELLLKTDYSTIEIPLYKIYDVFLFKSLKLLKLYGVIFTIDKSQHIIFPVLEKFETHNCSWSYISAHNVTLELKAPLLESVYITQDYESVVREPSIKFSDSQLKEFTYIGEEGMSQAIVLSDPSSAHNATVSITLYDTGNEVQETESCVCLLLKQFSQVKRIKFYGSEVLTRPNLAILPKFAMLSYLELGFVSFEVLLCLIQKTPVLNTLVFEGILKFNHELLNSAAVPDCLTSTLQVVIFGDVHGYEHELLLAKYLMENGTVLERMSFSFANARSGKSKVIEEFKEKLYSFKKGISFAILEFSYDYY >CAK8574866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12713141:12715710:-1 gene:gene-LATHSAT_LOCUS27165 transcript:rna-LATHSAT_LOCUS27165 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTQILLVGLPIFLFFSDIINLFSSSPPPKPSHHNHNHPIPQPQQPQFQQPLDFPSQKPSEFGPIGVGNTVSIDFCTSCSYKGTAVTVKNMLESLFPGINVVLANYPPPLPKRVISKVIPVLQTGIIIAITAGDQIFPRLGVTPPQLYYSLRANKFRSIASIWLLANFVQSFLQSSGAFEVYCNGELVFSKLKENRFPGEFELKELIGKRIGNTHIF >CAK8573697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631089712:631090805:-1 gene:gene-LATHSAT_LOCUS26108 transcript:rna-LATHSAT_LOCUS26108 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMTLSHLAIILSINIFIAGPTCAQINTPCNPSTLSTLFTPCMSFLTNSSANGTSPTTQCCTALKSLTSGGMDCLCLIVTASVPFKIPINRTLAVSLPRACKMPGVPVQCKASASPLPAPGPVALGPSPSPASTPSGLSGFTPTPSPQASSDLPSPTSSPLAPQQNTKVPLLNPPSPSVDSGTPSTSTTGSGRTSLTPSSAVASYNVSPSVLLITLGFVAIKYY >CAK8562456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:495516600:495516887:1 gene:gene-LATHSAT_LOCUS15951 transcript:rna-LATHSAT_LOCUS15951 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDLPIIGNQFTWFSSDGKPKNRDVSDHCPISIKGRQLNWGPTPFRSNNCWFENKHFLTLGKNSGNHTI >CAK8538114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464255095:464256891:-1 gene:gene-LATHSAT_LOCUS7349 transcript:rna-LATHSAT_LOCUS7349 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLRKFEKIAFYKGKSWSVDDEMKSKKKKKNNININRILISINIVGSSGPLKIVVNKNDIVCDVIDKALKFYASQGRLPALKSDVSDYVLHCSNGVLDGAALNPSEPIGSFKTRKFLLSENQASSTKTEEKAGSKRRNCSWKSFSFKKFRFALHCATIS >CAK8542184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486661133:486661519:-1 gene:gene-LATHSAT_LOCUS11045 transcript:rna-LATHSAT_LOCUS11045 gene_biotype:protein_coding transcript_biotype:protein_coding METRIDPSKLCKKFISLGFDGCKFTEVRGYVGRISMGWMTSKIGVEILKNHFQFIHAKIKIGDEVYWLLTTVYVSPIEDGKRELLRKLKNIVEKVDSSWIVGGNFKNILVGTKKRGEVIPYARKCVLF >CAK8573112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585716706:585738432:-1 gene:gene-LATHSAT_LOCUS25598 transcript:rna-LATHSAT_LOCUS25598 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDKLLKEAKKLPWEDRLSHKNWKVRNEANVELASLFDSITDPKDSRIREFGHFFKKTVADSNAPVQEKALDALLAYLRAADADAGRYGKEVCDAVVAKCLTGRPKTVEKAQAVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGSKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDNVKSILFEKMRDTMKKELEAEVVNVTGTAKPSRKIRSEQDKEPEQETVSEVAGPGPAEESGSDAHQEIDEYELVDPVDILTPLEKSGFWDGVKATKWLERKEAVGELTKLASTKRISPGDFSEVCRTLKKLITDVNIAVAVEAVQAIGNLARGLRTHFSASSRFLLPVLLEKLKEKKPTMTEALSQTLQAIHKAGCISLADVVEDVRTATKNKVPLVRSLTMTWVTFCIETSNKGIITKVHKDYVPICMECLNDGTPDVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSDDAVPGGTSTVSVQNTRASASAETSESAFVKRSAASMLSGKRPVQAAPVTKKGGAVKSGTNKKVEGVSQKASKPIEAPEDVEPTEMGLEEIESRIGSLIQSDTITLLKSAVWKERLEAISSLKQQVEGLQNLDQSVEILVRLLCTLPGWGEKNVQVQQQVIEVVTHIASTATKFPKKCVVLCLSGLSERVADIKTRAYAMKCLTTFCEAVGPGFIFERAYKIMKEHKNPKVLSEGISWMVSAVDDFGVSHLKLKDLIDFLKETGLQSSAAATRNASIKLLGVLHRFVGPDIKGFLTDVKPALLSTLDTEYEKNPFEGASAVPKKTVRASDSSSSAGAGGLDSLPREDISGKITPTLLKSFESPDWKARMESVEAVNKILEEANKRIQATGTGELFGALRGRLYDSNKNIVMATLTTIGNVASAMGQAVEKSSKGILSDILKCLGDNKKHMRECVLNTLDSWLAAVHLDKMVTYVAIALVDSKLGAEGRKDLFDWLSRQLSGLSSFAEAAQLLKPASSAMADKSSDVRKAAETCITEILRVSGHEMIEKIVKDIHGAALALVLEKLKPYGAFQESFEPARVTSVGITSKGVTKVGKSTANGVSKPGNKVVSSRAGAIKGAKSEPISVQDIAAQTQTLLNIKDSNKEDRERLVVRRFKFEDPRIEQIQDLENDMMRYFREDLHRRLLSADFKKQVDGLEILQKALPSIAKEVIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELLDTLKDEGYSLTESEVAIFLPCLVEKLGHNIEKVREKMRELTKQFVVVYSASKCFPYILEGLRSKNNRTRIECADLVGFILDHHGAEISGQLKSLQIVASLTAERDGDIRKAALNALATGYKILGEDIWRFVGKLTDAQKSMLDDRFKWKVREMEKKKEGKPGEARAILRRSVRENGSDVAEQSGEMARSLAGPLLRRNFAQPDSNIERQLMPRPVAIASAPTDWNEALEIISFGSPEQSVEGMKVVCHELAQATSDPEGNAMDELVKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKESTLDSLITELLLWLLDDNVPRMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPAPNESLASRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDHILQSIHLYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDTKPQPIILAYIELNLETLAAARMLTASGPGGPNHWSDSATNNSAAGTHSADAQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPEVDIFDQLQNASEAFRTYIRDGLAQMAKNAAAGRTPSSMPMPTPPPASLNISSPDFAPLSPVNANALSDAKLNVKPEPTNFHLPPSYNEENRAVNAYASRVLSSDYTLGDQRNDKFMTGVTGGTLDAIRERMKSMQLAAAAGGTDSGARPLTSFSDNLNHGLHHSQIPLPSEHVGAENTLQGGVHPMDEKALSGLQARMERLKSGSLEPL >CAK8579079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673098496:673099980:-1 gene:gene-LATHSAT_LOCUS31051 transcript:rna-LATHSAT_LOCUS31051 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNGIKQSKSIKHLHQIHAHTITTGLLPHHKLTILNNIISTLTSLIPTNINNKTCSKSILTTYALSLFNSIPNPTTFTYNTLIRIHTLLSSPLSALQIFLSLRRLSLPLDFHTFPLILKASSQLNSLSLAQSLHSQVFKFGFHHDSFVMNSLIRLYSVNHRVNDAHKVFCQNSYRDVVSYNAMIDGFVKSFRLDSARELFDEMPQRDNVSWSTMIAGYSHAKLCLEAIELFDEMISLEFVPDNIALVSILSACAQLGELERGRFVHDYITRNGIRVDSFLTTGLVDLYAKCGCVEIASGIFESCKDKDVFTWNAMLVGLAIHGKGLVLLEYFSRMVAEGVQPDGITFLGVLIGCSHAGLVYEAQKIFNEMESVYRVARESKHYGCMADMLARAGLIEESVEMIKGIPGGGDVSAWGGLLGGCRIHGNVEIAKQAAQQVMEIKPEDGGVYSVMANIYANTEQWDDLVKIRRSLGANRRAKKITGSSLIRLNGH >CAK8540980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38504810:38505202:-1 gene:gene-LATHSAT_LOCUS9934 transcript:rna-LATHSAT_LOCUS9934 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQEKLMHYQVEQQPSWNYYMRRVRRTMDEDQMERIMRLATQSAVVIFSMSNCCMCHAMKSLFSGMGVNAMVHELEEDPKPFMRLLGNSTSLPVVFIGGKLVGSMDRVLAFHINGSLVPLLKDAGALWL >CAK8572560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546588804:546591763:-1 gene:gene-LATHSAT_LOCUS25113 transcript:rna-LATHSAT_LOCUS25113 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVAPSSGFREASGHGEIGVDVLPEEMNDMKIRDDREMEATVVDSGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPMIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCQALDALTHPFFDELREPNARLPTGRFLPPLFNFKPHELKGVPLETLVKLVPEHARKQCPFLGL >CAK8533492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625485115:625487680:-1 gene:gene-LATHSAT_LOCUS3115 transcript:rna-LATHSAT_LOCUS3115 gene_biotype:protein_coding transcript_biotype:protein_coding MLEARDPAIKLFGKTIPVPEISSGSGDSAGAPDSSSGDVVDEGIVQNHASSINSSNSNMDEEEREIDEDTKEKEPAEYKKEKDEALAQLSEKNTDINTASILVDESINLSAEEERKSKEEQAENSQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKNPGTQYRQITVPEAAVQNSQSDIPNGVHHPSLNCNGTVFTFGTDSPLCESMESALNLADKGISISPKNGFIRPEELRIHVPFTGEETRNDDSNKSSDGSTTPTENSTANSSLGQVMPNCQSFPPQVPYYPGAPWLFPWNPAQWSSQVQPPPAFFPQGFAVPLYPPPAYWGFTVPGAWNNPWLVQPSSPNSASLNSGPNSPTLGKHSREESMAKSNDAAGGSDEGINKEEKSLWVPKTLRIDDLGEAEKSSILTTLGIKNNKTDLIRGGGGLFKAFATKGDEKDDMQNSNSPVLQANPAALSRSISFRETS >CAK8573520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617836998:617838449:-1 gene:gene-LATHSAT_LOCUS25961 transcript:rna-LATHSAT_LOCUS25961 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIALLGLRKRVVHKISQFFVIQLRLCSNTFDGNNDFDCIEEPLKRIVSDFDSSLDERFNLNNDSNCNQKPFSLRKGFLDSVKLDAKRALEVLRQDGPGLDARLILEELSIRPSGILVREVLFGILRSINSENKTRCAKLAYKFFVWCSQQEDYRHTANAYHLIMNIYAECEEFRALWRLVDEMIEKGYPATARTFNVLVRTCGEAGLAKTLVERFIKSKNFSYRPFKHSYNAILHSFLVLKQYKLIEWVYEQMLLDGFSSDVLTYNIVMYAKYRLGKVDQFHILLDEMGRNGFSPDFHTFNILLHALGKGDKPLAALNLLNHMRETGIEPTVLHFTTLVDGLSRAGNLDACKYFFDEMTKNGCVPDVVAYTVMITGYVVAGELEKAQEMFQEMLSKEQVPNIFTYNSMIRGLCMAGKFDEALSMFKEMERKGCSPNSVVYITLVNCLRNAGRVADAREVIRQMMETGKYAHLLSRFKGFKM >CAK8566466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426201492:426202870:-1 gene:gene-LATHSAT_LOCUS19595 transcript:rna-LATHSAT_LOCUS19595 gene_biotype:protein_coding transcript_biotype:protein_coding MNMENHNDSSEWNNWSQYTDRSHFPAQILPQNISDSSSASFQCSEFHSWPLPVEGSLEDRAASASKSHSQAEKRRRDRINTQLANLRKLIPKSDKMDKAALLGSVIDHVKDLKRKAMDVSRVINVPTEIDEVSIDYNHVIEDETSTNKVDKFKNNIIIKASVCCDDRPELFSELIQVLKGLRLTTVKADIASVGGRIKSILVLCSKDSEENVCINTLKQSLKSAVTKIASSSMVSNCPTRSKRQRFFLPSHFV >CAK8536036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901605392:901607769:-1 gene:gene-LATHSAT_LOCUS5438 transcript:rna-LATHSAT_LOCUS5438 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQPYFSNNFNGYYFDLNNPPFYNFPSSYNQNNISFSSPSPPREALPLLNLNPTKHEDLLESSFSSMEMMNMKEKEQLSISSNSLDDVHDAVTVALHLGLPTITSSDLVSEKEDDDEKVVTVASECPPSKINRGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCASGCKNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCCKAFAVRGDWRTHEKNCGKLWYCCCGSDFKHKRSLKDHIKAFGNGHKAYGIDDQDGSEIEQENESFQS >CAK8570180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27838938:27839289:1 gene:gene-LATHSAT_LOCUS22955 transcript:rna-LATHSAT_LOCUS22955 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASAFEPVGYPRGPYDTSLLVKYENHVARRIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMKGWISRSGLASL >CAK8563569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617130339:617132363:1 gene:gene-LATHSAT_LOCUS16965 transcript:rna-LATHSAT_LOCUS16965 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECCSNPPTLNSNAGSGHVDKIGALNVYIAGDQNSNSAVLLVSDIFGYEAPNFRKLADKVAAAGYYVVVPDFFNGEPYNPENPNKPLPIWIKDHGTDKGFEDAKLIIEAIKSKGVASVGAAGFCWGAKVVTELAKSKLIPAIVLLHPSFVSLDDIKGVDIPISILGAEIDMRSPPELVKQFEEILTANSVTSFVKIFPKVSHGWTVRYKPDDAEEVKAAEEAHQDLLNWFAKHLK >CAK8538579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487685881:487686483:-1 gene:gene-LATHSAT_LOCUS7762 transcript:rna-LATHSAT_LOCUS7762 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQVVYVHADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDMIYILGLDEVSEEDRLTVVRAQKMERFLSQPFFIAEVFTGSPGKYVGPVETIKGFQLILSGELDSLPEQAFYLVGNIDEATTKATNLT >CAK8538580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487685881:487686237:-1 gene:gene-LATHSAT_LOCUS7762 transcript:rna-LATHSAT_LOCUS7762-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRIVGEEHYETAQRVKQTLQRYKELQDMIYILGLDEVSEEDRLTVVRAQKMERFLSQPFFIAEVFTGSPGKYVGPVETIKGFQLILSGELDSLPEQAFYLVGNIDEATTKATNLT >CAK8567199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493946172:493948525:1 gene:gene-LATHSAT_LOCUS20270 transcript:rna-LATHSAT_LOCUS20270 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVLRARAYSSRYASNPQPLLQPWKLGFSSLSTTVNTDGGVTKCFLDLQEIEKILTDVQADDVKIIPVPKHSEWADFMVLATGKSPWHVRNIAQALIYKAKQKQIGAQQMMLPSVQGQEDGKWIVIDSGKVIVHALDENARAYYNLEGLWTRGTLQNEPVEDLQNVLVKVRRKNNSKKPAQKNP >CAK8574229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671104772:671109621:1 gene:gene-LATHSAT_LOCUS26589 transcript:rna-LATHSAT_LOCUS26589-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTTATTTVNNGSVNTTKLGSGGGGGGIKSNNHINEEEHSNLNGEENIPEKMEEKEEEEDKGEQQQPTGSETAAQQPSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEGGSYLHEDGDDFDDLHDGTDVDEDEEDEDDDNEEEYEDEDVFDVHAHASAGEHDNATIEFDPELFSNDEAYARALQEAEEREMAARLLALAGIHDQDAEDMEEHGTNSQDAWEDVDPDELSYEELLALGEVVGTESRGLSTDTIACLPSVNYKTGSDQHGSNDSCVICRVDYEDDESLTVLSCKHLYHPECINNWLKINKVCPVCSTEVSASGSNL >CAK8574228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671104772:671109621:1 gene:gene-LATHSAT_LOCUS26589 transcript:rna-LATHSAT_LOCUS26589 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTTATTTVNNGSVNTTKLGSGGGGGGIKSNNHINEEEHSNLNGEENIPEKMEEKEEEEDKGEQQQPTGSETAAQQPSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEGGSYLHEDGDDFDDLHDGTDVDEDEEDEDDDNEEEYEDEDVFDVHAHASAGEHDNATIEFDPELFSNDEAYARALQEAEEREMAARLLALAGIHDLSFSITEDAEDMEEHGTNSQDAWEDVDPDELSYEELLALGEVVGTESRGLSTDTIACLPSVNYKTGSDQHGSNDSCVICRVDYEDDESLTVLSCKHLYHPECINNWLKINKVCPVCSTEVSASGSNL >CAK8579284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689656924:689657481:-1 gene:gene-LATHSAT_LOCUS31239 transcript:rna-LATHSAT_LOCUS31239 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLAFLFVFIMLFALTSANPSKLRKPYTPCKNLVLYFHDILYNGMNAANATSAIVAAPQGANLTKLAPQFNFGNIVVFDDPITLDNNLHSTPVGRAQGFYIYDTKNTYTSWLSFTFALNTTYHQGTITFAGADPIMAKTRDISVTGGTGDFFMHRGIATIMTDAFEGEVYFRLRVDIKFFECW >CAK8579104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675111803:675116554:-1 gene:gene-LATHSAT_LOCUS31072 transcript:rna-LATHSAT_LOCUS31072 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQKQGKDQDKELEFLTDDSDSEFEVPLPLTVTSRALYMLGDITAGPAFRFTQWLHLVRKRTSKYRSSGFPRSSSTTMPSNSSVRDSIDDKKCDMQIDQTEISLWERLGKAAMLDIESSSFSWDGLSSLHHTEHGSSNEHSEDEMNKALEVTVNSGGVVFFAFFNGQGSADAFPREEAAVIKISSSRMATQSERLGYELAKWLGIQTPQARVIHNTSLEWQQIKEATEKAREAAANSQSDEVGEVTCFELLEALELSRCLFLMSYVHGSPLLENSSAFESRESAEKTSEALGRVLMLDLVIRNEDRLPCRELRWRGNSANLLLAEKMICANTNTLEAALDSAMNLYRPKVIRALQKDRRSSSVDSRLSSHNSELISQASDLSEVVESPMSTDISLKSEASGESMASDLNIVVIDSGVPRRPPAGKRANDQVNYPKLVELLINSSEYASNLLHDITGGKLGCPLPENMDTTDIPSMDMTSVHAFRTGFRGALRDLQGFHIFLLTLHQRLDTLLRSFMNIISKISSGESDREDLLVPDSPSLASGGSCSPTSKERHANDNNQDFADSELQRTTSRSLSSCNRDCSDLTSVSRENWHGKFSKGNGEPHRNLRLTAKLRDFHKFAKVDAESSKELEQWNEMLKNDAVKLCQENNFNTGFFEGSDNNSVVDAYELKVRLEHILERIALISDAASTERPSAVTSSLFIGGALAARSVYTLQYLGITHILCLCTNEIGQSETQFPDIFEYKNFSVYDSEDFNITTVFDEACDFIDSVEQKGQKILVHCFEGKSRSVTLVLAYLMLRKKYTLSKAWQTMKQAHRRAQPNDGFAKILQVLDQKIHGKISLEWQQRKPTMKICPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKALTALKISRSGSVSPTQRSSHSIIDQ >CAK8567776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543951738:543954078:1 gene:gene-LATHSAT_LOCUS20799 transcript:rna-LATHSAT_LOCUS20799 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFHRRSQSEVHFRIPDDFDLEMDPFDFDASPLHFQDPQPHDDLLSSYIDSDNSGSKLNSPPANADTGRPGHRRSNSADTSSSMLSEGIESKKAMSPDKLAQLWTVDPKRAKRILANRQSAARSKERKACYVVELERKIHTLQTEATTLSAQLNLFQRDTSGLSSENTELKLRLQGMEQQAKLCDALNEALKNEVDRLKVATGEVTTHADTYGLGMHQLSYSQASPFLHQPQHGQNELRAMQMQQFHHSLSSNISSPHQPQFDLPTSYDLSELLSSDSIGQFQGLDIGHRGVSHVLMPDGPSISVNKTNNAF >CAK8567202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494204520:494206745:-1 gene:gene-LATHSAT_LOCUS20273 transcript:rna-LATHSAT_LOCUS20273 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNEKGNHEENCNVDLKQKAIDDWLPVTASRKAKWWYSTFHNLTAMVGAGVLSLPYAMSNMGWGPGVTILILSWVITLYTLWQMVEMHEMIPGKRLDRYHELGQEAFGEKLGLWIVVPQQVIVEVGTCIVYMVTGGKSLKKVHDTLCPDCRDIKISYWIIIFASINFVIAQCPNFNSLSIISMSAATMSLTYSTIAWATSVKNGVVPNVDYEPRSTAIVDKVFNFLSALGDVAFAYAGHNVVLEIQATMPSTHEVPSKKPMWKGVIWAYLGVAFCYFPVAIIGYYMFGNSVDDNILITLEKPAWLIAAANLFVVIHVIGGYQVFAMPVFDMIETVMVKKLQFAPTFALRFLVRSIYVALTMFIGICIPFFGSLLGFLGGFAFAPTTYFLPCIIWLKLKKPKKFGLSWTINVICIVIGVLLMILSSIGAMRNIIVQSEHYKFFS >CAK8570723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:97417004:97424457:-1 gene:gene-LATHSAT_LOCUS23454 transcript:rna-LATHSAT_LOCUS23454-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSIASAVSLAALSLSSPHFPRNPFSFFHYLPSSTSSTKTPISLLTKFSAMDNPKSSPLKEFPLGIDEETEQQYALHSNLLQQFTAISSIDKAWIFKSNTDSSQGMMFSVSQSNLLANKKRKLILSSTVTKRNDGSVNLQWAPFPVEVSGVSAMVPSPSGSKLLIVRNPESDGPSRFEIWSNSYVEREFHVPQSKHGSVYTDGWFEGISWNSDETLIAYVAEEPSPAKPTFNDLGYKKSGSDDKDSSSWKGQGDWEEDWGETYAGKRQPALFVINIASGEVQEVKGIDKSLSVGQVVWAPLSEGSAQYLVFVGWSFETRKLGIKYCYNRPCSIYVVKAPHGSKTNENEIHSTENAQALNLTQTISSAFLPRFSPDGKFLVFLSARSSVDSGAHSATNSLHRIDWPKDIKFHQSAKVHDVIPVVLCAEGDGFPGLYFSTILSDPWLSDGHTLVIPSVWHSSQVLLSVNVLSGKVKRITPADSNFSWSLLTLHGNNIFAVSSSPVDVPQVKYGTFVEKEAGNNEWSWSDVSNPIYKFSEKVSSLLSSLTFSVMKISVKDASQNPTKGSCKPYEAIFVSSKTKKTDTCDPLIAVLHGGPHTVSLSSFSKSQAFLASIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLNAIDHVIDLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMVGTTDIPDWCFVETYGTNGRDRITEAPSAEDLSLFYSKSPIAHISKVKTPTIFLLGAQDLRVPISTGLQFARALKEKEVPVKVIVFPNDVHGIERPQSDFESFLSIAAWFNKYCK >CAK8570721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:97416477:97424457:-1 gene:gene-LATHSAT_LOCUS23454 transcript:rna-LATHSAT_LOCUS23454 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSIASAVSLAALSLSSPHFPRNPFSFFHYLPSSTSSTKTPISLLTRKFSAMDNPKSSPLKEFPLGIDEETEQQYALHSNLLQQFTAISSIDKAWIFKSNTDSSQGMMFSVSQSNLLANKKRKLILSSTVTKRNDGSVNLQWAPFPVEVSGVSAMVPSPSGSKLLIVRNPESDGPSRFEIWSNSYVEREFHVPQSKHGSVYTDGWFEGISWNSDETLIAYVAEEPSPAKPTFNDLGYKKSGSDDKDSSSWKGQGDWEEDWGETYAGKRQPALFVINIASGEVQEVKGIDKSLSVGQVVWAPLSEGSAQYLVFVGWSFETRKLGIKYCYNRPCSIYVVKAPHGSKTNENEIHSTENAQALNLTQTISSAFLPRFSPDGKFLVFLSARSSVDSGAHSATNSLHRIDWPKDIKFHQSAKVHDVIPVVLCAEGDGFPGLYFSTILSDPWLSDGHTLVIPSVWHSSQVLLSVNVLSGKVKRITPADSNFSWSLLTLHGNNIFAVSSSPVDVPQVKYGTFVEKEAGNNEWSWSDVSNPIYKFSEKVSSLLSSLTFSVMKISVKDASQNPTKGSCKPYEAIFVSSKTKKTDTCDPLIAVLHGGPHTVSLSSFSKSQAFLASIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLNAIDHVIDLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMVGTTDIPDWCFVETYGTNGRDRITEAPSAEDLSLFYSKSPIAHISKVKTPTIFLLGAQDLRVPISTGLQFARALKEKEVPVKVIVFPNDVHGIERPQSDFESFLSIAAWFNKYCK >CAK8570722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:97417004:97424457:-1 gene:gene-LATHSAT_LOCUS23454 transcript:rna-LATHSAT_LOCUS23454-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSIASAVSLAALSLSSPHFPRNPFSFFHYLPSSTSSTKTPISLLTRKFSAMDNPKSSPLKEFPLGIDEETEQQYALHSNLLQQFTAISSIDKAWIFKSNTDSSQGMMFSVSQSNLLANKKRKLILSSTVTKRNDGSVNLQWAPFPVEVSGVSAMVPSPSGSKLLIVRNPESDGPSRFEIWSNSYVEREFHVPQSKHGSVYTDGWFEGISWNSDETLIAYVAEEPSPAKPTFNDLGYKKSGSDDKDSSSWKGQGDWEEDWGETYAGKRQPALFVINIASGEVQEVKGIDKSLSVGQVVWAPLSEGSAQYLVFVGWSFETRKLGIKYCYNRPCSIYVVKAPHGSKTNENEIHSTENAQALNLTQTISSAFLPRFSPDGKFLVFLSARSSVDSGAHSATNSLHRIDWPKDIKFHQSAKVHDVIPVVLCAEGDGFPGLYFSTILSDPWLSDGHTLVIPSVWHSSQVLLSVNVLSGKVKRITPADSNFSWSLLTLHGNNIFAVSSSPVDVPQVKYGTFVEKEAGNNEWSWSDVSNPIYKFSEKVSSLLSSLTFSVMKISVKDASQNPTKGSCKPYEAIFVSSKTKKTDTCDPLIAVLHGGPHTVSLSSFSKSQAFLASIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLNAIDHVIDLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMVGTTDIPDWCFVETYGTNGRDRITEAPSAEDLSLFYSKSPIAHISKVKTPTIFLLGAQDLRVPISTGLQFARALKEKEVPVKVIVFPNDVHGIERPQSDFESFLSIAAWFNKYCK >CAK8568328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:595933458:595934795:-1 gene:gene-LATHSAT_LOCUS21294 transcript:rna-LATHSAT_LOCUS21294 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHRVGIEQREDRLSGLPDSLIGHILSFLPTKDAVATSILSKHWKPFWRSQQQSLYFDDRSFPDTFAFLQFFKSFMNMRDYSLPILSFHLICHSLSYDDFHRFAFDAIMNEVENLIIDFRLPTILPPLVLTNVDLSVLKLKRVTLNNVPYVKLPFLKVLHLESVTFTYNEYLKPLLRGCPLLEELETKDLRVENPAIMSRTGISTIDNLIRANISDYLIDFDWLHNVEHLHLHLNRTPHSIHGHMFHNLTHLDLIFNFDHELYAHFKWHWLMKLLQNTPILQTLIVHEVSKVDGHVLDCFKEWEWEWEDPRVVPECLLSHLTTCYLENYSTINYELGFAKYIMQNSRLLSTMTIQSDKLLDTNAKLQMLRDLSSCPMISPTCKLLFV >CAK8566158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386451145:386456764:1 gene:gene-LATHSAT_LOCUS19317 transcript:rna-LATHSAT_LOCUS19317 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNLALVSSPKPLLLGHSSSKYVFSRRKPFTFGTFRVSANSSSSHVTTAASKSHQNLKSVQGKVNAHTFASISSSSSQETTSVGVNPQLSPPPPSTVGSPLFWIGVGVGFSALFSVVASRLKKYAMQQAFKTMMGQMNTQNNPYDSGAFSPGSPFPFPVPSASGPATPAGFAGNQSQATPARTASQSTVTVDIPATKVEAGAPAPDINVKEEVKVKNEPKKSAFVDVSPEETVQKNAFERFKDVDESSSFKEARAPAEASQNGTPFNQGFGDSPSSLSTRKSALSVDALEKMMEDPTVQKMVYPYLPEEMRNPSTFKWMMQNPEYRQQLEVMLNKMGGGTEWDSRMMDTLKNFDLNSPDIKRQFDQIGLSPEEVISKIMANPDVAMAFQNPRVQEAIMDCSQNPMSITKYQNDKEVMDVFNKISELFPGVQGPP >CAK8569233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685595709:685598192:-1 gene:gene-LATHSAT_LOCUS22111 transcript:rna-LATHSAT_LOCUS22111 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVVMSLLPFLLLLLINGHESFARDMNQVDQPYLDGWLKNTQLKNQKSSLNSEQVYLDGWLKDTRGEKAKINPDSNQVYLDGWLKDIRAEKEKSNADSNQVYLDGWLKDTRGEEEKSNPDSNQVYLDGWLKDIRVEKAQVNPNSNQVYLDGWLKDTRAEKVKVNHDPNQVYLDGWLKDTRAEKEKSNLDSNQVYLDGWLKDIRAEKEKSTPDSNQVYLDGWLKDTRVEKVKSASDSNQVYVDGWLKDTRAEKGKVNSDSNQVYLDGWLKDIRAEKVKANLDSNQVYLDGWLKDTRAEKANSNPDSNQAYLDGWLKDIRAEKAKANLDSNQVYLDGWLKDTRAEKANSNPDSNQVYLDGWLKDTQAEKENSSPNSNQVYLDGWLKDSHVENAKSISNSKQAYLDGWLKDSHAENPMKIGHDLAESNRNLSSKVDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSPKGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYDIHSTSYPTTSGAQLQNFTVMDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPRPPHATK >CAK8572891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569988706:569991679:1 gene:gene-LATHSAT_LOCUS25397 transcript:rna-LATHSAT_LOCUS25397 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFLIVTLLLSLISFSSTVFASSPPCSSISVTGLPLVRNISEIPQDNYGRPGLSHITVAGSILHGMNEVEVWLQTFSPGAHTPIHRHSCEEVFIVLKGSGTLYIASNSHRKYPGNPEEHFIFPNSTFHIPVNDAHQLWNTNEHEDLQVLVIISRPPVKVFIYDDWSMPHNAAKLKFPYYWDEQCSQEPLKDEL >CAK8542487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519934334:519937442:-1 gene:gene-LATHSAT_LOCUS11326 transcript:rna-LATHSAT_LOCUS11326 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDSQPELFIRLVPDKANKTLSIRDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDTEGEQLGRGTKITLYLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTIEKEISDDEDDEPKKEEEGAVEEVDEDKEKDSKKKKIKEVSHEWELINKQKPIWLRKPEEISKDEYAAFYKSLTNDWEEHLAVKHFSVEGELEFKAVLFVPKKAPFELFDTKKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISRETMQQNKILKVIRKNIVKKCIEMFNEIAENKEDYNEFYDAFAKNLKLGIHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLELDDETEEEKKKKEEKKKSFEDLCRVMKDILGDKVEKVVVSDRVVDSPCCLVTSEYGWTANMERIMKAQALRDSSTGSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDEEENGVDDADMPPLEEDGVEESKMEEVD >CAK8531061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76737679:76740432:1 gene:gene-LATHSAT_LOCUS877 transcript:rna-LATHSAT_LOCUS877 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFFSFFVLLPLILLPILYFITRPRPVKIPIVNRHVFITGGSSGIGLALAHRAAADGARVSIMARSLTKLEEAKSSIKHATGIEVAVFAADVRDYDAVKKAVDEAGPIDVLLLNHGVFSALELEKMELSEVKSTLDINLMGCFNMIKAALPSMKDRKNVLPASIAMVSSQAGQVGIYGYVAYSASKFGLRGLAEALQQEVIGDNIHVSLIFPPDTDTPGLVEENKKKPELTKIIASSSGFMKADEVAQKAFDGIRSGSFFISCNMEGIALSLATSGLSPQRSFLMAYVEVITAGVLRLAALCFQWNWYGSIEKWHQQRKCSPETESS >CAK8571946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:495528806:495531194:-1 gene:gene-LATHSAT_LOCUS24561 transcript:rna-LATHSAT_LOCUS24561 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRDLDLLLSSHLINNPRGDGAKFNANSIEKKIEFLESFTGKVTNRRSRRWLNDRLLMELVPRLNAEEIRGLFAPPPFGDEVPPSTFSLTNVEEWDRFRNIDMDKEVNIIHSLENSLEKKGGHIDADKMAVLNGWRRVGCRTREALRRSSLFELIDGYEECLRAFITGSTNGDVLELRIKDPFHRLLLHGVCEFYNLASDTVSDLDGSVETSKATMIKKKKKGSPELPKITLSHFLRMSKEGSW >CAK8563875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636474087:636478598:-1 gene:gene-LATHSAT_LOCUS17238 transcript:rna-LATHSAT_LOCUS17238 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKLLSMFAAVHGVDASSPVAPTTNTAFRIPTSHNTANIRLAKVLTPCCCTIDSKSIGGDVFSVTPPNKCDVDYLGESTKGDLNVKLEQLEAFGIGDDAFEGPIEEVARSETREAEDLLRDLGIPSPSSSKNSPRGIFCTRTLNLRSISAIGYDMDYTLIHYNVKAWEGRAYDYCMENLKNMGFPVDGLAFDPDLVIRGLVIDKERGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDDGTIPAELGPLDYKGLYKAVGKALFWAHVEGRLKSEITSKPELFVEPDPELPLALLDQKEAGKKLVLITNSDFLYTDKMMRHSFNRFLPNDMGWRDLFDIVIVSARKPEFFQTSSPMYEVVTGEGLMRPCFKAQPGGLYSGGSAQMVENSLGIHGDEILYVGDHIYTDVSQSKLHLRWRTALICRELEEEYNALINCRSDRESLIELINQKEVVGDLFNQLRLALQRRSKDRPAQTLAATNMDDEDLTESMQKLLIVMQRLDDKIAPMLEADGELFNSRWGFLSRAGLWDKSHFMRQIEKYADIYTSRVSNFLYYTPFMYFRSQEQNLAHDSYTHYCSQINNEASS >CAK8568820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644013538:644017294:-1 gene:gene-LATHSAT_LOCUS21741 transcript:rna-LATHSAT_LOCUS21741 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVILEPSATFSPSYSSPSDRRLNTLARHLSQFPDHNQMASHVSPSPTASSDSVFAHITQAPEDPILGVTVAYNKDPSPIKLNLGVGAYRTEEGKPLVLDVVRRVEHQLLNDLSRNKEYIPIAGLADFNKLSAKLIFGADSPAIQENRVSTVQGLSGTGSLRVGGEFLAKHYHQRIIYLPQPTWGNHTKIFALAGLTVKTYRYYAPATRGLDFQGLLEDLGSAPSGSVVLLHACAHNPTGVDPTLEQWEQIRQLIRSKSLLPFFDSAYQGFASGSLDADAQPIRLFVADGGELLAAQSYAKNMGLYGERVGALNIVSKSADVAGRVESQLKLVIRPMYSSPPIHGASIVAAILKDRDLYNDWTIELKAMAERIINMRQQLFDALRTRGTPGDWSHIIKQIGMFTFTGLNPEQVSFMNKEYHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTRVA >CAK8544047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659944593:659944910:-1 gene:gene-LATHSAT_LOCUS12757 transcript:rna-LATHSAT_LOCUS12757 gene_biotype:protein_coding transcript_biotype:protein_coding MAFACSSIGIAMKVSQYCVLFATLVFLLLNCCESSYGEFTVEMKQMITNNNKACDEIYVVREGETLQTISEKCGDSFIVEENPHIHDPDDVFPGLVIKINPFNNR >CAK8534622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749889712:749890022:1 gene:gene-LATHSAT_LOCUS4153 transcript:rna-LATHSAT_LOCUS4153 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTIATPFKKACTFFNQQPPREHKKSQIEQENRVMDLHGEVMACGYEDVHVMWSILDKSKASSCNITSS >CAK8536824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24603434:24603970:-1 gene:gene-LATHSAT_LOCUS6154 transcript:rna-LATHSAT_LOCUS6154 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRIIRNPINVNERSQFTLSVFGLPGRPSGKKGVHWLTQKEMQSAHVHVLINCVEVKPYLEEFNISYFHSTGVQATSGHIHAHFPALFKEKLSCIIAPTQEILHLRNLFKGPIQSANEWHTYFVNGYKFHTETWTKGKKTINSGVFVK >CAK8541810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:405478063:405478551:-1 gene:gene-LATHSAT_LOCUS10704 transcript:rna-LATHSAT_LOCUS10704 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGNNDDEDDEDIIVASTQPIRTQPISLYNPLAHMQNVCMENDETTFVFGSVIPNHIGEEIEIGMEFENKEACVFALQHWHITHSVDYWVYQSNNERHVFKCKKQDCNFKCRASLRRRNLKWVISKLSGPHTCTTTSVAQDHRKLSSEMISHSIRELVN >CAK8538889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498925783:498928125:-1 gene:gene-LATHSAT_LOCUS8038 transcript:rna-LATHSAT_LOCUS8038 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTRDFTMVLISFFMIMFYSRGELLSSLESHSFFTFLKALDSNNVLNITNTSNPCFNNKFNGVTCNSNATNIIEINLDNMNLSGIFDVDSLCKLQNLRVVSLANNNIKGNISNSILLCRSLVYLNVTNNKLSGRLPKALKRLKYLKNLDLSNNNFSSNYMVKISKLESGSDGVYRLEPTPSYLNLNNSNTSEKGKKAWYSHHILVWLVLGVGLLLSSLYFMVKKSSKLMGESKVKVKKKHTVSPMKKTTSEVRLKGGVNSNSELVFFVEDHERFSLEDLLRAKADLRSENFWSSLFKVKLENNVEYGVKRMKNLQVSCDEFGEILKKISEVKHENILPLVGYRCTSEEKLIIYKYQSNGSLLNLLNDYIAKRKKFPWKLRLEIARGIARGLAFIYKKLDEREINIPHGNIKLSNILLNEKNEALISEHGLSKFFDPNRGPNLFSSHGHTAPEKTLTEKGDVYSFGVILLELLTGQSTEASRIDLVRWVRSMVREEWTGEVFDNEVRENDQQGAFSMLNIALKCVSGLQENRPNIEEVLEIIEEVMNAHEKHEMEVSASKCCSNGSNQECCSLHQIIPDTWDSPGSNY >CAK8531526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:120755576:120756661:1 gene:gene-LATHSAT_LOCUS1310 transcript:rna-LATHSAT_LOCUS1310 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGDGVFPDEVVIQILARLPVKSLFRSKSVCKLWYRLTLDNYFIQLYNDVSRKNPMILVEISDSLLESKSSLICVDSLRGVFEFSLNFLNDRVKVRASCNGLLCCSSIPDMGVYYVCNPVTRQFRLLPKSRERPVTRFYPDGEATLVGLACDPAYQKFNVVLAGSHRTFGHRPDGKFICLVFDSELNKWRKLISFQDEHFTHMNKNQVVFVNNALHWLTASSSYVLVLDLSCDIWRKMSLPYDPICGAGNRTYLLELDGCLSVIQISEAWMTIWVLKNYWSDEWCVVDKVSLRCIKGMVPGIFPISQTSDYVFMATHKQVLVYHRRSHVWKEMYAVKYSSTLPLWFSAHAYRSTMFSCN >CAK8561506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:257592630:257593988:1 gene:gene-LATHSAT_LOCUS15092 transcript:rna-LATHSAT_LOCUS15092 gene_biotype:protein_coding transcript_biotype:protein_coding MEINITSTQTIKPSSPTSNERKTYKLCLFDVFQLNTYFPLILFYRKTNDMQEFSNVSTKLKNSLSKTLTIFYPLCGRRNDIFSIDCNDEGVIYMEVLINMKMEEFLSPPKLELINKLLPCEPNKTHPYNEVLPQMLVQVNIFRCGGIAISLCNLHTILDAYSCSLFLKTWSSICNGSMDEICEPNFSISYSIFPPRNTSGVREGVLNINKGLQIELKCSTRRFLFDNKSINKLKEISSKNDDVKIKLHTSYKVVSSFICKYMIVACMKETCEESKRQVVVLHVVDMRRRMGESLFQNSIGNLLWPAMVVFENVKRETKINDMVRILGDGIGKVNEELYLKLKNDPSFLWSDECGELMLEGMENENPISFVFTSWGNMGFKEMDFGWGKPLWIAQRGGTKETIPNTVVLMETCEGVEAWVTMAEKHLDDLENDEEFNKFAILNPNINFNHLDI >CAK8531633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133066478:133068424:1 gene:gene-LATHSAT_LOCUS1409 transcript:rna-LATHSAT_LOCUS1409 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWISSRLSNSSRRYYSRSDLFLGGNDDAEPGGDDLRAEYLCPFCAEDYDVVSLCCHIDEEHPLQANTGVCPACGQKVGMDLVGHITTQHSKFFKVPRKRRVLRKGVSNSTLFRKELRDGALHSLLGGSSSNSESDTLLSSFIFNPVLGDEAVSEQSSSSIEASPVKDSSKDNVVERKPEQVQMSDEDRLEKTRRFNFVQGLLMSTILDDTL >CAK8539584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516935645:516936934:1 gene:gene-LATHSAT_LOCUS8666 transcript:rna-LATHSAT_LOCUS8666-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNDESWTSWLCDLDPEDYKIINDINIVPLSQDHNILQQSLSSGSHCSHTTSSTMSNSSGDVVNSFERPTKTLKTNSPSNIGSHSYVLCFNNPEPEQEQELEPKGKILNYGKCLTSQGLSENQKKETKRSIVESKKSDSVAKHAQDHIIAERKRREKLSQQFIALSALIPDLKKMDKASVLGDAINHVKQLQEQVKILEQKNQRKNIESVVYVEKTTKSCSSDEDVSVSDTSSNSGNGGNNYCHPNRSLPEVEARVSEKNVLIRIHCEKEKGVLMKIIHVIENLHLSVISNSTLLFGTTKLDITIIAEMDDEFRLSVQELVRSIRVGLLQFMKY >CAK8539583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516935621:516936934:1 gene:gene-LATHSAT_LOCUS8666 transcript:rna-LATHSAT_LOCUS8666 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVAASKMTPNDESWTSWLCDLDPEDYKIINDINIVPLSQDHNILQQSLSSGSHCSHTTSSTMSNSSGDVVNSFERPTKTLKTNSPSNIGSHSYVLCFNNPEPEQEQELEPKGKILNYGKCLTSQGLSENQKKETKRSIVESKKSDSVAKHAQDHIIAERKRREKLSQQFIALSALIPDLKKMDKASVLGDAINHVKQLQEQVKILEQKNQRKNIESVVYVEKTTKSCSSDEDVSVSDTSSNSGNGGNNYCHPNRSLPEVEARVSEKNVLIRIHCEKEKGVLMKIIHVIENLHLSVISNSTLLFGTTKLDITIIAEMDDEFRLSVQELVRSIRVGLLQFMKY >CAK8540218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545833013:545834167:1 gene:gene-LATHSAT_LOCUS9244 transcript:rna-LATHSAT_LOCUS9244 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAIDKLRDYTNEVLRLNNIEDNIIKQKLKVEWLRLGDGNNSYFHASLKARQRQDQLDRLEDDNGKILTHQNEIEIEIVNYYKNLVGTSNNNLANIDIEAKRKGPQLNVMQREILIAPITEEEILKSLKGLKYNSAPGLDGYNAKLYKVTWDFIKHDTICAIKDFFEKDKIYKAVNCTIVTLIPKHNSATRMKNFRDISCCIIVYKLISRILTKRLGFVISSSVHSSQAAFVPSKRIHDHKLMAYDLMKGYFTKNGAPKCMLQMDLQKAYDTVEWSFLEAILKEFSFPHQFIQWIMLTIKIVSYRFQINDCLSQLLESKRGLIQGDPLYILLFVLLMEYLHRFLQKFKEQPNFNFHSKFEKMAIMNLIFADDLLLFSREIRN >CAK8561496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:250636696:250637034:1 gene:gene-LATHSAT_LOCUS15083 transcript:rna-LATHSAT_LOCUS15083 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKGDELKEKHNTRINTQNSSHTESGGIMNTHSFSGIAIKSSPRIEKKSKTIHINNSNSKRIGTSIRLQIQYQSGLAEVGKEIPSKLSTSKPPRQRHSEVCDFCLISNML >CAK8543076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574234561:574236524:-1 gene:gene-LATHSAT_LOCUS11863 transcript:rna-LATHSAT_LOCUS11863 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKSTTPKLDKQEDCKGSLLSLPQEILDCILKQLSPKDLFMVSHVCTHLRNNSRSDYLWNQHVEQKWSKLEGEDFHNEWKYHTEKIRNEESLFLHQNQSKSCGNISGDYPYQRLHSYLKSNRALNDLIKNHSQMALYIFLESGRFWFPVQVYKATKKTLYCYDALASYDSRTDTFRTRSTNAGQRLVERDIEWERLRMPPPKTFLVDYYEYSNLNDLKPGDHIEIQKRRRKAFPYHDWAYASICHLKSCDRDINQCSCQDNDLLEMMIHKRGSIHKYLMSRTMTHLITWKDFNFLNGIRKLTNEEVEKWHNLDDML >CAK8562356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476852060:476854218:-1 gene:gene-LATHSAT_LOCUS15858 transcript:rna-LATHSAT_LOCUS15858 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEFFQLNELEVELVEIHEVLRCILHQIMFHRAYGPVQPKDVHMELCNITYVQCGETEVEKKIEEKIEEFLDWLVKNPVKKSQICLSFYEVKNKKTFWFRNRTERVYFEHWYINLNLISDSTEYRHSCEEGALEAHIARREALEESIRNVLSQIIDFFTKEDYNIPPIPNFDDVISFPYEITIPSSSDSAFGMDIIMRMLQSGPPTMLS >CAK8573578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621666023:621666343:1 gene:gene-LATHSAT_LOCUS26011 transcript:rna-LATHSAT_LOCUS26011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGDSTSWYCAILLLGMILLGSIRESTVAEGESSEVRGNQFGDNKVCDEIYVVGEGETLHTISEKCGDPFIVERNPHIHDPDDVFPGLVIRIITPTNTKFLKR >CAK8565279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:96513199:96513501:1 gene:gene-LATHSAT_LOCUS18504 transcript:rna-LATHSAT_LOCUS18504 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRIQAFDELYQSLRTIEGENAIYRFVKGRKRKTRDLDQVKCAKDEEENALVQEKDIKDRWKTYLNNLFNEGDIAPDSSRLNIREAYQNYNNYRQIQK >CAK8573404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609819265:609841555:1 gene:gene-LATHSAT_LOCUS25852 transcript:rna-LATHSAT_LOCUS25852 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEGIGESSSPPRSFGGYSNHDIRTDVYNRLVETGHDEAVSNPDFREQLEAHFNRLPPSYGLDVNVDRAEDVLVHRKLLDLARDPDKRPVYHIRLLENISTRTDGEDQVTVSTHTSPEDQEIVSNHTSPELSSHTTNGGVVASNKRTRDLATEFEPCSKLEDLNLDVIKNSKETKEKFVSDSFSQRHENSSVPVHEIIFSAVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPLEETDGLYAAMEKAVARSEGSWSHSSNSHSAVAKALAAEGKSGDWEIDRRSLKIGEKIASGSCGDLYHGVYLGEDVAVKVLKSGQLNDALEDEFTQEVAILRQVHHKNVVRFIGACTKCPHLCIVTEYMTGGSLYDYLHKNRNVLELSQLLKFSIDVCKGMEYLHGNNIIHRDLKTANLLMDTHNVVKVADFGVARFLIQGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFSIVLWELVTAKVPYDTMTPLQAALGVRQGLRPELPKHGHPKLLDLMQRCWEAIPSTRPSFNEIRVELENLLLEVENNSEANGA >CAK8565976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364100602:364104035:1 gene:gene-LATHSAT_LOCUS19149 transcript:rna-LATHSAT_LOCUS19149 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKIAERFKSIQVHALSSSSENNGGSKTKPHNFSRHKTILSWSKSKFSNNNKTSEFANLVSLQLPSIDTIEPTIEPYLKPVNLVETLAELYQRIEFCSSQSEKVSLFVELFSVLYGLGDQKLLRRCLRTARQNAEDVMSKVVLSAWLRYERRDDELVGVSSMDCGGCSVLECPKKNLEKGFSPFSINDHCQCNEERKNETWNNDECLCLSDEESDVLFCVGNEEIKCVRWRIASLSEPFNAMLCGGFLESKMRKIDFTRNGLCSEGMKALEFYSRTKRLDLFCAKTVLELLSFANRFCCDEMKSSCDSHLASIVENVEDALILIEYGLEEKATLLVVSCLQIFLRELPNSLRNSKVMNFLCSFESKEKLENLGCATFLLYYFLSHVAMEENMVSRTTSMLLERMKECGAERWQKGLAFHQLGCVFLERREYKEAQRCFDEAVELGHVYSIAGVARTKHKQGQPYSAYKLISSLIFEYKPVGWMYQERALYNMGREKGFDLDFATKLDPSLSFPYKYRALEKVEEKQIKEGIMELDKFLGFKLSPDCLELRGWLYIALEDYDSAMRDIRALLTIEANYITLHGRIRGECLVQILKSRIQKKNQADCWMQLYQQWSSVDDVGSLAIIHQMLENEPGKSLLEFRLSLLLLRLNCQKAAMRSLRLARNHSSSVQERLIYEGWILYDTGYRDEAVTRADRSIEIQKSFEAFFLKAYVLADTNLDPESSCYVIQLLKEALKCPSDGLRKGQALNNMGSIYVDCGKIELANECYNNALAIRHTRAHQGLARVYQQKNQRKAAYDEMTMLIEKAESTASAYEKRSEYCDREMAMADLDVATHLDPLRTYPYRYRAAVLMDEQKETEAVEELTKAIKFKPDLQMLHLRAAFYESMGDLSSALTDCKAALCLDPNHAATVELYQRIQKLNF >CAK8563792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631303448:631304950:1 gene:gene-LATHSAT_LOCUS17167 transcript:rna-LATHSAT_LOCUS17167 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHFNKLTILNIEVGVFFIVLVFLRISTSNNLPANFVFGDSLVDVGNNNYILSLSKANFLPFGIDFGRPTGRFTNGRTIVDIIGQDLGFGLTPPYLAPTTIGPQILKGVNYASGGGGILNLTGQVLGGRLNFDAQLDCFANTRQDIISSIGVPEALNLFKNALFSVTIGSNDFINNYLAPHVAYSMYKLDSPESFVTTLISKLRTQLNRLFTLGARKIVVVNVGPIGCIPNQRDTNLVEDDSCVTFPNQLAILFNTQLKSLVAELNSNLAGSMFVYADIYHILEDILINYAAFGFENPSSACCNLAGKFGGLIPCGPTSKVCWDRSKYVFWDTFHPSDAANVAIAKRLLDGGSVDISPMNIRQLFQLSI >CAK8538666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491410188:491411480:-1 gene:gene-LATHSAT_LOCUS7841 transcript:rna-LATHSAT_LOCUS7841-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFNQSHHQENNFRYNPNLIKTTQLDDDDEDQDFIGLLDIFVHHASNIHNICIYDNQDVYAKFSLTYNPDETFSTRIINKGGKNPTFNENLRMKITQMDALLKCEIWMFSRSRTHLEDQLLGFALVPISQIVGKGKLTQDYSLSSTDLFHSPAGIVRLTLLLDTSLVSESKNSSSISSEVIFLDRKVSEVMLDPIEYSRIEFPDISVVKENQEMVSEYFNLACASSNNKNSKKFLPFLHLGSSPQLDDCEMMNLSSPNENRVRVDSISISPNESIQNSGFVSSTITNLSDDRNSADSIEKKNHLGGDSTPTSKKEVESRNDEKEEKVLNKEKDRNIEAIKFGHVFSSSLGNINLEAEECAMQKQIVDMYMRSMQQFTESLAKMKLPMDLDKSEREDRSHDVIQSHESEKLENIKKKDGSRVFYGSRAFF >CAK8538665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491410188:491411489:-1 gene:gene-LATHSAT_LOCUS7841 transcript:rna-LATHSAT_LOCUS7841 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDPFNQSHHQENNFRYNPNLIKTTQLDDDDEDQDFIGLLDIFVHHASNIHNICIYDNQDVYAKFSLTYNPDETFSTRIINKGGKNPTFNENLRMKITQMDALLKCEIWMFSRSRTHLEDQLLGFALVPISQIVGKGKLTQDYSLSSTDLFHSPAGIVRLTLLLDTSLVSESKNSSSISSEVIFLDRKVSEVMLDPIEYSRIEFPDISVVKENQEMVSEYFNLACASSNNKNSKKFLPFLHLGSSPQLDDCEMMNLSSPNENRVRVDSISISPNESIQNSGFVSSTITNLSDDRNSADSIEKKNHLGGDSTPTSKKEVESRNDEKEEKVLNKEKDRNIEAIKFGHVFSSSLGNINLEAEECAMQKQIVDMYMRSMQQFTESLAKMKLPMDLDKSEREDRSHDVIQSHESEKLENIKKKDGSRVFYGSRAFF >CAK8576150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416123275:416123871:-1 gene:gene-LATHSAT_LOCUS28361 transcript:rna-LATHSAT_LOCUS28361 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIYSSVAENIMSRDLKISAEIYIETLRGWVEEIKENPNPLKSLIREHLIGNERRSGGTCGTTYWITPVRHEAADAESAPMPLAMPCLVPRHGGGPVARHEHRAKGRLSNSSEPPYLTTI >CAK8579370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694402435:694404799:1 gene:gene-LATHSAT_LOCUS31321 transcript:rna-LATHSAT_LOCUS31321 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLNSIPLSSSSSLTAPSLRAFSFSSTLPFPQSNFHFHQNRNHHSNFTVKAEALDFASSFLEDGFGPEDVPFSSGSGFGSGGDPFNVGAGFAAVEEKPEPQCPPGLRQYESMVILRPDMSEDERLAATQKYEELLVAGGGMYVEVFNRGIVPLSYCIMKKNKDGESNTYMDGIYLLFTYFTKPESMKALEQTLLTDDNVLRSTSFKIIKKKKNTLIF >CAK8533247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:596911561:596912478:-1 gene:gene-LATHSAT_LOCUS2891 transcript:rna-LATHSAT_LOCUS2891-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8533246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:596911561:596912772:-1 gene:gene-LATHSAT_LOCUS2891 transcript:rna-LATHSAT_LOCUS2891 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8540114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540280712:540282066:-1 gene:gene-LATHSAT_LOCUS9147 transcript:rna-LATHSAT_LOCUS9147 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAIQEHQRSLAAPFIFLIVATFQLAYYFLDNLNKNGSDSSKKENMLREEIKQLLKEASLLSQPSTFAQAAKLKRLATAKEKELAKLQNLNRKDLVLYLKIVLIGKYLTYGVLLIWFWRIPVAIISQQLVQPFAKLLSWKSGAVQENDTMVGIIAWLIVSARVCRYVRRACSKLS >CAK8578481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631696316:631703053:-1 gene:gene-LATHSAT_LOCUS30487 transcript:rna-LATHSAT_LOCUS30487-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKSKTKQTDLLQTLGDFTSKDNWDNFFTIRPDSFEWYAEWPHLRDPLISLLQTLTPPLSSLPLLVPACGNSRLSEHLYDAGYTSITNVDFSKVVISNMLRSNIRSRPLMRWRVMDITAMQFEDESFGAVIDKGGLDALMEPELGPSLGNKYLSEVKRLLKPGGKFVCLTLAESHVLDILFSKFRLGWKMSVDAIPSNPSNKPNLQTFMVVVEKELSTTVHQITSLLHNTSLHCNSKQALGLRESLQNENQAREKLSSSSDTLYSLEDVQEELTKISQGRRLQLTLGGQGCSVFSYRAVVLDAEEQSDPFTYHCGVFIVPKIRAPEWLFFSEEGQWMVVRSSKAARLIMVFLDTSHTNATMDEIKKDLSPLVKQLEPNENESGAQIPFLMASDGIKKRNIVHQTTSPLTGSIIVEDVVYENVDSEVSCIFPSQELMFRRLVFERAANLVQSEALLMDEHSTKLVGETVKRKANSSSKSKKSGSQIQNDGAYNQLAVYHGYVASSYHSGIISGFTLISSYMEKVASSGKMANPGFVFLSFCLIFGTLTIHVKAVIIGLGAGLLPMFLHSCIPVLEIEAVELDPVVAHIAREYFSFVEDKRLKVHIADGIQFIRESTSSGTAQSHGKSNNSSYTESPTNVSSTTSHAEDVEDTKVDIIIVDADSSDSSSGLACPAPDFLDESFLETVKDKLSEEGLFVVNLVSRSQAIKDMVLLRMKKVFSHMFCLRFDEDVNEIHFALKSESCIEDNSFSEASLKLDKLLKFNHPEIGQKIINATKNIRRLK >CAK8578480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631696316:631703053:-1 gene:gene-LATHSAT_LOCUS30487 transcript:rna-LATHSAT_LOCUS30487 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKSKTKQTDLLQTLGDFTSKDNWDNFFTIRPDSFEWYAEWPHLRDPLISLLQTLTPPLSSLPLLVPACGNSRLSEHLYDAGYTSITNVDFSKVVISNMLRSNIRSRPLMRWRVMDITAMQFEDESFGAVIDKGGLDALMEPELGPSLGNKYLSEVKRLLKPGGKFVCLTLAESHVLDILFSKFRLGWKMSVDAIPSNPSNKPNLQTFMVVVEKELSTTVHQITSLLHNTSLHCNSKQALGLRESLQNENQAREKLSSSSDTLYSLEDVQEELTKISQGRRLQLTLGGQGCSVFSYRAVVLDAEEQSDPFTYHCGVFIVPKIRAPEWLFFSEEGQWMVVRSSKAARLIMVFLDTSHTNATMDEIKKDLSPLVKQLEPNENESGAQIPFLMASDGIKKRNIVHQTTSPLTGSIIVEDVVYENVDSEVSCIFPSQELMFRRLVFERAANLVQSEALLMDEHSTKLVGETVKRKANSSSKSKKSGSQIQNDGAYNQLAVYHGYVASSYHSGIISGFTLISSYMEKVASSGKMVKAVIIGLGAGLLPMFLHSCIPVLEIEAVELDPVVAHIAREYFSFVEDKRLKVHIADGIQFIRESTSSGTAQSHGKSNNSSYTESPTNVSSTTSHAEDVEDTKVDIIIVDADSSDSSSGLACPAPDFLDESFLETVKDKLSEEGLFVVNLVSRSQAIKDMVLLRMKKVFSHMFCLRFDEDVNEIHFALKSESCIEDNSFSEASLKLDKLLKFNHPEIGQKIINATKNIRRLK >CAK8532885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:543503821:543504248:-1 gene:gene-LATHSAT_LOCUS2547 transcript:rna-LATHSAT_LOCUS2547 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNLEFFRRNFVIKFSKGIFQTSLLLQFLRDQESGFVTILIRTRILPLYTIVVSVPHLIASANWVLGELGSCLPEEISADVYSQLLMALVMP >CAK8566261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398294351:398297885:-1 gene:gene-LATHSAT_LOCUS19406 transcript:rna-LATHSAT_LOCUS19406 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGNNCFKLKMILATFCFFFMKLRMGLGDIGEQPLSKIAIHKTILALHTSASITASPFLLGNKEEDTEWVTVELESPEPAIDDWVGVFSPGNLNLSTCPPIPGGTGKEETPYICSAPIKYKYANDSNPKYKKTGKATLKFQLINQRADFSFALFSGGLSNPKIVAVSNFIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIDEAVPFVEWGPKGGRQVQSAAGTLTFNRNSMCGEPARTVGWRDPGFIHTSFLKELWPNMRYTYRLGHFLSDGSYIWSKRYSFKASPYPGQNSLQRVIIFGDMGKAERDGSNEYANYQPGSLNTTDQLIKDLDNFDIVFHIGDLPYANGYISQWDQFTAQVQEISSTVPYMIASGNHERDWPNTGSFFDTRDSGGECGVPAETMYYYPAENKAKFWYATDYGMFRFCIADSEHDWTEGSEQYKFIEHCLATVDRKQQPWLIFSAHRPLGYSSNAWYAKEGSFEEPMGRESLQGLWQKYKVDIAFYGHVHNYERVCPIYQNQCVNKEKSHYSGTVNGTIHVVVGGGGSHLSDFTTAPPVWSLFRDRDYGFGKLTAFNHSYLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCEKTTLAA >CAK8543389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604291607:604292741:1 gene:gene-LATHSAT_LOCUS12147 transcript:rna-LATHSAT_LOCUS12147 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGIIFKEVLESHLMSSNYETGKAKSEAKINGTLTKSTSVGLNGKNGTNSKNGVHDLLGCPVCKNLMYPPIHQCPNGHTLCSSCKIEVHNLCPTCHHDLGNIRCLALEKVAESLELPCRYQSLGCNDIFPYYAKLKHEQSCEFRPYNCPYAGSECSVMGDIPTLVAHLKDEHKVDMHDGFTFNHRYVKTNPHDVENATWMLTVFNCYGRHFCLHFEAFQLGTAPVFMAFLRFMGDDHEGKKFSYSLEVGANGRKLIWQGIPRSIRDSHSKVRDSQDGLIIQRNQALYFSGGNKQELKLRITGRIWKED >CAK8533326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602943115:602943701:1 gene:gene-LATHSAT_LOCUS2964 transcript:rna-LATHSAT_LOCUS2964 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKASYNAGQAKGQAEEKTSNMMDKASETAQSTKESMQETGQQMQAKAQGAADAVKEAVSDK >CAK8539874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529834879:529838960:1 gene:gene-LATHSAT_LOCUS8932 transcript:rna-LATHSAT_LOCUS8932 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDTTFYCLSSILSLLLIFILIKRKQTKPKLNLPPGKMGWPFLGETISYLKPYSATTIGEFMEQHIARYGKIYKSKLFGEPAIVSADAGLNRFILQNEGKLFECSYPRSIGGILGKWSMLVLVGDMHRDMRGISLNFLSHTRLRTHLLKEVEKHTLLVLSSWKEKSTFAAQDEAKKFTFNLMAEHIMSLQPGKIETEKLKKEYVTFMKGVVSAPLNFPGTAYWKALKSRCKILKFIEEKMKERIQRMKGGNKNLEEDDLLNWVLNHSNLSTEQILDLILSLLFAGHETSSVSIALAIYFLPGCPRAIQQLREEHREIARVKKQAGETELTWEDYKKMEFTHCVVNETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPLLFDQPQHFNPWRWQNDGNCSNASSNNNNNNNFLPFGGGPRLCAGSELAKLEMAVFIHHLILNYHWELIDNNDQAFAYPFVDFPKGLQIQVQPHQL >CAK8530705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42697286:42697549:1 gene:gene-LATHSAT_LOCUS549 transcript:rna-LATHSAT_LOCUS549 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPNKLLTKADKLTKLSLTRWSVDWKTATDLYEQAAIGFRASKNHEKAKLAYEKASQGQEMLSSPWDAAKHMESVVALAKELSN >CAK8571273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:359076521:359079872:1 gene:gene-LATHSAT_LOCUS23951 transcript:rna-LATHSAT_LOCUS23951 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQPVFVKDAVLKLQLSLLDGIQSEDQLFAAGSLISRGDYEDVVTERSITNMCGYPLCHNALPTDRPRKGRYRISLKEHKVYDLHETYMFCSSSCMVNSKAFAGSLQDKRCSVLDPEKLNNVLRLFGNLNMEPMEHFGKDGQLGFSSLEIQDKTETGTGEVSLKQWVGPSNAIEGYVPKKRDNSSKGSQKNTKKGSKTICGKLNGDKSSIISEFDFTSTIITQDEYTVSKLSSGQTDTTCDGQIIPTAILEQPKRVANKVVRKDDDIQDLSSSFKSSLILSLSKNKKEIAKSSEDVLKPSLDPSIEKKVVQCNMEQNDFERKSIQLKGETSIVAANGDASTSILVPANAEGELQIEKTIGSFPTKPKSSLKSDSKKKLSRSVTWADEKTNSSGSKDLCAVKEFGNIKKESDMPDNIDAAADEDMLRSALAEACAIALSQASEAVASGDLDANDAVSEAGITILPHPPNAVEEGTMDDDDILVEEGTMDEDDILEANSVTLKWPRKPGISKFDLFDSEDSWFDAPPEGFSLTLSPFATMWNAFFSWITSSSLAYIYGRDVSFHEEFLSVNGREYPSKIVLTDGRSSEIKQTLASCLARALPAVAAELRLPIPISTLEQAVVFLLDTMSFVDALPAFKMKQWQVVVLLLVDALSVCRIPTLISYMTDRRDLFLKVLSGSQIGKEEYDVLKDLIIPLGRAPHFSSQSGA >CAK8560491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21986295:21993574:-1 gene:gene-LATHSAT_LOCUS14159 transcript:rna-LATHSAT_LOCUS14159 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDTLLDYAVLQLSPKRSRCELLVSSDGITEKLASGLVKPYLNHLKIAEEQAALSVQSIRLDIDRQKNSESWFTKGTFERFVRYVGMPEVLEMVNTFDAEMSQLEAARKIYSQGTGDQRMDSQGGEGTRVIAATDATTKELLRAIDVRLSAVRQDLTTAYATASASGFNPHTVSHLKHFALHFRSHRLNEACTKYMSVYERRPDIIIQRELPRGDERDLRSSVNSDMSIDNDDDQAQAQNEAQEQNKASTWQAPKAFTTPTSLRRNNDTNSKVIIINQKDEAKDNNDGGNSKEETESSSPAPSPTQLPSSPTPAPTGRRLSVQDRINLFEKKQKENTTGKPVELRRMSSDILRRWSGSSDMSIDVSIEKKLSENPSSTENKSIASATTFIDNNNNNNNNNHNNNNNNNSDKVVKTEQGSSQESCDVSVFDEERSGGVGFKDQAGVSGTQVKASSDRYEVTVDDNKDVDDVKFHGGVKSNVVPTSLSRVQRSHSRSLSAQFEGGGGVGIKSRESSTSSHSSVVLNGVTQSTTQPQLRSFAVDTEDLKNSQVKEEDSQVMKTKYQKPLPLSSEQTGMSRSKRDEIRRANDNESTKLNHPGKKNVMESQDSARVTAVPLEQNQRVRQSKGNQEMHDELKLKADELEKLFAEHKLRGDQSGTARRIEPAEARVEQAVTSQSRRPTAGDSTPQPPSRSSVPEPATSSGVKTLTKSVDSQNYGDESRGKFYEKYMKKRNAKLQEEWSVNRSEKEARMRAMQDSLDRSKAEMKAKFSGSISRQNSAGGSQRAEKLGYNKPSIKRDQHPIDSFQNEEDEDLSEFSEEKIYGASKQSRKNVPNRNVSSGTPRTTAVSVSRSSGRRKDNPLAQSVPNFSDLRKENTKPSSGVSKPTRSQLRNFPRSKSTNEDEQGIKEEKLRQSLSLRKSSANPAEFKDVSSLNSDGIVLTPLKFDLDEADLGPYDQSSRSFLKKGSTAGPGFVGSAMRMKASMTSDTEKNKEFNDLEFDMEDSFHSAIEEEDEIGSVAIEDSAYNNNGKISPSQESGNSGSEIGDSTRSLAQVDPILGGEMPNAYQSTFNGVGSLQDSPVGSPVSWNSRVPHPFSYTHESSDIDASIDSPIGSPAWNSRSLIQGENDAARMRKKWGSAQKPYLANSSQNQPRKDVTKGFKRLLKFGRKTRGSETLADWISATTSEGDDDMEDGRDLANRSSEDLRKSRMGFSHGHPSDDSFNESELFNEQVQSLQSSIPAPPAHFKLRDDHISGSSLKAPKSFFSLSTFRSKGSDSKPR >CAK8543014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:566284585:566292348:1 gene:gene-LATHSAT_LOCUS11801 transcript:rna-LATHSAT_LOCUS11801 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETPWRGAGVAIPMFSIRSESDLGVGEFLDLKLLVDWAVASGFHLVQLLPINDTSVHQMWWDSYPYSSLSVFALHPLYLRVEALSENIPEEIKQEIEKAKQQLDKKDVDYEATLSTKLSIAKKVFNQEKDLILTSSSFQEFFSENESWLKPYAAFCFLRDFFETSERSQWGRFANYSEDKLEKLVSKESLHYEIICFHYYVQYHLHLQLSEASEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHSVTGLVGKFRPSIPLSQEELEREGIWDFNRLSRPYIRQEILQEKFGSAWTFVATTFLNEYDKNCYEFKEDSNTEKKVVSKLKTFAESSLLLESEDKIRRSLLNLLQNIVLIRDPENPKDFYPRFNLEETSSFQALNDHSKNVLKRLYYDYYFQRQENLWRQNALKTLPALLNSSEMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPNESDLEFGIPSKYSYMTVCAPSCHDCSTLRAWWEEDEERRQRFFKNVMESDELPPDQCVPEVAHYIIRQHIESPSMWAIFPLQDLLALKEEYTARPATEETINDPTNPKHYWRFRTHVTLESLNKDNELQTIIKDLVRWGGRSIPPEESQVEDAVAEKQQFVATDVKIRNPSESNGVSLKDPLAVR >CAK8542103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474031795:474033726:1 gene:gene-LATHSAT_LOCUS10974 transcript:rna-LATHSAT_LOCUS10974 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSYFATTQIFLLLLLVFPQIKSDLNSEKQALLDFVASIHHGGKLNWSSNISICTSWVGVKCNPNGSHVRSVRLPGVGLRGTLPENTLGKLHGLSSLSLRSNTLFGNLPSDIFSIHSLRFIYLQHNNFSGYIPDYLPPRLRLLDLSYNSFTGKIPNIIQNMTCLIELNLQNNSLIGPIPNVVVELPNLKNLDLSFNHLNGSIPSGFHKFHASSFKGNLRLCGAPLKQCYLASSPTTILSPLIVSPKVPSDISNKKLNTWEKIAIALSVFVFAVMLLPVLIVVFCCFEKRVGEQNVKHKEKGEKLMKEFGSGVQENERNRLIFFEGCSYSFNLDDLLRASAEVLGKGSYGTTYKAILEEGTIVVVKRLKEVAMGKKEFEQQIEIVQRLDRYPNVVSPRAYYYSKNEKLVVYDYFTSGSFSKLLHGKSETGRTRLDWDSRLKIMAGAAKGIAYIHSSNGRKLVHGNIKSSNVLLSTDLQGCISDFGLTSLTSLCVSPKSPSGYQAPEVNESRKHTQKSDVYSFGVLLLEMLTGKTPVQHPGSDDDVVDLPKWVQSVVREEWTAEVFDLELMRYSDIEEELVQMLQLAMACVAVIPDSRPSMEEVVRMIEDIRVSTY >CAK8537487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:372263314:372266130:-1 gene:gene-LATHSAT_LOCUS6776 transcript:rna-LATHSAT_LOCUS6776-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDNPDPPITTVPLLQQQQHQSSRLSSLLHQSSSPSNGFTSWGNDLIINNNNSNNTWNMNHHRDHEYDHDYHRLSLNLSAITLSDEDHHQPNTTTNNNTNNNVARIRGRNVVDSNININHVPGIWETHAYSNNNNIVEDETVTATPPTNSPRYPKSLFGVSSPSQGLLSDIKWGKQKGNTFAGVSQMGSSIGLGNGIGNTVSYGHYYGHGRGRVVPVQLQSSSYNNPYEQQQRLVYSSPRSSFATENDFPTNPIMYNNAPSSPFYYPSNSHFQLPQTLYPTTPIEEPLAFKCDNSFILQEKRDWNSFFGGATAASKHPYSQGSLGVIPQISHHFPIRTENTRAFATDDDVQQHHTLQSYMIHTAKDQNGGRQLQKLVEEGSVEDKEIVFNGVIDNIVELMMDPFGNYLVQKLLEFCREDQRLQIVHMLTKEPGQLVRTSLNTHGTRVVQVLISTIKSRKQIALVRTAIQPAFLELVTDLNGNHVIQRCLTCFSVQDNEFIFDAAAKYCHDVATHQHGCCVLQRCIDYSKGKSQERLVREICKHALNLAQDPYGNYVVQYIIQMQIPSAIAKLTAQFRGNYLSLSTQKFSSHVVEKCITYIPENRARIVQELVSIPRFEALLQDPYGNYVLQCALDYTKGSLFISLVDAIKAHKHLRTSPYCKRTFSKIQMKK >CAK8537486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:372263314:372266160:-1 gene:gene-LATHSAT_LOCUS6776 transcript:rna-LATHSAT_LOCUS6776 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTTDQWFRMKNDNPDPPITTVPLLQQQQHQSSRLSSLLHQSSSPSNGFTSWGNDLIINNNNSNNTWNMNHHRDHEYDHDYHRLSLNLSAITLSDEDHHQPNTTTNNNTNNNVARIRGRNVVDSNININHVPGIWETHAYSNNNNIVEDETVTATPPTNSPRYPKSLFGVSSPSQGLLSDIKWGKQKGNTFAGVSQMGSSIGLGNGIGNTVSYGHYYGHGRGRVVPVQLQSSSYNNPYEQQQRLVYSSPRSSFATENDFPTNPIMYNNAPSSPFYYPSNSHFQLPQTLYPTTPIEEPLAFKCDNSFILQEKRDWNSFFGGATAASKHPYSQGSLGVIPQISHHFPIRTENTRAFATDDDVQQHHTLQSYMIHTAKDQNGGRQLQKLVEEGSVEDKEIVFNGVIDNIVELMMDPFGNYLVQKLLEFCREDQRLQIVHMLTKEPGQLVRTSLNTHGTRVVQVLISTIKSRKQIALVRTAIQPAFLELVTDLNGNHVIQRCLTCFSVQDNEFIFDAAAKYCHDVATHQHGCCVLQRCIDYSKGKSQERLVREICKHALNLAQDPYGNYVVQYIIQMQIPSAIAKLTAQFRGNYLSLSTQKFSSHVVEKCITYIPENRARIVQELVSIPRFEALLQDPYGNYVLQCALDYTKGSLFISLVDAIKAHKHLRTSPYCKRTFSKIQMKK >CAK8570125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24555561:24556526:-1 gene:gene-LATHSAT_LOCUS22904 transcript:rna-LATHSAT_LOCUS22904 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTTTLILAVLLFHFASTSTSSDSNNHCGPTKNRDPQNLQPNKITVLINGFSETRIPVLQSIAATYSLSPLVSSVLVLWGNPSTPPRVIDQLALNLSFSSDAISLHRNPSSSLNDRFLPRLNDISTDAVLVCDDDVEVDGASFEFAFRVWSANRERVVGVFARSHDVDMNRKEWVYTVHPDRYSIVLTKFMLLKSEYLYRYSCEGGPRMTQMRKIVDSVRNCEDILMNFVVADSTNVGPILVGAKRVRDYGDARNDEGKFSLGLSGRKGEHRKNRGWCITEFHRALGRMPLRYSYGKVVDSIGEQGLCRKGGKLVFCDQ >CAK8530579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32298317:32300877:-1 gene:gene-LATHSAT_LOCUS434 transcript:rna-LATHSAT_LOCUS434 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCFLRSAASRVGSKANLATGAKTRTSQSTFRIPKQNSTPNRISRLPVEMSCGVQSLLPYHTATASALLTSMLSVSRHSYGWTPEDG >CAK8530581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32299568:32300877:-1 gene:gene-LATHSAT_LOCUS434 transcript:rna-LATHSAT_LOCUS434-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCFLRSAASRVGSKANLATGAKTRTSQSTFRIPKQNSTPNRISRLPVEMSCGVQSLLPYHTATASALLTSMLSVSRHSYGWTPEGQEKTR >CAK8530580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32299140:32300877:-1 gene:gene-LATHSAT_LOCUS434 transcript:rna-LATHSAT_LOCUS434-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCFLRSAASRVGSKANLATGAKTRTSQSTFRIPKQNSTPNRISRLPVEMSCGVQSLLPYHTATASALLTSMLSVSRHSYGWTPEDCNDDV >CAK8543464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609739205:609740537:-1 gene:gene-LATHSAT_LOCUS12220 transcript:rna-LATHSAT_LOCUS12220 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRFPFIFSNPKPPQSPRPFSKFAAAAATAGAAAAFVAVSSNDRHRLFLHNALNSFLSPDNSLPLWGSLSLADSGVPVVDSKTGSSFPSILEASQKLCGIGLRRKCVLGLKNIDVYAFGVYADDDEIKKCLSEKYGKFSASELKGNKEFTEDLLENDIHMTVRLQIVYGKLSIRSVRSAFEESVGSRLIKYGGPDNKELLQRFTSQFSDEIKIPRGSVIHLVREKGHVLRTTIDGKEVGSIQSKLLCRSVLDLYVGEESFDKQAKEEIELNMASYLQS >CAK8576238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:433246559:433247400:-1 gene:gene-LATHSAT_LOCUS28444 transcript:rna-LATHSAT_LOCUS28444 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGASLGVILTSVVVFEQRRYIFASISDSTSHSQVREPIFGKKSRSEFAHSWNKTVDQTFGPLIKSLSSRGW >CAK8569924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15948204:15949227:1 gene:gene-LATHSAT_LOCUS22723 transcript:rna-LATHSAT_LOCUS22723 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLCDSCKSTKATLFCRSDSAFLCITCDSNIHAANKLASRHHRVTLCEVCEQAPAHVTCKADAAALCVSCDHDIHSANPLASRHERIPLNTFHHNNSQQQFFSESDPDADVSTEEAEAASWLLQTPSNPKGPDLNSSHYSFTEIDATDLNFVSVDAKTDSPEEHSPGTADGVVPVQSHSKTVTEHYSDINNDFSTSKPFTYTYNHTVSSPSLEVGVVPDGNVMSEISYCGYGRTETVQITAADREARVMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRSDLNMNVNLIAEDESYDGYGVVPSC >CAK8561882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404451273:404452925:1 gene:gene-LATHSAT_LOCUS15433 transcript:rna-LATHSAT_LOCUS15433 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNSSKYISSFPESLLPNDASPEWNNKADNAWQLTAATLVGLQSVPGLVILYGSMVKKKWAVNSAFMGLYAFSAVLVCWVLWAHQMAFGNKLLPFVGKPNFALNEKFLLSEAPTNYYLPMADFVFYQFAFAAITLVLLAGSLLGRMNFYAWMLFVPMWLTLSYTVGAFTIWGNGFLEGKIIDYAGGFVIHLSSGVAGFTAAYWVGPRSSNDRKKFPPNNIIHMLGGAGFLWMGWTGFNGGAPFQVGEITSLAIFNTHLCTATSILVWLSLDMFVYKKGSLIGSVQGMITGLVCITPGAGLVDPWAAILMGALSGSIPWYTMMVLHKRSSFFQSVDDTLGVFHTHAVAGILGGILSGIFAKPSLLKMLYGPETSYGPGLFYSYCDGNVNQGIKQILYQLLGAFFITLWNVVITSLVCIIVSLTMDLRMQEEDLEVGDDAAHGEEAYVLWGDGEKRILPLRIHINSPTIISISHQRHPIPINKIDE >CAK8535715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876268316:876270194:-1 gene:gene-LATHSAT_LOCUS5144 transcript:rna-LATHSAT_LOCUS5144 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYLRSSICHARESLLSGSKTTLPSHTTFLRGYVRRFSSAGWSLSGRNQNENVSANSNASLRPDFLRDIENMNSRSENKAETDGNFRRMDFVRGSIEEDEKGIMGSYLYNQYHYEHDADFVHIKMLRNNTFVTVTDAKGNVKLSGSAGSLKDMKSGQKLSRYAAEATAEVVGRRARGLGLKSVVMKVNGFTHFRRKRQAILSWREGFTDSRGDKNPIVYIEDTTRRPHNGCRLPKSRRI >CAK8564553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681093937:681095292:1 gene:gene-LATHSAT_LOCUS17851 transcript:rna-LATHSAT_LOCUS17851 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGSEPDLGFHILDIPHHILFDIMLKLPAVTLIRCSSVCSRFKSIVSDTSFQQSYFSKAPISFVVLSDHNSLTCIDSSDTQIQSVPNSYHGSSCMAMQLQPSYSSDSKRSKNTTTATGTPIHLAQQRSVNRRTRFVTFHINRRMNLINSSNGLLCLRASNYHSRSLYYVCNPLLGEVLPVPPALSAADENLRFSAFGFDPKKKNFKIIQLVSKSDQMVAEMYQSDSRVSTWTVIPNAPSAKPRSKNCSFDPSFNGAIHWVTEGTSSELICLFDLNSNTFGSVPPPSHLDEEYVSKISGISVGVLKGCLCLCYVMEGAKFETWLMENYGVKESWRKAFSIDIKSYCGLSPQDKHRPIGFNNCGDMWLRADSDSHLHSQCLVSFCPETGVFRHIDIGGVASNVQATPQVLSYVSIKQMLDIRHRQLQLQSLKPGKNHHPLGFNMFLMGNFR >CAK8541914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431679283:431680304:-1 gene:gene-LATHSAT_LOCUS10802 transcript:rna-LATHSAT_LOCUS10802 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNQLEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVEQQESAVWSAKVLIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVFDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSTQYYFQPPTRPHFQPPTQPHFQPSTQPHFQPPTQPYFQPPLTQSQSYEHTPNQFTPFTQTHSQSEYKQHPP >CAK8541312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:116531389:116540141:1 gene:gene-LATHSAT_LOCUS10241 transcript:rna-LATHSAT_LOCUS10241 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDYGGDDDSSFSSLWEALPTVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTESGTQEYAEFLHQPRRKYTDFALVRQEIQDETDRVTGKTKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSESIVQDIETMVRSYVEKPNSIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYHLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPDYGHLANKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIEELESEMDHLGRPIALDAGAQLYTILELCRAFERIFKEHLDGGRPGGDRIYNVFDNQLPSALRKLPFDHHLSLQNVRKIVSESDGYQPHLIAPEQGYRRLIEGALGYFKGPAEASVDAVNFVLKELVRKSIAETQELKRFPTFQAELAAAANEALERFRGESKKTTLRLVDMESSYLTVDFFRRLPQEVEKAGSPAPSNVDRYAEGHFRRIGSNVSSYIGLVADTLRNSIPKAVVYCQVRQAKQSLLNHFYTQIGKKEGKHLSQMLDEDPALMDRRLQCAKRLELYKAARDEIDSVSWVR >CAK8578442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629546725:629550956:-1 gene:gene-LATHSAT_LOCUS30454 transcript:rna-LATHSAT_LOCUS30454 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQDQRKTHTPGHESHGVYVCNKCGWPFPNPHPSAKHRRAHKKICGTIQGYKQEQNGSDNERLSDDDYKTPGLVLSSSNNEKGNIGIKGKFTRAESDVFSDAVADFPDTGVKDHFLQTLSGSSEFNDFNATDVTPLIANSSNEFQTKNSKIPQNESFEVGNIIGLQGQQLSGSTVDPLISPVVDSKTEELSIVHGDGFSGLSSDSSLSKAAAVCDILPEKNIYAGEDVTGSHLTRGEMESNLKGKDEVKSERDMVEFVESTNSIDGDRAVNPKEKKGSEFVSFQPQYELPLEVNSSIITREAQVESTNAIESTNSSEVKLLQEKEDVNVSSDPLPVHDDRLGVAYSHSESLKHEEDDSKESNFHFNTSQLSEKSGVLSEFSLGELVTETYQRSHEIGVSMETEMSENDFSEEHGLDDIHENSQPKSSLMVSSNEYQRVASFQSATDETFDIINVSHHPNDTTEINDVSVDGKVAGAKVENDTEVLLNDYQPSDLLQSEVEESNILYKNNGDDDGETSKIERCDRTEDEIISNIELYEEQNKATGVAVDSHEEQATQLLVKTAEDFSIKHTPHSSTTTKPSAQHDSAVEDDSNGEPVHDQGIDTSADSHVAAEDFTRKYTAHSSINTKSSAQHVSAVEDNSRGEVSILTAAPLSVQDQSVNNLIKLTSPGTDASVDSSSQRESLDGNWGSSSVISMISDAPAVIDAETLPPNGSLASSEEGKSDLNTLQAAPADRQLSEKSGTFELPSFTTLVEPNHAAASPKGAASEIPNPQPLSSTLPAGWFPTLNQVINDSQGRKKNEETIAKITNRSSSKEHTPLKSLLGEVTHSSKKPKSPKVEETNNASELTTVNSILGPESPSAAQVAKEKAANEWNSPARYPANIKREKKKLKSKPFWLQFVCCSTAVDHPQRT >CAK8573674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628885508:628887191:1 gene:gene-LATHSAT_LOCUS26089 transcript:rna-LATHSAT_LOCUS26089 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFQVKNVGKTLVSRTQGTKIASDGLKHRVFEVSLADLQGDEEHAFRKIRLRAEDVQGKNLLTNFWGMNLTTDKLRSLVRKWQTLIEAHVDVKTSDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQVKRIRRKMREIMTNQASSCDLKELVRKFIPEMIGKEIEKATCAIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSDDIGTKVDRPAEETVADEPTEIVGA >CAK8543037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568845110:568845884:-1 gene:gene-LATHSAT_LOCUS11824 transcript:rna-LATHSAT_LOCUS11824 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDTLISGDKLILKGLSFYGFHGVLKEEKTLGQKFFVDVDAWMDLKPAGKSDNLSDSCSYVEIYRIAKEVIEGPSQNLLESVAQKIAISTLEIHKEISAVRVKIGKPHVAIPGPLDYLGVEILRRRSDLTD >CAK8570365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39889306:39890791:1 gene:gene-LATHSAT_LOCUS23118 transcript:rna-LATHSAT_LOCUS23118 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEKNEKAKERREKRLQEIQLLRSIPYSDDQRWWSKETIAVVTGGNRGIGFEICRQLASHGLTVVLTSRDANAGAESVKALQEGGLDVVYHQLDIVDDSSINQFVEWLKESYGGLDILVNNAGVNFNLGSDNSVENAYKVIKTNYYGIKNLTEALIPLMKPSVVGARIVNTSSRLGRLNGRRNRISNVELREQLSDVEVLSVELIDRTLSEFLQQVEDGSWTSGGWPQIYTDYSLSKLAVNAYTRLMARKLSERPEGQKIYINCYCPGWVKTALTGFAGNNTVEEGADTGVWLALLHDQTVIGRFFAERREINF >CAK8536288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:926461906:926466033:-1 gene:gene-LATHSAT_LOCUS5672 transcript:rna-LATHSAT_LOCUS5672 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAYSISHFNKLPLHSSTLSSPIPRLSPKPSLPFQISLIRSSQKPLSLSPSAAAASVITTTPPPSPTDQQQTLTKFGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYQVILINSNPATIMTDPDMADRTYVTPMTPELVEQVLEAERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLEAIKKAEDRELFKQAMKNIGIKTPPSGTCSTIDECMQIANEIEFPLIVRPAFTLGGTGGGIAYNREDLLEICKAGIAASLTNQVLIEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITQKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGESMAMGRTFQESFQKAVRSLEHGHAGWGCGPAKELDYDLEQLKYNLRVPNPERIHAVYAAMKKGMEIDEIFELSFIDKWFLRQLKDLVDVENFLASHKLSDLTHADFYEVKRRGFSDKQIAFATKSNEKEVRSRRLSLGVAPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTSRKKVLILGGGPNRIGQGIEFDYCCCHASFSLQAAGYETIMVNSNPETVSTDYDTSDRLYFEPLTVEDVLNIIDLERPDGIIVQFGGQTPLKLSLPLQQYLDEHKPECASGEGHVRIWGTSPDSIDVAEDRERFNVMLHELQIEHPKGGIARSEADALAIAADIGYPVVVRPSYVLGGRAMEIVYSDERLITYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGIHSGDSACSIPTRTISSSSLEIIRSWTEKLAKKLNVCGLMNCQYAITSTGKVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIKFTKEVIPKHVSVKEAVLPFSKFPGCDIFLSPEMRSTGEVMGIDPLYNIAFAKAQIAAGQKLPLSGSVFLSLNDLTKPHLEKIAKAFINNGFQIVATAGTALALKFCNIPAVLVLKLHEGRPHAGDMITNGDIQLMVVTSTDDALDRIDGLALRRLALDYKVPIVTTVNGALATAEAIKSLKSNSIKMIALQDFMVKEPEE >CAK8536877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:32289105:32291986:1 gene:gene-LATHSAT_LOCUS6203 transcript:rna-LATHSAT_LOCUS6203-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPIINAAPNTLSFHPPEIWQFPPSTTPQFEHGFGAFATEVPGRELVGSELRLPNRGRKRRDTEEDSVKGVSTSNDVNGDGGDDGKRIKTSWKSKDEEVVGDENSSGKHAEKITEEPHPKQDFIHVRARRGQATDSHSLAERARREKISERMKTLQDLVPGCNKVIGKALVLDEIINYIQSLHHQVELEAVNSRLTPGIEVFPPKVFDQQTYETTPIPFVSQATREYSRGSSPEWLHMQVGGGFERST >CAK8536876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:32289105:32291986:1 gene:gene-LATHSAT_LOCUS6203 transcript:rna-LATHSAT_LOCUS6203 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPIINAAPNTLSFHPPEIWQFPPSTTPQFEHGFGAFATEVPGRELVGSELRLPNRGRKRRDTEEDSVKGVSTSNDVNGDGGDDGKRIKTSWKSKDEEVVGDENSSGKHAEKITEEPHPKQDFIHVRARRGQATDSHSLAERARREKISERMKTLQDLVPGCNKVIGKALVLDEIINYIQSLHHQVEFLSMKLEAVNSRLTPGIEVFPPKVFDQQTYETTPIPFVSQATREYSRGSSPEWLHMQVGGGFERST >CAK8544974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715454014:715455378:1 gene:gene-LATHSAT_LOCUS13611 transcript:rna-LATHSAT_LOCUS13611 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSFIVLSKYLRTISSNGTFSSSSSSSSLQHQFHSSSSSPIGSPTRVQKLIASQSDPLLAKEIFDYASLQPNFRHNYSTYLILILKFGRSKHFSLLDDLLRRLKSESQPITPTLFSYLIKIYGEANLPDKALNTFYTMFQFNITPLTKHLNRILEILVSRRSFVRPAFDLFKDAHRHGVVPDTRSYNILMRAFCFNGDISIAYTLFNKMFKRDVVPDVESYRILMQALCRKSQVNGAVDLLEDMLNKGFVPDSLTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRARDACKVIDDMRANGCLPNLVSYRTLVNGLCHLGLFNEAREYVEEMLSKGFSPHFAVIHALVSGFCNVGRVEEACGVLTNSLEHGEAPHKDSWMIVVPQICDTEEDGVKIGGVLEEVLKIEIKGDTRIVDAGIGLEDYLIRKIRAKARQF >CAK8570890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:151653353:151654664:-1 gene:gene-LATHSAT_LOCUS23602 transcript:rna-LATHSAT_LOCUS23602 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDPNFIQAPEHRPKPNVIEAENIPVIDLSPILDNSSNIEKDPLVFDELVRKIGSACKEWGFFQVINHGAPLESRQKIESVGKKFFGQKMEEKKKVRRDIVKVMGYYESEITKNVRDWKEVFDFTFEEPTLIPASIDPHDKEVTHWYNQWPQFPPDMRETFQEYAQHMKKLSIKIMELIAMSLGLHAERFNDFFKDETSWIRLNHYPPCPNPDIVLGCGSHKDTGALTVLAQDEVSGLEVRRKSDGEWVLVKPLPNAYIINVGDLIQVWSNDAYESIEHRVVLNTEKPRLSYPFFLFPAHYTMVEPLKEFTNDENPPKYKAYNWGKFLATRKLGNFMKLGVENIHTQHFKIT >CAK8532770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:511880532:511881089:-1 gene:gene-LATHSAT_LOCUS2443 transcript:rna-LATHSAT_LOCUS2443 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLLRYCLYSLPGKWVYYFERSEHGNRYGTKTDYLFQFLCFLKLHTYTRVQVSIDICGVDYPSRKRRFEVVYNLLSTRYNSHILIQTSADEVTRISPVVSLFPSAGRWEQEVWDMFGVSSINHPDLRRISTDYGFEGHLLRKDLPLSGYVEVCYDDQEKHVVSEPIEMTQEFRYFDFASPWE >CAK8579227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685147084:685149866:1 gene:gene-LATHSAT_LOCUS31190 transcript:rna-LATHSAT_LOCUS31190 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTEKNYKRLKGSQSFRQRLLLSTLSSTPIIIEDIRTHETWSGLHSHEISLLRLFETVCDDCRVVINQTGTKLKYEPGTIMGGRINRPHDCGVSRSIGYFLEPLVVLCLFAKEPLTITLKGITNDSKDPSVDTFKSAAFHILERFGVDFKALSLKIESRGLPPNGGGEVILSLPIVQSLNAVNWIDEGFVKRIRGVTFSTKVSSQFETSMIRAARGIINPLISDVHIFTDHRTGPPAGNSPGYGILLVAETTSGCYISVDTAASHGRDEDTSGLADDVKKDLMPPEDIGEGIANSLLEEIGQSGVVDSTYQGMLFLLCALCPQDFSKVRVGKLSQYGIETLRNIRDLLDVKFIIKPDPDTQSVILKCIGCGMKNLSRKIS >CAK8572388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533752407:533753288:1 gene:gene-LATHSAT_LOCUS24959 transcript:rna-LATHSAT_LOCUS24959 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKKLIVKLSYPPGSKKRDSDSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSETKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTTFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRVQDTKECNMLL >CAK8560432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19075169:19075672:1 gene:gene-LATHSAT_LOCUS14101 transcript:rna-LATHSAT_LOCUS14101 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFSPLGVLIFGFFISFYAFVVQSQINDVNYSQSRQQLPQLTPVFMVSPSPPPPPPPPPPPPPPPPPATPPPRLDPNFPPIKITSPPPPHHRHRHHHPVHSPPPPPPPPPPPPPPPPHGMNAGKMVGLLFLGIAALLQVGLVGFLVIKRRQLLNANDNTYEPSSS >CAK8578558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637946608:637948628:-1 gene:gene-LATHSAT_LOCUS30558 transcript:rna-LATHSAT_LOCUS30558-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGKLSINGPLMSVRRFICTPTSSTEVKTKILENSAQVLPCNKSEIIQNLVTKPVAVPKTTSLRDILDKVDPDKGIKDEMVKTLEEDDDDDDDVDAFSDALETLSSTESICVSGLDNLDANKCRTFSSDDKQAQDFMMNRFLPAAKAMTLQPHQHAPRKQSVLLEQPSTKLVCEEKKFFVNNISITDIVPYTGQYEEEESESEEESDHETDDYANISAKGCGLFPSSCIKNSLCLLNPITDTKPVSEIPMWSSYEVENPNKSSHFSSYRSGPTIKKAWDAIHKSKSSSGASESKRYNTYSGEPNQISRLASFRRSGAAVTTGISSSQSNSQSLADTNQSKKWKFSSQGHKNFLEVQSQGSKTSSNSRNLSMEKSLYIDTSISKVKTPRSNSFIKAERLVANQENNQESMSLQLAQSSFDTDTEINNKQIVVVDGSEKTDAKCVMHLLSPPLPKSPTESWLCRALPLVSFKNSLAYRSRGTQQSHAAKMIGYSRASSYSKWETIVKTSNLHHDHECCSQELTIYKSQHSKS >CAK8578557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637946608:637948631:-1 gene:gene-LATHSAT_LOCUS30558 transcript:rna-LATHSAT_LOCUS30558 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNEGKLSINGPLMSVRRFICTPTSSTEVKTKILENSAQVLPCNKSEIIQNLVTKPVAVPKTTSLRDILDKVDPDKGIKDEMVKTLEEDDDDDDDVDAFSDALETLSSTESICVSGLDNLDANKCRTFSSDDKQAQDFMMNRFLPAAKAMTLQPHQHAPRKQSVLLEQPSTKLVCEEKKFFVNNISITDIVPYTGQYEEEESESEEESDHETDDYANISAKGCGLFPSSCIKNSLCLLNPITDTKPVSEIPMWSSYEVENPNKSSHFSSYRSGPTIKKAWDAIHKSKSSSGASESKRYNTYSGEPNQISRLASFRRSGAAVTTGISSSQSNSQSLADTNQSKKWKFSSQGHKNFLEVQSQGSKTSSNSRNLSMEKSLYIDTSISKVKTPRSNSFIKAERLVANQENNQESMSLQLAQSSFDTDTEINNKQIVVVDGSEKTDAKCVMHLLSPPLPKSPTESWLCRALPLVSFKNSLAYRSRGTQQSHAAKMIGYSRASSYSKWETIVKTSNLHHDHECCSQELTIYKSQHSKS >CAK8578576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639633173:639633478:-1 gene:gene-LATHSAT_LOCUS30574 transcript:rna-LATHSAT_LOCUS30574 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPILSRSHESRQAHEFIIDLRGFKNIAGIEPEDVAKRLMDYGFHGPTMSWPVAGTLMIKPIESENLGCF >CAK8535025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804681134:804684089:1 gene:gene-LATHSAT_LOCUS4510 transcript:rna-LATHSAT_LOCUS4510 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTFVSLSILLLYCLTLLSVNAYSYREFLQMGQSVRISDTVVSYGGNYELGFFHRDRDNTTKYYVGIWFKKVPNDKIVWVANRDFACQTSSAFLTIQPDGNIAIRDGHMTYSVTNVPNNSYSNYATLLDSGNLVLLNNSNKAILWQSFDDPTDTLLPGMTIGLGRSLKSWTSADDPAIGPYTLQFDSGLASLTVNKGSNVLWIDGNSNLSIQIFFNRVSLEQTSYYNQFTLPIANKSRIVLEVSGDLKHEGWSEKSKRWLSLQWSKCETNNSCGVFSICNPQDQDPCQCLNGFESFDDDSWRKGNRSAGCVRSKNLSCNITDGFVKVDSVELPPNHVNMKLYSIAQCNNTCLTDCSCLAFAYDLFGFNCMLWNDQLLTLKNISTDNQDDEKNRPIFYLKHAASDILTTSNGTNAASRHGNRRRNLLLIVILIPFLLLLILLGLFVYRTRKQSKKGDDLLNFEVGMSMISKDSEKGTKVKRKEVKLPLFSFVSVSAATNNFSETNKLGEGGFGPVYKGILLNGDEVAVKRLSKRSGQGWEELRNEALLIAKLQHNNLVRLLGCCIERDEKMLIYEFMANTSLDCFLFDAEKRETLDWGTRVRIIEGIAQGLLYLHQYSRFRIIHRDLKASNILLDSNMNPKISDFGMARIFSENVLQANTNRIVGTYGYMSPEYAMEGVFSIKSDVFSFGVLLLEIISGKKNTGFYQANSFNLLGYAWDLWTNNSGMDLIDSKVDMSNKNLVTKYVNIGLLCVQQSPEDRPTMSDVVSMIGNDTTSLPSPKLPAFQNVRSIENSSLSRSTEENVSVNVVTNSIVEAR >CAK8532331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247861401:247868593:1 gene:gene-LATHSAT_LOCUS2051 transcript:rna-LATHSAT_LOCUS2051 gene_biotype:protein_coding transcript_biotype:protein_coding MALNILLLANFLLILYSVVIIEAATVFTDGIRPSLNRSSFPDGFIFGTASSAYQCEGAANVGGREPSIWDTHTHNYPEKIFGRSNGDVAIDEYHRYKEDVEIMKDLNMDAYRFSISWSRVIPKGKLSRGVNMEGISYYNNLINELLDKGIEPFVTLFHWDLPQILEEEYGGFLSPNIVNDFRDYAELCFKEFGDRVKHWITLNEPWTVSKFGYADGWAAPGRCSSWLDKNCTGGDSATEPYIVAHNQLVAHATAVKVYKTKYQASQKGLIGITLSCDWMLPLHDTESDIHATQRAIDFILGWFMDPLTGGDYPISMRSLVGSRLPKFSKHEIKLVKGSFDFLGLNYYTSNYATDAPELSKVRPSLLTDSQVITSSERNGIPIGPMTSSIWKPVYPKGIHDLLLYTKTKYNNPLIYITENGIDELNDPTLSLEEALADTPRIDYYYSHLHYLQNAIKDGVNVKGYFAWSLLDDFEWTLGYVVRTGINFVDYKNGLKRYPKMSAIWFKKFLQKKKIMHSDLR >CAK8532332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247866102:247868593:1 gene:gene-LATHSAT_LOCUS2051 transcript:rna-LATHSAT_LOCUS2051-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLNMDAYRFSISWSRVIPKGKLSRGVNMEGISYYNNLINELLDKGIEPFVTLFHWDLPQILEEEYGGFLSPNIVNDFRDYAELCFKEFGDRVKHWITLNEPWTVSKFGYADGWAAPGRCSSWLDKNCTGGDSATEPYIVAHNQLVAHATAVKVYKTKYQASQKGLIGITLSCDWMLPLHDTESDIHATQRAIDFILGWFMDPLTGGDYPISMRSLVGSRLPKFSKHEIKLVKGSFDFLGLNYYTSNYATDAPELSKVRPSLLTDSQVITSSERNGIPIGPMTSSIWKPVYPKGIHDLLLYTKTKYNNPLIYITENGIDELNDPTLSLEEALADTPRIDYYYSHLHYLQNAIKDGVNVKGYFAWSLLDDFEWTLGYVVRTGINFVDYKNGLKRYPKMSAIWFKKFLQKKKIMHSDLR >CAK8560174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9625587:9626712:1 gene:gene-LATHSAT_LOCUS13872 transcript:rna-LATHSAT_LOCUS13872-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWCTAVPPVLKLFNKLIDNGFKVILLTGRDKEILGQVTIDNLHNQGFIGYERLIMRTTVYKGQNAVTYKSNIRKNLEDEGYRIWGNVGDQWSDLQGYSFGNRTFKLPNPMYFVP >CAK8560172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9614085:9626712:1 gene:gene-LATHSAT_LOCUS13872 transcript:rna-LATHSAT_LOCUS13872 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREFLLLFFLALLCKASAIRSQASTKDPIISTIDGSFCLSWRLAVETNNELPWRTVPNKCSQYVEDYLIHGQYERDLELIMEQALDYVNGVSIVGDGKDAWILDVDDTCLSNIYYYKSKNYGCEPYDPPAFRAWAMRGWCTAVPPVLKLFNKLIDNGFKVILLTGRDKEILGQVTIDNLHNQGFIGYERLIMRTTVYKGQNAVTYKSNIRKNLEDEGYRIWGNVGDQWSDLQGYSFGNRTFKLPNPMYFVP >CAK8560173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9614085:9614492:1 gene:gene-LATHSAT_LOCUS13872 transcript:rna-LATHSAT_LOCUS13872-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREFLLLFFLALLCKASAIRSQASTKDPIISTIDGSFCLSWRLAVETNNELPWRTVPNKCSQYVEDYLIHGQYERDLELIMEQALDYVNGVSIVGDGKDAWILDVDDTCLSNIYYYKSKNYGYDFFFVILMVI >CAK8561795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385792969:385793646:-1 gene:gene-LATHSAT_LOCUS15351 transcript:rna-LATHSAT_LOCUS15351 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKNLSDNLITGQCPLLFFTKFQIPWIWRWSITISKNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIQEAIAEDQNNKVKEQSSQQMSMENLKNFFQRKYPNETEDEIMVTILDHMKNQFFFTFPTKESKDEDSSMKTSSSMGSMDSYNFDGLAGEGQAKEPTAKDFWDAIISSMKEIRKAKN >CAK8576522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487247922:487253983:1 gene:gene-LATHSAT_LOCUS28704 transcript:rna-LATHSAT_LOCUS28704 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVLGYLENNEEIRDSGEFASERGIDHNEIVNIIKSLHGFRYVDAEDIKRETWVLTDEGNSYTTLGSPEAQLISAIPPEGISREELQKKLGPSVFKIGCAQAAKNKWVEMGKQLISKKVQHVEDKVKDLLLQIQQGQGIGPDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKKVVTDLTRDNFQSGEWKEIEFKEYNYSAKGQPLEGGSLHPLLKVRCQIKQIFHCMGFEEMPTNNYVESSFWNFDSLFQPQQHPARDSHDTFFLEAPSTTKKLPEDYVQRVKQVHEFGGYESRGYAYDWKREEANKNLLRTHTTAVSSRMLNQLAQKPFAPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLCDLIGVLHDFFSRLGMTKLKFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLRPMGLPEDVQVIAWGLSLERPTMIMYGIDNIRDLFGHKVDLSLIKKNSICRLGIE >CAK8543457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609042756:609043622:-1 gene:gene-LATHSAT_LOCUS12213 transcript:rna-LATHSAT_LOCUS12213 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLCQGFQSCLEQGVMEPRVLTLKLAPPGSSSLDQEKPIIINNECHKNDTNSSWSFLQNLTKTENGNDKVYVHPTVKRSASMLSEKSLEMCTESLGSETGSNAGESSDDLSLLSYDARNDVSNSNNNNSNNNNGSNMNNYVPRRVNRASNFPPPLTSITDFGGVHVRPHREGGRLILEAMASPSITPYFHAERCDGRLRLSLVETVYDYDGDDEDYEGEEVEDQEEKEGESEKEHEVCEEEECVEKVEDEMGVTMFGRPRRCKESGNRDFFGDGYFEISTTISLCL >CAK8571133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308997174:308998037:1 gene:gene-LATHSAT_LOCUS23824 transcript:rna-LATHSAT_LOCUS23824 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCHMMFGQLPTIEVYVRLLDNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGESSDDDIYEEFRMQDIFGDSDDEDNEDEDIVVPSTQPIRAQPVSLYNPLTHMQNIDFEDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCVDYWVYQSDNEGYVIKCKKQDCRFKYKASFRRRNSKWVIGKLSRSHTCTTKSMAQDHRKLSSEMVSHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLP >CAK8537609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407314320:407314809:1 gene:gene-LATHSAT_LOCUS6883 transcript:rna-LATHSAT_LOCUS6883 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8537610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407314320:407314463:1 gene:gene-LATHSAT_LOCUS6883 transcript:rna-LATHSAT_LOCUS6883-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8564908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15252825:15255907:1 gene:gene-LATHSAT_LOCUS18159 transcript:rna-LATHSAT_LOCUS18159 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNSDCSSRRMFHLLWLFTIKFTYLFYFVVGQNNTNSQELLDYRYACLDQSSIAPSTTYQTNLNNLISSLSSDSATSNGFGNRTSGNDQNNIVYGQYLCRGDVNRTLCHSCVQNSSRLLKQHCPNNASAILWYPFCLLRYSNQNFFGNLTIRPRIPMFDATQNLTSAGEFDTDARILMNGLIQMGSRTPLMFGTHMFNINGTQRRYGWVQCSRDITSEECGTCLSNMLEDVENCCEEKKVWRVFSPSCIMMYETQPFFLNDTVSNAPVPQQAKEKDGTKSWIIIVIVVIGTVVAALLASSTYYLCCLKHRKNRRNYRQNLSPIFTQDQSDIEETGNTDLSMMPLSTILKSTNNFSDEYKLGKGGFGTVYKGVLADGREIAVKRLSKTSVQGVEELKNEIILIAKLQHRNLVRLLACCIEQNEKLLIYEYLPNSSLDFHLFDMVKGAQLDWKQRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNILLDHEMNPKISDFGLARTFGGDQDEASTIRIVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGRKSSKFYLSEDGPSLLIYAWNLWRERKEIELMDPLIEKTCVPGEYLKCIHIALLCVQEDAADRPTMSSVVHMLVSDTLTLPGPTRPAFSVGRAVVERAVVDRESSSNTSISANEVTLSELRPR >CAK8536636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3280452:3283659:-1 gene:gene-LATHSAT_LOCUS5980 transcript:rna-LATHSAT_LOCUS5980 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQNLSGYYSMMRDLNEESSSCGWPLFYRDKTPINGKCYENYLPSVASDACSVDDKDVVKRMMLEHEAVFKNQVYELHRLYRIQRDLMNDFDRRELHRNQIPAEASFSQVTTEDGRKWHVSGIPAGNSAYAKPSVSGAAGVHSPLGFIQGTSNQACPFRSPDVGVIESTRPSKVRRKTFDLSLPADENVDSDESNEKLSDEKTSGSTFFLADKSCKVGKEDDGGKACCRDTSRNRLADLNEPAQVDETYDSSYIRVPSNSVAATECLDLTASAKQKTRFFGLSREHLLDSSRGTHSWARNDGYLENNGNEERGISSGAEAAHAKSNLQPMLNVFKPEKSLLSSQTMQHTYSKAHEPASNYLDGRSKADTWSEKTVSEINHEYFVNKHPESDLPLYRPGHIPFAPSYDLSKSWSHSAASWGKASCSLNQKLMSVKTPPYPNAPGSINRNLEEFWHLNTNSKPNPSIPCHAPLRNGSVSKEPSMNVSSISYDYPNHNNDKNSGKGIDLNAILSNGSYNNNLVPQSSVGIMEGDAMSWLRAKNARKNEARNTDRSSITAGETSFLQTASLLSVKGETGKVTHGVTSVSCSNTLDQRRIELSESSSNKKILGVPIFDMPRSSLKKELSSITSPSVSIPTMSDPKAMENKHKIWMLDINLPCDANDLEFEKEVFTETVISKTRSTAEADSRNQIDLNFSMTEDEESYITLPSGNTNMKATIDLEAVAVPESEEDLVLEENKLETSLASPQVPQDAVEQPSEELMRNAAEAIVVLSSLSRDQVDPVINNPSENESVDPLSWFADVVSLCEANPESKCDNSRGKDKEDDEELDYFEYMTLKLEETKEEDYMPKPLVPENFKVEETTSTLPTRTRRGPARRGRQKRDFQRDILPGLVSLSRNEVTEDIQTFGGIMKATGHSWQSGLTRRASSRKRGRPRRQVQVTPSPSPSPPPVTTNETSTPLMQELNNIEVALEERSLTGWGKTTRRPRRQRCPPTGNHPLIPIT >CAK8534679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754729246:754729758:-1 gene:gene-LATHSAT_LOCUS4202 transcript:rna-LATHSAT_LOCUS4202 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVKLHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSNFPVVASEDYWPTYHGDTIYHNKNMRRNKKDRPKSTRITTEMDIAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8533284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600337851:600339863:1 gene:gene-LATHSAT_LOCUS2924 transcript:rna-LATHSAT_LOCUS2924 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNINNKPFLFPQTQSSVLPDPSKFFSPNLLSTPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSVSYPFHHFNSAFIYQVFNADLTITSIEQKTNQNSNEKSHIISSYTDLSVTLDIPSTNMSFFLVRGSPYVTLSVTKPTPLSISTIHAILSFSSNDNLTKFTFRLNNDQTWILYASSPIKITHELSEITSEAFSGLIRIALLPDSDSKTEDVLDRFSSCYPLSGDAMLKENNCVEYKFDKTGSGDLLMLAHPLHLQLLSKNDSNVTVLNDFKYKSIDGELVGVVGDSWLLKTDLVSVTWHSSKGVKEESRDEIVSALKKDVEGLKSSPITTSSSYFYGKLIARAARFALIAEEVNYLDAIPIVVKFLKESIEPWLDGTLNGNGFLYDKKWGGIITKQGSSDTGADFGFGIYNDHHYHLGYFLYGIAVLAKIDPIWGKKYKSQAYSLLEDFMNLSIKSNTNYTRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAINAYYSAALIGMAYDDAELVANATTVTSLEILAAKMWWHVKEGENLYEKDFTKENRMMGVLWSSKRDSGLWFAPPAWRECRLGIQLLPLLPISETVFSNDDYVKELVEWTLPALNREGVEEGWKGFVYALQGVCDKETGLKNIRSLNGFDDGNSLTNLLWWIHSRSEKV >CAK8544813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706951904:706952258:1 gene:gene-LATHSAT_LOCUS13462 transcript:rna-LATHSAT_LOCUS13462 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSQRWGYIRIMSGTIFGGILGFYVMHRVEITYKEKMNERLRNYEAELKRKREEKLNEFEIEESSKF >CAK8568166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579240944:579288236:-1 gene:gene-LATHSAT_LOCUS21155 transcript:rna-LATHSAT_LOCUS21155 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRTSTGQSGESSGFQTHKFSPPGPKFRFSDAGDEAIVNTLWERFQQVDDKVEKKRMLHVFTKQFVIVYKNWEPVNSGILLESVPLENFSSTDDAVIGCSAGHPVEVIRVLVDEVTQLSSLVTELSTSTLQLPTELSGAATKSYITSEGFLILDALKIIARSLYNCRVFGYYGGIQKLTALMKGAVVQLKTISGALSADESLPDFAMEKINLLQQILIYVVSIFYVFIDLGSNIDKMDELFCGLVGLISRVNTAISSSNSSKLLSTEARLHWRQKAVVSVMEAGGLNWLVELLRLCRRFSLKELLMDDSLQYLSLKILSLALSANPRGQNHFKSIGGLEVLLDGLGFPSNYATTYSKFVLTNGFRDDKPLQRIFQLHILALEVLREAVFGNMNNLQFLCENGRVHKFANSFCSPAFVLQDLRQGGDFAEHQAVSVPADIHENENHMKSDPAVASAGLPSNASFSHFWNDYVLTLSRSLCSFLIIPGVSKSLNIQLSSGRLALPVSSSYCELSIKWAMRVLFTIFPCIKACSNQNDLPSYLRVFVTVLQNEVLNAFRSLLSTSPPSLEIFREEGIWDLIFSENFFYFESASEETAGQIFAYNKKSELLSASSSTLDTPEVNGVNSLQLEIISFVEFAATSNGNTHNMTELSALLDALDHSACNSEIAGLLVRSLVHILQLSPEKTIASCKTLNAVSRVLQVACVQALECKRSGSMNPSSVNSGLEISESVPDQQKCNSPKTMQNWFGCMKICMEFFTKFSASSEDTKSFILQSLTSIDCLFDLFWIEGLRDDVLGHILDLMKIMPSSEEDRKAKLQLCSKYLEMFTQIKEREKFFVDLSIDMLAGMSDMLLANQAYYQALFRDGECFLHVVSLLNSDLDKENGERLVLNVLQTLTRLLANNDTSKAAFRALAGKGYQTLQSLLLDFCQWHSSESLLDALLDMLVDGKFDIKICPIIKNEDVIILYLIVLQKSSESLQHHGLDVFWHLLRDSISNRASCVRAGMLDFLLNWFCQEDNDSVIFQIAQLIQAIGGHSISGKDIRKIFALLRSEKVGMRRQYCSVLLTSLLSMLHEKGPTAFFDLDGLDSGIILKTPLQWPLNKGFSFSCWLRIENFPRNGTMGLFCFLTENGRGSLAVISKEKLIYESINLKRQRSDLRVNLVRRRWHFLCITHSIGRAFSAGSLLRCYLDGDLVSSERCRYAKISEPLTNCMIGAKLKVPHYEDSTLTFESIRDSCPFFGQIGPVYLFNDAISSEQVQSIYSLGPSYMYSFHDNEALPLSGDKVPSGILDAKDGLASRIIFGLNAQASVGRMLFNVSPIMSHALDKNSFEAFVIGGTQLCSRRMLQQIIYCVGGVSVLFPLITQCCNFEKEVGEFEKTPLTRSTRECVMGQVIELIASLLDENVANQQQMHIVSGFSVLGFLLQSVPPQQLNLETLSALKHLFNVVSNSGLAELLVEEAISSIFLNPLIWVYTVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVLDIIHQFYCGNVNSRLFVGNNLLHHPASKKVTGERPSKEEMHKIRLLLLSLGEMSLRQNIAAGDIKALIAFFETSQDMSCIEDVLHMIIRAVSQKSLLASFLEQVNIINGCQVFVNLLQREYESIRLLSLQFLGRLLVGLPSEKKGSKFFNIPMGRSKYLSEEHRKIRMQPIFLAISDRLFSFPQTENLCATLFDVLLGGASPKQVLQRHSHLERAKSKSSSSHFLLPQMLPLIFRYLSGCKDTSARIKIIRDILDLLDSNASNIEAFMEYGWNAWLTSSLKLGVLKDKNVELHNHGNNKMDELLAVRNLFSLVLCHYLNSVKGGWQQLEETVNFLVMHSEEGGNSYRFFLRDIYEDVVQNLVDLSAADNLFISQPCRDNTLYLLKLIDEMLISEIDKELPLLGSESNFHIDLEMECHKEYSSALKDVLIGEADEQTSRKSQNNKQLIPCDNTIEDKWWNLYDNLWLVISKMNGKGPSSALPKSTSFAGPSLGQRARGLVESLNIPAAEVAAVVVSGGIGNALTAKPNKNVDKAMVLRGERCPRVIYHLVILYLCKSSLEKASRCVQQFTSLLPCLLTADDEQSKSRLQLIIWLLLFVRSQYGMLDDGARFHLLSHLIRETVNIGKSMLATSLVNRDDTLEPNYNSKEAGSIQNLIQKDRVLAAISDEANYTKISKIDRSQQIQELHCRIDENTLAESTSKQALEDEIQNSLNSILSSDDSRRAEFQLTYEEEQQNVAEKWIHMFRSLIDERGPWSTNPFPNCVVAHWKLDKTEDTRRRRPKLRQSYHFDESLCNPVSATTSGFTSPVNESNPGFVGNIPEQMKQLLLKGIRKITDDGSFDANEINFEISGPPNTLIPSDHSDCQSSDLLKDNSDRKDIVLERRDTPSPPETEASEVLVSIPCVLVTPKRKLAGHLAVMKNVLHFFAQFLVEGTGGSSVFRNFDASNSDMTKSVQKQRSMKWPSSYMDLQKGITIGNVEVIDGNGPVKFMRCVKRHRRWSMAKIKAVHWTRYLLRYTAIEIFFSDSVSPVFLNFASQKDAKDIGNLIVATRNEYLFPKGSGRDKSGAINFVDRRVALEMAESARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYTSEVLDYNRSSTFRDLSKPVGALDTKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTILHRNLQGGKFDHADRLFQSIEGTFRNCLTNTSDVKELIPEFFYMPEFLSNSNSYHLGVRQDGEPIGDVFLPPWSKGSPEEFIRRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETTEDDLQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIARPLYFAPDSISLTSIVSNTSHSSSAILYVGLMDSNVILVNEGLNLSVKTWLSTQLQSGGNFTFSGSQDLFFGVGSELLSPRKIGIPVPEHVEHGEQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCIAVTSDGSILATGSYDTTVMVWEVFRGKTEKRIRNSQSELPRKNTVITETPCHILCGHDDIITCLYVSHELDIIISGSKDGTCVFHTLREGRYVRSLRHPSGSPISKLVVSQHGQIVIYADDDLSLHLYSINGKHLAASESNGRLNTIQLSKCGEFLVGAGDQGQIVVRSINTLEVVKKYHGVGKVLSSLTVTPEECFLAGTKDGSLLVYSIENPQLRRTNQSKNMKSKT >CAK8541900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:427823966:427824778:1 gene:gene-LATHSAT_LOCUS10789 transcript:rna-LATHSAT_LOCUS10789 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLIDLEGDFYSCKHCKTAFALVDDIISKSFQCRHGKAYLFDKVVNVTVGEKEERIMITGMHTVVDIFCVTCGSIVGWKYEVAKENSQKYKEGKFILERYKVLGPDGSEYMPPVEDAEDA >CAK8534007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681690599:681691915:-1 gene:gene-LATHSAT_LOCUS3583 transcript:rna-LATHSAT_LOCUS3583 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKFKSVSEGEHEEEEENMHFLKSLQELRELRSQLHYAADYCETTFKESEKKKDVMENTKEYICRAMVTVVDHLGNVSSNLEGLISHTNSFSDADIKIQCLKQRLFSCEQYADKVSLSNMQWRERLPRFHTRYLSSSPILERSQENLKPAIATNNKHNNLAFVMPVRDGLKVLAKVSNPTFHFQATPKVGPRHRRSLHGSDILWLIRRTKHSH >CAK8538969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500550565:500553902:1 gene:gene-LATHSAT_LOCUS8113 transcript:rna-LATHSAT_LOCUS8113 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRNAIGSILKRSKEACLIQKLTGRSKTTAFPFSHPSIAEQQSFKLFNPSVSTHFFRTFRSHLVPKGHHVPFTRNFSKTVSAAAGHKEGLKLLVTGGSHAQKAIGIWLFSSAAWVFSMVILGGLTRLTRSGLSMTDWKFTGEFPPLTDEAWLQEFEKYQQSPEYKRVNKGMKIEEFKFIYWMEYGHRMWGRALGLMFALPYSYFLHKGYITVRLGLRLSVLFGLGAGQGLIGWWMVKSGLEEPPTEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLTWVRGAAKVKRLALPVSLLVGLTAVSGAFVAGNDAGHAFNTFPKMGDTWIPEDILEMKPLIRNFFENTSTVQLNHRILATATLISVSALWLLTRKLDIHPAVRSVIGGVFGMASLQVTLGISTLLSYVPVSLGTAHQAGALTLLTFMLLLNHTVRKPSLALLKSLPQAVKAH >CAK8531293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97980669:97982589:-1 gene:gene-LATHSAT_LOCUS1096 transcript:rna-LATHSAT_LOCUS1096 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEESRSNSNRFPLPRSYQFHNHSSVSSKSQRNIGRSMRTRRSSIFDEDNSSCTFTERSTCVSENLTDSVVDLRLGELASRNIKSGRSSTTEQDLLDLSQAFSEYSACSSDISGELQRLATLPSPECNLKSDGGGVGEEEVEPEPCMGFLQRENFSTEIIESISPEDLQPTVKLCIDGLQSSSVAVKRSAAAKLRLLAKNRADNRVLIGESGAVPFLVPLLRCTDPWTQEHAVTALLNLSLHEDNKKLIFNAGAVKSLIYVLKTGTETSKQNAACALLSLALVEENKSSIGASGAIPPLVSLLLNGSNRGKKDALTTLYKLCSVKQNKERAVSAGVVKPLVELVAEQGNGMMEKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLLQLCADNVTNRGLLVREGGIPPLVALSQNGTPRAKHKAETLLRYLRESRQEASTSTS >CAK8535004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802005794:802006024:-1 gene:gene-LATHSAT_LOCUS4491 transcript:rna-LATHSAT_LOCUS4491 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDEQHTYGGNFDYSYDNVDINNSTTEIFSGPHPNLVTRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8542293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499101722:499102216:1 gene:gene-LATHSAT_LOCUS11140 transcript:rna-LATHSAT_LOCUS11140 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEPQSSSLSQLIVSLEQATFMAKQLASSSSSNSTNPNHLNQIHTSLHQAHHQLTAFLSTLQPPPAAESSVSSANGAAPMQLGDEGDDDDDDEETSKCTIDRVGEKLRECFIKNKRPKRPLSPSVVAEGDIRRLSGDGFVKDYDPHSSKMKKALDLVYQFHG >CAK8565215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:71009596:71010183:1 gene:gene-LATHSAT_LOCUS18447 transcript:rna-LATHSAT_LOCUS18447-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAVGILTARGSMTSHAAVVARGWGKCCVSGCTSIKVNDDEKAEELAAAMVTIKAQPAQTDNDDANLNSQASNNCYVLKFGGDYREIYQAP >CAK8565214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:71009596:71010247:1 gene:gene-LATHSAT_LOCUS18447 transcript:rna-LATHSAT_LOCUS18447 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAVGILTARGSMTSHAAVVARGWGKCCVSGCTSIKVNDDEKAEELAAAMVTIKAQPAQTDNDDANLNSQASNNCYVLKFGGDYREIYQAP >CAK8572224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:522327966:522328770:1 gene:gene-LATHSAT_LOCUS24812 transcript:rna-LATHSAT_LOCUS24812 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLYRTFGYEVASFISGLFAHPTHHNNHKHNKKFNMPKGRPISLQTVELKVRMCCTGCERVVKNAVYKLKGIDSVKVDLEMERVTVIGYVERNKVLKAIRRAGKRAEFWPYPNPPLYFTSASNYFKDTTNEFKESYNYYRHGYNLPDRHGTIHVTQRGDDQVSNMFNDDNVHACSLM >CAK8544103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663132569:663138508:-1 gene:gene-LATHSAT_LOCUS12809 transcript:rna-LATHSAT_LOCUS12809 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVHGLAGLAFMFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTHFMMSREGGGWMDAAKFLTGASAMGSIAIPIILKHAHMIETGAMLIELVSFFIFICTVMCFHQASLDDDW >CAK8544105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663132569:663138035:-1 gene:gene-LATHSAT_LOCUS12809 transcript:rna-LATHSAT_LOCUS12809-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTHFMMSREGGGWMDAAKFLTGASAMGSIAIPIILKHAHMIETGAMLIELVSFFIFICTVMCFHQASLDDDW >CAK8544104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663132569:663138062:-1 gene:gene-LATHSAT_LOCUS12809 transcript:rna-LATHSAT_LOCUS12809-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAGLAFMFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTHFMMSREGGGWMDAAKFLTGASAMGSIAIPIILKHAHMIETGAMLIELVSFFIFICTVMCFHQASLDDDW >CAK8578041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603444092:603451790:1 gene:gene-LATHSAT_LOCUS30085 transcript:rna-LATHSAT_LOCUS30085 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLNKLRNLDAYPKVNEDFYNRTLAGGVVTVVSVAVMLLLFFSELRLYLYTVTESKLLVDTSRGETLNINFDVTFPSVRCSILSLDTMDISGERHHDILHNIMKQRIDANGNVIEARKEGIGAPKIERPLQKHGGRLEHDEKYCGSCFGAEESDDHCCNNCEEVREAYRKKGWAMSNMDLIDQCQREGFVQKVKDEEGEGCNIHGSLEVNKVAGNFHFATGQSFLQSAIFLADLLALQDNHYNISHKINSLSFGHHYPGLVNPLDGVKWFQGTSHGMYQYFIKVVPTVYTDIRGRVIHSNQYSVTEHFKSSELGAAVPGVFFFYDISPIKVTFKEEHIPFLHFLTNVCAIIGGIFTIAGIVDSSIYYGQRTIKKKMEIGKYR >CAK8538245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472954231:472955673:-1 gene:gene-LATHSAT_LOCUS7465 transcript:rna-LATHSAT_LOCUS7465 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNEEDKFHVVDIENNGCHRSNRDHSGDRSDEEEVQRSEIVGVDEKQRGSTDSSESVESDESVEVDLDGVVVGSDVDVKVHLDKVERDCRICHLSMDMTNHESGIPMELGCCCKEDLAAAHKHCAEAWFKIKGNKTCEICGSVAHNVAGAFEVQTTEQLNEASDPSMVPPTGPSPPIETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >CAK8570250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31599232:31601161:1 gene:gene-LATHSAT_LOCUS23016 transcript:rna-LATHSAT_LOCUS23016-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDGDMVFLNLLSCTKLSPRGWPPLFLTQESLYNIQENSISLSWLETNFDKGCFECKHKTKKIILFSAGVIICSAVLVLLIGGVFQIYHYFKMKDEDYARIENFLKDYKALKPTRFSYADIKRITNKFKDKLGEGAHGIVYKGKLSNQIQVAVKMLNNAEGDGNDFINEVGTMGKIHHINVVRLLGFCADGFYRALVYDFFPKGSLNNFISLPNNKDDFLGWDKLQQIALGIANGIEYLHQGCEQRILHFDINPHNVMLDENFTPKITDFGLAKMCSKNQSVVSMTAAKGTLGYMAPEVFSRNFGDVSYKSDIYSYGMLLLEMVGGRKSTKKSDDEENIQIMYPEWIHNLLEGGDTQISIDEDGDFTIAKKMATVGLWCIQWHPLHRPTMKTVIQMLQGEGDKLKVPINPFGPTASTNTIANTVVKRLNLELDVIQELD >CAK8570249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31598875:31601161:1 gene:gene-LATHSAT_LOCUS23016 transcript:rna-LATHSAT_LOCUS23016 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPITLLILVIPLPSMGCPFDISCTHDNNMILQLPSIKLLVHEIDHTSRVLKASDPENCLPRLFFHHNFSSLIFPFRIYSKGGKFINISFFHCSPLEQGFRGTYYSELLDKVYCPIYMAGFDGDMVFLNLLSCTKLSPRGWPPLFLTQESLYNIQENSISLSWLETNFDKGCFECKHKTKKIILFSAGVIICSAVLVLLIGGVFQIYHYFKMKDEDYARIENFLKDYKALKPTRFSYADIKRITNKFKDKLGEGAHGIVYKGKLSNQIQVAVKMLNNAEGDGNDFINEVGTMGKIHHINVVRLLGFCADGFYRALVYDFFPKGSLNNFISLPNNKDDFLGWDKLQQIALGIANGIEYLHQGCEQRILHFDINPHNVMLDENFTPKITDFGLAKMCSKNQSVVSMTAAKGTLGYMAPEVFSRNFGDVSYKSDIYSYGMLLLEMVGGRKSTKKSDDEENIQIMYPEWIHNLLEGGDTQISIDEDGDFTIAKKMATVGLWCIQWHPLHRPTMKTVIQMLQGEGDKLKVPINPFGPTASTNTIANTVVKRLNLELDVIQELD >CAK8540940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33511668:33512672:-1 gene:gene-LATHSAT_LOCUS9894 transcript:rna-LATHSAT_LOCUS9894 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSTISYRFNVNGHLTDVMEAKRGLRQGDPISPMLFVIVMECLNIYLYKMQEDCEFNYHPKCDKLQITNLCFADDLLMFSRGDKISVEMMMKTYGKFSKAKGLTINPQKCRIYCAGMDEMTKQNLTKASGFQEGRLPFKYLGVPVTGKKLSIRHYASLIDKIASKIKHWTARLLTYAGRLQLINCVIFAMTNYWLTCFPFPKAVLQKIESICRIFLWTGGVEGSCKAPVAWKQICSPRSHGGLNVVDIEVWNKTTLMKLLWNLSGKEDSLWVKWVQTYHLKNNDMMEIQCKQNDSWIMKSILNLREEFNNLRNREDLKIGGNQYEKTVCGTT >CAK8577548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:573069453:573072651:-1 gene:gene-LATHSAT_LOCUS29646 transcript:rna-LATHSAT_LOCUS29646 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATREEKLQENNQEKDGQETSNSSFKFNVQAPEFVPRSQSQIPISGYYYPCFQIIGGSGESDWFYVGDQDPSCLIANSSVPPPSSPKNVLNPDLQQKIVKQVEYQFSDMSLLANESFQKQMNKDPEGYVPIAVIASTKKIKSLVSNIHLLTQAIRHSSKLVLSADGKKVKRKNPFTEKDKEELQSRIVVAENLPDDHSHQNLQKIFSVVGSVKTIRICHPPEPNSSRPKGDFLISNKLHALVEYETSDLADKAAEKLNDERNWRKGMRVRMLLRCSPKSVLKNRKSEFDGYLDEDENQNSETAEDSSHPSNTEVCAENNGDETSVGSKKGWARGRGKGRGRTQNHTGRGLLAPPSPSGSLVLSEISTKPNAKGPRMPDGTRGFAVGRGKPISSPTSPQE >CAK8578383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625703120:625709275:-1 gene:gene-LATHSAT_LOCUS30400 transcript:rna-LATHSAT_LOCUS30400 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVKSSLSLLRSPTVFSGGTEKRHRRVFPRSYGRRRVQIQAAISGGDSKTTTSSPMESKGSNESVPRGSSGGSSNGEVMHVKAVVTIRKKMKGNNIVEDRLEYFINGAGQGIQIRVVSEQIDPETNCGKSMDSHVRGWLPKPSNIPYIVEYSADFTLPSDFGSPAAILITNLHAKEFHLLEIILHGFTQGPIFFPANTWIHSCKDNPQSRIIFKNHAYLPSQTPPGIKDLRREDLLSIRGSGTHQERERKPYDRIYDYATYNDLGNPDKDEELVRPVLGDHDRPYPRRCRTGRPPTRSDHMCESRIEKPHPVYVPRDETFEEIKQATFSAGRLKAVLHNLIPSLAATLSNSDIPFKCFSEIDNLYIGGVSLRDEEHKGIMQNLLVGKAMKEVVSAGHRLLKYEIPAVIKGDKFSWLRDNEFARQALAGVNPVNIELLKEFPVCSKLDPTVYGPPESAITKELLEEELGAMSLEKAMEEKRLFIIDYHDTLLPFIKKMNSLPGRKAYASRTILFYTKTGILRPIAIELSLPRTHSSPQNKRVYTIGHDATAHWRWKLAKAHACSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHLRYTMEINALARQNLINGGGVIEACFSPGKYAMELSSAAYKNMWRFDMESLPADLIRRGMAVEDPSMPFGVKLVIDDYPYAADGLLIWSAIKEWVESYVEHFYSESNSIASDDELQEWWSEIKFKGHYDKRNEPWWPNLDTKEDLAGILTTIIWVASGQHAAINFGQYPFGGYVPNRPTLMRKLIPQENDPDYEKFIQNPQLFFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLGQVNHLHNHWINDHAVRKLFSKLSTRLEEIEEIINARNKDPHLKSRTGAGVPPYELLLPTSGPGVTGRGIPNSISI >CAK8572140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513992441:513993484:-1 gene:gene-LATHSAT_LOCUS24736 transcript:rna-LATHSAT_LOCUS24736 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLQSLLLFLSILVLFSLAVSEQNGDFQTLNTKFNPRIPLPPLRTLSSSKRFEGSSDLVKLKYHMGPVLSSPINIYLIWYGNWARPHKQLIKDFLLSISDTTAPHPSVSDWWRTVSLYTDQTGANISSSVSIAGEYADQRYSNGKHLTRLSIQDVIATAVRSKPFPVDHRKGIYLVLTAEDVTMDEYCRAVCGFHYFTFPSKVGYTLPYAWVGNSGTQCPEVCAYPFAVPEYMAGGGPGKLSPPNGNIGVDGMVSVIAHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGEVMKDKVGRTFNLNGLRNRKFLVQWVWSPILNACAGPNAIDLN >CAK8532389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255668132:255673149:1 gene:gene-LATHSAT_LOCUS2100 transcript:rna-LATHSAT_LOCUS2100 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPETLSNEVTEKMEKEENVNHGDDVLDIENDGSFDGNGEEIGRYWSQFSERNMTYEEPLLVKRINTTSQIAIVGSNLCPIESLDYEIFDSETFNQDWRSRKKVQIFQYVVLKWVFALLIGLGTGLVGLFNNVAVENIAGFKLLLTTSLMSKHRFFEAFLVYAGLNMSLAAAAAALCAFIAPSAAGSGIPEVKAYLNGIDAHSILAPSTLFVKIVGSIFGVSAGFVVGKEGPMVHTGACIASILGQGGSRKYGLTWSWLRYFKNDRDRRDMITCGAAAGVAAAFRSPVGGVLFALEEAASWWRSALLWRSFFTTAVVAIVLRGGIQFCSTGKCGLFGEGGLILYDVSSAKVTYSGGDILAVIVLATIAGILGSIYNFLVDKVVRSYSIINEKGPFFKISLAVTVSLLTSCCYYFLPWIANCLPCPTEGAVTCPSVDESGEYKIFQCPPGYYNDLASLFLNTNDDAIRNLFSPKINKEFHISSLFIFFAAVYFLGIITYGIAVPSGLFIPVILAGAAYGRVLSRLFEPITKLDRGLFSLLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLALLISKSVADIFNKGVYDQILKIKGLPYLEAHAEPYMRNIATRDVVSGPLMSFSGIETVGNILHVLNTTGHNGFPVIDEPPFTDSRELCGLVLRSYLLVLLKAKNFTRERVFANAGILENISVLDFGKAGSGKGVKLEDLDIKEEDLNMYVDLHPITNTSPYTVVETMSLAKAAIIFRQQGLRHMCVVPKSQERPPIVGILTRHDFMPEHVLGLYPEMKHSKWH >CAK8532390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255669236:255673149:1 gene:gene-LATHSAT_LOCUS2100 transcript:rna-LATHSAT_LOCUS2100-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEENVNHGDDVLDIENDGSFDGNGEEIGRYWSQFSERNMTYEEPLLVKRINTTSQIAIVGSNLCPIESLDYEIFDSETFNQDWRSRKKVQIFQYVVLKWVFALLIGLGTGLVGLFNNVAVENIAGFKLLLTTSLMSKHRFFEAFLVYAGLNMSLAAAAAALCAFIAPSAAGSGIPEVKAYLNGIDAHSILAPSTLFVKIVGSIFGVSAGFVVGKEGPMVHTGACIASILGQGGSRKYGLTWSWLRYFKNDRDRRDMITCGAAAGVAAAFRSPVGGVLFALEEAASWWRSALLWRSFFTTAVVAIVLRGGIQFCSTGKCGLFGEGGLILYDVSSAKVTYSGGDILAVIVLATIAGILGSIYNFLVDKVVRSYSIINEKGPFFKISLAVTVSLLTSCCYYFLPWIANCLPCPTEGAVTCPSVDESGEYKIFQCPPGYYNDLASLFLNTNDDAIRNLFSPKINKEFHISSLFIFFAAVYFLGIITYGIAVPSGLFIPVILAGAAYGRVLSRLFEPITKLDRGLFSLLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLALLISKSVADIFNKGVYDQILKIKGLPYLEAHAEPYMRNIATRDVVSGPLMSFSGIETVGNILHVLNTTGHNGFPVIDEPPFTDSRELCGLVLRSYLLVLLKAKNFTRERVFANAGILENISVLDFGKAGSGKGVKLEDLDIKEEDLNMYVDLHPITNTSPYTVVETMSLAKAAIIFRQQGLRHMCVVPKSQERPPIVGILTRHDFMPEHVLGLYPEMKHSKWH >CAK8532941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553327350:553328513:-1 gene:gene-LATHSAT_LOCUS2600 transcript:rna-LATHSAT_LOCUS2600 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQDPPQPTHQGNEKKKHYRGVRLRPWGKWAAEIRDPRKGARVWLGTFETAEAAATAYDEAALKFKGNKAKLNFPHLISHSPQSDLSPTRNNNNVSSQSLSPSSLLRNSPRKSLFPLSSTTEKEMFPNLMQYVQVLCSKDDEDLRRATASLYNNSSNNNNHQNNDNERFRYYNLPPFFSSMASSSSSKDVLESKVGDSRPLNLDTRSGLDERNTTGS >CAK8563428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:602413243:602415998:-1 gene:gene-LATHSAT_LOCUS16842 transcript:rna-LATHSAT_LOCUS16842 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSIDVAPAEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDIQAPNHCMNPEYRIRTTGNTSKCNDRIAMRTAPTAHVTQERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNNQAKMENVSEKRLMSRAALMNMNK >CAK8534066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690605942:690606286:-1 gene:gene-LATHSAT_LOCUS3639 transcript:rna-LATHSAT_LOCUS3639 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVNFIPKCGSMRYTRRRAYHKLKSNKEVSSNFTNKNVDMLASKKLKIAEMILDVQPKIFRLSTNMWKKLRDGYVHAMLCMAAHVAHLNNGEICFFKKFHYDDGDDVLYLKAS >CAK8578438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629421838:629422257:-1 gene:gene-LATHSAT_LOCUS30450 transcript:rna-LATHSAT_LOCUS30450 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVRSPATLMMILMAACIVCSTASYRPRMVGGKTEIANVRTNKEVQELGRFAVEEFNRSVKVRKEGEGELRFVEVVEAQQQVVSGIKYYMKIWVTQAKNDGAESEDSAMFDSVVLVKPWLSSKHLLHFAPSSQ >CAK8533302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601238530:601239039:-1 gene:gene-LATHSAT_LOCUS2942 transcript:rna-LATHSAT_LOCUS2942 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSYIDAAFCSSKNNEAYFFINDMYVLLDYAPGTSNDKVLYGPTPIRDGFKSLNQTIFGSYEIDCSFDTENNEAFIFYENFCTLIDHAPHSNKDKIILGPKKITDVFPFFEGTVFKIGIDAAYRSTRGKEVYLFKGDQYARIDYGTNSMVNKEIKSISNGFPCFRNT >CAK8570151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25963662:25964864:-1 gene:gene-LATHSAT_LOCUS22929 transcript:rna-LATHSAT_LOCUS22929 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKEEEAVTATAMNQAENDEHDSKEKVLKKYFLQEWNLVKAFIDDTVSNGRVSDLASAHDIRSIMDKYQEQGQLLEPYLESIVPPLMNIIRSRTIELGVVSDEILEIVNPICIAVHSLIHVCGYKSVIRFFPHQVSDLELAVSLLEKCHPRKSVSSSRVESTGENEAKCVMLLWLYILVLVPFDISSVDTSIVSSDNLSEFEIVPLVLRIIGFCKDYLSTGGPTSSMAGLVLSRLLTRPDMPKAFTSFVEWTHEVMTSVTADVLQHFEVLGTIEALAAIFKAGSRNLLLDVIPVVWNDTSLLYKSSIAAKNPLLRKYLIKLTQRIGLASLPHRLPSWRYTGRTAKLNISLNASSKANQSNLGVNDNYSNSIELTDEAKIGIMGRKFECFCQSSGLGSD >CAK8532147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213290506:213299461:1 gene:gene-LATHSAT_LOCUS1880 transcript:rna-LATHSAT_LOCUS1880 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSQVWVEDPVVAWIDGEVTKINGKNATIITTEGKTVVAEISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLLCRFSLNEIYTYTGNILIAVNPFRRLPHLYDSHMMEQYKGAAFGELSPHLFAVADTCYRAMMNENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSNTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKYKLGDPRKFRYLNQSSCYEVSNVDDAKEYLETRNAMDIVGINQDEQDAIFRVVAAILHLGNIDFVKGNEFDSSKLKDEKSLNHLRTVAELFMCDEKSLEDSLCQRVIVTPDGNITKPLDPDAASLSRDALAKTVYSRLFDWIVDKINSSIGQDSNAVSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFAKPKLSRTDFIVNHYAGDVTYQADYFLDKNKDYVVAEHQALLCASKCAFVANLFPPLPEESSKQSKFSSIGSQFKQQLQSLMETLSTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLDRFGMLVPDVLDGSDEKKASISICDKMGLKGYQMGKTKVFLRAGQMAELDARRAEVLAKAARLIQRQIRTHLARKEFITMKKATIRIQKIWRAKLAREIYEDMRREAASIRIQKHARAHRARLYYTSLQASAIVIQSGLRAFAARNEYRFRRRTKASTKIQTQWRKVQALCTYKQQKKSTVTLQCLWRAKVARKELKKLRMAARETGALKEAKDKLEKRVEELTWRLDIEKHMRVDLEEAKGQEILKLQNALQEMQGKLDEAHTAIIHEKEAAKIAIEQAPPVIKEVPVVDNTKLELLTNKNENLESEVEELKNRIKDFEERYKEIEKENQERLKETEEAQLKATQLQETIERLELSLSNLESENQVLCQQALVESKNEDLSEDIKILKDQIANLESENEVLRSQAAIAAVEQKVHPEKLGTDQEIVVEHHIQPRAIADNVTAQIKDLDNRNQIDEELHARKEARVPVSVLTKQRSLTERQQESHDALLKCLTEDKRFEKNRPAVSCIVYKALLHWRSFEAEKTQIFDKITHTIRTSIENQEGINDLAYWLSTTSTLLFYLHCTLKVSNTTNTLSRNRNRNSPATLFGKMAQGLRSSSMGIGISSGYSGMVEKPNEQSKVEAKYPAILFKQHLTAYVEKIYGMIRDSLKKEISPFLNLCIQAPRSIRSRSIRGSSRNIHSNIVAKQQALHMHWKGIVSKLDHAFGILSNNYVPPMITRKIFSQVFSYMNVQLFNSLLLRRECCSFSNGEYLKAGLHELELWCIKVTDQFAGSSWDELKHIRQSVGFLVLHQKTQKTLEEITNELCPVLSIPQIYRIGTMFWDDKYGTQGLSPDVISRMRVLMTEDSTNILNNSFLLEVESSIPFLMEELFRSMSDIRISDMDVDPPTILRQRSDFQFLLQHIDSDSQ >CAK8575903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:353107001:353107447:-1 gene:gene-LATHSAT_LOCUS28128 transcript:rna-LATHSAT_LOCUS28128 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKESGAAAGDKKKKRNKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8544924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712649017:712652329:-1 gene:gene-LATHSAT_LOCUS13566 transcript:rna-LATHSAT_LOCUS13566 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETRRKVEDMVLDILKKSNIEETTEFTVRVAASERLGIDLSDSLSKLFVRNIIESYLISIATDDKPEEPPQVSTEAVNEPPQELNKVVGVKRKNDDSEHVICQLSNKRNVTVGDFKGMTLVSIREFYLKDGKQQPTTKGISLPSEQWSNFKNSVPAIEEAITKLEGRIRSGYNGPKNGEVSSSVADVPVEPVPIEPVPVEPVPIEPVPVEPVSIEHVPIEIVPIEIVRFDGKNYQVWAEQIKLLLKQLKINYVLTEPCPNATLGENNASAGEISKTKAAEKRWLPLSWKDFCIKLMREEHLPFWMLMECITIEEESRNGVKQVGEPPSDNVRFHHANKGGIKGADIKPPPGFPRKFEANGKNKTCYICGQKGHISKICRRRL >CAK8544925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712649017:712652329:-1 gene:gene-LATHSAT_LOCUS13566 transcript:rna-LATHSAT_LOCUS13566-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETRRKVEDMVLDILKKSNIEETTEFTVRVAASERLGIDLSDSLSKLFVRNIIESYLISIATDDKPEEPPQVSTEAVNEPPQELNKVVGVKRKNDDSEHVICQLSNKRNVTVGDFKGMTLVSIREFYLKDGKQQPTTKGISLPSEQWSNFKNSVPAIEEAITKLEGRIRSGYNGPKNGEVSSSVADVPVEPVPIEPVPVEPVPIEPVPVEPVSIEHVPIEIVPIEIVRFDGKNYQVWAEQIKLLLKQLKINYVLTEPCPNATLGENNASAGEISKTKAAEKRWVNDDLMCCHNILVHLSDSLYNKYANRKMSAKELWEELRYVYLIEEYGTKRSQVKKYIEFQMVDEKAVTDQIQELNCIADSIAAAGMHIEENFHVSVIISKLPLSWKDFCIKLMREEHLPFWMLMECITIEEESRNGVKQVGEPPSDNVRFHHANKGGIKGADIKPPPGFPRKFEANGKNKTCYICGQKGHISKICRRRL >CAK8571151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:316126664:316128063:1 gene:gene-LATHSAT_LOCUS23841 transcript:rna-LATHSAT_LOCUS23841 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLSKPSQSLTSFLIIFALLSLIIIAMSDSIDSDSSSSSAPAVHIIYTEKPQEEEPEAYHIRTLTAVFGSEEAAKDALLYSYKNAASGFSAKLTPEQVAQISKQPGVLQVVPSQTYQLHSGPNKLH >CAK8577238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546569709:546571131:-1 gene:gene-LATHSAT_LOCUS29363 transcript:rna-LATHSAT_LOCUS29363 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQNQTMRLVLSTDAKPRLKWTHELHQRFTDAINQLGGAEKATPKSLMRAMAIPGLTLYHLKSHLQKYRLGKNQFVETYSDDKQDYIEIQSSDSQCSREISVANQHQSTESSKIAEALEMQMEVQKKLYEQIEVQKHLQFRIEAQGKYLQSVLMKAQEALAGYGSTSAVGVEHAKAELSQLLSTINNACPSSPISELTETRGLSLNFGERKQNRGTMCSLSSSLTSSESSERKDEKQTINEAENTPNYNSVSIELPLLAIGTNDGAHARKRSAVTDLDCGSVDQPDGKFYGKKLKESEMSQMLDLNSKYEREIESSSLEIDLNCSSSF >CAK8577245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546933200:546933727:1 gene:gene-LATHSAT_LOCUS29369 transcript:rna-LATHSAT_LOCUS29369 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSLFPRVLLCNIRIKCLVSILWSYVFHYMKCCIITLASYYYSNPELAVKQDLDNSIQSGVRLCKEEIIDVMEKLGLREELDGVAIEEFGDEEEISNMFENGVSLEEVEEAFNVFDENKDGFIEAVELQRVLCCLGFQRDFVECQKMINAVDQNGDELIDLHEFVKLMELSFF >CAK8577246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546933293:546933727:1 gene:gene-LATHSAT_LOCUS29369 transcript:rna-LATHSAT_LOCUS29369-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCIITLASYYYSNPELAVKQDLDNSIQSGVRLCKEEIIDVMEKLGLREELDGVAIEEFGDEEEISNMFENGVSLEEVEEAFNVFDENKDGFIEAVELQRVLCCLGFQRDFVECQKMINAVDQNGDELIDLHEFVKLMELSFF >CAK8567752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541629860:541632028:1 gene:gene-LATHSAT_LOCUS20775 transcript:rna-LATHSAT_LOCUS20775 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSISNARYNYVHDQKYPSEDVDIHHIVLKRSGAKYYFVYASALLVLACGFYLYVFEEKSISLVSYSLLFDILLVKLLLQKPFNKESVVIMPTFGVQLETHYTSGKVIRCFVPIDKILKPVLLECVTPVTCYWTLSLIVREESEMVLVFKNLRPPVKMLVHVWKALCAATDNKEETCTHTE >CAK8566226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393160138:393163457:1 gene:gene-LATHSAT_LOCUS19378 transcript:rna-LATHSAT_LOCUS19378 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITVLKVDIDCLKCKKKLIKAVSSLQGIDEIEADEEEGTLTIKGNADPYDIIVRIRKAGKSAHILSIGPLPVPDPPKPDSPKKSEEDTKPEEKPEEKPEEKPEEKSEEKSEETKKTEEKPEDKPEETKKPEEKADEESKSEENNKPEPASSDPVNPNQISNVPPYMIMPHPYYYPQYYSVPPHYYYPQHYQTQPQPTPPQYSYPQYHEAQPVAVLHMARWDEPDTSCNIL >CAK8566225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393160138:393163457:1 gene:gene-LATHSAT_LOCUS19378 transcript:rna-LATHSAT_LOCUS19378-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITVLKVDIDCLKCKKKLIKAVSSLQGIDEIEADEEEGTLTIKGNADPYDIIVRIRKAGKSAHILSIGPLPVPDPPKPDSPKKSEEDTKPEEKPEEKPEEKPEEKSEEKSEETKKTEEKPEDKPEETKKPEEKADEESKSEENNKPEPASSDPVNPNQISNVPPYMIMPHPYYYPQYYSAQPVAVLHMARWDEPDTSCNIL >CAK8534168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701719055:701721418:-1 gene:gene-LATHSAT_LOCUS3734 transcript:rna-LATHSAT_LOCUS3734-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVSDTDLNSNSATPSEQPIDTIMSETPQPQNDVVSMSPQNDNPHNSSEDQNPTLLYPNPTQPRKRRRRKKFFTELTATTSLAKNRKNGVAKDCDDEALIAISVGFPVDSLTEEEIEANVVKTIGGSEQSNYIIVRNHILARWRSDVNVWLTYDLAVKSIRSEHKGLVETAYRFLIEHGYINFGLSPEIKAVKVRSFDGIERGSVIIIGAGLAGLVAARQLVFLGFKVCILEGRTRPGGRVKTKKVFGGDGVEAAADLGGSVLTGVNGNPLGVLARQLDLPLHKVRDVCPLYMPDGKCVDSEVDSRVEVLFNKLLERVCKLRQAMIEEVKSVDVPLGTALEAFRRVYKVSEDKEERMLLNWHLANLEYANATLMSNLSMAYWDQDDPYEMGGDHCFIPGGNETFVRALAEDLPIFYGRTVECIKYGSDGVLVCTDEQQFRGDMVLCTVPLGVLKKGSIAFVPDLPQRKKDAIHRLGFGLLNKVVMLFPTNFWGGNIDTFGHLTEDLSMRGEFFLFYSYSSVSGGPLLVALVAGEAAIRFEMMSPLESVKRVLDVLKDIFHPKGIVVPDPVQAVCTRWGQDTFAYGSYSYVAVGSSGDDYDILAESVGNGRVFFAGEATSKQYPATMHGAFLSGMREAANILRVAKRNSNSSMPFDAAKNIAADVNDDLNKLFVKPDLSFGSFSAVFNPKPNDLETRSILRVKIGGTVLDSPSLYLYALLSVKQVIELSQVEGDENRMRMLNRDLGVSLVGKKGLGSTAESLIVDIKLFRSQLYEAENGLSNSIMEE >CAK8534167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701719055:701721430:-1 gene:gene-LATHSAT_LOCUS3734 transcript:rna-LATHSAT_LOCUS3734 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTMHSVSDTDLNSNSATPSEQPIDTIMSETPQPQNDVVSMSPQNDNPHNSSEDQNPTLLYPNPTQPRKRRRRKKFFTELTATTSLAKNRKNGVAKDCDDEALIAISVGFPVDSLTEEEIEANVVKTIGGSEQSNYIIVRNHILARWRSDVNVWLTYDLAVKSIRSEHKGLVETAYRFLIEHGYINFGLSPEIKAVKVRSFDGIERGSVIIIGAGLAGLVAARQLVFLGFKVCILEGRTRPGGRVKTKKVFGGDGVEAAADLGGSVLTGVNGNPLGVLARQLDLPLHKVRDVCPLYMPDGKCVDSEVDSRVEVLFNKLLERVCKLRQAMIEEVKSVDVPLGTALEAFRRVYKVSEDKEERMLLNWHLANLEYANATLMSNLSMAYWDQDDPYEMGGDHCFIPGGNETFVRALAEDLPIFYGRTVECIKYGSDGVLVCTDEQQFRGDMVLCTVPLGVLKKGSIAFVPDLPQRKKDAIHRLGFGLLNKVVMLFPTNFWGGNIDTFGHLTEDLSMRGEFFLFYSYSSVSGGPLLVALVAGEAAIRFEMMSPLESVKRVLDVLKDIFHPKGIVVPDPVQAVCTRWGQDTFAYGSYSYVAVGSSGDDYDILAESVGNGRVFFAGEATSKQYPATMHGAFLSGMREAANILRVAKRNSNSSMPFDAAKNIAADVNDDLNKLFVKPDLSFGSFSAVFNPKPNDLETRSILRVKIGGTVLDSPSLYLYALLSVKQVIELSQVEGDENRMRMLNRDLGVSLVGKKGLGSTAESLIVDIKLFRSQLYEAENGLSNSIMEE >CAK8536427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940765515:940766044:1 gene:gene-LATHSAT_LOCUS5796 transcript:rna-LATHSAT_LOCUS5796 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSPNGVSSVDWVSESLASQNQPQLKWHKADFNAPNGNEPLALDMSNMGKGQVWINGQSIGIYWMVYAKGNCNSCNYARTYRQAKCQSKKSVRVLPRHLLEPKTLSKSISSKRHIRAHKTNHTQKTLTSQSTCLTSKPFNEKTFRF >CAK8578368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624330177:624331490:1 gene:gene-LATHSAT_LOCUS30385 transcript:rna-LATHSAT_LOCUS30385 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETLSRETIKPSSPTPSDLRIYPLSFIDNAFYRKYVPVLFFYNPTEVPNQTSKISQLRKSLSHVLSKYYHFAGRLKDKTTIECNDQGVSFFITKVQNNLSEIIQNPTEELVNPLFPDELQWRVMDWSGSLFVIQINCFACGGIAISVLMTHKVGDGTTLFKFLNDWAIINQKLEQQHQEDEKLSMLLSPLSGGASIFPHRHIPIFPELSIPGGNNIVYKRFVFDASKIKSLKERVRNSINFSPTRVQVVSALVYQRAVSTKGLSFKIAPFATVVELRRRMVPPLSENYVGNIVWFASMCPNKEQMELDELVSEIKEALSEFREVYPKKFEAKEEEDLSFISGCLEHLNDGYYESHNMYTFSSWCGFPVYEVDFGWGKPTWVTTFGCLMKNLIFMMDTRDGEGIEVFVSMEKNDMDEFENDVEILQYASLNPSNNV >CAK8576034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:388518414:388544865:1 gene:gene-LATHSAT_LOCUS28252 transcript:rna-LATHSAT_LOCUS28252 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMKQLNRSDEAIEAIKSFRHLCPSDSQESLDNILVELYKRSGRVDEEIDMLHHKLKQIEDDMTFVGRSTKQARSQGKKIQITAEQEISRIIGNLAWAYLQKGNYKTAEQHYRKVLSFEVDRNKQCNLAICLMKMKKITEARFLLQAVTAATKNRKMDDSFVKSFERVTQMLQEIESTQLVDSVKDKGDKSSQSLMNLLMGFKVLQQAIIDVLQTAAASL >CAK8570623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75829194:75829664:1 gene:gene-LATHSAT_LOCUS23359 transcript:rna-LATHSAT_LOCUS23359 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAEIGCWMYASERQLSCLRLAYLKAVLSQEIGAFDTELTSGKVITGISKNMSVIQDAIGEKLGHFTSSCATFFAGIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIE >CAK8570564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60983750:60984217:-1 gene:gene-LATHSAT_LOCUS23302 transcript:rna-LATHSAT_LOCUS23302 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDGYQWRKYGQKVTKNNASPRAYFRCSMAPRCPAKKKVQKCLHDKSIILATYDGEHNHGVSNDSFKPSSSMTLSDSSIPNIKIEEYASSLIKDPNFTAVLANAVAKTITGQQHKRQGLNLNLNLTDE >CAK8579036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668387591:668389432:-1 gene:gene-LATHSAT_LOCUS31011 transcript:rna-LATHSAT_LOCUS31011 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFTNNVEEEKGKISTMAVRGGRRKAVAIEIMSWMIVSKTGESVVENIDKHSIMRRTGLPARDLRVLDPALSSPSSIVGREKSIIVNLEHIKAIITSSEVLLINLSSPLFIRFLQDLQARVQCNIQTSISISSGVDGDSERKPLLEEGSPILSDSRNNAWERSFEDGAPVVAAELDRKQLPFEFKALESCIESACMCLEYETQRLEEEAYPALDELTSHISTLNLERVRQIKNRLVALSGRVQKVADQLEHLLDDDNDMAEMYLTQKLEAHLMDQTSVKEGYNSDFDEDVYQSDESSSVQDKSHESKPKVEELEMLLEAYFAQINGILQKLSSLSDYVGDTEDYINIMLDDKRNQLLQVSIIFNTINMILNAGIVVVGLFGMNVNIALFDGQPHQFWATSAGTVLGCILLLLVSIMWGKNRYLLSE >CAK8532141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211838516:211841299:-1 gene:gene-LATHSAT_LOCUS1874 transcript:rna-LATHSAT_LOCUS1874 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALKRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNKNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8543600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624707589:624708047:1 gene:gene-LATHSAT_LOCUS12348 transcript:rna-LATHSAT_LOCUS12348 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIRELLHGALCEALRLYPPVPLEHKSPLKSDVLPSGLKVKENTMIMYSLYSVGRVEEIWGEECLEFKPERWISNKGGIVHVPSYEFIAFNAGPRSCLGKSISFIEMKMVAVAILLNYHVQVVEDHPIIPSVSVVLHMKHGLKVNIKKRSI >CAK8542432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512773827:512780377:-1 gene:gene-LATHSAT_LOCUS11272 transcript:rna-LATHSAT_LOCUS11272 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVVGFMILMYIFETYLDLRQHSAHKLPSLPKSLEGVISQEKFEKSRAYSLDKSRFHFVHSFVTILTDSTILYFKVLPWLWKKSGDFVTLAGLNAENEILHTLGFLAGLMIWSQTTDLPFSLYKTFVIEARHGFNKQTPWLFFRDMIKGIFLSIIIGPPIVAAIIVIVQKGGPYLAIYLWAFMFGLSLVMLTIYPILIAPLFNKFTPLPEGPLREKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLVQQCKNDEEIVAVIAHELGHWKLSHTVFTFIAMQILTLLQFGGYTIVRNSTDLFQSFGFDSQPVLIGLILFQHTVIPLQQLVSFGMNLVSRSFEFQADAFAKKLGYSSSLRAGLVRLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDIPDKSD >CAK8537448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:361473965:361474572:-1 gene:gene-LATHSAT_LOCUS6740 transcript:rna-LATHSAT_LOCUS6740 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLKRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPPVKGRPRFVQPGELEVNKKRLRSAAMCKSSIIHPPIKTTINLPPIQTKITPLPIETTITHLPIQTTKDVVVEDEDEDVVVGDEPGDDLVGDEAEDVVVGDEAEDVVVEDVTEDVVKVAKSVYWDVNVISK >CAK8536547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953820831:953821012:1 gene:gene-LATHSAT_LOCUS5906 transcript:rna-LATHSAT_LOCUS5906 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNNEDLSLL >CAK8543321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599933009:599935339:1 gene:gene-LATHSAT_LOCUS12086 transcript:rna-LATHSAT_LOCUS12086 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEDFLLKETKPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKELPSKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWSQVFAFSKDRIQASVLEVTVKDKDVVKDDFIGRVWFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRFPEVYVKAILGNQTLRTRISQSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKEELLGKCVIPLQMMDRRLDHKPVNTRWFNIEKHVVIMEGDKKKEIKFSSRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSNIGVLEVGILNASGLMPMKSSNGRGTTDAYCVAKYGQKWVRTRTIIDSFAPRWNEQYTWEVFDPCTVITIGVFDNCHLHGGGDKPGGQRDSKIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIQLAVRFTCSSLLNMMHMYSNPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTTRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLITAIVLYVTPFQVVALLSGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >CAK8575672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:245110269:245110736:1 gene:gene-LATHSAT_LOCUS27915 transcript:rna-LATHSAT_LOCUS27915 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLVYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVRGSTHQVPIEIKKPQGRALAIRWLVAASRKCPGRNMAFKFSSELVDDVKGRGDAIHKKEEIQKMAEANRTRAPFR >CAK8544949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714225112:714226217:1 gene:gene-LATHSAT_LOCUS13588 transcript:rna-LATHSAT_LOCUS13588-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSLLKLPILPTIKTRQTTSKHVPLPSISSKLNIVPTPQKFLDQNINTLKHTSLSLTAITLPFLLEQNDALAVGGEFGIFEGRTFALIHPIVLGGFFFYTLYAGYLGWQWRRVRTTQNEINELKKQVKPAPVTPDGKALETSPPSPVELKIQQLTEERKELIKGSYRDKHFNAGSILLGFGVFEAVGGGLNTWLRTGKLFPGPHLFAGAGITVLWALAAALVPPMQKGSETARNLHIALNTLNVLLFVWQIPTGLDIVWKVFEFTNWP >CAK8544948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714225103:714226217:1 gene:gene-LATHSAT_LOCUS13588 transcript:rna-LATHSAT_LOCUS13588 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAATLSLLKLPILPTIKTRQTTSKHVPLPSISSKLNIVPTPQKFLDQNINTLKHTSLSLTAITLPFLLEQNDALAVGGEFGIFEGRTFALIHPIVLGGFFFYTLYAGYLGWQWRRVRTTQNEINELKKQVKPAPVTPDGKALETSPPSPVELKIQQLTEERKELIKGSYRDKHFNAGSILLGFGVFEAVGGGLNTWLRTGKLFPGPHLFAGAGITVLWALAAALVPPMQKGSETARNLHIALNTLNVLLFVWQIPTGLDIVWKVFEFTNWP >CAK8577982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599967357:599968974:1 gene:gene-LATHSAT_LOCUS30036 transcript:rna-LATHSAT_LOCUS30036 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNHPLPFLFLIITLSCSFNFSTVKSSTFNVIKFGAKPDGITDSTKAFLNAWTMACSSPYPAAIYVPQGKFLLGTVTFSGNCANKAISITIDGTLIASSNYRAASKGGSTWLSFQHVDGVSIRGGVLDGQGTALWNCKNSNKRNCPIGATTLQFFGSKNIMITGLTSINSQMFHIGFYGCQYVKTQDVNIVAPGNSPNTDGIHVQMSSYVTIIHSKIRTGDDCISIGPGTNNLWIENIECGPGHGISIGSLGWKSNEAGVQNVTVKTVTFTGTQNGVRIKSWGRASNGFARNIFFQDATMVNVQNPIVIDQNYCPNNKNCPGQASGIKISDVTYQDIHGTSATQVAVKFDCSSKYPCNGIKLKDVKLTYKNQIAEASCNHAGGTALGFVQPESCL >CAK8541508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:210165792:210166571:-1 gene:gene-LATHSAT_LOCUS10425 transcript:rna-LATHSAT_LOCUS10425 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFNRWVIPSVPYNQIYRFGKIDVFSHFAVKTLEQTIQISKETQTIKQLTKKDSKPFKNYNFIYIGLVQIDLKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTIHTNGYNFKLGSEIIAIRYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNILTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDINRIIQTTEGDLEINFTSKRITRIPRSLSARHLIS >CAK8543705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632728152:632734856:1 gene:gene-LATHSAT_LOCUS12446 transcript:rna-LATHSAT_LOCUS12446 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKEEAEPNEDRPTSPMWVLQQISEGAFRVAGEALQNMYSGGGSNVPQVGPSVAHRRSQSELVTKGFQRSNSFQKLKAHVHKAWWGGKPREEGLPESFNPEVMANQKRQWYQLHPKSMDCINYKEPTSFFEHFVVVGLHPDANLEVVEQAFARRKKWERETKNDEYLDYRLPQQQRPPEPTLEPQILFIYPSAKRLTVRLKELASFCFPGGVKAGLLERTPSLSELNELVYGQEHLGRDDLSFVFTLKAADSTTLYGVCLHVPEIVQRPPGILGTSSPLSFPSGLNSRFLVSAPRCYCLLTKVPFFELHFEMLNSLIAQERLNRITQFVNEITLSCSIPSTPKLDDQLSSCTHSPERESSSDWMACAIPLDGAAVITAAAAGLISDDEIPQLSPKIWDSRCQSPVSATASDASDCCQYRDIDKDGKKNFQDHDTSAFEGPETHDSGERMHGNCEGGQVSPSVGTPVSAQGRTLERLGSSESLVSPVRSIVSEDEGPPFSNNEIDNGGEFLMEWAMDRKNDLLQIVCRYHALAIPPRGSEFVFHPLEHLQAIQYIRHSVVSLGFEENCLDCSEPAEVNAKMAAAEEALSLSVWTMSTACRVLSLDSLLALVTGVLLEKQVVIVCPNLGVLSAVVLSLIPMIRPFQWQSLLLPVLPAKMIDFLDAPVPYIVGIQHKPDDLYIKTCNLVEVDVMQNQVKMCHLPRLPRQRDLVSQLNPIHARLSRESSIAKKHPIHRCNEIQAEIATKFLNIVWHYLESLCSNLKSHTITSVQSNNDRVSLLLKDTFIDSFPLRDQPFIKLFVDTQLFTVLSDSYLSSFESGKS >CAK8532886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:543932130:543932916:1 gene:gene-LATHSAT_LOCUS2548 transcript:rna-LATHSAT_LOCUS2548 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPPLSIESFSYSWLVNLKPSLDQTLETSVDASDELGSSFIEMDPRMPSSRRFFITSQDFKFDFPISQKSPLNTLIDADQLFSNGYLMPLFDESLKNIEPYDNDSSNSNSTIPSSFISHVPKKVVPVENSRSPSLKRCRTLSRRMFQKYLNFLKPLCRKLRGQKSGSKHENGMKRTQSVKNYRGNYCESSPRISVAYSTDYPRMSCDSDSSIYEAVLHCKRSIEKMS >CAK8539099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503899015:503902096:1 gene:gene-LATHSAT_LOCUS8228 transcript:rna-LATHSAT_LOCUS8228 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSVFDGGALRTEFEKIGIDSKFVPILWKHLFLTLRNSNTEINSPCDWEWEKHVPSLPSSAYSFLRSNFKTPLSSTLHSVFHSSDNLTSKLLIKLHNGEFVEAVIMRYDTRLGKYGGKPRLGGLRATLCISSQVGCKMGCRFCATGSMGFKSNLSSGEIVEQLVHASSFAQIRNVVFMGMGEPLNNYSAVVESVRIMTGSPFQLSLKRITISTVGIIHAINKLHNDLPGLNLAVSLHAPAQDIRCQIMPAARAFPLEKLMNSLQEYQRKSLQKILIEYIMLDGVNDEEQHAHLLGKLLETFEVVVNLIPFNSIGTLSQFKPTSEQKVFNFQKILRGTYDIRTTVRKQMGEDISGACGQLVINTPDKSLGNADPLTDIEDLVI >CAK8568680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631490049:631490429:1 gene:gene-LATHSAT_LOCUS21615 transcript:rna-LATHSAT_LOCUS21615 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGIPILDFGKNNRIILEEGSEGWKKMSKKVREAFENHGAFLLRWDEISNELHEEMFRGMKSLFELPEETKLKFSSPKTYRGYTSKNHVIPHCQSFGIEDAFQPNRTQNFTNLMWSEGNPIFW >CAK8560337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14706198:14706530:1 gene:gene-LATHSAT_LOCUS14019 transcript:rna-LATHSAT_LOCUS14019 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHIRSYGEELLKSNPNSTVKIKCADSDGGPVFERIYVCLEACKAAFAATCRPLIGLDACFLKGDFGRQLIGIVGKDGNNKICPVAYAVVEAETKDSWK >CAK8544552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692279537:692279866:1 gene:gene-LATHSAT_LOCUS13218 transcript:rna-LATHSAT_LOCUS13218 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQHRLARSTGNNLHDNNAKSMNNNNNLWVGRSVNSPSLYTNYNCETLRERPVAKTKSPSWWNDPERKRKRRVANYKFYAAEGKFKRCVKKGFRWLKVKCIKIVTNF >CAK8568202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581606717:581613078:-1 gene:gene-LATHSAT_LOCUS21186 transcript:rna-LATHSAT_LOCUS21186 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGDLGFLNLVLVVVCVVLPVIGFVIRRKWQVAVARNEEIKRLLVLAAEETERVEREALYVSAPVFAAANSYQCALCYFPATARCANCKSVRYCSTHCQTVHWRQGHKFECHPPSKTHQSDGVISGIDKRKVEQDYSGIHEEKSENRGAECKIPSQAISFSPKASFGKDDNIKAGFLTEEILADTNSELSSNSFSGFSASPSASDSSDDSSVCESIVSNEHDRSEGHISFVPTLDITDKTTNNSNVDAAMSSSPKFASLLDSVGGSSTVHKLNHITPDSSKEERELASNGASGSSVWKGVKIEPSGFWDKALDSGGIKDNTNNKTYPSHSDESTCGKTDSELSFRFQFGTMPPLHVRDTEAKESLPDGTLPNSVGKNMPHPGSASSENNNLNSSKVRNLAFTNSGDPNFISKARSGSGSDQLESKDRSEPPLHPFSSQFSRIGKDPGSADTKSIHNLQPSDSVASNHVVDNHGCTSKSTDVIIKTHELADSKIASTNEGHSQPSAKHRNNGIEYGTVTSSHVSYSANSKCGLKTSVLKVVDQIRGSNLSKHTTLSLGSDIAGKYNDKGLFPYESFVKLYNFNKVELYPFGLINCGNSCYANAVLQCLAFTPPLTAYFLQGLHSKSCTNKKWCFTCEFECLILKSKDTKSPLSPMSILSQLQSIGSQLGNGKEEDAHEFIRHAIDTMQSVCLMEAGVNASGSLEEDTTLMSQTFGGYLRSKIKCMKCGGKSECQERMMDLTVEIEGEISTLADALRRFTSTETLDGENKYHCVRCKSYEKAKKKLTVSEAPNILTVALKRFQSGKFGKLNKPIQFPEILDLAPFMSGSSDKTPIYRLYGVVVHLDIMNAAFSGHYVCYLKNIQNKWFKVDDSVVTAVEVERVLTKGAYMLFYARCSPRAPKLIRNMILSQDSKSKVNEKSTTTKARSVSSNSGAAEPISSSVSSDSSPTLESFYSRFHHLKRILEEDSSSDSSSLFSSNSDELSCSTDSTRDSTSMDDFSDYIFGDSGHGWSSPWRNSDSDSSSSSPLNYRHSPLSDMDKYDSVSPVTTGSSVKTDRGGGISHLHSDTILQKKKLDSSSISSNSSSRDVDSSLKVGSNHSNDKNSGVSSRKSRKRTD >CAK8573595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623477477:623478748:1 gene:gene-LATHSAT_LOCUS26026 transcript:rna-LATHSAT_LOCUS26026 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVNGSPTKEFEVEKGLRQGDPLSPFLFVIVAEGLAGLVRKSQELGEFEGFIVNGKCMVDLLQFADDTLIVGEGTWKHVWAIKAVLRAFELVSGLGINYHKSKLIGVNISLSFLDAASFVLSCRKEDSSVNFLGIPIGSNPRKASTWNPLLFKIKKRLLGWKNRFLSLGGRITLLKSVLCSLYIFTLSFFKMPSAVSKEVNKILGDFLWGSVEERRKIHWVSWKKVCLPIDKGGLGMKYLPDFNVALLNKCRWRILKGGDEVWLRLLKARYGDITSVILSKGTHSLVSNSSPPHSTTSSPSSSYWWKDLISIGKFSHLDPMVRLCKFKIERGFTTPFREVNWTGNFCLMDEFLNLYKETRLRLVSVAGMGGWVNHDWRWGDMGLAPKEVSGGSGPNGQEVGSLCELLQAFEPESSGSDTVS >CAK8574524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1572627:1575919:-1 gene:gene-LATHSAT_LOCUS26864 transcript:rna-LATHSAT_LOCUS26864 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLNYSYSVVHISSSSFSSNPKNQNKPTSLPISNTNSHSHHGDVSVKFKSPTPPWMSAPLLQPKDLPNFSPHPSSNVEKRDLSDKSLTGKEVKGKKALKKIAHKVKKLHKTETQMGSKKVENFGACLESLMEGEKVVTKSRMPWEKDENIDFLKVRKEKIVTSADLAVDKTLLQRLRGEAAKMRIWVKVKKAGVTQDVVKEIERTWRTNELAMVKFDIPLCKNMDRAREIVETKTGGLVVWSKKDALVVYRGCNYQLTSKGSPKIYTDYIPSQRTNSYETSEVQSATKDDHYLVESDRTTSEILSRNADHKDSQSTDVHGVNYQPTSGSLYERECDRLLDGLGPRFIDWWMNKPLPVDADLLPEVVPGFEPPFRLCPPHTSVKLTAGELTYFRKISHPLPTHFVLGRNRGLQGLAAAILKLWHKSHIVKIAIKYGVQNTDNEAMANELKRLTGGVLLLRNKFYILLYRGKDFLPKRVADLVEKRELELKSCQLHEEVTRLKAIQAFSSIGEFQLPQDTSTSGSLTEYMEIQNKHENIKEVDVDLNVPLEAEIYRLEKELKEQQHKAFILNKKIERSSIELSKINAAWKPSGEDIDLEIMTDEERECFRKMGLKMRSCLVLGRRGIFDGVLEGLHQHWKHREVAKVITMQRLLSRVIYTAQFIERESGGILVSVDKLKEGHAIIIYRGKNYSRPKEKIAKNLLTKRKALQRSLEMQRIGSLKFFAHQREKTISDLKMKLETLQQGKEIEVREFEN >CAK8571412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:403063518:403065487:1 gene:gene-LATHSAT_LOCUS24079 transcript:rna-LATHSAT_LOCUS24079 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSVTSPSFSTLSFLPKPLQFKTSFNGFRLRQPSTCTVPATKRTALVPVMMAKREEQLKEIRNLTNEQINQEVVNLKGDLVLLRVEKSNSNDGFNYSKFRKLRKQIARLLTVKREREIEEGISKRLSRKLDKKWKRSIAVKPTPTLLKPVEAEAA >CAK8565263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:89531387:89533954:1 gene:gene-LATHSAT_LOCUS18491 transcript:rna-LATHSAT_LOCUS18491 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKVITWLVFLIFLWSLVSFVVEGTESLLSPKGVNYEVASLMSMKSKMNDGLHVMDGWDINSVDPCTWNMVACSSEGYNISLEMASAGLFGIISSGIGNLSHLRTLFLQNNQLSGPIPVEIGNLPELQTLDLSGNLLTGNIPSSLGSLTHLNFRRLSKNKLSGQIPQPVANLTGLSFFGPTPKILAKGYSISGNNFLCTSSSQLCMRGSKPVNDTRSSQTINSHRHTLISVIIGISCTFVISVMLLVYWLHWYKSRILYSSYVEQDYEFGIGHLKRFSFRELQVATGNFTSKNIVGQGSFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLVVHRNLLCLYAFCMTPDERLLVYPLMPNGSVADRLRESFCGKPCLDWNRRTRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTV >CAK8577360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557165001:557166235:-1 gene:gene-LATHSAT_LOCUS29474 transcript:rna-LATHSAT_LOCUS29474 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVTKQKLITILFILITLFTSQALADCESQSTDSCNNKEKALSLKIIAIFSILVTSMIGVCLPLLSRSVKALSPDGSLFVIVKCFAAGIILGTGFMHVLPDSFDMLWSDCLDEKPWHKFPFSGFAAMLSAVVTMMVDSLATSYYSQKGKKGVIIPAEGEGGDQEMGAVHAGHHHHYQTKTESEESQLLRYRVVAMVLELGIVVHSIVIGLAMGSSNNTCSIKGLVAALCFHQMFEGMGLGGCILQAEYKFVKKAIMVFFFSVTTPLGIAIGIAMSSNYKENSPKALITVGLLNGSSAGLLIYMALVDLLAADFMSKKMQGSIKLQLKSYVAVLLGAGGMSLMAKWA >CAK8531622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131785643:131786621:1 gene:gene-LATHSAT_LOCUS1400 transcript:rna-LATHSAT_LOCUS1400 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLEPKRSKADLSSKTAAFIMVVTILLGLLCFILCLIAEATRSEVTWIYKDGKENGNKSECVYNSNGKVPLLCAAAAFVGLAIALVMEHTYMLIAVSKSSPSLLNWDPDSPSAKSLTWLAGFFFIATWICFAVAEVLLLAGLSVESGHLRNWSKPRTGCYTIREGLFSAAGVFGLTTVFLAAGLYLTALRAQRMSEEIASVRREVLEASTFYASPPRSPQRRNNITTVARENPTIIESQNDDLLLSVFPTPFNKSYNFV >CAK8542534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523976781:523981692:-1 gene:gene-LATHSAT_LOCUS11368 transcript:rna-LATHSAT_LOCUS11368 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEARGKGIDNYERELEDVIDKLIGECDRKIGRALKRLEDDDAKAAIAISVSEVTQTPEVLELAKEIKEKLKEADKYDLEGLSDMKIRALEIVEELRIKRADKQSTLLLDAFNKDRASLPQPLPNPPPLAPLPVITPDARTQEMINEKLKKAEDLGEQGLIDEAQKALEEAEALKKLPSRQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKSRKTDRLDDRRSKERSRDRDREPSRDRERGESHERGRDNDRRSRDRDRHHDRDRGYDRDRDRDSSRSYDSRSRRRSRSRERSRDYDRHRRHDRY >CAK8544198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671589608:671592931:-1 gene:gene-LATHSAT_LOCUS12898 transcript:rna-LATHSAT_LOCUS12898 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSTFTEYLQEERELLMDENCAHIKYDRLKSVLESCQNCKDSSSDHQHESCDCQSCPVCDQKFFSELMKEASDIAGYFSSRVQHLLNLHIAKGLQRYVLRLRQCFKNDKEALSQEGRILIEYISMNAIAMRKILKKYDKLHSSMNGENFKSKMLAEHIELLHSPWLIELGAFYLNTSGLDGCELDGIRGHFSCDFNVTNAVMTLTLPDSIKLEYDLTCAICLDFVFNPYALGCGHIFCKSCACSAASVMIFQGLKAATPESKCPICREGGVYSKSVRMLELNLLMKRRCNDYWKERLDRERVDSLKQSKEYWNLQSMYAVGLP >CAK8570935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:177622957:177623265:-1 gene:gene-LATHSAT_LOCUS23643 transcript:rna-LATHSAT_LOCUS23643 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGWDKIGAELALIAVVFRRNKMVLWKLVFSMVVLKIKKRFCSNYFHGGFSLKTSRLWGCECTAKKGGDVVSMKKSGFAAVNLCQRVTLRKRIQDVVSFCC >CAK8576625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498433174:498438965:-1 gene:gene-LATHSAT_LOCUS28799 transcript:rna-LATHSAT_LOCUS28799 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIPSTLLPRSLHLPPRQNPNLSHFSTASYRHLPLHFFTPPLPPYSTLSTPALHRTRLLTARAVQGQELTGDGLSIPAEHEGVLEKAEEETVTKSREKELAEQDIWIQMKEIVKFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMSYVFMFLSVATSNMVATALAKQDREEVQHHISVLLFIGLACGFLMLLFTWLFGAITLTAFTGSKNAHVVPAANTYVQIRGLAWPALLVGWVAQSASLGMKDSWGPLKALAAASVINGIGDIVLCTYLGYGIAGAAWATMASQVVAAYMMMRALNMKGYNAFALSIPSGRELLTILGLAAPVFITMMSKVAFYSLLIYFAASMGTYTMAAHQVMLQTFCMCTVWGEPLSQTAQSFMPELLYGANRNLSKARMLLRSLAIIGATLGLLLGVVGTSVPFLFPYIFTHDQMVIREMHKVLIPYFIALAVTPPTHSLEGTLLAGRDLRFISLSMSGCLCGGALVLLILCSRYGLQGCWVSLALFQWARFSMALLRLLSPKGILYSEDIDHNRIQKHRIA >CAK8562604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514790491:514793756:1 gene:gene-LATHSAT_LOCUS16089 transcript:rna-LATHSAT_LOCUS16089 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVEDDESEKVEIILRTIGPAPPSRLRVPSFIKVRDLRRLIASNGHLPIDNLSLILRGTALCDIKNGDDVRIQLNDGDSLIVAVKPKPPVKDEHENDDDDEDLKFQLPPSSSRWKKRLYSFLHDKLKFPDIILMLIFTLSLKAWILITMWFILAPVAHRWDLGPLYILATGFCLILFNLGKRKAGESSAYSIFNEDFRELPGTLNADRFDRDIRAGQF >CAK8561746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:372638887:372643922:-1 gene:gene-LATHSAT_LOCUS15307 transcript:rna-LATHSAT_LOCUS15307 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGFTDKNVVFRKLKLKSENKMCFDCNTKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWTPEQLKIMSFGGNSRAQTFFKQHGWTDGGKIEAKYTSRAAELYRQILSKEVAKSMVEEGGLSSSPIVSHPSNGLPEVKINEVLKENTLEKAEKPEGTTSPRASHTISNNLKKPIGGKKPVKGGGLGARKLNKKPSESLYEQKPEEPPSPVPSTTNVSGRSSLSSRFEYVDNVQSPELDSGSSNAFNHVSAPKSSSFFADFGMDSGFPKKFGSSTSKVQIEETDEARKKFSNAKSISSSQYFGDQNKARDAETRASLSKFSGSSAISSADLFGDNGDSTIDLAASDLINRLSFQAQQDISSLKNIAGETGKKLSSLASSLMTDLQDRIL >CAK8535881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888684938:888685459:1 gene:gene-LATHSAT_LOCUS5300 transcript:rna-LATHSAT_LOCUS5300 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNRTPSNKKDDKELKKKLIDGNKDVDPQLWHAVDGGMVQIPQVNSKIFYFPQGHAKHAYQSVTFPADFIIPSQIPCGFAAIYYRVDPDTDEVYAKLRLVPLQISEASFDDDDVAGIDNMSETNNRHRSYTKTLTQSDANNGGGFSCPMYCAESLFPPLDYSVMLPSQVRN >CAK8568416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604801706:604805919:-1 gene:gene-LATHSAT_LOCUS21374 transcript:rna-LATHSAT_LOCUS21374 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKIEEVQSTTKKQRVATHTHIKGLGLEVSGKPLPFASGFVGQAEAREACGLAVDMIRQKKMAGKAILLAGPPGTGKTALALGICQELGTKVPFCPMVGSEVYSTEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESITGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICSVRGADMSSPHGIPVDLLDRLMIIRTVTYGPAEIIQILAIRAQVEELVVDEESLAFLGEIGQKTSLRHAIQLLSPASVVAKINGRENICKADLEEICSLYLDAKSSAKLLQEQQDKYIS >CAK8539310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508977206:508978195:1 gene:gene-LATHSAT_LOCUS8419 transcript:rna-LATHSAT_LOCUS8419 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRMQNMNTQFQNSTDIGSCLSNLILSSTTNTLDSIFSDIPSTNTNNNNTNNNFLGCSNFEPLGSSVYLRQRDILQKFYEETRLTPSFIPSSFSNTPLYTTTTNSISNSSSSLFTSLVNNPLKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPTKLGFGDSTKLNALKSSVDAKIQAICQKVKREKAKKIAAKKMKKNSENDNKKKMELEKINSSSCSSSSSSLPLSPSVFYEDWVNEFFSPTVSDDGVWKGENSNSPNSVSTMVTEETEFEDCSLVRMPSFDPELIWEILAI >CAK8562646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:520289891:520291846:-1 gene:gene-LATHSAT_LOCUS16125 transcript:rna-LATHSAT_LOCUS16125 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLRSSLSFIIQKETRFLKSYDDVSATLTFAKVKPSFNLKAKSSLQVAHTFKSEVKVNEKYEKVHAPSIVHSNHDESKRVPVFVMLPLDTVTMGGNLNKPRAMNASLMALKSAGVEGVMVDVWWGLVEKDGPLKYNWEAYAELVQMVQMHGLKIQVVMSFHQCGGNVGDSCSVPLPPWVIEEISKNPDIVYTDRSGRRNPEYISLGCDSVPVLKGRTPLQVYADYMRSFRNRFSDYLGSVISEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCYDKYMKASLAAAAEAIGKKEWGKGGPHDSGQYKQFPEDTSFFRKDGTWNSEYGQFFLGWYSGKLLEHGEKILVSAKEIYQNSGVKLSGKIAGIHWHYRSRSHAAELTAGYYNTRHNDGYLPIAKMFANHDVVFNFTCMEMKDREQPDHANCSPEGLVHQVKTATRKARIELAGENALERYDAGGYAQVLSTSMSDSGSGLAAFTYLRMNKKLFEGDNWRHLVDFVRNMSEGGRRQRLPDSDSRGSDIYVGHIKKTKEHKQEVEAVVV >CAK8542010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455415898:455416298:1 gene:gene-LATHSAT_LOCUS10887 transcript:rna-LATHSAT_LOCUS10887 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWKGWRCFKSLTIYPFKSIFSKVNSNFRFKSQGHRNGLVSLYKDMESCGEYADIQVMWKMIESSSPKHACNKKRTKFFFMD >CAK8567508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519660410:519663603:1 gene:gene-LATHSAT_LOCUS20555 transcript:rna-LATHSAT_LOCUS20555 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDVLCSCIFLFTVVESTRSGSMWPNIGVESTGTVSWTVFSASIFVLVALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYSLESFLSLLDSSAAFNCAIIRDCYEAFALYCFERYLIACLGGEEKTIQFMESMSVTDSSTPLLKDAYAYGVVEHPFPLNIILRDWYLGPDFYQSVKIGIVQYMILKMICAMLAVILQSFGVYGEGKFEWRYGYPYLASILNFSQTWALYCLVQFYSVIKDKLEPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNAAVMADYASLGSPPDPAEVHDCERSTRMRLGRQDEKDKPMKFTHSVCDVVLGSGEIIVDDMKFTVSHVVEPVERGISKINKTIHQISENVKRHDEERKRNTKVKDDCDLVPLHSWRTEFSDVHDKLVEGSVSDSGLTNGKRPIQSKGSASRMRR >CAK8534731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:761067708:761068193:-1 gene:gene-LATHSAT_LOCUS4250 transcript:rna-LATHSAT_LOCUS4250 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYKERQRLSAKAALAHPYFDKEGFLPLSFMQNLRLRFFRATQQDYGEAAKWIIQLMARSGTQKDGRFTEAQLQELREIVPKKKSGAKRNALASALKVQRKIIKTLNESMDELSRNMKSIWWRRWVPREE >CAK8572146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514537160:514537967:1 gene:gene-LATHSAT_LOCUS24742 transcript:rna-LATHSAT_LOCUS24742 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTGEQVTTGQIHASFPAWFKDQLSCIVASTQEILHLRNLSRGHVQRAIEWHTYFVNGYKFHTQTWTEGKKTINSGVFVKGVTNGGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDLIHLPGAQK >CAK8541583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:268803961:268806486:1 gene:gene-LATHSAT_LOCUS10493 transcript:rna-LATHSAT_LOCUS10493 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFSNQEKKVPRRLNNNKNNNGKRIQYPPTQISPKKAPQPHHQDNHYHRTRSSPQREPKINKEANKENGSGNNIAAHSFTFRELASITRNFRQENLIGEGGFGRVYRGRLEKTNQEVAVKQLDRNGLQGNREFLVEVLMLSLLHHHNLVNLIGYCADGEQRLLVYEYMPLGSLEDHLLDLPPQQKPLEWVKRMKVALDAAKGLEYLHDRANPPVIYRDLKSSNILLDNDFNAKLSDFGLAKLGPTGDKSHVSSRVMGTYGYCAPEYQRTGQLTVKSDIYSFGVVLLELITGRRTIDNTRPLNEQNLVSWAYPVFREPPRYSELADPKLEGSFPMRSLHQAVAVAAMCLNEEPSVRPLISDVVTALSFLNPMSQDQQVLSPVDMPSPTQDNSATLSLLDDDSAVERQRAVDEAIEWGSNTRNKPRLDSASSI >CAK8533304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601297982:601298491:-1 gene:gene-LATHSAT_LOCUS2944 transcript:rna-LATHSAT_LOCUS2944 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSYIDAAFCSSKNNEAYFFINDKYVLLDYAPGTSNDKVLYGPTPIRDGFKSLNQTIFGSYGIDCSFDTENNEAFIFYENFCTLIDHAPHSNKDKIILGPKKIVDVFPYFEGTVFKIGIDAAYRSTRGKEVYLFKGDQYARIDYGTNSMVNKEIKSISNGFPCFRNT >CAK8534591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746282020:746282514:1 gene:gene-LATHSAT_LOCUS4124 transcript:rna-LATHSAT_LOCUS4124 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGKMVVNERFGAEIVHGSENCFNHSLQLLEELGFPKGVLPLKDLVECGIVRETGFVWMKQKAPSEHFFEGTKTLVSYGVEVTAYVEKFKMKKMSGIKSKQMFVWVPISEMSVDGFDGKKMYFKTPMGIGKSFHVTSFMSVEEKEKYKKLQLKDKEVEIKEN >CAK8535968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894532402:894539907:1 gene:gene-LATHSAT_LOCUS5381 transcript:rna-LATHSAT_LOCUS5381 gene_biotype:protein_coding transcript_biotype:protein_coding MYCEREEKQWSCGKAGTVNLRKVSSLVRDIREPCLSHSPVKVNRMLKPDIWEALSDSEGKVLGFRKALKLVVLGGVDPSIRPEVWEFLLGCYALSSTAEYRRKLRTARRELYRNLVKQCQAMHSSVGTGSLAYVVGSKVMDMRTSSKDERKIEAKLERSISNDNVEVAQCHSRSIICAEAATTRHHESSDNWADQANLRASIGSSAIYDSSGHLCCSSPKTGREPDGSHNMIDSSFDFPPLPVINLFEKSDEDKNSVIEHGNELSARRKLRLEDESMHSFNVNNNVDLVIESNGQPLTTFHPVNSEIEVASADEDKPEFSSDDPVYETRIVNQLKISDVPQPAMTSASMSQGWAASEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDRRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVMKQLQALWHILELSDKELFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESWTYDLEENCLEALDLHLPRDSSSYIKEAIADSDDDSIKSGSLSNHNENDSTKTSPQPHQGNTDHPVSDVKLKLQASNAFCGLARNIWPRNDRVQRRIISSLARKGNDELATFCVAAILVLNRQKIIRETHAFDDMIKIFNDNMLEINVKRCIRTAIKLRKKYFNKATKKKNRSAEKRD >CAK8543453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608633825:608636429:-1 gene:gene-LATHSAT_LOCUS12209 transcript:rna-LATHSAT_LOCUS12209 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLPEMDPAEIYTASDTMDSSAIFHTINDVVGFVLYMHQQIPSTVQDMSVEFDSMHSEYKQLEMDMVNEVKASFRRKHASRMREIKVGIKRLDKLMSSLSNLQIALKMIINECHAIDGVILALGGSSLRPQNVYVLEFPRRLDVSNAGDDFARSKAAEALCRKAIRTLISKDAGSVTYPGPNKLFVLIKAPSSFNQPQHFLPKRDFKYNRKIVPLRLLFKCRNQDQEVTTSTSEDLIWFQCRHVIKGLAMNVMPEE >CAK8575519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:148797992:148798363:-1 gene:gene-LATHSAT_LOCUS27776 transcript:rna-LATHSAT_LOCUS27776 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNNRSNVFEDLLPMMANKLGGEGLIKELCNGFDLLMDKEKGVITLDSLKKNAAVLGLLDMKEDELVSMMKEGDLDRDGALTQMEFCVLMFRLSPELMEESLFWLEEALQHELDNNNNSFF >CAK8535816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883365780:883366484:1 gene:gene-LATHSAT_LOCUS5237 transcript:rna-LATHSAT_LOCUS5237 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSAFLNGIIEEEVYVHQPPGFESDKFPEHVFKLKKTLYGLKQAPRAWYERLSNFLQAKNFIRGKVDTTVFCKTCKKDLLICQIYVDDIIFGSTNITLGKEFAQCMQVEFEMSMMGELRFFLGIQVSQTLDCTYIHQTKYVKDFLKKFNMTDSKLAKTPMHPTSILGKEEVSKKVDQKLYRGMIGSLLYLTASRTDILFSVCLCARFQSDPRESHLTAVKRILRGKHCVLSA >CAK8578779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:654106252:654111121:-1 gene:gene-LATHSAT_LOCUS30764 transcript:rna-LATHSAT_LOCUS30764 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGYLVDEKAVRVENAFLDFLKSFRTGQRNELYYEAEIEVMRANESNTMFIDFEHVIRFSDLLQKAVSDEYLRFEPYLQNACKRFVMELKPTFISDDNPNKDINVAFYNIPIVKRLRELATSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQFKYTEPTICPNATCNNRTRWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEHARAGDTVIFTGTVIVIPDILALASPGERSECRREASQRKGSSSGNEGVRGLRALGVRDLSYRLAFIANSVQICDGRREIDIRNRKKDSEEDDLQFSQQEMDEVQRMRNTPDFFTKLVESVAPTIFGHQDIKRAILLMLMSGVHKSTHEGISLRGDINVCIVGDPSCAKSQFLKYTSSIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDDPDDNTDYHIASHIVRVHQKREDALAPTFTTAELKRYIAYAKTLKPKLTSDARKLLVDSYVALRRADTNPGSRVAYRMTVRQLEALIRLSEAIARCHLDNQVQPRHVRLAVKLLQTSIIRVESSEIDLSEFQDQDREEEAGSGDGNNNNNDADGTNGDNEKAAEESNPQRKKSTVTDEYFQRITKALVMRLRQHEETVVQQGSDLAGMRQRDLIKWYVDQQNEKNNYSSVEEAKTEVSQIKAIIEILIRREGHLIVVDDGRQAAEEAAGAEQTESAARNDRILAVAPNYVID >CAK8538121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464532691:464534484:-1 gene:gene-LATHSAT_LOCUS7355 transcript:rna-LATHSAT_LOCUS7355 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDLFEVFKVYGVISEVFISAKRDKRGNIFGFAKFQKVHNPRILACNLDSIVLEGNKIYVNVPRFSKENKRYLPVNEKNKRHLSDCENLRSNFQTGGTRNFLKPNIQDRSFAKVVRGDYPKFVDRTKVGGYCTKHELDDEWSRRLNSMRVGEVMEDGNAFNIQKLIFEEDYFNIKATPLGASLCLLEDTSGGDLEAFIKEVRVWLDVWFKDIRKWKMEEIDRDRMVWVYFYGLPCVAWSEKNFRKISTSLGSFVKSDEKTRNKEKLDIARFLIKTRLINILNFLVDIEVNNHTFSISITEDFSCGRVDPLFGEEDEVIDSDEFDSSNPSDSEGVWEIPEEEESEDLKSLFSETGARVPNIDIQKADGDFSVSDIVSVVGDSLGSSVQGNGIRRKNHNKVSAALFSSEGTKPVNFMADLKLTKSKAVIEVPSLDGELVVDLGLPHPISGPLGGVIGPAVIGKAKKKPLHEVYNCIKIPYTPSYFSTLLLTAPKENLKPVSKGKTREDNMKKKLSKEIFESNSTLLCCESITDTDVERGDSRCRRAIVDVPSKLGDFLKVLGIQGEDEEVIGRTLRILDNAYKEAGQGKKDVATIDP >CAK8566204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390989046:390989521:-1 gene:gene-LATHSAT_LOCUS19358 transcript:rna-LATHSAT_LOCUS19358 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSVHLIEEENLGGPVHYRYMYRIERELGHLKSFVRNKAQAEDSIAESYIIEESLTFCFRYIEDIATRFNRPRHVCDASNQNESSFASSIFPRLGKPVGVSLGFTLTHMQKVYRYVLLNYAIVTPFVE >CAK8561792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385302902:385306456:-1 gene:gene-LATHSAT_LOCUS15348 transcript:rna-LATHSAT_LOCUS15348 gene_biotype:protein_coding transcript_biotype:protein_coding METGLSSKNLDKGRVMRGGNRKKKELSSNGIKIEPFVPRRDHNPKELKTWAKRTGFVSDYSGEAGTSASENFDSVVDYDHKEGGGSSPKIEIDPVLGVARPSRDDEIELDSEFKHGGKRSEKDRFLRPNYDLNRIIGNQNQKRKNGVEPVLDHGEKKIDLRGNGETNGSTENLVRDSNGHGLGVSAVAPLPEQKKEEEGVTEGEVKVNLYAEGEEPADRGWHRPAVMKYGLTENPGFVPLIYYGLQHYLSLIGSLVFIPLVMVPTMGGTDNDTANVISTMLFLSGITTILHLYFGTRLPLVQGSSFVYLAPALVIINSEEFRNLTHHKFRHIMRELQGAILVGSIFQCILGFSGLMSILLRVINPIVVAPTVAAVGLAFFSYGFPQAGTCIEISIPLIVLVLIFTLHLRGISIFGHHLFQIYAVPLSVTVIWIYASFLTAGGAYNYKGCDPNIPSSNILIDACKKHAYTMKHCRADVSNALSTSSWLRIPYPLQWGFLIFHFRTCIIMVIVSLVASVDSVGTYHNASLRINLKPPTSGVVSRGIALEGLCSILAGLWGSGTGSTTLTENVHTIDITKVASRRVVELGAAFMILFSIIGKVGALLASIPQALAASVLCFIWALITALGLSTLQYGQSGNFRNITIVGVSLFLGLSIPSYIQQYQPQSSLILPSYLVPYAAASSGPFHSGIKQLDFAINALMSLNMVVTMLVAFILENTVPRSYHERAEYIWTRTQDIATDPSLASAYSLPKKVARCFCWAKCLGV >CAK8535783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:881047313:881050363:-1 gene:gene-LATHSAT_LOCUS5206 transcript:rna-LATHSAT_LOCUS5206 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSAPSPEKEDEDIHGGDVEVMSEVHLGCPPGFSGPHISHFTISLPLPHDLDHSKQIHGSENEELETPILEFDQDGDLVLPRRRTKANASCDDNIYCVRIQHNITSSIPNVGLQVWRAELVLTDFILNKTLCSSEFHGVTAIELGAGTGLTGLLLARTAKKVFVTDHGNQILENCVKNVQLNCGLLNNPATVYVRDLDWFDSWPPRARVGEATCTHRYCWTSRDIEDAENASLLLAADVIYSDDLTDAFFSTLERLMSRGSTKVLYMALEKRYNFSFSDLDVVANGYSHFRSYLKDEDASESFKPASIPNFMGKRMDISQIPQYVKEYERTRDVEIWRIKYCGPNHETSVISG >CAK8532859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:538287376:538288128:1 gene:gene-LATHSAT_LOCUS2523 transcript:rna-LATHSAT_LOCUS2523 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCKQFGGYPTLLQCWIHEYFPTLGKRGENWVPANNVGLPRAIRWSYRQGALKVDDLRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDKCMRQFRYRQYVRHPHLDSQMDGDIDLISYHQSVQDVIRPTTPTTTPYETDDGYLKWYYRVSHPHLVPPSVDATREMLVPVYEAGPSDPILARMSSLIHHYL >CAK8536501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947372553:947373008:-1 gene:gene-LATHSAT_LOCUS5863 transcript:rna-LATHSAT_LOCUS5863 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQATFREELDSMKGKIDQILEAILTARREEEQREAAAVVNNNGQGQGSTVILLVPIPNPQGMPLNFNNATEGNASHTTPAPGVTIGAIPQAQPTAVQIPAPHTEDTLMDHYDDVQNYHAAIPISSPIAVQDSEAMKMCRDLAEKL >CAK8576068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:398823064:398825274:-1 gene:gene-LATHSAT_LOCUS28285 transcript:rna-LATHSAT_LOCUS28285 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYKQVHNLKEPVVLMDSNKVQIKMPVVYTPPPLKRWFPVLIPMILVVNLILFLVTMYVNNCPELSIPPTSCFAPFLGRFSFQPLQQNPLIGPSYYPLIKMGALHVDKLVHMHQVWRLFTSIWLQRGVMDLLLNMLVLLAIGIPMEKKFGFVRIGLVYVISGLGGNLLCVLFLQSIIYVGASGAILGLLGGMLLELLTNWKVDTHKFVKMVIITVTITIYLVLGTFSYGDNFNHIGGFTSGFLLGFVILIRPQYNGLNRAMSNSSPKQESYQYALRIISFVLLSVGLVGGLVLFLKGVNLNDYCSWCHYLTCAPPSCKPGHISCEDDLIGNQLQVTCLNNGRSGIFPLSNSSPYEQVEELCFRLCGK >CAK8565790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:333766166:333768765:-1 gene:gene-LATHSAT_LOCUS18972 transcript:rna-LATHSAT_LOCUS18972 gene_biotype:protein_coding transcript_biotype:protein_coding MSETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNSLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKITLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTIEKEISDDEDEEDKKDEEGKVEEVDEEKEKEEKKKKTIKEVSHEWDLVNKQKPIWMRKPEEIGKEEYSAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLAFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKGKIAELLRYHSTKSGDEQTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEETEDEKKKQEELKEKFDNLCKVIKEVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMEELRKRADADRNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDAAEADADMPPLEEADADAEGSKMEEVD >CAK8575902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:353026882:353028126:1 gene:gene-LATHSAT_LOCUS28127 transcript:rna-LATHSAT_LOCUS28127 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLTLSSFNSLTYLNRVQPSQNRVGPPRLTVKCGYGLEFGVGSSRCDWQSSCTILASKVISQQNGSPTDGNHHVSAVNGELNAVTDLQLVPVGNKPLPPKPLTITDLSPAPIHGSQLRVAYQGVPGAYSEAAAGKAYPNSEAIPCDQFEVAFQSVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKDCLTRVISHPQALAQCENTLTKLGLNVAREAVDDTAGAAEFIATNNLRDTAAIASARAAELYGLSILADGIQDDPNNVTRFVMLAREPIIPRTDRPFKTSIVFAHDNGTSVLFKVLSAFAFRNISLTKIESRPHRGRPIRVEDDESEGTAKHFEYIFYIDFDASMAEVRAQHALAEVQEFTSFLRVLGSYPMDMTPWSPSSTSH >CAK8573009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576780608:576781681:-1 gene:gene-LATHSAT_LOCUS25504 transcript:rna-LATHSAT_LOCUS25504 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKCDVVVINTPLTEKTRGLFDKNRIAKLKKGVLIVNNARGAIMDTQVVADACSSGHIGGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTINAQLRYAVGVKDMLERHFKGEDFPQQNYIVKEGELASQYR >CAK8540467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8444321:8444725:-1 gene:gene-LATHSAT_LOCUS9470 transcript:rna-LATHSAT_LOCUS9470 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGLVPSALFLCVGVLYDRHKTRFVRYYGGLVSTMPNLSTISFSSTLANMSSPGTSSFIGEFPISVGAFQINSLVATLAALGMILGAAYSLWLYNRVVSGNLKADFLHKFSDPNGREVSIFIPFLVRGATVR >CAK8538453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483066830:483068847:-1 gene:gene-LATHSAT_LOCUS7645 transcript:rna-LATHSAT_LOCUS7645 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISLSITLVILFCGVTLASRTKILPLRRTTTALAPELIDGVCSSLVKTQGYACEEHLVTTKDGYVLNVQRILPRGKSGNNIPVVLQHGLFMDGVTWLLLPPSQSLAFLLADNGFDVWIANSRGTKYSYQHTSLSSNSSDYWDWSWDELVAYDLPATFEYVHDQTRQKLHYVGHSQGTLVALAAFSKDQQLDKLRSAALLCPIAYVSQMTSQVAKKAADNFIAETLYKLGVFEFSLKGGSVVKFLKDMCTGTSIDCSNLFTSFTGPNCCVNPSLINTFLDHEPQPSATKNVIHLSQMIREGTVSMFDYENQDENIRHYGQSTPPIYDMTRLPNELPLFVSYGGADALSDVKDVQLLLESVKDHDADKLVVQYRNDYAHADFVMGQNAKQDVYEPLISFFKLQ >CAK8534558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742061098:742080184:-1 gene:gene-LATHSAT_LOCUS4096 transcript:rna-LATHSAT_LOCUS4096 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDIEGGGGGDDGFRSPIGRKYRPVLANDRAVLEMSSIDPGSSSSSVFPDQPHNLRKINVSSDAKEGNSPRQAQPNGPQQESKLELFGFDSLVNILGLKSMTGEQPAQPSSPRDGEDITVAAGLPKPEALKLGTLMGVFIPCVQSILGIIYYIRFSWIVGMAGIGETLLLVALCGTCTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAIPSAGIFREPMTQVNGTSIAVQSPSSHDLQIYGIVVTIVLCFIVFGGVKMINRVAPAFLIPVLFSLICIYLGILLAKEDRPTAGITGLSLETIKENWSSDYQKTNDAGIPDPSGSVTWNFNSLVGLFFPAVTGIMAGSNRSSSLRDTQRSIPVGTLSATLVTSCMYLISVIMFGAIATREKLLTDRLLSATVAWPFPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKVADGSEPHVATLFTAFLCIGCVIIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCVVIMFLISWSFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMTIFVSILDGDYHECAEDAKTACKQLSTYIEYKNCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTDIPATFVGIINDCIVANKAVVIVKGLDEWPNVYQKQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEEADAEGLKADVKKFLYDLRMQAEVFVITMKWDVQVDSGTPQDESLDAFTSANQRIVDYLTEMKARAERQGTSLMADGKPVVVNEKQVEKFLYTTLKLNSIILRYSRMAAVVLVSLPPPPLSHPAYFYMEYMDLLLENIPRILIVRGYRRDVVTLFT >CAK8566114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381431077:381432252:1 gene:gene-LATHSAT_LOCUS19277 transcript:rna-LATHSAT_LOCUS19277 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHFQYFIVILMSTFLTIHSYEELTPDFYNDDCPQALPIIRSIVLHKLNMKPRMGAHLLRLHFHDCFVNGCDGSVLLDDTPNFIGEKNAISNIDSLKGFMLVDVMKAAVDKACERPVVSCADILAVAARDSVSILGGRPYWYEVPLGRRDSRNAIKKDADFYLPSQLFNFSQLVSNFEFQGLNIKDLVALSGAHTIGMAKCSSFKERIYNDTNIDHDFAISMQENCPIKGCDEHFEPFDHVTPNKFDNSYYKNLINKKGLLHSDQELFKGDDGSESGRFVRRYSRNPHAFARDFKASMIKMGNIKPLTGMYGEIRRDCSKVNSYLHGLNE >CAK8579541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706491785:706493968:-1 gene:gene-LATHSAT_LOCUS31480 transcript:rna-LATHSAT_LOCUS31480 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSISSSLPSLQNPNLDHSHLFNSLKLPNLRFNSRISSPPRSRPNFPQLYKTSTTLRRRFPCNKALKDSGDGGGGDGDQEVEKKNVSSGLFSEWLNFTSDDAKTVFAALAISLAFRTFIAEPRFIPSLSMYPTYDVGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDVVEVRNGHVVVNGIERDEKFINEAPKYEMKPIRVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSVFRYWPPNRVAVTVAKGGCPVETKPETTSTTLASQ >CAK8536825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24605428:24606405:-1 gene:gene-LATHSAT_LOCUS6155 transcript:rna-LATHSAT_LOCUS6155 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRYYRSWMYDRLYPGRRRLKPNFEEGVKGSITWAFSQECCRNEGGVRYPCLKCGCRPIVSDPEEVERHLKRRGFIENYWIWTYNGEELSSSVLETSNTHASSSQSPMEHRENFNLISEMVGDAFDVNVTYDKPEDFDGEELLNEEAQKFYQLLNEMNTPLFEGSSDSKLSMCVRLLAAKSNWNVPDQCLEFFAKMMLDSTPTKDNLPTSFYDAKKLVSKLGLEVRKIDCCISGCMVFYDNEFGTNDGTLEECKFCKSPRYKVRSKAIDRKQKRIAVKSMFYLSIIPRLKIMFTSMHSASQMAWHHTNKISSGNMRHPSDGEA >CAK8534740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762472212:762473045:-1 gene:gene-LATHSAT_LOCUS4256 transcript:rna-LATHSAT_LOCUS4256-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVDNLKEESLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8534739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762472212:762473378:-1 gene:gene-LATHSAT_LOCUS4256 transcript:rna-LATHSAT_LOCUS4256 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLHPEYVSGMYPKVESRAHGLRKLIAGKIPVWLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVHHLGDGRDSNVSSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPALLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTQTRILGLRIIKHFVDNLKEESLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8574800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9629945:9630535:-1 gene:gene-LATHSAT_LOCUS27106 transcript:rna-LATHSAT_LOCUS27106 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTSPTLFFLILLSFNTLTSSSSSSSQNEDTFDILSPTDCMSLGLNMKEKLSHFRFYWHDIMSGKNPTSTMLVPPTLNSTTFFGSVHMIDSPMTLGPELSSKLVGKSQGFYASASQEELALFMSLNFVFEGKYNGSSITILGRNPVFDKVREMAVVGGTGVFRFARGYAQLSTHSFDPETFNAVVEYSVYVLHY >CAK8536886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33435976:33439385:-1 gene:gene-LATHSAT_LOCUS6212 transcript:rna-LATHSAT_LOCUS6212 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNSPFFLPFLFIFFANFLFHETPFHVLGQQTDDSQRGHGFGHRVLTSLKEKPAGSNFTFDCAPSGPCVPCIYSEKGDEKYRCSETGYRIPFKCEKSKASKKDAKETNPKKTRTALEISSSIEKSHKVSHVSEAVTTSRSHRTLLDDSLASNNQSQAYVTYRSCIPADSEQKLSVLGFEGIMIFLLLISGSLVYLKKKRAAAMSGYVAAGRGQPNSRF >CAK8536556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954165283:954166026:1 gene:gene-LATHSAT_LOCUS5914 transcript:rna-LATHSAT_LOCUS5914 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNFFTTITISLTLALILHSSFAFNSPLSYICSTTENFTSSTPYESNLKTLVNSLIYKTPSTGFGVGSVGQTQYQNQRAYGLSLCRGDVSTSECKTCVLEATKEIQNLCPYNKGAIIWYDNCMFKYSDIDFFGKIDNSSKFSLLNVQSVNDPIKFNSVTKDLLSLLAYEAYMDKKMYANGELKIGESERVYGQAQCTRDLSSVDCKKCLDDVISELPNCCDGKKGGRVVGGSCNVRYEIYSFVRE >CAK8531945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:182481329:182483119:-1 gene:gene-LATHSAT_LOCUS1698 transcript:rna-LATHSAT_LOCUS1698 gene_biotype:protein_coding transcript_biotype:protein_coding MINMMFLLILFSTIVTSHGYDDNDITLKEDFELEKQLKLINKSPIKSIHTEFGYIIDCIDIKTQPAFDHPLLKNHKLQIRPSFYSNIKSKSMNISQTKTNFILDKLNCPKGSVPIRRTTKEDLIRAKSLSNNNILATRTTHKAEVYLRYFGGKNYYGITGTASVYNPRCTIAQASSSNIYVRNGQGNAFNEISVGWHVFPHINGDDRTYAFATWTSDGYMKTGCYNVQCQGFVQTNSQYHIGTVIPQTSVYGGDIVEMPVSIVQDERSKNWWITINGKAVGYYPQALFNNLKTADQVGWGGATIAMGAPSPQMGSGFFPDRNFGHACYFKNIGYKNKTNSAYYGPDEYLTDIYRDVPSCFGVDYYGKQNSPYGYSLQFGGPGGRCD >CAK8578446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629722144:629727395:1 gene:gene-LATHSAT_LOCUS30458 transcript:rna-LATHSAT_LOCUS30458 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEHFQKALSEIQTRCNGLVQNLTTNLNRFPKSPPWARMAPNVIRPLTAETIEQRLEGVPVYALSNAADEFMLVSGASTGRNLGLFCFNKEDAEALLQQVTAIDPLMPQGSKVVPVALNKVFQLKVNGVAFRLIPEFSQVKNALQEREKSGIPSGDFFGVPVFQSRSLILKNQTKRYRPLFFRKEDLENSIEKASGQLNRIKLSSGQGDIEVASLEDVIKEMKENSTSKWDDVIFIPPGFNVSRATDPNPLECAR >CAK8542259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494345311:494347602:-1 gene:gene-LATHSAT_LOCUS11108 transcript:rna-LATHSAT_LOCUS11108 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPLLIFITFMCATILIFILRKLNQTQNSTKLPPGPHPLPIIGNILELGKNPHKSLTKLSKIYGPIMTLKLGTITTIVISSPQVAKQVLQENSQIFSNRTIPHAGYALDHDKFSLAWLPTLDLWKKLRKIYATKVFSTKMLDSTKLLRQQKLQELLNYVNEKSKKGEAFDIGEAVFTTVLNSISNTLFSMDLAHAIHGEESQEFKKIIWGIMEEVGKPNVSDFFPILRPLDLQSVLAKATKYFKRLYEIFDEIIEERISSRSTKADSENVCNDVLDSLLKSNNIGETTLELSRDEMVHLFMDLFVAGIDTTSGTIEWIMTELLRNPEKLTKAKKELCQEIGKDEVIEESHIFKLPFLQAVVKETLRLHPPAPFLLPHKCDESVNILGFNVPKNAQIIVNVWAMGRDSTIWQNPNMFIPERFLECDINYKGNSFELIPFGASKRICPGLPMAHRVVHLMVASLLRNFEWKLVDGLKPEDMNMEENFGLTLKMVQSIRVQATSPT >CAK8562572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511002215:511003270:1 gene:gene-LATHSAT_LOCUS16060 transcript:rna-LATHSAT_LOCUS16060 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQSNPYYNYLQTPTGDSSQNFQPFMFQSPPFSTSNNSQYTRPFMFQPPPTESPNIESESPIRYTTDSQVPGNSTQDGLENITFTEEGERSTQKKQRLRFIEEEDKLLIQTWLNISKDSIVGVDQKADSFWGRIKDGYNNYRGSLIAREWSTLKSRWHLLNKHCQWFCGNYKLAVANKKSGQSETDVMEEARKFFFQVHHQRFTIEHAWRLLKDEPKWKGQEMDNSSKRSKISSTGTYSSSSYPENPIDCSEYNNATQTDRPAGQKAAKRKGKGKASPSITPIVDLTDIERASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMNDEQRREHEEICQSIKEKYFKRS >CAK8562573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511002296:511003270:1 gene:gene-LATHSAT_LOCUS16060 transcript:rna-LATHSAT_LOCUS16060-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPPFSTSNNSQYTRPFMFQPPPTESPNIESESPIRYTTDSQVPGNSTQDGLENITFTEEGERSTQKKQRLRFIEEEDKLLIQTWLNISKDSIVGVDQKADSFWGRIKDGYNNYRGSLIAREWSTLKSRWHLLNKHCQWFCGNYKLAVANKKSGQSETDVMEEARKFFFQVHHQRFTIEHAWRLLKDEPKWKGQEMDNSSKRSKISSTGTYSSSSYPENPIDCSEYNNATQTDRPAGQKAAKRKGKGKASPSITPIVDLTDIERASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMNDEQRREHEEICQSIKEKYFKRS >CAK8563709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626446967:626450250:1 gene:gene-LATHSAT_LOCUS17089 transcript:rna-LATHSAT_LOCUS17089 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASVQKLFELGTKIIAVGRNYAAHAKELGNAVPTAPVLFMKPTSSYLRNGGTIQIPHNESSLHHEVELAVVIGKKARDVPESSAMDYVAGYALALDMTARDLQSAAKSAGLPWTVSKGQDTFTPISPILPKTSVPNPDDLELWIKVDGEIRQKGSTKDMIFKLPFLISHISSLMTLFEGDVILTGTPPGVGPVKEGQKITAGITDLVDVEFNVENRKISGN >CAK8577302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553760995:553766983:1 gene:gene-LATHSAT_LOCUS29422 transcript:rna-LATHSAT_LOCUS29422 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPFSTICRHSNTPLHLHSKSSFIGFDQRNNIWQLFVITKSSSSRAVSKLSVKNVASDKKEELKEPLTGQVAGTTNEFVPDSTAIASSIKYHAEFTTSFSPEKFDPSKAFFATAESVRDFLIINWNATYDYYERINVKHAYYMSMEYLQGRALLNAVGNLELSGPYAEALRKLGYSLEDMGNQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITNDGQEEVAEDWLEMGNPWEIQRKDVSYPVRFYGEVISGPDGSKQWIGGENISAVAYDVPIPGYKTRTTVNLRLWSTKVSTEEFDLQAFNTGDHAKAYAAMKNAEKICYVLYPGDESIEGKTLRLKQQYTLCSASLQDIIARFEKRSGRTVNWETLPDKVVVQMNDTHPTLCIPELIRILIDVKGLSWEKAWDITKRSVAYTNHTVLPEALEKWSLTLLQDLLPRHVEIIRKIDEEFIHEIISEYGTGDLNLLQEKLGKMRILENIELPDSVVELLNNTIPAVDSVEEIDVDDSDIEATNKKDEDEEDEAGEEEQEEDNDGEDLVLENKIEMTFKVDRKLPMMVRMANLCVVGGFSVNGVAEIHSEIVKEEVFNEFYELWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWIGTEDWVTDLEKLAILRKFADNEDLQLEWMESKRRNKIKVASFIKEKTGYVVSPNAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKELSAEERKQVFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCVQIGTLDGANVEIREEVGEDNFFLFGARAQEIAGLRKERAEGKFVPDPRFEEVKSYVRSGVFGTYNYDDLIGSLEGNEGYGRADYFLVGKDFPSYLECQEEVDKAYRVQKKWTRMSILNTAGSYKFSSDRTIHEYARDIWRIEPVVLP >CAK8577301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553760995:553766983:1 gene:gene-LATHSAT_LOCUS29422 transcript:rna-LATHSAT_LOCUS29422-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPFSTICRHSNTPLHLHSKSSFIGFDQRNNIWQLFVITKSSSSRAVSKLSVKNVASDKKEELKEPLTGQGTTNEFVPDSTAIASSIKYHAEFTTSFSPEKFDPSKAFFATAESVRDFLIINWNATYDYYERINVKHAYYMSMEYLQGRALLNAVGNLELSGPYAEALRKLGYSLEDMGNQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITNDGQEEVAEDWLEMGNPWEIQRKDVSYPVRFYGEVISGPDGSKQWIGGENISAVAYDVPIPGYKTRTTVNLRLWSTKVSTEEFDLQAFNTGDHAKAYAAMKNAEKICYVLYPGDESIEGKTLRLKQQYTLCSASLQDIIARFEKRSGRTVNWETLPDKVVVQMNDTHPTLCIPELIRILIDVKGLSWEKAWDITKRSVAYTNHTVLPEALEKWSLTLLQDLLPRHVEIIRKIDEEFIHEIISEYGTGDLNLLQEKLGKMRILENIELPDSVVELLNNTIPAVDSVEEIDVDDSDIEATNKKDEDEEDEAGEEEQEEDNDGEDLVLENKIEMTFKVDRKLPMMVRMANLCVVGGFSVNGVAEIHSEIVKEEVFNEFYELWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWIGTEDWVTDLEKLAILRKFADNEDLQLEWMESKRRNKIKVASFIKEKTGYVVSPNAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKELSAEERKQVFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCVQIGTLDGANVEIREEVGEDNFFLFGARAQEIAGLRKERAEGKFVPDPRFEEVKSYVRSGVFGTYNYDDLIGSLEGNEGYGRADYFLVGKDFPSYLECQEEVDKAYRVQKKWTRMSILNTAGSYKFSSDRTIHEYARDIWRIEPVVLP >CAK8567950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558816097:558819268:1 gene:gene-LATHSAT_LOCUS20957 transcript:rna-LATHSAT_LOCUS20957 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLLPLLLTFLLFSQAHQHSDAPPPSSTVCIIGAGIGGSSVAHFIRQYSPELTHTTKIRVFERNSIVGGRTATVTIAGETFEAGASILHPKNLHAVNYTKLLNLKAKEPSSGSFSLGIWDGDKFVFKTVEISSNLPLIEKFLKLAFVENLVSLVNSGLVFVRYGFSLFKMQNFVQIVVDRFLKYYEETDSRPIFDTVGEMLKWAGLFNLTTRTLQDELVDVGLSPLLINELVTVITRINYGQSVYMSGLGGAVSLAGSGGGLWAIEGGNWQMAAGLINRSDVALHLNEEIKSVADLGDYYELNSTKGNSYICKVAVVATPLDEINIQFIPPISIPERKLQHTHATFVRGLINPIYFGLKAAAKLPDLVATLEDPKLPFTSISVLKKHNEEESTYKIFSRQPMTDTLLDSIFSVRKETIRINWAAYPQYHAPEIFAPFILDGRHLYYVNAFENAASTMETSAVAAENIARLILSRYFGKVSAHSSNLKASSQAEDVHFDL >CAK8569091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670115415:670118639:-1 gene:gene-LATHSAT_LOCUS21981 transcript:rna-LATHSAT_LOCUS21981 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSMKRATSRTTNRHINKKQQQQSGFKKSKKEKLRTLSSMGCNLDHRSNESISTNNKVFNECNGVDHSSVPRKIRSAMKKRGRESILTDSEKLKYGMESPQKDSIKKFKKQVVLGPITEDEQEVAETLYALAGMFPTNDENELDCESLPEKLSGLQDRDESANVIDQGANEDADVIAESSSKGAVKISFLRETVDVEVEQIDLPGGVDFSVATHNTAAKVNLQGVPMMVKRSDNDVKAELHDSELCLGMGLNASTQSEISHIGGKLDVEYETAGGIDCKQEQHIIKYRRENEGLTLWPGSSLRASATFNASSSQSSAAAKAPHWLNAAISNSKHGLMESSSSGGKISKTAVHKKSWKSCAAHVHISQLIRSLELPKQQVAKEPELYECDPIRVHQGSKCGVLTEAQNSNRTRNGNTFTAGTVQSASLKNFPENKNGVVQQQCHYLDISLSQASPTPAKHAPQSQSFNFLSLSSGCNELKVDECLIKGGSRSGPFSKSQVPYFRSIQQQHGLMAAIPTTSNQYTSTSYLDQLPTAGPQVRLQQPHYYGTPLCGTQYSSTNSYKQQYQNIWAAQLVTQGGSGGVNSNLMRLQYPNWQSGRHETGVVNPGVQVMVPYHSLASLESLGSKITSISDQQSFTPPSSIPLSRTNGLEEIRGRFHGSSASSMQLLCDERI >CAK8535339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:840310579:840316917:1 gene:gene-LATHSAT_LOCUS4805 transcript:rna-LATHSAT_LOCUS4805 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQFPCGAESDSEDKVPSSGSSAGQNVIDSDGFFNHADDVFDVFSQCGNIDLCPPLSSTKKNSQKDVNDEQQKQTKRKIYRPKVVSQDPKRTKKSHPQSSATPKPSTPRQRKPYVRKTPKCQRPLFDDHGELISLPFLENFNDSTVDHGGLENSTITNESAIESNSLQSYQKLNSLSSLSLVESRRVGRNFPLMCKRKRLRRQRVRLVKVLTPIAKGKRSSLAKKKRKCFENLRVEGSSRLSRQMRLILNKLSTSKKKNHQKINKLDAQNKSGELVIYNRRSSRVDVLLDEETLRVWNLLVAERGHDEKDEQKRMRWENIRMVYKNVVLTFLDQMQDTQGDRRFLPWKGSILDSVVGVFLTQNVSDYLSSSAFMSLAAQFPVKSVSCEKDNNMVVLDPKLDIEMKGGNDEEMEVEKVNEYLKVDNSGAEYKSTIEYPKVDNSGAEYNSTNAYPKVDGKGTESNSANVYPKVDGKGTESNSTSVERNTGSPSITFGKKEVPTTKKTKTQEEKEILLEKKRQYWDTLRKCHSDKPRHEDYMDFVDWKAVKDATVGEVAKAIALRGQQFIIAGRVVELLNMLYSTTGNMDLEWLRYIPPLDAKEYLLSIHGLGLKSVECIRLLALQHIAFPVDVNVARIVVRLGWVPLQTLPESMQIHDLEEFPDSNKIQQYLWPRLCTLDHRTLYELHYQLITFGKVFCTKKHPNCNACPMKVGCEHYKSSLASKRLALPPNPYSDMLSNPTLTFVPNEIKECEPIVEMPPSPEPERTMVDFETKHDEEIYYDYNNQDDEDIEDMLTLNLSSQGSSCLSKPLDNFFDGFDLGMNTSTGFDLGMNTGFDLGMNTSTALVTLHPCVANTPLPKMKEASRLKTERTVYVLPDDHPLLKDHAPRVHDDPSPYLLMEWLQAELESSGESSTSDLQEEDKSQTVPGTLLIPCRTAMKGYFPLNGTYFQINEVFADFASMIKPINVPRRLIWSLSKQITYFGTGTSAITRGMSAEKVREFFNEGYICVRAFETKTGAPRPISPMMHLSTTAKERVEKEKKAVENEKNVLPNEKNVLPNEIASQNPIIDL >CAK8531828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159687243:159690373:1 gene:gene-LATHSAT_LOCUS1593 transcript:rna-LATHSAT_LOCUS1593 gene_biotype:protein_coding transcript_biotype:protein_coding MVENMNRSNFEVLGMCCATEATLVERILNPLPGVKHVSVTVPTRTVTVVHDLLLISESQIVDALNTARLEASYRPKGETKNEKKWPDIVTMACGLLLLLSFLKYIYPPLEWLALASVVIGFPKVLLRAIASIRAHTLNINILVILAVCGTVALQDFTDGGVIIFLFSIAQWLETRATHKAMVAMSSLTNMAPQMAIIVETGERVDVNDVKINTILAVKAGDAIPLDGVVVEGKCEVDEKMLTGESFPVTKESDSLVWAGTINMNGYISVRTTVLAKDTVVARMSRFVEEASSRKSKAQRFIDNFAKYYIPAVVLISASIALVPAALNVPDNETWFHLSLVVLLSGCPCALILSTPVAIFCALTKAAISGLLLKGGDYLETLSRIKTVAFDKTGTITRGEFVVTDFSVADEFSNETLLYWISSIESKSSHPVAGALVEYAMLHSIKPVPENVDNFQNFPGEGIFGTIDGKDIYIGNRRIGLRAVCEKGDCIQSHEISTPKKQYDGETLVGVFGLVDACRTGALEAIEELKLLGVRSVMLTGDTSQVAMYVQSQLNNAIDIVHAELLPHDKAKIIENLKKEGPTAMIGDGINDAPALATADIGISMGISGSALANETSNAILMSNDIRKLPEAIRLARKTTRKLIENVVISVGFKCCILALAIAGYPLVWLAVLTDVGTCLLVILNSMLLLQENRKYEERESARSKYGKFLEDKTKPLLNKQSNIDEEKGLIGGEECGKECCINVTRHVETSKENKDESCGVSKMSLLNGNENGNFMFVEVHVVKPCNG >CAK8578495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:632632427:632633053:1 gene:gene-LATHSAT_LOCUS30501 transcript:rna-LATHSAT_LOCUS30501 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFNARMSPSKTLSLNDCLTIIIDYTHLIISSTSNDPKNLTFRLITPNFHKFEIPLDILCNNNDINNQCLYEIFEALPNYLMNLVIRDMRDCARKMVQRGKVEAVNLALRWVNSRIGEEDEFDLNHAYHNDQQIVGLSSNLEVDITSDSKDQCSICFEEFCNGSETELFYTKCSHIFHKMCIAKWICQCVTHTHIYSCPLCRCEIM >CAK8579199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683100484:683103814:-1 gene:gene-LATHSAT_LOCUS31164 transcript:rna-LATHSAT_LOCUS31164 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCAKSPKNDQHSVKYWRIQDGGISLKADISRVDCSPSLSDNETKQSCRNSQLKSPEILTTPQLISAIRQLWDSASQPLSVLLWKENLNRDDGKGFTKDKILSCINEKRNGVVTSNTTDYYSVNPDATCFGSPILHEKLDFPMVTQKMLILKSSYGRRDYIHSLFQSVLQARDKSSNGYCNEKELGSKDTEPGNLETNSSVARDCVSIDMRTTSLANESGVCNPDVLIHEASSLSDDAVPITKEVNPLCSDYFLQAVPDNQMEVGACQTLSSSVYADYHINSSLAISNGTSVQCQYKIDDNELMEIQRRHLSDINDDEPEVQIFSANHKQAFHSLAKQEHAFSGAMAGVCVSCCLHPVDTIKTVIQSCRAEQRSIFYIGKSIVSDRGFPGLYRGITTNIACSAPISAVYTFTYESVKAALLPYLPKEYYSFAHCVGGGCASIATSFIFTPSERIKQQMQIGSHYRNCWDALIGIIRNGGLSSLYAGWKAVLCRNIPHSMIKFYTYESLKQVMPSSSIQSHTFQTLVCGGLAGSTAALFTTPFDVIKTRLQTQIPGSRNQYDSVFHALYKISKTEGLKGLYRGLTPRLIMYMSQGSLFFASYEFFKSVFSLESPLPTGL >CAK8566013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367596022:367596497:-1 gene:gene-LATHSAT_LOCUS19181 transcript:rna-LATHSAT_LOCUS19181 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSYIISLEGRESIVCRLWVLVTVATPTKFNLRIEGSGLAKTERDTFYKIQMKAEQHCVFFRPPKLGLK >CAK8563811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632392728:632393270:-1 gene:gene-LATHSAT_LOCUS17185 transcript:rna-LATHSAT_LOCUS17185 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTFMSFFQILLFSCFFLQLPQSSTQTNEIVLDDLVDDMCKKTPNLNLCSSTIHSNPQAGKSDENGIAVIMVNDILQSVTDTLSFIQGLVKETKDPELQRKYVVCAETYNPLVKTILPQAVDSINKKNYGLAIYSMGYAGKDIDSCNNQFSTGSPLGDKTSSLHKLFDIGSAILKQL >CAK8563812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632392728:632393249:-1 gene:gene-LATHSAT_LOCUS17185 transcript:rna-LATHSAT_LOCUS17185-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFQILLFSCFFLQLPQSSTQTNEIVLDDLVDDMCKKTPNLNLCSSTIHSNPQAGKSDENGIAVIMVNDILQSVTDTLSFIQGLVKETKDPELQRKYVVCAETYNPLVKTILPQAVDSINKKNYGLAIYSMGYAGKDIDSCNNQFSTGSPLGDKTSSLHKLFDIGSAILKQL >CAK8569551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4912222:4913898:1 gene:gene-LATHSAT_LOCUS22392 transcript:rna-LATHSAT_LOCUS22392 gene_biotype:protein_coding transcript_biotype:protein_coding MITSCVSWAPPSSLPCSHSQPKLQHRQLRPSPSSSLLSFSRSFPSLPISTTTLPPINPNNPKGSFIRAAWTRRSRGEAQKRPKRKSWKQRTDMYMRPFLLDIFFSKRFVHAKVTHRGTSKVICGATTNAKDLRNSLPSLIDPEACRLIGKLIAERSKEADIYALAYEPRKNERIEGRLGIILDTVKENEILFV >CAK8530283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11689143:11690557:-1 gene:gene-LATHSAT_LOCUS161 transcript:rna-LATHSAT_LOCUS161 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNQRQLERTGRYGTSRLQYLQELVNQFQNASENETKEKILANLANFAYDPYNYNFLRQLNVLELFLDCITEPNEKLIEFGVGGICNCCIDPVNAAIITGAGGIPLIIQCLSSPVRNTVNYALGALYYVCNESNKEEILKPEVVDVIKRYAAAEEVSVSFSNLAKAFLDSHQDGPSFHSQL >CAK8562886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553265036:553267021:-1 gene:gene-LATHSAT_LOCUS16348 transcript:rna-LATHSAT_LOCUS16348 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQECPRSPEAKLGMRVEDLWDVQEAQLSPTEKLNACFESIPVSAFPLPSLNQELEIKSDATLAEAVEILAHNNILSAPVVDVDAPEDASWMDRYIGIVEFAGIVVWILHQSEPASPKSPSTPTSASAIAAAANGNTFARELEALGLGSAATTSGDFFEDLTSSELYKSTKVQDISGTFRWAPFLALERSNSFLTMLLLLSKYKMKSVPVVDLGAGRINNIITQAAVIHMVAECAGLQWFENWGTKKLSDVGLPLVSPEQIIKVYEDEPVLQAFKVMRKERIGGVPVIKRGGNMPVGNISLRDVQFLLTAPEIYHDYRAITVKDFMTAVSSYLEKNKTPLRSCEFLTCKRDCTVNELIQLLDREQVHRVYVVDDNGDLEGLITLRDIISRLVHEPRGYFGDFFDGVLPLPANSRV >CAK8539908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530939782:530941684:-1 gene:gene-LATHSAT_LOCUS8964 transcript:rna-LATHSAT_LOCUS8964 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRVLLRNLNLKSGAVVVRRRCMSTVMSFGDGSHGALGLATASVGMGVDAYEPTPIPSLPSDILSVHAGHYHSLAITSERHLWAWGRNNEAQLGRGLSARETWNEPKRVEGLENVKVCNAFASGVVSSAVGEDGTVWVWGKSKRGQLGLGKDVIEAVVPHRVEALSGEKIKKVSFGWGHALALTVDGKLFGWGYYADGRIGNMGNGHLESSSLDSSATMHSINAQITSSDLEVAEKKVLQGMEEENNMPIIWEPRLVEELRKVQVVDIACGLDHSLVLCRDGVLLSCGSNVYGQLGRATKGLGFFPVEINFTPISVAAGLGHSLAICQLDEPDGSSDTVGATNIASWGWNQSSQLGRPGPANLPALTHTLVGENPVSVSAGRAHTVALTSKGDMWVWGSGKNGRLGLSSSVDEIEPFYLDSLEGFQILQAVSGFDHNLVLVAG >CAK8532255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:232023047:232026855:-1 gene:gene-LATHSAT_LOCUS1984 transcript:rna-LATHSAT_LOCUS1984 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSTSSSSFSYEFNYDVFISFKGTDTRFGFTGNLYKSLSDNGIRTFIDDKELQRGEKITPSLLKNIENSRVAIIVFSSNYAASSFCLDELVHIIHYFKEKSRLVLPVFYGTEPSHVRHQNDKYGEALAEYEERFQNNKENMERLQKWKIALTYAADLSGYHYNFRKEEYEYIFINKIVTEISSKINRVLLHVADHPVGLKSRLLQVKSLLDVDSDNGACLIGIYGSGGLGKTTLTRAVYNSIADQFEGSCFLHNVRENSVKYGLEYLQEQLLSKTIGLKIQLGHVSEGISIIKQRLHQKKVLLILDDVDQLKQLQFLVGDPGWLGLGSRIIITTRDKYLLSCHGVKKTYEVDGLNEKEALELFRWMSFKCNKTDSSYDYILNRALKYASGLPLALEVVGSNLFRKPIAEWESTLDKYERIPHEDIQKKLKVSYDALDEEQQSVFLDIACCFNGCELTQVEETLHAHYGHGIKSHVGVLVDKSLIKINVYGYVTLHDLIEDMGKEIVRQESPKKPEKRSRLWFHDDIFHVLKENKGTRKIEMIHLSSPSTETIIDWNGEALKKMTKLKTLIIENGHFSKGARYLPCSLRVLKWNNYSLESLPSRFMNKKFEYMKVFTFNNCQYLTHISDISGLPNLEKFSFENCNNLITIHNSIGYLNKLEILNAKGCSKLESFPPLQLISLKELELSKCRSLKRFPELLGRMTNIKEIWLFETSIGELPFSFQNLSELRELKIRGCQMLRFSSNTGMMPKLSKITDVDFPLLFPNHNDKLSSTVSSKVEYLNLGKNNLSEKCLPIVLTRFANVKYLYLWNNNFKILPECLDKCHLIRILELDGCKFLEDIRGIPPNLKKFSAIRCKSLTSECRRMLLSQKLHEAGCTEICLPTGTEGVPGWFKHQKSGKTTFFWFCKEIPSISCIILVQSEIIKLTVNLFVNDYKYTLSDEVLFLPYLLKMRSNHTYLFDLKLEESIKCYNRIFGTLVCEMDEALLKNKWIHVELMLEIHPNFNYNEYEDEKKKLLCREEIGIYMLNENVKFFSLVRKSKTDPNASGRFPRLEKKILVDVKASEAEEAV >CAK8566978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477441569:477443004:1 gene:gene-LATHSAT_LOCUS20072 transcript:rna-LATHSAT_LOCUS20072 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKNFRVFCFSLFIFLILPTLIAAECTCDEEDEDRNKSEALRYKIAALVSIMVAGAIGVCIPLLGKVTPALSPEKDIFFVIKTFAAGVILSTAFIHVLPDAFENLTSPCLKEHPWGDFPFTGFVAMCTAMGTLMVETYATCYFQNQNSKKTPMKVENHESCSDVEKDAGHEGHVHVHTHASHGHAHGQVSSSDLSSELLRHRIISQVLELGIVIHSVIIGISLGTSESPKTIKPLVAALTFHQFFEGMGLGSCITQANFKNLSIIVMGLFFALTTPIGIGIGIGISSVYDENSPTALIVEGVFNAASAGILIYMALVDLLAADFMNPRMQKSGSLRLGCNVSLLLGAGAMSLIAKWA >CAK8532102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:203929481:203929834:1 gene:gene-LATHSAT_LOCUS1838 transcript:rna-LATHSAT_LOCUS1838 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYKWELGTYFAFKQDFKYGITTYAIHNGRNTKFKKNDKKRMRVICKKGCSWETYCAKIQDADTWKLRKIIDKHACSRDYKVIFLTSKWLSIKIQNDVRENPTLKLCDIMEKTQQK >CAK8544914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711738615:711739260:-1 gene:gene-LATHSAT_LOCUS13556 transcript:rna-LATHSAT_LOCUS13556 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSSSWVYFPPALTIQNGGDLGVALMENALADFHDTLPFNQAFVSTMLTLFVTQSSKLFWNFCKERKWNFRLLLASQGMPSTRSAVCSALATSVALSHGVSGPQFALSLGFGLIVMCDAVAIRRHVGIQARAVNRLLDVVFEDSPVDIEKLEEDVGNTLPQVFVGAMLGSAVAILCSLGFMFLH >CAK8576830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517331751:517332062:-1 gene:gene-LATHSAT_LOCUS28993 transcript:rna-LATHSAT_LOCUS28993 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQSPCSTIYFHYNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQYDQVLFSGGSTNSIISQYSQNPTSFKSDFATAMIKMGDIQPLTGSAGIIRSICNAAN >CAK8577383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559264382:559267833:-1 gene:gene-LATHSAT_LOCUS29496 transcript:rna-LATHSAT_LOCUS29496 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTSIPHHNHVTLVLKSAIYSRILLLTLIIFFRTLLSPYDTSASLNPPCLTTTTAAANGTRRSPIASAIENGIVWDSVYFVRVAECGYEYEQSYAFLPFLPLTISFLSPSTLFSFLPQRSLFAISAYVINNLAFVLAALYFYRLSIAILKDPEIALRATVLFCFNPASIFYSSIYSESLYAVLSLGGLYYFVSGKNNLAVLLLALSGCARSNGVLNAGYICFQTMHRTYHALFQNKNVTLALQIVFVGALRSACIFAPFVAFQAYGYYNMCVGRFPDEIRPWCKARVPLLYNYIQSHYWGVGFLTYFQLKQLPNFLLASPILSLAFSSVVHYAKSRPQIFFSLGFDTTIEEKSRGVVFLSEDHSRFKVTGSVENSSVRAEEHFNVRRRKNVIKGDVSNVPIESEPAAGQGYLSASVLPFVLHLGFMAGTAFLVMHVQVATRFLSASPPLYWFASYIMTYPAKNHRWGYLVWAYSAAYILLGSLLFSNFYPFT >CAK8560372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16353432:16398741:-1 gene:gene-LATHSAT_LOCUS14049 transcript:rna-LATHSAT_LOCUS14049 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGSFSVHSSLRRLLDRCPKLQSVPQIDSLAHKGSLVTEEELVNELVGVFLHPSYTIPLAGCFRPIVRNFVDKAVALLQLVPNLRSNTVDDAMEIDSDIVLDDVANVVEYCVERGRGLDLHEHACFAFCRALEMGLVPLSSVLSYFSFAPSPFERLSGEQVMVEPHGLHVVRISYRFLLLKPEKFSKLWDWSCFLEPLKKPCESDLIWCRFHILKVVFKLGSRANEILNVEAQEASACLSRWEEFCGDTTLERAGWLVEPTADYLSDSPNRNMEFNQENCLNSLRCNDYPVGSPKVHEVQPPFRTKRAVTRDDISVSYTFVLTSAVKKSYERVLLATSQKWPVLLYGPSGSGKSALIAKLAEDSRNQVLSIQMDDQIDGRTLVGGYVCTDRPGEFRWQPGSLTQAVQNGFWIVFEDINKAPSDVHSILLPLLEGADSFMTERGEVIKVAESFRMFSTIAVSKFDSSESAGQGSLSVLWKRIIIQPPENEDLQQIVKVRYPDLKLHARELIETFERVNNISSFQIAGFHPESSSVYSLGRFSLRDLLKWCRRITGLGFCFDVSLSEEQCNYIYTEAVDVFAAFPASFDNRLSIMKEIGKLWKVWGSAAETLYPLDKPIYQDSVAGLKIGRVLLQYKKEPSHDRRVPFVEIRSSLFILERIACSVKHNEPVLLVGETGTGKTTLVQNLALRLGQKLTVLNMSQQSDVADLLGGFKPVDEQFVYSHLYQELKALFARTFSIEKNSKVRSYLEKSLNNKDWVGLLRGMQLTVEESQKHIRARPSKKRKRPLGEEIFQAWESFSIKLDSICKSNPSSGMMFSFVEGSFVTALRNGGWILLDEVNLAPPETLQRIIGVLEGENGALCLAERGDIDYIHRHPNFRIFACMNPATDAGKRDLPLSLRSRFTEYFVDEVLDDNDLSLFISQFINSGHIQLVNKIVRFYKESKKESEERLQDGANQKPQYSLRSLYRALEYTRKAERDFGFQKALYDGFSLFFLTLLDGSSADLMRQKLLSLLLGGNMPSHVPFHRYLSTFKSNGYSGNYVKTKSVEEHLGNLARAVLIKRYPVLLQGPTSSGKTSLVQYLASVTGHEFVRINNHEHTDLQEYLGSYITDASGKLVFNEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIKAHPNFMLFGTQNPPTHYGGRKMLSRAFRNRFIEIQVGEIPDDELSKILGERCEIPLSYASKMVEVMKELRMHRQSSRVFAGKHGFITPRDLFRWADRYKRFCKSQEDLAKDGYYLLAERLRDEDEKSIVQEVLEKHFRVKLNIQNLYGQILSGDGSSSNSIIGLGGLESLRSVLLTKSMKKLYFLVERCFQLREPVLLVGETGGGKTTVCQLLNACLQAKLHILNCHQYTETSDFIGGFRPIRDKFRLISNYEETIEQLKKLKAFTHYPEDPAQFISSGIDQASSTIELLNIMIMKYKVGRVCSADVSKEDLYAFEQLKVNLDVLHHKWQSIFEWQDGPLVKAMKDGDLFLVDEISLADDSVLERLNSVLELERTLSLAEKGGPDLEKVVAHSNFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVIDLDDLQGIALERISKFKDPTYQERLLLIVNAMISFWEWFNKLHPGRMLTVRDLISWVSFFDVMEGSLGLEHALLHGAFLVLLDGLSLGTGISKTDAGELRERCLSFLLQKLGVDESNLLYSKLSRMGNYGWGEFGTNVDVPHNDDKQPDDLFGIDPFYIKKGFSSCEDGGFEFKAPTTRRNASRVLRAMQLPKPVLLEGSPGVGKTSLITALGKYSGHRVVRINLSEQTDMMDLLGSDLPVESDEGMKFSWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGNTYKCPPSFRIFACQNPSHQGGGRKGLPRSFLNRFTKVYVDELVDGDYLSICKSKFPAIPEPLLSMLILLNKRMHEETMLNKKFAKDGFPWEFNLRDVFRSCEIIEGAPKHLEALHSFLNIVYIQRMRTAADRKEVLRVFEEVFKATPSVNPYPRVQLNSDNLFVGNVAIKRNITQSYTTSSSHLLVQPKLCQSLEAAALCVERQWLCILVGPSCSGKTKLLRLLAALTGNVLNEVNLSSATDISELLGSFEQYDALRNFRTVVAQVESYVNEFCSLQLEVSNGATFKETDFYRRWIAFLSKFENLAAASSYLENWRNIICSLSLLDEIIEKLKLYIEKNSLLLSYSIRDLDLVKRIILKLKADDQKRLASTKFEWVTGLLIKAIERGEWIVLENANLCNPTVLDRINSLVEPSGSITVNERGIVDGNPLVIHPHQNFRMFLTVNPRYGEVSRAMRNRGVEIFMMEPYWALDDIRESPEIIEFKDVKRFLSLSGIPVAQLVDSMARAHMYAKREGSKLNVPITYLELSHWVHLFWQLLMNGCRPIWSLQLSWEHTYLSSFYVKGEEIINFAKSKYLSVTGLSRYDPLAECHLGLPGGWPVTLRLRDYIYYSKEASIKQNCMYLEFLGSQNASHEYQVSQRRYSRDGSQTTDGHFRPYLMDMRMLHDIQFPKTSIGTISHCESEFEFNSELANKRLIFAANWTIEQATESDLKLYLFRFDWFNSQLKPFCPSFDNFHNLIGDMIKHPIWEYILCRRKLDVDMQLMPLLSLDFIDLEAPSSEVKYLCNAIRCFDLLRLTFQQWIIERPDNLTDEMSHFLPVLKSLHVLEDEFLKKLVESTPKLIEDTSFDDIIQLYSDLVEDHVLFWRYFSSSMFDQMIISWHSLLKDAEKFMTICPEAANHFLTESKSLNRFSSSEKSLLWIYGGHPFLPSSFDLHDKNQQLLKLSESLWPRKRANISNQGMLSSHHADAVVALDHDLRFLVMQDISNSSFMVAKQSHEDDGAHIIEKLDEAYQVLLGRLEHEQNKLKVNTGSKDLSTYAESLASCCSSTSELLCQKTVFEGWQDTLPPADATSLFWDMELLKELTSVPLDELEGLQKVVGRLSNLLDTALKFSLSSSSRPPQMFSPHQKILWTLNAWTSMDAVNMKIASFILEIWFNWHESLWACFPDFVKNFSNIEGFDNKSISLPHMLIQPVCASTVLQITKSSHAIKEFWVQCLKCRVSLSNLWICSHHGEYFPKFPMSGARALFQQIIYAHRKSFDADQYAAIKFNFSSFERNMATEESIHLVSTLVASSRHRRLKNSVNKFVVPLLRELYLQSTTSDFNFNYTIGCAWAHIGALRIHLLLSYNEVDPAMKHYCKYTQLEETISSLELEIQVRKECGYLAGQFLTVEADKRKAERLEKLQAERRKLQRKIVFRSESWKYKKLMKECDEFLKHIAALEVLVSNVEAEDLQQVIDRTHSWQETAMCFINRLMDEYSAFNDIIQPIQVAVYEMKFGLSLVLSSTLEKEYLRKVGHENINLVMETIYVLMRFPRAASFKSISVEYVGLDLHPSYRLDFGTDFYLNVGLMERLVALSGGISSDTKVSVRSRATIYWNILVQIAYSIANAKIIDCKSYMLLQRIFDEFSSLWMKMKVDAKSKSDYDAQQFKFKSREFQIESVIEVEIPTLANSSAAEAFSEWKEFSFEEKSTDQMESSEVYEISDEEWKHLEESILDNVVLIHNQLFGSGDLVQAPGIFKISDEDRLHSFSESYKLGINLIEGVHSPSLASLDAKLIPEHLFYLSIDFGRKFLSSSKSANRYNFYKDSNAHEIEQMLKVLAPLRQQILSNLNEWDEQNDLQNFLVVIDMLLTLPSDIPLAKAFSGLQFLLHKAQVMQENHSKFSFANQLRSVFDLMSSWQKIELGSWPALLDEVTDQYESNAKKLWFPLYSLLPSTTSDQSIVESLKDFIELSSIGEFRKRLQLLYAFLGQNHISASLKINSSPCRMPTFLYNTFGYYVQFLPNVSKYIDASRKEILIELKELVKLCRWEDDKSYASIEKLKKSRQKLKKLIQKYTDILQAPFREVLIKGSISNATDLPLFSDENRSMWFENCSMALDNAFQNLQLKKTSAFNVLCLQQKNVEEVGSIFRSCRDSHHTLNLEGWKDAWHIVENLYIKAVDFGNIWKDDKSSYRKRKAFDHLLNHFKESGLTSQKDQHEDWWFIELYGKTECLLLENNRFTSPSLEIDAKLKDNDVTEESSLMEWKAAIEHYFKSVMSVRLLKEICIKPHSDIDIQQVHRSISFLGQLVQIQKKQLAAASAFGEKLKHFRECASTMGKLFSFSSLTDNRTDFVCSIVPNQFATYKCLWQQKQIFDNLCAISNGELLLLRILKSSHLNTCQKTSLSVSMMSASIEEFLPVFCKSKESLDCYLIGESKAVTAMASSRFSVVTQEMQQLVSENFKAIMDFNDRFLVRQEQGTDRSSAKNVLVHHFQEVIDKAKSIEEEYITAIKENSKHIDPSEKDRFRERQCAEPNARFDEALKTTYQHISSLLQNLCAPMDEESMDEELEINIGECKFHPFLSNLKLEMLCDDLFNIITFGEKLVNCCDDKISSYSCKVGAHFQNLHMLVGLILKFSDGLLKNFFALHRSVSVTTHVIANILVSVFSKGFGTPTENEDDGTLNTSEDASGTGMGEGIGLNDVSDQITDEDQLLGTREQQKEKQDDSKEVPNSNNTGIEMDQDFQADAVSLSEESNENEDSDGENEEPESEMGPTGPDGEAVGEKIWDQNEDETPNDTREKYESGPSVKDKDESNKELRAKDDSAINESGNASCDEGDAQNDEAATQDEFEDEENADEVNMDKEEAYSDATGLKPDEPDHSSDLEMDFDANEDLDPVEEGDPEGHEDSAENENQDGEKCSPDEIMEEANEDFDVSSEKDDHGQEHQENGDNDSMEPEKDTSESSDVVNAQVSTVGLASQSKGDLQASGSQNTASELNLSNSHQDFDNPALTGGFPSSDMSEMDLTMPDSSNTGEISKTQPKSHLPQQEHTFSQEKQTNPSRSTGDALDFRKERINVSGDLPEDNIENDGEMDDDNADEYGYVSEFEKGTTQALGPATLEQVDRKIDDDKLDTECRAGDDVNLQFEKEKSEIDSVSNSSLLPRNEKRDQVNMSAIEKSQEDGSGKPLVSENFDPESRREDEVSFSRSYLNENTHKISQLSVHDEEMGQYHEPCEAPDHVKDNANALWRRYELSTTKLSQELAEQLRLVLEPTVASKLQGDYKTGKRINMKKVIQYIASYYRKDKIWLRRTRPNKRDYQVVIAVDDSHSMSETCSGDVAVEALVTVCRAVSQLEMGSLAVASFGTKGNINLLHDFDMPFTGEAGVKMISNLTFKQENTIADEPVVDLLKFLTNKLDAAVVKARLPSGRNPLQQLVLIIADGRFHEKDNLKRCVRNALASNRMVAFLLLDNSQESIMDLMEASFEGGKMKFSKYMDNFPFPYYIVLRNIEALPRTLANLLRQWLELMQHSNY >CAK8540506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9300094:9301000:-1 gene:gene-LATHSAT_LOCUS9506 transcript:rna-LATHSAT_LOCUS9506 gene_biotype:protein_coding transcript_biotype:protein_coding MEKINFVKNGELKMPPGFRFHPTDEELVLSYLKRKVFSLPLPAAIIPEADLCKSDPWDLPGDLEQERYFFSNKEAKYPNGNRSNRATNSGYWKATGLDKQIISWSKSEIVGMKKTLVFYCGKPPHGSRTDWIMHEYRLATSHSNPLNENWVLCRIFLKKRAATKNGRETVTVKPEIMVNNNLGKVNNSDSKVVVFYDFLAQSNSNKNTVASSNSPATSGITDERNDHENEESSSS >CAK8563632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621356247:621356555:1 gene:gene-LATHSAT_LOCUS17024 transcript:rna-LATHSAT_LOCUS17024 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYEIDEISRGREIEQALSRLGCSPSVPTVFIGGELVGGANQVMSLHLNRSLIPMLKKAGALWV >CAK8534050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689413358:689413636:1 gene:gene-LATHSAT_LOCUS3624 transcript:rna-LATHSAT_LOCUS3624 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNFNAASSNSERNGQSLYSRSSEQRDFLAFMFEANMVDLPVSRNNFTWFSGDGKSMSKLYRFLVDDSIINKWGVIGQSVGKRDVSDHCPN >CAK8536061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904136792:904138255:-1 gene:gene-LATHSAT_LOCUS5463 transcript:rna-LATHSAT_LOCUS5463 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTERTYKHVDTTPKSPSIATMEQFLRGGEAPRMSPTYSHTSASSPSSHYFGMKHHDLEEDHGLYQRKSVLAKVKERAKKLVLRNSLSRKRLDEENLTPSWGVSLEDEEEEEEDPEYLGAPIYESELAPEEYKENARQHPRANPVISEKRVLRTMKSGEHDQGNALTPIKSTTSTSPSTSTSTKTPQLATTPNNSTKESTTMTQKLTSSNVEGSNSNSSSQPASSSKYNVGSITPVAPFTSVMMKTASPSPPSVKTNTTTSPKISYNSQAFLSPRKGSNGIGVIDKVKGVVSSLLRNDEQAHLKYGVKSPTTRANSSQTSYTTQQVEQEVENRGRILQTN >CAK8576360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466587399:466588367:1 gene:gene-LATHSAT_LOCUS28553 transcript:rna-LATHSAT_LOCUS28553 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWFKSLLSHKKISSSTTTTTDQEKKINGDNKSGPTKKKWKLWKSSSEGSSSCSSMKKSGGGGTASVCDSSLTAAVVAVMVRAQPKDFNLIRQELAAIRIQALFRAFLARRALRALRAVVRLQAIFRGRQVRKQADVTLRCMQALVRVQARVRARNVRNSPEGKAVQQLLDDHRNQVDSVKLIEQGWCEIPGTADEVKAKLRLRQEGAVKRDRAMAYSLSAQSRMSASPNSKSVTPLKHLHNRDNKSLGNNLLERWMANKPWESPMSNRKSEELVPTFQTRRNAMTTRIPALKICQQTPSFSTISQYLQSHRSFVMQQ >CAK8540232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546034998:546037562:-1 gene:gene-LATHSAT_LOCUS9258 transcript:rna-LATHSAT_LOCUS9258 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGGDDTLAARLGLISPSLLIPTTSMISREMSPAPVREERLPSQPQWSQQETREFIAIRAELERDFTASKRNKTLWEVVSSKMRERGYRRSPEQCKCKWKNLVNRYKGKETSDPEHGKQCPFFEELHAVFTERAHNMQRLLLESETRPAQAKKGVKRTSGYRSSEEFSEDDEEVEDDSEEEKPARSNTRKRKASKIAMEKSSRANNASSSTSNVQEMLKDFFQQQLRMEMQWREMMERRAHERQLFEQEWRQSMEKLERERLLIEQEWREREEHRRLREESRAERRDALLTTLLNRLAHESN >CAK8539670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:520971962:520980610:-1 gene:gene-LATHSAT_LOCUS8748 transcript:rna-LATHSAT_LOCUS8748 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSVELNYLVFRYLQESGFTHSAFVFGNEGGINKCPIDGNLVPPGALVTFVQKGLQFLEMEANLSNCDADFDEDFSFLQPLDLITKDVHELKKMINERRRKLQKGKNKEPEKEHDGDRGRVREKEKHEREKECEKDKEKVENKKEQELLSENPISKEMISDQEDKVSGAKKEDKVNGAKKEDTINGAKKEDKVNDAIKEENINVKDDEDEAFRGPEPMDICTTSTSQPCEIPSSDVITLEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIDEGRCKSDSRSDSSNVLVLPHLRGISNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSTLIKHKGPIFSLKWNKKGDYILTGSCDTTAILWDVQAEKWKQQFEFHKGPTLDVDWRNNVSFATSSTDTMIHVCKIGENHPIKTFAGHQSEVNCIKWDPTGSLLASCSDDSTAKIWSMKQDTFIHDFREHSKEIYTVRWSPTGPGTNNPNKKLVLASASFDSTVKLWDVELGKLIYNLNGHRDGVYSVAFSPNGEYLVSGSPDKSIHIWSLNDGKIIKTYNGNGGVFEVCWNKDGDKIAACFANNTVCILDFRM >CAK8572803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564343227:564348707:-1 gene:gene-LATHSAT_LOCUS25325 transcript:rna-LATHSAT_LOCUS25325 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDSSWVTSLSCSPSSGDDAVVYALTQRLRFVFLSPCPQRALLSAVDFLLLFTLLVFAIIKLYSRFTSNGSTNTEEEINKPLISNTRGLRTRTRTTLWFNLTLIATIVLTVLYTVACILVFSSSGIESSWKQLDGLFWVVQVITQLVLVILIIHVKRFEAVVHPLSLRVFWVANFVVVSLFAASGVTRLVSLEGSESFGFMVDDVVSFISLPVSLFLLIVGVKGSTGVVKSREESPSVVDDDEETKLYDHGLDKPSLTTGFASASQFSKTFWIWLNPLLSKGYVSPLNIDEVPFLSPQHRAERMSVIFESKWPKSEERSKYPVRTTLLRCFWKDIIFTACLAVIRLSVMFVGPVLIQDFVDFTSGKGSSVYEGYYLVLILLVAKFIEVLTTHHFNFNSQKLGMLIRCTLITSLYKKGLRLSCSARQDHGVGPIVNYMAVDTQQLSDMMLQLHAVWMMPFQVGIGLFLLYNCLGVSVITAFLCLLLVLVFIVVTTRQNKNYQFKAMMSRDSRMKAVNEMLNYMRVIKFQAWEEHFNSRILSFRESEFGWLSKFMYSICGNIIVLWSSPMLISTLTFGTALLLGVKLDAGTVFTTTTVFKILQEPIRTFPQSMISLSQALVSLGRLDRYMSSRELNDDSVERNVDGEIAVNVQDGTFSWDDEGLEQDLKNIHLKVNKGELTAIVGTVGSGKSSLLASILGEMHRISGKVQVCGSTAYVAQTSWIQNGTIEENILFGLPMNRQKYNDVIRVCCLEKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKGKTIVLVTHQVDFLHNVNRIVVMREGAIVQSGRYNDLLDSGLDFGILVAAHETSMELVEQGAAMPGENSNKPMISKSLSNNSRETNGESNSLDQPNSAKGSSKLVKEEERETGKVSFNIYKLYCTEAFGWIGIFAVLFLSVLWQASMMASDYWLAYETSVKRAEYFNPSLFISVYAIISIVSVLLIVLRSYSVTVFGLKTAQIFFKQILNSILHAPMSFYDTTPSGRILSRASTDQTNVDIFIPLFINFVVAMYITVVSIIIVTCQNSWPTAFLLIPLVWLNLWYRGYFLSTSRELTRLDSITKAPVIVHFSESISGVMTVRAFKKQKEFSLENIRRVNSNLRMDFHNFSSNAWLGFRLELLGSLIFCMSALFMIILPSSIIKPENVGLSLSYGLSLNSVMFWAIYMSCFIENKMVSVERIKQFSNIPSEAAWNIKDRSPPPNWPGQGHVDIKDLQVRYRPNTPLVLKGITLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDISALGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTGQYTDDEIWKSLERCQLKDSVASKPEKLDSLVVDNGDNWSVGQRQLLCLGRVMLKQSRLLFMDEATASVDSQTDAVIQKIIREDFAARTIISIAHRIPTVMDCDRVLVVDAGRVKEFDTPTNLLQRQSLFAALVQEYANRSTGL >CAK8568922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654983120:654986580:1 gene:gene-LATHSAT_LOCUS21829 transcript:rna-LATHSAT_LOCUS21829 gene_biotype:protein_coding transcript_biotype:protein_coding MDATHNMFCNNDLINPLNSMGMQVSCILVISHFFNVVLRTVGQPGPIAQIMAGLVLGPLSHISYIKEIFFPANSMNYYEVVSFFCRINFMFLFGLEMNFQYTRRHLRLVTLVACGGAIMGAIFGLSVSFYLYEELNISSPMLSFCTIIMLVVAYTSSPMVIRLAADLRFAASDVGRIAVSAALITEMICLLLFNMMVNWNSAKDVSRGFCCLIITILVIIMNRYLSLWFNVRDKNQKYLKAPELLVILFVLLTTSMVVEIWGYNSIIHCFFVGLFFPKEGKTARTLLHKLGYSIYNFILPVYFGYIGLQCDLINVFKSLDKITNTAIMILLSVGSKLGGTLLVCRYLQIPTSEGIFLGFLMNTRGYADLLFIGAAAKNTLDTEVYNLLLVAIVLNTIISGIIVSFLARGEEKMFSHNHTAIEPQKMEDELRILACVYDPRQVSAILATVLAIHGSKTSPSTTYLMHLIELVKKIKSNLLYHEKENADLSDDDDYGGNDVVEINNSLDVFTSDTKILVHQKRAVSSFPCLYEDVCNEAEDLQVSIIILPFHKHQRIDGKLESGKEGIRITNQKVLRHAPCSIGVIIERGLTKVPGFSQLIASDGMQNVATLFFGGPDDREAIAWSLRISKCPRVNLTIIRFLLSSSSSSHNEHNDNGEQFDEKEILMSLSGEETVNDIDNTFMVDFYNRYVTSGQIGYVEKFVKDGTQTVESLKEIGDMYSLFIVGRGGRGNSSLTIGMSDWEECPELGTVGDVLASSDFDIHGSVLIIQQHRDVKNGLIHD >CAK8575657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237123129:237124024:1 gene:gene-LATHSAT_LOCUS27900 transcript:rna-LATHSAT_LOCUS27900 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLGLGLPIPIGPHKGTGVVERFHIAEPKGSTSGIGGSIPPMLSHGLVPSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNLSTISFSSTLANMSSPGTSNFIGEFPISVGAFQRNSLVATLAALGMIFGAVYSLWLYNRVVSGNLKADFLHKFSNPNGREVSIFIPFLVGGATVR >CAK8574251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672292350:672293167:-1 gene:gene-LATHSAT_LOCUS26611 transcript:rna-LATHSAT_LOCUS26611 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFLHDLLNGISNSSTYPTGKSSKRYLPDSSGKYCKSITKSNKGKLNSVLTKMSDTVKGKLRLGARILRVGGVEKVFMQLFSVTDGEKLLKASQCYLSTTAGPIAGLLFISTHKVAFCSEKSIKITSPKGEFVRVHYKVSIPHEQIQHVNQSQNVKKPSEKYIEIVTVDGFDFWFMGFFNYQRALTYLQEAVSQSQREKL >CAK8534217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707006870:707009020:1 gene:gene-LATHSAT_LOCUS3777 transcript:rna-LATHSAT_LOCUS3777 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHQNSKHQRERTKVIEEVIKVLWLAGPLVFVSLLNYSLQVIAIMFVGHVGVLPLAGASVATAFATATGFNVMVGLASALDTLCGQSYGAKQYRLLGIHMQRAILILMTASIPISFIWINTKSILIFLGQDPQISTEAGNYAKLMVPSIFAYGLIQCLNRFLQAQNIVFPMMFISAVITLLHALLCWILVFKSGLGGRGAALANSISYWLNVTMLSLYVKFSPSCKNTWTGFSKEALHNIPTFLRIATPSTIMVCLELWSFESIVIISGLLPNPKLETSVLSICLNTISAAWMIPLGLSGAVSIRVSNELGAGHPWAARLAVCVVVVIAIIESFLIGAAMIIFRNIWGYAYSNEVEVVQYIAKMMPILAVSTFLDGMQCVLSGNARGCGWQKIGAYVNMGSYYLIGAYVNMGSYYLIGIPLAIVLAFALRIGGMGLWLGIICALIVQVSSLTVVTIRTNWEKEAEKATDRVYSLITPEIVDS >CAK8574478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:442821:443216:1 gene:gene-LATHSAT_LOCUS26822 transcript:rna-LATHSAT_LOCUS26822 gene_biotype:protein_coding transcript_biotype:protein_coding MYWIFKALLRHRTIIIAMDDWNEKKERYFVGKVYQFLKKDEPDVGWKHMFSNSIARSRALFVMWMACHRRLVTRGRLKKLGLTTDESCNFCNKEETINHLLFDCPSFKNGWQQILACLEIQHVPCEWREEL >CAK8535967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894476944:894482378:-1 gene:gene-LATHSAT_LOCUS5380 transcript:rna-LATHSAT_LOCUS5380 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPFYPKERGFGYWQSPETEMEGSTSLDGGINNLVSEDMPSSFSELMNFDNYAGLCSGPSMTDQIMANELPSLASVLYQSPDGFNPVEQNCGQFYMTGVSGNYNNSDSSPVFAEKVVRQKMDTLRGFLDNNNDANNLSSKQKINDTTQHVSSLSLDEKMLKALSFFKESAGGGILAQVWVPIKHDGQIFLSTSEQPYLLDQMLAGYREVSRTFIFSTEGKPGCLPGLPGRVFISKVPEWTSNVGYYNPNEYLRVEQARNHDIRGSIAFPIFDLHSGMPCCAVLELVTTKEKLDFSRELEIICRSLQLVNLRTTVPIRLLPECLSTNKRAALTEIIDVLRSVCHAHRLPLALTWIPCFCTEGAREETCRIQIKEGNSSSKEKSILCLEESACYITDRVMEGFVRACIEHPLEEGKGVAGKALQSNHPYFYSDVKTYDISEYPLVHHARKFNLNAAVAIKLRSIYTNDDDYILEFFLPINMKGSNEQQLLLDNLSGTMQRICKSLRTVSGVELSGIECKHAGFGKKNVPSFPPSSKRNSQISLINENHSSVQKLSSEASDLRNNGKEPSNQEKNGSKRRAEKSRTTSEKKVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRHHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPSMGAFVAAGTTIQEIDESTNLLFPEKSTTQDSEPISEDAASVPPAPCSEGENSANKLDRQLKGTNASMIDCSEDSKSFAMHGVPEQACFSSVLAKGNAIGQRSSFVADDMDSDADGDDEVVERSNPTSSSLTDSSSGSGSIMHESSSSYQNFKNQKQSKAKSTIVDSGTKIVVKATYGEDTIRFKFDPSTGCFKLYDEVATRFKLQYGTFQLKYLDDEEEWVMLVNDSDLQECLEILNDMGTRNARFLVRDMPHILGSSGSNSCYLGGSS >CAK8534411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724197630:724197941:-1 gene:gene-LATHSAT_LOCUS3959 transcript:rna-LATHSAT_LOCUS3959 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQRKIRAQNARDRKQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYSTSNVRTIMPFQDLTNDNLVPRLFPMAHDSEVGPSNAHVSRIPSPG >CAK8578526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634970887:634974762:1 gene:gene-LATHSAT_LOCUS30530 transcript:rna-LATHSAT_LOCUS30530 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQKAKSLRLNRRGDRSNQNLQAKDFEVGLFSSGRVPQDYPMKIVWKKGFIRLVLVGGILWMLLILIALLFHVWSCQTSVSFLSAMCNKNSKVYTMLDTMGLVTKPHRCPIPVSSDPDKIVIPTGRTSDEIVKNLLYVTEDEVNSSETSPLFGGHISWKQREESFKLKSNMKVHCGFIKGGGAEMDPVDIKYVEKCKFVVASGIFDGYDIPHQPSNISLRSEKLFCFLMVVDEVSLKFMRENTTVKEDNAGGKWVGIWRLVLLKNQPYDEPRRNGKVPKIITHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMQPWSSNKKTISDVPEGAIIIREHTAVNNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGDAFNFFMFLNCEYNSIFVLHPHTREHSSPIEWVKELDQLKKNSNLKESRGGLGLFTPYPGDLSSVVLPQVTRTSKAG >CAK8569862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13897817:13898794:1 gene:gene-LATHSAT_LOCUS22669 transcript:rna-LATHSAT_LOCUS22669 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAQIGSSGVQSNDQEDETPKFCTQGSLETVNLGEEVASVRVVNTHKQRFQQKEDEILIQSWLNVSKDSIVGVDKKGDSFWKWIDEAYNKHRDINYKERKPTQLKCQWHKINPSVQKFVGCYKQAVSTQQSGSSESNIIQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSASGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKATKRKEKEKLMEMSSTPNVKYDSLKDDLKKIDLMSMFARDYARIEGEKVGIERKKVDAKIKKDESAEERLKMNDLQILLKDTSNMDTRQLQAHDMLCNVIREKYGLN >CAK8534249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709707301:709709378:-1 gene:gene-LATHSAT_LOCUS3809 transcript:rna-LATHSAT_LOCUS3809 gene_biotype:protein_coding transcript_biotype:protein_coding MADDIFDEECDYLFKAVVIGDSGVGKSNLISRFTKDEFRIDSKPTIGVEFGYKNIKVRDKLIKAQIWDTAGQERFRAITSSYYRGALGAMLVYDITKLSTFVNIKKWLHELREFGNEDMVIILVANKSDLSHSREVEKEEGKVFAEKEGLCFMETSALQNLNVEEAFLQMITIIHDIISQKSLEDKMNGPKLNLNNGKEIYIADEVTATKRVNCCSR >CAK8577087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535984863:535985309:-1 gene:gene-LATHSAT_LOCUS29225 transcript:rna-LATHSAT_LOCUS29225-2 gene_biotype:protein_coding transcript_biotype:protein_coding METAFTFIVNNGGITTEKDYPYKGVDGTCDTEKAKHYAVSISGYEKVPADNEAKLKAAAAKQPVSVGIDADGYSFQLYSKGVFSGICGKQLNHAVTVVGYGEENRDKYWIVKNSWGSEWGESGYIRMKRDTFDKAGLCGIAKLASYPV >CAK8577086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535984863:535985988:-1 gene:gene-LATHSAT_LOCUS29225 transcript:rna-LATHSAT_LOCUS29225 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTILTNILFILLMLCNACITASECPSGHKQKSSDVEELRKRFEGWTKRHGRNYKHSDEWEVRFSIYQANVRYIECKNAQKNSYNLTDNKFADLTNEEFRSTYMGLRTRSHSHTGFRYDEHGDLPESKDWRKEGAVTKVKNQGRCGGCWAFSAVAAVEGLHKIKSGKLVSLSEQELIDCDVESDNQGCGGGLMETAFTFIVNNGGITTEKDYPYKGVDGTCDTEKAKHYAVSISGYEKVPADNEAKLKAAAAKQPVSVGIDADGYSFQLYSKGVFSGICGKQLNHAVTVVGYGEENRDKYWIVKNSWGSEWGESGYIRMKRDTFDKAGLCGIAKLASYPV >CAK8541581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:261688436:261688730:1 gene:gene-LATHSAT_LOCUS10491 transcript:rna-LATHSAT_LOCUS10491 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSILVHPHPQPVGYSGGPYDKSLLVRYEQRITRHLWFGEERDPKKELKVAGHGMKLVAKVPQQLPR >CAK8538117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464466594:464473874:1 gene:gene-LATHSAT_LOCUS7351 transcript:rna-LATHSAT_LOCUS7351 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTKKNKKKKTTKQYGVAELKTLGNELLSSASNINNLPLLLTFVSPSSPPQHVLESLLSLHSFFLPLLPQLPSSSATANASSNAADQSEFIYFTWLRSKFDEFVKSLVEVLVADQSDKTLKEVVLDTLMEFVKVANGGTFHSSLYNRIISSIIHSTSSAEFLIDLLTSKYFKYIDVSYFTFISLEKIAKNLEGKDVSDDKTASVDGTDESQLSSKLVTSIATDRIEGVIHNMYYTISHVPFLEKSDDTSVFEMWSVSESGNKVLSGDLDDKQLKSKKHKSNVLSADRIAKKMKLKFTKAWIAYLRLPLPLDVYKEVLVNLHQTVIPHLSNPIMLCDFLTRSYDVGGVVSVMALNSLYVLMTQYGLEYPNFYVKLYALLVPSIFMAKHRARFFQLLDSCLKSPLLPAYLAASFAKKLGRLLLSVPPSGALVITALVHNILRRHPSINCLVHREDVDEDSDQRTDEVTASNLDDAETGSKPHQKSGIDHFNIEETDPMKSGAMRSSLWEIDTVRHHYCPPVSQFALSLGTDLTVRAKTTDVNIGDFSAGSYATILGAEITRRVKQVPLAFYKTTPSSLFSENDFTGWAFQSEETSKMIDDNNENAAKNPEHYSAKRQRIECP >CAK8538116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464466594:464473874:1 gene:gene-LATHSAT_LOCUS7351 transcript:rna-LATHSAT_LOCUS7351-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTKKNKKKKTTKQYGVAELKTLGNELLSSASNINNLPLLLTFVSPSSPPQHVLESLLSLHSFFLPLLPQLPSSSATANASSNAADQSEFIYFTWLRSKFDEFVKSLVEVLVADQSDKTLKEVVLDTLMEFVKVANGGTFHSSLYNRIISSIIHSTSSAEFLIDLLTSKYFKYIDVSYFTFISLEKIAKNLEGKDVSDDKTASVDGTDESQLSSNIEGVIHNMYYTISHVPFLEKSDDTSVFEMWSVSESGNKVLSGDLDDKQLKSKKHKSNVLSADRIAKKMKLKFTKAWIAYLRLPLPLDVYKEVLVNLHQTVIPHLSNPIMLCDFLTRSYDVGGVVSVMALNSLYVLMTQYGLEYPNFYVKLYALLVPSIFMAKHRARFFQLLDSCLKSPLLPAYLAASFAKKLGRLLLSVPPSGALVITALVHNILRRHPSINCLVHREDVDEDSDQRTDEVTASNLDDAETGSKPHQKSGIDHFNIEETDPMKSGAMRSSLWEIDTVRHHYCPPVSQFALSLGTDLTVRAKTTDVNIGDFSAGSYATILGAEITRRVKQVPLAFYKTTPSSLFSENDFTGWAFQSEETSKMIDDNNENAAKNPEHYSAKRQRIECP >CAK8535655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872539572:872542249:-1 gene:gene-LATHSAT_LOCUS5091 transcript:rna-LATHSAT_LOCUS5091 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGTKRSFFEDLPPSPPVSKRIRCSSSPIRLSLPTLVDHLRNLFPNMEDQILERALQECGNDLDAAIKSLHGLCLGSADEIAAIAPQPDLSIETGVFENNGDASATASGNQPAENNLPADGPEWIDLFVREMSCATSVDDARARAAKLLEVLEKSISAHASSGALTDLQRENLMLKYQVEVLTKERNCFKSAFRIQLERLSDYEDKDRELQQLKQLVSQYQEQIRTLEVNNYALRMHLNQAQKYNPFPGRFPPDGF >CAK8535904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890214248:890216515:-1 gene:gene-LATHSAT_LOCUS5322 transcript:rna-LATHSAT_LOCUS5322 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHRVHREPLNPRRGYPQESPYARGPAMQRPHLPPHPALLEEELEVQHAEMRRIVADNRRLIDERMALQRDLAAAKEELHHMNLAIGDIRAEHEMHSRELVDKSMKLESDLRANEPLKNEVIQLRAEVQKLSSIKQDLSGKVQTLTKDVARLQNDNQQIPSMRAEIDGLHQELMRARTMVDYEKKANMEFMEQRQSMEKNMVSMAREVEKLRAELASMDGRRWGAGVAYGTNFGSPEGGFPPPYADGYGVHMSAAEKGPLYGVGTASRKAHEKPRTNRR >CAK8560420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18441065:18446819:-1 gene:gene-LATHSAT_LOCUS14090 transcript:rna-LATHSAT_LOCUS14090 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEFEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTLLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTMPLTHVDEKLCYQILHEYKIHNAEILFREDATVDDFIDVIEGNRKYMKCVYVYNKIDVVGIDDVDRLSRQPNSVVISCNLKLNLDRLLSRMWDEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLVKDVKYVLVWGISARHYPQHCGLSHVLRDEDVVQIVKKKETDEGGRGRFKSHSDAPARISDRQKKAPLKQ >CAK8541431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:164225290:164226340:1 gene:gene-LATHSAT_LOCUS10351 transcript:rna-LATHSAT_LOCUS10351 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEELLLKSLDWKGKGLIKSAYDIEANSNSHQSSSDDELSKDRNKEGSLRFYKPKYSHIFCDMDGTLLNSKSQISTSTVKALREASARGVKIVIATGKARPAVIDIFKSVNLAGKYGIVSEFSPGFSYRTSD >CAK8536209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917453967:917458299:-1 gene:gene-LATHSAT_LOCUS5600 transcript:rna-LATHSAT_LOCUS5600 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPESSDSKSVKKDFSTAILERKKSPNRLVVDEAVNDDNSIVAMHPQTMETLGLFRGDTVLIKGKKRKDTICVSLADDTCEEAKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGLTGNLFDAFLKPYFLEAYRPVRKGELFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKAIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLSDDVDLERIGKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAISNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHSIFKSCLRKSPIAKDVDLRALARYTQGFSGADITEICQRACKYAIRENIEKDIEQERKRKENPEAMEEDLIDEEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSDTANRTTESDPFATTASGGAEEDDLYS >CAK8560414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18157373:18159664:1 gene:gene-LATHSAT_LOCUS14084 transcript:rna-LATHSAT_LOCUS14084 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFIVFLIIGAIALLLVLSHSSLNNHAAHPHDCLDLHSDWEDDIDFNLTKNIIRLFPDIDVDPTDQYVSVHELTQWKLHHLQTKQLHRSKREMIVYDKNLDGFVSFAEIEYRLPPPPQYTDGDSFGYDMRVLEEEHFNASDTDGDGRLNLPEFHDFLHPADSNNPKLQQWLCREEVWEHDTDRDGKVSYIEFVNGLFVSIRSYDEETYGYSHHYVSNNAYSEFMFSQLDKDRDGYLSAIELLPIIGKVHPSWRYYARKQAEYFVSQAQVGKYGRLNLNEMIENADILYAAIFRDEFYKKKELVLV >CAK8560413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18157373:18159664:1 gene:gene-LATHSAT_LOCUS14084 transcript:rna-LATHSAT_LOCUS14084-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFIVFLIIGAIALLLVLSHSSLNNHAAHPHDCLDLHSDWEDDIDFNLTKNIIRLFPDIDVDPTDQYVSVHELTQWKLHHLQTKQLHRSKREMIVYDKNLDGFVSFAEIEYRLPPPPQYTDGDSFGYDMRVLEEEHFNASDTDGDGRLNLPEFHDFLHPADSNNPKLQQWLCREEVWEHDTDRDGKLDKDRDGYLSAIELLPIIGKVHPSWRYYARKQAEYFVSQAQVGKYGRLNLNEMIENADILYAAIFRDEFYKKKELVLV >CAK8566009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367139833:367142987:1 gene:gene-LATHSAT_LOCUS19178 transcript:rna-LATHSAT_LOCUS19178 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFHHSHPRILRNPFSLSTMSSSLTLPSFSPIFPTLSPPTLSLQNHHFPRSRVTASLSTGSQASIHDASLADYKVSNAFLFPGQGAQAIGMGKEAQNVPAAAVLYKKANEILGFDLLDVCINGPKEKLNSTVISQPALYVTSLAAVELLRAREGGEQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAARSAMVSVVGLDSEKVQQLCDAANQEVPEAEKVQIANFLCPGNYAVSGGIKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALASTDIRTPRIPVISNVNAQPHADPDTIKKILARQVTSPVQWETTVKSLLSKGLKKGYELGPGKVIAGIVKRMDKSTEIENIGA >CAK8562612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:515956873:515961351:1 gene:gene-LATHSAT_LOCUS16097 transcript:rna-LATHSAT_LOCUS16097 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEVCKEGKFKQDTEELTLDGSVDWHGRPAIRAKSGSWFAGTIILLNQGLATLAFFGVGVNLVLFLTRVLGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQGIFVLGLVSLSISSYFALLRPKGCGNGTIECGKHSSFEMGMFYLSIYLIALGNGGYQPNIATFGADQFDEDHSKESYSKVAFFSYFYLALNLGSLFSNTILGYFEDEGLWALGFWASAGSAFLALVLFLLGTPRYRHFRPAGNPLSRFCQVLVAASRKLGVQMTPNGEDLYVTDEKESATNSNRKILHTHGFKFLDRAAFITSRDLEVQKGSQPNPWYLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISRFKIPPASMSSFDILSVAIFIFFYRRVLDPLIGKLKKSSSKGLTELQRMGIGLVIAVIAMVSAGIVECYRLKYAKQGGTSSLSIFWQIPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLIVSIVMKISTEDHMPGWIPGNLNRGHLDRFFFLLAALTSIDLVAYIACAKWFKNIQLECRYENNDEHSSFKV >CAK8539544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515675829:515750285:1 gene:gene-LATHSAT_LOCUS8627 transcript:rna-LATHSAT_LOCUS8627 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVSYLLQRYLGNYVRGLNKEALKISVWKGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVEGCSEDAVQEAKKSLIQETELKLWEKSQQVQSEMNKSWLGSLISTIIGNLKLSISNVHIRYEDGESNPGHPFAAGVSLDKLSAVTVDDSGKETFITGGALDRIQKCVELDRLAVYLDSDIIPWHVDKAWEDLLPSEWFQIFNFGTKDGKPAGTLSRKHSYVLQPVTGKAKYSKLHSSEVADSKQPLQTAVVNLDDVTISLSKDGYRDMMKLADNFATFNQRLKYAHFRPLVPVKADSRSWWKYAYRAVSDQMKKASGKMSWEQVLRYTRLQKRYISLYASLLKSDPSQVTISGSREIEDLDRELDIELILQWRMLAHKFVEKSTESNLNARKQKVGKSWWSFGWTGNSPKEETEEFNFTEEDWNQLNKMIGYKEGDDGKSDVNSKADVVHTFLVVHMNHNASKLIGDAKEPVAELSCEHLSCSIKLYPETKVFDIKLGSYQLLSPKGLLAESATSYDSLVGVFNYKPFDDTVDWSLVAKASPCYMTYMKDSIDQIVKFFESDTAVSHTIALETAAAVQMKIDEVKRTAQKQMNKALKDHARFSLDLDIAAPKITIPTDFCPDNTHATKLLLDLGNLMIRTQDDYQKESAEDNMYLRFDLVLSDVSAFLFDGDYHWSQISLNTKTHSTTGDFYPVIDRCGVILQLQLIQSETPHYPSMRLAVRLPTLAFHFSPARYHRLMHVIKIFEEGGGESSEFLRPWNQADLEGWLSLLTWKGVGIREAVWQRRYFCLVGPFLYVLESPDSRSYKQYTSLRGKQVYQVPPEFVGNVEHVLVVCSPTRPNNKVVEDANALILRCESEESMKTWHSRLQGAIYYASNTDPISGLSESSSEHDDTESEHNRGVVDVGIAERLFVTGVLDELKVCFSYSYQSDQSLMKVLLNEEKRLFEFRAIGGQVEVSIRESDIFIGTILKSLEIEDLVCCNKQSQPCFLARSFIGNADEISLFYNTTSENVDSSGIIPMETDDKFYEAPETLAESADYSSLELPKFSRVTGLLPSDAPSTSTKELGDTLESFVKAQIVIYDQNSILYNNTDKQVIVTLATLTFFCRRPTILAIMEFINSINIEDRNLATSSESSSAIIKNDVSTDLDDLNATTVEEHAVKGLLGKGKSRVMFNLTLKMAQAQILLMKENETKLACLSQESLLTDIKVFPSSFSIKAALGNLKISDDSLPSSHLYYWACNMRNPGGRSFVELEFTSYGNDDEDYDGYDFSLFGEFSEVRIVYLNRFVQEVVGYFMGLVPNTPKSVIKVTDQVTNSEKWFSASEIEGSPAVKFDLSLWKPIILMPRRTDSLDFLRLDIVHITVKNTFQWIGGSKSEINAVHLETLMVQVEDINLNVGTGTDLGESIIQDVNGLSVIIHRSLRDLLCQFPSVEVIIKIEELKAALSNKEYEIITECSISNFSEVPHIPPLPNQYSSMVLNDATGDIVPEVANGDASGTTVVESSTLLKICVSINLVELRLYTGITRDASLATVQVSSAWLLYKSSTAGNGFLSATLQGFSVFDDREGVEQGFRLAIGKPESIGASPPSTLSYYENQDSVDSSSSKGNNFEPVQTMLIVDMKFGPDSTFVSVCIQRPQLLVALDFLLAVVEFFVPTVSSMLSFEEQNSSMLDAIIIDQSIYKQPCAEFSLSPQKPLIVDDENFDHFIYDGDGGILYLKDGQGLNLTSASSEAIIYIGNGKKLQFRNVVIKGGQHLDSCVFLGANSSYSALNDDHVYLEQSVESPQAMSPRGRVHEVPSQNNAVNSSAEVIVELQAVGPELTFYNMSKDVGESSNLSNKLLLAQLDAFCRLVLKGNNTEMSADVLGLAMESNGIRILEPFDTSLKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEDDILSFLRMTSKKMTIVCSHFDKVGVIENPHNDQIFAVWRPHAPPGFAVLGDYLTPLDKPPTKGVLAVNTNSITVKRPINFRLVWPPLVSLGNTGEEMDNSDLSWETEADGSCFIWFPEAPKGYVALGCVVTQGRTPPPLSSALCIPSASVSPCSLRDCIIVGMTNTSSSSVAFWRVDNSFGTFLPVDPTTHSLMSKAYELRCIKYGSLKASSEALNSLDSHAHPGGQQTLQCDQSAVANSNRRSEPVASFQLIWWNQGSNSRKRLSIWRPVVPMGMIYFGDIAVKGYEPPNTCLVLHDSRDENIFKTPLDFQLVGQIKKQRGMESISFWLPQAPPGFVSLGCVACKGKPKQNEFSTLKCMRSDLVAGDKFLEESVWDTSDAKHVKEPFSVWTVGNELGTFIVRGGFKRPPRRFALKLADFSMPSGSDATIIDAGIGTFSIALFDDYSGLMVPLFNISLSGITFSLHGRTGYQNCTVGFSLAARSYNDKYEAWEPLVEPVDGFLRYQYDLNAPGAASQLRLTSTRDLNLNVSVSNVNMIIQAYASWNNLSHVHEGYQNRDAFSPTCGGNPTIDAIHKRNYYIIPQNKLGQDIFIRATEASGLQNIIMMPSGDMKAVKVPVSKDMLESHLRGELCRKIRTMVTIIIAEAQFPRVGGSDSQQYAVAVRLSPNPSLPNDALVHQQSARTCGRRANQLFPSDLELVQWNEIFFFKVDSLDYYTLELIVTDMSEGVPIGFFSTSLSEIAKTIEDSSYSKDFSYKLNWIDLSADNSLSMDDSQKKTRKLRCAILMHSSEAQNSNQQSNYDVHKSGFIQISPSKEGPWTTVRLNYATPAACWRLGNAVVASEASVKDGNRYVNIRSLVSVRNSTDFVLDLRLTSKIPSEKMNLLKSGSESSVTESYKIQTDEFYEIQKLTAHDGWVRWSGSPEQHMSDKGKSLQDFPEIDLPPGWEWVDDWHLDKKSTNTSDGWIYAPDIESLRWPESFDPKDSSNSARQGKWLRNRKLIADDLKNEISVGLLQPGEAAPLPLSCLTQSIQYFLQLRPRSSETPLEYSWSTVMDRPRLSEDVAIGEQCSNLCVSALSESEELLCCSEMHGTSGGSHKFWFCVSIQATEIAKDMHSDAIQDWCLVVKSPLTISNFLPLAAEYSVLEMQSSGHFLTCSRGVFLSGKTVQIYSADIRKPLFLSLLPQRGWLPVHEAALISHPNGNPSKTISLRSSISGRVIQIILEQNYDKECALLAKTIRVYAPYWLGVARCPPLTFRILETSAKKRMPKKNGSVFEEITDEEIYDDHTLVSALNFNMLALSVAIAQLGTEQFGPVKSLASLGDLDGSLDIYAYDGDGNCLRLIISTKPCFFQSVPTKIISVRPFMTFTNRIGQDIFIKLSTEDEPKVLHASDSRMSFVCRGAGGPEKLQVRLEGANWSYPFQIIREDTISLVLRMNDGTLRFLRTEIRGYEEGTRFVVVFRLGSTDGPIRIENRTADKALSIRQSGFGEDSWILLKPLSSTNFSWEDPYGDKFLDAKLSEEDSNAIWKLDLEKTGLCSAEFGMQLHVFDVGDIIVAKFRDDKMLSSSLFEEIRDLTPTEKWGVSSIHAEMQNSVTPFELIVELGVVGISMVDHRPKELFYLYLERVSLTYSTGYDGGRTSRFKLIFGYLQLDNQLPLTLMPVLLAPDQISDVQHPVFKMTITMQNENKDGVQVYPYVYIRVTEKCWRLDIHEPIIWAIVEFYNNLQLNRLPKSSTVTEIDPEIRFDLIDVSEVRLKLSLETAPGQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVPAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSRGFAELSTDGQFLQLRAKQVRSRRITGVGDGIIQGTEALAQGFAFGVSGVVRKPVESARQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCLEVFNSRTSFQRIRNPRAIHADGILREYYEREAIGQMVLYLGEASRQFGCTEIFKEPSKFALSDYYEEHFTVPHQRIVLVTNKRVMLLQCLAPDKMDKKPCKIMWDVPWDELMALELAKAGSSQPSHLILHLKHFRRSENFVRVVKCSSMEDFEGREPQAVKICLVVRKTWKAYQSDKRSLILKVPSSQRHVYFSWTEVDSREPRTLNKAIISSREISSSSTSSDDRRFVRHGITFSKIWSSEQEYKGRCSLCKKQTPQDGGICSIWRPVCPDGYTYIGDIAHVGIHPPNVAAIYRKIDGFFALPMGYDLVWRNCLEDYVSPVSIWHPRAPDGFVSPGCVAVAGYLEPEPDLVHCIAESLVEETQFEDQKVWSAPDSYPWSIHIYQVQSDALHFVALRQTKEESDWKPKRVRDGPHSQLQSP >CAK8533055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573052256:573052813:1 gene:gene-LATHSAT_LOCUS2707 transcript:rna-LATHSAT_LOCUS2707 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFFLVFVILLSFSYVLHVSSIPTTRTKNLNFVDASVFPSLPQENGFMELENGEEMKEERLMGRRVNLELHDYEGPGANKEHDPKSPGGNG >CAK8566282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399429224:399430489:-1 gene:gene-LATHSAT_LOCUS19424 transcript:rna-LATHSAT_LOCUS19424-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPHNKGEIFGIMFEHPTQPGNQSGGWMVKEKEQAYHKSSNSHPTKKINVSSSLRTNNTSPTTTSKIFTISEVKKHNNSDSAWIIIDGHVYDCTHYLKDHPGGADSILINAGTDCTEEFQAIHSDKAKKMLDDYLIGVIVATDGDDTGKVLVTQMHNNVALTNPREKIPCKLVSKTSISHNVRIFRFALPYEDQPLGLPVGKHLFLCDTIEDKLCMRAFTPTSKVDEKGYFDLLVKIYFKGVHPKFPNGGIMSQHLDSLPIGSVFDIKGPLGHIEYTGKGNFLVRGKYKFAKKLAMLAGGTGITPIYQVIQAIVEDPEDLTEMHVVYGNQSEDDILLREELDAWAEKYERFKVWYVVQESKREYWEYSVGFMTESILKKHVPGASEDTVALACGTPPMIHGVQSHLEKLGYDINNNLLVF >CAK8566281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399429224:399433431:-1 gene:gene-LATHSAT_LOCUS19424 transcript:rna-LATHSAT_LOCUS19424 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIENRALEYGVVEPFKQITPFVSNKEEDDKYLNMVKNMIIKGRSEIEPSMLDPRDEGTSDHWIQRNTSLIRLTGKHPFNSEPPLQTLMHHGFITPVPLHYVRNHGPVPKARWADWTIEVTGLVKNPTNFTMDQLVNDFPSREFPVTLVCSANRRKEQNMVKQTIGFNWGPSATSTSVWRGVPLRTILKRCGIYNRNSGELYVCFEGAEELPGGGGCKYGTSILCEVALDRTRDIILAYMQNGDVLLPDHGFPVRVIVPGFTAGRMVKWLKRIVVTTTESDSYYHFHDNRLLPSHVDAELANTEAWFYKPEYIINELNINSVITTPCHGEILAVNSDATQMPYLLRGYAYSGGGRKVTRVEVTMDGGETWKVCRLEHPEKPSKYGKYWCWCFWSLEVEVLELLGGKEISVRAWDLANNTQPENLNWNLMGMMNNCWFRVKTNMYKPHNKGEIFGIMFEHPTQPGNQSGGWMVKEKEQAYHKSSNSHPTKKINVSSSLRTNNTSPTTTSKIFTISEVKKHNNSDSAWIIIDGHVYDCTHYLKDHPGGADSILINAGTDCTEEFQAIHSDKAKKMLDDYLIGVIVATDGDDTGKVLVTQMHNNVALTNPREKIPCKLVSKTSISHNVRIFRFALPYEDQPLGLPVGKHLFLCDTIEDKLCMRAFTPTSKVDEKGYFDLLVKIYFKGVHPKFPNGGIMSQHLDSLPIGSVFDIKGPLGHIEYTGKGNFLVRGKYKFAKKLAMLAGGTGITPIYQVIQAIVEDPEDLTEMHVVYGNQSEDDILLREELDAWAEKYERFKVWYVVQESKREYWEYSVGFMTESILKKHVPGASEDTVALACGTPPMIHGVQSHLEKLGYDINNNLLVF >CAK8559910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629667:637321:-1 gene:gene-LATHSAT_LOCUS13646 transcript:rna-LATHSAT_LOCUS13646 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSMIASFLVLLLAFPCVFATDYTVGDANQWTQQVDYTKWTAGKTFKVGDNLVFKYGGTHQVNEVDESDYKSCSSSNTIKNYADGDSKVPLTKAGKIYFICPTPGHCNAGMKLEVNVVAAGTTPTPTGTPPTTKTPTPSTTPSTPSSETNSTSPSPPKDSGAVSVSNAVGVLMGSLFLVLGFMG >CAK8559911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629667:637321:-1 gene:gene-LATHSAT_LOCUS13646 transcript:rna-LATHSAT_LOCUS13646-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSMIASFLVLLLAFPCVFATDYTVGDANQWTQQVDYTKWTAGKTFKVGDNLVFKYGATHQVNEVDESDYKSCSSSNTIKNYADGDSKVPLTKAGKIYFICPTPGHCNAGMKLEVNVVAAGTTPTPTGTPPTTKTPTPSTTPSTPSSETNSTSPSPPKDSGAVSVSNAVGVLMGSLFLVLGFMG >CAK8559912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629667:630322:-1 gene:gene-LATHSAT_LOCUS13646 transcript:rna-LATHSAT_LOCUS13646-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSMIASFLVLLLAFPCVFATDYTVGDANQWTQQVDYTKWTAGKTFKVGDNLVFKYGGTHQVNEVDESDYKSCSSSNTIKNYADGDSKVPLTKAGKIYFICPTPGHCNAGMKLEVNVVAAGTTPTPTGTPPTTKTPTPSTTPSTPSSETNSTSPSPPKDSGAVSVSNAVGVLMGSLFLVLGFMG >CAK8560807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:45841395:45843020:-1 gene:gene-LATHSAT_LOCUS14454 transcript:rna-LATHSAT_LOCUS14454 gene_biotype:protein_coding transcript_biotype:protein_coding METCTVLLLLTAITAYLLWFTFISRSLRGPRVWPLLGSLPGLIENCERMHDWICDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGDGIFNSDGNTWVFQRKTAALEFTTRTLRQAMARWVSRAIKDRLCTILKKAELHGEPVDLQDVMLRLTFDNICGLAFGRDPQTCATGLPENGFAAAFDRATEATLQRFILPEVIWKVKKWLGLGMEVGLSRSLSFVDEHLSNVIEKRKVELMSQQKDGSLLHDDLLTRFMRKKESYSDKFLQHVALNFILAGRDTSSVALSWFFWLVIQNPKVEEKILHEICTVLMETRGADMEKWTDEPLGFEEVDRLIYLKAALSETLRLYPSVPEDSKHVVNDDVLPDGTFVPAGSSVTYSIYSAGRLKSTWGEDCMEFRPERWLSLDGTKFIMHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLAVVPGHRVEQKMSLTLFMKNGLLVNVYNRDLKGVFANIQNEKKGEIQEKVSNDLKCNGSC >CAK8532974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:558734088:558735001:-1 gene:gene-LATHSAT_LOCUS2628 transcript:rna-LATHSAT_LOCUS2628 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMIGKEYNKGWLCIKESVLFTCNSLRILNRHSQKPCCRRMGWLKKEKK >CAK8540641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:14886128:14888101:1 gene:gene-LATHSAT_LOCUS9627 transcript:rna-LATHSAT_LOCUS9627 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNSVEGLSSSLRKGAWSQYEDELLKDCVQRYGEGKWHLVAQRAGLKRCRKSCRLRWLNYLKPNIKKGDFSEDEVDLMIKLHNLLGNRWSLIAGRLPGRTPNIIKNYWNKHVRSEERFSKKENNKTKEEIEKVTKSHEVIKPQPQTFAKSLLEVNKFISSNDNSSKVSMNEGETSSRTNNWWESFLLDENEDNNYLLQTGFWDDQELNSITTNAFDFFTEDQTWSDFLAQF >CAK8570177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27759418:27760156:-1 gene:gene-LATHSAT_LOCUS22952 transcript:rna-LATHSAT_LOCUS22952 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCDVGTLLSSPTTSSSAVAPDDAQLNNDVRIFYRTYGRGPTKVLLIIGLASSYEGWGPQIKGLTGTDVPNDEDDAAWSGGDNEAGGGIEVCAFDNRGVGRSSVPIRKSDYSTKIMAKDAITLLDHLGWKKAHVFGHSMGQYFLFFI >CAK8534762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765458760:765458960:1 gene:gene-LATHSAT_LOCUS4277 transcript:rna-LATHSAT_LOCUS4277 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPDFNIEDLLDEQPGPSSRQANPPTTHHNEDMSFDSSQSPRNERLGRGYRERRTTRCGTGGHLR >CAK8569164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677741446:677752956:1 gene:gene-LATHSAT_LOCUS22048 transcript:rna-LATHSAT_LOCUS22048 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQAVFPSSNGTCTYDVFLSFRGEDTRYGFTGHLYRALHLKGIKTFMDDEVIKRGQQISPTIFKAIRDSRIAIVVFSETYASSKWCLRELVKIIACYKDKELTVIPLFYKVDPSEVRNHTGNYGQQLAMHEEKMKEEVPSWRLALREASNLAGWTFRDGYEYEYEFIKRIADTVHNTSKQNLSHVDDLAVGLEMRVSNILYRLQMLDPTVIMIGICGVGGIGKTTLARAVYDSIGQQFEGLCFLHDVRVNSTKYGLTYLQQVILSDTDGESIKLRNENEGISILIKKLQNKRILLILDDVDKLDQLKNLAGTPSWFGCGSRIIITTRHKDILAAHGVGNIYDVPVFSYHEALVLLSSIASKIPNLDVVWDRAIRYSHGLPLVLKIIGSDLSEKSPNEWEISLGRYEKICNEETRSILEVSCNSLNEQEKRIFTDIACFFVGEAFSYVKEVLSACGFFTKHGIDRLKDRSLISITPSGSLMVHDHIIDMAMNIVQQESPTNPCKRSRVWLPQDVLRVLDETAENDKIEVMILDNLPQGSVENLNDKAFKEMKSLRILIIKDAVYSEVLQHLPNSLRVLDWSGYPSCCLPPDFVNLPSSCLIFNKFKNMKCLISLDFTDCIYITEVPDMSGIPNLRALYLDNCINVTKIHDSVGFLDNLKELTATGCTNLETIPGSFELASLEVLSFSECPRLVRFPEILCKIENLLHINLWQTGIEELPFSIGNVTGLEVLTLMDCTRLDKLPSSIFTLPRLEEIQADSCKGFGISTECEDNNGPMKFTVSPNKIHLYLSSCNLTNEHLFICLLGFANVVHLDLSYSNFTVLPPCIKECINLNTLLLTNCNLLQEISEIPPKLRNIDALNCTSLTSQSQSLLVSQAFHETGEKTVMLPGSSIPEWFDHRSSERSISFYARERFPIICVCVVFGVLEKLPHHFLVNVCLMINGHKTILSQCSSWSIVKEHVWMFDLRDFIGSRKTWLKRGWNHVEISCEDCQDEHLMAQAVHGLRRMTIVKWYGIHVYRQENNTLENILFTSANTPQQENNINDETFNGDNHPLSKRQCR >CAK8539808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525933450:525933881:1 gene:gene-LATHSAT_LOCUS8869 transcript:rna-LATHSAT_LOCUS8869 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCASCHNVKTTHTKKRAASGKDGGSGTPRASESFRRPSSIMVMNISNGAIKEYKQPISASFVVSENNDNNNCCYISNAESMCIGTCMPRVPDEEELLPGRIYFIVPLSHSSYPLTLPLLCHLAVKVSSALANTQRLCVNVE >CAK8576062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:396770373:396771956:-1 gene:gene-LATHSAT_LOCUS28279 transcript:rna-LATHSAT_LOCUS28279 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKILYIAFIIYTMSIVEAKIPGIYSGGQWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNSGLSCGACFEIKCANDREWCHSGSPSILITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKRGGIRFTVNGFRYFNLVLISNVAGAGDIVRAYVKGTRTGWMPLSRNWGQNWQSNAVLVGQALSFRVSSSDRRSSTSWNIAPPNWQFGQTFTGKNFRV >CAK8567014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479885130:479885564:1 gene:gene-LATHSAT_LOCUS20105 transcript:rna-LATHSAT_LOCUS20105 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYPHDDSDFNFSIEWLGKFKNRHGIKSFRRFGESEFVGVQDMEQKLVSIWEKIDQFPMKGVFNMDETGLFYRLQADHSLATKQHEGRKQDKERLTVVICCNEDGSEKILYGLLGNMQSLVASRMSTRIAWIVSIELTKKHG >CAK8566080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377528607:377529778:-1 gene:gene-LATHSAT_LOCUS19246 transcript:rna-LATHSAT_LOCUS19246 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLAYITCNSKRRETFRKRKSGIMKKVNEISTLCGIEACAIIYDKNNPQPEVWPSDAGVRNVLFKFRSLPEVERSKKMVDQEAFLRQSIAKIYEQLKKQREETRKKEMTNIIHHYIQSGEFNAMNLMSKHDLNDLSSFIDENLKEIDQTMKGLPNEVQGLVSGGNEQGLVRNGAEVMNGREVHENIGHVQGLVNGGNEQLANMGQFVQGIETNIADDMPLNFPQWPMDFSMFQFPFINDGTNGF >CAK8531992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:187427883:187437487:1 gene:gene-LATHSAT_LOCUS1738 transcript:rna-LATHSAT_LOCUS1738 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKFDISSTSPDRPLYTGQRGSHVAASIDRSGSFRESIENPILSSLPNMSRSSTSATQGDVMSFFSCVRFDPKLVALDHKSNRPMDYKRHVSAALGISPDESPSSYAKGKQLTSVIPEDIKRLRDGLHANFRRARDRAKMFSEALSRFNKDFPNITSKKRSRADNFSTDRSSFTLSDRPVLGPSIGKVGVHGHAVIGSFEHDQQKLEERNKNAVPNKRTRTSLVDVKMDVRTNSLVRSSGIVDREKDIVRVANNGTIQGEERTFPIGGDGWEKSKTRKKRSGIKPDGSLSTTSAKPVNIFQETKQGMQQRLATDARSKLSNDSHSFRSGLSNVTAGAGKSDGVSQQVGLGTRVSTPRNDADSNPAVNDRRDRPVNSDKERMNFRATNKATVRDEFNSTSPNSSAKLNTSVRAPRSGSGVASKLSPVIHRATVPNDWELSNCSITTKPPAGVGTSNRKRAASARSSSPPVVPWQRPQKSSRTARRASFIPAVSSNDDSPALDSVSDASGSDLGLGVAKRLSGDSPQRIRLKGDPSSSAALSESEESGVAEMKPKDKGRKPDEIDQKAGHNVQKVSNMVPPTKRNKLVSREEHGDGVRRQGRTGRNFPATRSLTPMTSEKLGNIGTVKQLRSSRLGFEKSESKAGRPPTRKLSDRKAYARQKHSAISASPDFLVGPEDGHEELLAAVKGLINSGRAFSSQFWRQMEPFFGLIIEEDVAYLKQKINLESSGLMPTPVSSNIDDYESAANGVGLMVCGRDIGPDAQRGAGIVSEQLQLSKGDCNVIPLCQRLLSALISEEGCSGSENLNFDAYDTQFETNGELELNHLDNHSLANYNFVSHSACNGYRTTQKPEHDDTRNDVEDIPSNGLPSSQKSPIITSSELEYDALDINDKLLLELQSIGISPEPVPEISQTDDEGIFHDITRLEENYQRQIYKKKGLLEGLLKSASVTKECQEKDFEVRALDKLVVMAYEKYMACRGRNPSGGRNTSSKVAKQAALGFVKRTLERYHQFEDTGKSCFNEPLFKDMFLAASSQRLVDGMEVESAKPHASSRYLEAKTGSIISRRSPAQFSPNMNNHDANLSDIFPVINNSSEQTSGKEDLWSNRGKKRELSLDDVGASSAPSGIRGSLSSSTKGKRSERDRDGKGQSREVLSRNGTAKAGRPALSNAKGERKPKSKPKQKAGQHSVSVNGLLGKLSDQPKPALPSVSKSNEMSTNSNAKEKNESVAGEDEHEAIDLSNLQLPGMDVLDDQGQDIGSWLNIDDDGLQDDGFMGLEIPMDDLSDLNMMV >CAK8537848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441387316:441387951:1 gene:gene-LATHSAT_LOCUS7101 transcript:rna-LATHSAT_LOCUS7101 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASGGEPPPPPSAQPQPETSSPPTPSPTPTPSRYESQKRRDWNTFQQYLPNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVTGCPYFGQPNPPSPCACPLKQAWGSLDALIGRLRAAFEENGGKQESNPFGTKAVRIYLREVREGQAKARGIPYEKKKRKRSSVTTAAIVSAAAVVTGDDSAIDGNTNRIGVGVTTNNSVTVTAEIE >CAK8543717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633483692:633488894:1 gene:gene-LATHSAT_LOCUS12458 transcript:rna-LATHSAT_LOCUS12458 gene_biotype:protein_coding transcript_biotype:protein_coding MTCISKAFNGTVFHTHSSPFPHHHISQLQPRLHSNASITFRSTKCINISSSHAVPPLPQNQIIIGYGMTTVDFLATVDGYPKPDDKIRTTSSKVQGGGNAGNALTCAARLGLKPKLISKVADDAQGKSILEELEADGVDTSFIVVSKGGSSTFSYVLVDSQTKTRTSIYTPGDPPLMPDDLSQSMLLSAFDGARLVYFDGMSIETALFVGQEAARNNIPILVEAESPREGLDELLKLADYVVCSAKFPLAWTQAPSIPSALVSMLIRLPNVKFVIVTLGEDGCLMLERSTNEDVSIEERNLESFLEPLYKEKDDSLAIPTCISSVVRKFRSDGIGTICGRFLIGTAEKIPDSELIDTTGAGDAFIGAIMYAICSNMVPEIMLPFAAQVAAAKCRALGARTGLPHRTDPRLASFLC >CAK8576157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416232260:416232987:1 gene:gene-LATHSAT_LOCUS28368 transcript:rna-LATHSAT_LOCUS28368 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNDFSKSSRTDYYRRILGEAVSGDSPAESRMRGDLHVRSFVLPSLNYLLNIEFARYKISTNWDHKAD >CAK8532409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257952993:257956824:-1 gene:gene-LATHSAT_LOCUS2118 transcript:rna-LATHSAT_LOCUS2118 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQNQPTNPIPQTRNPKTQSQSSSSSRSGMKLIVPLQGVVQGRSGFLLGISIPCALFYFLQLYLKRRRPNPNPSSPSSSSSPTLLLPRSSSRSNLSSRGSISPVRLSKLAALLSKPDDSLYYLGLERVAQDPYDVSANPNGIIQLGLSDNKLCFDLIEKWLVKNLEGSMMGNGDMNLSINGIVPYQPHHGLNELKIALADFMRQVMGGSVEFDPSNMVLTSGATPAIEILSFCLADQGNAFLVPTPYYPGFDRDVRWRPGIDLIPVHCRSTDNFSLNITALEQAFSQARKRGVKVRGILISNPSNPVGNILTRDVLFSLLDFAEDKNIHIIADEVFAGSTYGSEEFLSIAEVLDSEYIDKSRVHIIYSLSKDLSIAGFRVGVIHSHNQAVLEAAKKMSRFSSISAPTQRLVTSMLSDERFIQEYIETNRNRIRRIHDEFVDCLNKLGIKCAKSSAGMFCWADMSGLIKPYSEKGEHELWEKFINVAKINITPGSACHCIEPGWFRICFTTISFEEIPLVIERIRKVVESCKSSS >CAK8560596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27227960:27230254:-1 gene:gene-LATHSAT_LOCUS14257 transcript:rna-LATHSAT_LOCUS14257 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHISLCIFFCYATFLHLIFITLAQSENYIIHMNLSAMPKSFTAHHTWYQSTLSSALQNAQFTTTTNNLNSQICSKFIYTYTHVMNGFTANLSPKEHEFLKTLPGYISSIPDLPVKLDTTYSPQFLGLNPNKGAWHDSNFGNDVIVGLIDSGVWPESDSFKDHGMTKIPSKWKGQCENSIHFKKSLCNKKLIGAKFFNKGLLAKYPNITLGLNSTRDTEGHGTHTSTTAAGSRVDEASFFGYAAGTASGIASNSRVAMYKASWEGGSLSSDVIAAIDAAISDGVDVLSLSFGTDDVPLYEDPVAIATFAAMEKGVFVTTSAGNEGPALNTLHNGTPWVITVAAGTMDREFQGTLTLGNGNKISGLSLYIGKFSSDNFPIVFMGLCDSVKDLRQVKSKIVVCEDKNGTSVPYQVYNLFEAQVFGAVFITNVPNIKNSKFFASIIINPINGEIVKDYIKSYNSKNSSSIASLSFKKTVFGVEPAPSVGSYSSRGPSNSCPFVLKPDITAPGTLILAAWPTNVPVLDLGTSKAFNNFNLISGTSMACPHVAGVGALLKGAHGDWSPAAIRSAIMTTSDIFDNTKGHIKDIGNGNKVATPFALGAGHANPNRALDPGLVYDVGVQDYVNLLCALHYSQKNITTITRSSSNDCSKPSLDLNYPSFIAFFNDGNSSSKTIHEFHRTVTNVGEGETIYVASITPIKGFSVSVFPNQLVFHEKNEKLSFKLRIEVERMTNLKEVAFGYLSWKDEKHVVRSPIVITAIKLDL >CAK8540527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9929790:9930203:1 gene:gene-LATHSAT_LOCUS9525 transcript:rna-LATHSAT_LOCUS9525 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCLPWTAVSAAAPIPLHSSIKVFNSIPKQLAFSLLSFCSTSDLHLTHHPDKHEHEQDNRRLQHLKPDLYLVGTPIRKSRSNPLSRDHRAVGLGRRPRPGLQYAT >CAK8535084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813159216:813161545:1 gene:gene-LATHSAT_LOCUS4564 transcript:rna-LATHSAT_LOCUS4564-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTASSISETPLLLAATHDDHGKHNTERWFNKILDIDEAKHQLMFSLPMILTNLFYYLITMVSVMLVGHLGDLQLAGATLANSWFGVTGAAVMVGLSGALETLCGQGFGAKEYHIILQNILRFIQTQSVIMPLVILSAIPALLHVGIAYGFVDWTGLNFIGGPIATSISLWISMILLGLYVMNAKKFENTWTGFSMQSFNYLLTNMKLALPSAAMVCLEYWAFEIMVFLAGLLPNSQITTSLIAICANTEFFAYMITYGLSAAASTRVSNELGAGQPERAKHAMRVTLKLSLLLGFGFVLLLVFGHDIWIQLFSNSPIIKAEFASITPLLAISILLDSVQGVLSGVARGCGWQHLAVYVNLATFYLIGLPISCLLGFKANLQYKGLWIGLICGLVCQTGTLLLLTWRVKWTKLNLSGDKDKDQPIVV >CAK8535083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813159216:813161545:1 gene:gene-LATHSAT_LOCUS4564 transcript:rna-LATHSAT_LOCUS4564 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTASSISETPLLLAATHDDHGKHNTERWFNKILDIDEAKHQLMFSLPMILTNLFYYLITMVSVMLVGHLGDLQLAGATLANSWFGVTGAAVMVGLSGALETLCGQGFGAKEYHMLGIYLQGSCIISFIFSVIISIIWFYTQHILVFLHQSQDIATTAALYMKFLIPGLFAYSILQNILRFIQTQSVIMPLVILSAIPALLHVGIAYGFVDWTGLNFIGGPIATSISLWISMILLGLYVMNAKKFENTWTGFSMQSFNYLLTNMKLALPSAAMVCLEYWAFEIMVFLAGLLPNSQITTSLIAICANTEFFAYMITYGLSAAASTRVSNELGAGQPERAKHAMRVTLKLSLLLGFGFVLLLVFGHDIWIQLFSNSPIIKAEFASITPLLAISILLDSVQGVLSGVARGCGWQHLAVYVNLATFYLIGLPISCLLGFKANLQYKGLWIGLICGLVCQTGTLLLLTWRVKWTKLNLSGDKDKDQPIVV >CAK8561016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:73850410:73854064:-1 gene:gene-LATHSAT_LOCUS14644 transcript:rna-LATHSAT_LOCUS14644 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDSLAESVLHTRSSKSSPPPLSPIADDEKLVSGLSTILVASIQEAKDKISQLEYVFCSQLYPHFQSTSKKSDAKRRRIIELEREVDEGMLLQKNLVDLVRTKDSLLKACEEKEKAAISKLESCESENVKLLRKIVDLEERLGVKIKDVEELELKSVENEGLCKRLFEQVELLKCEVQDEKLKRNRLNEAYKRLKSQHIYLRQKVGLNEENMLREIKLENESDLAKHQSPITEPGVAFEDRNVAMGACINTKVKCEILEEDFEGLGNRTPEVFVVVRDTNEVKKKALEDDKGANLCPPFSRFHDVQKFPPSTKSVSVSGTKRPAPPEVFDAARDTNEVRKALEDDKGANLCPPSSSFHDVQKCPPSTKLVSVSGTKRPAPSWRQTRSHQSRAGHDLHDDFLDTPLENIRDNLNKDLNKEDLPQPIQNDISMNSSDDETQDLNAKSSPQKKQSSITVTNKRSFKYIEPVRKKAERENLKGVDCKQCRKFYEAVLPNADGKDPDGNKQNIRCEHHDGVSRHRYRYVPPMTPEGFWNIGFESEL >CAK8568633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625553931:625557955:-1 gene:gene-LATHSAT_LOCUS21573 transcript:rna-LATHSAT_LOCUS21573 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGSERDSVLTTTQVSIGGFDKDVKAYDLVSFLESEIGYVDRCRLKTSCTPLESFPEFNITDTKPKEKTDDYQKVAPHAFVHFTLAESATEALNAAGRCDLILNGCPLKVICGPQNPNYLNKRRRTAAPFKMSDVIVEIGTLVSLSDFTVAWKSPTKGVNFLVDPFDGMCKLCFNRDVVFSIKGMVKKVVIKCDFKVGFLVRDILEIKRYDDTSYHVVLLHLASPPSVWYRTADDDIEESVTIDLLDDDDPWIRTTDFTPSGAIGRCSFYRISIPPRYRTKLNNALEYLRCQRVQRVQLTRPLRILNEPDFGVPMSDAFFYIDSQKDISFDVMFLVNAIVHRGTLNQYSLSNQFFELLRSQPKDVSVAALKHLCTYKRPVFDAFKRLKAVQEWLLSNPKLYQNSKQCDDVMEVRRLVVTPTKAYCIAPEVELSNRVLRKFRDVSDRFLRVTFMDEGMQTLNIHTLNYYVAPIVKEIISNSIPQKTRIYKRVRTILEDGFYFCGRKYSFLAFSSNQLRDRSAWFFAEDKNISCSDIRNWMGKFTQKNVAKCAARMGQCFSSTYASVDVAANQVNSMLPDVERNNYVFSDGIGIITNDLAEEVAEKLKLDKVPSAYQIRYAGFKGVVACWPSKGDGIKLSLRPSMNKFQSTHTTLEICAWTRFQPGFLNRQIITLLSALDVPDEIFWKMQESMISKLNQMLVDSEVAFDVLTKSCAEHGNAAAIMLSCGFSPKTEPHLRGMLNSIRAAQLWGLREKSRIFVSSGRWLMGVLDELGVLEQGQCFVQVSTPSLENCFAKHGSRFSETNSLHVVKGHVVIAKNPCLHPGDVRVLEAVDVPDLHHLYDCLVFPQKGERPHTNEASGSDLDGDLYFVTWDGNLIPPSKRSWTPMEYTAQESRTQTRIITIREIIDFFVKNMVNEQLGAICNAHVVHADSSDYGALDEKCIHLAELAATAVDFPKTGKLVSMPPNLKPKLYPDFMGKEHHQSYKSKKILGRLYRRIKDAYDQDIDAPEVNCVSGDINYDTDLEVPGSADFIDDAWEQKCSYDGQLSGLLGQYKVKTEEEVVTGQIWSMPKYNSRKHGELKERLKHSYSALKREFRQTFEKPNSDVGELGDEEKNLMYEQKASAWYQVTYHPKWVKKSLDLQIKSSDDQEADSLRNVMLSFPWIAVDYLARTKIRNRKVGSFDSTKPVDSLAKYLSERL >CAK8575810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329637100:329637690:-1 gene:gene-LATHSAT_LOCUS28044 transcript:rna-LATHSAT_LOCUS28044 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWGDFNAVKSKLERKGKGRLNFMEMKDFSSFIDAIDLVDMPIVGNRFTWFNSSGTCKSRLERILLSEKLVRKWKVVAQKMGDKDVLDHRLVWLLSNSMDWGPKPFKTFHAWFDHSDFIKLFKESWQSIHVYGNASNILVLKLKGLKHKLWWWNKHVFYWLDLKIIYGVDNLNSIERDMEDCNEDIPEEVLRRRY >CAK8569071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:668324094:668324324:1 gene:gene-LATHSAT_LOCUS21962 transcript:rna-LATHSAT_LOCUS21962 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFEMNSMELVKQSKNANGGDIWAELVEIGVEPSSLPLVYKYLVKNADALKAFNGISIDKRKEMLHLIVSDYPF >CAK8574914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15098970:15105176:1 gene:gene-LATHSAT_LOCUS27213 transcript:rna-LATHSAT_LOCUS27213 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSKSKSDISFAGTFASSAFSASFAEVCTIPLDTAKVRLQLQKQAVAGDVSSLPKYKGLLGTVGTIAREEGLSALWKGIVPGLHRQCLYGGLRIGLYEPVKTFYMGSDHVGDVPLSKKILAAFTTGAVAITVANPTDLVKVRLQAEGKLPPGVPRRYSGSLNAYSSIVRQEGVRALWTGLGPNIARNGIINAAELASYDQVKQTILKIPGFTDNVVTHLFAGLGAGFFAVCIGSPVDVVKSRMMGDSSYKSTLDCFVKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQTKKFVKSLESS >CAK8578701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648098550:648098834:1 gene:gene-LATHSAT_LOCUS30691 transcript:rna-LATHSAT_LOCUS30691 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDVFKVLCVFKVYNESFLGLPHHKNWPTYEGFTLCHDETMRRNKKGRPNCTRIRIEMDGLEKEKRRCGVCREIGHMRRKCPNVAGPSNRPV >CAK8572807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564480151:564491312:-1 gene:gene-LATHSAT_LOCUS25329 transcript:rna-LATHSAT_LOCUS25329 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSSKRSLSSPSSSSPPSNTKRSKVSEDVPSTVNPSPVVNESGEQKIRLSDLQETASLKDVDGETENHKSTSVLVSSPQCLESGGITLKSKVLPPLARSKKSCLKSSPKAAWGRLISQSSENPHLPMCEPVYTVGQCRQCNLWLKDPNVSSVLCKLSHIEHGGSSVALLEVIGSKGEVKVNGKIYGKKCRLILSGGDEVIFGFSGKQAYIFQQLLNSISTANMPSPVSILEAQGAPIIRTQIEARPGDLSAVAGASILASFSNFNEDLSLISPPANTCNNTQQKTDASSLPAGNGDDKANVDVKHNTVNNEPDGVFYAEETGCPSSTTVNEDPKVDAVEVNVSVDADVGKMTAASSELRPLFCSDLDLSGKITKILEKNKELKECLRSVDTRNILASPKQQALKDSLQMRILNAENIEVSFESFPYYLSDTTKNVLIASAYIHLKCNDSGKYVSDLPSLSPRILLSGPTGSEIYQENLSKALAKHFDAWLLIVDSLSPPGRAPLKEVEATKESSRPERPVFIKRSSTQAATVQHKKPASCADAQIIGGSAVSSQFILKQEVSTASSKGSTLKAGDRVKFVGDFPSTVSPPQVFPARGPSYGCKGRVLIAFENNRSSKVGVRFEKSIPDGNDLGGLCENDHGFFCSANHLVLVDGCGGDDSSKVAINEIFEIASNLSKSGPLVLLIKDIEKGVSGNSEVLKSKFGSLPHNVVVIGSHIQPDNRKEKTQPGSLLFTKFGGNQTALLDLAFPDNFTRLHDRSKETPKVMKQLNRLFPNKVTIQLPQDEILLSDWKQQLDRDIETLKAQSNVASIRLVLNKFGLDCSDLETLCIKDQTLTTENVEKIIGWAISYHYMHSSEVSTKESKPVISAESVWYGFNILQGIQNENKSTKTSLKDVVTENEFEKKLLGDVIPPTDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRAKIMRVILAKEDLAPDVDLEALANMTEGYSGSDLKNLCVTAAHCPIREILEKEKKDRSFALAENKPLPGLCSSADIRPLKMEDFKYAHEQVCASVSSDSSNMNELLQWNDLYGEGGSRKKTSLSYFM >CAK8535564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863622840:863624331:1 gene:gene-LATHSAT_LOCUS5011 transcript:rna-LATHSAT_LOCUS5011 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNDLNKVWEIKSLNRIGQDEATEILQKVAKQVQPIMHKRKWKVNLLSEFCPADPSLLGMNIGPGAEVKLRLTRPNRDWDFFPYEQVLDTMLHELCHNEHGPHNAQFYDLLDEIRKECEELMVKGISGTGRRLGGFSQQPPLSSLRQTALAAAESRTRNGVLLPPGPQRLGGDSNIKSALSPIQAAAMAAERRLHDDMWCGSKSSEGRSSSGPSEKSIQTPVGEAKWQCNTCTFLNKPLVLQCEVCGTKKQKDVRKLKVWCCKFCTLENSNEHDRCLACGEWRYSHGPPVSVSGPYAGT >CAK8575123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:34685960:34686843:-1 gene:gene-LATHSAT_LOCUS27411 transcript:rna-LATHSAT_LOCUS27411 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTISPRKLHYDLYSYSYKQDSNTPLVINVLASLIERTMARTHRIVKNCSKVLSKEITTKIFDCREVPDLTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQNNVGFRINSRNVHRLLITTIMVASKYVEDMNFRNSYFAKVGGLTTNELNELELEFLFMMNFKLHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKETGYTQIASVML >CAK8575405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:110466381:110472440:-1 gene:gene-LATHSAT_LOCUS27674 transcript:rna-LATHSAT_LOCUS27674 gene_biotype:protein_coding transcript_biotype:protein_coding MNNERKTRVAFTIATLAAAIISIIFTVRRRTRRKQRESLSNSCYSHSEPKPQSTFKRVLADNSYTPFKHLSMKASGSNENGSNLHPFEAEITALLSNHQPEIELGAEKLEMNDSYAWVDTEMQLKELVYVLSKEMFFGVDTEQHSLHSFLGFTGLVQISTQQEDYLIDTIALHDSMEILRPVFANPSICKVFHGADNDVLWLQRDFHIYIVNLFDTSKACEVLSKPQKSLAYLLETYCGVTTNKLLQREDWRQRPLSEEMVHYARTDAHYLLYIANCLIAELKQLDNENSCSDEKFHFVLEASRRSNMTCLQLFTKEIEASPGESAALSLFSRHQSNKEFPSISNETQFLYTVRQLCTWRDLMARIHDESMKYVLSDQAIIALASQLPASNSEIYNTIVQTDVNTETGLSSCIPSPSPVVCSHLSDISRLLANELVNHGDIYSVILQKCLGQNGSCKLNIFNYALLVNSNPRPTLLSYKHSCLKNPRQHSRNASRNSFVKKFSCKSPVYHNCRIFANDGRLLCYCDRKKLEWYLNRDLAKLVEEDPPGIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGSHYLRYRIIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKRKIASEYGIPLYVRRVIHPGQETEKPNDEGGVSPLQLRSAAVALLRHGPRMPLERREELTEIVKKYYGGREISEEDLESALQVGMRPYERRRYEKKRGVSFKLSTGNTVAVPQGENHADHSPGIISIKDTLNIDTLDGSSANEDTVSRDNREDDFGKPSLTSDLAADKANSNGNIILVKTTNDDDDNDNDDETSNSAVNADESLSKSQPNVASDLSYSKHEESLRDEDSTQTKHHSKLSLLGHGPHGKHVVDHLLKEFGEDGIREFCQRWRQVFVDTLKPRFLPGGWDIKHSGKRDFGEFSVYNHLKGAAAASLE >CAK8534989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800649768:800651154:-1 gene:gene-LATHSAT_LOCUS4477 transcript:rna-LATHSAT_LOCUS4477 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSNIRQDYVIHIPEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNR >CAK8575544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:158207079:158208188:-1 gene:gene-LATHSAT_LOCUS27800 transcript:rna-LATHSAT_LOCUS27800 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNHFNTQNSANFPFNQNPNNFPNPNNYQNLNYYQNPNQFSNQHPQNIPNFGFPPNFNQTSSVPNFQTYYGSMPRNPSQTPPFNGYVTMANANFPSGGVPEFSEFSTQLTIGGMIVSNEVGPNSEDSTPKSRKTQQPAWNTEQNLVLISGWIKFGTSGVVGRNQKGETYWGKIVEYCNEHCSFDPPRDRPACRNRFNYMNKVLGKWIGAYDGAKRMQGSGWSENDVLAKAQELYACGKNVRFTLMEEWHALRDQPRYGSQVGGNIGSGSSGSKRSRESDACGSNTVESSARPIGREAAKKKGKKKSKEYASEVVDNIALMQQQANNIALEKTKTKKMKMYLKLTSEEHLDDRKNQLLKKLEAELFDN >CAK8538052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460526513:460532907:-1 gene:gene-LATHSAT_LOCUS7292 transcript:rna-LATHSAT_LOCUS7292 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKCLALVGGGALLGSLSTFFLLRLVQTQKRGVRPKCAENGTTELNGFEGCTFSRKKSDKVVSDDLLKDEIVSEHLSRNIQFFGFESQQKVSASYVVVVGLGGVGSHAASMLLRTGIGKLLLVDFDQVSLSSLNRHAVATRADVGTSKAQCLKEHFLSIFPECQIDAKVLLYDSSTEEEILSGHPDYVLDCIDNIDTKVALLAACVRRGLKVISATGAGARADPTRIRIADIRESTNDPLSRSVRHRLKKEYGIEGGITVVFSLEKPKIKLLPFKAPNGEEENPSDYQVVPGFRVRIMPVLGTIPAIFGQIMASYTLTNLAGLQVQTEPIVNFDMDHYNILHQRLIEHEETLYGTSMQVQVDVEEVMYITKELWHGRSAREQHAKDVGRGMWRSINELMLVRWDSTKPASISNLILLKFKEVDEHESRTLDDIKEKEPEFYSRVIAVLKRAENDFGL >CAK8544456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686101653:686102951:1 gene:gene-LATHSAT_LOCUS13132 transcript:rna-LATHSAT_LOCUS13132 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTTISYRFNINGNYTDKVEAKRGIRQGDPLSPLLFVIIMEYFSRMLVRMQKNLDFNHHFRCEKLQLTHLTFADDLLLFSRGDKGSMEILQRTIISFLDSTGMKINPTKSKVYFGSVSDPVKHSILNFTAYNEGTLPFRYLGVPVSSKKLFVVHYMPLVDKLLSRITHWSSRLLSYAGRLQLIKTVLYAITSYWMQCICFPKTVISKINAICRSFLWTGGSTISRKSPIAWDKVCKPAVKGGLNVLDLVAWNSMFMMKLLWNICMKTDDLWVRWIHAYYLKNEDVMNRMVKNSDSNIFKTILLQRENIGNMQDAWNEMVQAGRFIGRRVYVNLLPATPNVVWVKLILHNRARPRAIYTLWMISHGKLATKARLNRFGMVNNNKCVFCSAVETIDHLFFECTILRQAWVETLLWIGILALPGIGMRRKTEF >CAK8537490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:373300420:373300731:1 gene:gene-LATHSAT_LOCUS6779 transcript:rna-LATHSAT_LOCUS6779 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8568585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620358865:620362096:-1 gene:gene-LATHSAT_LOCUS21528 transcript:rna-LATHSAT_LOCUS21528-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLCNPSLSLQSASIHGKPNKTIISITNSASSGNRVSTQRRTISAFEARISLLFALASQSTSLSQRLVADLANETAKYLFPKRFESSTLEEALMTGVPDLETIKFKVLSRRDRYEIREIEPYFVAEATMPGKNGFDFSGASQSFNILAEYLFGKNTMKEKMEMTTPVFTSKNQSDGLKMDMTTPVLTTKMGDQDKWKMSFVMPSKYGSNLPLPKDSSVTIKEVARKIVAVVAFSGFVNDEEVKRRELKLREALKNDGQFKIKEGTSVEIAQYNPPFALPFQRRNEIALEVEWKNQ >CAK8568584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620358865:620362096:-1 gene:gene-LATHSAT_LOCUS21528 transcript:rna-LATHSAT_LOCUS21528 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLCNPSLSLQSASIHGKPNKTIISITNSASSGNRVSTQRRTISAFEARISLLFALASQSTSLSQRLVADLANETAKYLFPKRFESSTLEEALMTVPDLETIKFKVLSRRDRYEIREIEPYFVAEATMPGKNGFDFSGASQSFNILAEYLFGKNTMKEKMEMTTPVFTSKNQSDGLKMDMTTPVLTTKMGDQDKWKMSFVMPSKYGSNLPLPKDSSVTIKEVARKIVAVVAFSGFVNDEEVKRRELKLREALKNDGQFKIKEGTSVEIAQYNPPFALPFQRRNEIALEVEWKNQ >CAK8535642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871534536:871536189:1 gene:gene-LATHSAT_LOCUS5083 transcript:rna-LATHSAT_LOCUS5083 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLILSMMIDLALFISFLYLGRAMDCGGNQVSNTIYVDQQGKGNFKTIQAAIDSIKNQNDKWIVINISGGIYKEKIHIPKEKPCIVLKGVGRGSTGTTISYDDSAHHVGTSMSSTFISSPPNVIVTNIKFENTFGPNAPAVAANFYGDKSAIFDSSFIGYQDTLLLSLGRSYFKNCYIQGEIDFICGSGQSYFENCVMNATQGQNMPPGFVTAQMRNSPDGKEGFVFRGCSIVGNGQVNLGRPWGFYSRVIYWDTYFSPVVIPRGWDPWDKGSHVKDLTFAEINCKGPGADTKDRVDWVKKPEDIKKDEYTYSSFINSDGWLNNLPTV >CAK8535643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871534608:871536189:1 gene:gene-LATHSAT_LOCUS5083 transcript:rna-LATHSAT_LOCUS5083-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGNQVSNTIYVDQQGKGNFKTIQAAIDSIKNQNDKWIVINISGGIYKEKIHIPKEKPCIVLKGVGRGSTGTTISYDDSAHHVGTSMSSTFISSPPNVIVTNIKFENTFGPNAPAVAANFYGDKSAIFDSSFIGYQDTLLLSLGRSYFKNCYIQGEIDFICGSGQSYFENCVMNATQGQNMPPGFVTAQMRNSPDGKEGFVFRGCSIVGNGQVNLGRPWGFYSRVIYWDTYFSPVVIPRGWDPWDKGSHVKDLTFAEINCKGPGADTKDRVDWVKKPEDIKKDEYTYSSFINSDGWLNNLPTV >CAK8575745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:301633339:301633650:-1 gene:gene-LATHSAT_LOCUS27981 transcript:rna-LATHSAT_LOCUS27981 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFSQNYKKQKTIENGSGLGPEDKKQTSTNYQFGPFAPTFISKSGTSENTKVKQAHDWESLATKHSPQYQNQALKELHLHYMEAWKSWYSEVARKRSTRVL >CAK8537369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:312080814:312082395:-1 gene:gene-LATHSAT_LOCUS6664 transcript:rna-LATHSAT_LOCUS6664 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYASNLARYADTKTRKLHGMKSNDCHVFMERLLPIAFSSLPNHVLYPLTEISQFFRDICASTLRVDNIIKLDQNSPVILCKLERVFPPGFFDSMEHLPVHLAYEAYLGGPVQYRWMYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSRKENVHWLTQKELQSAHVHVLINCIEVRPYLEAFNASYFQSTDEQATTGQIHASFPAWFKDQLSCIVAPTQEILHLRNLSRGHVQRAIEWHTYFVNDYKFHTQTWTEGKKTINSGVFVKGVTDGGEDDFYGVVTYIYELAYNYLDSENRVVLFYCDWYDPSSRGTKIDKKYNIVDIQMDRRYKEYDPFIMSHIVKHVYYVSYPSIQSRKRGWCVVIKTKPLGHIEHDDLVKDAAYQDHEISQINDVVEVEEITNLCDTLVEGHQIDASVLLVDNNVDEEHEDIGSEDIIGSDDENNMAEEHEEFE >CAK8566734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456883503:456883901:-1 gene:gene-LATHSAT_LOCUS19846 transcript:rna-LATHSAT_LOCUS19846 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGWKPNVYTHTSLIDGLCKKGWTEKAFRLFLKLVRSENHKPNVLTYTAMISGYCRDDKLNRAEMLLSRMKEQGLVPNTNTYTALIDDHCKAGNFERGYDSMNLMSSEGFSPNVCTYNAIVDGLCKRGRI >CAK8535335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:839636342:839637879:1 gene:gene-LATHSAT_LOCUS4801 transcript:rna-LATHSAT_LOCUS4801 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDVHGQPIDPRRIQDHFEEFYEDLFEELSKCGDIESLNVCDNLADHMVGNVYVQFREEEHAANAVKNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRELRRQLFGKRHERRSRSRSRSPYRHRSYEERSHRSRSSKYDDRDRDRDYHHESDSRRRRTSSPGRRRGRSPSRSRSPVGRRNRSPVRDGSEERRARIEQWNREREEKEPANKVTTEETRNGNNGNSQNASRYHENHQQQQSPQEGY >CAK8538051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460441403:460442707:-1 gene:gene-LATHSAT_LOCUS7291 transcript:rna-LATHSAT_LOCUS7291 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSVSLCMLLLLSFFFFPCFSSKPNNHRHANNSFSFSFPLTSLPLSTNTKLSTLSSSSLTVKSSFKYSMALVVTLPIGTPPQLQQMVLDTGSQLSWIQCHDKKTPQNKQLPTTSSFDPSLSSSFYVLPCNHPLCKPRVPDFTLPTDCDANRLCHYSYFYADGTLAEGNLVRETINFSPSETTPPIILGCATQSKDAKGILGMNLGRLSFSSQAKITKFSYCTPLKQTQPASGSFFLGDNPDSSRFRYVNLLTFSQSQRMPNLDPLAYTVPLLGISIGGKKLNIPPSVFKPDAGGSGQTMIDSGSEFTYLVDEAYNTIREELVKKVGPRIKKGYVYGGVADICFDGDAVEIGRLVGDMVFEFEKGVQIVVAKERVLAEVEGGVHCFAIGRSERLGAGSNIIGNIHQQNLWVEFDLANRRVGFGEADCSKSAK >CAK8574965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18694219:18703202:1 gene:gene-LATHSAT_LOCUS27262 transcript:rna-LATHSAT_LOCUS27262 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQNGFAKFPKTKVSEKPLSNGTTNHLNLITETEDLSNMDPSRIFVNKVKRLVVKVGTAVVTRSDGRLALGRLGALCEQLKELNLQGYEVILVTSGAVGLGKQRLRYRRLANSSFSDLQKPQGDLDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDSGFRKQLSETVTSLLECRVIPIFNENDAVSTRKAPYEHHVQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYNGPPNDPNSKLIHTYIKEKHQKEVTFGDKSRLGRGGMTAKVAAAVSAANAGIPVIIISGYATDNIIRVLQGEKIGTVFHKDAHLWKSLKEETAHEMAVAARNSSRRLQALKSEERREILLAVADALEKNQKLIRLENDADIADALEAGYDKSLISRLTLKPEKITSLAKSVRVLADMEEPIGQILKRTELADDLVLEKTSCPLGVLLIIFESRPDALVQIAALAIRSGNGLLLKGGKEARRSNAILHKIITSVMPDTVGSKLIGLVTSRDEIPDLLKLDDVIDLVVPRGSNKLVSQIKESTRIPVLGHADGICHIYVDKSANIDMAKHIVMDAKTDYPAACNALETLLVHKDLSSNGGLNELILELQREGVELHGGPKASALLNISEASSLHHEYSSLACTIEIVDDVFAAINHINKHGSAHTECIVTEDSEVAETFLSQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTKWVLRGRGQVVDGDRGVNYTFKEQPINAK >CAK8574966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18694219:18703202:1 gene:gene-LATHSAT_LOCUS27262 transcript:rna-LATHSAT_LOCUS27262-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQNGFAKFPKTKVSEKPLSNGTTNHLNLITETEDLSNMDPSRIFVNKVKRLVVKVGTAVVTRSDGRLALGRLGALCEQLKELNLQGYEVILVTSGAVGLGKQRLRYRRLANSSFSDLQKPQGDLDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDSGFRKQLSETVTSLLECRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYNGPPNDPNSKLIHTYIKEKHQKEVTFGDKSRLGRGGMTAKVAAAVSAANAGIPVIIISGYATDNIIRVLQGEKIGTVFHKDAHLWKSLKEETAHEMAVAARNSSRRLQALKSEERREILLAVADALEKNQKLIRLENDADIADALEAGYDKSLISRLTLKPEKITSLAKSVRVLADMEEPIGQILKRTELADDLVLEKTSCPLGVLLIIFESRPDALVQIAALAIRSGNGLLLKGGKEARRSNAILHKIITSVMPDTVGSKLIGLVTSRDEIPDLLKLDDVIDLVVPRGSNKLVSQIKESTRIPVLGHADGICHIYVDKSANIDMAKHIVMDAKTDYPAACNALETLLVHKDLSSNGGLNELILELQREGVELHGGPKASALLNISEASSLHHEYSSLACTIEIVDDVFAAINHINKHGSAHTECIVTEDSEVAETFLSQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTKWVLRGRGQVVDGDRGVNYTFKEQPINAK >CAK8568102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573716967:573718780:1 gene:gene-LATHSAT_LOCUS21097 transcript:rna-LATHSAT_LOCUS21097 gene_biotype:protein_coding transcript_biotype:protein_coding MASINTIGFQGNWNLSNAKKENVIYTGNLRYNYFHHHNSKRRFSLVITGCNHGSTYKKVDTINGTKVNGLQVAEAPRKLTSENTTDVGLVTNGKFVEGRLVFRQIFVIRSYEIGPDRTLTMETLMNFLQETALNHVTSSGIGGDGFGATREMSLRKLIWVVTRIQVQVQRYNKWGEEIEVDTWVDAAGNNGMRRDWIIRDRCTGEIITKATSTWVIMNRETRRLSKIPEEVKRELSPFYIHRIAVASEERDCEKIDKLTDDTAETTRSGLAPRWNDMDVNQHVNNVKYIGWILESVPMKVLEDYKMKSLTLEYRRECTQSNTLESMTCPTERVIGESDNNSSNRKPDLQYTHLLRLQDDKKEVVRARSEWHLKQNQQ >CAK8565093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:35355433:35356770:1 gene:gene-LATHSAT_LOCUS18332 transcript:rna-LATHSAT_LOCUS18332 gene_biotype:protein_coding transcript_biotype:protein_coding MQETTVVIVGGGPSGLAISALLTQHSVSHIILEKEDCNASLWRKNAYDRLNLHLASEFCSLPLMPHPSSGPTYLTKDQFLQYIDKYVDHFDIKPRYYRDVKSAKYDEVMNKWMVEARNTFEGTLEVYGAMFLVIASGENSEGFIPNVPGLGKFEGEMVHSKNYKSGSKYKSEDVLVVGCGNSGMEIAYDLHNWGANTSIVIRNPVHVFTKDMIRTGMRLVQYLPVWIVDIIITFQAKLKYGDLSKYGIYRPKDGPLYLKNVTGKSAVIDVGTIEKIKDGAIKVVPSGIKEIKKKNVIFENNMEKEFDAIVFATGYKSVANGWLKDYQYALNEKGFPKNPFPKHWKGDHGLYCAGLARKGLFGVKKDAEAIVEDINRILKFEN >CAK8577819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590737338:590737634:-1 gene:gene-LATHSAT_LOCUS29890 transcript:rna-LATHSAT_LOCUS29890 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLNLEMISHNIMEFVNGHTSLKVKVIIVHIIEKYTYIVSYKKAWIAKCKAIESLYENWEKSYNDLPQWILVMKTYLPGTIIELQALPAILNY >CAK8573688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630793600:630793985:-1 gene:gene-LATHSAT_LOCUS26099 transcript:rna-LATHSAT_LOCUS26099 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLVALLLLVCVVTAQGGKLMTPEACYNYCYKSMMYPKAIADPICKYRCRFPMYENNPKAVITGAALNVRKAGQLSAPPSSPIPAAKIH >CAK8542620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:531564885:531565169:-1 gene:gene-LATHSAT_LOCUS11444 transcript:rna-LATHSAT_LOCUS11444 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDVFKVLSVFKVYKESFLGLRHHKNWPTYEGLTLCHDETIRRNKKGRPNSIRIRTKMDDLEKEKRWCEICREIGHMRRKCPNVAGPSNRPV >CAK8574379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679038933:679041820:-1 gene:gene-LATHSAT_LOCUS26733 transcript:rna-LATHSAT_LOCUS26733 gene_biotype:protein_coding transcript_biotype:protein_coding MNQISRLNTPTTIIHSFPFLRFRASLSGIGDSTMSTNSLRVAAAQMTSITDLAANFTTCSRLVKEAASAGAKLLCFPEAFSFVGTNDGDSVRIAQPLDGPIMDQYCSLARESSIWLSLGGFQEKGSDSQHLLNTHVIVDDNGKIQSTYRKIHLFDVDVPGGRVYKESSFTESGKDVVAVDSPIGRLGLSVCYDLRFPDIYQLLRFQHGAQILLIPSAFTKLTGEAHWEILLRARAIENQCYVIAAAQAGAHNHKRESYGDTLIIDPWGTIVGRLPDRSSTGIVVADIDLSLVDSVREKMPIAEQRKSFEFWKASSL >CAK8574380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679038933:679041721:-1 gene:gene-LATHSAT_LOCUS26733 transcript:rna-LATHSAT_LOCUS26733-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSLRVAAAQMTSITDLAANFTTCSRLVKEAASAGAKLLCFPEAFSFVGTNDGDSVRIAQPLDGPIMDQYCSLARESSIWLSLGGFQEKGSDSQHLLNTHVIVDDNGKIQSTYRKIHLFDVDVPGGRVYKESSFTESGKDVVAVDSPIGRLGLSVCYDLRFPDIYQLLRFQHGAQILLIPSAFTKLTGEAHWEILLRARAIENQCYVIAAAQAGAHNHKRESYGDTLIIDPWGTIVGRLPDRSSTGIVVADIDLSLVDSVREKMPIAEQRKSFEFWKASSL >CAK8574018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654418410:654418922:1 gene:gene-LATHSAT_LOCUS26410 transcript:rna-LATHSAT_LOCUS26410 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRAFVNCNEISSFENLQPDDGKKRGICGLSKYNQYDALCVVRCLVAKRFLIAGGGAPEIELSKQLGAWAKVLHGIGGYCIREFAEALEVIPYTLAKNAGLNLIVIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSSITLATKCVRMILKIDDIVTMR >CAK8544433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683986533:683987816:1 gene:gene-LATHSAT_LOCUS13112 transcript:rna-LATHSAT_LOCUS13112 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIVPTVPTSAKSVTGFTKLKRAYVTFLAGDGDYVKGVIGLAKGLRKVKTAYPLVVAVLPDVPEEHREMLESQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYENIDHLFDLPDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCPEKVQWPKEMGQPPSFYFNAGMFVFEPSVETYDDLLKTCEVTVPTTFAEQDFLNMYFKDIYRPIPLVYNLVVAMLWRHPENVEVGKVKVVHYCATGSKPWRYTGKEENMQRDDIKMLVQKWWDIYNDSSLDYNKNLSGGEIQKNDVEEPFVQALSEVGRVQYVTAPSAA >CAK8563573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617251583:617255327:1 gene:gene-LATHSAT_LOCUS16969 transcript:rna-LATHSAT_LOCUS16969 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDQRKKSSVDVDFFTEYGEGSRYMIEEVIGKGSYGVVCSAYDTHTGEKVAIKKITDIFEHVSDATRILREIKLLRLLRHPDIVDIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDFLGTPSPEAIARIRNEKARRYLSSMRKKKPVPLYQKFPNADPLALHVLERMLAFDPKDRPTAEEALADPYFKGLAKFEREPCAQPVSKMEFEFERRRVTKEDVRELIYREILEYHPKMLKEHLEGAEPTGFMYPSAVDHFKKQFAYLEEHYGKGGTISPLDRQHASLPRQRMMYSDDSRQNMAEVAAEDLSKCSIKEVEKPTIDRTGGVAMNRLPLQAPQSIQDVMTNRNVAPRPRKDASSVLHYNCGVAGTDQRKTVRNPSVSSQYAASSRSYPKRNPSCKNDRGEDGIEGSNGLQTKPQYIARKVAAAPGGAGSNW >CAK8532493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270859694:270860350:1 gene:gene-LATHSAT_LOCUS2192 transcript:rna-LATHSAT_LOCUS2192 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAYSLTLSFLLFVFITNLSIAFSNDDVVEVLDINGAPVIGGNEYHIVTTINGIATRSGLELARTRNSNCDVSILQSYYKPHRMRTSVTFTNLVNKSERILTKTLLNINVGNLPYCADSSEWLLYFDYNIYQACVGIGGYKNYNNSPILTAKFGIWKHGFGYKFKVITAGSSTYLDIGRLYQQIGEGGHRLYMAGDVEDPYEFILVPAFIKTEKSVV >CAK8568703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633313009:633318250:-1 gene:gene-LATHSAT_LOCUS21635 transcript:rna-LATHSAT_LOCUS21635 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKSTQSSFRDRTHEFHTVAERLKKSLSSTSNGATTSTHHPPSSSSPSSSSSSRSDDPRSAVAIQSEFNRRASKIGYGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTSVIKQDITALNSAVVDLQLISNSRNESGNVSTDTTSHSTTVVDDLKTRLMSTTKEFKDVLTMRTENLKTHESRRQLFSSTASKDSANPFIRQRPLATKSAASTSNAPAPPWASGKQVDGESQPLLQQQQQQQQQQVVPLQDTYMQSRAEALQNVESTIHELGSIFNQLATLVSQQGEIAIRIDENMDDTLTNVEGAQGALLKYLNSISSNRWLMIKIFFVLMFFLMVFLFFVA >CAK8565209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:69208177:69211115:-1 gene:gene-LATHSAT_LOCUS18442 transcript:rna-LATHSAT_LOCUS18442 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWFIAFSTFILSILIYRFLKFATKSSSSSSLPLPPGPKPWPIIGNMPHLGPAPHQSLAALAETYGPLMHLKLGFVDVVVAASATVAEQFLKVHDANFSSRPPNAAAKNMTYNYQDLVFAPYGPRWRLLRKISSVHLFSNKVMAEFQHLREEEAVRLTNNLASNYSDTKAVKLGQLLNICTTNALARVMLGRRVFNDENGGNDPKADDFKDMITELMVLAGVFNISDFIPSLEWLDLQGVQAKMKKLHKKFDAFLTNIIEEHDNSNSKSEKHKDLLTTLLTLRDEGDDDGNKLTNIEIKALLLNMFAAGTDTSSSTTEWAIAELIKNPKILAQVQQELDNVVGRERNVKEEDIPNLPYLQAVIKETFRLHPSTPLSLPRIASESCEIFGYHIPKGATVLVNVWAIARDPKQWDDPLMFKPERFLPNGDKCDVDIKGNDFEVIPFGAGRRICVGMNLGLRMVQLLTATLAHSFHWELENELKAEKMNMDEAFGLTLQRAVPLSVYPKPRLSPNVYSSCF >CAK8539653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519898416:519901303:-1 gene:gene-LATHSAT_LOCUS8731 transcript:rna-LATHSAT_LOCUS8731 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPGLVKNTTSASDFSLKKQELLSSAMKRTSEWIFSQEIPSDVNVQIGEASFSLHKFPLVSKSGYIRKLVSESKEADVSIVELSDVPGGAEAFELAARFCYGINFEINVENIATLRCVAEYLEMTEDYAVGNLVERTDAYLNDVALQTIAGSVSILHISENLLPISEKAKLVSKCIDAIAYIACKESQMCSSGRSDSGSEGVMGSSISSQQRPVVDWWAEDLTVLRIDIFQRVLIAMMARGFKQFAIGPILMLYAQKSLRGLDIFGKGGKKIEARQEHEKRVILETIVSLLPKERNAMSVSFLSMLLRAAIYLDTTIACRLDLEKRMATQLGQAVLDDLLIPSYSYTGDTLFDADTVQRIMSNYLESQIGNHFAYNGDDEYFSPPQSDIEKVGKLMESFLAEIATDRNLSVTKFISLAELIPEQSKPTEDGMYRALDIYLKAHSNLSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQERLRDAMNGNENGESSPIQTKRNSHSSDNQIHPISNEIVSLRKENEDLKLELAKLKTKLQEIENSTTVKSKVSSPVTVSASSPAYSADKPPLPRKSSFMSSVSKKLGKLSPFPRVEGIITPFAKGRTKPNKNRRHSIS >CAK8567136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490058026:490064486:1 gene:gene-LATHSAT_LOCUS20213 transcript:rna-LATHSAT_LOCUS20213 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFMLPRNPMARDAAELPSSSSPSSSAKTRPSSRKHKPSKENDPPSDHNIIVPYSPSHVKSKSPLPPRPPSSNPLKRKLALDTIAADNSLPATSDSGVKVIVRMRPLRKDKDEGDPIVQKISGDSLSINGRTFTFDSVADVEATQLDIFEHVGVPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANSLAEENVAKEQQGLTPRVFERLFARIKEEQTKHSDQQLNYQCNCSFLEIYNEQVTDLLDPSQRNLQIREDVKSGVYVENLTENQVSSMEDVTQLLLKGLSNRRVGATSINSESSRSHTVFTCVVESRCKSIADGVSRFKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCRSETFSTLRFAQCAKAIKNKAVVNEVMQDNVNHLRQVIRQLRDELHRIKENGYNPMDPSGGHSAAWVRKSLNILQSSLNRPPPLCRVDEDGDEEMEIDEEDVEDHDEVFCNANVNCNFALENDDKMNTDDQDLAQPSEKNNCGLSSGKLINEESSCPVGESDIGDFTGFSAPDPPSDSPSAILNCVSPGGLSIVKCEISPILKSPTPSVSPRISTSRKSLRTSSGVSPSENDVHVESELGIKTGNLKSSATAFSSQAGPSFLTKTENLAASIRHGLEIIDSHRSAALKQSSYRFSLRPRESRPTFPVDVGVQTFLDDNVEEDSMFTCSNCKNRAQLDVNEIDNSSNLQLVHVNETDNSSNLQLVPVNCSESADKPKKQVLKAVEKVLAGSIRREMALEEFCAKQTTEIMQLNRLVQQYKNERECNAIIAQTSDEKILRLESLMDGVLPTEEFMDEKLVALTHEHKILKEKYENHPEVLKMHIELKRLQDELQEYQNFYKLGEREVLMEELQSLRSQLQLYIDSSSTARKQYPLLQLTCSPEPSFAATTLTAIPESIVERDETGENLASIRDSFEVKLEQERIKWTEAESRWISLSEELRADLEANRSLAEKTKHELDAERECNKELQEAMHMAIEGHARLLEQYADLEEKHVQLLARHRRIQEGIDDVKKAASKAGVRGAESKFINALAGEISALKAEREKERRMLRDENRGLQAQLKDTAEAVQAAGELLFRLKEAEESVINAQKRAMGAEQEAAKAYKQIDKLKKKHEKEVVSLNELVAEARLLEKGSASPIYDDVIIPNYDDESKDPHCVNDCELAKLAEPSWCSSYDRCNI >CAK8541766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391433322:391434386:1 gene:gene-LATHSAT_LOCUS10661 transcript:rna-LATHSAT_LOCUS10661 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKQLVSSSSSSSSSFTSELFGSIDFHPSSASSGIFDSIFSPSSKVFGRESLHSALNGKTSTEGSNSKIVTQDYMSKGSEGETENKKSKDMSYLYQEQIQPCQLSSSIYYGGQDVYFHPQSTRGSESNTMYKKDGGEDDSGSASRGNWWQGSLYY >CAK8536702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8358534:8360672:-1 gene:gene-LATHSAT_LOCUS6036 transcript:rna-LATHSAT_LOCUS6036 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQDNQNALVYPSSMHMVYSDLGSLSLTSNKESYGCKTFVMDDDMEGKGSYCSEETNPNENNGSGKEEDNGQSSKCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFNEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRLMNQSSGFRRMDQNPSFICRDTARMETEPSPPYFLNGAPLGNSMSIFPYAASLQHGAHGGGVEYGLNGLPHMSGEREGFQGPLHVGFYAQQAPFDFFSGSRRNEMVEESMNHSRYWDGTNDEYQPQHQQQQEIPSFHHHYPQQQYLMSMQQQQQQNNHNFNSFSNSLASSTPHNNTENSGKVLIDDTQEPVETRQFFDFLGVGAT >CAK8570442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46403549:46405020:1 gene:gene-LATHSAT_LOCUS23189 transcript:rna-LATHSAT_LOCUS23189 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERNLMLTQMKNSVAKHGSSTQGYGNPTLMRFLIAQSMESDNAAKMFVQWRKWRDSLVPNGLISSFEVCDELESRKIFLQGLSQDKFPLMIVQANRHFPSKDHNQFKKFIVHVFDKTIASAFKEREVGNEKLIIILDLQNISYKNVDARALTTGFQFLQSYYPERLAKFYILHMPWFFVSVWRMVSGFLDKTTKEKIVIINNEDERKEFIDQVGEEILPKEYGGRAKLVAIQDFEVTSLDNGRTN >CAK8562702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528860542:528863331:-1 gene:gene-LATHSAT_LOCUS16176 transcript:rna-LATHSAT_LOCUS16176 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELKGGEVEEGGEEGKWSFVGSDTKRVLVGAGARALFYPTLVYNVVRNRLQAEFRWWDKVDEFVLLGAVPFPIDVPRLKDLGVRGVITLNEPYETLVPTTLYHAHGIDHLVIPTRDYCFAPPLNDICRAVDFIHKNALSGRTTYVHCKAGRGRSTTIVICYLVHHKLMSPDAAYEYVKSIRPRVLLASSQWQAVQDYYRHLIVRRVVGFSPAADLLVKASEVAAASQDLVKFDDNSVVVVTEEDLEGYDPNSQSVTMASEIWADLSVVYRVRVAGEAAFARISCLWLRYGTDQKISAEKLSRESSCSIRTNHLGGISVDIHVY >CAK8530354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16311495:16315208:-1 gene:gene-LATHSAT_LOCUS223 transcript:rna-LATHSAT_LOCUS223 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHFSIQPNSLRVPNLIGGKFVESKSLTFIDVINPATQDVVSQVPLTTNEEFKAAVAAAKKAFPSWRNTPITKRQRVMLKFQELIRRDMDKLAISVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVANGIDTFSVKEPIGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMLLAQLAMEAGLPEGVLNIVHGAHDTVNAICDHDDIKAISFVGSNVAGMHIYARAAAKGKRVQSNMGAKNHAIVMPDASVDATINALIAAGFGAAGQRCMALSTVVFVGGSKPWESILVERAKALKVNAGTEPDTDLGPVISIQAKERIHKLIQSGFESGARLLLDGRSIVVPGYESGNFIGPTILADVSSDMECYEEEIFGPVLLLTEADSLDEAIKFVNENKYGNGATIFTRSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFYTQIKTITQNWKESVNEDKINMAMPTSQKS >CAK8539440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512849339:512852109:-1 gene:gene-LATHSAT_LOCUS8535 transcript:rna-LATHSAT_LOCUS8535 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHLLLSMFLLFSLLTSQTVCGNAELRALMDLKSSLDPEGKILTSWISDGNPCSGSFVGVACNDHWKVANISLQGKGLSGSLSPVVAELKCLSGLYLHYNNLSGEIPSQISNLTDLVDLYLDVNSLSGTIPPEFGNMASLQVLQLGDNQLVGNIPTQMGSLKQLTTLALQYNKLDGQIPLSLGKLEKLSRLNLSFNNFSGTIPATLANVAQLKVLDIQNNSLSGIVPSALKRLGEGFQGANNQGLCGVGLSSLRACNEYPDLNVSNIDTSDQDHFKNNNPATPRPEPANIPVHCNQTHCSKSGRFPLSVITTASAIIITLVFIGVGLFTFVKYRRRKQKISSNYSEGKLSPQQPKDLYRKSPSTLVNLDYYNGCYPLAGDQKAGGLANEYLNKFRFNVEEVESATQYLSEANLLCKSKFSAMYKGVLRDGSLVAIRSINMTCCKTEEAEFAKGLSLLTSLRHENVVKLRGFCCSSSRGECYLIYDFATMGDLSQYLDMEDRSGHLLDWSKRVSIIKGIAKGIGYLHSNEASKPTIVHQNISVENVLLDKEFNPLIMDAGLPKLLAEDVVFSALKVSAAMGYLAPEYITTGRFTEKSDIYAFGVIVLQVLSGKTAIGGSIRTAFESLRFDDCIDTNLNGRYSSSEAMTLTKLGVQCVHESPDERPNMVDVIQELSMFPAHSK >CAK8570019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21261831:21263805:1 gene:gene-LATHSAT_LOCUS22810 transcript:rna-LATHSAT_LOCUS22810 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWKILNNQIEQTLPDWRDKFLSYKDLKKQLKLIVPKDDSSSKRRRLDDDSVIEGEVSKEVNDFLRLLEVEIEKFNGFFVEKEEEYVIKWKELQDKVAWAKSSDGELMTVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRTGALLRLPFIQDVLNQPFFKIDVLNKLVKECEMMLSIIFPKNGPLGQSLSTSEVFEEVALGSTANETKETLEHVPKELSEIQNMENVFIKLTTSALDTLKEIRGGSSTVSIYSLPPMHSETLVED >CAK8533535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:633283628:633286695:-1 gene:gene-LATHSAT_LOCUS3156 transcript:rna-LATHSAT_LOCUS3156 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERTLGDRKGKDFCELPKETITNSRARRNRRRHKMPPVQKLFETCKEVFASSGTGIVPPAQDIDKLQSVLDGIKPEDVDLRPDMPYFMANASHRRPKITYLHIYECEKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDLPPQSSTIVKPTESQVPDLRLAKVKVDSDFTAPCNPSILYPEDGGNMHVFTAVTACAVLDVLGPPYSDVDGRHCTYYTNYSFSNFSVEGLSIPEEENNAYEWLQEKDQLEDLKVEGKMYSGPTIVEN >CAK8532189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:217782004:217784502:1 gene:gene-LATHSAT_LOCUS1920 transcript:rna-LATHSAT_LOCUS1920 gene_biotype:protein_coding transcript_biotype:protein_coding MELEYQWVKKLWWTVGLIVISSVIALVEKVLLKPRRIRTMLEKQGIKGPKPSFPFGNVTEMQQIPSQPSESADITTDWVYSMFPYFQTWKQQYGSLFTYSTGIKQHLYVENGKVIKDLSVHMSPDLGRIEYLNKALLPMLGDGIVRANGKSWIFQRNLIIAELFMSKVKTMVGYMEGSTLEAVQKWERLINESKDKVVEIAIQNDLKVLSEDIISKACFGSDYTQGKYIFERLAEMQSKLSKTSTLLGYLNLSFLPSKESKEIWKLKKEVDVLIMNIIHAREKQNQESNNGEKQNDLLQKIIDGVAKEKLLNSSGQGTLKPGHDMNQLIIDICKSIYFAGSESTSIAVVWALYLLSVYPEWQQRLRDEILEFFGDDSPPSFTDASILQKMKTLNMVVLESLRLYGPSVTNSRETFADLKIGDLVLPKGLYIWMFVPSLHRNVDNWGPDATEFKPERFENGVSAACKYPQAYMPFGYGNRYCIGQNFTVMEIKIVISLMVYNFDFKLSPNYVHCPASNMLLIPKYGMKLLVSKRNAGK >CAK8560870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51627110:51628530:-1 gene:gene-LATHSAT_LOCUS14510 transcript:rna-LATHSAT_LOCUS14510 gene_biotype:protein_coding transcript_biotype:protein_coding MGESTERYAVVTGSNKGIGFEIVKQLAEAGIKVVLTARDEQRGLQALETLKASGLSDFVVFHQLDVADAASVAALAHFVKSQFGKLDILVNNAGIGGVEIQDSNLFRSTLLTNGKALSDDELRSSMTQTYESTKECLQINYHGAKTTFEYLLPLLELSDSPRVVNVSSALGKIEHVSNEWAKGVFSDVENLTEERIDEVLKEFLKDFEQGSLERKGWPRYLAAYTVAKASMNGYTRIIAKKYPSFCINCVCPGYVKTDITANTGFFTAKEGAAHPVRLALLPNGSPSGLYYIRNELSSF >CAK8575706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262590887:262591387:1 gene:gene-LATHSAT_LOCUS27946 transcript:rna-LATHSAT_LOCUS27946 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSRLQRLLTRLETGSTQATRLTTARQIGEIVKLHPQDLTSLLKKVSQYLRSKKMGYKSCSCSCNWSISENVKHISLNELIASVVTKMSESGISCSVDDLCAWPYLKAKITGSSFRSFDMNKVLEFGALLASGGQEYDIGSDNIKNPRERWVRQKQNLRRRLV >CAK8531126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82738898:82739833:-1 gene:gene-LATHSAT_LOCUS938 transcript:rna-LATHSAT_LOCUS938 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLALNIQSGEEVAVKLESVKTRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFTLKSVLMLADQLINRVEHMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLQTHRHIPYRENKNLTGAARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISETKVSTPIEVLCKAYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGSSSRGQHHGNNK >CAK8561000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70424624:70425957:1 gene:gene-LATHSAT_LOCUS14629 transcript:rna-LATHSAT_LOCUS14629 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPFSFAFILTFVILIFDVCESDDCSNPVKGISVSHSGKAGFKTIQSAIDSVPEGNSQWIHIQISSGVYKEQVLIPKNKPCIYLEGAGSQSTRIEWGSHENATFDIKASNTAAKGITFTNTLNNPVLSNAINVIPAIAAKVHADKCAFYSCSFLGVQDTLNDDDGRHYYNNCYIQGSIDFIYGNGQSLFEASTIYFSNGKSGQHRDGVITAQYRDSPKDPSGFVFKNCNIKGTRGCKTELGRAMGAYARVIIANSYLSDAVRPEGWSQRTYVGHESKLTFVEEGCTGPGADKSKRVKWMKSMSGHELDKFLSLSFIDKEGWISKLPPRIFH >CAK8531483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114771106:114771855:-1 gene:gene-LATHSAT_LOCUS1272 transcript:rna-LATHSAT_LOCUS1272 gene_biotype:protein_coding transcript_biotype:protein_coding MSINPDEAVAYGAAVQAALLSEDVKNAPKLLLHDVTPLSLGRSVKGDIMCVVIPRNTCIPVKQTQKYYRSADGQSSSLIEIYEGERTRASENNLLSLFVLSGYPPGPRGSPSSDVSFAIDENGSLTVSATNNASGNSIKVTITNYRERMSAEEINKLIKEAENYHIEDMKFLRKAKAVNALDDYIYKMRNALKMVDVSSKLSSLEIKKMEDAIAVATNLCGNQQVEIEVLEDYLTGLKSRMKYIIAKTV >CAK8531853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166339520:166340476:-1 gene:gene-LATHSAT_LOCUS1617 transcript:rna-LATHSAT_LOCUS1617 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNKWVIPSVPSNQIYRFGKIDVFARFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQLREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8563844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634648406:634650059:-1 gene:gene-LATHSAT_LOCUS17213 transcript:rna-LATHSAT_LOCUS17213 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAEAEIICQPSISMLDVKYHLCVAQEHSVKVEVSPKSPTPSLPIFGHAVSCSEIMKDSMLETPAKMFIPNVRSGSYADIGPRGSMDDEHILIDDLACHLGFVFKCPIPSAFYAIFDGHGGPEAAAFVKRNAMRLFFEDADMLQSYDTDAFFLEKLEVSHRRAFIRADLALADEQSVSSSCGTTALTALVLGRHLLVANAGDCRAVLCKRGAAVEMSQDHRPSYLPERRRVEELGGFIDDGYLNGYLSVTRALGDWDLKFPLGAASPLIAEPDVQLVTLTEEDEFLIIACDGIWDVISSQVAVSLVRRGLRRHNDPQQSARDLVKEALRLNTSDNLTVVVICLSPVESIAESCPPQRRRFKACSISEESRNRLKSLIEGN >CAK8563845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634648406:634650056:-1 gene:gene-LATHSAT_LOCUS17213 transcript:rna-LATHSAT_LOCUS17213-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEIICQPSISMLDVKYHLCVAQEHSVKVEVSPKSPTPSLPIFGHAVSCSEIMKDSMLETPAKMFIPNVRSGSYADIGPRGSMDDEHILIDDLACHLGFVFKCPIPSAFYAIFDGHGGPEAAAFVKRNAMRLFFEDADMLQSYDTDAFFLEKLEVSHRRAFIRADLALADEQSVSSSCGTTALTALVLGRHLLVANAGDCRAVLCKRGAAVEMSQDHRPSYLPERRRVEELGGFIDDGYLNGYLSVTRALGDWDLKFPLGAASPLIAEPDVQLVTLTEEDEFLIIACDGIWDVISSQVAVSLVRRGLRRHNDPQQSARDLVKEALRLNTSDNLTVVVICLSPVESIAESCPPQRRRFKACSISEESRNRLKSLIEGN >CAK8563288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590405794:590409497:-1 gene:gene-LATHSAT_LOCUS16714 transcript:rna-LATHSAT_LOCUS16714 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDSVNGGGDVWINENRFRILRQLGEGGFAYVYLVKETPNDSAAGGLANKLKDSSHLSDDGSYAMKKVLIQNNEQLELVREEIRVSSLFSHPNLLPLLDHAIISVKPTAETSWSHEAYLLFPVHLDGTLLDNAKTMKAKKEHYSTSDVLQIFRQLCAGLKHMHNLDPPYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQISSRSEALQLQEWASEHVSAPFRAPELWDCPSHTDIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIINAQVKWPAGHKPSYPDAFHQFVSWMLQPQAAMRPRIDDIVIHVDKLIAKFSQ >CAK8537088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78509466:78512772:-1 gene:gene-LATHSAT_LOCUS6404 transcript:rna-LATHSAT_LOCUS6404 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMEYSIPPTKDDILSQESLFVIGILSLILHLSTNKVLEETSKPILFNTCIISLVNTIVCAASSKGPALGDHDEGTSTGETLIFVLLLNYFAVKSLHAILPGFVDWQSFLVSMNSSEPQAFIGIRCHDLCRLLHFGSPVVKIIASYCLLELFNRISDQINSKHEELKCTFEYLMSLRNILEGLVFYNDSTVATNCALCLSILLQWENLAKETEQLGKSSWFRLIIEEMTVSLAAPAVASQSFTNSQTPAVLIAVALLKLHIIPQWMRSVLNDSCISGILENLAATDLSSEILVLFRQLLKSDFLSTEQIATINQILQECRRHMYSNNAQDSLPSEPIKKVLAMPYDLGDICRHLIDLMASEAYLDMDFWGFHMGSKRLLEEIELFFSTLTVDDDSCR >CAK8566756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459884461:459894316:-1 gene:gene-LATHSAT_LOCUS19866 transcript:rna-LATHSAT_LOCUS19866 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLSGERRWTSSTRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLGWGSKSSSSASNAWGSSVSPNANVGPSSPSHLSTRPSSGGSGTRPSTSGSDRASELTTGAWGSSSRPSSASGPPASNQTSQTSLRPRSAETRPGSSQLSRFAEHGAENSVAWNAARTTETLGIKQCKNDDFSLSSGDFPTLGSEKDKSVHDVESQDHGSHIQPDSSGLGKEKNETSTADDVPVHANAMGDTVNSWRRDYQAFNEDGMRPGIEKWQGNLQLYPNAGLLPQHFDVWRGAPVNNHQRDIWFRGPPNGPPFATPVAPGGFPIEPFPFYRPQFPHTGLANPPQVPPPGSGPRGQHNNGEVYRPHMPDAYIPPGMPLRPDFFPGPMAYEGYYGPPMGYYNSNERDVPFMGMATGASVYNRNSSQNPHEPGNIHGRSGGHDNVVKPVALEPVESSHAPDNAGPYRVLLKQHNKWDGKNEPTNWEDSLTTNASFVNVRDQPAMSVQENDHIRNMEMDLRKTSVHGKEVSSQTSGNQESSFIKNAKSLESTGSFNEFDNTVARKMDGVASNTLESSSRLPAPKDSTLIQKIEGLNAKARDNSSTKSKEERRNTFNAGNHAENEVSAGVVFPETTVATEVKNPTVRGVGALGGEDNSESSSLSGTATSRHISHGMQGRGNHRKGRFDTQDADGWRKKSGVIDSSTSLDAQLDASNILVGEHQISVDAYERSGSYSQVRREEESMQTLADSADSHVQHAKTKELVKQRTRQLREEEVERPKKQKDKTPMKLDGVNRRTQAVKRSTQEKYDVNSALQNKQEFHSSESATVLGKSGAAVSSAMPNDNDACQISDTKINRVEKLPILSSETSLETLKNADKEPPVLSHNKSATLFQDVNCGDATNALQVHNNAVSRQKRMGHKHKHNLSLEKTLNVSTTSTGPQVENHTVAYVNVSTGIATTMNEVSSALPVNSTSMAESSVNQKRKNSRNSRNKQKVEETLSLASLPSVIPKETGLPISSENKSRVDIELDQGSIQTSSLPKDSIQYSDQRYIENEESFGRMNSQSKSQHSRRIPRNTQSNRQAEKSHGSDVLMWAPVKPPNKIEMLDESSQKTEAIVPAKSDQQVHNLKNKRAEIERYIPKPVAKEMAQQGGLQQMVSSISQAPTDDCVERVDSDPQGPKITQHAISGVGKVGSAMESKNGDSRQTKAWKGKAHGSWRQRNSTESNDVHDMQDGGNHGSNSYQNIQMPVECQQVQMSETSLRGHSKHANDTSKPDGLNNSDNHDSAVPVSAPIIKDHKAMVRERQVPFRRQKGTGLNHEIDQKKNAGDMRKESLLSSSDHNQQDLNAVSTESQSTGERMSSHWQPKFQASNNQRGHRPKKKESTHTGISFRDGQDKEYSTIVPQPPSQSVSEKGWGDDDWNLETVRESRNAPPKGHPHSNQVAVSSSEQAPIGMDFRHQQRPSSDVRRNGNQNRFGRGHESQGDWKTQDDRHYHNRPANRERQGPNFHNHYEYQNNGPHVGDIKSDNSERPKDGNYQAGGRFRERSQTHSRRGGGGNFSGH >CAK8575125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:35564988:35565347:1 gene:gene-LATHSAT_LOCUS27413 transcript:rna-LATHSAT_LOCUS27413 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAMIRKRFGDEQSTLLDQFERLSFETQLNKAMLQRSLSEPRYLRSVSQQPRLVSVAPTTIPLVNQVKQGRRCRGSGFRKVVKKLLKPFLGRKNGARKDVEFQNPLSWKAFSRSLRF >CAK8572985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574416000:574417136:1 gene:gene-LATHSAT_LOCUS25482 transcript:rna-LATHSAT_LOCUS25482 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDMSMDQCLAFQKQCLENHLNDDLKITLALPIELLSVISEYNVDFGDFTIHGFDFSKTVEFQGWKPYFDLLKGPTFPELVKEFWKNAYIMNNTLVCSSIKGHDININLDNIVKLLSHDNTRYHCHNRREAMMNNKLTYPEQIHMITPTLFKDGKDSNLASKLYDNLRIWLNIIYRSIYNTTTENPTKFDFDLKYMIFYLAKGKKINLPTFLFNYLRDSINRSKRMLESKRTWIPYGRLISVILIDNNIIKLINPPVAPKMSYGKYFHYEEVVKLGIIKSSAMRNPETDSSRKRKKEVGESSSIKEKEVIEEVATKKDFTETPINQATQSDGLHSSEVNMFSQVLNTLIDVQKEQKRLDAKNNEQEEVNKNILDMIT >CAK8535902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890164006:890164563:-1 gene:gene-LATHSAT_LOCUS5320 transcript:rna-LATHSAT_LOCUS5320 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTSSSPPTNLEFISRAKERIKAGLGTRRPWKLMFNRRSFNLPSGFPDALSRVKTNLSYFQMNYAIVILIVLFLSLIWHPISLIVFAALMAAWLFLYFLRDEPIVIFGRLVSDRVILVLMLILTVGLLLFTGAVLNILVAVLIGVVMVLVHAAFRNTHDLFLDQEEGLLFSPPGGAPVS >CAK8544733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702889314:702889741:-1 gene:gene-LATHSAT_LOCUS13388 transcript:rna-LATHSAT_LOCUS13388 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDLKLAYMEREPHYNFAPPLQEWKKVEKVCKLLEVFNLATHVISVSKYLTTNLYLAEVGRMNQIIDNAMEEEDLFMREMARPMKLKFDKY >CAK8578449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629878425:629879855:-1 gene:gene-LATHSAT_LOCUS30461 transcript:rna-LATHSAT_LOCUS30461 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRHHRILLIPYPVQGHINPAFEFAKRLITLGAHVTISTTLHMHNRITSKPTLPNLSYLPFSDGFDDGFKGKGKEAYLLYTAEFKRRGSEFVANTILSYSQQGTPFTCLVHSLLLQWAAQAARELHLPTALLWVQPATVFDIFYYYYHGFSDSIKKPSCSIELPGLPLLLSSRDLPGFLLEPCPTPSANYALMVSLFEQQFNDLDVETNPTAILINSFEALEPEALRAVEKLNMISIGPLIPSAFLDERDPTDGNDTSFGGHAQAHIFQLSNGCVEWLDSKTEKSVVYVSFGSHCVVSKIQMEEIARALLDCGFPFLWVIREAKEGEKEEDLSCREELEEKGKIVKWCSQVEILSHPSLGCFLTHCGWNSTLESLVSGVPMVAFPQWADQTTNAKLIEDVWKIGVRVDHELKEDGIVGGDEIRRCLGEVMGSGEKGEEVRRNAEKWKGLAREAVMEGGSSDKNLRAFLDRIAES >CAK8533407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613962832:613963200:-1 gene:gene-LATHSAT_LOCUS3032 transcript:rna-LATHSAT_LOCUS3032-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGNIGFKISFHLLPGVFSIPRNIWKFRIACLKSRDFSSSSVTANYIPITSCRVKIPRLEYCSFADGSAIKINDGKIGHATIAHCETSQNSDEVLTDLLFHDIEEGSEQMEEVRELTVP >CAK8533406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:613962508:613963200:-1 gene:gene-LATHSAT_LOCUS3032 transcript:rna-LATHSAT_LOCUS3032 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGNIGFKISFHLLPGVFSIPRNIWKFRIACLKSRDFSSSSVTANYIPITSCRVKIPRLEYCSFADGSAIKINDGKIGHATIAHCETSQNSDEVLTDLLFHDIEEGSEQMEEIEDSREQDFIRLDKSINDVEQSAVKLLASRALTTVELRKKLHSKRFSPNAVEAVINKLLRQGFINDKLYAESFSQSRWSSSTWGPRQIKQALFNKGVCDTIRYQRRR >CAK8578982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665951354:665951683:-1 gene:gene-LATHSAT_LOCUS30959 transcript:rna-LATHSAT_LOCUS30959 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLHLKVHHSGEFVNEDFSVYEGGGVADLKIDIDRWSYFELLDCFKDLGYNVIEKIYYRDPTFEMNVLVDDKGVLEIVGLYRILLVLTFIFNTHCRSLIIIMVPLLR >CAK8543491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611224382:611225796:-1 gene:gene-LATHSAT_LOCUS12246 transcript:rna-LATHSAT_LOCUS12246 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHKKSKKKPKQVSLVVPVEPKPNEIDWWQSFWHKNSTAPGYSVPNDEAQGFKYFFRVSKTTFDYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFGVGQSTVSQVTWRFIEALEERAKRHLSWPDFDRMQEIKFGFEASCGLPNCCGAIDATHVMMTLPAVQTSDDWCDQEKNYSMLLQGIVDHEMRFIDIVTGLPGGMTFSRLLKCSSFFKLSQNGERLNGNVRTLDGDVMREYVVGGYSYPLLPWLMTPYETNGISDSQFTFNNKHEAARLLAVRAFSLLKGSWRILSKVMWRPDKRKLPSIILTCCLLHNIVIDCRDTIDLEVALSGHHDSGYREQYCKQVDPSGKIVRENLAKHLHHGVQLDANGTSAVHLI >CAK8571239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:349905089:349911012:1 gene:gene-LATHSAT_LOCUS23917 transcript:rna-LATHSAT_LOCUS23917 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTKDEPFLALPWHWIMESLAGFKEIPLSTLQGLVDAAPVRQDDFCENTKELVALRCLEELYASFSSSILDSSVEVDSSGSCEDVLREILHEVPLSNLKIAGAKLLKWDVNPFITYKRAGNVKCHLEKLKESILEGTLPLSDHLKERSGLFQMNRPHTVHVNDGKCNDHSVKGDGNSTYAEDMGAKENSVSLILGDGNKSSKEDLPNNKCLSSKRNRVYSADEHLVSCFNEKQACISECDGFLSTKRIKRSALTNFGSKKEKQVSRLEKEVPENSTEKVLISENVVHTKKKNSEAQSDGSLEASHIKCSASNLCQPSGQNEAFNAELDISFKAILTPQQKTSGGKPWSEHESDLQLKDLNCSQQTASGVKAQDGTGNGCGVEIPGETVAYQGEKINLVMKKHKKRSLDIKCSKGGQLLVCEAVTVPLNDDVHVEPIPTHDGNANKLSHMTNPSQTQQKEPNVASLNASRKPVASDKAVVDTVNDCGAELSNDSDEFHNEKIDLIAKKDEFLNSQHTFGQDLPVMTESTSQNLCMKCNEAGQLLVCKTTTCSLMVHKNCLGASPQLDAKGNFFCPFCAYSHTISEYLEAKKIASLARKELAIFISSKGIRKEAVEHVHESGRQECIFSRKSYECEHTPLTVNEENREDHAGEHANKVGNFPFERSQKQVPISAVHSSSFREKENVNIGLAGDVREEDDCEMLNAKSLTGEIVEDREMETDHVGGCGNEKFSCKKLKIVCANESNVEEVLQNMTEYSMDGTVEPVWTHKTVKDEISKDEWEKHSISRYSMRFRMHEVQNKIQESPRIRRKKIPWTTEEEELIQEGVQKFGFSDSKLPWKKILAFGSHLFEKNDRLRTPQDLKDKWKNMCKAHAKLK >CAK8561127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:98803607:98805276:-1 gene:gene-LATHSAT_LOCUS14743 transcript:rna-LATHSAT_LOCUS14743 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGSNIQSTFITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQGVTRVKPYICTMPLRMDEGWNQIQFNLADFTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQVRDVCI >CAK8541866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419120920:419121375:1 gene:gene-LATHSAT_LOCUS10757 transcript:rna-LATHSAT_LOCUS10757 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECLSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVWFVREIPTDFSISF >CAK8544868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709653418:709655205:1 gene:gene-LATHSAT_LOCUS13513 transcript:rna-LATHSAT_LOCUS13513 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSLVLDDTSVTISEHPLVIGQEFPDVETCRRALKDIAIAMHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTIRTLQGDHTCEGVRNLHHQQASVGWVARSVESRIRDNPQYKPREILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVGATGQENCFQRLFIAYRASIYGFINACRPLLELDRAHLKGKYLGTILCAAAVDADEALFPLAIAVVDIESDENWMWFMSELRKLLGVNTDNMPRLTILSERQRAMVEAVETHFPSASHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTAAEFESKITEMIEISQDVISWFQHFPPYLWAVAYFDGVRYGHFTLGVTELLYNWAQECHELPVVQMMEYIRQQMTSWFNDRRDVGMGWTSILVPSAEKRISEAIADAHCYQVLRANEVEFEIVSTERTNIVDIRSRECSCRRWQLYGLPCAHAAAALISCGHNAHMFAEPCFTVQSYRMAYSQVINPIPDKSQWREHGEGAEGGGGARVDIVIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTMPM >CAK8541325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:120986117:120986431:1 gene:gene-LATHSAT_LOCUS10254 transcript:rna-LATHSAT_LOCUS10254 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHGLSLLCILSVAMVLLSTASANFQEPPGRTCIHKCKEGGDGVCYKFCYEMGFKSGGDCYNENPDSSVCCCIKNK >CAK8566884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470103351:470106808:1 gene:gene-LATHSAT_LOCUS19983 transcript:rna-LATHSAT_LOCUS19983 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSIPILCVLLLCFLVSFSEAEYRKYKDPKVPLGRRIRDLLSRMTLEEKIGQMTQVERSVATPDAISKYFIGSVLSGGGSVPAPKASAETWVKMVNQIQNAALSTPLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPVLIKKIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPQIVRTMTEIIPGLQGDIPGKSRKGTPFVAGKNKVAACAKHFVGDGGTNKGINENNTLINYKGLLGIHMPAYYDSVIKGVSTVMISYTSWNGKKMHANRDLVTDYLKNKLRFRGFVISDWQGIDRITSPPHANYSYSVQAGVSAGIDMIMVPYNFTEFIDDLTYQVKNNIIPESRINDAVARILRVKFTMGLFENPLADLSLTNQLGSKEHRELAREAVRKSLVLLKNGKSAHKPLLPLPKKASKILVAGSHADNLGNQCGGWTITWQGLTGSDLTSGTTILDAIKQTVDPATNVVYNENPDANFIKSNKFSYAIVVVGEPPYAETFGDSLNLTIAEPGPSTITNVCGYIQCVVVLVTGRPVVIQPYLSKIDALVAAWLPGTEGQGVADVLYGDFEFTGKLARTWFKTVDQLPMNVGDKHYDPLFPFGFGLTTNLTKY >CAK8565321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:118071441:118071638:1 gene:gene-LATHSAT_LOCUS18543 transcript:rna-LATHSAT_LOCUS18543-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTWTVVGVGGSPRVSSSEILGKRIKLALANKQLDALSPFNPLSEMRENKRKENPWTDPIVSTP >CAK8565320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:118071388:118071638:1 gene:gene-LATHSAT_LOCUS18543 transcript:rna-LATHSAT_LOCUS18543 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQERRGVGVGGSPRVSSSEILGKRIKLALANKQLDALSPFNPLSEMRENKRKENPWTDPIVSTP >CAK8567961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559481255:559482179:-1 gene:gene-LATHSAT_LOCUS20966 transcript:rna-LATHSAT_LOCUS20966 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYSIIGCFLAISQVAVNGTFKGSVTGGSSGNVSTTEKAWGIFQALGNIAFAYSYAQILIEIQDTIKNPPSEVKTMKKATTLSITVTTAFYMLCGCMGYAAFGEQAPGNLLTGFSTYNPSWVIDLANATIVIHVVGSYQVYAQPVYAFVEKWAAKRWPKTKVNFRVFGGYNLNLFRLVWRTFFVIIATFVAMLIPFFNDVLGFIGAIGFWPLTVYYPVEMYIVQKKVPKWSRKWIWLKIIILVCLIVSTLAALGSIASIVVDLKKYRPFVTDS >CAK8577997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601232024:601234570:-1 gene:gene-LATHSAT_LOCUS30048 transcript:rna-LATHSAT_LOCUS30048 gene_biotype:protein_coding transcript_biotype:protein_coding MWESEGVTPNGREYGGDVLTSTKHGVKIEGFYQRANSWYVSADIPSDLHVQIGEANFYLHKYPLLSRSGKLNRMLYDSREPELNKIAMDDLPGGPEAFELAAKFCYGVAIDLTASNISGLRCASEHLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKNSEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRPQKVSSPNWNEMKDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAGIMHYATKWLPGLAANETSIQGEETSINSSSSFSSGGDNGSWKGGLHMIVAGARDETSSLQTKDQRMVIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAHALITDLEKRVGMQFEQATLSDLLIPCYDKSETKFDVDLIQRLLEHFLIQEQTEGCSPSRQTFSDHKHVGSNLNAKARVARLVDSYLTEVSRDRNLSLTKFQVLAEALPELARVSDDGLYRAIDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQIKISNALTNSSSLKSAGAGVESQYQPMVTNRKTLLEGTPQSFQEGWTTAKKDINTLKFELESVKTKYLELQHDMENLQKNFDKVMKQKHTSAWTSGWKKLSKLTKMTNGENHDIGNHVPTSEEQIKKNARRWRNSIS >CAK8543805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640668631:640670186:-1 gene:gene-LATHSAT_LOCUS12538 transcript:rna-LATHSAT_LOCUS12538-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIIKKRVKRFIRPQSDRRICVKESWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDVVVTNKLARLRSQEDE >CAK8543804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640668631:640699078:-1 gene:gene-LATHSAT_LOCUS12538 transcript:rna-LATHSAT_LOCUS12538 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIIKKRVKRFIRPQSDRRICVKESWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDVVVTNKLARLRSQEDE >CAK8543806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640697501:640699078:-1 gene:gene-LATHSAT_LOCUS12538 transcript:rna-LATHSAT_LOCUS12538-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIIKKRVKRFIRPQSDRRICVKESWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDVVVTNKLARLRSQEDE >CAK8573974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650883311:650885492:1 gene:gene-LATHSAT_LOCUS26367 transcript:rna-LATHSAT_LOCUS26367 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSFTYSASPFLVRCCSMKENHHEQLNGNSSIRMNGSFRSPIKVESLSQTAEAAPTTLVENGQRQNIPTKKQLVDSHRQGLIVGVGYRQTVVIRSYEVGPDKTATLESILNLLQETALNHVWMSGLLSDGFGATHGMMKNDLIWVVSRMQVLIDYYPIWGEVLEIETWVGASGKNGMRRDWLIRSQATGQIFARATSTWVMMNRKTRRLSKMPEEVRGELAPWFISNQAIKEDTPEKIVKLDKEAKYTNTNLKPKRSDLDMNQHVNNVKYLRWMLETMPDQILESHQLSGIILEYRRECGSSDIVESLCEPEEDEIVLNGMVEPDYCTNLINGLSLASSDIINGGGVLSYLEHRPIKYTHLLQAKGEKQNEEIVRGKTTWKRKFTTCPFST >CAK8541634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:321988409:321988924:1 gene:gene-LATHSAT_LOCUS10539 transcript:rna-LATHSAT_LOCUS10539 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPNARADARRNRYKVAVDAADGRRRREDSMIEIRKSKREESLQKKRREGLQSQEQFPTLDKKLERLPSMVAGVCSDDSSAQVETTILFRKLHSIVERNPPPIDKVIQSGVVPRLVEFLAREDFPQLQFEAAWALTNIASGTSENTKVVVDHEAVPAFLTLLNSPSEEV >CAK8537361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:304478732:304479475:1 gene:gene-LATHSAT_LOCUS6657 transcript:rna-LATHSAT_LOCUS6657 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNRFYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILEDELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8535377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845271230:845271628:1 gene:gene-LATHSAT_LOCUS4842 transcript:rna-LATHSAT_LOCUS4842 gene_biotype:protein_coding transcript_biotype:protein_coding MNQENKLIHNTSTWKTRKPACHIQTSFSLQHNDHRLKCNSSSNDEDDEGKEKVNNENDTRVEKITGRERLKRHREEVKVPENWEKEPKLKEWVDYTTFNALISVPHALIVTARDALIADVRKSRSQRLRIHN >CAK8567078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485370969:485376015:1 gene:gene-LATHSAT_LOCUS20164 transcript:rna-LATHSAT_LOCUS20164 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADLAANSAAENAPLPSSVNNGTSQPPSRPVYVPPHLRNRGATAPSPLPASASSGNFNASSGNDNSGSRWAPPPRNDYRGRPGGFGNRSGGWDRREANPFADQEDSEEPVTQEEQENTGINFDAYEDIPVETSGGNVPLPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGVRTVCPLALVLSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLSMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPAGARQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIDQRVEYVQESDKRSHLMDLLHAQRANGVQGKQQALTLVFVETKKGADALEHWLCLNNFPATTIHGDRTQQEREAALKSFKSGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNENNTSLARSLGDLMQEANQEVPAWLSRFAARSSYGGGKNRRSGGRFGGRDFRREGSFSRGGSDYHGAGNSSGGYGGASGGYGGGYGGGYAGNSAGPGVTSAWD >CAK8567077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485370969:485376015:1 gene:gene-LATHSAT_LOCUS20164 transcript:rna-LATHSAT_LOCUS20164-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADLAANSAAENAPLPSSVNNGTSQPPSRPVYVPPHLRNRGATAPSPLPASASSGNFNASSGNDNSGSRWAPPPRNDYRGRPGGFGNRSGGWDRREANPFADQEDSEEPVTQEEQENTGINFDAYEDIPVETSGGNVPLPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGVRTVCPLALVLSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLSMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPAGARQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIDQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCLNNFPATTIHGDRTQQEREAALKSFKSGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNENNTSLARSLGDLMQEANQEVPAWLSRFAARSSYGGGKNRRSGGRFGGRDFRREGSFSRGGSDYHGAGNSSGGYGGASGGYGGGYGGGYAGNSAGPGVTSAWD >CAK8569997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19994881:19995264:1 gene:gene-LATHSAT_LOCUS22789 transcript:rna-LATHSAT_LOCUS22789 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLSALIILFSLLSYSTFSHACGSCKPPTPKASPPPSKSQKCPKDTLKLGVCADVLGLVNVVIGTPASSKCCALIQGLADLEAALCLCTAIKANVLGINLNVPVTLSLLLSACQKSVPSGFQCS >CAK8544234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673605403:673606644:1 gene:gene-LATHSAT_LOCUS12933 transcript:rna-LATHSAT_LOCUS12933 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTPEGSQFGAGKFDARMNDLMAGDGNEFYAISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGRTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLCRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINSDLPTQPENYLRRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSNVADLL >CAK8537044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66183824:66186409:-1 gene:gene-LATHSAT_LOCUS6361 transcript:rna-LATHSAT_LOCUS6361 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >CAK8562375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479631967:479633069:1 gene:gene-LATHSAT_LOCUS15877 transcript:rna-LATHSAT_LOCUS15877 gene_biotype:protein_coding transcript_biotype:protein_coding MTESFITDSLSSYPHQDYAEIVVVRHGQTIWNAERKVQGHLDVELNEIGRDQARAVADKLARGPKISAIYSSDLQRAFETAQIIASKCGVLEVVKDFDLRERHKGDLQGLSHLEIAKTNPISYKAMMSKNEEQEIPGGGESIVQLLERCKSALLKIGKKYKGERVIVVSHGASIEILYKWACVNGYEGKIHNASISVFHLYDEDKWNLKAWADVSHLNQD >CAK8560061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6271330:6277701:-1 gene:gene-LATHSAT_LOCUS13775 transcript:rna-LATHSAT_LOCUS13775 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQQHLNSNIPVSEIFWTLVDKADKKFSKIRDLPYYQRSRHDTYFSKVFKVYTQLWKFQQENRQKLIEAGLKRWEIGEIASRIGQLYFGQYMRTSDFNYLSESYIFYEAIFTRDYFKDGLFQDVNIANKQLRFLARFLTVCLLLNRREMLQQLVNQLKVLVDECKRVFQDSDFKEWKVVVLEIGRFLKADTAFMNVRPLRYSLVLDSHPDNLPHVPVAIAKRNLKLRDAVLSSFHHNEVKFSELTIDTFRMLQCLEWEPSGSFYQSSGSKLSQNGASGTGRISYLQDIADPTLPANPRKAILYRPSLTHFIAVLATICEELPSDGILLVYLSASGVGSSGAGHIESGCLNFGSRGDKGSNCIYASDFLPFTRRPLLLVIDNDNSNAFKVIAEASKGESVAMLLSPSRLPPIESDFSHTSNGSLFTMFLTAPLQAFCLLLGFSGTDIDLDLYNKAEMLLSSSLNNWGLALASSDTLDPVWGQVLGDPFIRRLLLRFIFCQTVLTLYAPVYDKNEFLPTCVPSLPTPVLPPSYSYQSVILHLASIFSATKYFIFSEDVSPETVSTNVDQL >CAK8560062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6271330:6277701:-1 gene:gene-LATHSAT_LOCUS13775 transcript:rna-LATHSAT_LOCUS13775-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQQHLNSNIPVSEIFWTLVDKADKKFSKIRDLPYYQRSRHDTYFSKVFKVYTQLWKFQQENRQKLIEAGLKRWEIGEIASRIGQLYFGQYMRTSDFNYLSESYIFYEAIFTRDYFKDGLFQDVNIANKQLRFLARFLTVCLLLNRREMLQQLVNQLKVLVDECKRVFQDSDFKEWKVVVLEIGRFLKADTAFMNVRPLRYSLVLDSHPDNLPHVPVAIAKRNLKLRDAVLSSFHHNEVKFSELTIDTFRMLQCLEWEPSGSFYQSSGSKLSQNGASGTGRISYLQDIADPTLPANPRKAILYRPSLTHFIAVLATICEELPSDGILLVYLSASGVGSSGAGHIESGCLNFGSRGDKGSNCIYASDFLPFTRRPLLLVIDNDNSNAFKNVQVIAEASKGESVAMLLSPSRLPPIESDFSHTSNGSLFTMFLTAPLQAFCLLLGFSGTDIDLDLYNKAEMLLSSSLNNWGLALASSDTLDPVWGQVLGDPFIRRLLLRFIFCQTVLTLYAPVYDKNEFLPTCVPSLPTPVLPPSYSYQSVILHLASIFSATKYFIFSEDVSPETVSTNVDQL >CAK8533626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642702866:642704709:-1 gene:gene-LATHSAT_LOCUS3238 transcript:rna-LATHSAT_LOCUS3238 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKFTSSPYSHFFNLSSFSKLTSPSSSSLLFHSHHHVHHPSKRNSKATNFTIKAYMENPNSFSSIVNKVIGALPVVGLLARIMSDEGGVGNDLVDFAEFRRRVGNNCTPSDSTSFYQFQSRRGKTGDPMYVLLCCWLAAVGAGLLKTEEILEGVARLRISDDIEFEEQTFIALMEEARERRAKLKGAPPAVPMEVRVEKALDAIYVCCFGKDPIEIEDERLLSTILSSVFPSVPKQEIQRMVTEMAQKVKDGGMDYIPDAKPLSKEAIELQMKDLNFLKQNSDTM >CAK8562492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500840824:500842821:1 gene:gene-LATHSAT_LOCUS15984 transcript:rna-LATHSAT_LOCUS15984 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNPHEQSHPLLLKVQEDEKPRETAYESSEKIVVVGIDESVNCGTEVPPFSWKKLWLFTGPGFLMSIGFLDPGNIEGDLQAGAIAGYSLLWLLMWATAMGLLIQLLSARLGVATGKHLAEVCREEYPRWARNVLWVMAEFALIGSDIQEVIGSAIAIRILSNGFVPLWGGVVITALDCFILLLLENYGVRSLEAFFAVLIGIMALSFAWMFGEAKPSAKELLVGILVPRLSSRTIQQAVGVVGCLIMPHNVFLHSALVQSRKVDHTNKGRVQEALNYYSIESTLALIVSFVINILVTSVFAKGFYGSEMANSIGLENAGQYLQQTYGGGVLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIIPTMMVALWFDTSEDSLDVLNEWLNVLQSVQIPFALIPLLCLVSKEQIMGTFKIGTVLKVISWCVAALVTVINGYLLLEFFSSEVNGIIVGAIVCVVTAAYVAFIIYLILRATTFSVWQSLTRPRPKA >CAK8530269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10818244:10819659:1 gene:gene-LATHSAT_LOCUS147 transcript:rna-LATHSAT_LOCUS147 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKNNKPLHIVMFPWIAMGHMYPCFHLSKILALNGHSITLISTSSIIDRLPKLSQTLSPFINLIKLPLSPHIDTNNLPTNADSTTHIPSNKLYYLKLAYDSLQQSVINILKTSNPDWVFYDFAASWLPKLAKDLNLNISCAYFSPCPAWTICFFDTPRQQLGDDVASIRKDPEDYYGPPKWVSFPTKIGLRSYEVRKLLEDIKVNETGASPVFDLNRANSDCDMFVIRSSRDLEGEWLDFVGEFYNKPVIPVGLLPPIVDSSDEVDDNPDWVQIKEWLDTQKVSSVVYIAFGSEVKLSQENLNELALGIENSKLPFFWVLRDLKNGSVELPKGFEDRTKDQGLVWKNWAPQANILGHISVGGCLTHCGSGSMIENLYFGHVLVMLPFLLDQALYSRVMQEKKVGIEIVRNEEDGSFTRDSVAKALRFTMVDEEGSDYRKNAKEIGKKFSNMELNKQYVENFISSLHNHKS >CAK8543975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653120114:653122555:1 gene:gene-LATHSAT_LOCUS12693 transcript:rna-LATHSAT_LOCUS12693 gene_biotype:protein_coding transcript_biotype:protein_coding METLLQFLPNSFSSIPHHHSHFKPKHHPPLFHFPFKNSKTHFPLHSLTQTHSHSTPQPHPNIVSYSSLISTFSKSKREHQAIFLFLHMITSSPLRPNGYTYVAILTACTRIFSLQLGLQLHAAVIKTGYLNSVFVSNVLMSFYLKCGFYQTAFKVFDEMAQRDIGSWNIVILCAVKGCMYDTVFRLFLDMLVTDSLKVDYFTLSTVLAACARTTGLVMEGKQVHAFAVKVGLETELNVGNALIGFYTSCGTLDAVVCLFERMGVRDAITWTEMVWAYMEFDFVDLALKVFDEMPEKDCVIYDALLSGFCRKGEGLKAVELFVRMVDEGMELTGFSMTNGINACSLLADYGVSKQMHGFAIKFGFGSNVCVEGALLEMYTRCGRMVDAKKMFSMWEELEKPSSVVWTSMICGYARNGQPNEAISVFHLGHSKGKLIMDEVALSSMLGLCGTVGYHDMGKQIHCQVIKFGFHSKVRVGNAIVSMYFKSGNVDYAIKMFTGMSSTDIVSWNILISGYVMHRQGDRALEIWLKMQEEGITPDEVTFSLIILAYRQTNLNLVDDCRSLFDSMRTIYHIEPTSQHYSSFISVLGHWGLLEEALETINKMPFKPSTLIWRALLDGCKLHKNTMIEKWAVKNILALEPKDPSAYILVTNLHSSSGRWDCSEMTRENMRERGFHKYPAQSWIICQKKMHSFYARDRSHPQDKDIYSALEILISECLKVGYEPDTRFVLHQVEEEHQKKTFLFSHSSKLAATYGILMTKPREPIRIVKNILLCGDCHTFMKYVSIITKRDIFLRDSSGFHCFSNGQCSCKDQW >CAK8570006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20493401:20493937:1 gene:gene-LATHSAT_LOCUS22797 transcript:rna-LATHSAT_LOCUS22797 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLSALLILLSFLSYSIYSHACESCNPKPKPTPPPPAPCPPPPSTTPKASPPPTPPTTRPPPTPSTTPTTSTPPPSTTTPTASPPTPSTSQKCPSDTLKLGVCANLLGLVNVVVGSPASSKCCALIQGLADLDAAVCLCTAIKANVLGINLNVPVTLSLLLSACQKSIPSGFQCS >CAK8543326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600328846:600330217:-1 gene:gene-LATHSAT_LOCUS12090 transcript:rna-LATHSAT_LOCUS12090 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAFYTSCNLGRCCLVLKAHNTRALAGKKLPQCQMRRNFTIKAEVEFVTADEAKELVAVNGFNVLDVRDKTQFERAHIKKCYHVPLFVENTDNDPGTFLLRTVHNNFSGLFFGIPFTRPNPDFVKSVKSQLSPESKLLVVCQEGLRSAAAANKLEEAGFQNVACISSGLQTVKPGTFESVGSTELQNAGKAGLVQIQGKISAVLGTVLICAYLFITFFPDQAEKLIQLVPGG >CAK8573564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620636572:620638286:1 gene:gene-LATHSAT_LOCUS25998 transcript:rna-LATHSAT_LOCUS25998 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKNMANIGGSETEARGLEWEMRPGGLLVQRRTADSDINPVPPPTVRIRVKYGSTNHEVNISSIATFGELKKMLTGPTGLHHQDQKIFYKNKERVSKEFLDVVGVKDKSKLVLMEDPISKEKRYLEMRKNVTMEKASKSISEISLDVDRLAGQVSALEIIINKGGKVVEADLLKLIEKLMNQLLRLDGIIADGDVKLQRKMQVKRVQKYVETLDMLKVKNSNGVHVPMKKPQQKHSNGPKLAPIEEQPEGMSYGNNKLEPKLEEKQQQQMQHKGSVNSTSEVVVTTKWETFDSLPPLIPVTSTSSSSSSSTNNSVQSKFNWEFFN >CAK8572836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566660032:566660331:1 gene:gene-LATHSAT_LOCUS25354 transcript:rna-LATHSAT_LOCUS25354 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMRLATEKGVVIFTKSSCCMCYAVNILFQDIGVYPVIHEIDKDPEGKEMEKAITKLGCNAPVPAVFIGGKLVGSTNEVMSLHLRGSLIPLLRPYRF >CAK8532775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:512516023:512516711:1 gene:gene-LATHSAT_LOCUS2447 transcript:rna-LATHSAT_LOCUS2447 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTSQGTKFDTTHSKGNGPPTLRLHGQTYHRIGTLLLEQGNPPKYSQLYIFEIDNEVDNRMDYFRENKNVKREVVLELKYMLDNCNVHAKAFRMTRDMLKENSFLDLKLKLIAARPEDVRVYNRPTISEVGTLIVGHIVSGSQRDVIIQARDGNLKKIDELHPCYLACQYPLIFFSGDDGYRDNILHMYKNEHLIIRKN >CAK8572339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530798045:530798576:-1 gene:gene-LATHSAT_LOCUS24915 transcript:rna-LATHSAT_LOCUS24915 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLSLSSSSVIPTRLPISSSYSNLSFLASNSNTSSFLLKKARIKTRTTKRFTCNAFFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGQTVKSFQQAAKEFETELKKEPNSTEEISVASEQEKQDIKVSSTKDNV >CAK8536596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:1529342:1533146:1 gene:gene-LATHSAT_LOCUS5951 transcript:rna-LATHSAT_LOCUS5951 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFSQPFPSSSSSRCTTTFKLKPLTVSSSSSSSQPFPPFLPKQIHTIKDPFARKFAMRIQRLPVPVSFAESPIMSSCVKPLVQSKEPPIVLLHGFDSSCLEWRYGYPLLEESGFETWAVDILGWGFSDLENLPPCDVVSKRNHFYQFWKSYIRRPMILVGPSLGSAVAIDFAVNYPEAVEKLILIDASVYAEGTGNLATLPRSVAYAGVYLLKSLPLRLYANYLTFTNMPLHTILDGTNVGRLHCLLPWWDDATVDFMTSGGYKIASLIRKVKQKTLIIWGENDRIVSNKLAVQLHCELPDAVLRQIPDCGHIPHLERPDSAIKLIVEFIQTEKKKLSKLVSQVSQVS >CAK8562569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510880250:510882959:-1 gene:gene-LATHSAT_LOCUS16057 transcript:rna-LATHSAT_LOCUS16057 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLARSGFLRNVLRRGGSGSSPAPKRTFSSSAHHDDAYETAKWEKITYLGIVSCTALAAYILSKGHHHYDAPPAYPYLHIRNKEFPWGPDGLFELKEEDH >CAK8571893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490597287:490599151:-1 gene:gene-LATHSAT_LOCUS24516 transcript:rna-LATHSAT_LOCUS24516 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTKLSLTIFLFLLLALLCSNLAIGKKEKDPELTTCKDQCDHQRQYDEEDKRICKEECDDYIKKKQERQKHKEREQEDEEQEEDENPYVFEDNDFETKIETNDGRVLVLNKFNEKSKLLKNIENYGLAVLEIKANAFLSPHHYDSEAILFNIKGRGIIGLVAEDRTERFNLEEGDIMRVPAGAPMYLVNRDENQKLLIAAFHMPPSYGSAPVKLEPFFGSAGRKPESVLNTFSSKVLQAAFKSSKGELETVLDEQKKGRIFKIAKEDVLGLASKKTLWPFGGQFKGPFNLFSNNPAFSNQFGSLFEVGPSLEKTGLEGLNLMLTLANITKGSMSTIYYNTNANKIALVIDGEGELEMACPHVPSSSSNSKQKKSSISYHNMNAKLRPGMVFVVPAGHPFVNIASKKNNLITVCFEVNAHRNKKIALAGKKNIVIALDKTAKEVAFDIAAGKVDEMFERKEELFFPYDEERKEEHGRAVA >CAK8537859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442552829:442554292:-1 gene:gene-LATHSAT_LOCUS7112 transcript:rna-LATHSAT_LOCUS7112 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTSFNHVVAMPYPARGHINPMMNLCNLLVSNNNNILVTFVVTEEWLSFISSEPKHDNISFRSIPNVIPSELIRSRDHLAFIEAVLTKMEEPFEELLNLLDHPPSIIVYDTFLHWVVAVGNRKNIPVASFWTMSASVFSILLHYHLLEQNGHYPVKISENGDERVNYIPGISSTRLADLPSFHFNDSNTNKIMMQMLLNSFKWIYKAQYLLFSSIYEVESQAIDTLKSKLSIPIYTIGPTIPYISLKNNPKPNTNNSYIEWLDSQPIGSVLYIAQGSYFSASSEQIDEIASALCESNVRFLWIARNEASRLKIFCGNMGLVLEWCDQLRVLSHSSIGGFWSHCGWNSTKESFISGVPLLTFPITFDQPFNSKMIVEDWKVGLRVKENVNGDVLVKKSEIVKLVCDFMDLDSLLTKGIRERSRKLKKICCDAIGDGGSADNDLKVFIGDIIMHSSKYTNA >CAK8561216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:118217426:118217698:1 gene:gene-LATHSAT_LOCUS14825 transcript:rna-LATHSAT_LOCUS14825 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKELCEYKKDNPASTQKDLQRLLKGKFKLKVSQGTISNTLKRLDDYLSAEIEKGRAEIKRHNPAKYPNMEKVV >CAK8573717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632721844:632724529:-1 gene:gene-LATHSAT_LOCUS26126 transcript:rna-LATHSAT_LOCUS26126 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLPQPQLHPKCLPFPSLKSRVSVFQTTPYPPFQASIVSANQRYLTPLNTPRSISTSALLGGNNTSVSPIDSGARIGEVKRVTKETNVSVKINLDGSGIADSSSGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGNFSAPLDEALVHVSLDLSGRPHLGYDLDLPSQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQFSGTNSHHIIEATFKAFARALRQATEYDTRRRGTIPSSKGVLSRS >CAK8568174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580010635:580018726:1 gene:gene-LATHSAT_LOCUS21163 transcript:rna-LATHSAT_LOCUS21163 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNSDLVRTIESVLGISLGDSVSDSVVLIATTSAAVIIGLLVFLWRKSSDRSRELKPVIVPKFSVKEEDDEVDVGPGKTKVTVFYGTQTGTAEGFAKALAEEIKARYEKAVVKVVDMDDYAIDDDQYEEKLKKETLVFFMLATYGDGEPTDNAARFYKWFTEGKEERGTWLQQLTYGVFALGNRQYEHFNKIGKVVDEDLIEQGAKRLVPVGLGDDDQSIEDDFNAWKETLWPELDQLLRDEDDVNTVSTPYTAAISEYRVVIHDPTVSPSYENHFNVANGGAVFDIHHPCRVNVAVRRELHKPQSDRSCIHLEFDLSGTGVTYETGDHVGVYAENCDETVEEAGKLLGQNLDLLFSLHTDNEDGTSLGGSLLPPFPGPCTVRTALACYADLLNPPRKAALVALAAHASEPSEAERLKFLSSPQGKDEYSKWVVGSQRSLIEVMADFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRLAPQRVHVTCALVEGPTPTGRIHKGVCSTWMKNAIPLEKSRDCSWAPIFIRPSNFKLPADHSIPIIMIGPGTGLAPFRGFLQERLALKEDGVQLGPALLFFGCRNRQMDFIYEDELNNFVEQGALSELIVAFSREGPEKEYVQHKMMDKAEYLWSLISQGGYLYVCGDAKGMARDVHRSLHTIVQQQENGDSSKAEATVKKLQMDGRYLRDVW >CAK8561885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404652998:404654491:1 gene:gene-LATHSAT_LOCUS15436 transcript:rna-LATHSAT_LOCUS15436 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRHSRDGLRRPSLTTLRTLILTLAVAFTFLILILLTLTIPKPNHLKSISRRNTLRSEYGKRELWVETISWEPRAFLHHNFLTKEECEHIIRISKPSMSKSSVVDNETGKSFDSSVRTSSGTFLRRGHDKIVRNIEKRIADFTHIPVEHGEPLQVLRYKVGQEYVPHYDYFADKYNTRNGGNRMATMLMYLSDVEEGGETVFPNAKGDVSSVPWWNELSDCGKEGLSVKPKMGDAILFWSMKPNTTLDPTSLHGACPVIKGDKWSSAKWMRVDEYII >CAK8576593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496382886:496383428:1 gene:gene-LATHSAT_LOCUS28769 transcript:rna-LATHSAT_LOCUS28769 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKLLSLFAIYFILLHNQITFAQQGNDLINKVCETIPNKDLCIQVLNSDPLSKSATLTDLALISLRVAASNATGILTDVKIMIDDPDLEPEIQQGLSDCKETLLDAEGQLEDSVAAILSNTKNDVQLWLQAALAAIDTCDASIPGDDDILSKRSVAFRELCNIAVAVSKNLGPDDQAA >CAK8560166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9326993:9328643:1 gene:gene-LATHSAT_LOCUS13866 transcript:rna-LATHSAT_LOCUS13866-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNSEDQKPGEDRISNLPDDLLLYILSFVQSKTSVQTSILSSRWRHFWKRLYVLKLSDDSFEFNDNFTPSNSKLFQDYLTLVNFSKDSFEFNDNFIQFNSRFKEEISRLHLSIDSFEFANFIKFYSERFKHFRRFFYFVNSLIYVLRPYNVEKMRLSCTKSLTKNKMCTASIDAWIHSAMGPLLKELDITLYNLDNYCFELSENLSKCFNLVSLSLKGSLRLDRQPPKSFCLPSLKKLELDICAINVPSFNGFLHGCPCLETLDLCWYDEDYETFYVPPLCLPSSLKTLKIVLKYLSHLGFTRLPKLLGGEVTHTHFYCSTFDNLLYLNLNLLWFNSDSIMSLLQECRSLEVLIIQNDSEVFNDKEEQSSSPKWAAQLSLPSCLESHLKFIQFKGYRGFTDEFYFVEYILQNGCVLKSMIIVDISTDLKKMVDTLHRLFDIPKASRMCQLTYI >CAK8560164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9326972:9328643:1 gene:gene-LATHSAT_LOCUS13866 transcript:rna-LATHSAT_LOCUS13866 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMAESNSEDQKPGEDRISNLPDDLLLYILSFVQSKTSVQTSILSSRWRHFWKRLYVLKLSDDSFEFNDNFTPSNSKLFQDYLTLVNFSKDSFEFNDNFIQFNSRFKEEISRLHLSIDSFEFANFIKFYSERFKHFRRFFYFVNSLIYVLRPYNVEKMRLSCTKSLTKNKMCTASIDAWIHSAMGPLLKELDITLYNLDNYCFELSENLSKCFNLVSLSLKGSLRLDRQPPKSFCLPSLKKLELDICAINVPSFNGFLHGCPCLETLDLCWYDEDYETFYVPPLCLPSSLKTLKIVLKYLSHLGFTRLPKQLLGGEVTHTHFYCSTFDNLLYLNLNLLWFNSDSIMSLLQECRSLEVLIIQNDSEVFNDKEEQSSSPKWAAQLSLPSCLESHLKFIQFKGYRGFTDEFYFVEYILQNGCVLKSMIIVDISTDLKKMVDTLHRLFDIPKASRMCQLTYI >CAK8560165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9326993:9328643:1 gene:gene-LATHSAT_LOCUS13866 transcript:rna-LATHSAT_LOCUS13866-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNSEDQKPGEDRISNLPDDLLLYILSFVQSKTSVQTSILSSRWRHFWKRLYVLKLSDDSFEFNDNFTPSNSKLFQDYLTLVNFSKDSFEFNDNFIQFNSRFKEEISRLHLSIDSFEFANFIKFYSERFKHFRRFFYFVNSLIYVLRPYNVEKMRLSCTKSLTKNKMCTASIDAWIHSAMGPLLKELDITLYNLDNYCFELSENLSKCFNLVSLSLKGSLRLDRQPPKSFCLPSLKKLELDICAINVPSFNGFLHGCPCLETLDLCWYDEDYETFYVPPLCLPSSLKTLKIVLKYLSHLGFTRLPKQLLGGEVTHTHFYCSTFDNLLYLNLNLLWFNSDSIMSLLQECRSLEVLIIQNDSEVFNDKEEQSSSPKWAAQLSLPSCLESHLKFIQFKGYRGFTDEFYFVEYILQNGCVLKSMIIVDISTDLKKMVDTLHRLFDIPKASRMCQLTYI >CAK8560163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9326972:9328643:1 gene:gene-LATHSAT_LOCUS13866 transcript:rna-LATHSAT_LOCUS13866-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMAESNSEDQKPGEDRISNLPDDLLLYILSFVQSKTSVQTSILSSRWRHFWKRLYVLKLSDDSFEFNDNFTPSNSKLFQDYLTLVNFSKDSFEFNDNFIQFNSRFKEEISRLHLSIDSFEFANFIKFYSERFKHFRRFFYFVNSLIYVLRPYNVEKMRLSCTKSLTKNKMCTASIDAWIHSAMGPLLKELDITLYNLDNYCFELSENLSKCFNLVSLSLKGSLRLDRQPPKSFCLPSLKKLELDICAINVPSFNGFLHGCPCLETLDLCWYDEDYETFYVPPLCLPSSLKTLKIVLKYLSHLGFTRLPKLLGGEVTHTHFYCSTFDNLLYLNLNLLWFNSDSIMSLLQECRSLEVLIIQNDSEVFNDKEEQSSSPKWAAQLSLPSCLESHLKFIQFKGYRGFTDEFYFVEYILQNGCVLKSMIIVDISTDLKKMVDTLHRLFDIPKASRMCQLTYI >CAK8564337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668689068:668689799:1 gene:gene-LATHSAT_LOCUS17652 transcript:rna-LATHSAT_LOCUS17652 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGSPSHILVDKFKSLRNKLRWWNKNIFGWVDRRIEEGVQVLNEIEDGMDIIIGNMSEKLIRVRSEAQGKIWSNMKLKESMLKQKARTKWVKEGDLNSRYFHSILIMRCRRNNMSFIKVGDVMFEDVELTKGSIREFFESKFKKVESSGLRLDMSDLTKLSNEENELLVAPFSTEEIVGALSISAGNKSPEPDEFDLEFLKHYWAVVRSDLMNVFNHFHQFGNLLKALVSPFIALIPKNDNP >CAK8543752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636146184:636148053:-1 gene:gene-LATHSAT_LOCUS12491 transcript:rna-LATHSAT_LOCUS12491 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPNNSSSSVHRLDTMFLHHLLRSLQVQDSDNQVTDENFISEEEEQEYEYEDDKPRPYQDDGLQTIYQEESRIEAEVVYRILNGESHTLKPNSGETVMIRESSIAVRFHVEEEEGEYIVWEWHGHIPRYTEDHQFSLEYIYGNYFQRIVTEERATTRPRVDAAADKGLKDLFDGAVNLSPGRILHRNLNFCSAATRNSRL >CAK8530375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17566100:17566635:-1 gene:gene-LATHSAT_LOCUS243 transcript:rna-LATHSAT_LOCUS243 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTQPSSSESRKSFRFHRWGSHDSLNLVLAQVRYVGITSWWCGLKIVRCVLIWLGYSRALWLVMSWLLAIDGGCYISFFLAWQYYLFLIHFCKFMNQMG >CAK8574295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674457281:674460445:1 gene:gene-LATHSAT_LOCUS26653 transcript:rna-LATHSAT_LOCUS26653 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLADTDSRSEPQTIKINQQDPAANGGQAATKSGCCG >CAK8569671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7760038:7762737:1 gene:gene-LATHSAT_LOCUS22497 transcript:rna-LATHSAT_LOCUS22497 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFIFALFLFAAVATAVTDNTNDDFIIRQVVDNEEDHLLNAEHHFTSFKSKFSKSYATKEEHDYRFGVFKSNLIKAKLHQKLDPTAEHGITKFSDLTASEFRRQFLGLKKRLRLPAHAQKAPILPTTNLPEDFDWREKGAVTPVKDQGSCGSCWAFSTTGALEGAHYLATGKLVSLSEQQLVDCDHVCDPEQAGSCDSGCNGGLMNNAFEYLLESGGVVQEKDYAYTGRDGSCKFDKSKVVASVSNFSVVSLDEDQIAANLVKNGPLAVAINAAWMQTYMSGVSCPYVCAKARLDHGVLLVGFGKGAYAPIRLKEKPYWIIKNSWGQNWGEQGYYKICRGRNVCGVDSMVSTVAAAQSNH >CAK8571036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272723414:272723953:-1 gene:gene-LATHSAT_LOCUS23733 transcript:rna-LATHSAT_LOCUS23733 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLVCDDDVSASISTTQAWATITIRVGRCLESIGAPAQTVPHPTETHRRPHPLPSRQFQALFDSLFKVLFIFPSRYLFSIGLSPIFSLGRNLPPDWGCIPKQPDSPTAPRGATGSEHNGALTLSGAPFQGTWARSAAEDASPDYNSNAEGDRFSWWAYPGSLAVTKGILISFFSSTY >CAK8579192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682845551:682847297:-1 gene:gene-LATHSAT_LOCUS31158 transcript:rna-LATHSAT_LOCUS31158 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQAGQCGNQIGGKFWEVMCDEHGIDPSGSYVGNSPLQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRCGPFGKIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSSLTIPELTQQMWDARNMMCAADPRHGRYLTASAMFRGKMSTKEVDQQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFMGNSTSIQEMFRRVSEQFTVMFKRKAFLHWYTAEGMDEMEFTEAESNMNDLVAEYQQYQDAAAMEDGEVDEEEDDEDIA >CAK8567040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481406420:481406971:1 gene:gene-LATHSAT_LOCUS20128 transcript:rna-LATHSAT_LOCUS20128 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEKAYAEYRERSRNLSPFDAIEPPPCLCGGISPLRVTNEVRRNLTPLCELALDKYNTEQGTNFVFVDIVKSTHQGVAGTNYYITFQANSPNCPLVTFQACVWVKLPCYGGQAVVQSCAIKPT >CAK8575570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:174052672:174053589:1 gene:gene-LATHSAT_LOCUS27823 transcript:rna-LATHSAT_LOCUS27823 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSRATTKNQNSLKNTQPRLTSSLPKSNHDEALPQPPEEEFVKEVLSETPISKPHQVHILIPETKTQIPVVQNPSEKFENKVATEEVTEVVVSQLSESCTVTESFSTATTATVTEKREEEATSKPCSREPTTTIHKWNRSPSRKRPLAADANVSNGNERRVKSPATTSQPSPEKKVKRGSRLVRGRESGSVINRKVNAGSNGARRESGEGSGRRSRSPSCSRTVGGSGKVGIGVGRKQAPVAVENVTEKKSESDKVGGKNDIVCNVTEKKSESEEVGEKNDIVSQGELIENPHVSMECFIFL >CAK8566389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:418170850:418171270:-1 gene:gene-LATHSAT_LOCUS19522 transcript:rna-LATHSAT_LOCUS19522 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFRDKELRKTVVNMGYALTEATVNYYKGEIRRTNRAALEWIDSIPREKWSRAFDGGHRWGHMTSNLGDVMNSVLKATRNLHITALVKSTFYRLGSLFGKQGHN >CAK8563546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615143791:615144021:1 gene:gene-LATHSAT_LOCUS16944 transcript:rna-LATHSAT_LOCUS16944 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMQVALLYVQENSTDRPSMLEVDTCLKNEVTHVGIPNMPAFLIKKHEDDKGDTSKLRLKFSSINEVTISEMVAR >CAK8540291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549377695:549384334:1 gene:gene-LATHSAT_LOCUS9308 transcript:rna-LATHSAT_LOCUS9308-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCDGILIDDWDDDILNQLIKAESAISSKSKTLSTNPSSFPFSNHHLQPQPQPHQQQQQLQLPQVSERSVNFSPPRELSQKPTTSFFESFPPKLHFDDMDIEIDQLKQKQREPGDPSKQIANTEKECLKLKKEKGKKELHPKLVSTENEEDNVRTKCLKSIDKDIEIRAPDHPKASSKVHNGRSSNGQTVEITAKAKGVKTTIASPQEAQDPPSDALSSFQEAKRVETTGKPKGVETKIVSRQEAQDPPSDDLFSYLDLSQKLLTVWRSPSEKLLGSDVISKLFASCQKDIHILFGNMSMSPPEITRKLHTDVSSSKVSLHYVNGCFHTPETSKVSHLYHALTKVANGTDMLETLIAPLLDLCNIENVAIVHSSLRILYTLLKFLLESEKNFGRRDNVFIDGICVGKDLLDSDGLDGVKDRKPFNEEMLSRKDYWNYQSVLKPRVNWSSIFDILHQISTRITEENVRVEAVSIMILLFLRSTAYFEREQFNQDTVFKTISELLKKDAGLRVKKKTLRLLYLVLNCPKLLATFCCGCKKEDSSSDVDDNASVSNFQIFNTILRGLADCVASHGGGLVELKISREAIIVLAFLASSGQSGFEIFIAHRLSTKGVNYLMSILQLLVSEIDREAGSHNELPEIFRERTFLMREILILLNRLVSSPSYSATVLRGLTDTRDMASLTVDVTTRLSRKGNESEQQEDSMVNQVRRNEIVDLARLFKKRVFT >CAK8540292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549377695:549384334:1 gene:gene-LATHSAT_LOCUS9308 transcript:rna-LATHSAT_LOCUS9308 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCDGILIDDWDDDILNQLIKAESAISSKSKTLSTNPSSFPFSNHHLQPQPQPHQQQQQLQLPQVSERSVNFSPPRELSQKPTTSFFESFPPKLHFDDMDIEIDQLKQKQREPGDPSKQIANTEKECLKLKKEKGKKELHPKLVSTENEEDNVRTKCLKSIDNGRDIEIRAPDHPKASSKVHNGRSSNGQTVEITAKAKGVKTTIASPQEAQDPPSDALSSFQEAKRVETTGKPKGVETKIVSRQEAQDPPSDDLFSYLDLSQKLLTVWRSPSEKLLGSDVISKLFASCQKDIHILFGNMSMSPPEITRKLHTDVSSSKVSLHYVNGCFHTPETSKVSHLYHALTKVANGTDMLETLIAPLLDLCNIENVAIVHSSLRILYTLLKFLLESEKNFGRRDNVFIDGICVGKDLLDSDGLDGVKDRKPFNEEMLSRKDYWNYQSVLKPRVNWSSIFDILHQISTRITEENVRVEAVSIMILLFLRSTAYFEREQFNQDTVFKTISELLKKDAGLRVKKKTLRLLYLVLNCPKLLATFCCGCKKEDSSSDVDDNASVSNFQIFNTILRGLADCVASHGGGLVELKISREAIIVLAFLASSGQSGFEIFIAHRLSTKGVNYLMSILQLLVSEIDREAGSHNELPEIFRERTFLMREILILLNRLVSSPSYSATVLRGLTDTRDMASLTVDVTTRLSRKGNESEQQEDSMVNQVRRNEIVDLARLFKKRVFT >CAK8532577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:301508301:301512527:1 gene:gene-LATHSAT_LOCUS2269 transcript:rna-LATHSAT_LOCUS2269 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAQSSSSSGIFFQGEGQSHNLVNSHLSSSLVNSSNTVPGTGHSNLGPVPGDMNNAILNSVANSAPSVGASSLVTDANSALSGDRHMQRSASINGDSYLRLPASPLSFTSNNISISGSPAMDGSSVVQQNSHQDQNAQQLQQNQQKLQGASSSMHLPASQTGSSSHQMGAQVAGSFIQDPNSISHLLKKRRLDIKPDDIMQQQVIQQLLQRQDPTQFQGRNPQLQAMFQQQHRLKQQQIFQSLPQAQRMQLIQQQQQQQQQQQQQMQRQQIQQQMMQPSAGVKRPYDGGVGGVCARRLMQYLYHQRQRPSDNSIAYWRKFVAEYYSPRAKQRWCLALYSNAGHHTAGVLPQATTHAWHCDICGAKSGRRGFEATFDILPRLNVVKFDGGVIDELLFLDLPREIRFASGLMMLEYTKAVQECVYEQLRVVREGQLRIVFTQDLKIFSWDFCVRRHEELLPRKLVAPQVNQLVQVAQKCQSTISESGSDGVSQHDLQSNSNMVLTAGRQLAKSLELQSLNDLGFSKRFVRTLQISEVCNNMKDLIDISSDQDIGAIESLKNYSQFSSASKHQMQKMQELEQAANVQGLPSDRNTLNKLMALNPGSNNHITNNHNMGNRGALSGPSQAALSMSSYQNILMRQNSMNSSPSSLHREGSPFNNPNQSPSTASLQGAAAAAIIPGSMQNSPHNSSGGFSNQHISAQQRQQQQQQQHLQQRSLSANNLPQQNHSQGPQGNQSLQHQMIQQLLQDMSNNTGGGVQQQSHSGPNGNNMAKNNLGFAGQTPPTTGGGGGSASGPANNGPVSRSNSFKAASNSDSSAAAGGGSNTGFNQRSSDMPTQNLALQDMASDFANDFADNPFFNSDLDDNMGFNWKT >CAK8564695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7124414:7124851:1 gene:gene-LATHSAT_LOCUS17972 transcript:rna-LATHSAT_LOCUS17972 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDNTRLNEGIGAGLDEEDDGDANDYIEFEDEDIDKI >CAK8533172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:587307122:587307436:1 gene:gene-LATHSAT_LOCUS2819 transcript:rna-LATHSAT_LOCUS2819 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLRARRAENERKRRQNMNLEQRENYLSMQRDNYSRRKEKDKQAQTSGTMNSRKRVPFQNFTNMRSPMSHFQGTHDNEADPSRITHVNDVALGW >CAK8574310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674569086:674569348:-1 gene:gene-LATHSAT_LOCUS26665 transcript:rna-LATHSAT_LOCUS26665 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKVIKDKKFWFASFLITWAAALQGHMMWLQRQDSFKQKFPNIDDQTPNNNDTSATQSS >CAK8531617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131002825:131004174:-1 gene:gene-LATHSAT_LOCUS1395 transcript:rna-LATHSAT_LOCUS1395 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGHGGRGSNNMLPQPESNISLIRDWTTVYKDRKMQQLPASSAKKEDIASSSCNKTTSYKEVAVNNPPQEQMDYCENLVAEKIMYIDDEDMKINPNGGWSIKTRCLESRGYPGLHGKSRPNLEIFLTVTKSVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQSFTQTFYYQNLKNKHSWFFSINSEMVNKPIPNWFYEWWTKFGPSMEILPKEILNLYNPWCDNSPLIVKHLSDNLITGQCPFLFFTKFQIPWIWRWSITISKNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIQEAIDEDQNNKVKEQSSQQMSMENLKNFFQRKYPNESEDEIMVRILDHMKNQFFSTFSTKVSKDEDSSMKTSSSMGSMDSHNFDDLAGEGQADEPTAEDFWDAMIQSMKVKGKEKN >CAK8577646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580931606:580932157:1 gene:gene-LATHSAT_LOCUS29734 transcript:rna-LATHSAT_LOCUS29734 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHVPPTQTSQFGGYPSSSAQHNYNFPQFAQQYHPQPYLRPPRQFTPCTTPNFQQSNPYFQYPTNPTFNTTFSQPSFTPDDVYIPTMQQPQADTYPQPPQPSHSFQHFLLTEEQLTQMPDFNIEDILNDDEPGPSSRQTIPPRTHHNEDLSSDSSQSAANERLGRGYRQRRPPRCGTGGHLR >CAK8535126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816055920:816056966:-1 gene:gene-LATHSAT_LOCUS4603 transcript:rna-LATHSAT_LOCUS4603 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTVKFSLLFVLWFWNFNFLPLSQGKDDYPYIKKASSFSSPSISDTSLNKAYDYIVVGGGGAGCPLAATLSQNFSVLLLERGGVPFTNPNVTFLENFHITLADLSSTSASQYFVSTDGVFNARGRVLDGGTSINAGFYTRASSRFISKVGWDAKLVNESYPWVEKQIVHRPKFSPFQRAVRDSLIDTGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAVGVIFNDENGKQHEAMLGNGMRSEVILSSGVIGSPQMLLLSGIGPKAELENLNISVVLDNRFVGKGMIDNPMNALYVPSKRPVWHASSDASG >CAK8577821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590845097:590847775:1 gene:gene-LATHSAT_LOCUS29891 transcript:rna-LATHSAT_LOCUS29891-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRKMESQDMNPSTVFPDEVLERILSMLNSRKDKSSVSLVCKDWFDAERWSRKNVFIGNCYSVTPEILTRRFPNVRSVTLKGKPRFSDFNLVPANWGADIHPWLVVFAEKYPFLEELRLKRMVVTDESLEFLAFSFPNFKALSLLSCDGFSTDGLAAVATNCKNLTELDIQENGIEDKSGNWLSCFPESFTSLEVLNFANLTNEVNIDALEKLVGRCKSLKTLKVNKSVTLEQLKKLLVRAPQLCELGSGSFSQELTSQQYAELETAFKNCKSLHTLSGLWVASARYLQVLYPACANLTFLNFSYAPLDSEGLTKILVHCPNLRCLWVVDTVEDKGLEAVGSNCPLLEELRVFPADPFEEEAEGGVTESGFVAVSEGCRKLHYVLYFCRQMTNAAVATVVQNCPDFTHFRLCIMNPGQQDYLTDEPMDEAFGEVVKNCTKLQRLAVSGYLTDLTFEYIGKYAKNLETLSVAFAGSSDWGMECVLVGCPKLRKLEIRDSPFGNAALLAGLEKYESMRSLWMSSCRLTMNGCRFLAGEKPRLNVEVMQEEGGDDSRAEKLYVYRSVAGPRRDAPPFVLTL >CAK8577820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590844935:590847775:1 gene:gene-LATHSAT_LOCUS29891 transcript:rna-LATHSAT_LOCUS29891 gene_biotype:protein_coding transcript_biotype:protein_coding MDENKYFWFQKCKRKMESQDMNPSTVFPDEVLERILSMLNSRKDKSSVSLVCKDWFDAERWSRKNVFIGNCYSVTPEILTRRFPNVRSVTLKGKPRFSDFNLVPANWGADIHPWLVVFAEKYPFLEELRLKRMVVTDESLEFLAFSFPNFKALSLLSCDGFSTDGLAAVATNCKNLTELDIQENGIEDKSGNWLSCFPESFTSLEVLNFANLTNEVNIDALEKLVGRCKSLKTLKVNKSVTLEQLKKLLVRAPQLCELGSGSFSQELTSQQYAELETAFKNCKSLHTLSGLWVASARYLQVLYPACANLTFLNFSYAPLDSEGLTKILVHCPNLRCLWVVDTVEDKGLEAVGSNCPLLEELRVFPADPFEEEAEGGVTESGFVAVSEGCRKLHYVLYFCRQMTNAAVATVVQNCPDFTHFRLCIMNPGQQDYLTDEPMDEAFGEVVKNCTKLQRLAVSGYLTDLTFEYIGKYAKNLETLSVAFAGSSDWGMECVLVGCPKLRKLEIRDSPFGNAALLAGLEKYESMRSLWMSSCRLTMNGCRFLAGEKPRLNVEVMQEEGGDDSRAEKLYVYRSVAGPRRDAPPFVLTL >CAK8544369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680212802:680218632:-1 gene:gene-LATHSAT_LOCUS13055 transcript:rna-LATHSAT_LOCUS13055 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHPKFTRVPSIRDRVQDTLSAHRNELISLLSRYVAQGKGILQPHNLIDELENILGEDHATLDLKNGPFGQIINSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVFELSVEQLSVSEYLSFKEELVEGKSNDNIILELDLEPFNASFPRPTRSSSIGNGVQFLNRHLSSNMFRNKDCLEPLLDFLRVHTYKGHALMLNDRIQSISKLQSALVKAEDHLSKLAPDTLYSEFEYELQGMGFERGWGDTAARVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGFFGQANVLGLPDTGGQVVYILDQVRALESEMLVRIKRQGLDFTPRILIITRLIPDAKGTTCNQRLERVSGTEYTHILRVPFRSEKGILRKWISRFDVWPFLETFAEDVASEIAAELQCYPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFEDKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTIGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPFSDKEKRLTALHSSIENLLYGTEQTDEYIGSLTDRSKPIIFSMARLDRVKNITGLVESYAKNSKLRELVNLVVVAGYIDVKKSSDREEIAEIEKMHDLMKQYDLNGEFRWITAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATSHGGPAEIIEHGVSGFHIDPYHPDQASELLVEFFQRCKEDPSHWNKISDGGLQRIYERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLANSVPIAKDDAN >CAK8560223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10854414:10857823:-1 gene:gene-LATHSAT_LOCUS13916 transcript:rna-LATHSAT_LOCUS13916 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRHPWIYNVFINFRGQDTRSSFVSHLHAALSNAGIKTFLDDKDLPKGKELGPELKRAIEGSQICIVVLSVNYTKSSWCLNELLHIMDCRKNYGQLVLPVFFEIDPSFVRWQTGDFGEALKVSARRIEFFSLNKKKGDLLKKWKTALTEVANLSGWNSTSFGTESNLVKKIVDDILRKIDVSLLSITEFPIGLDSRLQSNNIKRETSSYVLDSDIIGREDDKKEIISLLKQPHGNQNVSLVAITGIGGLGKTALAQLVYNDAQVKNIFEKCMWVCVSNNFDVKTIVKKMLESLTKSKIDDTLSLDNLQNMLHDNLTGKRYFLVLDDLWNESFEKWTQLRTYLVRGAQGSKVVVTTRTKTVAQTMGITDPYVLNGLTPEESWGLLKNFITYGDDTKGVVNQTLESIGKKIAEKCRGVPLAIRTLGGLLQGKSEEREWNDILEGDFWKSCDDEESIMPVLKLSYQNLSPQLRQCFVYCSLYPKDWEIKKDELIQLWMAQGYLECSDENQLMEDIGNQIVKIFFMNSFFQDAETDRLGDIRSFKMHDLVHDLAMQLSGSNCCYLDSATKIPVRSPMHVMLKSDAISLLESLDASRTRTLILLSNFSETWNEKELSVISKFENLRVLKLSHCSLSKLCDLIGKLKHLRYLHLQYCEGLGSLFYSISSIVFLQTLILEGCKEVEFSTQDISKLINLRHFDIENFKASELKKTTSQFGKLAVGGQYNSVNFLNWFSSLTNIVQISLCQCLDMKYLPPMERLPFLKSLCIYQLHDLEFIYYEEPLLSESFFPSLVKLKFVGCEKLRGWRRMRDDVNDVGNSSQLYNLSFPRLSQLEIYLCLGLTHMPTFPKLDKTLSLTHSRVETLEATLNITASKCLIEFPPLSMLKYLYFGGFDLNVKRLPKDWVQNLTSLERLVIYDLGNKKFQEIEIWFKDDLNYLPVLRRIKFWNCSRLKTLPDWMCNLSSLQHITLLECKRLASLPKGMPSLTKLQTLEIIACPLLVKECETETSATWPKIAHIPNIILKS >CAK8577027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532552653:532552983:-1 gene:gene-LATHSAT_LOCUS29171 transcript:rna-LATHSAT_LOCUS29171 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLIWATTEDLNRNRGRVLSLYRQTLRSLNSPLLPLNFASRLAIKAKVDFLLGTSPEQHFPFPSLQFGMNLMQS >CAK8576862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521132982:521134583:1 gene:gene-LATHSAT_LOCUS29025 transcript:rna-LATHSAT_LOCUS29025 gene_biotype:protein_coding transcript_biotype:protein_coding MECTPARKTQKADREKLRRDRLNEQFVELGNILDPDRPKNDKATILGDTVQLLKDLTSQVSKLKDEYTMLNEESRELSQEKNDLREEKASLKSDIENLNNQYQLQLRTMYPWPTMDHSVMMAPPSYPYPVPMPVPPGSIPMQPYPYYANQHPAIIPNPCSTYVPFLAPNTIVEQQSTQYVSPPLHPGSRSHISGKQESKNKSSRESRAERNADSNDVATDLELKTPGSSADQDLSSAQKRSNKSPSRKENSYTEGSSLGKCSSSHSVQDSSSSSVVGSRKASE >CAK8572651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553450763:553453223:1 gene:gene-LATHSAT_LOCUS25188 transcript:rna-LATHSAT_LOCUS25188 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQRPLHPLQFVGIGIYSFLLVCFYTFLGLFLGNRTAEITLTFIFSFMAISVMFLFVRCTAIDPTDRTSFKKKNKKTKRNVIQKLNYGFIIGQIVVRFFKRVEKKVLRTFIKRKYLDPVKTSAQVEPLLPFPLVMKFDDDAIAPDLKEDDISFCILCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCIGKKNYTTFFLLMIFVLLMLIIEGGTAFAIFIRCFVDKRGIEKELHRKLFVDFPRGVLATICVFLMALTAYSSAALGQLFFFHVLLIRKGMRTYDYILAMREENDAMELESFDDSDLSSDDSIDFDSPEKPTLMSRFLCKGQSSPRLSIKIERDTEPSPLINTKRFDVSINPWKLIKLTSEKALVATEKARERLVRERPKREHSSLRPLPLETKRGPLMNVDQNIGNEGSGSTSTSFITKGKLHVSPVRLSSPRRRFSAGSSTVFSSSMIASPQHKYRNSFDLKLTGVSRELETHISKQVLCSVISKDGSEVSPR >CAK8540769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20223136:20225129:1 gene:gene-LATHSAT_LOCUS9740 transcript:rna-LATHSAT_LOCUS9740 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRIENIVLCLLLFCVHVAVVSAESSESNWLNHGGDLFNRRYAKNEHNIGLTTAPNLSLKWKFFAGQDITATPTIYDGVVYFPSWNGNIYAIKQNNGSLVWKKNLKDLTGLNATVFIFNANGTVSRTTPTVAGDLLIMGIYGPAVIIGLNRTNGELVWLTRLDNHPRAFVTMSGTYYNGSYYVGTSSLEEQVPIEQCCTFRGSLVKLNATTGVILWQTYMLPNNNGTIGGYAGAAIWGSSPSIDVSRKHVYIATGNLYSAPQYILDCQETQNNQTTPIEQDICIEPENHSNSMLALDLNNGSLKWYKQLGGLDVWFIACNDPSTPNCPPQGPFPDSDFGEAPMMLTTHVNETEKDIVVAVQKSGFAWALDRDNGTLLWSTQAGPSGIGGGGIWGASTDEKRIYTNSANSNKVNFQLLPSNMSTTTGGWVAMNATNGEILWSTANPRNSTVSGPVSVANEVVFGGSTDLSGHIYAMNARNGSILWSYATGGSVYGGISIGNGCIYVGHGYNVSLGVFSNYTGGTWLFAFCV >CAK8573577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621560480:621560779:-1 gene:gene-LATHSAT_LOCUS26010 transcript:rna-LATHSAT_LOCUS26010 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKEWNLLFPPEQTVSLGPHTEATPYLILQRVEQNQMTLKELNSIIKSNNYTNAYLVCLGEQFISLEKELLSIKDLLDKQIARQKIIIDLINKPKPQ >CAK8544858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709393627:709394643:1 gene:gene-LATHSAT_LOCUS13505 transcript:rna-LATHSAT_LOCUS13505-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYWKRSGQIPAFGNWDFANELPITQYFENARQAGLIRYSSSSGETDPYLCGDDHDLYAVDSKKPAPKARRIKDTRYTNAMVNDKQTRVRKQWKVYDVTEHPRNQTVNKNKVLHVNDVVSSGHHQLASKQDPKPVDEDLYKISPELLRTTKRKKMLGFISKCLVPAACVS >CAK8544859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709393627:709394643:1 gene:gene-LATHSAT_LOCUS13505 transcript:rna-LATHSAT_LOCUS13505 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYWKRSGQIPAFGNWDFANELPITQYFENARQAGLIRYSSSSGETDPYLCGDDHDLYAVDSKKPAPKQARRIKDTRYTNAMVNDKQTRVRKQWKVYDVTEHPRNQTVNKNKVLHVNDVVSSGHHQLASKQDPKPVDEDLYKISPELLRTTKRKKMLGFISKCLVPAACVS >CAK8559959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2493184:2493783:-1 gene:gene-LATHSAT_LOCUS13687 transcript:rna-LATHSAT_LOCUS13687 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEATTTLESDLAFLDSIQRYLLNDHHDFNPLAAVSAASHGVAPSSPTNSGNTSCGSTSITLHCDATNAPLVYRENHAPKVNRETHAPPCWQKYKGVRRRPWGKFAAEIRDPKKNGGRVWLGTFQSAEDAALAYDRAAFNLRGSKAKVNFPHLIGSDSLEPMRVTSKRRELEPCSPSLVALEPKRKISRVSVYNNTSL >CAK8562914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:556495722:556498419:-1 gene:gene-LATHSAT_LOCUS16376 transcript:rna-LATHSAT_LOCUS16376-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKIKIGINGFGRIGRLVARVALKRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKNDELTVKDSNTLLFGQKPVTVFAHRNPEEIPWASTGADIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNENEYKPEYDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDTRSSIFDAKAGIALNDKFVKLVSWYDNELGYSTRVVDLIVHIAKQL >CAK8562915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:556495722:556498419:-1 gene:gene-LATHSAT_LOCUS16376 transcript:rna-LATHSAT_LOCUS16376 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALKRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKNDELTVKDSNTLLFGQKPVTVFAHRNPEEIPWASTGADIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNENEYKPEYDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDTRSSIFDAKAGIALNDKFVKLVSWYDNELGYSTRVVDLIVHIAKQL >CAK8542538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524283725:524287890:1 gene:gene-LATHSAT_LOCUS11372 transcript:rna-LATHSAT_LOCUS11372 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFLFIFLFFLFLFKFATAYGDFTLMSKKHPKRELIEDKVFWITGASRGIGEVLAQQLASLGAKLIISARNEADLNRVKSQLKGKHAPDGVKILPLDLSSGEDSLRKVVDIAESLFPDSGVDYMIHNAAYERPKSSVLDVTEDSLKAIFDVNVLGTITLTKLLTPFMLKRGKGHFVVMSSAAGKTPAPGQAIYSASKFALNGYFHSLRSELCQKGIQVTVVCPGPIQTVNNAGSQVPSEKRVSAEKCAELTIIAATHGLKEAWISYQPVLVVMYLVQYMPTIGYWLMDKVGKSRVEAAAEKGSTYSLSLLFGKKKAG >CAK8566872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468730331:468737470:-1 gene:gene-LATHSAT_LOCUS19973 transcript:rna-LATHSAT_LOCUS19973 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPNHSQKPHAVFVPFPAQGHVNPSMQLAKLFRCNGFHITFVNTEFNHKRLIKSLGQDFVKGLPDFRFETIPDGLPESDKDATQDIPTLCDSTRKNCYGPFKELVMKLNTSSPYPVTCIVADGTFGFAGRVARDLGIPELQLWTASACGFVGYLQFEELVKRGILPFKDENFMVNGTLDKSLDWITGMKDIRLKDLPSFIRVTDLNDTMFDFLGSEAQNCLRSSRIIINTFEDLEGEALDNLRAKNPNIYSIGPINILGRHFPEHENGFKASGSSFWKNDPECIKWLNKWEPCSVLYINYGSITVMTDHHLKEFAWGIANCNLPFLWIMRPDVVKGENTTLPKEFLDEVKDRGYITSWCFQDQVLAHPSVGGFLTHCGWNSTLEAITYGVPTICWPFFAEQQTNCRYLCNIWKIGMEINYDVKREEITKLIIDLMEGQNGKEMRQKSLEWKKKTTIATDFGGSSYNNFHKLINEILQHNAF >CAK8534311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714796042:714798005:1 gene:gene-LATHSAT_LOCUS3864 transcript:rna-LATHSAT_LOCUS3864 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILTYVVAIEAAIAILLTLPSPKLLRNRLNSLISLILQPALFIVPFAGFQLLDIYWKNEHRLSCTSDVCTAAERDRYEKTTYKAQRNVILCISACLLYWCIYRICKFQKDIESLEEVEKRIKSK >CAK8574868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12786474:12788614:1 gene:gene-LATHSAT_LOCUS27167 transcript:rna-LATHSAT_LOCUS27167 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQENPQHGCFSSFFKVLFCARNETSPPVYPSENVEEKKDDFFDDSNSITTPSVVARLMGLDSLPKTKRVVQRTTLDCVPRSKSVNFVDYLLEFDQNVGNHRRVKTSSSFREVPSQKNYLFVLDIDDKKGNKVQEENSTTKLKKKNKEIVRVKKEKNKRIYKMKDEPRKVPSSKYKSKARDCRKGEVFSSVSPRCNCGYYGYGDVGSSSSSSSVSPLPKNRIKKGFVEPKMRNKVKKNHVSTKKIQTEHSLENLSPVSVLDVNDYAFLYGADYSGTNTLASKSKRKSKSLLEVSLEEDVEEKASNNKGYASQTDINREAELYSDLMLKIRSLTEESIKESDCTYKDESFEEICLVFEETIFDSLLFEVLNEVV >CAK8563141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577146862:577149948:-1 gene:gene-LATHSAT_LOCUS16578 transcript:rna-LATHSAT_LOCUS16578 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMATPFQQGPPQTVRRLVVEVVDARNLLPKDGQGSSSPYVVGDFDGQRKRTTTRFKELNPVWNETLEFIVSDPENMEFEELEVEVYNDKKFGNGSGRKNHFLGRVKLYGTQFSGRGEEALVYYTLEKKSVFSWIRGEIGLKIYYYDELLQQEENQPQQQQQEQPPPQEEERPSGMEQERNRPQMMVEEGRVFQVNGPMEHCVPLTDGPPSPRVVVVEESPSPVVRVQQDPPLPEMYGPPEPEMQYHQPEVRKMQAIRNDRVKIMKRPNGDYAPKDISGKKPNGESERIHPYDLVEPMQYLFVRIVKVRGLNPPTESPFVKVRTSSHYVRSKPASYRPNEPNDSPEWNQVFALGYNKTDAASATLEISVWDSPTEQFLGGVCFDLSDVPIRDSPDSPLAPQWYRLEGGAAEQNSGRVSGDVQLSVWIGTQSDDAFPEAWSSDAPYVAHTRSKVYQSPKLWYLRVTVMEAQDLNLTPNLPPLTTPEIRVKVQLGFQSQRTRRGSMNHHSMSFHWHEDLLFVAGEPLEDSMVLLVEDRTTKEAALLGHVVIPLTSIEQRIDDRHVPAKWFPLEGGSYCGRVHLRLSLEGGYHVLDEAAHVCSDFRPTAKSLWKPAVGILELGILGARGLLPMKSKGPGKGSTDAYCVAKYGKKWVRTRTVTDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFADVSEEKPDCRIGKVRIRVSTLESNKIYTSSYPLLVLTRTGLKKMGEIELAVRFASPSFLPDMCAVYGQPLLPKMHYIRPLGVAQQEALRGAATKMVAQWLARSEPPMGHEVVRYMLDADSHAWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWKNPVTTVLLHILYLVLVWYPDLIVPTGFLYVVLIGIWYYRFRPKIPAGMDTRLSQAEAVDPDELDEEFDTMPSSKPPDMVRVRYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCFVIAVILYSVPPKMVAVALGFYYLRHPMFRNPMPPASLNFFRRLPSLSDRLM >CAK8578768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653194330:653194968:-1 gene:gene-LATHSAT_LOCUS30754 transcript:rna-LATHSAT_LOCUS30754 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVASQDLWIWNIFFGIAGSNNDINVLNQSNVFNDILKGRAPNVQYTINGTPYNMGYYSADGIYPEWATFVKTISMPQGEKKKLFAQHQESARKDAERAFGVLQSRFAIIRGPARAWHMDTLKHTIYACIILHNMIVEDERHTYGGNFDYSYDNVDINNSTIETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEHIWERFGHEDDEI >CAK8578769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653194330:653194560:-1 gene:gene-LATHSAT_LOCUS30754 transcript:rna-LATHSAT_LOCUS30754-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTIETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEHIWERFGHEDDEI >CAK8531576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126437951:126438364:1 gene:gene-LATHSAT_LOCUS1358 transcript:rna-LATHSAT_LOCUS1358 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVMKNLLAMLEAEEAEAWKSSNLGTATAFNYHDTASANPYNNHGTANAFNNYDTASANPYTNHGTANAFNNHGWNQNFSSARINSGANSWDRKKYRTTHNVGGRTVNNSGTFHGNGNGGYTERNSEVSTINYYK >CAK8534440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726651060:726660874:1 gene:gene-LATHSAT_LOCUS3986 transcript:rna-LATHSAT_LOCUS3986 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLAVASSTTSLVFSSSFSSSHLFIRTLALTSASSPPRLFSTTAVPLRSLMAHATLGFTHPVINETPKLSFTAKDTDVAEWKGDLLAVAVTEKDVARDGESRFQNPILSALDSKLGGLLADASFEEDFTGKVGQSTVLRIAAGIGSKRVALLGLGASASGPAAFKSLGEAVAAAAKSAQAAHVAVVLASSQGLSSLNTASAIVTGTVLGTFEDTRYKSESKKASLKSVDIIGLGTGPEVEKKLKYAGDVSSGILLGRELVNSPANVLTPGVLAEEASTIASTFSDVFTAKILDAEQCKELKMGSYLAVAAASANPPHFIHLHYKPPTGPVNVKLALVGKGLTFDSGGYNIKTGPGCMIELMKFDMGGSAAVLGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDVLTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACIIALGPSIAGAFTPSDELAKEVFDASEVSGEKLWRMPIEESYWESMKSGVADMVNTGARQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNDKQRCATGFGVSTLVEWVLKNAS >CAK8533158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586152085:586152444:1 gene:gene-LATHSAT_LOCUS2805 transcript:rna-LATHSAT_LOCUS2805 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRGLNKVGKMREIKSRLQDLKPAIIILIETRVKEPKANAIREKMMLYENHMDNYKDHTNGRIWIHWDRNRVDMRFLHSTSQLIHCGIYDNSGTLKHWLTAVYAHNQLHNRRIL >CAK8538417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482097675:482097986:1 gene:gene-LATHSAT_LOCUS7621 transcript:rna-LATHSAT_LOCUS7621 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASNASTSNNHSYGTSGSLIRKNKRIECFCQDESVLRTMNDVNNANKGRKFWGCRNYRNHIEKGCNFFKWLDDEFLDERDLKLERQKKKINILKNEVMYT >CAK8543877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646140641:646141851:1 gene:gene-LATHSAT_LOCUS12601 transcript:rna-LATHSAT_LOCUS12601 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEMTITLDDVSCLLHLPIKRVFWSPQDISEALAVEWAVDYLGVSRRVSQQQVRECRGFYYKLEWLYDLFVEHRVASRWDYATRAYLLMLVGSTIFVDKTFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPLAMRWSYTQGALKVDDLRPILDELTPADVIWRPFENHRIWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPLDSRMVGDIDVDWISYHQSVQNAIRPTAPATTPYETDDRYLEWYYRVSHPRLVPPSVDATTEMSVHVYEAGPSNPILARMSALVHRYLQQVGVEEDDP >CAK8573266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599058881:599065320:1 gene:gene-LATHSAT_LOCUS25735 transcript:rna-LATHSAT_LOCUS25735 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPTTPSSTSTFGGVDIPNANSSNTHASPKQSPRESRDLPPLLSSSYPITLKFMDVGYRLKIENKKGGCIKNFFTALESPPSDQRSTQERIILNGVTGIAYPGEILAILGPSGSGKSTLLNSLAGRLHGNGLTGTILANSSKLNRTILRRTGFVTQDDILYPHLTVRETLVFCSMLRLPRTLSRETKVAAAESVIVELGLSKCENTIIGNSFIRGVSGGERKRVSIAHEMLVDPALLILDEPTSGLDSTAAHRLVSTLGSLARKGKTVVTSVHQPSSRVYQMFDKVLVLSEGNCMYYGKGTDAMRYFESVGFAPSFPVNPADFLLDLANGVCHVDGVSEKDRPNIKQNLIHSYNTELGPKVKSLCMDTANVSIKGTNPVRSNSSKERRYNDRVSIFDWFYQFSILLQRSLKERKYESFNILRVSQVVAAALLAGLMWWHSDYMNIQDRLGLLFFISIFWGVFPSFNSVFAFPQERAIFVKERASGMYTLSSYFMARIVGDLPMELILPTTFLIITYWMGGLKPDLLSFLLTLMVVLLFVLVSQGLGLALGAAIMDAKQASTVAAVTMLAFVLTGGYYVHKVPSCVAWIKYISTTFYSYRLLTRIQYGDGKKIAYLLGCNHDSSTSDGANCKFLDEDVVGQMGPMGSIGVLFFMFVFYRLLAYLALRRIKN >CAK8565991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365317005:365318208:-1 gene:gene-LATHSAT_LOCUS19160 transcript:rna-LATHSAT_LOCUS19160 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLNTFSFSLILFLSLSFFFSPPQSHNKVSLELYYESLCPYCADFIVNYLPEIFQHDLLSIVDLKLVPWGNAQVKGNSTFVCQHGRYECLLNTVEACAIDIWPNLDKHFSFIYCIEDLALQGKRREWESCYKKLGLNSTLVDDCYLGERGKELDLKLASETNALQPPHEYVPWVVVDGKPLYEDSDNFISYICKAYKGTDAPKICTQASYISTAREVEVKGKHSFAISKE >CAK8539199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506545316:506548218:1 gene:gene-LATHSAT_LOCUS8319 transcript:rna-LATHSAT_LOCUS8319 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEENKKVKSKTDSELFLNNANKCNWKILNNESCAGANAASRADMKLATTDPLSEIVWSPENGLSLKCADKNSSLFRVVGPSYCMVLSPPQSVTYCNSITHEPIAVECVDRGNADTMSDSDVKPDSKVEEENNIGKGKKVIGIDDDDDLRTVVEPIFEYRGSGDLRTNLASSSRNPLGKLESSAENDLKNVDAEAACAATCGVIVKEIKNETQDNEMILLSDKVLPVSHSPCNSGIRVAENKGKEKFLPDRDVNVRLSMDSDSNSSVESCNSARFFSTGKKRRNFQHQQLIIRSKRVKNNVEETSGSKSYVKQESSFNNWISSMVKGLSQTIQNDSGALALRIANADRPNADRRNARFEEKFITCRRNQDPEPKNTGFRSIFQSMYCPTSKYVGTRMSHQEGESNEDLEPSNMVQGINATPITCFPDNNSLAERHFQSNKFEASTGRYDAGPSQPNVEPLNYFNCMESRKNKQVRNENCSNMDLRKDKEEMASKSSSARQNTNNTDNVDSNAPSERKEAENIDHRRDNPGSLWITRFAPKSTSPLFNDLSPSPGFANPEQIPLKFARRPVIIEHIRPTIKAECTSQVNMFCLFCGTRGHEIRDCSAVLESELEDLQKNVNSYEGQENFPFMCIKCFQLNHWAISCSSSISTRKHESEVKTLVHDRIDKETDQNISLKRKSNDFVTAKMECNASSCKKNSGSTSSKENKSKDKPIITSPLRLTERKISHVPEGIFDAVKKLQLSRSDILKWITSHGSISQLDGFFLRLRLGKWEEGLGGTGYHVAYIIDTGRHSLEQHTRKSVSVKVKGIECMVESHYISNQDFLEEEIMEWWSNTSEAGVEIPSEEDLISKFKKKQLLGL >CAK8573758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635344751:635359451:1 gene:gene-LATHSAT_LOCUS26166 transcript:rna-LATHSAT_LOCUS26166 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHEEKSENEQQHTKTLICALNFLSRDVPLPNHILNSVSSIYHHSNNGDVNGDAGSSGDDLITDLKDAILEQRPKCASRFKLEEAMESRHQSQIWHRLNELEELPSSRGEDLQTKCLLELYGLKLAELQSKIRSDVSSEYWLNVECAYPDRKLFDWGMMRLRRPFYGVGDPFSMDADDQLRKKRDSEKLSRLEEAEKNHIETTKRRFFAEILNTVRELQLQIQASLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTLLLEETNKLLVNLGAAVQRQRDFKHSDGIEPLEDSEADLPESDASKNGISKESPVDEDIDAIDSDHNDGDSNDLLEGQRQYNSAIHSIQEKVTEQPSILQGGELRSYQIEGLQWMISLFNNNLNGILADEMGLGKTIQTISLIAHLLEYKGVTGPHLIVAPKAVLPNWIIEFSTWAPTIKVILYDGRMDERKAIKDEFSGEGKFNVMITHYDLIMRDKAFLKKIKWNYLIVDEGHRLKNHESVLAKTLDNSYNIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEDWFNAPFADRVDVSLSDEEQLLIIRRLHQVIRPFILRRKKNEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVGDYDMYKCKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDTLEVYLRLHDFKYLRLDGSTKTEERGSLLRKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGSSSLGADVPSEREINRLAARSDEEFWLFEKMDEERRQKENYRSRLMEEHELPEWVYAPIQKDDKSKSFSSGVTGKRKRKDVVYADTLSEQQWMMAMENGGDMSKVSAKGKRRESRDYLSSDSIAQASDNPGADESLFGSRTKISPMENEDSLHTSPSSKRFRPERTKFQKQAYEDVGGSGLDQNVFSWNTHKKKRSSHQGQGSLSDSRGQNSNGRGNWN >CAK8542836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552621746:552624879:1 gene:gene-LATHSAT_LOCUS11640 transcript:rna-LATHSAT_LOCUS11640 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQSHLLVGSISIASHATAADSTYLSTAPRRRRHLLISASSSSASAINGGGDHYTVLGVARSADVVDIKRAYRNLALKYHPDVSKDSHASELFKSIRHAYEVLSNETTRIQYDRELQSSHKPYHNKWGYGSEFEDDEVRSYRRAYTKKKMHSERYWEYYNVNEDYYSSETDEEEDERNLKEERGSFIEVLRSAFLSLLLFQTLGARISLTFSSLTAVFDNKLDAGYKVGYVIAWIFGGRGGIMLTLFLSFLSWIFGKTSSSVVALFMVAMWVGSSLASYAPVPQGALLTLIYMSIKLQSDQI >CAK8576981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529433245:529433514:-1 gene:gene-LATHSAT_LOCUS29131 transcript:rna-LATHSAT_LOCUS29131 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATTASHVNSSEKIMFQIKGVNEVAFKHLINIPPRFWSKSRFGTSISCDTLANNMSEAFNPVFIATRAKAIVTTLEEIRVYLMQRWE >CAK8543300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:597511906:597518341:-1 gene:gene-LATHSAT_LOCUS12066 transcript:rna-LATHSAT_LOCUS12066 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAAVVVPLGLLFFASGLIVNLVQATFYVLVRPLSKNLYRRINRVVAELLWLELIWLIDWWAGVKVQIYTDRETFRLMGQEHALVICNHRSDIDWLVGWVLAQRSGCLGSTIAVMKKSSKLLPVIGWSMWFSEYLFLERSWAKDENTLKSGIQRLKDFPLPFWLALFVEGTRFTKVKLLAAQEYATSSGLPVPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAVPKSSPAPTMLRLLNGKSSVVQVHIKRHLMKELPETDEAVAQWCKDIFVAKDALLDKHIADDTFSDLELQDTRRPIKPLAVAISWAFVVVAGTVKFLQWSSLLSSRKGVAFSTLGLAVVTGLMHILILFTQAERSNPAKVAPAKPKNKEEQPEVTNDKQQ >CAK8541743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:379643536:379644018:1 gene:gene-LATHSAT_LOCUS10639 transcript:rna-LATHSAT_LOCUS10639 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKGVVRETDMEEVMQSHVMELAHQALDAHEVSDCQNIAYFIKQKLDETYGPAWNCVAGNDFGSCITHLCGSFIFFRVEMMEFLIFKDGKDFTESREEVIRVIQKPGT >CAK8579135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678592428:678597504:-1 gene:gene-LATHSAT_LOCUS31103 transcript:rna-LATHSAT_LOCUS31103 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGEELTAQETALYDRQIRVWGADAQRRLSKAHVLVYGIKGTISEFCKNIVLAGVGSLTLIDDRHVTEEVLSSNFLIPPDENVYGGKTIAQLCCDSLKDFNPMVRVFVEKGDLSSFEVEFFSKFDVVVVSCCSRSAKKLANDKCRKASKRVAFYTVDCRDSSGEIFVDLQDYKYSKKKMEETIECHIKYPSFEGALSVPWRALHRRMSKLYFAMRVIEKFEEAEGRSAGDVSEADLSDVLKLKKELCTAQSLNESHVPDILLERLVADTAEFPPVCAVIGGILGQEVIKAISGKGDPIKNFFYFDASDGKGVIEDISDSNVGK >CAK8574217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670549325:670550592:-1 gene:gene-LATHSAT_LOCUS26579 transcript:rna-LATHSAT_LOCUS26579 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGNESSWVGRKAVKRIGGMSDALSIAADLGFNVSSSSSPHDPPQNSSPTTGEKGEDLIRVLRELTSVQRKIADLQVELQGRKDDKNVAHLTHVSEMKNKIETLARITTILKDVIHNKDRIIARLQQPYSLDCIPVEAEYQKEFSELLMKAASDYGALTASVADFQWSQNFKEPPSVWGEMLRPIPVALASCTRYFEAMSAKRESFSALQKMRVGQFDSTVPRTPARDPSQRLPGVSDSLTSLPSE >CAK8567642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533136309:533138047:-1 gene:gene-LATHSAT_LOCUS20678 transcript:rna-LATHSAT_LOCUS20678 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVARVWHKSFPLHKPLLMTFHTIPSSSFSTSSAKRVGTHSGTFHCDEALACFMLRLSKLFSGADIVRTRDPKLLESLDAVVDVGGVYDPIRHRYDHHQKDFHQVFSECFATKLSSAGLVYKHFGLEIIANVLRLDEDHPHVHQLYPAIYKNFVESVDAEDNGVNQYDLNESQKYVINTFLGSRIERLNLDWTDSDQSSDAENEAFHRAMALAGGEFLENVNYYAKSWLPARSIVMECLASREAVDSSGEIIKLNRSCPWKFHIHELEEEMKINPSIKYVLYQDDRSEKWRLQAVAISPGRFESRKPLPYLWRGLENDRLSEVAGIPGCTFVHMSGFIGGNQSYDGALAMAKTSLKA >CAK8568125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574836930:574837163:-1 gene:gene-LATHSAT_LOCUS21118 transcript:rna-LATHSAT_LOCUS21118 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVNGSPTIDFMVEKGLRQGDPLSPFLFMLVAKGLIGLMQNVRRLGLFRPFKVSNDLSFYLLQFTDDTLIVGECS >CAK8562280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466051981:466055192:-1 gene:gene-LATHSAT_LOCUS15790 transcript:rna-LATHSAT_LOCUS15790 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVKMKTPSKAASTLIWLISWSLIMIYHVSFAERILEDKKSDNFVMQKRHFSWYTGKSSYERVWPEMKFGWRIVVGSIVGFFGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMITGAAGSTVYYNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIGTSTKALIKGINTWKQETIMKKEAAKMLESGSTPDYASEEDYTALPADLQDEEVPLLDNIYWKELSVLVYVWVAFLIVQIIKTYSKTCSIEYWVLNSLQVPIAISVTLYEAICLSKGTRVIASKGKEVTHWKFHKICLYCFCGIIAGMVSGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLDSFPVPYASYLVLVATIAALTGQYVVRKIIAIFGRASIIVFILAFTIFLSAIGLGGVGIGNMVEKMENEDYMGFYNICHKS >CAK8562281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466051981:466055192:-1 gene:gene-LATHSAT_LOCUS15790 transcript:rna-LATHSAT_LOCUS15790-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVKMKTPSKAASTLIWLISWSLIMIYHVSFAERILEDKKSDNFVMQKRHFSWYTGKSSYERVWPEMKFGWRIVVGSIVGFFGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMITGAAGSTVYYNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIGTSTKALIKGINTWKQETIMKKEAAKMLESGSTPDYAFSDASEEDYTALPADLQDEEVPLLDNIYWKELSVLVYVWVAFLIVQIIKTYSKTCSIEYWVLNSLQVPIAISVTLYEAICLSKGTRVIASKGKEVTHWKFHKICLYCFCGIIAGMVSGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLDSFPVPYASYLVLVATIAALTGQYVVRKIIAIFGRASIIVFILAFTIFLSAIGLGGVGIGNMVEKMENEDYMGFYNICHKS >CAK8572499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541747888:541749797:1 gene:gene-LATHSAT_LOCUS25060 transcript:rna-LATHSAT_LOCUS25060 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIIHNLKTPTLLSPSLSSPHTSSSFPLQSSFKPPIFSSYPSLLSRRLFLPSVSGIWDAFTGGNNNTNEAVLAIRRGMSLFRQGDVLGSVVEFDRAIQLDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRYLEVGIDPRPVMRETYDMFKDGGDPEKLVAAFSNSRESDYFYASLYAGLFYESKNESDAAKVHIVAACKSSYGQRSNDYMASLSKVHCLCRNWIFS >CAK8564540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680355608:680357512:1 gene:gene-LATHSAT_LOCUS17838 transcript:rna-LATHSAT_LOCUS17838 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLFREVPFILRLTSDDETFRFAALDIERNRLFFLSSHNFIYTYHLSSFHDKEAWSNASLLSTDYGSVDLEPDDSVTSFDYLMEKEALLLGTSNGLLLLYDVDANTTQVVGNLDGGVNYISLSPDGELIAIITGFGQILVMTHDWDLLYETPLVVDDDVPQGHHVNGENFLEGGFEQHPISWRGDGKYFATMSVCSSTFLRKLKVWDRDSGELLASSDEKTFAGAVLEWMPSGAKIAAVYDRKAENECPSIVFFERNGLERSKFSVGEGVNAKVKFLKWNCSSDLLAGVVECESYDAIKIWYFSNNHWYMKHEIRYLKQDEVGFIWNQEKPLQLICWTLGGQVTVYNFVWITAVMDNSVALVIDGSNIHVTPLSLSLMPPPMYLFSLKFSSHVRGMAVYCKNSKNKLAAFLSDGSLCVVELPSIETWEELEGKKFSVEASHTEMVFGSILHLVWLDSHKLLSISHYGFSHSNDLFQTSLNKDALPGFFLQEIELECSEDIVPGLLTCSGWHATVSKQNTLKELVIGIAPNPASKSSAFMQFSEGKIKEYLSKVGTGGGSQEQEFQGFSVVCPWMGVALIGSVGQSKPVLFGLDEIGKLHTSGGIVVCNNCSSFFILLKFGRPSNNTSNSCN >CAK8576428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475412194:475412427:-1 gene:gene-LATHSAT_LOCUS28616 transcript:rna-LATHSAT_LOCUS28616 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTMDHMKNQFFSTFPTKTSKDENSSMKTSSSMGSIDSNNFDCLAGEAQPDNSTPEDFWDAMIQSMAQKAKDKAKR >CAK8533507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627912929:627916929:-1 gene:gene-LATHSAT_LOCUS3130 transcript:rna-LATHSAT_LOCUS3130 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLGSDATVLPFHAKNLKFTPKLSTLNGDSTFSKGLGVGRLNYGSVRLNHKQHVRAVGKSFGADENGDRSEDDVVNATIEKSKKVLALQRELIQQIAERKKLVSSIDSDNIPGLEGNGISYESGEKSLSSDSNPRKGSSSSGSAVENQNGGTVFSNYVRSKEMETWAVSSVGISQDFDEIKKKNDAEMASSKLHFDEQIKTKLYERPDTKDISSSIRTSSLKFENFEGASESSSKEVANEAENFESGGEKPPPLAGTNVMNIILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMIVAPRYGNYAEAHDIGVRKRYKVAGQDMEVTYFHTYIDGVDHVFIESPIFRNLESNIYGGNRLDILRRMVLFCKAAVEVPWHVPCGGICYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMNYTRSVLVIHNIAHQGRGPVDDFNTVDLSGNYLDLFKMYDPVGGEHFNIFAAGLKTADRIVTVSHGYAWELKTSEGGWGLHNIINENDWKFRGIVNGVDTKDWNPQFDAYLTSDGYTNYNLKTLHTGKRQCKAALQRELGLPVREDVPIISFIGRLDHQKGVDLIAESIPWMMSHDVQLVMLGTGRADLEKMLKEFEAQHRDKIRSWVGFSVKMAHRITAGSDILLMPSRFEPCGLNQLYAMNYGTVPVVHGVGGLRDTVQPFNPFDESGVGWTFDRAEANKLMAALWNCLLTYKDYKKSWEGIQERGMSQDLSWDNAAQQYEEVLVAAKYQW >CAK8533508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627912929:627916926:-1 gene:gene-LATHSAT_LOCUS3130 transcript:rna-LATHSAT_LOCUS3130-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGSDATVLPFHAKNLKFTPKLSTLNGDSTFSKGLGVGRLNYGSVRLNHKQHVRAVGKSFGADENGDRSEDDVVNATIEKSKKVLALQRELIQQIAERKKLVSSIDSDNIPGLEGNGISYESGEKSLSSDSNPRKGSSSSGSAVENQNGGTVFSNYVRSKEMETWAVSSVGISQDFDEIKKKNDAEMASSKLHFDEQIKTKLYERPDTKDISSSIRTSSLKFENFEGASESSSKEVANEAENFESGGEKPPPLAGTNVMNIILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMIVAPRYGNYAEAHDIGVRKRYKVAGQDMEVTYFHTYIDGVDHVFIESPIFRNLESNIYGGNRLDILRRMVLFCKAAVEVPWHVPCGGICYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMNYTRSVLVIHNIAHQGRGPVDDFNTVDLSGNYLDLFKMYDPVGGEHFNIFAAGLKTADRIVTVSHGYAWELKTSEGGWGLHNIINENDWKFRGIVNGVDTKDWNPQFDAYLTSDGYTNYNLKTLHTGKRQCKAALQRELGLPVREDVPIISFIGRLDHQKGVDLIAESIPWMMSHDVQLVMLGTGRADLEKMLKEFEAQHRDKIRSWVGFSVKMAHRITAGSDILLMPSRFEPCGLNQLYAMNYGTVPVVHGVGGLRDTVQPFNPFDESGVGWTFDRAEANKLMAALWNCLLTYKDYKKSWEGIQERGMSQDLSWDNAAQQYEEVLVAAKYQW >CAK8572193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518503523:518503750:1 gene:gene-LATHSAT_LOCUS24784 transcript:rna-LATHSAT_LOCUS24784 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNEEANHKESETRYGKTFRSRMWKTQPCRFHDLRWHCWNLWHGREETKRADASMKEIAKQPEVVLDDQHLLKQ >CAK8568559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:617098142:617104764:-1 gene:gene-LATHSAT_LOCUS21505 transcript:rna-LATHSAT_LOCUS21505 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKAPKITKSAIAAVTSSRTKPPPTRPLSAPLFNGTFHHASDNAMVPLSRSRIMNGTTNVTSAKFLSHSFTRNFHASSPSYRSAGASQIAQSEFTKMAWEGILGAVDAARVNKQQIVESEHLMKALLEQKDGLARRIFTKAELDNTSVLQATESFIAQQPKVTGDTSGPVVGSHLSSVLNNSQRHKKEMGDEYVSVEHLLLAFHSDKRFGQQLFKNLQLSEKALKDAVQAIRGSQRVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCCQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLENDLSLLKQKQKELAKQWDSEKVLMARIRSIKEEIDRVNLEMEAAERDYDLNRAAELKYGTLMSLQRQLEEAEKNLVEFQNSGQSFLREEVTDLDITEIVSKWTGIPLSNLQQTEREKLVLLEQVLHKRVIGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKALANYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHYILETLRSTQDDKSAVYDQMKRQVVELARQTFRPEFMNRIDEYIVFQPLDSNEISKIVELQMERVKYRLKQKKIDLHYTQDCVNLLGVLGFDPNFGARPVKRVIQQSVENEIAMGVLRGNFREEDSIIVDAEETSSGEEGSSIKRLIIKKQDIVANAMVAND >CAK8574560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2089544:2097837:-1 gene:gene-LATHSAT_LOCUS26892 transcript:rna-LATHSAT_LOCUS26892 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPSTFIVYSNHPTTTTLRTSKINFFANPLRNHHRRFLLLTPRATAPNNDDDKRQQSLSLDDVNPVGLGRRSRQIFDDVWRKFSGLGQISRTIRTDDQETLDALLVREGPMCEFAVPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADEEVVAQLPRSVEIVIGDVGDPAAVMAAVQGSNKIIYCATARSTITADLLRVDHQGVYNITKAFQDHNNKLAQLRAGKSSKSKLTLAKFKTESSLDGWETRQGTYFKDVAATKYDGGMDAKFEFTENGEAVFSGYVLSRGGYVELSKKLSLPLGSTLDRYEGLVISVGGNGRSYVLILEAGPSADLNQSKLYFARFSTKVGFCRVRLPFSSFRPVQPDDPVLDPFLVHTLTIRFEPRRQRSIEVNTAKNQDMRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPSRREQVLKAKRAGEDSLKRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISRGISCADVADICVKALHDTTARNKSFDVCYEYVAEDGKELYELVAHLPDKANNYLTPALSVLEKNT >CAK8574145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665665332:665670449:-1 gene:gene-LATHSAT_LOCUS26518 transcript:rna-LATHSAT_LOCUS26518 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVGKSGKVLVSRQFVDMSRIRIEGLLAAFPKLIGTGKQHTNIETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSYSLDEEGICRHAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIGKSKIEKNRGDKGGFGPLTSMGSGRIENSFTDFSISSTGTGFGSSTDVDSFSTKPKGRPTASATAPPKGLGMKLGKSQKTNQFLESLKAEGEVILEDVQPKLGQSRTAAPPLTDPVTLTVEEKLNVTLKRDGGVGSFDVQGTLSLQILNQEDGHIQVQVQTGDNQAISFKTHPNMNKELFAHDYILGLKDPNRPFPTGQASDAAGVGLLKWRMESTDESMVPLTINCWPSSGNETNVSIEYEASSMFDMRNVVVSVPLPALREAPSVSQVDGEWRYDSKNSILEWSVLLIDNSNRSGSLEFVVPQADSSAFFPISVHFAATETFSDLKVTNIIPLKGGNPPKFAQRTQLITENYQVV >CAK8531014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69723692:69724891:-1 gene:gene-LATHSAT_LOCUS834 transcript:rna-LATHSAT_LOCUS834 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSETDDRNDAVSSDTLPDEITATNRQPLNSSTGTLTSPSPSSSDSTPLPSLPFEIIVEILTRVPVKFLMQFQSVCKSWKSLISDPNFAKQHLRVSTTRHHLLLTFGCPSREFVFTACPLSSVFTEVTATATQIEYPLNNRNSFDQIIDSCHGILCFALNQRFVLLWNPSIRKFTKLPSLDNPDRERSYTVYGFGYTHLSDSYKVVAVSRFCESETQVKVLTLGTNAWRRILDFPLGVTFYGSGKFVSGTVNWLASINSYSSWVIVSLDLEKESYQELLLPDYGGVTVVTLSLGVLQDCLCILSNSDTFSDVWLMTEYGNNYSWTKLFRIPYMGGVGFCPYNKTLYVSEDDKVLLKYQSSLVVYNARDGTLKTPEIKNINGWVVPEIYQESLISPCS >CAK8575970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:376281801:376283228:-1 gene:gene-LATHSAT_LOCUS28192 transcript:rna-LATHSAT_LOCUS28192 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPFIYVVPALYLCFLLWKLLDQKRDQECYILDYQCHKPTQDRMLGTEFCGKVIKRTENLGLDEYKFLLKAIVSSGIGEQTYAPRNVFEGREASPTIHDGISEMEDFFEDSIVKLLARSKISPWEIDVLVVNISMLSLLPSLSARIINRFKLRHDVKVYNLTGMGCSASLISLDIVQNIFKSQRNKLALLVTSESLSPNWYPGNNKSMILANCLFRSGGCAVLLTNKRSLKDKAILKLKCLVRTHHGARDESFGCCIQEEDEQGRRGMFLGKNLPKAATRAFVDNLRVISPKILPTRELFRFLLASLLEKLKTLSSCSKSCNVGGTKSTKKSPLNFKTGVDHFCLHTGGKSVIDGVGMSLDLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVLMISFGAGFKCNSCLWEVMKDLGNGYGNVWDDCVHDYPPHSLVNPFMEKYGWVNQLEDENNVELPDFLK >CAK8534285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712794610:712796666:-1 gene:gene-LATHSAT_LOCUS3841 transcript:rna-LATHSAT_LOCUS3841 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSSKSEYEALRNARMSENKARFESLGILNSVSKLREISALINKKRPYVKKDYGVTPVRRSQRIKNVADGTTTADNHQRSYSSFSYNVTPKQDLGEEGKRPANAPFVELNPKSVELFFLQETYARRCEYKGRGRCYSSFLGISCHFCGVKKLCGEEDCKRCGNCDVNEPCLGKTDCSVCHSRRGVFCRACLKIRYGEEIEEVRENKEWMCPHCIEEKGINPYWICNSSKCMRKQNLQPTELAMHKAREMGYESVAHLFMEQLKGGNYPKLDKSL >CAK8572675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554761190:554763934:1 gene:gene-LATHSAT_LOCUS25208 transcript:rna-LATHSAT_LOCUS25208 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAGVAPASGLRDVNAASSVIAADRLPDEILGMRIKDDKEMEASVVDGNSTEAGHVIVTTIGGKNGQPKQTISYMAERAVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVTLKHCFFSTTEKDELYLNLVLEYVPETVHRVIRHYSKMNQRMPLIYVKLYTYQICRALAYIHNCVGVSHRDIKPQNLLVNPHNHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLGELLLGQPLFPGASGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFRKRMPPEAVDLVSRLLQYSPNLRSTALEALVHPFFDELRDPNTRLPNGRHLPPLFNFKVNELKGVPAEMLVKLIPSHARKQSALFVS >CAK8570214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29214712:29218633:-1 gene:gene-LATHSAT_LOCUS22986 transcript:rna-LATHSAT_LOCUS22986 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESSSTFSVMKLLNQTVSEPHYLFHFLTFFSYIVIRCSASQVLAPHLIQILIRREIQTLLAFAVLAFIKGIREETWEAFIADALFIAKICLFVLTFTMDRRIAVWYILVFLVIHVLTQQPSSQGLGTCSKLTPLLLESLLTEGNTTKFWLVEFSASYSSACIRSSQQFPELSITYSSKLLSFGIVDLGLFPNAAEKFGVSLSGSMGQLPTYILFENAAEVSRFPELGSETTFFNPTITKGLLSRHFELDRHLLEYINGK >CAK8572067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506580971:506583805:-1 gene:gene-LATHSAT_LOCUS24672 transcript:rna-LATHSAT_LOCUS24672 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSPAAATGTLVQHGGATATNNNSLIQKSATFPHSHHKANNYLPNPFELHDSQILDKVYLTHVTDDQFCDTDIIFDLVSTLVLQTNTQIPVTSFKPDFPTLKLISCQMITTRSAAHCVHQTTLWILQNLRSYSWDAKALITLAAFTLEYGNYLHLTRVTATDPIGNSLRQLNQIQTRKISTDITELVSFIVHKLLHLKEWATWSAEGYDPEDVPALTEALQEIPVFVYWTIASIVASTGNLVGVSDYKLSEYRERLSGIVQKLVVHLNDCQLQISYVDDLFNRRKIFDKPKDIVDLLKALIHRDGTDSPQIFEGAIHVKTGLEIFRNKHVLVFISSLDSIEDEISLLNSIYERLQENSKESIKGFKKEDFKILWIPVVNNWDDIRRERFRALKSGIKWYAVEYFYELPGHRIITDPERIGYIGNPIIPVFNPHGLMANIDAMDLIFQWGIDAFPFRKSDGIDLTFKWKWLWDVIKKATPGLQVKRDRYIFIYGGTNSKWIQDFTLELEKIKRHETLKRADVIIENYQLGKDDPNRVPSFWIGVERKKQNKKHQEAVDCEIQDIVKSLFCLKRDPQGWIILSKGQNIKLLGHGEPAYQTLAEFQNWKDKLLEKEGFDIAFKEYYEVKAKELSGRQPCEVVNVDTSSSNVIATISCPNPMCGRVMEVTSVHYKCCHRDEPNNFGV >CAK8531739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144532605:144533771:1 gene:gene-LATHSAT_LOCUS1506 transcript:rna-LATHSAT_LOCUS1506 gene_biotype:protein_coding transcript_biotype:protein_coding MFKALLYPNDFAISTTSRILCNLHFPFSHKFLTTTTTSNPNSPSFAVSYFINNLKFSSESALKASKLVHFKTSKKPDSVLSFFRTHGFTDSDIHKIIQREPWLLSCDTQKRVLPKFQFLLSKGASTSDVVRIVVGNPRFMKSSLNNRVIPIYNLVTQFLQSDQKTVASIISCPSLLCSDYLDTNINMMVENGVCYSSIYRILRTRPNVIFCRPRLVEETVKELKNMGFDPSKSYFGDALIGKIGLSKSKWNERIDTFKSWGWSEETILEAIKRQPQCMLVSNDKINRVMNFWVNEMGWDSSYLVKGPGMFGYCLEKRIIPRAMVIFYLIAKGLRSEKASLLSPFYASEKLFLERYVLCFKEEESSHILKLYHAMMKLGDKKARGIEES >CAK8534226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707380425:707383761:1 gene:gene-LATHSAT_LOCUS3786 transcript:rna-LATHSAT_LOCUS3786 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERKEIIEEAKKQLWLAGPMIFVCVFQNSLQMISLMFVGHLNEELLLAGASLAISFVNVIGFNVMMGMSSALDTFCGQAYGAKQYHMVGIYTQRAMLATTLVSIPLSIILAYLKPILILLHQDKAIASQAQLFARYSIPSLSANGLLRCIVKFLQTQNIAFPMVFTSGLTTLLHVVLSWVFIIKFGLGIQGAAITICISNWINVLLLVVYIKMSSSCKDTWVGLSMESLHNIPQFLKLAFPSAVMVCLESWTFEIMVLLSGALSNPKLQTSVLSICVNINGMFWMIPFGVSVAGSTRISNELGAGCPNTAYLAVIVTLFMGLAFGVLEFGFLMSVWKVWGKAFSNVREVVSYVSSMTPIVAVAVFVDSFQTAFQGIARGCGWQKFGAYVNLGSFYLVGIPCSVVFAFVLHMKGQGLFLGLIIALIIQVVCFVIVTLRTDWDKEANKAAIRVGGNGVQVNALPLEEINVTDK >CAK8541446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:173893795:173894097:1 gene:gene-LATHSAT_LOCUS10366 transcript:rna-LATHSAT_LOCUS10366 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYICKNGHNKGRIFWRCPFWKSEETCDLFIWDEDLVGEDVNGVEHVENYKQKELETIELLRELYEGSKKKNMKLQEKSRSEAWAGKIKLFCFVVSFIK >CAK8579581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:709545896:709547076:1 gene:gene-LATHSAT_LOCUS31515 transcript:rna-LATHSAT_LOCUS31515 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDVHTHYSYQIMLPEAVAIVMAPTDSSRTHGIFRLTTPGGMSVIRQCQQRGFHPHNQPPDGGPIYDTCTDVFLNPDLKFDVIDLR >CAK8537395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:328293653:328294778:1 gene:gene-LATHSAT_LOCUS6688 transcript:rna-LATHSAT_LOCUS6688 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFQMILPISLVLAIILSGERTCESARVFTIVNYCKETLWPAVIPGENFNGGGFILKQGQSSVFNAPISWSGRIWARTGCNFDQNGDGQCQTGACGTTLKCGGAGKTPASLAEFTLANPDFYDVSLVDGFNVPISVKPINGKGNCSTAGCDSDLRSTCPKELSVKSNGKTIGCRSACDVFDTDEYCCRGNFGNPSTCRPTFYSKKFKEACPTSYSYAYDDPTSIFTCTGTDYVIAFCADRKKPMCTYHDHDLKCSGSQGLKLLIGSWLMGMFIMVFSVLGF >CAK8530634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36745020:36745502:1 gene:gene-LATHSAT_LOCUS484 transcript:rna-LATHSAT_LOCUS484 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSQSQTELPLNENDSQDMVIYQVLNEANALTNTFLPQRNHHHHQALSGLEPTKAITKKHYRGVRRRPWGKYAAEIRDSARQGTRIWLGTFQTAEEAAMAYDRAAFEMRGSKALLNFPAETVAAASMKMEFPVSKLNLNNPNPNPNPNHNDNHDSSQN >CAK8572666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554478948:554485351:1 gene:gene-LATHSAT_LOCUS25201 transcript:rna-LATHSAT_LOCUS25201 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLGAEGYNFYGVGGSSDLVSMGKRAREWNLNDWRWDGDLFIASRVNPVPADSLRVGQQFFPLGSGISVAGGSSKTSSSCSEEGDLENPKRSKEGERKRRVIVLEDDGLNEEAGALSLNLAGRASPVVEREIVSWDGMNGKKSKVAGGTSNRAVCQVKDCGADLSRGKDYHRRHKVCEMHSKASRALVGNAMQRFCQQCSRFHMLQEFDEGKRSCRRRLAGHNKRRRKTNNEVVPHGSPTNDDQTSSYLLISLLKILSNMHSDRSDQPTDHDLLTHLLRSLASQNDEQGSKNLSNLLQEQEILLREGDSSRKSEMVSALFSNGSQGSPTVIEQHQTVSMNKMQQEIMHTHDVRTVDHQLVSSIKPSISNSPPIYSEVRDISAQIKMNTFDLNDIYIDSDDGMEDLEILPVSTNIGTSSVDYPWTQQDSHQSSPPQTSGNSDSASAQSPTSSSGEAQSRTDRIVFKLFGKEPNEFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQAEAVWDDLCCDLTSSLSKLLDVSDNTFWRTGWVHFRVQHQMAFIFNGQVVIDTSLPFRSNSYSKIWTVSPIAVPASKRAQFSVKGVNLMRPATRLMCALEGNYLVCEDAHESTDQYSKELDELQCIQFSCSVPLTNGRGFIEIEDQGLSSSFFPFIVAEDDVCSEIRVLEPLLELSATDPDIDGTGKIKAKSQAMDFIHEMGWLLHRSQLKYRVVHLNSDLDLFPLERFMWLMEFSMDHDWCAVVKKLLNILLDKTVNKGNHPTLYQALTEMGLLHKAVRKNSKQLVELLLRYVPKNTSEELRPEVKALGDGVSLSFLFRPDAVGPAGLTPLHIAAGKDGSEDVLDALTNDPSMVGIEAWKNARDSTGSTPEDYARLRGHYTYIHLVQKKINKRQGAAHVVVEIPSSQTECNTNQKQKQIESLTGFEIGKAEVKRGQGHCKLCVTKISCRTAVGRSMVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYMFRPFRWESLDFGTS >CAK8566987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478109118:478110374:1 gene:gene-LATHSAT_LOCUS20080 transcript:rna-LATHSAT_LOCUS20080 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKVALVLLLVLLFTPPFVHSQEFYNSNMNPLDLKALLSIKTTLTEISRTSSFFSTWNLTARNPCSSFSGVTCYFNRVTILSLGTDSLQLAGSLPASISSLTELTQLILFPGIVTSSIPPQLAQLTKLRVISLPNNRFTGTIPSALSFLRNLHTLDLSHNQLAGSIPPGLTELPQLRILILASNSLTGYLPENVSSPLLHLDLKNNQLTGPLPTSIPSSLRYLSLSQNRMWGPLTNGLESLSELEFLDLSTNQFIGRIPAQLFFRPTLSSLFLQRNNLSGGLPQRPTDGEPSIGSSSYGQGSIVDLSHNSLSGELSTVFDGVESLFLNNNRLIGRVPEEYVNSVCRGSTRTLYLQHNYFTGIPLEKGTVMPDTASLCLLYNCMKPPAKLMTCPASAGEELSRPASQCSVLNNGDRD >CAK8561342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:158586414:158586746:1 gene:gene-LATHSAT_LOCUS14943 transcript:rna-LATHSAT_LOCUS14943 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHIDKCKSASTPLVVNEKSSKDDGDNSTNASIHISIIRSFLYLSAIRPNITFAASLLFRFMHSPIQVHLVATKRVLRYINGTTDYGLYFLKNEVDTFKDMWLVTGMKV >CAK8565315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:114574350:114575984:-1 gene:gene-LATHSAT_LOCUS18538 transcript:rna-LATHSAT_LOCUS18538 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEYGGQQNFHHKISVLLEFSAKDDVIAFRDALEKEDCDVDEIGLWYGKKIGSNKMSYEDRTPLMIASMFGSKDVVSYILETGHVDVNRACGSDKATALHCAVYGCSADAAKVIQLLLDASADLSSVDANGNRPIDLIVDMSDNMFGSRNTTLRAILEGEDDVEAGFQMGNQMAKQQQGGDPPQIKKKYYPTDISLPDINNEIYSTDEFRMFSFKVKPCSRVYPHEWMECPFVHPGETARRRDPKKYSYTCFPCPEFQKGSCNKGDTCEYAHGIFECWLHPAQYRTKLCKDEAGCTRRVCFFAHKPEELRPLFASTGSALPLPTSDSSSASSFYPFTVSSASALQSAWKPPLTPSVTSWHAARSEWQTQAAVPTFQMPRRSLKTAVNARDNTEIQELEDFFNRKLTIEEMPSHSPPSNWLAGVNPTNFEGIFRSQIPSLTAMQTHQNMNQQLWGNPADLINSNVIGSPQFRVDLSVYPKYDAFSKRSQSIASVNSELPSASSVAMEPSTTFPGWGSPDGKLDWSIKAGELNKMRKSYSSGFQN >CAK8577477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567403431:567412594:1 gene:gene-LATHSAT_LOCUS29581 transcript:rna-LATHSAT_LOCUS29581 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRRTIGSFPAIVSKMPGPSSNGTDMNHQSSLNHVQNSVDFRLSDYRGSSGETACLRGSAPNVSFNGWNTGEHSSGLNLSNQVNDDALISEYRLSSSCSAIAEDGQRPEERQLLGNQSRIHPSFLQGSSSNHTAQNISLGMGHIGNSSDRGKGKETGSGVNNNNPCGLDREKASLGSSSFNHTGASSASSAYMAWGDSGSSSSSLANWGPSCKRKTLEGSSMQLCTGGSSSSLVQSENGYWPTDSVDLNVPGSLGDLSPLDDFRVTSPPFQQNTRNEVRQEASNMFPSMIGAAENVERPLRNFDRRITHLQHPESVPLNLTSTGSARHHNYPSPHQTPGSLSFSESLDLRLTAGVTAANSAVPQTQSPSLHMHPFPWNRAANHRVARSSSSYNSGERAVREDFNLRIFPRDSTEHPMNVPASSGHEPAGWYTSSNNVNSAGGIPPPSWIGSSSNVHSLPNPSWTFNHEVPTENLQRVSEFNPWSLFPSISSASGAHNGHSPSTSSPLSFSQGSSSSQPYPRASYLMERRGDVLPAPHSLRTLPADNEGRRRLISEIRQVLIAMRRGESLRAEDYMLFDPFLYHGMAEMHDRHREMRLDVDNMSYEELLALGERIGDVSTGLNEDIIHKLMKQRFFTSLMTESSSDLEPCCICQEEYTDGQKIGSLDCGHEFHTNCIKQWLMQKNMCPICKTTALAT >CAK8560453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20084447:20084833:1 gene:gene-LATHSAT_LOCUS14122 transcript:rna-LATHSAT_LOCUS14122 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTYVSTNHGSSQRSLAMLLALVSAVVLSPLYVNSKSDRRYYESKWTSYGFVLPMILFGLIIAIKRTSSSSSYSMSSSSSRASLLPSHDPSLVLRIGSSSCGLACVLVMLMLVLYWQTSVQEFLWR >CAK8539330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509575725:509580776:-1 gene:gene-LATHSAT_LOCUS8439 transcript:rna-LATHSAT_LOCUS8439 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHYSLHSFPKPFPIASPSSYIFRSNTIFTLQSSSFSTSLLSSPPLRCNLNLPPRIRRDTKGFASSSVDSTAENSDCGCQLATPIVSSDGDNESIGIVKFMLFFAFLTLRDSYPAAAASDFATGLSSVPIFGDVGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAGVVFVGTFGALAAMTVISVALGRTFHYVDELLPFRFGQTDLPIDDIAAVCLLVYFGVSTLLDASSSDSQKSDDEQKEAELAVSEFSGNGAGIVAAASTIASTFLLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHGVATLIAVLGGTLLGTFLSEKVIAYIGGVLFLVFAAITVFEIVQ >CAK8535248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830390311:830391924:1 gene:gene-LATHSAT_LOCUS4721 transcript:rna-LATHSAT_LOCUS4721 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDAQIPTTFDPFAEANAEDAGAGSSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPEQGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >CAK8538338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478129548:478134353:-1 gene:gene-LATHSAT_LOCUS7550 transcript:rna-LATHSAT_LOCUS7550 gene_biotype:protein_coding transcript_biotype:protein_coding METTQEEPSPSNTHTPNSTNTDAQTNNNNHIDDEEDEDEEEEYDEIDDDDESNQQIPQSAESKLREHRFRLEAFSRRLTTELVPIRVHDVIINGNTKTKDWIIEAELNGIEKATTMQELMQASQIAISRLQGLDIFDSCNVKLEAGPGELPGTANVIVDVVETESKLSGGFGVYMKPSVSTWTSEGTVKYKNIFGYGDIWDASLAYGGSQATEVSLGVYAPRLKGVLTPLVARVFMLSQDWQEFSSYKEQLLGMSLDLISTKHQDLVYTLGWRTLTDPLQMASKSVRRQLGHGLLSSLKYTLKFDRRDSPIRPTKGYAFVSTTHFGGLIPDHRSSRFLRQEFDARLAVPFGFYNTALNLGISAGVVFPWGQGFRTKPSPLPERFYLGGDFSPVCTLGGPTTLWGFKTRGVGPAELRRQTRDESNDDSGDSSMHDFIGGDLAVTAFADLSFDLPIRWLREKGIHAHVFAGCGNAAKLTQSEYMHFSPRKFLDSFRLSVGCGIVIPTSFFRLEVNHFHILRKDEHDRGKTGFKFSFSAPM >CAK8544595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694683579:694685437:1 gene:gene-LATHSAT_LOCUS13255 transcript:rna-LATHSAT_LOCUS13255 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGKTKRIAIIGVSTFLLVAMVAVAAVSVSLSKKEAIEGTKDSHVSSSMKAVKSLCAPTDYKEECEESLTSQAGNTTDPRELIKIVFNITITKISKELENTQLLKDLEKDPRTSDAYDTCKELMHHSIEELKRSLERFSQFDINNIDRIFASLKVWLSGAITYQETCLDAFENTTGDAGQKMQEILKASMHMSSNGLSIINELSRVLTNMKPPSRLLLDESDVDPDVIGHGDFELPEWVEDRVGVRKLLKMTGRKLNAQLVVAKDGSGNCTTINEALTFVPKKNKRPFVIYIKEGVYSEYVEVPRNLTHVVFLGDGAKKSRITGNKNFIDGIGTFRTATVAVLGDFFVALGIGFENTAGAIKHQAVALRVQSDRSIFYKCRMDGYQDTLYAHTMRQFYRDCTISGTIDFVFGDAVAVLQNCTFVVRRPMENQQCIVTAQGRKEKTQPSGLVIQGGSIVSDPAYYPVRFDNKAYLARPWKNFSRTIFMDTYIGDLITPDGYMPWQTLTGITGTETCFYAEYNNRGPGADVSKRVKWTGVKTITSEGVTGFLPSRFFLGDDWIKVTSVPYYPGGATGSSRVPTH >CAK8537491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:373315734:373316045:1 gene:gene-LATHSAT_LOCUS6780 transcript:rna-LATHSAT_LOCUS6780 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8534120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696741988:696742160:-1 gene:gene-LATHSAT_LOCUS3688 transcript:rna-LATHSAT_LOCUS3688 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEASVRIFDDYIR >CAK8570808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118401971:118412392:1 gene:gene-LATHSAT_LOCUS23528 transcript:rna-LATHSAT_LOCUS23528 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLTYFVGSHVWVEDTDQAWIDGEILESNDNEITISFESGTKVVSKSANIYPKDPEFPPNGVEDMTRLAYLHEPGVLQNLQIRYTLNDIYTYTGNILIAVNPFQRLPHLYATSTMAKYKGAAFGEQSPHPFAIAGYAYRKMINEEKSQAILVSGESGAGKTESTKMLMHYLAYLGGRAAASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDHKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEDVERFKLGNPRTFHYLNQSNCYELDALDDSKEYLATRRAMEVVGISADETDAIFRIVAAVLHLGNIEFVKAVDEGMDSSKPKDEKSYFHLKTAAELLMCDVKSLEDSFCKRLMVTRGEAITKCLDPNSAALSRDALAKIVYSRLFDWIVDKINNSIGQDPTSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTLRDNKRFSKPKLSRTDFTINHYAGDVTYQTEHFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLHEEGTKSTKFSSIATQFKQQLQTLLETLNATEPHYIRCVKPNNLLKPGIFENNDVLQQLRCGGVMEAIRISCAGYPTRKNFDEFVQRFSIMEPKVLKSCPDEMTACKRLLDKANLRDYQIGKTKVFLRAGQMAELDACRAEVLGRSAIVIQKKARTYICEKQYRLLRFSAIELQRAIKGQLARRQYECLRREAASLVIQKQIRMYLSRSAYKTTYSKAVCIQTGMRGMAARNELRFRKRTHAATVIQSCHRRYLARTYFKKLKKATIAMQCSWRRTKARRELRKLKMAAKESKALEAAKIYLEKQVEELSECLETEKRMREAKTQENEKLQCALEEMELQFKETKAELIQEREAEKKLQCDLEEMELQFKETKAELIQEREGAKKLQCALEEMELQFKETKAELIQEGEAEKKLQCALEEMELQFQETKAELIQEREAAEKLQSALEAMELQFKETKAELIQEREAAKELAEQTPTILENNVADSEIDKLTAENEQLKELVNSLEKKAKQELSDNVTDNELVNKLTTENEQLKELVNSLEKKTKHEFPANFTENEAINMLTTENEQLKELVNSLEKKTKQELSDNVTDNELVNKLTTENEQLKELVNSLEKKTKHEIPANFTENEVINKLTTENEQLKELVNSLEKKTKQEIPANFTENEVINKLTTENEQLKELVSSLEKKTKEEFPASFTDNEVINKLREEKEHFKDQVSSLERKIDETEKKYEETSTISEERMNQIIETESKMIELKTNMQRLEEKLSDMETENQIFRKQALSTSSSKRIVLAAIPPLENGHPVPVKTLGSESVRRSHMERHHESVDALFKCVIKDLGFSEGKPVAAFTLYNCLLHWKSFEADKTSIFDRLIQLIGSAIEDQDNNTCMAYWLSNTSALFFHLQRCLRAPARKPPTPTGFFGRMTQGFRSSNSLSSSSFDVEHQVEAKYPALLFKQQLAAYVEKIYGIVRENMKKELSPLLSTCIEEHKTRNDDSQSAGSWIKIIECLNKFLNILKENYVPPILVQKVFNQTFQYINAEIFNSLLLHKECCTFKNGEYIKSGLAELELWCTEVAEEYAGSSLEELNHSKQAVRFLVAQEKDEISYDDLTNDICPVLSSQQLYRICTLTSDENENENSKRVSTDVTTRIKLLMTDDVSEDDKSFLLEDNSSLPIIVEEVSHSQRDKTIPKVKPPAELLESSAFQFLHDYS >CAK8570807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:118401971:118412392:1 gene:gene-LATHSAT_LOCUS23528 transcript:rna-LATHSAT_LOCUS23528-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLTYFVGSHVWVEDTDQAWIDGEILESNDNEITISFESGTKVVSKSANIYPKDPEFPPNGVEDMTRLAYLHEPGVLQNLQIRYTLNDIYTYTGNILIAVNPFQRLPHLYATSTMAKYKGAAFGEQSPHPFAIAGYAYRKMINEEKSQAILVSGESGAGKTESTKMLMHYLAYLGGRAAASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDHKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEDVERFKLGNPRTFHYLNQSNCYELDALDDSKEYLATRRAMEVVGISADETDAIFRIVAAVLHLGNIEFVKAVDEGMDSSKPKDEKSYFHLKTAAELLMCDVKSLEDSFCKRLMVTRGEAITKCLDPNSAALSRDALAKIVYSRLFDWIVDKINNSIGQDPTSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTLRDNKRFSKPKLSRTDFTINHYAGDVTYQTEHFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLHEEGTKSTKFSSIATQFKQQLQTLLETLNATEPHYIRCVKPNNLLKPGIFENNDVLQQLRCGGVMEAIRISCAGYPTRKNFDEFVQRFSIMEPKVLKSCPDEMTACKRLLDKANLRDYQIGKTKVFLRAGQMAELDACRAEVLGRSAIVIQKKARTYICEKQYRLLRFSAIELQRAIKGQLARRQYECLRREAASLVIQKQIRMYLSRSAYKTTYSKAVCIQTGMRGMAARNELRFRKRTHAATVIQSCHRRYLARTYFKKLKKATIAMQCSWRRTKARRELRKLKMAAKESKALEAAKIYLEKQVEELSECLETEKRMRTQENEKLQCALEEMELQFKETKAELIQEREAEKKLQCDLEEMELQFKETKAELIQEREGAKKLQCALEEMELQFKETKAELIQEGEAEKKLQCALEEMELQFQETKAELIQEREAAEKLQSALEAMELQFKETKAELIQEREAAKELAEQTPTILENNVADSEIDKLTAENEQLKELVNSLEKKAKQELSDNVTDNELVNKLTTENEQLKELVNSLEKKTKHEFPANFTENEAINMLTTENEQLKELVNSLEKKTKQELSDNVTDNELVNKLTTENEQLKELVNSLEKKTKHEIPANFTENEVINKLTTENEQLKELVNSLEKKTKQEIPANFTENEVINKLTTENEQLKELVSSLEKKTKEEFPASFTDNEVINKLREEKEHFKDQVSSLERKIDETEKKYEETSTISEERMNQIIETESKMIELKTNMQRLEEKLSDMETENQIFRKQALSTSSSKRIVLAAIPPLENGHPVPVKTLGSESVRRSHMERHHESVDALFKCVIKDLGFSEGKPVAAFTLYNCLLHWKSFEADKTSIFDRLIQLIGSAIEDQDNNTCMAYWLSNTSALFFHLQRCLRAPARKPPTPTGFFGRMTQGFRSSNSLSSSSFDVEHQVEAKYPALLFKQQLAAYVEKIYGIVRENMKKELSPLLSTCIEEHKTRNDDSQSAGSWIKIIECLNKFLNILKENYVPPILVQKVFNQTFQYINAEIFNSLLLHKECCTFKNGEYIKSGLAELELWCTEVAEEYAGSSLEELNHSKQAVRFLVAQEKDEISYDDLTNDICPVLSSQQLYRICTLTSDENENENSKRVSTDVTTRIKLLMTDDVSEDDKSFLLEDNSSLPIIVEEVSHSQRDKTIPKVKPPAELLESSAFQFLHDYS >CAK8567545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523762575:523764422:-1 gene:gene-LATHSAT_LOCUS20590 transcript:rna-LATHSAT_LOCUS20590 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMLFLLVTFKIIKKKLIKLRERMGFQTTHNTKKSKDDSNNAHEQHHSLIPKALNLTHHTLTTNNAKFIHSRYDSWLETHPSALRLFDRLMKGVNEKRIVIFLDYDGTLSPIVNDPDSAFMSDEMRAAVSEVATYFPTAIISGRGREKVKDFVKLNNLYYAGSHGMDIMAPSVPINQCDITTLHTNGNGVPFQPAKKFLPAIQEILKRLESAIKSIEGATIEDNQFCISVHFRQVHEKDYNVLEEKVKSVLEKYPLFCMTEGKKVMEIRPSIKWNKGNAIEYFLDSLGLSNSNNFLPLYIGDDKTDEDAFEVIRSRGQGYPIIVSSTPRETCALYSLRDPSEVLIFLSRLAKWRKRF >CAK8576572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493683592:493685346:-1 gene:gene-LATHSAT_LOCUS28748 transcript:rna-LATHSAT_LOCUS28748 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSILSDRLRVNGSLARRAIRDLMAKGLIRLVSAHASQQIYTRATNT >CAK8560346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15210677:15211119:1 gene:gene-LATHSAT_LOCUS14026 transcript:rna-LATHSAT_LOCUS14026 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFFCLILTLHIVSLLAKRPFLSSHGISHSAQEKVPQSSPSYTIESKKLKGIMGKEGMMKKREEENDREVSKIGSSPPSCEHKCYDCYPCEAIQVPSTSNHFGIMYANYEPESWKCKCGPSLYSP >CAK8559996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3592222:3604557:-1 gene:gene-LATHSAT_LOCUS13720 transcript:rna-LATHSAT_LOCUS13720-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQNSQPDELTTPLITQAQPQEDRLTNDGLIPQIFSSVPALSDAASYLSQTTSFLAGCFSDYSVEHSPRQSGASDIHAQELVAFSSPETEASSSTDINHINSNGKNLTSIESSCTSTSTPLLTHGENTRISGRDPLQNASTSTLVESNNTGRSGISIFRSLIDRARRTVRGSADDIGWLQHAQGMPPVEDGTERFQEILDSIKHGVHRLPNSMVYLLIPGLFSNHGPLYFVSTKVSFSKLGLACHIAKIHSEASVEKNAREIKEYVEEIYWGSNKRVLLLGHSKGGVDAAAALSLYWPELKDKVAGLVLAQSPYGGTPIASDLLREGQLGDYVNVRKLTEILICKIIKGDMRALEDLTYERRREFLEKHHLPEEVPVVSFHTEAGISPAVLATLSHVAHAELPLVASAGESTKLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCRDAEVPGSIVVRPKRKLDHAWMVYSSLNDDLTEGNASQVCEALLTLLVEVGQKKRHELAMKDE >CAK8559997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:3592222:3604557:-1 gene:gene-LATHSAT_LOCUS13720 transcript:rna-LATHSAT_LOCUS13720 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQNSQPDELTTPLIAQPQEDRLTNDGLIPQIFSSVPALSDAASYLSQTTSFLAGCFSDYSVEHSPRQSGASDIHAQELVAFSSPETEASSSTDINHINSNGKNLTSIESSCTSTSTPLLTHGENTRISGRDPLQNASTSTLVESNNTGRSGISIFRSLIDRARRTVRGSADDIGWLQHAQGMPPVEDGTERFQEILDSIKHGVHRLPNSMVYLLIPGLFSNHGPLYFVSTKVSFSKLGLACHIAKIHSEASVEKNAREIKEYVEEIYWGSNKRVLLLGHSKGGVDAAAALSLYWPELKDKVAGLVLAQSPYGGTPIASDLLREGQLGDYVNVRKLTEILICKIIKGDMRALEDLTYERRREFLEKHHLPEEVPVVSFHTEAGISPAVLATLSHVAHAELPLVASAGESTKLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCRDAEVPGSIVVRPKRKLDHAWMVYSSLNDDLTEGNASQVCEALLTLLVEVGQKKRHELAMKDE >CAK8574227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671050216:671051865:-1 gene:gene-LATHSAT_LOCUS26588 transcript:rna-LATHSAT_LOCUS26588 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSLSSKTANINGTLLNLLGKCRSMLELKKLHAIGISFGLSHEDSFIYKILSFSALSNSGDIDYSYRVFSQLSSPTIFSWNTIIRGYSNSNKPIHSLFIFLKMLRHGVAPDYLTYPFLMKASARLLKQESGVSVHAQIIKTGHESDRFIQNSLIHMYASCGNITWAHKVFDNMQGKNLVSWNSMLDGYAKCGEMASAQKVFESMQERDVRSWSSLIDGYVKAGEYREAMAIFEKMRAVGPKANEVTMVSVLSACAHLGALEKGKMMHKYIVDNCLPMTMVLQTSLVDMYAKCGAIEEALFLFRGISKSQTDVFIWNAMIGGLATHGLVEESLKLFKEMQMVGIRSDEITYLCLLAACAHGGQVKEAWYFFESLVKCGMTPKSEHYACMVDVLARAGQLTNAYQFICQMPIEPTASMLGALFSGCINHRNLDLAETVGRKLIELDPNNDGRYIGLSNVYAVVKRWDDAKSMREAMERRGVKKSPGFSFVEISGILHRFIAHDKTHPDSDETYSMLHFVVGQMKHGCHQDNQEDNLLNDTSIEDDLILF >CAK8544506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689273808:689274054:1 gene:gene-LATHSAT_LOCUS13178 transcript:rna-LATHSAT_LOCUS13178 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATFVDIILAIILPPLGVFLKFGCEVEFWICLVLTLFGYLPGILYAIYIITK >CAK8531468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112574225:112576447:-1 gene:gene-LATHSAT_LOCUS1258 transcript:rna-LATHSAT_LOCUS1258 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVWFIFGAIAGALLVLRSLLKNVNWFLYESKLGDKQYSLPPGDMGWPIVGNMWSFLRAFKSSKPDSFMDSIIKRFGNTGIYKVFMFGFPSVIVTTPEGCKKVLTDDENFEPGWPQSTVELIGEKSFIKMPFEEHRRLRRLTSASINGYEALSVYLKYIEEIVISSLEKWTQMGEIEFLTQMRKLTFKIIIHIFLGSESEPVMEALEREYTVLNLGVRAMRINIPGFAFHKSLKARKNLVAIFQSIVDKRREERRGKEPSPGKKAKDMMDSLIDAVDENGRKLGDDEIIDIMLMYLNAGHESSGHITMWATYFLQKNPEIFRKAKEEQVEMLKRRPPSQKGLKLEEVRKMEYLSKVIDETMRIVTFSLMVFRQARKDVNVNGYLIPKGWRVLTWFRSVHFDSEIYPDPREFNPENFSVVRKACEFLPFGAGTRLCPGNDLAKLEISVFLHHFLLKYELEQLNPKSPIRFLPHTRPLDNCLARIKKQATA >CAK8571819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479188937:479189356:-1 gene:gene-LATHSAT_LOCUS24447 transcript:rna-LATHSAT_LOCUS24447 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIIDLWTVKERNDQLHIQLIIQDAKGHKIQVITHPRDYKHWVDVLTEHESCTLYNGEPLKNDLPFKACENNLKLMFTTATTMRKHLNKDIPPHQYAFLLCCSLLHWD >CAK8562567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510744136:510744636:1 gene:gene-LATHSAT_LOCUS16055 transcript:rna-LATHSAT_LOCUS16055 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDHHVICISLFFLVMSSCILQGLVIEARKTPKQSGFHKTLGDEKMFLRAQIGSRPPKCDRRCRTCGHCEAIQVPTNPQVQNGKINSSKFNSVAYSRGKDNSNYKPMSWKCKCGSLIFNP >CAK8562259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462912373:462914381:-1 gene:gene-LATHSAT_LOCUS15771 transcript:rna-LATHSAT_LOCUS15771 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNPSFKIILGSSSQARKQILAEMGYEFTIMTADIDEKSIRREKPEDLVVTLAEAKADAIVQRLLTDGPLEADASTTLLITADTVVVYRGTIREKPTSEKEAREFVKGYSGSHAAVVGSVVVTNLVTGKRYGGWESAEVYFLEIPDEVIDNLIDDGVTFNVAGGLMLEHPLTLPFVDAVVGSADTVMGLSKALTEKLIKEAL >CAK8538591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488140545:488140844:-1 gene:gene-LATHSAT_LOCUS7772 transcript:rna-LATHSAT_LOCUS7772 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLRNLNNTRKSSKVADENMFQQGNNVVEGRGIRRQHHGWGNIVFSILQAPISILSCVSHPQVNGSDGVWVSGGEFSQISEMNHLMVNDSMRYAILM >CAK8575459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:125963323:125963544:1 gene:gene-LATHSAT_LOCUS27720 transcript:rna-LATHSAT_LOCUS27720 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKLWIDVLSDNRNPAKGLAMEYVAPNIGNDTMEIKIEQDYIESEMWFWDNTLILYVMGEDLSMNTVKNFM >CAK8576047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:391972432:391972635:1 gene:gene-LATHSAT_LOCUS28265 transcript:rna-LATHSAT_LOCUS28265 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCWFHKFKSNKDKMQSSKNKETISVVKERLKPPTNEEASSNVTQQKVAAAKQYIENHYKKQMKNL >CAK8577848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594027163:594031921:1 gene:gene-LATHSAT_LOCUS29917 transcript:rna-LATHSAT_LOCUS29917 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAKYLSCLLKPQNHRLKELNGLLLNCLVIFLFIFSFCSCSTDTISIHKPLRDDGQLLVSKSKTFALGFFTPGKSTSRYVGIWYYNLPNQTVVWVANRDTPINDTSGILSIDPSGNLVLHHNLSTIPIWSTNVSLTQSQINSTNVVAQLLDIANFVLMLNNTKTVIWESFDHPTDTMLPYLRVGFDRKTNQSWFLQSWKTDDDPGKGAFTVKFSTIGTPQFFMYNHDLPRWRGGPLNGALLAGIPNAKRARTIFNVSFVGDDNSVAISFNMIDKSALTRTIVEQSGFIQTLTWDNQKSQWNQFYIEPTNQCDNYGTCGSNSNCDPLNFEDFKCSCLPGFEPKFQRDWYENGDGSGGCVRKKGASVCGNGEGFVKVVGLKVPDTSVAVAKGSLSLEECEKECLRNCSCTAYATANVRNGGSGCVAWHGDLMDIQKLSDQGQDLFLRVDKVELANYYKKSKGHFEKKRLLAAILIASFVAIVLLLSCLYFMRKKKRNEKIMRQLNQDSSGEENGAPHPNLPFFNFKTIMTATRNCGHENKLGQGGFGSVYKGCLVNGQEIAVKRLSKDSGQGKEEFKNEVTLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDLNQRSSLDWGKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFAEDEIQARTKRLVGTYGYMAPEYAMEGLYSTKSDVFSYGVLLLEIIAGQRNSHREKERSSPNLIGHVWTLWTEESALDIVDPALNQFYPSAIVLRCIKIGLLCVQENAVNRPSMLEVVFMLSNETPLIPPQKPAFLFNGNQDLQESSTSGGGFSINELTETTISAR >CAK8530476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23477620:23477811:-1 gene:gene-LATHSAT_LOCUS337 transcript:rna-LATHSAT_LOCUS337 gene_biotype:protein_coding transcript_biotype:protein_coding MQGESGTALTAMNINGGGRTICDRPIPKRGQVKLGIVLGIANSVVFMLTPSCITAPAPVQLLL >CAK8575532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:154911865:154913874:-1 gene:gene-LATHSAT_LOCUS27789 transcript:rna-LATHSAT_LOCUS27789 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPSFLSPNLSFKFKPSFSFPSQQFPTTSKFQPSKPQFTRNLIGFSPKPLRFTPLKRFAVNEDASVVSGLDGSEKEARESSTMPGRFRDHIKEAPDPPPKWPWFVAMAFLVYAWRAVLFELSNWKNAAFGVVQFIGYAFKYVFALVYRFIGNPITFTIRSIEDLIYGIQAFYSWIITSAPVPDLTIVIFLASVVLAIAETTVPNCISNQPYVLTITGLIGYAAVRGVISEPLFWTLLVGIYGFSKFFKRRDDVSSAMPVAAVLAAIGEPWVRFVVIISYTALAIYQYSKMLVEGNEVEEIERQGKKLPIPLFLAALAIGLRVAAKWAGYRHLTWMIV >CAK8535256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830987984:830988445:-1 gene:gene-LATHSAT_LOCUS4729 transcript:rna-LATHSAT_LOCUS4729 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQFDLELEQMDLKTAFLYSDLDETILMRQPEGYVERGKKDCVCKLNRFLYGLKQSPRQWNKRFDKFMAHISFIRSQFDHCVYFRFRPDNSLVILLLYVDDILIVSNNVEEVMRVKVELNKEFDMKDMGDVSRILGIDIQRDRKQSKLCLSQ >CAK8537098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:91967211:91967774:-1 gene:gene-LATHSAT_LOCUS6413 transcript:rna-LATHSAT_LOCUS6413 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLIFLDISFNMLKSSVIFHWLFNFTINLHRLHLSNNMLQGPIPNHFGNRLNSLKYPDLSYNQLQGDIQTSFGNISTLQFLSLSENELYGKIPKSIGLLSMLERLILNKNSLEGEIDESHFASLSNLKRDR >CAK8538826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497149186:497150809:1 gene:gene-LATHSAT_LOCUS7982 transcript:rna-LATHSAT_LOCUS7982 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVFFVCFFVFIIFSYGFSMAQMVPAVYVFGDSLVDVGNNNYLPLSIAKANHRHYGVDFLNQKPTGRFSNGKNAADFIGEKLGLATSPPYLSLISKANKNENNVSFMNGVSFASAGAGIFDGTDQRYRQSLPLGKQVEHYSNVYEELTRQVGASALQKHLSKSIFVVVIGSNDIFGYFESSELRKKNTPQQYVDSMVFSLKLQLQRLYDNGGHKFEIAGVGVVGCCPAFRLKNQTECVVETNYWSVEYNKGLQSMLKEWQSKNEGIIYSYFDTYAAMSDLIQNPTVHGFTDVKAACCGLGELNARAPCLPVSKLCPTRQDHVFWDQYHPTEAASQIFVDRIFDGPSTYTSPINMRQLVAA >CAK8560540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24316844:24319994:1 gene:gene-LATHSAT_LOCUS14204 transcript:rna-LATHSAT_LOCUS14204 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAHRAWMYNRRTVGRKGFTPEFLQGLKEFLNFACQQPQYLNEGVISCPCKLCKNERRLTPKGVNAHVRQKGFTPRYWYWTSHGEEVPQMNFDVDMDSNAIPYSSQEDIGFDDADLNDQNFVQNVGVPPNVEATEMYDMLNSTHQPLQPGYRNTSNLSAAITMMNLQSKYNMSQDCFNDVLKLMGESNHNGNVIPSNSRDTERTVQPAKMSSKKKRAETHAPLIIPSKSSNTKKTLQPTKMLRKKKSAQTRDPLARPSDTLQTFSNQATQPPSRTKPRTKLKRPPPTKPLNFSTQPQPSQAHPQLRPRPQPTPPTQPQLLPRPQPTPSTQPQHRPRPQPTPSTKPQHRPRPQPIPSTQPQHRPQPQPTPPTQPQLRMQPQPTPPRPQPQPVTPQSEPNPVISPTIPAQVLQWQEFSTVNLNQNKIPILPEGDGFDQHTLVVKAIGSIIRTYLAEGIPSWKQIPKKQRDSWFDIFKSMFTWPPEHKDLVRRNFEKRGSAKMIQLMQDARRNSDHKPIWMEECVWAQLKAHWESSKYKTISEINKRNSESMADASLHTGGSIPHRLHWKRMKEANGTDPSMAEFYFRTHRKKDQSWVGPSAESAYKKFEQRKLELSSHLDSGENSGDNQQPIGMPSDLDIWVDSIGHKKGRVFGLGSVTKTLVPSVRPPGNSGDVNALRSQIHALNESLHKQEQEKLEMKQELTETRKQVAALMQHLGFAGSSSRPFSVSQNSNDTDNGDDGTDDDDGGDDME >CAK8533796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660833179:660834530:-1 gene:gene-LATHSAT_LOCUS3393 transcript:rna-LATHSAT_LOCUS3393 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLASSSSISLQPNMLLLPTTSIPSIRPIKTNYIKMKTNRFAASAVAVAEDSVSSESFPSLETPPQSQSQKLGVVVKPTYKPKLVLKFIWMEKNIGIALDQMIPGYGTIPLSPYYFWPRKDAWEELKELLESKPWISQKQMIILLNQATDIINLWQQSGGNFSS >CAK8561942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415693515:415707052:1 gene:gene-LATHSAT_LOCUS15487 transcript:rna-LATHSAT_LOCUS15487 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKSFVKKTKGGKVMKQVREHYLRDDIYCGAPSCTVCDSSGARLSDSASTILVVDTNVVLNQIDLLENSAIDDVVVLSIVLEEVKNKNMSVYNRLRAICSNSNRKFFVFSNEYHRDTYVKEMSGETKNDRNDRAIRVAAQWYQKHLGGAVKVLLVTNDKENKRKASEEGICAETVESYVKSLDRPDLLDLLVRPSSEDVEMEDVEDHRPSKRKVIYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRSNMNRAFDGDIVAVELLPEDQWQGEKSLSIVGEEDEDEDEDVHLAPNSADDAPRTTPQQGSTGVINAQSSRPSGRIVGIIKRNWHSYCGSLEPMPMPGGSRGVAYALFVSKDRRFPKIRIQTRQLENLLDKRIMVSVDSWDRQSRYPSGHYVRTIGEIGDRDTESEVVLIENDINSRPFSAQVLACLPPLPWSVSSEDLSIPFRQDLRHLRVFSVDPPGCKDIDDALHCYTLPNGNFEVGVHIADVTNFVFPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDVCSLRSDVERLAFSVIWEMTPEADIISTRYTKSVIKSAAALSYVEAQARMDDSRLMDPVTTDLRNMNSLAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAQQILKSFPLCSLLRRHPTPTKEMLEPLLRVSAAIGLNLDVSSSKALADSLDLAVGGDPYFNKLIRILATRCMSQAVYFCSGDLSPPEYHHYGLATPLYTHFTSPIRRYADVIVHRLLAASIGISKLPSVFQDRLQLTSTADNLNYRHRNAQMAGRASVELHTLIYFRKRPTDTEARIVKIRSNGFFVFVPKYGIEGPVYLTTRAEKGSGEWYVDEQEQKIKKMDGSISYSILQTVQIHMEVVEPQPNRPKLQLTLI >CAK8540121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540484154:540485623:-1 gene:gene-LATHSAT_LOCUS9154 transcript:rna-LATHSAT_LOCUS9154 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNYCSSQVVAIFGEYSMEDQNDDTLGNLNQNIVGKPPRDHSVSMRHCTSSSWLADSESNINTDGIKSNTEDKSEFPLVLRSGSCSEKGPKQYMEDEFVCVDTLLECNCIAQQLDLPSPSAFYGIFDGHGGVDAASFIKKNMFNFIIEDSQFQSSIKKAVKSAFVKADHAFRDAGTLDSSSGTTALIALVLGKAVLVANAGDSRAVLGKRGRAVELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARAIGDWHIKGSKGSKSPLSCEPELEEIVLTEEDEFLILGCDGLWDVMSSQCAVTMVRKELVQHNDPNICAKVLVTEALQRNSCDNLTVVVVCFSKDPPSKIEIPRSHRRRSISAEGLDLLKGVLNGR >CAK8536094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:906439506:906441837:-1 gene:gene-LATHSAT_LOCUS5494 transcript:rna-LATHSAT_LOCUS5494 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSAQIKAETPYNTGLNSKNSASAGNDLSSSNSRVSAASVPQTPRSEGEILQSSKLKSYTLAELKSATRNFRPDSVLGEGGFGSVFKGWIDENSLSAAKPGTGIVIAVKRLNLESCQGHREWLAEVNYLGQFSHPHLVKLIGYCLEDEHRLLAYEFMPRGSLENHLFRRGSYFQPLSWSLRVKVALDAAKGLAFLHSAENKVIYRDFKTSNVLLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGKRAVDKNRPSGQHNLVEWAKPYLANKRKIFNVIDSRLEGQYSADESFKVATLALRCLSTESKYRPNMDEVVNILEQLKVPNVNVGNQKRHRRKSADDVSHAKNNTSRASSKSHNAYPRISLSPLYT >CAK8532518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:276043302:276044637:-1 gene:gene-LATHSAT_LOCUS2216 transcript:rna-LATHSAT_LOCUS2216 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSQVGVVFSPKPRFGETKNGFLGTNFNNNCSFGRRVRSVVVVKAEAGGVSSINPDVRKNEEKVVDAVVVNELSKPVTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >CAK8568095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573148879:573149316:1 gene:gene-LATHSAT_LOCUS21091 transcript:rna-LATHSAT_LOCUS21091 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKGKFKKNVILKKWQSFGDGGGNSNMRSPILNKGSSKSCSEKVPKGCFSVCVGSERQRFIVKTKLVAHPLFKMLLDEAEVEFGFQNDGPIRLPCNVDLFYKVLAEMNNFDEEVSKINDGSCRSFNKAKRFSLFCSSKPKLYE >CAK8531277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95378875:95379495:-1 gene:gene-LATHSAT_LOCUS1080 transcript:rna-LATHSAT_LOCUS1080 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIEKGLNKKQLLKRTLQFALSISAFSIFLCYYSSGFFINTQTLNLYFFYTCFFTFFTHTLERKYMFLICNGILAVLAKNLFIITATSSDSESLPIVSFESLEEVGDVMVDEYYEEKVEVEEHKEGSLYIQNEGIDEEGGTETEDVANVFVQDDDDDDEEEVEETTLTTNEELVNTEELDRKFEEFIRKMKEEMKIEAQTHLTAV >CAK8532314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246050144:246050839:-1 gene:gene-LATHSAT_LOCUS2035 transcript:rna-LATHSAT_LOCUS2035 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVLTDGCNKGMTDEVAKANTHNVMQFDRERFCFMVHEKINYNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPELFIVLNVFKVCKERFLGLPHEENWPKYEGFTLCHDNSMRRNKKGHPTSSRIRTETDDAEKEKRRCGICREIGHMHRKCPNVAGPSNRLKR >CAK8537523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:384771361:384771717:1 gene:gene-LATHSAT_LOCUS6812 transcript:rna-LATHSAT_LOCUS6812 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDNNLESCLEHSRCRVVLAAAGPKITCHRRSLNLTTLSFKIGAPITPSPSE >CAK8539019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501800761:501801433:-1 gene:gene-LATHSAT_LOCUS8158 transcript:rna-LATHSAT_LOCUS8158 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSNARMISARNEVYVAAMPLRATKGPPQLLMSAAYSLNVWNLQHFMVIIKPSSSSQVLVFDFQPKDPENIYVALSALFGRAVPGAVLVRKLNKLPRRKCWLVGYAETDAVEMANEFNRKYETDLRIGLNDCRDYTNGLVRELTGEKDVLKRLRNVGR >CAK8538041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459909948:459910464:-1 gene:gene-LATHSAT_LOCUS7282 transcript:rna-LATHSAT_LOCUS7282 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIFQYPFRNFFLDHPPILKEYYGSTALLDWIESPTAHILKINVPGFKKDEIKVQIEEGNVLHLRGESLKEENHGKEIVWHIAERGNGKQDFSRMVELPEDVKLDEIKAHIENGVLTVIVPKDSSQKVRNININSRL >CAK8577025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532234604:532236399:-1 gene:gene-LATHSAT_LOCUS29169 transcript:rna-LATHSAT_LOCUS29169 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFYEEPVSPHGQYFNSSVICSYIFGFLELAISSDDSLAIPLIKDVFLPVNPRFSSIMVKDKDGKMRWKKVEVKPEEHVKIPSFPETTNSSPIEFYDDYLSDYVTSILTERTPQDKPLWEIHVINYPTTSAASTIIFKLHHALGDGYSLMAALLSCLQRADDPSLPLSFPSRPQVDSKYEDTNLFKKLCFGVSSFFSSISDFGSSIIKTRMIPDDITPLRSGYEGIECQPFILSNISFSLDQIKEIKSKLEVTINDVICGMIFYGLRLYMEEMNEKTKRANSTAIVMLNTRNIGGYQSLKEMQKPENKGLWGNKLSFLQIPIPKLNQSGISNPLEFVWEAREVIKRKKSSFSVYLIGLLMDLEMKLRGPEAVSKIVYDTIGNTSVIISNMVGPMEKMTLANHPVNGLYFTVTGGPPEINITIMSYVKMLRVTMITLKGFIDEQKLKFCMEKAFDVIFKASMEISEISTKD >CAK8541948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:440930552:440931066:-1 gene:gene-LATHSAT_LOCUS10834 transcript:rna-LATHSAT_LOCUS10834 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSFIAIDVYAKLVFSILKGSSKLFLLSKILAVTVRFIVKDAEEKKISFNPRPFSRLFINWLLDLGSLEPVTDGANLQVFVTFIYPSLLSFYFGYKHGVKAFSPLVYILEWS >CAK8539390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511180958:511183363:1 gene:gene-LATHSAT_LOCUS8490 transcript:rna-LATHSAT_LOCUS8490 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVKKELKDFEFESPHRDLLHLCLSSPNCNSDTCFLESTPVTGNRKSQISFTKRLWTEEEDNLLIETVKKHDGSNWKNIAACLPGRTDVQCFRRWQKVSNPDLVKRTWTKEEDESLIKLVRKYGFKRWSFIAKSMPGRIGKQCRERWHNHLDPTIKKDSWSEEEELILTHYYQIHGSKWSEIARVLPGRSDNAIKNHWNCSMKKKLSASPIRCDMNNVSTSSFCIPSIKPSHDLVKAEDQSLIGIVSPKQSHWLKHSADNSFCFATPRDYEMETVMSCKDHDNPKLFATNMNCFPSSGTVTDESYNSPKWQKVSFTDSKFDAGNESDSSHLSYLKLVNHEKKVYIGRENNSESDVSPECVLRNLALTYENIPSIIRKRTSRKECNATNYDKSQTPSRIGLR >CAK8568949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659082714:659087556:1 gene:gene-LATHSAT_LOCUS21856 transcript:rna-LATHSAT_LOCUS21856 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLLTPPNTPLLSYNSTLIPTRISQSRHHAPQRSSHSFRISCSTASDMDTSSGQGILYPLHRCKTLHLVRHAQGFHNVEGDKDPKAYLSYDLFDASLTPVGWKQVDNLREHVKGSGLSERIELVIVSPLLRTMQTAVGVFGGGEYTDVNGAIPLMNHNVGNGSRSAISSQNSPPFTAVELCREHLGVHPCDKRRDITEYKNMFPAIDFSLVESDDDILWKPDIREKNEEVAARGLKFLEWLWTRKEKEIAVVTHSGFLFHTLSAFGNDCHPNVKSEICTHFANCELRSVVIIDRGMIGSNQSSTNYPGKIPDGLDLPSDVADEKHSASEPTK >CAK8565445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:213225261:213225980:-1 gene:gene-LATHSAT_LOCUS18662 transcript:rna-LATHSAT_LOCUS18662 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTVLTLLGGLLIGGLILYRQLVEDLLANGLMAKMYLHLLEDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISGHSTAKIEELLLEDQNVKRRRERYQKQSSLLSKLTRQMSIHDNRAAAASNWSNGNAESSPRSSEPGDDWRSAFDAVSNGPVGRSGSTRSRSNGHSRHNSDLAQNGDMNSGPNSGSRRTSNRLPPAPPGSSSGYKY >CAK8564123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652967762:652969426:-1 gene:gene-LATHSAT_LOCUS17457 transcript:rna-LATHSAT_LOCUS17457 gene_biotype:protein_coding transcript_biotype:protein_coding MSINTESSPPPPVIGKIGPYTVFMTPPSTPKPSSSEPATTPHSPNINNVNNHAKILPPPPQIHNPIPSSKTLSSDASSFLGFFKNAVNKVQTAHSSLDEHLARWFGLNQSKYQWALDDYYETNGTAKGDVKVKEVSSKVQSV >CAK8567196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493878694:493883611:-1 gene:gene-LATHSAT_LOCUS20267 transcript:rna-LATHSAT_LOCUS20267 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAMRYSPGKEARGDGHKRRHSLESGILLRGNDDDLALFNEMQTRERDSFLLQSSDDLEDSFATRLRHLSDVNVGISIPSRRGTSDLLNIDGDKDDYNWLLTPPDTPLFPSMDEDPPFTNVASRGRQSKPISISRSSTMEKSRRSSRGSASPNRLSPSPRSGTNTSQAGGRPSSLPNYSPTSSSLRYATPTRRSSPPPNKPITPASRSSTFTPRRLSTGSSGSIVSSGVRGNSPVKTTRGNSSSPKIRAWQTNIPGFSSEAPPNLRTSLADRPATYVRGSSPASRSGRESTPKFSRQSMSPTASRSSSSIHSHDRDPFSSRSKGSVASSGDDDIDSLHSIPVGNIDKLSSRRDGSISTNRTPAISKKSPRMMSPNSAPKKSFDSAFRQMDRKSPQNMFRPLLSSVPSTTLYAGNANSAHRSLVSRNSTTATSSNATSERVTTFALDTEGIDHSQDDMASETDKMLYSDLHEEVFAFDKIDGLDANIEHEINKESVDLLQNQNKVPNTVFGPTDAEGNESSETSHVRDVISETGSFENTAICSQCGCCYQVINQTEENIELCPQCSRKTALLRANLPESVLAVSEGSSAISTNTPKEENSLAETGQLRTASELPQFPLGEHGYDESQTSCSELNRVHSQNSSNPSSLREGGEHMPTNCLEMNQSGVDYNKRSDEIGDQLLDHYSDHPDMDMDPMEGTGISVLLKRSSSNKGPIVRARTFTATTISYDDLSLSRDSLNSIRSSTRPGSYSASSSTDFSSTKQTEFRIHRQLSGRKLDADCGHDLRIKPPSTAGSSFSITSNHSHHEVGLANRETSGNTECSPVEEIPQVLHEYQASENITTDLIQASPIDSTFVEEEKLENDDCSRGNNACSSELLSHTTGVQPDDNLVTSVPDTGDSISYENVEDHSDNARSVSNTEMPVRTPESSCHENLDVQSYDVNDLNASVIVNCSTITESEIEGEDIDLASKRALDDLQEVSARNPSNDCHTASVSELNAPESHGTEESTVTVECLGAGNTRSLTLEEATDTILFCSSIIHDLAYQAATIAMENECSDPFEGSEPTVTLLGKPIPDRKDIRRRPVSKRTVKTPKSRPKSVETGVKIVAGKTENNENIDESFTNNVGLPNKVDNSMKPPKLESKCNCIIM >CAK8565363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:152225000:152225529:1 gene:gene-LATHSAT_LOCUS18584 transcript:rna-LATHSAT_LOCUS18584 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSQGLTDASKIVILNANYMEKRLESYYPVLFRGVNGIVAHEFIIDLRGFKNTTGIEPEDVAKRLMDYVFHGPTMSWPVAGTLMIEPTESENLGCYGSADSYVRRLNSEVNIHM >CAK8541540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234878741:234879802:1 gene:gene-LATHSAT_LOCUS10455 transcript:rna-LATHSAT_LOCUS10455 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIHEPISGSLLYGNNIISGAIIPTYAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFIIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8542210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489725048:489725566:-1 gene:gene-LATHSAT_LOCUS11068 transcript:rna-LATHSAT_LOCUS11068 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENGQKPPPSILVGRFKALLKQHEDDPRLRNSQPSTEEIIPIYELLLAELESNVKPITTDLTIIVEQHREQARGIAYAICARILEVSADHKLPSLHLLDNVVKNVGQEYVRYFSLRLPEVFCEAYREQLIINRQVLIPSKQSEDSKGNHSCKNWNAMNYTLQQLLHSDED >CAK8569470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2099300:2101607:1 gene:gene-LATHSAT_LOCUS22318 transcript:rna-LATHSAT_LOCUS22318 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDNSTRRPQREAKKRAVAALCEQRKRKRVALGDITNDVVPDTEKLVSDSHSHSQRKKKRNIAKSPVPEKLEDPQLCEPYVSDIHDYLRNLEVDPSKRPLPDYIQKVQRDINANMRGVLVDWLVEVAEEYKLVSDTLYFSVSYIDRFLSLNDLSRQKLQLLGVSSMLIASKYEEIKPPEVEDFCYITDNTYSKEEVLCMEAEILKSLKFELGGPTIKTFLRRFITKVGQEGVGASELQFEFLCCYLAELSLLDYNCVKFLPSMVAASVVFLARFMLNPKTRPWNSAIYQFTSYKPADLKECVLNIHDLYLGRKGATLQAVRDKYKQHKFKCVATTPSPPEISLTFFEFRGVDP >CAK8534810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770898151:770899097:-1 gene:gene-LATHSAT_LOCUS4322 transcript:rna-LATHSAT_LOCUS4322 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVILLDYWPSPFGMRLRIALAEKNINYEYKDEDLRNKSPLLLQMNPIHKKIPVLIHNGKPICESLIALQYIDEVWNDQSPLLPSDPYQRSQARFWADFVDKKIYEIGRNLWTKKGEEQEAAKKEFIEALKLLEQELGDKTYFGGDKLGFVDVALIPFYTWFKGYETFGNLNVEKECPKFIGWAKRCIKIESVSKSIPDQDKVYQFIVEIRKKIGIE >CAK8561028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:78964919:78965494:-1 gene:gene-LATHSAT_LOCUS14656 transcript:rna-LATHSAT_LOCUS14656 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTEIPTEIPILSRIDRLDYMMRQLEEIRGYNRSPKSSCASTPTSGSDGRVSSVDFSPRSLEKHCRPIDTVITETEVKGTLIERLNQVEDRMLKLEEDWIAERRKEEEKKMARGRKKGLKQLVRQCVIKSRREHDNE >CAK8537422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:348896071:348896424:1 gene:gene-LATHSAT_LOCUS6715 transcript:rna-LATHSAT_LOCUS6715 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVAIYGNAIGNHDCYCFGGILQLYGCCWLASRIFIFMHCLKPVPL >CAK8561021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:75088636:75091196:-1 gene:gene-LATHSAT_LOCUS14649 transcript:rna-LATHSAT_LOCUS14649 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPQSNFFDEWFNFMIHHPNHHHHHPYSSSSSSHQPSSSSSSTTINPPSPPLREALPLINKLSLINHQQNEPSNSNIINTTTLENEYNHHHHHHHGEDHYVDDGDESVTFALQIGLPRVNDNSPSDLGSRMVSSNCIEMAEKDEVNMVSENPLEKLNKGQYWIPTPSQILIGPTQFSCPVCSKTFNRYNNLQMHMWGHGSQYRKGPDSLKGTQPTAMLRLPCYCCAPGCKHNIDHPRAKPLKDFRTLQTHYKRKHGIKPYMCRKCGKSFAVKGDWRTHEKNCGKIWYCLCGSDFKHKRSLKDHIKAFGYGHGAFGIDCLQEEDEVGSEIEHDGGGSSM >CAK8566696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453503877:453506413:1 gene:gene-LATHSAT_LOCUS19808 transcript:rna-LATHSAT_LOCUS19808 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNYCHSPVVAYDSFVSCYAISPTTTTTTTTRASLTLLHNPPLSVSLSSFQNPNFLTGNTIFLKMQRFGSVSGKNKNKAMVERLQHFGVIMSSKVAEVMETVDRALFVPSGSAAPYDDSPVAIGYNATISAPHMHATCLQLLEENLQPGMHALDVGSGTGYLTACFALMVGPEGRAVGVEHIPELVSLAKENIHKSAAAKLLKDGSLSVHAGDGRDGWPEFAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNIFQDLKVVDKNPDGSISIRTETSVRYVPLTSREAQLRGY >CAK8535457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850056850:850057803:1 gene:gene-LATHSAT_LOCUS4920 transcript:rna-LATHSAT_LOCUS4920 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHELKPIYASLLDKCSSSSKNTNNLKIIHARTIILGISHHDFIRTKLVSCYASCSQLHQANILFSFTNRQPVFLFNSLIRAYSSLNMFSHSLDLFRQMVFSSKRFDRHTLPVVLKSCAGLSALRLGRQVHGAVLVNGFGLDLKNSNALIHMYYKCGHLDFARKVFDGMWKRNVITWSTMMAGYGMHGRFEEVFEMFYRMVEVGERPDAVTFTVVLTACSHGGFVEKGRGIFEMMKVGFGVKPELRHYTCMVDMLGRVGLVEEAEELILRMDVEPDEALWGALLGACKTHGKVDVAERVAERVYGTERSAVASSI >CAK8575345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:90287258:90287747:-1 gene:gene-LATHSAT_LOCUS27615 transcript:rna-LATHSAT_LOCUS27615 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYVVALQIVLFCICLVLVDSAEFSYPAVFNFGDSNSDTGELTAAKGFQLLPPNGRNYFKTRNGRFCDGLLIVDFLMDAMHFPFLNAYLDSVGLPNFHGGCNFAAAGSTILLANATSNSQFGFGSQVS >CAK8577991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600983727:600984119:1 gene:gene-LATHSAT_LOCUS30043 transcript:rna-LATHSAT_LOCUS30043 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKSFIMALLFVVTMSSMSIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPTFPQATLPPLPVPTTIPSLPKLTMPPLPTFPTNIPTLNIPPLPAITSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8577992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600983751:600984119:1 gene:gene-LATHSAT_LOCUS30043 transcript:rna-LATHSAT_LOCUS30043-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVVTMSSMSIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPTFPQATLPPLPVPTTIPSLPKLTMPPLPTFPTNIPTLNIPPLPAITSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8540531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10152312:10155314:1 gene:gene-LATHSAT_LOCUS9529 transcript:rna-LATHSAT_LOCUS9529 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYLSILNIIIFITSLFTFLYIITSSFSSPSLQTSKSLAINSHFEFCPTNFTNYCPCEDPKRQKKFPNKKWFRKERHCPKSNERLKCLIPKPKGYQKPFHWPKSKDNAWFSNVPFTKLVEYKRLQNWIRLEGDRFVFPGGGTSFPDGVEGYVDDLKKLLPVSLDSGWIRTVLDVGCGVASFGASLMEYDILTMSIAPSDEHEAQVMFALERGLPAMLGVFSTHRLTFPSNSFDMAHCSRCLVQWTAYDGLYLKEIDRILRPGGFWVLSGPPINWRVNYKAWKTEATVLEEQQKSLEELAIQMCWEKVVEGGQFAIWQKPINHIKCKQNLNSTKFCNSSDPDDGWYTEMTACIFPLQQVKNLDEISGGALEKWPMRLNVAPPRLRNEGATLERMYSEDNRIWRKRVSNYEVMLKSLSSGRYRNVMDMNGGFGGFAAAMVKYPVWVMNVVPFDAKTDYLAVIYQRGLIGTYMDWCEPFSTYPRTYDLIHASGIFSMYMNKCDISDIVIEMHRIVRPEGSVIIRDDKDVILKVKEITDRMRWEGTILEVDGDDNGSSHTKMIMVFNNTKY >CAK8570218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29598578:29609939:-1 gene:gene-LATHSAT_LOCUS22990 transcript:rna-LATHSAT_LOCUS22990 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLSLTFTTLNNPQINVISNPNARLRPFARARSSVVRCSGMCVERKRLFGTGLRRSGSERSQFLESVRPGRLPKLRVTVKSSFSAVPEKPMGLYDPAFDKDACGVGFVAELSGQSSRKTVTDALEMLIRMTHRGGCGCEANTGDGAGILVALPHEFYQEVVDFQLPPQGNYAVGMFFLPRSDNRRKESKNIFRKVAESLGHTVLGWRSVPTDNTGLGKSALLTEPVIEQVFLTTSSTSKVDLEKQMYILRKLSMVAITAALNLQNDGITDFYMCSLSSRTVIYKGQLTPTQLREYFADLGNERFTSYMALIHSRFSTNTFPSWDRAQPFRVLGHNGEINTLRGNVNWIKAREGLLKCEELGLSENDLKKFLPIVDANSSDSGCFDGVLEFLLHSGKSLPEAVMMMIPEAWQNDKNMDPQRKAFYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHIVVNDDALKEQYSLARPYGDWLKKQKIELKDIVDSVNESDTVPPAISGVAPLSNDDVDMESMGIHGLLAPLKAFGYSIESLEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSTQCMVGPEGDLTETTEEQCHRLSLKGPLLSTKEMEAIKKMNYRGWRSKVIDITYSKEHGKKGLEEALDRICTEAHNAISEGYTTIVLSDRAFSQKRVAVSSLLAVGAVHQHLVKTLERTRVALMIESAEPRDVHHFCTLVGFGADAICPYLAVEAIWRLQVDGKIPPKASGEFHSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALQLHELAFPSRTFSPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEATRTNSVDAYKQYSKIIHELNKACNLRGLLKFKDTSSKIPIGEVEPASEIVKRFCTGAMSYGSISLEAHTALATAMNKIGGKSNTGEGGEQPSRMEPLADGSRNPKRSAIKQIASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRSSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNANPAARISVKLVSEAGVGVIASGVVKAHAEHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTTLQTDGQLKTGRDVAIAALLGAEEYGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMAQLGFRTVNEMVGRSDKLEVDKEVVNGNAKLENIDLSLLLRPAAELRPEAAQYCVQKQDHDLDMALDNKLISLSNAALEKGLPVYIETPIQNTNRAVGTMLSHEVTKRYNLAGLPADTIHIQFNGSAGQSFGAFLCPGITLELEGDSNDYIGKGLSGGKIVVYPPKGSNFDPKDNIIIGNVALYGATQGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVFDMDGTFQSRCNPELVDLDKVEEEEDIITLRMLIQQHQRHTNSLLAKEVLVDFDDLLPKFVKVFPREYKRVLASMKSDAASKEAVERAAADVDEKDDDEAQAVEKDAFEELKKLATASLNENPSEAPKRPSQVTDAVKHRGFVAYEREGVQYRDPNVRLNDWNEVMMETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWQEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKNIECAIIDKAFEEGWMVPRPPVKRTGKRVAIVGSGPSGLAAADQLNKMGHMVTVFERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAEEGINFVVNANIGNDPRYSLEQLREENDAIVLAVGATKPRDLPVPGRELSGVHFAMKFLHANTKSLLDSNLQDGNFISAKGKKVVVIGGGDTGTDCIGTSIRHGCTAVVNLELLPQPPPTRAPGNPWPQWPRIFRVDYGHQEAETKFGKDPRTYEVLTKRFVGDENGNVKGLEVVRVRWEKDETGKFQFKEIEGSEEIIEADLVLLAMGFLGPESTIAEKLGLEQDNRSNFKADYGRFSTNVDGVFAAGDCRRGQSLVVWAISEGRQAASQVDSYLTKEDQSFDGNQDEFVQRQQDLNNKHQGSSKHTVMT >CAK8561242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128368812:128381993:1 gene:gene-LATHSAT_LOCUS14851 transcript:rna-LATHSAT_LOCUS14851 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPKSAASFLIARAKSLHLRHYNYRSLTSSSPSLLFNFQPSLSSFLSNSKPFISTRFFSGYYNLEQFSDDEYDCEFENHQASSTVANVDEWKWKLSMLLRNENDQEIVSRDKRDRRDYEQIANLAKRMGLYSELFGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEYLDRLQLNSEKTTDCLDSVNSTNQVKDIDMNENANSFVEESVMEKVLQKRSLRMRNMQRSWQESPEGKKMLEFRKSLPSFKEKEGLLQAIARNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGESLGETVGFKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLSGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTFHIPGFTYPVRAHFLEDVLEMTGYKLSSFNQVDDYGQDKLWKTQKQLAPRKRKNQITALVEDALSKSSFENYSPKTRDSLSSWAPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDITCLRDQLKAHPLLGDPNRVLLQTCHGSMATSEQKLIFDKAPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGESYHLYPKCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSSALQAPKPRAVQNAIDFLTMIGALDEKENLTNLGKFLSILPVDPKLGKMLIMGAIFRCFDPVLTIVAGLSVRDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILKEAGLVDTDASINNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGALSNGIQAGHLKMLDGYVDFFLDPNLADCYLKLKEELDKLIQKKLEDPNIDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSRKPKASNDENKFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQLAERDAAIEALAWLTHTSDNAQHEDDKSPPDVTDNMLKLLGKRRKSKRRFD >CAK8577614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578389073:578390405:1 gene:gene-LATHSAT_LOCUS29704 transcript:rna-LATHSAT_LOCUS29704 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLCFSLISKASYENISKKWISELRHYAPNVPIVLVGTKLDLRDDKQFFLDHSGATQITTAKGEELKKMIGAVSYIECSSKTQQNVKVVFDAAIKIALRPPKPKKKPRKTRTCTFL >CAK8566565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436306630:436309095:-1 gene:gene-LATHSAT_LOCUS19686 transcript:rna-LATHSAT_LOCUS19686 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSQNSSTNTSHLLGSVPAVVADEKNASNNQVPYASMQTFPPNSGGREQGYQTLGTPTEAFGEQPASNWQGFFSVSSYTQYFNVDTDVVVNRLISSLNPVADDFFGKIDANPDLYGLIWISTTLVFVLALLGNLATYLMQKHIDNTTSWSFDVNYVNMAVWSIYGYVIVVPLAYYFFLQYMGSNANLIRFWCMWGYSLTIFILSSFLLLIPVGILRWIIIILTGFASASFVALNLRSYLGSDLSVTVIAAFALQIALAVFIKVQFFK >CAK8539565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516445120:516448017:-1 gene:gene-LATHSAT_LOCUS8648 transcript:rna-LATHSAT_LOCUS8648 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIVHVGSGKEMTMGENENEKIIGKWNKSVHVFGERLKRYPSLGWKVIWNVGYEDPRRVIHAFKVGLSLTLASLLYLLEPIYNEMGQSAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLIAGLLAFMVGYIANASSGRISQAVIIGAAIFLIGALATYMRFIPYIKKNYDYGLVIFLMTFNLIALSSYRVENVLKIAHDRLFTIAVGCAICLIMSLLMFPNWSGEDLHNSTAFKLEGLAKSIEACVNVYFYGETDSPGDSSSSEDPIYKGYKNVLDSKSIDEMLALHASWEPRHSRYCHKFPCQQYVKVGAVLRQFSYTVVALHGCLRSEIQTPRSVRAMFKDPCIRVAAEVSKVLIELSNSIRNRRHCSPEILSDHLHEALQDLNNAIKSQPRLFLGSKHKHNHANNMLKIAAAQVGQQVKASGFSLSSVKTDSSALLEWKTKRDSLMQSKENERKSLRPQLSKIAITSLEFSEALPFAAFASLLVETVAKLDFVIEEIEELGRLSCFKEFRAGDEIVVTCEEPRVDVSRNNLPSHGIE >CAK8573722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633002204:633005667:-1 gene:gene-LATHSAT_LOCUS26131 transcript:rna-LATHSAT_LOCUS26131 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRYLFSNGILSHTLDAPPVKLFLESHPGAYTTSRTHNNASCLLFWERHVKRLSESVQILSNSAPQLLFKSNNAATLLPLDKNFPVWQPALQMLVNESVGKVLPIALKERVDSEELAITTLVSGNLEELNVCETRSEEKISNFFDVHVHIDTYVPPRFGIRGNGAHLAVGGYGRNFAAAKYSDWVRIRKALEKLRPPSVTELLLSNNGDQILEGCVTNFFVVCCKDRDLNDGKAPCDYGNKNSFEVQTAPISDGVLPGIIRQLVLEVCKNEGIPYREVAPSWSKHEIWEKAFITSSLRILQHVDSIQVPTDWQSAHSKTWKDISWTKKQFQGGPGMITNLIQEKVMEKAISEGYQVSNICTR >CAK8531410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107596260:107597641:1 gene:gene-LATHSAT_LOCUS1204 transcript:rna-LATHSAT_LOCUS1204 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPSQNSKLSWRILVLVFLSSTSFSSAGIRKNIQTKVFLSPKIELSPGSVSNKIYHDVDFPRGHISVKSFNAELVDESGKSVPLHQTYLHHWVAVRYHQPINATNNSQNGIVFVRNSGFCQDNVFGQYYGLGSETRGIKTYIPDPYGIEVGNPEEIPKGYEEKWLINVHAIDTRGVEDRMGCTECKCDLYNVTENLSPDYKGGLQCCPDNGNCKPMKGFLGSKQKLYLKYTVMWINWEEFMVPVKIYIFDVTDTLKISDKSNGMSLKHDCKYEVEPCSTSNLNGSGCVDVKRTSFPMQTGGYVVYGVGHQHAGAVGLTLYGQDGRAICTSIPKYGKGKGAGNEKGYVVGMSTCYPKPGSIKISEGETLTLEANHSSSIRHSGVMGLFYFLLAEKLPHHHV >CAK8543041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:570527804:570530685:1 gene:gene-LATHSAT_LOCUS11828 transcript:rna-LATHSAT_LOCUS11828 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPEIETMMPMEAVPPVSADVSFISNSFPKYKLGADHQVFQEPAEDNHGPSLKDVIEEEASNLSDQNKRISVRDLASKFDKNLSAAAKLSNEAKLRELPSLEGHVLLKKLRDALESLKGRFTGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKKLVNQEKSFACAEIESARAVVLRIGEALEEQEKAIQASKPQDVDGLVEEVQEARRIRLLHQPSKVMAMEYELRALRDQIQEKSIFSIQLQKELTMSKWDKENKSHSYKLDGSEALGSCLQVKPCSNEVLQVSKCSFQWYRLSSEGSWREVISGADKSIYAPDPLDVGRMLQVDIVSNGKKLTLTTNPIQTVAGLGSHVETLLRKSHTDFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPTMQLCGVRSDVGNAAKTLFWQARKGLSFVLTFESEKERNVAIMIARKHALDCNVVLAGPDDLV >CAK8535378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845448351:845450528:1 gene:gene-LATHSAT_LOCUS4843 transcript:rna-LATHSAT_LOCUS4843 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSDAVREAITGIMADSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRICMLGDAQHVEEAEKIGLDSMDVEALKKLNKNKKLVKKLAKKHHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNVSMDEKQIFQNVQLSVNFLVSLLKKNWQNVRCLFLKSSMGKSYRVF >CAK8538032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459451840:459455421:-1 gene:gene-LATHSAT_LOCUS7273 transcript:rna-LATHSAT_LOCUS7273 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILALGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTKSSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8574468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:285465:287255:1 gene:gene-LATHSAT_LOCUS26812 transcript:rna-LATHSAT_LOCUS26812 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEELHFKTILRRKNAAKARIYRKSVIDDKKSKRLKTALKENGTFDKRRGNDSTTLRIPLSELLPNILNDGRGIANVEVSRQLHSSLKTKPSTNNNIRSKRISSRNITKLGVNLSKRFDNTFATTTSNQDPILELQLNELFASDSGDDKMNDESDGYSSATNSSFDEDEMSNGTDAMETFEIKSGGYYDIGDLVIECQYVGANMWYSERKNKCRHASNPKFSMCCGSGKVQLPLLKPAPEVLQHLFFDNESCESKKFQQQIRMYNVMFAFTSPGAKVDNRFKNGRCPPNFRIQGQSCHRIGSMLQMPGQNPRFAQLYVYDTENEIENKMHGFRSKSGVDVNIVRKLSEMLYEHNVHAQSFRMANDRLCEEGVSDLKLCLISERRNDGKIYNQPIVSEVAALIVGDVDTAEKRDIIVQKQCGELQRIDEYHTSYLGYQYPLLFPYGEDGYRPNVRHRDKGTDIHHFTDITQSEQNNKDIPWEEATKRNRLTIREWLAFRIQSRSNEA >CAK8539532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515188251:515193755:-1 gene:gene-LATHSAT_LOCUS8616 transcript:rna-LATHSAT_LOCUS8616 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQFHEHIITELLEDTNGGLVILSSGLSLSKLISSLLLLHSTTQGTLLILSPSSATLKSKINFHLKTLNPQFYQIPVEITADLPVNHRHSLYSSGSVCFITSRILIVDLLTNKLPASIISGLIILNAHSVSETSTEAFIVRIFRSLNRSAFVRVFSDRPQAMVSGFAKAERTMKCLRIRKLHLWPRFQVYVSQELEQDPPDVVDIRVPMSKYMMGIQKAIIEVLGACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKQTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDTLRVSESFRSVWIFAEASYKIFDYAKKRVYHLVRSDGMKLDESSKGVKNKKKKVKADNKDTEEADVTSSTSSNGIVLEEVLEEAPKWKVLREILEEVEEERQKQGMSREEVLAEGEDTSNGIVLVACKDERSCLQLEECITNSPKKVMRDEWKKYLLSKVQLRDVVHKNKKKKSKEPKGFGILNGVTPISPAQNTETSGVNKQEHDALMAAASKVRKLAENNDVVEDTPQSNLGGNVRGKGKRKLGNRNEPIIIDGSGVQSNNTEEVTSGKIGMPDTKNEAHMDETSPVSAGKFCETIHGGISVDDTVLRRHTCPNAMAKDGKPLPPVHFYALESDQPILDILKPSIIVVYHPDMTFVREIEVYKAENPSKKLKVYFIFYEDSTEVQKFEASIRRENGAFESLIRQKSMMMIPADQSGQGLGLNFTLDSDLNTAQNSITRKAGGRKEVDKEMQVIVDMREFMSSLPNILHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFQSFTSGRLYNQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATSEIFASLKANQDEPDETKAMRVGVPSEEGIVENDVRAENYNTSAVEFLRRLPGVSDSNYRAIMDGCKSLAELALLPIEKLAEIMGGHKAARTLRDFLDAKYPTLL >CAK8569202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682182788:682188346:1 gene:gene-LATHSAT_LOCUS22082 transcript:rna-LATHSAT_LOCUS22082 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHGWELPFHTFQVVAITVFFLLCIAYYAFFAPFLGKDIYEYVAFGVYSVLAFSVFLLYVRCTAIDPADMGVMLDCDKTSKNRSKLDEELAEPNKIGLKDEGLSDQHNSNGCSKIGCFLCSFLAIEDCRSDEDLQQQSGEEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYISFVCLMAVSLLWLVVECGVGILVLVRCFAAKKDTEYLIAEKLGAGFTRALFATIVAICTAVSLLAIIPLGELFFFHMILIRKGITTYEYVVAMRTMTEPPGPSVDAGEQQSLPSSPTSSAVTVISGRSSVGMSLQIKGAWCTPPRIFMDHQDEMIHQLEPGRLPSTMDPDAIQPPDKGKKLNQRPVRISAWKLAKLDSNDAAKALAKARASSSVLRPISSRSHAYDADHLSSSNVSGRSSPNSNQGFHNKYDAAGTSRLSPSKSSYPPSQASREDLDACHHSMSNFSSPQVSNLTPSPIQWPGLNRDHFNPMYQQPSQNPSPSSAIGSNGNVNPVHENGARVPMRNNSLTVSENRSSSVFWDQAAGRFVPNPSRAQGSSHAPGTELTYTGRSIFFGSPALSEQQSNAGARNNSSVAGVLDRDNTARDFQQGRSQRGAQLPVFVPSYSQQNKFS >CAK8544018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656372396:656373286:1 gene:gene-LATHSAT_LOCUS12729 transcript:rna-LATHSAT_LOCUS12729 gene_biotype:protein_coding transcript_biotype:protein_coding MRGITSCYNEHAIRVSDLYCSRPSNPNHSYLAPKLNNNPSIQNSVTCIYKLSIQNQELLITVTWTKKLIRQGFIINVDSDFVNVISKNRGDETFRLQTFQVKLTWDLSTARYDEGPEPVEGFYIIVYVNSELGLFLGDKEDESSLQEKKTHGARISMVSRSERFSGTSVYATKAKFSETGILHEILIKCGVEDGSKSHALCLFMDKKMVFQVKRLKWNFRGNQTIFVDGLVVDMMWDLHEWIFNHHSNDSVSCVFMFRTRSGLDSRLWLEEKNLQKEKEQDRIGFSLLICGCKKPD >CAK8575166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43264272:43264734:1 gene:gene-LATHSAT_LOCUS27452 transcript:rna-LATHSAT_LOCUS27452 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMKLLCVVLVMCMVVIAPMAEAALTCGTVTSDMSPCLGYLEAPNNASPSPSCCAGVRRLLNAATTTPDRQAACNCLKAAAGAMSKLNANNAAALPGKCGVSIPYKISTSTNCNAVKF >CAK8541551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:236882951:236884016:-1 gene:gene-LATHSAT_LOCUS10464 transcript:rna-LATHSAT_LOCUS10464 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFPYATRWSSLGMNYDNCPHFNITQYRNLIDHLGQDDFIWRPYLGLEAIHEVNQHDSVVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCLPKCIPDKYHTGKVSDQWEYSPWTKYAKHECREWRHRSHFVLSDTVFTYERKQSIQYMNWYRSVSIGFISHPRYLVDPRQQASSSRPQQPTQPHFQPPTQTPLTQPQIHEHAPTQSTPFTQTYSQSQYQQYPPPYQTHSCDTTNQFIIHPINPYPSKHLHPCHPTIRQAIVLKLPHRANHPNIIMKA >CAK8567391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510242711:510246823:-1 gene:gene-LATHSAT_LOCUS20451 transcript:rna-LATHSAT_LOCUS20451 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIQSTQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETGSCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTNQRKNGYPCPPYKIIVLDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLTEEIMSNRILYICKEEGIYLDAEGLSTLSTISQGDLRRAITYLQSAARLFGSSISSKDLISVSGIVPAEVVEAFLKACKSGNFDLANKEVNNFIAEGYPVSQMLTQLFEAIVEENDISDEQKARISKKLGEADKCLVDGADEYLQLLGVVSVTIQALCNMPEGFAYEG >CAK8537457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:362645818:362646165:-1 gene:gene-LATHSAT_LOCUS6747 transcript:rna-LATHSAT_LOCUS6747 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNSQNPNPQNSQIPLVPTNPAIFLLSPNNPNMYLIPQMNSNSIEFSTQVPPFSTQVSPFSTQVGTEKEERIVDKKRSREQFTREEDILLIQSWLNVSKG >CAK8572444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537423274:537437471:-1 gene:gene-LATHSAT_LOCUS25014 transcript:rna-LATHSAT_LOCUS25014 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDNLKTWVSDKLMSLLGYSQPTVVQYMIGLSKQATSPADLMGKLVEFGVSIADTRGFAEEIFSRVPRKSSGLNQYKKQEREAAMLARKQGTYTILKDDDDIDNDHTDGGDDKSTITTASTSRKPDSRKKRFRKKTEVQDDQDDEEILRKEGERQVKRRTSPDEDSGSESEEERLKDQREKDELVQHMKERDAAGTRKLTEQKLTRKEEEEAVRRSNAAEQDDIHSLRKFSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEVEQRDLRHKKELYELIKKRSEEADNVNEYRMPDAYDQEGGVNQEKRFSVAMQRYRDTHAEEKMNPFAEQEAWEEHQSGKATLKYGSKNKKQASDDYQYVFEDQIDFIKASVMDGDKFDFDEMEDSIEKSKVKSASEALQAERKKLPIYAYRDELLKAVKKYQVLVIVGETGSGKTTQIPQYLHEAGYTKHGMIACTQPRRVAAMSVSARVAQELGVKLGHEVGYSIRFEDCTSDKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHFTKAPEADYLDAAIVTSLQIHVTQPPGDILIFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYNPKTGMESLLVSPISKASAMQRAGRSGRTGPGKCFRLYTAYNYQNDLDDNTVPEIQRTNLANVVLTLKSLGIHDLLHFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPVDPMLSKMIVVSEKYKCSEDIISIAAMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELTTNSSDLDAIKKSITSGFFPHSAKLQKNGSYRTVKHAQTVHIHPSSGLAQVLPRWTVYHELVLTTKEYMRQITELKPEWLVEIAPHYYQLKDVEDTSSKKMPRGEGRA >CAK8566076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377097835:377098320:-1 gene:gene-LATHSAT_LOCUS19242 transcript:rna-LATHSAT_LOCUS19242 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVKLAFMVNDSARKITYTKRKKSLIKKIDELTTLCGIEACAIVYSDFHLEPEIWPSPWEVQRIVTKFRSYSEFEKGKKMLNQESFLTQRILKSNEQLAKLQRSNWEQEKSLVLFQCLIKENFINTLNTNVLNELSYDINEKLAQITSKMNQLNTNVTT >CAK8571551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439240033:439240542:-1 gene:gene-LATHSAT_LOCUS24201 transcript:rna-LATHSAT_LOCUS24201 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQTSSSKTSTTVKLVPSTAINPFGTMYFGTMYFSNSFSTLTFTHNVTLHEMSAHSSNRSHRPVEIHLQSCFQFGERSFRSVSGAKPTVKSEVSVSNSVTVRHVPLTAMLSPRLTPSRTVVALTFKSKPPSGDAPICLTVPISSTRPVKRHWTEAALVRRLIGLRVE >CAK8565791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:334203325:334204305:1 gene:gene-LATHSAT_LOCUS18973 transcript:rna-LATHSAT_LOCUS18973 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVLLFRVSKGVRELPGSFQSATSSVPSGKALVYFGLLLASGVFFVFIAFTLFLPVMVVMPQKFAICFTLGCGFIIGSFFALKGPKNQLAHMLSKERLPFTLVFLGSMIGTIYVSMVRHSYVLSVVFSVVQVLSLGYYAISYFPGGSAGMTFLTSALTSSVMRCFGR >CAK8532988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561249208:561249411:1 gene:gene-LATHSAT_LOCUS2641 transcript:rna-LATHSAT_LOCUS2641 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKINQFFVVMVVVVMMVATSSVSAVEAPTSSVSTPAPGPTSDATTLFVPTMIASFVALVFGFLF >CAK8543488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610779721:610787855:-1 gene:gene-LATHSAT_LOCUS12243 transcript:rna-LATHSAT_LOCUS12243 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLISDFLHQAGGAAVIDGGLATELESHGADLNDPLWSAKCLISSPHSDLIRQVHLNYLENGADIITTASYQATIQGFKEKGFSDEEGENMLRRSVEIAREARDLYYERCAASSSGDETDARILKRRTILIAASIGSYGAFLADGSEYSGIYGDAITLEILKKFHRRRVQILADSGADLLAFETIPNKIEAQAYAELLEEENIKIPAWFCFNSKDGVNVVSGDSLEECGSIAESCNKVVAVGINCTPPRFIHDLILLLKKVTTKPVAIYPNSGETYDGDRKEWMQNTGVTDEDFVSYVSKWCELGACLIGGCCRTTPVTIRGIYKTLYNCRSATLAKE >CAK8569946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16972421:16973761:1 gene:gene-LATHSAT_LOCUS22742 transcript:rna-LATHSAT_LOCUS22742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEIEYSLDSVRKALVRQEDTIVFGLIERAKFPFNFHTYDKNYIQTHDFCGSLIEFVVQSTETVQAKAGRYENPEENPFFPENLQSSLVPSYPFTKILHSGAASVNINKSLWKMYFDDLLPLFVASGDDGNYAQTAASDLSLLQAISKRVHYGKFVAEVKFRESPQDYEPLIRAKNREGLMKLLTFKSVEEMVKKRVEKKATLFGQEISLDSNDDSKEKQKLDPSVASKLYEKWVIPLTKEVQVDYLLRRLD >CAK8569945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16972355:16973761:1 gene:gene-LATHSAT_LOCUS22742 transcript:rna-LATHSAT_LOCUS22742 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIRFQLLILVLTISTTVRNKMATAEIEYSLDSVRKALVRQEDTIVFGLIERAKFPFNFHTYDKNYIQTHDFCGSLIEFVVQSTETVQAKAGRYENPEENPFFPENLQSSLVPSYPFTKILHSGAASVNINKSLWKMYFDDLLPLFVASGDDGNYAQTAASDLSLLQAISKRVHYGKFVAEVKFRESPQDYEPLIRAKNREGLMKLLTFKSVEEMVKKRVEKKATLFGQEISLDSNDDSKEKQKLDPSVASKLYEKWVIPLTKEVQVDYLLRRLD >CAK8561866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399736974:399737558:-1 gene:gene-LATHSAT_LOCUS15419 transcript:rna-LATHSAT_LOCUS15419 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRNKWDQIINAQKFKASVFYKVLVDDGTRVLWGKLIKFNKARPHAVFCLWQTCHGKLATKDRLKRFGMIEDNSCNLCQSEDETLNHLFFSCQETRHIWKEVLNWFNISHEPQPWDAELVWITNITKGKGWKVEVLKMLVAETIYNIWGYRNGKTFGNAVDNTTTITNIIDHVIYRGWNNIRIKKHLVSFMM >CAK8575726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:283664691:283667300:-1 gene:gene-LATHSAT_LOCUS27964 transcript:rna-LATHSAT_LOCUS27964 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNTNQEKPSALVRGFKRIIILPKFVMKKVLCICKLSKEIAQDDPRKVIHSLKVGLAISLVSLFYYYQPLYENFGLSAMWAVMTVVVVFEYTVGATLGKGLNRTMATLAAGALGVGAHYLASLSGETGEPILIGFFVFLQAAIASFIRFFPKVKTRYDYGMLIFILTFSLISVSGFRDDEVVKMAHKRLTTIFLGGSACVMISIFVCPVWAGEELHYSMAQKLETLGDFLEAFVGEYFKTTKKEESKDKKSFLEGYKSILNAKSNEESLANFARWEPGHGKFKFRHPWSQYLKIGALSRQCAYRMEALKEQLNYNIKGSAEIHSPIQELCTEMCLESSMALKQLSLSIKTMTKKSSHDTHIANSKAAVKSLNSLLQSSLWKETDLLPVIPVVTVASLLIDVVDCTEKIADSVNVLATLIKFGISDDEKKPKEVSQSPTCECVEPDPMIENSHVVVIVEGSMCDKCNKV >CAK8570530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56489778:56490461:-1 gene:gene-LATHSAT_LOCUS23270 transcript:rna-LATHSAT_LOCUS23270 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTPRIIRNEVHFSERSQFTLSVFGRPSRPSGKTSVHWLPEKEMQYAHVHVLINCVEVKPYLETFNTYYFQSTGEQPSTGYTHAYFLEWFKQQLHCIVAPIPGIIHLRSLFESPHQRANEWHTYFVNKYKFHTQTWTEGKKIVNSGVVVKGVTESGEDDFYGVITHIYELVYNYVDSENKFVLFYCDWYDPSSRGTKID >CAK8532645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:339879550:339880788:1 gene:gene-LATHSAT_LOCUS2328 transcript:rna-LATHSAT_LOCUS2328 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSSPNRGKGQSIQQRRLTRQKRLCYPTDHQDGEPFLSTEGVSFSLLGSPLRSSSDHWSSSAVPLPLPLPESPLTRRPDHHATLPCARKTVDHDAVRSSRSSSNLGRPFFATTGNAKNDLRVNIPPVRSLVTSKSSCKDTRKHSHDNECEGVTDERLQFAARSAPTSMFSSPVTSPRRLSNVDLFDPSINFPQDFNDILRMPAKTSHSPDLSSRRSLGNHSPKHHYTIQGGSHPHQSKICIRVWPENNHADAHPLPLPPRASPQPQQSPSQHQSSATMHHSTENFHSMKGQWQKGKLIGRGSFGSVYHATNLETGASCALKEVDLFPDDPKSADCIKQLDQEIRILGQLNQPTTQQPAKQTHAGSTHFHYKTSGNSNSATLSIMQPTIGQGLQNVTNVTNQPVRTLPQM >CAK8539602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517614897:517616069:1 gene:gene-LATHSAT_LOCUS8684 transcript:rna-LATHSAT_LOCUS8684 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQKGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDDIICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQAQAQRARRVSNMKQEMKRETTDYQNMMVAAASGFNTMNTQTPYWPVEYSVHPMPVSNSSIIDYDLNNQTSFTSMLHHPTIMNMTTNPLSMVSNANTIFQGFENFPSDLSELVCENQQVMNRTMDGFYGMESIDMSNGGGSTITTTTSTESNSWGDMNSLVYSPLVSDYEGCCQQGSIIPQDVAVFEESRYFGMQMK >CAK8571469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:425887272:425888124:1 gene:gene-LATHSAT_LOCUS24132 transcript:rna-LATHSAT_LOCUS24132 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRVALCLNIALFFYVVGAAKVTFTNKCGYTVWPGTLTGDQKPQLSTTGFVLDPQATNSIDLPSPWSGRFWARTGCSNNNGKFSCATADCASGQVECKGAGAIPPATLVEITVAPNGGQDFYDVSNVDGFNVPLSVTPQGGRGDCKTSSCPGNINTVCPAELQVKGSDGSVVACQSACLKFNTDEYCCRGSHNTQPTCPPSNYSMIFKKQCPDAYSYAYDDKTGTFTCFGGPSYAITFCPS >CAK8570957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:191813814:191816450:-1 gene:gene-LATHSAT_LOCUS23661 transcript:rna-LATHSAT_LOCUS23661 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRHVLPSKSRTLSTYTTHIHLQQPLLQMALSGFDTNFRTYNAILNECVNKRAFREAQRVHAHMIKTRYLPSVFLRTRLIVLYTKCDSLGDARHVFDEMPERNVVSWTAMISAYSQRGYASQALNLFLQMLRSGTKSNEFTFATVFTSCTSSTGFNLGRQIHSLIIKSNYENHVFVGSSLLDMYAKDGKIHEAQTVFECLPERDVVSCTAIISGYAQLGLDEEALKLFRRLQEEGMQSNYVTYTGVITALSGLAALDLGKQVHNHVLRSEIPSFVVLQNSLIDMYSKCGNLTYSRRIFDTMYERTVISWNAMLVGYSKHGEGREVLKLFTLMREEKKVKPDSVTMLAVLSGCSHGGLEDTGLNIFHDMTSGKIAVESKMEHYGCVVDLLGRSGRVEEAFEFIKSMPFEPTAAIWGSLLGASRVHSNVDIGELVGHRLLEIEPGNAGNYVILSNLYASAGRWEDVRSLRDFMLKKTVAKEPGRSWIELDQVLHTFHASDHSHPRREEICVKVKELSVSFKEVGYVPDLSCVLHDVDEEQKEKILLGHSEKLALSFGLIASAENVPIRVIKNLRICVDCHNFAKYISKVYGREVSLRDKNRFHRIVGGKCSCGDYW >CAK8573148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589372274:589377407:-1 gene:gene-LATHSAT_LOCUS25628 transcript:rna-LATHSAT_LOCUS25628 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGDNSNGNNNNSGSSLNFCNGGTDDPLNWGMAADSMRGSHLDGVKRMVEEYRKPVVLLGGKGLTISQVAAIATHNTGVAVELAEETRAAVKASSDWVVDSMNKGTDSYGVTTGFGATSHRRTKQGGALQNELIRFLNAGIFGNGTETIQTLPHTATRAAMLVRINTLLQGYSGIRFEIMEAIAKFLNHNITPCLPLRGTITASGDLVPLSYVAGLLIGRPNSKSIGPDGKILNAKEAFQLAGIETGFFKLQPKEGLALVNGTAVGSGLASLVLFETNLLVVLSELLSAIFAEVMQGKPEFSDHLTHKLKHHPGQIEAAAIMEHILDGSYYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRDPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTYLVALCQAIDLRHIEENLKTVVKNTVSQVAKRILTVGVNGELHPSRFCEKDLLNVVEREYVFAYIDDPCSATYPLTQKLRHVLVDHALQNGEKEANSATSIFQKIGAFEEELKSLLPKEVENARAEVENGNPAIPNRIKECRSYPLYKFLREDLGTSLLTGEKIKSPGEECDKVFSALCDGSFIDPMLECLKEWNGAPLPIC >CAK8566721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:455675038:455675716:-1 gene:gene-LATHSAT_LOCUS19833 transcript:rna-LATHSAT_LOCUS19833 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPVNIKLLCSYGGKILPRSTDGELRYIGGHTRVLSVNRSISFSDLMEKLREFCGSSVKLRCQLPKGDLETLISITNDEDLAQIIDEYDRASLKLTHPLKIKAVLSPPKSLLKVSPDPSSSSSSASRSPYRSPYTSSESPPYAAAYRIGRSPRAPVGYLFGARNGSAKAYCYTGQLERGPRPLYYGPRFNNYCH >CAK8535670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873579001:873581463:1 gene:gene-LATHSAT_LOCUS5103 transcript:rna-LATHSAT_LOCUS5103-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFKNGTLFLYIVMLLLLRTCQGKDQHIHQIQPGFSASRLDWNDHNGFFLVSNNSAFAFGFFTTLDVSFFVLVVIHLSSFKVVWTANRGLLVRNSDKFVFENSGNAYLESGNGFVWTTNTTGQKVKDMELLDSGNLVLFGENRKILWQSFSHPTDTLLPGQSFVEGMSLKSFPNGMNLLHFLGYVEGDLVLYAGFETSQLYWSLMGEISKTRSLKNVTCSRKVHYASLVSNSWNFYDNNGVLVWKIVFSDHSDPMSFYAAILDPNGAISFYDLNKGKSTNPEILKLPQDPCGIPEPCDPYYVCFFANWCECPTLLRSRFNCKPPNVSACSRGSSTELLYVGEDLDYFALKYDAPVLKKSNLNSCKEACVRNCSCLVLFYENSTGSCFHFEQTGSFQRFKGSSGGYVSYMKVSTDSGGNDGDVRGRKTMLLVFVIGILTVLAIFGLIAGFWCYCFKKKKSFDEYPQEDILEEDEFFDSLSNMPARFTYRALARATKDFSTKIGEGGFGSVYLGVLEDGKTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRMLVYEYMARGSLDKWIFKNSENTMLLTWKTRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFMAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIVGGRKNYDQWEGEEKAHFPSYVSRMMEEGKIREVIDKKIDIDEEDESVVIALKVALWCIQDDMNLRPPMSKVAQMLEGLCIVNDPPSLLQSSSYSSFLKMSSGEGSSSGQASFYSNVPLSCVQLSGPR >CAK8535669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873578709:873581463:1 gene:gene-LATHSAT_LOCUS5103 transcript:rna-LATHSAT_LOCUS5103 gene_biotype:protein_coding transcript_biotype:protein_coding METRYEMMGLFKNGTLFLYIVMLLLLRTCQGKDQHIHQIQPGFSASRLDWNDHNGFFLVSNNSAFAFGFFTTLDVSFFVLVVIHLSSFKVVWTANRGLLVRNSDKFVFENSGNAYLESGNGFVWTTNTTGQKVKDMELLDSGNLVLFGENRKILWQSFSHPTDTLLPGQSFVEGMSLKSFPNGMNLLHFLGYVEGDLVLYAGFETSQLYWSLMGEISKTRSLKNVTCSRKVHYASLVSNSWNFYDNNGVLVWKIVFSDHSDPMSFYAAILDPNGAISFYDLNKGKSTNPEILKLPQDPCGIPEPCDPYYVCFFANWCECPTLLRSRFNCKPPNVSACSRGSSTELLYVGEDLDYFALKYDAPVLKKSNLNSCKEACVRNCSCLVLFYENSTGSCFHFEQTGSFQRFKGSSGGYVSYMKVSTDSGGNDGDVRGRKTMLLVFVIGILTVLAIFGLIAGFWCYCFKKKKSFDEYPQEDILEEDEFFDSLSNMPARFTYRALARATKDFSTKIGEGGFGSVYLGVLEDGKTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRMLVYEYMARGSLDKWIFKNSENTMLLTWKTRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFMAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIVGGRKNYDQWEGEEKAHFPSYVSRMMEEGKIREVIDKKIDIDEEDESVVIALKVALWCIQDDMNLRPPMSKVAQMLEGLCIVNDPPSLLQSSSYSSFLKMSSGEGSSSGQASFYSNVPLSCVQLSGPR >CAK8531983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186378142:186379320:1 gene:gene-LATHSAT_LOCUS1729 transcript:rna-LATHSAT_LOCUS1729 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRLSLLKQPISSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFTGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFILVGASLLHLAALHQYGSNNPLGVHSEMDQISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTDETDQ >CAK8543025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567735220:567741634:-1 gene:gene-LATHSAT_LOCUS11812 transcript:rna-LATHSAT_LOCUS11812 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVSMCCSSTCELTPDEERIIIRDIALSVQTNIKEGDTFFIITQRWWQHWIEYVNQDQTNPSYDGSSFHEHSDLASLSALKRPASIDNYDLIDNTGSEDSSTSIQIHDTLLEGRDYVLLPQVVWDQLFKWYGGGPSLARKVISSGLSQTEFAVEVYPLRLQLLVLPKNVRSTIRISKKETIGQLHKNACEIFDLQLDQVRIWDYYGHRKHALMNDMDKTLDDVNLQMDQDILIEVVNNTNSTSSAQENGSAQREANSVLVEPSKSSLSAAGALSTSKGASRGNNIEHSSTQKLNSPDRDSENPYGTLGVTTRGSFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPIAPRPFKAKLARFAPQFSGHNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQSTTNRTMTVTVFSCDGSTLPSPCTVTVPKQGRCRDLIQGLSNACSLKHNEKIVLVEIRNHLIHRFFEDPLQLLSSIKDDDRLAAYKIPKMDKNTKYLQLIHRRREQSSDSHTVSGWKPYGTPIVSLISCDDTITRGDIQVIVNGILSPLLLNAASAETNAVTNSTNKDDTISKATHLPTLPLLLVDDNNACIDLSMGGEKVVKLSPSSARVLVYIDWSQKLLEKYDTHPLESLPEVLKYGPVTKKARSEPLSLYTCLEAFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYIANKNNSRRQVYELYALTNHYGSMGSGHYTAHIKLLDENRWYNFDDSHISLISEDEVNTAAAYVLFYRRVKTDDDDDDIVSNGA >CAK8573134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:588126382:588129833:1 gene:gene-LATHSAT_LOCUS25616 transcript:rna-LATHSAT_LOCUS25616 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGNFTGNLGEVLIRCNNVLYLRGVPEDEEIEDAAED >CAK8574623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4249527:4250093:1 gene:gene-LATHSAT_LOCUS26951 transcript:rna-LATHSAT_LOCUS26951 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWNGMKEKYFVGKVYRFLKKDDPDVGWKHMLPNIIARPRALFTMWMACHRRLATRGRLKRLGLTTDDRCKFCDKEETIDHFLFDCPPFKTCWQQILVCLGFQHFPCEWREELEWLITHCKGKGWRKCILCSAVAETIYEVWRYRNNAVFGNTVNVLDIRDLIISTLVNRGWVNTGMRHHIAQLLIE >CAK8577733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585650677:585651518:-1 gene:gene-LATHSAT_LOCUS29813 transcript:rna-LATHSAT_LOCUS29813 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTQHQNQLFHLRSLCIRENKASHTAVLLLFEEELHLVAMHSGNDDRPCFWGFIVTKGLYNSFIVMLNLRCLGIVFDLDKMKHLF >CAK8572054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:505668526:505672354:1 gene:gene-LATHSAT_LOCUS24661 transcript:rna-LATHSAT_LOCUS24661 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMRSLLHIGSGNEPNPLTMSDEHILEEIYSTHVHSDTKFDAQSLFNIAGNILTRSTHIVDKVLQGHHGALEHLDSINPHASFTSPLCTLKQINFEMSCKAPGEEIAYKTTLSILNKLSNYSWVAKGVLTLSAFALEYGDFWFLSQYQSTEPLAKSLGIIKRVPQLTKPQALKKHRNAILELNNLIKATWQVIDIIIELERLNSHHDIKEVPALAPALEQFPVDVYWVIITTVAIVTQFECLTTDSDKRQDLSHFGQKINIIISKLRKHVSQITIQIDEAEYNKLLKKLFQTPTEIMEVFKVLIFWKNTPKTPIIYDGSTKTLVNIEVLKKKDVFLFISTLEIIEEDISILIPIYDHMKKTGSQHKIVWIPIVEEWNDRLKKKFDSFKSKMPWYVLHHFAHIKGIKYIKEELHFKQKPLVVVLSPHGKIIHQNAFHMIQVWGVKGFPFSKSKEESLTQELMWVDSLLVDIDIKIKWEEEKSVIIYGGKDKEWIQQFTKYAGALANDAALKQSKTSIDLFCLESQQPNVVNNFWKKVESLFVTKMHEKTNTVTQQVEKLLSYKNETGWAIVTKGSIVTSVGHGTTVLKTVAEFDKWKDVFINKGFEFAFREHHHKVASTVHLCSHLEIPNVAGKIPDFIECPDCHRTMEVFISYKCCHNVDKVNGVH >CAK8534457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728132656:728132991:1 gene:gene-LATHSAT_LOCUS4002 transcript:rna-LATHSAT_LOCUS4002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRLSQFSLKIYFLHITLFSTLCFSGDPTVYEELLITWPGIQMRRDSWQDGVLGKNCSIPPKWNWTYGFQVKDQIGSFFYFPYTNFQRTSCGFGPFVINNRVIVPIPFV >CAK8559986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2915008:2918175:-1 gene:gene-LATHSAT_LOCUS13710 transcript:rna-LATHSAT_LOCUS13710 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDGNKFLNTVLLLVTTIIVAKLISSFIIPKSKKRLPPILPGWPIIGGLFRFLKGPIFMLREEYPKLGGVFTLKIFHKNITFLIGPEVSAHFFKAPEADLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKSYVNQMVSEAEDYFSKWGSSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDNARKKLAEIFANIISSRKGSNKSEDDMLQCFIDSKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLCNKQYLSGVVEEQKNLMAKHGDRVDHDVLAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTREGKEYDIPKGHIVATSPAFANRLPHIFNDPDKYDPDRYAIGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELLSPFPEIDWNAMVVGVKGKVMVRYKRRELSVNQ >CAK8567988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561267904:561278394:1 gene:gene-LATHSAT_LOCUS20991 transcript:rna-LATHSAT_LOCUS20991 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSTDCFLPALKPQLGFLNHDGHDRSCRSINVLRLRKAPKSPFLFSSQALDVKPHVQSNGRSSFSQTAAVKHLTGSVTRTQGLRFAVVVARFNEIITRPLLEGALGAFKNYSVQDEDIDVVWVPGCFEIGAVATRLGKSGKYHAIVCIGAVIRGDTTHYDAVANSAASGVLSAGLNSGVPCIFGVLTCENMDQAINRAGGKSGNKGAEAALTAIEMASLFEHHLQ >CAK8534576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744036912:744037385:1 gene:gene-LATHSAT_LOCUS4112 transcript:rna-LATHSAT_LOCUS4112 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDIGVDAFKKVNVVDTLQRDMKESLYLGCKSFTRLSAMLRLFHLKGKCGWTDRSFIELLEILKEMLQEGNISPNCSYKTKKILCPMGLNYVKTHACLNGCILYRKEYEKLKECPRCGESRYKQKENGVKDDDNVTRKGVPSKVMWYLLVRCWIEL >CAK8534977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799063616:799066343:-1 gene:gene-LATHSAT_LOCUS4467 transcript:rna-LATHSAT_LOCUS4467 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSHSKPDGTVKKIRKPKPWKHPQPITRTQLIQLRDEFWDTAPHYGGRKEIWDALRAAAEADLSLAQAIVDSAGVIVQSSDLTVCYDERGAKYELPKYVLSEPTNLIRES >CAK8577996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601171032:601171274:-1 gene:gene-LATHSAT_LOCUS30047 transcript:rna-LATHSAT_LOCUS30047 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLYWNARGLANSPTNLVLKKIILKHRPGFVFIAEPWMEGDVFFKSWLDKLSLKFFVVNLRDPSPLICGVLVLISTLLM >CAK8536725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11268658:11270280:1 gene:gene-LATHSAT_LOCUS6059 transcript:rna-LATHSAT_LOCUS6059 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPIHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8544428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683719841:683723837:-1 gene:gene-LATHSAT_LOCUS13108 transcript:rna-LATHSAT_LOCUS13108 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAAKVEEGREGTNGKLSVGPVYRNLLAKHRFPPSDPHLTTAWDIFSVAVKKYPHHRMLGWREFIGGKFGSYVWKTYKQVYDEVMHIGSALRSSGAQPGSRIGVYGSNCPQWIVAMEACCAHSLICVPLYDTLGSGAVNFIINHAEIDFVFVQDKKAMQVLNPDCKSASRLRAMVCFTSLTNEEKDKAINIGIKPYSWEEFLLMGKENRLTILPPQAHDICTIMYTSGTSGDPKGVVLTHENITYLVRGMDIFMEQFEEKMTTEDVYLSFLPLAHILDRTIEEYFFHKGASVGYYHGDLTALRDDLIELKPTLFVGVPRVFEKVYEGIKKAVEELNPVRKGVFGMLYKHKLGWMNKGYKHCSASPLADVLAFRKVKARLGGRVRLIIAGGAPLSSEIEEFLRVTSCAFLCQGYGLTETYGSTTLAYPDEMCMLGTVGPVSVYNELQLEEVPEMGYNPLGNPSCGEICLRGKTVFTSYHKNPELTKESIRDGWFHTGDIGEMQPNGVVKIIDRKKNLIKLSQGEYIALEHLENVYGITPIVEDVWVYGNSFKSVLVAVVVPNEEITKKWACSNVYVAPFSELCCLDQFKKYVLSELKLTAERNKLKGFEQIKDVILDPYPFDMERELVTATMKKRRNNILKYYQVEIDEAYQRLT >CAK8564056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648350258:648351320:-1 gene:gene-LATHSAT_LOCUS17399 transcript:rna-LATHSAT_LOCUS17399 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILIGLLIIAFFVVSSATNNFNQYFDITWGDGRGKILNNGQLLTLSLDKASGSGFRSKNEYLFGKIDMQLKLVPGNSAGTVTTYYLSSLGDSHDEIDFEFLGNLSGDPYIVHTNIYTQGKGNKEQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPLREFKNLESKGIPFPRSQAMSIYSSLWDADNWATRGGLVKTDWAQAPFTASYGDFNIQACVWTSSSGSSCSPKTPSSSNQPWMKQSLDSTGYARIQWVQKNYMIYNYCTDTKRFPQGLPPECSLA >CAK8543691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631801844:631802308:-1 gene:gene-LATHSAT_LOCUS12434 transcript:rna-LATHSAT_LOCUS12434 gene_biotype:protein_coding transcript_biotype:protein_coding MSADILGLARESNGIRILEPFDTSLKYSNASGKTNIHLSVSDIFMNFAVSISRLFLAVEDDILSFLRMTSKKMTIVCSHFDKVGVIENPHNDQIFAVWRLHDPPGFAVLGDYLTPLDKPPTKGVLAVNTNSITVKRPINFRLVWPPLVSSGQYR >CAK8571092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:292064908:292065549:-1 gene:gene-LATHSAT_LOCUS23785 transcript:rna-LATHSAT_LOCUS23785 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNDISKAKDQQKKIIVAEEESMARQQSACKKTKRSPLCQSMLISEFLEKNGKDVEKEMENLIEDEENIVLEEQEQEENVECEGDTEKNGTTKKRTRGPTRCLKIYARDVKDRQEVTLDDFGEPIGPNDQTVSDLGYFLGTIARNANFCPLIYTNFKELLKDETDPKHHNYHI >CAK8565865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345365269:345395557:-1 gene:gene-LATHSAT_LOCUS19044 transcript:rna-LATHSAT_LOCUS19044 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIQVSNELSFRVGFSGHSGHLRVEPLSTVERPKPQQSVPDFILPPAFPRETPESIKKFIEETYLQPRLDPDEFAPEKVGRQWEFDWFDRAKVPLEPSVPRTVVVPIWEPPFRRPVKETWKPKFEEVSVSDLSSGAVESGPLPRTSAKDFVRGSINNRPFRPGGLDDSQSLERTLPPGASNGEWVREILNGGPAQTIPPSLKQGLDLGALKRYPLSWNVYKDANTPKSSLDENLSGLSVQFDDLFMKAWEEDAVGEREQEEDGHLSEVESVTLEAEVDTTEVSSKANESGISLDDILSADSEGPKLHLEGFSGEVGHKKKLTWAKLEASEEIADRFHELIPDMALEFPFELDAFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIILLSATVPNTIEFADWIGRTKQKEIRVTGTTKRPVPLEHCLFYSGELFKICEREIFLPQGLKAAKDASRKKHLTAGVSSGPKPGTPAGHDNARVQRRENTSRTKQHGTNFSGTGSGYHNNGNGQSRWRAEASMWLMLINKLSKKSLLPVVIFCFSKNRCDKSADGMTGTDLTSSSEKSEIRLFCDKAFSRLKGSDRNLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTVRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVILMCRDELPEESDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEMQQLLKRKLNQPTKVIECLKGEPTIEEYYDLYFEAEKYNSQISEAVLLSPNAQSFLNIGRVVVIKSESAQDHLLAVIVKTPPTNSKLYIVFVIRPDMPFPVENASGGGKSQDKSGTFDQGFFVMPKSRRGHLDEYTTSVSARKGRGVINIKLPHRGSACGMSYEVREVDIKEFLCICSSKIKVDQVGLLEDVSSSVYAKTVQLLQDLKSDGNKYPPALDPVKDLKLREVGLVETYRKWTRLLEKMSQNQCNGCIKLAEHLKLAKEIKAHKEEVIELQFQMSDEALQQMPDFQGRIDVLKEIGCIDEDLVVQMKGRVACEMNSGEELICTECLFENQLDELDPEEVVALMSAFVFQQKNASEPSLTSRLSEAKNRLYKTAIRLGELQAQFNLPISPEEYAQENLKFGLVEVVYEWAKGTPFADICELTDVPEGMIVRTIVRLDETCREFKNSAAIMGNSALCKKMEIASNAIKRDIVFAASLYITGV >CAK8571631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:452114470:452134070:-1 gene:gene-LATHSAT_LOCUS24273 transcript:rna-LATHSAT_LOCUS24273 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHWTLTGKEVPIIGSDSVRWTDLSVPSSFNTTVDGGAAITDDCASCSVIGDPPTYLIWRIHKALPQTLELLELTASKEFPRVGLRFTFPSALYPFAFICKNEITGSSRFPYLLYVLTVTGVAYLLRIRNVSAYASCSILPVDELIELNVRGYVPNNAAITAVTATARCLVIGTADGSVFCFQLGGLDSSVPGFVHELRDEAGIGRLWGLISRGKMVGTVQDLVISELYGKKFVFALHLDGTLRIWDLASFSRVFSHNMGIMTMTGANFLRLWVGQSDPNSNIIPLAILYRHTSDENLETISLHSILYDFKDRIVFSLEPSVQNIPLEEGRCLDVKLVSDKIWILKDNELVSHLLAINVDEVEAFSYALQEEFVADQLFQSSEHLADEILRITHSIFKSSKDDILPFVSNIFLRRLLLPGVYHNAALLATLAEYNRHLGESELQTLTVDGLKKEILSLIEHEVGSDKVSIVHCWKCFFARYFHNWCKNNALYGLLVDSSTVAVGLIRKKSVSLFRSLEDIERIVEGSSEEVSELTGIVDLFDDDIECEVLIELLKCVTSFSQQLGKTASSIFYESLLTAPMISSEDIIRCIVKVLETGYSISGAVLRRSTSGDHTIFLQKELTDHRSLRKLSVDMFLSLQGLYKKASTWDKILNVIEGLLKFLVPQKEMLKFDTEMSSNINSSIMVHSSYQIAKVMFESAWDFLLFLSYLVDISGQVHLSPDDITKIQLELVPMLQEIIFEWLVIIFFAITPVAPAVTEDFHSKLSSLQIDNNTGKQIWNEKFARCDLTLAFIFLLNVRGSSIDHSHFSSEHISNMPSSINKMRDFISWIIWGQDGGSSTFLSRSIDLAFILFKHDQYCAAEQLLMMAEAHLLKEKTSQSVQDADGGWCIRHHLLGCCLLAQVQYGLHAAQKDKKISDAIRCFFRSASGNGASGALQSLSVDVGIPHLGFSGCTSIAAWKLQYYQWAMQLFERYNISEGACQFALAALEQVDEALHMKEEKCTDNSANESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGAIKILCSNKLPLIGLVEKVEQELAWKAERSDISAKPNLYKLLYAFQLHQHNWRRAANYMYMYSARLKTEAALKDNQGSSMMLQERLNAVSAAVNALHLVHPAYAWIDSLTDRNSLTSECYPSKKVKRTPEEYSDNEAETQKGQSAIDIEKLENEFVLTSAEYMLSLVNVKWTFSGKPGALSDLADLLVQNNLYDMAFTILLRFFKGSGLKRELERVLSEMAIKCCLDKVESTWIEEHGHLLTSSKLEMVVHGSPVTVPTAPQIDRNSRWATLKLYIEKYKDFHGRLPVIVAGTLLRADPKIELPLWLVQLFKEGQKERMWGMTGRESNPASLFQLYVNYGRYAEATYLLLEYIESFASMRPADIVKRKKPFALWFPYTTIEQLLYQLEESIRMGHMVDHCDKLKKMLHGSLLNHLKILKVDSDDTISATS >CAK8568334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596552895:596554640:1 gene:gene-LATHSAT_LOCUS21298 transcript:rna-LATHSAT_LOCUS21298-2 gene_biotype:protein_coding transcript_biotype:protein_coding METRASMKRTNSNESPSVLVPKRQRVVLEEFPDLNVTPDYHPIQNLQCTKNPNLNKSAPTSTLSLLPNSNLDNPVCNKSSAKRQNQQIMEPYASDISDYLRTMEEKRRPAVDYMDDVQRFITANMRGTLVDWLVEVADEYKLLQETLHLAVSYIDRFLSIHSINRSNLQLLGVSAMLIASKYEEITPPKAVDFCQITDNTYELPEVLEMEAHILKSLNFEMGNPNVTTFLKRFVTIASENRKTSNLQFEHLCNYLADLSLLDYECIQFLPSVVAASVIFLAKFIIRPRVHPWTLSLYESLGYGSDDLEDCVTILHGLYLSRRAASLKAVRGKYKKNKFKCVANLPSLPELREDYFEEVHG >CAK8568333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596552895:596554640:1 gene:gene-LATHSAT_LOCUS21298 transcript:rna-LATHSAT_LOCUS21298 gene_biotype:protein_coding transcript_biotype:protein_coding METRASMKRTNSNESPSVLVPKRQRVVLEEFPDLNVTPDYHPIQNLQCTKNPNLNKSAPTSTLSLLPNSNLDNPVCNKSSAKRQNQQIMEPYASDISDYLRTMEMQEKRRPAVDYMDDVQRFITANMRGTLVDWLVEVADEYKLLQETLHLAVSYIDRFLSIHSINRSNLQLLGVSAMLIASKYEEITPPKAVDFCQITDNTYELPEVLEMEAHILKSLNFEMGNPNVTTFLKRFVTIASENRKTSNLQFEHLCNYLADLSLLDYECIQFLPSVVAASVIFLAKFIIRPRVHPWTLSLYESLGYGSDDLEDCVTILHGLYLSRRAASLKAVRGKYKKNKFKCVANLPSLPELREDYFEEVHG >CAK8565860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345027132:345028379:1 gene:gene-LATHSAT_LOCUS19039 transcript:rna-LATHSAT_LOCUS19039 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPPLSGQLFGVCLISLWYASNIGVLLLNKYLLSNYGFKYPIFLTLCHMMACSILSYIAMSWMKVVPMQTVRSKVQFLKISSLGLIFCLSVVGGNISLKYLPVSFNQAIGATTPFFTAIFAYIMTLKKESWLTYMALVPVISGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGVLLSSDAEKLNSMNLLMYMAPVAVGFLLPASIIMEENVLGITIQLAREDSSIVWLLIVNSALAYMVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFKNPVSVTGMLGYSLTVIGVILYSEAKKRSKRDI >CAK8561780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:382945956:382947038:1 gene:gene-LATHSAT_LOCUS15339 transcript:rna-LATHSAT_LOCUS15339 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPNTNNTQNHENQQDSSSESKKPDQQQQQQQQQRVVVKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTNGGSLRNVPIGGGCRKKQKLKNSSLSSSSSPLSSITKYSPSLDFHLGGLLPLPLPLPFSSKPYFYNQLPSNPNTCVSTTTASNFHLDPSSTSNPMMGLNLYPFNSSTSNGSGFINENAIQGMNFMNVNTSTTTTTTTSTSLAIESLSCMNQDLHLKLQQQRLATMFGGGNDKKDSLSGNDLVSQVSSIEKPQPILFQNLENSKAEMFQGVGDDGDMRKAGPNPSEWFFEMNSYSSMMTPTRTNDSVCMNDDHEIENGNNSWINSGGVHAWGDMQQQQQQLPYSALP >CAK8568673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631144093:631151581:1 gene:gene-LATHSAT_LOCUS21611 transcript:rna-LATHSAT_LOCUS21611 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGELRVVSGRIGSSSIWRSGAADVFSGSSRREDDEQELQWAAIEKLPTYLRMTRGVLAESQGQQPIEIDVNKLGPLQRKNLVERLVKIAEDDNERFLLKLRERIDRVGLEFPTIEVRFEHLNVEAEAHVGSRASPTIINFSINLFEGFINFLHLIPSRKKPLTVLHDVSGIIKPKRMTLLLGPPSSGKTTLLLALAGRLGKDLKFSGRVAYNDHGMEEFVPQRTSAYISQTDLHIGEMTVRETLAFSARCQGRGTRYDMLAELSRREKAENIKPDPDLDIYMKAEALEGQETNIVTDYIIKILGLDVCADTMVGDGMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQMINSLRQSIHILNGTALISLLQPTPETYELFDDIILLSDGQIVYQGPRENVLEFFQHVGFKCPERKGVADFLQEVTSRKEQEQYWENKDEPYNFISVREFAEAFQLFHVGQKLGDELATPFDTSKGHPAVLTKNKYGVSKKELLKACVSRELLLMKRNSFVYIFKMWQLIFTGIVTMTMFLRTEMHRNTETDGGIYMGALFFILIVIMFNGYSELSMFIMKLPVFYKQRDLLFFPAWAYSLPTWILKIPISFVEVGIWVVLTYYVIGFDPSFERFIKQYFLLVCINQMASALFRFIGAVGRNIIVANTVGSFALLAVMVMGGFILSRVDVKKWWLWGYWVSPMMYGQNAITVNEFLGKSWSHIPPGSTEPLGVQILKSRGIFPEAYWYWIGVGASIGYILLFNFLFPLALHYLDSFDKPQALISEEALAERNAATAGDRQIIGLSPKSECSSAKGNASRRSFSSTTVSAKVGSISETDHSRKRGMVLPFTPLSITFDEIGYQVDMPQEIKAKGILEDRLELLKGVNGAFRPGVLTALMGISGAGKTTLMDVLSGRKTTGYIQGQITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPPEVDSATRKMFIEEVIELIELTSIREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRHCSHLINYFEGVNGVPKIKNGYNPATWMLEVTSEVQEEALGVNFAEFYKDSELYRRNKDLIRELSTPTEGSKDLYFTTQHSQSFLTQCMACLWKQHLSYWRNPPYSAVRLLFTIVIAFLFGTIFWNIGSKRERKQDLFNAMGSMYAAVLFIGAQNATSVQPVVAIERTVFYREKAAGMYSALPYAFGQVAVEIPYILIQTLLYGIIVYIMIGFEKTPAKFFWYLFFMFFTLLYFTFFGMMLVGATPDHNVAAIVSFGFYLLWNLFSGFVIPRTRMPIWWRWFFWICPMSWTLCGLVTTQFGDVKEHIDTGETVEEFVRSYFGYGDELKGVAAAVVVGFSLIFGSTFAFSIKAFNFQKR >CAK8564647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4991045:4991245:-1 gene:gene-LATHSAT_LOCUS17931 transcript:rna-LATHSAT_LOCUS17931 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIPLSKKVIKQIDTRCRSFIWAGSGDVSRKAPIFWKWVCTSKNQGGLNLVDLEDWKTAHLMKLL >CAK8541408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:156315431:156317193:-1 gene:gene-LATHSAT_LOCUS10329 transcript:rna-LATHSAT_LOCUS10329 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINYTNLYFILIILCFLDLSVSIDTITSTKFIKDPPTLSSNSGNFTLGFFSPENSSDRYVGVWWQPQFTVVLVLNRDQPLKDSSGVVTISDNGNDLVVLNGKKEVIWTSNAPNIATNSSSKLLDSGNLVLLEGATGRTVWESFQHPSNVVLPNMKLTSNKITGEKVKFTSWKTPFDPSVGSFSISVERLSTPEVFIWNETRAYWRSGPWNGKVLTGLPYMKPHYLGGTHIGDDGEGNVSFFKTSTNTVALVIYNLTSEGNVEEKLWDEQKKEWKITWNSHETECDVHGVCGHFASCNSESSPICSCLKGFEPRNKEEWNKQNWTGGCVRRTPLQHCERDRNQNASADNKADGFLKLQMVKVPDFADASSLTVSSESCRSRCLENCSCVSYSYDTDIGCMSWTGNLTDIRQFSNGGLDLYIRVAQAELVTGKVRNMSVIIIITVIAGTVLVLGCAYIIWRRRSNHHARNRNDNAIGELSLIKLQELLLFNFGKIATATTDNFHSSNKLGQGGFGPVYKVYQMQ >CAK8579467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701218182:701218664:1 gene:gene-LATHSAT_LOCUS31413 transcript:rna-LATHSAT_LOCUS31413 gene_biotype:protein_coding transcript_biotype:protein_coding MENVARNVYLHILSRGGYRLLEEKVKSERNSSRDDSTIDDNGSTSPPSRHEIWKRARQKKEGEYTSHSTQVAEKIDSLVEEAEKGVFVPGGPNDILTVAIGTSEHGGRGVGKHHKISTFLEGRLHVDDNI >CAK8576281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443391967:443393768:1 gene:gene-LATHSAT_LOCUS28483 transcript:rna-LATHSAT_LOCUS28483 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGNHPDKRRSQPSPAHSQPSSVNAAGSGRGGGRGSRGGRTAGSSSSAQAPPPPYASSPVTAPPFVAAPVTAPPFVAAPVTAPSFVAAPVTAHPSAAAPVASSSVAAPVASSSSAPNLPSPATVSTETLTAELKQKATLQSAPSSQKAIRFPNRPDYGRLGKKIQVRANHFQLQVADKDLHHYDVSISPEITSKKVCRDIIKQLVKLYLESQLDNRIPAYDGRNSLFTAGPLPFTSKVFVVNLTDENRGSSSDTDRKKREREFKVTIKFASKTDLYNLTQFLRRMQLDCPYETIQALDIALRATPSEKYIVAGRSFFSPSLGQQGPLGGGIEYYRGFYQSIRPTQMGLSLNIDVSSRAFYEPILVTDFVSKHFNFNFSRPLSDQDRVKIKKALRGVKVKLSHSGKIRSCKVTGFSREPLRDLT >CAK8565190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:62734271:62735284:-1 gene:gene-LATHSAT_LOCUS18424 transcript:rna-LATHSAT_LOCUS18424 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVSKKIPKTSSTGHFTTTLSSGGVEVRALSFDSLKQIIESLLEMNKEVVRVILECKKDICKCQELFDLVEEYFENSLQTLDFCTALEKCLKRARDNQLLILVAIQRFEEEFESGGKCYARTLQELKNFKATGDPFTEEFFKKFRSVYRREITMVEKLQGRKNKLDNKLKFIHSWKKVSSMVFVAALAAVLVCSVVAAAIAAPPVAAALASAAAVPIGSMGKWIDSLWGKYETGVKGQKEVIDSMQAVTHVAIKDLDSISELIDRLESEIESLLHNVDFAIEEEAVKVAMEEIKKKVGVLLKNVEDLGVEADVCSQDIRRARTVVLQRIIKQPII >CAK8531133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83243459:83243767:1 gene:gene-LATHSAT_LOCUS945 transcript:rna-LATHSAT_LOCUS945 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPIHSLHTFLSPTVCHNLVSKCDKPPSLSLPSLVLLHCRLCLRCDLLNGVKVVESDTCNSRLTLLSSKLSMLPAMLVLRLPSNQNPALKNGKTKSTYYSI >CAK8542986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564253023:564253816:1 gene:gene-LATHSAT_LOCUS11778 transcript:rna-LATHSAT_LOCUS11778 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSRLVFISDFVLSFMWLCSGVLVRLIVFKIRGFSHTHLAEIIKLSFSVANMFLFAFLAKASRGAYNPLPVLVDAITGDFRNLFLCVGFRIPAQVVGFIVGVKLVIGVIPIPEVGHGPRLNVDIFRGALTEGLLTFVIVMISLGLAATKIRKSFFIKTWISSLSKLTLHIIGSNLTGGCMNPASVMAWTYVRGDHISKEHIFVYWIAPIKATILAVWTFKLLVRRIKTGSKSKSD >CAK8576446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:479389947:479394335:-1 gene:gene-LATHSAT_LOCUS28633 transcript:rna-LATHSAT_LOCUS28633 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMDQYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIKHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKLNGAYFPEEKLCKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDHDIRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKILIKGMLRKSPEHRPTASEVLKHPYLQPYVDQYRPSFAPLTAFSPEKPLSSVKDTKKHMAESQNSTSSSSDKDSLMSIERNIATETTTLKSDSKATEIDVALINDDGSEQEAIKSSHNEQCSNVESKQPKTIRNIMMALKEGRGREATSPMRGSRVKAVGVSTQKVNTEVLSKLPKPTFISPGLKLNLESPAVPLVKATPDSAKRIQGSYHSKLQLLMTEPSPKVKPKHDMTPLSGLIKQVEGDGVPPRPRQRTPPSLLRRPSFAGRMRLAGVDVPNAANDSGKLGPSNSGQESEMSHCHMFNGVPRISRQITREPQKAFERSSKGLQTESSNSASSSVSIQGCELADDATTFIDMREHVLPNHDNITNIIGVESRPDSSQSTTCSHCKMAEEMSEDSNDVTLNFKNTISDEKVSSNLTLDDLPVENSKKMFASEDSLPKNQTTRSVDTPTEVAEEIEDLRDISKEMALTKSLKNPPSISGEKSVCEEFGSVNNLNNRPETVTGDDKFTVKDRLSLVSETAPVITATKISSQERPDASHLPAAFDDIIHVIRHSSYRVGSDQPVKESVEMGVQNVDVGKFINAMRDDIEMRNISSPMTLKSSTSSESATLKSNIPDQFEMRNASTSFSDAESLKSSVSDHPSLKEQEVNNTVSLISESDSAEHSKCNTPTTTEDKPPLAKEILDVKSFQQRAEALEELLELSADLLQQNRLEELQVVLKPFGKDKVSPRETAIWLARSLKGMMSDENGRRCSS >CAK8541609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:299173982:299174457:1 gene:gene-LATHSAT_LOCUS10517 transcript:rna-LATHSAT_LOCUS10517 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTRLPDQLPYPSLPSFQLKLRYSCRLCLRSLILRKLLLNCRRSLRIYAFHCVNMSFFQTIFWFLNLKRASLALGAWESILGLLQIMLPAQRPIEFMSFASVDEPFSKVAFC >CAK8574773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8869186:8876387:1 gene:gene-LATHSAT_LOCUS27083 transcript:rna-LATHSAT_LOCUS27083 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKYLIRNEYSLADPELYRAADKDDPEALLEAVAMAGLVGLLRQLGDLAEFAAEIFHDLHEEVMATAARGHSLTARVKQLEAEVPSLEKAFYSQTHHSSFFTNGGIDWHPNLQSEQNLVTGGNLPRLIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKMESASSVRATVQVHRERRIRKVKKKGARLRDGETPNAVPTHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFPLPVKPAPDDSSEAGIKILEISSIEKSIGDENSCSPPYEPEFELNQFSEEVGEANGDLVMVKEQISVGVRDEMSFNDVKVSDETELAINEQKKIEGSLVRYNSDDVTSEVDNYMDALTTMESELETDDEYKPKKSFLNLKKATNANNKERQLQARFSDSQSFGGSSTSDDISSFKQETTEEDIEVKARLSDSHSTGTSSTSDNNNSFRGNEDEHVELQAHFSDSQSTGKSFMSDLNSSSLKEIEGMPSNQPPEIVEFQNTDNRKFVMRDDAHVHEDGVSDSRQTNSELLTSGKMLCSDLDPTKPVTQPAVTQSDESVYDNIELNIRLGNGADRSDVVESVASKPSSPSLIEDDTCPVYSSDKISSDNLVDDSLCNDSQEGSLCPSIKELDLNSGLNVVLDRLDSKDEDCIDIARQLNPTVVKVPPVSFLNGELSSGITHNNPQDGLGSTEVEVQFSDLQSNDVNIPKMVHGDEINGFSSSVDPVEGDGHIENPSYNHVMVNGAITEIVESKDLPDPSVDNAQNDVGIITCPASSMICSPSRSLSNSQELVHASSDSYQMESNEVGLTQISMDSNTEMSESQLAPLSDTTSNIMFSRMSNLTKLEESVSAFSDPNEKETEDHEAVARESLTAHEAGHPELVSSDVPMNLNKLVPCDPPDLGNSIEKSPPRKKIIQSVFQDDAKMVPGFSGFDARQSESISYGQNDRNSFSSTPDNKLESETYLEPHLQSQLDEQNGEFSLKYEENFSSEKSQSQQMHINQLKQEDTHATSESVSEAPADESPSFYSSAQSSGLEINPTEYVTDPLKPLLPDLFPKETENKLDEMPPMPPLPPMQWRMGKVPHASLDSHREELEVHPASVQPIQPVMPDTKSQFGFTASDGNTLFHQNPFLPVMALESDKLQHSSAVGVSGHPVALPFQFPIVVNEANGQYNYLVLDRNQIQNPLLTLPMVPTMHPPLGYVVASDGEVVQTLNPYAPILPAAYTASGHDSTSPQVDPFQHPTQVMTENSADDKTLEQPINNVVCRDEPPNSHVIASDGEMVHNSNPFLPIPPVECSNSGHDSISPIENVSESPSQLMTETSSDDTTLPQPMNNVISMDSHIVISEEETVQNFNPGPPVLSAESAVSDHDSISPQEKLTQPPTHLLRQTSLEVKTPNHSVSNVEGEQGRLGISLMLPPNMENVELNQSFQPFEGEMSSLGPSAQTSDFESERTNVKPRHKIPRPRNPLIDAVAAHDKSKLRRATERVMPQIAPKVDERDSWLEQIRTKSFNLKPAVAKRPSIQGPKTNMKLAAILEKANSIRQALAGSDEDDDADSWSDS >CAK8575473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131832217:131833446:-1 gene:gene-LATHSAT_LOCUS27733 transcript:rna-LATHSAT_LOCUS27733 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGLPSGKENVHWLTQKELQSAYVHVLINCIEVRPYLEAFNASYFQSTGEQATSGQIHAPFPAWFKDQLSCSVAPTQEILHLRNLSRGPIQRENEWHTYFVSGYKFPTQTWTEGKKTINSGVFVKGVTDGGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDWYDPSARGTKIDKKYNTVDIRMDRTYKEYDPFIMAHIVKKVYYVSYPSIQSRKRGWCVVIKTKPLGHIETDDLVEDAAYQDHEISQINDVVEVEEIPNLCDTLVKGHQIDASVLLVDNNVDEEHADNGSEDIIGSDDENNMAEEHEEFE >CAK8575474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131832217:131833425:-1 gene:gene-LATHSAT_LOCUS27733 transcript:rna-LATHSAT_LOCUS27733-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGLPSGKENVHWLTQKELQSAYVHVLINCIEVRPYLEAFNASYFQSTGEQATSGQIHAPFPAWFKDQLSCSVAPTQEILHLRNLSRGPIQRENEWHTYFVSGYKFPTQTWTEGKKTINSGVFVKGVTDGGEDDFYGVVTHIYELAYNYLDSENRVVLFYCDWYDPSARGTKIDKKYNTVDIRMDRTYKEYDPFIMAHIVKKVYYVSYPSIQSRKRGWCVVIKTKPLGHIETDDLVEDAAYQDHEISQINDVVEVEEIPNLCDTLVKGHQIDASVLLVDNNVDEEHADNGSEDIIGSDDENNMAEEHEEFE >CAK8559977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2819670:2821427:-1 gene:gene-LATHSAT_LOCUS13701 transcript:rna-LATHSAT_LOCUS13701 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDDEFSLGLSLTLGCGEYDNSKNKNKTPLNVMHKPLQSVPNQRVSFNNLFHFHDLSTEMRSFLRGIDGNSLRPSSAAVFEDENGVSSPNSTVSSISGKRSEREGNGDENDAVERASCSRGGSDDDDGGGCGGDGESSRKKLRLSKEQSVLLEETFKEHNTLNPKQKQALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKEVQELRALKLSPQLYMQMNPPTTLTMCPSCERVAVSSASSSSAAAPSASTPANRNPLGPSVQRPVPVNPWAAMSLQNCPRS >CAK8572313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528488332:528491966:-1 gene:gene-LATHSAT_LOCUS24891 transcript:rna-LATHSAT_LOCUS24891 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLHLQGTPENIIVGSHVWIEDPEVAWIDGHVLKINGKDAEIETSDGKKVVANLSKIFPKDMEALPGGVDDMTKLSYLHEPGVLQNLKARYELNKIYTYTGNVLIAINPFQKLPHIYCAQMMQQYKGVPLGELSPHVFAIAGVAYRAMVNEGKSNSILVSGESGAGKTETTKLLMQFLAFLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVNDPERNYHCFYLLCAAPQEEIEKYKLGNPKLFHYLNQSQCYELADVNDAHEYLATCRAMGIVGISQKDQEAIFRVVAAILHLGNIDFGKGKEIDSSTPKDDKAKFHLKTAAELLRCDADALEDALCKRVMITPEEVIKRSLDPGSATVSRDGLAKTIYSRLFDWLVDKINESIGQDPNSKCLIGVLDIYGFESFKLNSFEQFCINFTNEKLQQHFNQHMFKMEQEEYTKEQINWSYIEFEDNQDVLDLIEKVFFLLFTFFKALSIPNSF >CAK8538822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497044293:497046248:1 gene:gene-LATHSAT_LOCUS7978 transcript:rna-LATHSAT_LOCUS7978 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRGLLGAVGAIHHTRSLATRGGATISSAVDSMILRSLKDHYMEVAKMNMPPKVSPPSSFTIVKGALDSEGPVLKRNHGDEEVSIYVMRLANVDDEQSGAMDQLFIHVDVSKPEQKESLNFLCALYEDALGIHSVSMRPKLQQSDGYILAPTDYAGPVFEELDEKMRDAFHNYIEERGINDSLFKFLQAWLYVKEHRNLMRWYKTMGLFIDGKKPATGA >CAK8530268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10800664:10802483:1 gene:gene-LATHSAT_LOCUS146 transcript:rna-LATHSAT_LOCUS146 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHALLIPFPIQGHINPFLKLAKILHNKGFYITFLNTEFNHKRLLKSTASHDFLNGLSDFKFETIPDGLPSTNNIDSTQNIPDLCQSTSKNCLVPLCELISKLNDPPVTCLISDGIMAFSIQASQQFGLPNVLFWAHSACGFMGFKECRNLMEKGLTPLQDASYLTNGHLDTIIDWIPGMKNITFRDLPGIYRTTQPNDSLLNFVAEQIESASKASAIILPTFDALESNVLNELSTMFPKLYTIGPLELLLDKITANNSFESIKCNLWKEESECLKWLDAQEPNSVVYVNFGSVIVMKYNQLVELAWGISNSKKKFLWVIRPDIVKGEGEGESERLLVPQEIVEETKDRGLMVGWCPQEKVLKHEAVGGFLSHCGWNSTIESICNGVPLICCPYFNDQFINCKYICSEWKFGMVMDSENVTRDEVEKVVVELLEGEKGKEVKRKAIEWKKMAEEATDFGGSSCLNLEKLVNEVLLFKS >CAK8562762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533916034:533916379:1 gene:gene-LATHSAT_LOCUS16231 transcript:rna-LATHSAT_LOCUS16231 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAMKQLPSKERLSLNVTAPVPSSDLVEEQNHGHMIPSELELTRDAICSQPFHHSSRHIFKYSHLLYLFEVTNRHHM >CAK8574249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672256184:672258564:1 gene:gene-LATHSAT_LOCUS26609 transcript:rna-LATHSAT_LOCUS26609 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSTEEDDVSALCINEALTDDELRSILARFDNDKDKETFGLVCKRWLRLQSTERKKLAARAGPHLLRKMADRFTRLVELDLAQSVSRSFYPGVTDSDLAVIANGFRCLKILNLHNCKGITDVGMKAIGDGLSLLQSLDVSYCRKLTDKGLSAVAKGCCDLRILHLTGCRFVTDSILEALSKNCHNLEELGLQGCTSITDNGLINLASGCQRIKFLDINRCSNVSDVGVTSICKASSSSLKTLKLLDCYRIGDETILSLANICDNLETLIIGGCRDVSNDAIKFLATARRNNLRNLRMDWCLNISDSSLSCILSQCRNLEALDIGCCEEVTDTAFHHISSEEPGLSLKILKVSNCPKITVVGIGILASKCSHLEYLDVRSCPHITKAGLDAAGFHFPDSCKINFNGSISEPAVLL >CAK8574750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8535777:8537341:-1 gene:gene-LATHSAT_LOCUS27064 transcript:rna-LATHSAT_LOCUS27064 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFALTSIFFSHSTKLLIFPFKPPSSHLPFSLPLRFTRTTCTLQHQQHKQPQTTRSVIGILEERGLLDSITNDSLRSISSNTINAPLKVYCGFDPTAESLHLGNLLGLIVLSWFRRSGHNVVALIGGATARVGDPSGKSLERPELDVETLERNTAGIENIIRTILGRAKNPNFEDLSMNGNDSSVVVLNNYDWWKEFSLLDFLKRVGKYARVGSMMAKESVRKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGVNVQIGGSDQWGNITAGTELIRKILQVEGATATYGLTFPLLLKSDGTKFGKSEDGAIWLSPSLLSPYKFYQYFFSVPDADVIRFLKILTFLDIDEIVKLEEETKKPGYVPNTAQRRLAEEVTRFVHGEDGLREALRATEALKPGSETKLDWKTIEGIAEDVPSCSLAYDEVLNQSLVDLSVSSGLFDSKSAVRRLLKQGGLYLNNSRVDSENKRIEVADIVDGKVLLLSAGKKNK >CAK8574751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8535848:8537341:-1 gene:gene-LATHSAT_LOCUS27064 transcript:rna-LATHSAT_LOCUS27064-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFALTSIFFSHSTKLLIFPFKPPSSHLPFSLPLRFTRTTCTLQHQQHKQPQTTRSVIGILEERGLLDSITNDSLRSISSNTINAPLKVYCGFDPTAESLHLGNLLGLIVLSWFRRSGHNVVALIGGATARVGDPSGKSLERPELDVETLERNTAGIENIIRTILGRAKNPNFEDLSMNGNDSSVVVLNNYDWWKEFSLLDFLKRVGKYARVGSMMAKESVRKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGVNVQIGGSDQWGNITAGTELIRKILQVEGATATYGLTFPLLLKSDGTKFGKSEDGAIWLSPSLLSPYKFYQYFFSVPDADVIRFLKILTFLDIDEIVKLEEETKKPGYVPNTAQRRLAEEVTRFVHGEDGLREALRATEALKPGSETKLDWKTIEGIAEDVPSCSLAYDEVLNQSLVDLSVSSGLFDSKSAVRRLLKQGGLYLNNSRVDSENKRIEVADIVDGKVLLLSAGKKNKVLVRIA >CAK8533563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636971278:636973134:-1 gene:gene-LATHSAT_LOCUS3183 transcript:rna-LATHSAT_LOCUS3183 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETFDIIILGASGFTGKHVLKQALKFLNKNNFNSIAIAGRNPSKLTQTLNWATRPDPPPSIPILVADTTDPLSLRSLCQQTRLILNCVGPFRLHGEPVVAACVETGCDYLDITGEGEFMDRVEIGYHEKGVKNGSLIVPACGFDCVPAEIGLLFHLKQWAGECLPNRVEAFLKVESERKVVGNFGTFESAVLAIADLKEMKQRRDVQAIKRAKPVIPGPLPKGKTIEHQKKIGLWGITLPSADATSVGKTFSVLTENPNGLPGLNESLETVEKKKVFWSSMKPVHFGVKLGSKSLLGIFGYIAFGIILGLFGSFSFGRRLLLKYPSIFSLGGFSKNGPSEEEIESCSFKMWFVGHGFSSNEKLAANGNSKPDMEVITRITGPELGYVTTPIIMIQCALIVLSQRNNLPKGGVYSPGIVFGSTDLQERLQQNGISFDVVSKSKISS >CAK8569178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:679659827:679662270:-1 gene:gene-LATHSAT_LOCUS22062 transcript:rna-LATHSAT_LOCUS22062 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWPNSDHDSKNQQNSTSSADGNKERYGGKIVTPNLKMFTLDELKSVTRNFRPDTVLGEGGFGQVFKGWIDKNTYKPSKVGVGIPVAVKKSSADSLQGLQEWQSEVKFLGKFSHPNLVKLLGFCWEEDQFLLVYEYMQKGSLESHIFRSPEPLSWDIRMKIAIGAARGLDFLHTSDKSVIYRDFKSSNILLDGDFNAKLSDFGLAKLGPVNGRSHVTTRIMGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEMLTGLIALDPARPTNQMNLVDFTKGSLHDKKKLKKIMDQRLDQQYPLPAAFLIAQLILQCLEADPKNRPSMEQVLEILEKVQTIKYKPKVKKTTSSQQQQQNKRPKEHPINDQ >CAK8536512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948564770:948566408:1 gene:gene-LATHSAT_LOCUS5873 transcript:rna-LATHSAT_LOCUS5873 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8578001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601390812:601391168:-1 gene:gene-LATHSAT_LOCUS30052 transcript:rna-LATHSAT_LOCUS30052 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTSMLILVATLLVSSAIAQSPTRSPVSSPTKSPQSPSPAVSPSVPVKNAPSPSPSAVNSPPSPPLTPAVTPAVTPSAISSPPSEAPSPSENSAAFNRFTVAGSAAVVVFASALMM >CAK8544999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717295789:717306922:-1 gene:gene-LATHSAT_LOCUS13635 transcript:rna-LATHSAT_LOCUS13635 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKGILILFDESGAIDHELKNKAKTYCSHIKEKPSVCRLCIEKLCCSNLVQVQFWCLQTLHEVIQTRYSTITPDEKNVIRATVFSIVCLEDKNPTRVLDGPAFIKNKLAQVLIALIYFEYPLIWSSVFVDFLPHLRKGNLVIDMFCRVLNALDDELISLDYPRTPEELTVACRVKDAIRQQCVSDVVRAWYDIISMYRNSDQDLCAIVLDSMRRYISWIDIGLIYNDVFVPLLFDLILVGAPSDQLQGSAIRCLLAVVSKRMEPQSKLSLLQSLQISRVFRLVTEDGNADLVPDIAALLSGYAVEALDCFKRISSEEAKGVSMELLNEVLPSVFYIMKNFEVDASFNIVQFLSSYVSTLKSLTPLSEKHILHMGQILEVILGLIRYDPEYRNNLDVMDKIGREEEDRMSEFRKDLFVLLRTVGRVAPNVTQLFIRNSLASAISRSSDSNVEEVEGALSLLYALGESLSEESIRTGSGLLSGLLLMLLSTKFPCHSNRLVALVYLETVTRYVKFIQDNTQCIPIVLSPFLDERGIHHPNISVSRRASYLFMRVVKLLKVKLVPFIAVILQSLPDTVARFTTTNYTTEELSGSEDGSHIFEAIGLLIGMEDVPPEKQSDYLSSLLSPLCQQVEALLRNAELLSYEETNARIAVIQQIIMAINSLSKGFSERLVTASRPAIGNMFKQTLDVLLHVLVIFPRVELLQNKVTSFIHRMVDTLGASVIPYLPKALEKLLAETEPKQMSGFLVLLNQLICKFNVLVRDILEEIFPSVADRIFSVIPREGLPSGLDTVTEEIRELQELQRTLYTFLHVITTHDLSTVFISPKCKAYLDPVMQLLFYSSCNHKDVLIRKACVQIFIRLTKDWCAQPYEEKVPGFRSFVIEMFATNCCLYSVLDRSFDFRDANTLVLFGEIVVAQKVMYDKFGDDFLVHFIPKAFSVARCPPDLAEQYCQKLQGSDIKALKSFYQSLIENLRLQQNGSLVFR >CAK8573412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:610762801:610763841:-1 gene:gene-LATHSAT_LOCUS25859 transcript:rna-LATHSAT_LOCUS25859 gene_biotype:protein_coding transcript_biotype:protein_coding MACTNKDQKTTNETTNTVSSSSSSPIFISTENLRTILTHQILMNHIDSNLPKVSTFLQTPIRQHYNLSPSSSLLLMPSWSSSSSFPYVGVKLVTHFPQNSSINLPGVQGSYVLFNSTTGQTLASMDSTELTLYRTSCVSGLASRYLSRDDSEVLVMVGAGSLAPHLIRAHFSARPSLRKVLIWNRTVEKAEALAEKLRESDEFSLSGMSFEGCGCLDEVVGFGDIVSCATNSETALVKGERLKVGAHLDLVGSFKPSMKECDDEALKRGKVFVDNEAALVEAGELVGAFERGVIKEDEIEANLVELIKGDKVGRRSSEEITVFKSVGSAVVDMLAAQFVYETYTGK >CAK8570156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:26377130:26378668:1 gene:gene-LATHSAT_LOCUS22933 transcript:rna-LATHSAT_LOCUS22933 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSIAAANFHPFVLSEELQFSLTQFADDTVILGDSNRENILAVKVMLRGFELVSGLKVNFSKSKVIGVNLDDGFLEVASNFLSCATTSLPFNFLGIPIGANPRRKITWEPLVTKIRNKLSLWRGKHISFGGRLTLINSVLNSIPVFLFSFYRAPKLVLQEIINIQRAFLWKGEEQKRRINWVAWTDICKSKADGGLGIKDCEAFNIALLSKWAWKFCSNSSDMGASILTFRYGDIKRILLEPSRFSTYFPKASIWWRDMKEIVDKFGEEESWFGKRVSYKVGNDMLIDFWHYLWLGSQPLKLMFPSLYESALNKSASVFSSGFWIDGLWHWNDSFDTDSLPTLAVQELQELRLILSGTQPHQQGRDSFIWWRHPQGFSVKNAYLRLFSLKGERSAMNPSTSSLLIKIWNASIPSSINFSGWRAVLDRLPTRYQLWKRDMVNSTGLLCPLCREEEETVCHLFILCRISVHVWSLVFAWLGTQPPDMNSILDNMEILNSALSGSVEKIFRCRI >CAK8567470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516333494:516335548:-1 gene:gene-LATHSAT_LOCUS20519 transcript:rna-LATHSAT_LOCUS20519 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQHPRVAAAIAATTTNQVIESIIYHLKVGRIQKAASILFAFPLPFPHSLYAHFFRHCSSPKAIVAAHKVEFHLVATSPNPPTFLRNRALEAYAKCSSLHDARELFDQMPHRDGGSWNALITAYSRMRYPHEALSLFLSMNRSGVRANNITFAGVLSSCAAVSELPLSQQVHGLVVKFGFCANVIIGSALVDVYAKCGVMAYARRMFHEMPRRNAVTWNVIVRRYLDVGDAKEAVLMFTRMFSARVKPLNFTFSNALVACSSMHALEEGMQIHGVVVKWGLCEDNVVSSSLINMYVKCGELEIGSRVFHQLVSKDLVSWTCIVSGYAMSGKTWDARRLFDQMPERNVITWNAMLAGYTRFFKWYEALDFVGLMLDTVKDLDHVTLCLMLNVSSGLSDHEMGKQLHGYVYRHGFHSNLMVGNALLDMYGKCGNLNGARVWFNLMSNWRDMVSWNALLASYGHHHLSEQALTMFSEMQWETKPTKYSFGTLLAACANTYALRHGKQIHGFIIRHGFQIDTVIRTALIYMYCKCYCLEYAVEVLKGTVSRDVIMWNTLILGCCHNHRGRDALELFGIMEAEGIKPDHVTFEGILLACVEEGLVEFGTRCFNSMSKEYHVLPQMEHNECMIELYSRYGCMDELDNFMKTMTIEPTLRMLERAFDACQKNESPRLGQWIAEKINKFEH >CAK8567471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516333494:516335545:-1 gene:gene-LATHSAT_LOCUS20519 transcript:rna-LATHSAT_LOCUS20519-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHPRVAAAIAATTTNQVIESIIYHLKVGRIQKAASILFAFPLPFPHSLYAHFFRHCSSPKAIVAAHKVEFHLVATSPNPPTFLRNRALEAYAKCSSLHDARELFDQMPHRDGGSWNALITAYSRMRYPHEALSLFLSMNRSGVRANNITFAGVLSSCAAVSELPLSQQVHGLVVKFGFCANVIIGSALVDVYAKCGVMAYARRMFHEMPRRNAVTWNVIVRRYLDVGDAKEAVLMFTRMFSARVKPLNFTFSNALVACSSMHALEEGMQIHGVVVKWGLCEDNVVSSSLINMYVKCGELEIGSRVFHQLVSKDLVSWTCIVSGYAMSGKTWDARRLFDQMPERNVITWNAMLAGYTRFFKWYEALDFVGLMLDTVKDLDHVTLCLMLNVSSGLSDHEMGKQLHGYVYRHGFHSNLMVGNALLDMYGKCGNLNGARVWFNLMSNWRDMVSWNALLASYGHHHLSEQALTMFSEMQWETKPTKYSFGTLLAACANTYALRHGKQIHGFIIRHGFQIDTVIRTALIYMYCKCYCLEYAVEVLKGTVSRDVIMWNTLILGCCHNHRGRDALELFGIMEAEGIKPDHVTFEGILLACVEEGLVEFGTRCFNSMSKEYHVLPQMEHNECMIELYSRYGCMDELDNFMKTMTIEPTLRMLERAFDACQKNESPRLGQWIAEKINKFEH >CAK8541947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:440514725:440515254:1 gene:gene-LATHSAT_LOCUS10833 transcript:rna-LATHSAT_LOCUS10833 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSQGLTDASKIAILNANYMAKRLESYYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKHLMDYGFHGPTMSWPVAGTLMIEPTEGENLGCYGSEDSYVRRLNCEVDIHM >CAK8560856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50944504:50946054:1 gene:gene-LATHSAT_LOCUS14499 transcript:rna-LATHSAT_LOCUS14499-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAMEYQEGEEIHNCLIKQRDNPKRRRDKVYIGCGAGFGGDRPLAALKLLQRVEELNYLVLECLAERTLADRYQIKSSGGDGYDSQISSWMNMLLPLAFERGTCIITNMGAMDPLGAQQKVLEIATALGLDVSVAVAHEVSVTDLGI >CAK8560855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50944504:50948879:1 gene:gene-LATHSAT_LOCUS14499 transcript:rna-LATHSAT_LOCUS14499 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAMEYQEGEEIHNCLIKQRDNPKRRRDKVYIGCGAGFGGDRPLAALKLLQRVEELNYLVLECLAERTLADRYQIKSSGGDGYDSQISSWMNMLLPLAFERGTCIITNMGAMDPLGAQQKVLEIATALGLDVSVAVAHEVSVTDLGSGFSPKKIYITEGGISTYLGAAPIVQCLEKYQPNVIITSRLADAALFLAPMVYELGWNWDELEHLAQGSLAGHLLECGCQLTGGYFMHPGDKYRDMSFQQLLDLSLPYAEIRFDGEVCVAKAEGSGGVINFNTCAEQLLYEIGDPSAYVTPDVVIDFQDVSFLPLSSSRVLCFGAKPSTISGPDKLLQLVPKDCGWKGWGEISYGGYECVKRAQAAEFVVRSWMEEIIPGLNHHILSYLIGYDSLKAFSSDENASPQRTSEDIRLRMDGLFEQKEHAIQFTREFTALYTNGPAGGGGISTGYKKEILLEKHLVNREDVFWRIGIKRSTKSQSNAVVDHEYNLKHISTLQPKLQSETDKSSEFVSSCRSSTPAPSGQKIPLYNVAHSRAGDKGNDINFSLIPHFPPDIKRLKPIITCEWVKSVLSPLLDMSCSLDFDARDQRDKFVGENVNVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >CAK8579705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717807984:717809576:-1 gene:gene-LATHSAT_LOCUS31631 transcript:rna-LATHSAT_LOCUS31631 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHRSSKTESYTDNKRKDDVRQSNIIAARSVANAVRTSLGPKGMDKMISTSSNEVIITNDGATILHKMQVLQPAAKMLVELSKSQDSAAGDGTTTVVVIAGALLEKCLLLLSHGIHPTVISDALHKASVKAVDILTAMAVPVELSDRESLVKSASTSLNSKVVSQYSSLLAPIAVDSVLSVVDSDKPNMVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRVEKLGYADLVEELSLGDGKIVKISGIKDMGKTTTVLVRGSNVLVLDEAERSLHDALCVVRCLVAKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCIRAFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >CAK8540094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539557383:539558892:-1 gene:gene-LATHSAT_LOCUS9131 transcript:rna-LATHSAT_LOCUS9131 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFASLNSLHTSGSNESTNKDSSSSSSCNKSKVWEMPSSESGKLLEISNLKVFSYGDLKIATKSFKSDALLGEGGFGKVYKGWLNAETLAPAKAGSGMMVAIKKLKPDSLQGVQEWQTEINFLGRLSHPNLVKLLGYCRDDDEFLLVYEFMPRGSLENHLFRRNTREPISWKTRLRIAIDAARGLAFLHSSEKQVIYRDFKASNILLDGNYNAKISDFGLAKFGPSGGDSHVTTRIMGTHGYAAPEYIATGHLYVKSDVYGFGVVLLEMLTGLQAHDSKRPSEQQNLVEWIKPSLSDKKKLISTVIDCRLEGQYSSKAALETAKIILKCLESDPRKRPSMNDVLETLEGIKAIKDRRKISKHRCTKSAAN >CAK8565393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:163248598:163250536:-1 gene:gene-LATHSAT_LOCUS18611 transcript:rna-LATHSAT_LOCUS18611 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQCFLHHQYAITTPTRTLSQRQVVTTKPNHIVCKAQKQDDVVDAVVSRRLALSVLIGAAAVGSKVSPADAAYGEAANVFGKAKTNTDYLPYNGDGFKLLVPAKWNPSKEREFPGQVLRYEDNFDATSNVSVLVQTTDKKSITDYGSPEEFLSKVDYLLGKQAFFGQTDSEGGFDTNAVAVANILESSAPVIGGKQYYNISVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVEDTASSFSVA >CAK8576679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505373416:505374773:1 gene:gene-LATHSAT_LOCUS28852 transcript:rna-LATHSAT_LOCUS28852 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKSRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQEKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAKAKNKSVPQIVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISKMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8530922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63502461:63504443:-1 gene:gene-LATHSAT_LOCUS749 transcript:rna-LATHSAT_LOCUS749 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKVREPKEETVTLGPAVKDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >CAK8561168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:110545018:110559014:-1 gene:gene-LATHSAT_LOCUS14780 transcript:rna-LATHSAT_LOCUS14780 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLLQFLLFLFLASLFICNTIIADQIAKPYVVYMGNSISSQNNVDVDGQIPESVHLQLLSSVIPSEESERVKLIHHYSHAFSGFSAILTENEASALSGHDGVVSVFPDPILELHTTRSWDFLDSDLGMKPLVTTPQHSSNDIIIALIDTGIWPESPSFRDEGIGKIPSRWKGVCMEGHDFKKSNCNRKLIGARYYNTQDTLNSNKTRIEGAKGSPRDSIGHGTHTASTAAGVFVNNASYYGLAKGTARGGSPFTRIAAYKTCSEEGCSGSTILKAMDDAIRDGVDIISISIGLSSLMQSDYLNDPIAIGAFHAEERGVMVVCSAGNDGPDPYTVVNTAPWIFTVSASNIDRNFWSTIVLGNGKTFQGAGINFSNLTRSTMYSLVFGEEIAAKFAPSSEARNCYPGSLDYTKVAGKIVVCVNDDPNISRRVKKLVLQDARAMGMILVDENNRDVSFDSGAFPFTEIGNLEWHQILHYINSTKNPTATILPTIEAPRYRPAPIVASFSSRGPSSLTENILKPDVMAPGVAILGAMVPKSDEPGSVPIGDKPSLFGIKSGTSMACPHVTGAAAFIKSVHGRWSPSMIKSALMTTATTYNNMKKPVTNSSNYIANPHEMGVGEINPLKALNPGLVFETNVEDYIRFLCYYGYSKKIISSVSKTNVTCPKTSQDLISNINYPSISIQTLKRNEKVKMITRTVTNVGVSNATYVAKVHAPEGMVVNVFPNKLVFSENVQRITYKVSFYAKEAHSGYNFGSLTWLDGRHYVHTVFAVKVE >CAK8570707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92131733:92132737:1 gene:gene-LATHSAT_LOCUS23440 transcript:rna-LATHSAT_LOCUS23440 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMGNYSTSAIKEEDNISEVDDKNIIEDTSEHANEISQEENKNIPTSSLAKDIPQDVLDTDDDVKEKKTQTISIAEANDAYDINMKNQMHPKSEDVVEKARTELGQVSMQEEIHGHDVEEKTQEEIRGDGMEEKTQSISTARFANGGYETRESLTRLSTESNPDNLNVTSHMQKSPSFNLNLRTESRRETDHIPLLCKSANDSLSNKLSQNLSNSMAHDEYDHIEEKIVTMERSYSEISKSSFIGFLKDEEEEEADLLVMEQTQDNNAGLKMEMLSSTSPKGKEKSKFMSHFFTSCMCCATLPN >CAK8563077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572068367:572072439:1 gene:gene-LATHSAT_LOCUS16522 transcript:rna-LATHSAT_LOCUS16522 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPTRQLFINGDWKAPLLNKRIPVINPATQNIIGDIPAATKEDVDAAVAAAKTALTRNKGADWATASGAVRARYLRAIAAKVTEKKPELAKLESIDCGKPLDEAAWDIDDVAGCFEYYADLAEKLDDRQKSPVSLPMDTFKSHVLREPIGIVGLITPWNYPMLMATWKVAPALAAGCAAILKPSELASLTCLELGEICKEVGLPPGVLNILTGLGPEAGAPLATHPDVDKVAFTGSSATGSKIMTAAAQLVKPVSLELGGKSPLVVFEDVDLDKAAEWAIFGCFWTNGQICSATSRLIVHESIATEFLNRIVKWIKNIKISDPLEEGCRLGPVVSEGQYEKILKFVSNAKSEGATILTGGSRPEHLKKGFFIEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEAIDLANDTVYGLGAAVISNDLERCERVTKAFKAGIVWVNCSQPCFTQAPWGGVKRSGFGRELGEWGLDNYLSVKQVTQYISEEPWGWYQPPAKL >CAK8532404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257601769:257602239:-1 gene:gene-LATHSAT_LOCUS2114 transcript:rna-LATHSAT_LOCUS2114 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVESLEQLGYIDGENLFGAPYDFRYGLAMAGHPSRVGSKLNELKSLVEEASNSNGGKPVILVSHILGGLYVLELLNQNPTSWRKKFVKHFIALFAPWCGTVDEMSNLWLLPNPKMFDVEKPLVTTLNRSYSAHDMVDFLKDIGYPKRVYPYET >CAK8569620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6874140:6875854:-1 gene:gene-LATHSAT_LOCUS22451 transcript:rna-LATHSAT_LOCUS22451 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYVSSCNGGESAKRNLQIIEYSSPYVDESCMKLSSFSLLPWFDVRVFYVRMSGIEVNDESTSRFLYLHHVPLSPDTLLEINGVRSNMNNDEDSSILRRDRIDKKSEQVTFVNTDSIRLNGSVKFEICDKDQCILSCVLEMNKRFWSMKCETEMKANSGFFKGKHVSVPELGCPEIEVYVAGCFLGTPVVLTRTLQFNCRKKQNRKLGVLDVIPEYETTEYKKDVSDHDHHELDLQGGEYRRFKEEEEDYNNMYWQRTAYMDMEDGELSWFNAGVRVGVGIGLGICVGVGIGVGLLARSYQTTTRNFKRKFI >CAK8572743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559740394:559740648:-1 gene:gene-LATHSAT_LOCUS25272 transcript:rna-LATHSAT_LOCUS25272 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEKGKAAISDNTEDDCVQRSSNIQHVEKFHFGKALAFRAVYGSGNFQFTGSRKARGNDSKTSSPSRLSKVTYTVVFDNDKDN >CAK8533692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650619142:650622522:-1 gene:gene-LATHSAT_LOCUS3296 transcript:rna-LATHSAT_LOCUS3296 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLLTSSVRLLLTIMQYFIHKDFHEAVAKMSIFDAFLFLIIHSIDKMVAWHRFPVLLGLLYLAIRRHLHQKYNLLNVGTTEGIRSNPSDYPYRTLDGRYNDPLNDIAGSRGSFFGRNVHPVDQKNKLLKPDPMVVVTKLMERKTFKDTGKQFNVLAAAWIQFMIHDWIDHLEDTQQIELTASSEVANQCPLKSFKFLKTKEILTGSCDIKTGCANIRTPWWDGSVIYGNTDKVFRQVRTFEDGKLKISKEGTLLHNEDGVAISGDVRNSWAGVSVLQSLFIREHNAVCDALKKEYPELEDEDLYRYARLVISAVIAKIHTIDWTVELLKTDTLLAGMRGNWYGLLGKSFKDKFGHVGNYFLSGMAGMKKSKNHGVPYSFTEEFVAVYRMHALLPNSLQLRDISATPGHNKSPPLTKEIHMNDLIGLPGEKTLSEIGIARTLVSMGHQASGALELWNYPSWLRDLIPHNMDGTERSDHVDLAALEIYRDRERRVARYNQFRRALLLIPISKWEDLTDDKEVIEALEEVYGTDVEELDTQVGLMAEKKIKGFAISETAFIIFLIMATRRIEGDRFFTSNYNEETYTRRGLEWVNTTESLKDVIDRHYPEMTNKWLNASSAFSVWDAPPNKHNPIPLFIRVPN >CAK8569173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:678904615:678905907:-1 gene:gene-LATHSAT_LOCUS22057 transcript:rna-LATHSAT_LOCUS22057 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPLSPVVSTPVTQSNTRSHNVSKNLSTTEIGGIKDLGETSDAREDGSIEEKRKEVDLEKPNQTASPEEGQKLWADVLKDNRNPAKGRAMKFIPPQVVDGKIEVLIEEDDVSSEVKFWESSLILYAMGADLSMNVVKNFMTRSWNFVQLSDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWKLDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYACILVEMDITKELPQSITIADHEGEKMQQSIEYEWRPLFCNKCQKVGHCCDKPKMRKQWIPRNVKQPEANVMSNKAEDDNQGHIEVGNVNMDIVQIRKGNASTTADESLSIRNKDVETVMEKWTEVIKSGRDIGKKTGNVGAAGVLSYDNGFDALEILKDLIEAQNTGQ >CAK8567835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:549522013:549522408:1 gene:gene-LATHSAT_LOCUS20855 transcript:rna-LATHSAT_LOCUS20855 gene_biotype:protein_coding transcript_biotype:protein_coding MLGERHYWHLRNNKVDDFVWLIMGFFFYHFKGLHNILNIIAVTAEEDRMPNRPLLAYLIKDLFTLGKNRHEKVHHNLGQKFQLIRNFLDMDHEVRNDFYSLCRF >CAK8542651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535146083:535146573:-1 gene:gene-LATHSAT_LOCUS11473 transcript:rna-LATHSAT_LOCUS11473 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIDELWKRFRSLDVVGKKALKSRIFELAFPTMTSLCPPPEKIKTKGGVKKKGKKPLGSYIDDVVNVVSDGNYEFRVIALLHGYGEDG >CAK8573978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651574314:651590184:1 gene:gene-LATHSAT_LOCUS26371 transcript:rna-LATHSAT_LOCUS26371 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPSIPKTLKPSFHLPFLAMKDRPSLSSHGAIYVPPHHRLRSVITSANSLTPVSAKLRENLTTTPTTLQTTLSDNKTKSRFVSAYDDVVSEVEVPSLPSGFPNDIMQEWKPKFTMLLNDESKQELISREKKDRRDFDEISLLASRMGLYSHMYSKVAVFSKVPLPNYRYDLDERKPQREVSMPITVFRRVAAHFEEYLSRKPRINKSFSDLSSSDGSIGTDEGLFEQPEPLSSSKAVVEKILWQRSLQMRDVQQAWQESSEGKIMLEFRRNLPAYKEKEAILSVISKNQVVIISGETGCGKTTQIPQFILESEIESVHGAACNIICTQPRRISAMSVSERVAFERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLADRNLKGVTHVIVDEIHERGMNEDFLLIVLKELLPHRPELKLILMSATLDAELFSSYFNGAPIVNISGFTHPVRTLFLENILETMGYRLTPSNQIDDYGQERLWKMNKQAPRKRKSQIASAVEDAIRSADFKDYSLQTQESLSCWNPDCIGFSLIEYILCNICEDERPGAVLVFMTGWDDINSLKEKLQAHTVLGDPNRVLLLACHGSMASSEQKVIFEEPEDGVRKIVLATNIAETSITIDDVVFVLDCGKAKETSYDALNNAPCLLPTWISKASAHQRRGRAGRVQPGECYHLYPRCVYDGFAEYQLPEILRTPLQSLCLQIKSLRLGSISDFLSRALQSPEILAVQNAVDYLKIIGALDENENLTVLGHYLTKLPMEPKLGKMLILGAILNCLDPILTVVAGLSVRDPFLTPLDKKDLAEAAKSQFSGAYSDHLALVRAYEGWKVAEVDLGGYEYCWKNFLSFQSMKSIDALRREFKGMLIDIGLVDSNTTSCNTWSYDVNLIRAVICYGLYPGICSVLHNEKSFTLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSVFLRDSTAVSDSVVLLFGGSLSKGDAENHIKMLGGYLEFFMEPTVADMHQSIRRELDDFIQSKLLSPTMSIHSHHNLLSAVRCLISIDSCEGRFVFGRQVLKPSMKSVIPSHPASSVSRTESGPGGDNPKSQLQTLLTRAGYAAPVYKTKQLKNNQFRSSVELNGVEIMGQPCSNKKSAEKDAAAEALQWLMGKQAGCEYIDHMSKLLKKSKKDHN >CAK8539823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527378031:527379818:1 gene:gene-LATHSAT_LOCUS8883 transcript:rna-LATHSAT_LOCUS8883 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFLPSSSHVLFVAFMLFFVTNISAQPLQLSGTNFSCPVDSPPSCETYVTYFARSPNFLSLTNISDIFDMSPLSIAKGSNIEDEDKKLVEGQLLLVPVTCGCTRNHNFANFTYTIKLGDNYFIVSTTSYQNLTNYVEMENFNPNLSPNLLPPEIKVVVPLFCKCPSKNQLSKGIKYLITYVWQTNDNVTHVSSKFGASQVDMFTENDQNFTASTNVPILIPVTKLPVIDQPSSNGRKNSTQKPAFIIGITLGCAFFVVVLTLSLVYVYCLKIKRLNRSTSLAETADKLLSGVSGYVSKPTMYEMDAIMEATMNLSENCKIGESVYKANIDGRVLAVKKIKKDASEELKILQKVNHGNLVKLMGVSSDNDGNCFLVYEYAENGSLDEWLFSELSKTSNSVVSLTWSQRITVAVDVAVGLQYMHEHTYPRIIHRDITTSNILLDSNFKAKIANFSMARTSTNSMMPKIDVFAFGVVLIELLTGKKAITTKESGEVVILWKDFWKIFDLEGNREESLRKWMDPKLENFYPIDNALSLASLAVNCTADKSLSRPSIAEIVLCLSLLNQSSSEPMLERSLTSGLDAEATHVVTSIVAR >CAK8540849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25579421:25582969:1 gene:gene-LATHSAT_LOCUS9814 transcript:rna-LATHSAT_LOCUS9814-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVVSFTIERLADLLIEEASLLRGVSGQIKKLQTELKRMQCFLRDAERKQNERGEIIKNWISDIRKLSYDAEDVIESYAFSVSVSSAMISFSKLKHVHEVGKKILSINYQVADLTRSLQTYGLTATTRDNEESHFVFETQKQLRWSYSHAVEEFIVGLDADIKDIVEWLVNQDRNCRVVYICGMGGLGKTTLAKTVYHYNSIRRHFEGFAWAYISQKCNRREVWEGILLQLTTSSSKEERDEIRNMRDEELAETLYKVQQEKKCLIVLDDIWSNETWDILSPALPSENTKSKIVFTSRNKSISSHVDPKGLLHEPGFLNAEDSWALFQKKTFPSKDDPEFTICNEFERLGRDMVAKCSGLPLAIIVLGGLLATKETVNEWEMIHKHITSYLIRGEDVLERQSRITEVLDLSYHDLPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAEGFVSSHYEIGRDETMEDIAERYLGSLISRCMVQVGQIGSTGKIKTFRLHDLMRDMCLSKARKEHFLCVIGRPQQKSSGISNVSSSSNISFDARKSDEVRRLAFFLDQHVDNLSPPDEQVNQHLRSLVYFHDKKCRVESWKRVKAVFENFKLLRVLDLEGVKGPKGQILPKEVGNLFWLKFLSLKRTCIQILPPSLGKLENLQSLNLQTINKVSWDSTVEIPNILWKLKRLRHLYLPNWCENVSGILQLENLINLRTLVNFPASRCDVKDLLKLKKLKKLVLNDPRYFQNFAESFSLCNKKLEYLESLSLKTDLLSFPDQVIDVEKLVLGCPSLHKLHVEGRLERLPDARLFPPQLSKLTLSGCKLVEDPMVTLEKLPNLKYLSVWEMFVGKKMVCSSNGFPKLKILAIRGFSNLEEWVVEDQSMPCLYRLSISDCNKLKSVPDGLKFVDGLRELEIRWMPKSFKNRLGVAGEDYHKVQHVPSVVFFN >CAK8540848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25579415:25582969:1 gene:gene-LATHSAT_LOCUS9814 transcript:rna-LATHSAT_LOCUS9814 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVESVVSFTIERLADLLIEEASLLRGVSGQIKKLQTELKRMQCFLRDAERKQNERGEIIKNWISDIRKLSYDAEDVIESYAFSVSVSSAMISFSKLKHVHEVGKKILSINYQVADLTRSLQTYGLTATTRDNEESHFVFETQKQLRWSYSHAVEEFIVGLDADIKDIVEWLVNQDRNCRVVYICGMGGLGKTTLAKTVYHYNSIRRHFEGFAWAYISQKCNRREVWEGILLQLTTSSSKEERDEIRNMRDEELAETLYKVQQEKKCLIVLDDIWSNETWDILSPALPSENTKSKIVFTSRNKSISSHVDPKGLLHEPGFLNAEDSWALFQKKTFPSKDDPEFTICNEFERLGRDMVAKCSGLPLAIIVLGGLLATKETVNEWEMIHKHITSYLIRGEDVLERQSRITEVLDLSYHDLPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAEGFVSSHYEIGRDETMEDIAERYLGSLISRCMVQVGQIGSTGKIKTFRLHDLMRDMCLSKARKEHFLCVIGRPQQKSSGISNVSSSSNISFDARKSDEVRRLAFFLDQHVDNLSPPDEQVNQHLRSLVYFHDKKCRVESWKRVKAVFENFKLLRVLDLEGVKGPKGQILPKEVGNLFWLKFLSLKRTCIQILPPSLGKLENLQSLNLQTINKVSWDSTVEIPNILWKLKRLRHLYLPNWCENVSGILQLENLINLRTLVNFPASRCDVKDLLKLKKLKKLVLNDPRYFQNFAESFSLCNKKLEYLESLSLKTDLLSFPDQVIDVEKLVLGCPSLHKLHVEGRLERLPDARLFPPQLSKLTLSGCKLVEDPMVTLEKLPNLKYLSVWEMFVGKKMVCSSNGFPKLKILAIRGFSNLEEWVVEDQSMPCLYRLSISDCNKLKSVPDGLKFVDGLRELEIRWMPKSFKNRLGVAGEDYHKVQHVPSVVFFN >CAK8579154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680479522:680481989:1 gene:gene-LATHSAT_LOCUS31122 transcript:rna-LATHSAT_LOCUS31122 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGQLDRFKKQQEKCQSTLSSIAASQVGGRKPSNPVVAHASINGRNSTTGIKFSKDTERLQQINTIRKAPVGAQMKRVIDMLFETRKAYTPEQINEACYVDMRANKDVFDNLRKNPKVHYDGQRFSYKAKYGLKDKTELLQLVRKYPEGIAVIDLKDAYPSVMEDLQALKAAGQIWLLANFDSHEDVAYPNDPKAIIKVDDDLKQLFRSIELPHDMIDIEKDLQKNGMKPATNTAKRRSAAQIDGISSKPKPKKKKKSEITKRTKLTNSHLPELFKNLNNS >CAK8562409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486334825:486339423:-1 gene:gene-LATHSAT_LOCUS15907 transcript:rna-LATHSAT_LOCUS15907 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLCYNSLNPSTASFSRTHFSIPVNKDFSLENSSSFHTYGRTRTRKQRKNVFVMHVKVKAAVAESTAPPSSSTQAEKKNLRVLVAGGGIGGLVFALAAKRKGFEVMVFEKDLSAVRGEGQYRGPIQIQSNALAALEAIDSDVADEVMRAGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMVLQGILARAVGEDIVMNASNVVSFVDDGNKVTVELENGQKYEGDLLVGADGIWSKVRTQLFGQTEAVYSGYTCYTGIADFVPADIDSVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEAPGGVDEPNKKKERLLKIFKGWCDNAVDLMVATDEEAILRRDIYDKIPTFKWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLAWELDSAWEKSIKSGNPIEVDSSLRRYESERKIRVAVIHGMARMAALMASTYKAYLGVGLGPLEFLTNFRIPHPGRVGGRFFVDILMPSMLNWILGGNSSKLEGRPISCRLSDKANGQLRKWFEDDDALERAINGEWFLSPCGDETGLSKPIRLMQNEMKPFIIGSAVQEDSPGSSITVSSPEVSPTHARIYYKDGAFFVTDLRSEHGTWIVDIEGKRYRVPPNYPARVRPYDVLVFGSDKVSFRVKVKSVAPSISTNEETQVFQEA >CAK8536442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941529468:941531028:-1 gene:gene-LATHSAT_LOCUS5810 transcript:rna-LATHSAT_LOCUS5810 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKHSYVEVKGLKLHVAEIGSGEKVVVFLHGFPEIWYTWRNQMIAVANAGYRAIAFDFRGYGLSDHPQEPEKASSLDLVHEVKDLLDSLEINKAFIIGQDFGAIIAYLVAVVYPEKVASVITLGIPFINPGASAVKNDLLPKGFYITRWQEPGRAEADFGRFDVKSVIRNIYILFSKSEVPVAGDDQEIMDLFNPSTPLPPWFSEEDLNVYASLYEKSGFRFALQVPYRSLTVESGLVDPKVNVPALLVMGEEDYCFKFPGMEEYIRSGVVKHFVPDLEITYVPEGRHFLGEQFPEKVNKLIIEFLDKQSI >CAK8562921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557131971:557139346:-1 gene:gene-LATHSAT_LOCUS16382 transcript:rna-LATHSAT_LOCUS16382 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPIVFDLNDVKQKLSVQFRPLQRSFQFWVRAIDIYTGYKVFQLRVNFEKDVLKQEAMWERQHELAADKIFSMCSDLGGFFLKIAQIVGKPDLAPAAWVKRLVTLCDQAPATSFHTVKLVLENELGLGIDEVFDRFDVEPLGSASIAQVHRARLKGDKGDVVVKVQHPGVQDLMMTDIRNLQAFALYMQKTDIKFDLYSVTREMEKQIGYEFDFMREASAMERIRKFLYKVNRRTPVLVPRVIRDMVTRRVLVMEYIDGVPIMNLGDEIAKRGINPHGKVAAAAKQKILQSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPEQLRLGYANLVLAIADGDLVRASASFRELGIDTLSKCENEQQEMFKLAETMFDTKLPPGVKMLQPFSDESSIKKIAVQAFPEELFSILRTVHLLRGLSVGLGINYSCAEQWRPIAEEALSQAGRFKDKNLQHRGRFHGILKTLFWRD >CAK8560598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27753211:27753491:-1 gene:gene-LATHSAT_LOCUS14259 transcript:rna-LATHSAT_LOCUS14259 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTVLGIRPHCLVLQLSQVSIVALIFAVKITVQES >CAK8540911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31288470:31296455:1 gene:gene-LATHSAT_LOCUS9869 transcript:rna-LATHSAT_LOCUS9869 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDYDIIKSIPGDQ >CAK8540912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31288470:31289870:1 gene:gene-LATHSAT_LOCUS9869 transcript:rna-LATHSAT_LOCUS9869-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKSIPGDQ >CAK8570884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:148841938:148846741:1 gene:gene-LATHSAT_LOCUS23596 transcript:rna-LATHSAT_LOCUS23596 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAPPLLPLPLPHPFYDSSNNKKRDLSYDVVHLPNDNWNPKEWNWDSVRFMAKSTVSQQQQQQPEETLKLNLAGGGFSGGGLTNRASKRVRSGSPSGTASYPMCQVDNCKEDLSKAKDYHRRHKVCEAHSKASKALLGNQMQRFCQQCSRFHPLLEFDEGKRSCRRRLAGHNRRRRKTQPDEVASPPLNQHVAAAANLEIFNLLTAIADVSQGKSEERRSQVPDKEHLAQILNRIPLPADLTAKLLDVGNNLNGKNGNVQIQTSSFYHHRDGQPNNGPSAPLTMDFLGVLSTTPSAPDQNAISLHSQNGGNKSTTSADQIREQQFTSVGGERSSGSSQSPNDDSDCQEDVRVNLPLQLFSSSPEDDFQSKLPSSRKYFSSESSNPVDERSPSSSPPVAEMNFGLQSGIRGVNPNSNSTGIGVDANKETSQSHSCTTIAFDLFKGSKSNNIIQQASSVQSVPFKAGYASSGSDYSPPSLSSDTQDRTGRIMFKLFDKHPSHFPGTLRTQIYNWLSTRPSDLESYIRPGCVVLSIYATMSSAAWTQLEENFLQRVHSLIHNPDSDFWRNGRFLVYSGSQLASYKDGKIRMCKPWGTWRSPELTSVSPLVIVSGQETSMSLKGRNLSASGTKIHCTGADCYTSSEVIGSGMVYDEIKLSGFKVQNASPGVLGRCFIEVENGFKGNCFPVIIADASICKELRPLESEFDEEEKICDAISEEHEYHFGRPKSRDEALHFLNELGWLFQRERLSNVHEVPDFSLERFKFVLTFSVERNCCVIVKTLLDMLVDKHFKGEQLSTGSMEMLKAIQLLNRAVKRKYISMVDLLVHYSIPSKNDTSKKYVFPPNLEGPGGITPLHLAASTLDSEGVIDSLTNDPQELGLKCWETLVDENGQTPHAYAAMRNNQSYNMMVARKLSNRQRSEVAVKIDNEIEHQSLGIELMQKQINQVKRVGNNSCSKCAIADVRFKRRFSGSRNWLHGPFIHSMLAVAAVCVCVCVLFRGTPFVGSVSPFRWENLDFGTI >CAK8531295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:98668420:98672621:-1 gene:gene-LATHSAT_LOCUS1098 transcript:rna-LATHSAT_LOCUS1098 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNNESLSHFLASAVDAAHKAGDVIRKGFYQIKHVEHKGSVDLVTETDKACEELIFNHLKQLYPTHKFIGEETTAANGTTELTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIINELFTAVHGKGAFLNGNPIKVSSQTELLSSLLATEVGTKRDKETVDATTNRINSLLYKVRSLRMSGSCALNLCGIACGRLDVFFEIGFGGPWDVAGGAVIVREAGGVVFDPSGADFDITAQRIAASNPSLKDALVEVLRQTE >CAK8572020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502248498:502251163:1 gene:gene-LATHSAT_LOCUS24629 transcript:rna-LATHSAT_LOCUS24629 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIVSVLLISSIVLCVSATDNGFPRCNCDDEASLWTIESILECQRVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLVQFIAFIVLCGLTHLLNGWTYGPHTFQLMVALTIFKILTALVSCATAITLVTLIPLLLKVKVREFMLKKKTWDLGREVGLIMKQKEAAVHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEEKTVMNLTHELNGRNLNISIPITDLDVVKIKGSSVVNILSSDSALAVSSCVVSGDAGPVAAIRMPMLRVCNFKGGTPELTQACYAILVLILPAGEPRSWSNQELEIIKVVADQVAVALSHAAILEESQLMREKLEERNRALQQARRNAMMASQARNSFQKVMSDGMRRPMHSILGLLSMVQDDNLKNEQKLIVDAMLRTSNVLSNLINDAMDSSAKDDGRFPLEIRSFGLHSMIKEAACLTKCMCVYKGLGFIVEVDKSLPNNVMGDERRVFQVILHMVGNLLDCNHGEGGILVFRVSADAGSQGRNEKGWTTWRPSSSSGDVNIRFEIGITTGSDTEVGSSSSGPGGRTYTSDRYEGRLSFSICKRIVQLMQGNLWLVPYTHGIPQSMTLLLRFQLRPSIAIAISEPGESSERTYSNSMLRGLQVLLVDNDDVNRAVTQKLLQKLGCSVTSASSGFECLTLIGPVGSSIQVILLDLQTPDIDGFEVAARIRKFKSGNRPMIIALTASAEEDLWERCMQIGVNGVIRKPVLLQGIASELRRILMQGNI >CAK8563485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608997085:608999236:-1 gene:gene-LATHSAT_LOCUS16886 transcript:rna-LATHSAT_LOCUS16886 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATESHLPHLSVVDFPSLLQAMAADTLLAAAQSLALIGYLLANFTSLVSPKLTAMDSRFPLEHLLGRKAANLENKSDTEDDDDDDDDEDVQDEDDDGEDEDFSGDEGEEEGDPDDDPEANGAGGSDDDDDGDDDGDDGDEEDEEDGEDEDEEDEDEEDDDTPQQPPTKKRK >CAK8574320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674979333:674980150:1 gene:gene-LATHSAT_LOCUS26675 transcript:rna-LATHSAT_LOCUS26675 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTTKVTLKLLIDTKNQKVLFAEASKNVIDFLFNLLRLPVGTVVKLLTKNGMVGSIGNLYDSVENMSENYMEIGQTKEVLLNPRAPSEISGLLPANDADTNNNVETGGTLFYKCPSNCTFDVTCDSTTPCSNCKRPMNSLTRYVGKKVVDDNTLSQNGFVKDVITFMVMDDLVIQPMSTISSITMLNKFNVKEVGTLQEKIVEMGMDEGIKLLKASLQSKMVLTSVFLKKGI >CAK8579145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679653805:679654116:1 gene:gene-LATHSAT_LOCUS31113 transcript:rna-LATHSAT_LOCUS31113 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMNFRDKWMSWLKGGVLNNFIFILVNGSAPKEFKATRSLLQGDPLSPFIFSVVAEGPVLLVRRLARKKIFSGFHLYGDEAISLVQFADESVLICDDSWKNL >CAK8562588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512553144:512553500:-1 gene:gene-LATHSAT_LOCUS16074 transcript:rna-LATHSAT_LOCUS16074 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAANCSVVTLIPKHKSAKEIQDYRPIACCSTLYKIISKILANRLSKVLGTIIGANQAALVKGQRIHNHILITYELIKGYERKNISPHCLMQMDIEKAYDAVDWNALEKILNEVGCP >CAK8566803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464127961:464129556:-1 gene:gene-LATHSAT_LOCUS19910 transcript:rna-LATHSAT_LOCUS19910 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKHRWKISFHRSSSSHSKPLQPPNEFLCPITGSLMSDPIVVSSGQTFERLSVQVCKDLKFSPVLEDGSRPDFSTIIPNLAIKKTILNWCDKSHTQHPPTPDYDSVETLVREKIAAVAEKEKEEPIRVSEKELLNAVADNPPVIFSHAATEVGPRVNHFNSGSSSEESVIIPSSPETPLPFTVRPTCFSPSSSSSFEIEVQNPNVPVSEEEEILLKKLKSNDVYEQEQGVLSLRSITRNREEARVSLCTPRILSSLRSLIESRYVVVQVNAVASLVNLSLEKSNKMRIVRSGFVPFLIDVLKGGSSESQEHAAGAIFSLALDDDNKMAIGFLGALQPLMHAMRSESERTRHDSALALYHLTLVQSNRVKLVKLGVVYTLISMVMTGTMVSRVLLILCNLAMCVEGRTAMLDANAVECLVSLLRGNELDSEATRENCVAALYALSHGSLRFKGLAKEAKAVEVLRMIEETGTERAREKAKKVLQKMRGFEDGDDDDGEFDSLFESGGLSRARYRVAAARNNNLINSTTF >CAK8537900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445405120:445407144:-1 gene:gene-LATHSAT_LOCUS7150 transcript:rna-LATHSAT_LOCUS7150 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFIQIQRWMMHTKVWKFVGFASSIVGLVCYVLSSSFNHLFGNWNFMKIFLNTIFSFIICLIILFARTWRDSRSLRFKAHSAFMVLVITSFYSFFSDKVITGKPDAYSSISYAAFALMSLSLSRQLQCGFEVDLMYFYLGCLIVQLMKFNLLLAIAGVCYSYCLIILRTSFTSLNGTSENHSLGPEEQLVVIQVDSQERENSNSGCVLQHFYTDFERMLQQFLTCMKELQKNNSNIEKMLLEQVKGNYKLVVADHNFIIDALSHETINNLQETAKLMVDAGFEKVCYEAYNTFRKEWLKDLLKNKLLRLGKMGFQDYVIGRWIKTSEVALRVLFPSERRLYNCVFSDSTSASSDLYFSELCRGATIELLSFADSFANRSPSAWRLFKILHLLETLCDLIPEFESLFHESLVNEAIKIKSRLGEICRSIFMEFGDMIFLTSDAEFDCWVDGGVHPMTCAATGYIVMAFWTRKNLEQILRAHSMVVTDGAGTSLFYSQMELIMKQFERKLETKSEAYEDPALRYLFMINNLCHVKCRLGTFWDDRFCINMRKYFEFYCRSSWNKVINFLDMDIKESVAPNSEIDSMKEKLNLFNQKFKEVSGIQSKWRVFDEQLRKQMIMFIESILLPAYENFVSMFRNVVGKNAADYIEYEMSDIQYQLNQSFLLQDVCRRII >CAK8571389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395992960:395993547:1 gene:gene-LATHSAT_LOCUS24056 transcript:rna-LATHSAT_LOCUS24056 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDVPPTHTSQHSYQNQTPNNTLHQQTYTYQYNQQYQPQPYLRPPRQFTPVQPPLFDHTDPHFQYQPSNTTYSQPASTINTTYTQPTQNFTPDDVYYPTFQHPRSETYPPPPQPPHSFQQFLLTDEQLMQMPDFNIDDLLDEQPGPSSRPTNPPTTHHHEDMSSDSSESTRNERLGRGHRQRRIPRCGTRGHIR >CAK8560189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10137303:10139464:-1 gene:gene-LATHSAT_LOCUS13886 transcript:rna-LATHSAT_LOCUS13886 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSYYQITTFILALWIVKLVFRTIRSLNRSKETKFNINHNKILEPCFALPFIGHLHLLSTKEPYFRTFSTMAEKYGAIFSLRIGCHKTLVVSSREIAKECLTKNDKVFASRPNVAAGRYMGYNNAILALAPYGDYWRNMRKIATIELLSSHRLEKLKHVRDLEIYSLVKDLYTFVKNSNGLHEVPISKFLDHMTFNIIVRMIAGKRFSGETINQEDSEAWRLRNAIKDTTYLSGVFVVADAIPFLSWFDFQGHVSFMKRTAKEVDTILDKWLHEHYRKKDEGQNGSCEDDFMDVLISMFEEDEEICGHKREVVIKATSMILILTGSGSTSITLVWALSLLINHPKILKKAQQELDTIIGKHKWVQESNIKDLKYIQAIIKETLRLYPAAPLTGIREATDDSYVAGYKVPKGTRLLINLWKLQRDPLIWSNPNEFQPERFFNNHDHIDFQNYDFGYVPFSYGRRSCAGSTLGLQVLHLTLARLIQGFDIYTKECNEVDMSEGLGLALPKENPLEVMLQPRLPLELYESL >CAK8570950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:188049348:188066374:-1 gene:gene-LATHSAT_LOCUS23656 transcript:rna-LATHSAT_LOCUS23656 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAFCSDCKRETEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESNDNDPVRVGGPSNPLLTDGGLSTVIAKPNGSSGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSERLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACIYIACRQEDIPRTVKEICSVANGASKKEIGRAKEYIVKQLGLEKGQTVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVKKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISIATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLSSP >CAK8534772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765834514:765841842:-1 gene:gene-LATHSAT_LOCUS4286 transcript:rna-LATHSAT_LOCUS4286 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRGRRSPPSGSVSGSSSLSSSSRSSSRSRSRSRSFSSSSSRSSKSRSRSPPPQRRKSSTEPARRGRSPALPPPPQSKKASPPPRKPSPVRESLVLHVEKLSRNVNEGHLKEIFSNFGEVVSVELVMDRAVNLPKGYGYVHFKTRGEAEKALNFMDGAQIDGNVIKARFTLPPRQKVSPPPKASAVAPKRDAPRTDNAGADVEKDGPKRPRESPPRRKLPPSPRRRSPVPRRAGSPRRLESPRRRGDSPVRRRLDSPYRRGAGDTPPRRRPVSPGRGRSPSPPPRRLRSPARVSPRRMRGSPGPGRRRTPPPPTRRRSPPRRARSPPRRSPVARRRSRSPIRRSARSRSRSFSPRRGRPPVRRGRSSSYSDSPSPRKVSRRSKSRSPRRPLKGRASSNSSSSSSPPPARKP >CAK8565982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364666513:364673199:-1 gene:gene-LATHSAT_LOCUS19154 transcript:rna-LATHSAT_LOCUS19154 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLGLGLGFLLVYVQLIFTNVDIEFDCGVVNSSLLIAFLVVIVVLMIGFWFRCVLFSSLLHYMLPRKRHCEGVVVEEAATDSFPKKKNLIVTVGTEGSVVNSDRSLSSSSNNNSNSETTLEQGTYVMTLGDANPSDIDEDLHSRQLAVYGRETMRRLFGASVLVSGMQGLGAEIAKNLILAGVKSVTLHDVGTVELWDLSSSFVFSEKDLGKNRALASVGKLQELNNAVVVQSLTSALTKGQLADFQAVVFTDVSLEKAIEFNDYCHSHQPPIAFIKTEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIVASVTNDNPSLVSFVDDERLEFQDGDFVVFSEVHGMKELNDGKPRKINNARAYSFTLEEDTTNYGSYEKGGIVTQIKQHKVLNFKPLREALSDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVPGIEDDAQRLIAIVSNMNDSSGDEKIDDINPKLLRQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSEPLDSDDFRPVNSRYDAQISVFGRKLQKKLQDSQVFVVGSGALGCEFLKNLALMGVSCGNQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSFNIEALQNRVSAETENVFHDTFWENLGVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVVPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFDGLLEKTPAEVNTYLSNPGEYATAMRKAGDAQARDNLERVLDCLDRDKCETFEDCVTWARLKFEDYFANRVKQLAFTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDLGHLHFVVAAAILRAETFGITIPDWVKNSRKVADAVDRVIVPDFQPKEGVKVVTDEKATSLSAASVDDAKVINDLIIKLERCRTNLPPTFRMNPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGDHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQELSWTVWDRWTLGNNPTLREVLQWLKAKGLNAYSISCGNSLLYNSMFQRHKERIDKKMVDLGREVAKLVIPPYRRHLDVVVACEDEDDNDVDIPLVSIYFR >CAK8565983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364666513:364673007:-1 gene:gene-LATHSAT_LOCUS19154 transcript:rna-LATHSAT_LOCUS19154-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRHCEGVVVEEAATDSFPKKKNLIVTVGTEGSVVNSDRSLSSSSNNNSNSETTLEQGTYVMTLGDANPSDIDEDLHSRQLAVYGRETMRRLFGASVLVSGMQGLGAEIAKNLILAGVKSVTLHDVGTVELWDLSSSFVFSEKDLGKNRALASVGKLQELNNAVVVQSLTSALTKGQLADFQAVVFTDVSLEKAIEFNDYCHSHQPPIAFIKTEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIVASVTNDNPSLVSFVDDERLEFQDGDFVVFSEVHGMKELNDGKPRKINNARAYSFTLEEDTTNYGSYEKGGIVTQIKQHKVLNFKPLREALSDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVPGIEDDAQRLIAIVSNMNDSSGDEKIDDINPKLLRQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSEPLDSDDFRPVNSRYDAQISVFGRKLQKKLQDSQVFVVGSGALGCEFLKNLALMGVSCGNQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSFNIEALQNRVSAETENVFHDTFWENLGVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVVPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFDGLLEKTPAEVNTYLSNPGEYATAMRKAGDAQARDNLERVLDCLDRDKCETFEDCVTWARLKFEDYFANRVKQLAFTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDLGHLHFVVAAAILRAETFGITIPDWVKNSRKVADAVDRVIVPDFQPKEGVKVVTDEKATSLSAASVDDAKVINDLIIKLERCRTNLPPTFRMNPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGDHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQELSWTVWDRWTLGNNPTLREVLQWLKAKGLNAYSISCGNSLLYNSMFQRHKERIDKKMVDLGREVAKLVIPPYRRHLDVVVACEDEDDNDVDIPLVSIYFR >CAK8576508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486361601:486365706:-1 gene:gene-LATHSAT_LOCUS28690 transcript:rna-LATHSAT_LOCUS28690 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSVKSFENLNNWREEFLIQANPSDPENFPFVVIGNKIDIDGGNSRVVSEKKARAWCAAKGNIPYFETSAKEGINVEEAFQTIAKDALKSGEEEELYLPDTIDVGNSNQPRSTGCEC >CAK8533199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590148061:590149334:1 gene:gene-LATHSAT_LOCUS2844 transcript:rna-LATHSAT_LOCUS2844 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSLSISFVVLVFGGILFVEAIPRTFLVFGDSLVDNGNNNYLATTARADAPPYGIDYPTHRPTGRFSNGYNIPDLISQRLGAEATLPYLSPELRGEKLLVGANFASAGIGILNDTGIQFVNIIRMYRQYQYFEEYQNRLSALIGASQAKTRVNQALVLITVGGNDFVNNYYLVPYSARSQQYPLPQYVKFLISEYKKLLQKLYDLGARRVLVTGTGPMGCVPSEIAQRGRNGECSTEIQRASSLFNPQLESMLLALNKKLGRDVFIAANTGKTHLNFVKNPGQYGFRTSKIACCGQGPNNGIGLCTPLSNLCSNRDEYAFWDAFHPSEKANKLIVNDIMSGSKAYMNPMNLSTILALDESI >CAK8572804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564417892:564419543:1 gene:gene-LATHSAT_LOCUS25326 transcript:rna-LATHSAT_LOCUS25326 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEIKWAQRVDKVYVTVQLPDSKNAKVDLTPDGVFTFSATAGAGDHLYELNLPLFDKVNVEESKINVGVRSIFCVVQKAEEEWWKRLLKAEGKPPHYVKVDWDKWVDEDEDAGSGDLDLGGMDFSQLGGMGDDAVGDDFDDSDDEGQEVSNSGKVDGNDKEGGSAVGKEEAGKSAVEEATPST >CAK8543549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618987136:618987360:-1 gene:gene-LATHSAT_LOCUS12299 transcript:rna-LATHSAT_LOCUS12299 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRHYVLNGYNDPSLKNIYVSSLPQELQPKIHIMLATAQKDIKTMSFGQIHQVTLEALEKLCSFHHQYSEAIE >CAK8562500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501624966:501625943:-1 gene:gene-LATHSAT_LOCUS15992 transcript:rna-LATHSAT_LOCUS15992 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIVQPQVSSQSQEQDETLSLSDLPLSLNNPCKEQLSEINETTQEEFNFRSWVGLFSTEPKMCVADEVFFQGQILPSSRLSFSSETGLLSNHHGKQFKPNQESLSFNNGCFNEFRSNSSTSQNSTTSSSTSSTTKTKVRNQFHTHPSPKPQIKSSCPRKCITQSRKSSVWEIFRLGVVPTPEIGLQDLKVRSSNHRNCVSRNSSSSSNSNSTKSGQMSKKKNDNGGKSNHVLKHLVGKRGGLLSGCDCSFETVVINGSSGVKSDYKTESTKKHAVKEKVMEWKKQKQRQKQGKKVLSRRRTFEWLKELHANHLEEEALLSNSS >CAK8577622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578912295:578912705:1 gene:gene-LATHSAT_LOCUS29712 transcript:rna-LATHSAT_LOCUS29712 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8561954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:417571871:417573404:1 gene:gene-LATHSAT_LOCUS15498 transcript:rna-LATHSAT_LOCUS15498 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSWIPLVGDLDKCRRYSWGSACLATLYRHMCKACMVGVKSIGGCVLLLSVWTYWRIPLIAPESPATAHHPYATRFVRRGMAYQNNPRHYVRGFRFALDRLRANDFIWRPYPSYPECVLQDSQIWSATTSIISFHIVEMHQADRVKLQFGFQQDIPPQPRCLRQQHETDMPNTWGDHWRNINKEENNEWRNRTNLTLRGNMVNGNCVHSAEYMQWFLSIPFMHASQGQFLEDPRQYATSSSQQRFSSPMPQEMPQVNPSQFETQTSSFNQPTFFAASSQQPTQPQPQPTYQRTHTTFFATSSQQPTPYTPTPQPNYYYRQQYQEQATFQPSFQFTPIPQPNFDFSYPQPQHQTFNPSMSHPSSSGRTDNVYYPPIQQNPPTTFTQPFQSAPNFTLTDDQLMEWPGFSVTDVDMLDTSRQPENEELTSDSTPSPPTSPPIRQTQELGRGKRVKKSTLCGTGGHLRR >CAK8538502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485166009:485169376:1 gene:gene-LATHSAT_LOCUS7691 transcript:rna-LATHSAT_LOCUS7691 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSILKLVAVLFVVVLQLFVNGALGCLENERYALLQLKASLVLDEDSFLLTTWDTKSHDCCAWEGIRCNNHTGHVEMFHLSPSQFGRFPGKINASLMELRHLKYLNTSGNRFSDSIFSELFGSLTNLRFLDLQSSFSGGTIPNDLAHLSHLQYLDLSFNSLHDTIPHQLGNLSHLQYLGLSGNALLGTIPHQLGGLSKLQELHLGSNEGLKFDDKNNHAGGQWLSNLTLLTHLDLSLTRHLSSSHVWLQMIAKLPKIQELKLSACALSDPYLQSLSRSLFNFSTSLTILDLSLNTFSSSKIFEWVFNATSNLIELYLSDNSFNGTISYDFGNIKSPLERLDLSDNELQGRVPESIRNICTLHSFYLEGNNLKDDISTILHKLSGCARYSLQHLSLGFNQITGRLPDLSIFPSLVTIDLSYNMLSGKVPNEIPKSMESLIFPSNSLEGGIPKSFGNLCSLKSLDLSWNKLSEDLSVILDNLSGCAKYSLQELNLARNKISGIVPIMAGFSSLQSLDFEGNILEGQITDTHFDNMSMLKDLNLNDNSLSAIFSENWVPSFQLYSLYLRSCKFGTGFPKWLQSQKHLENLDISNARISGVVPLWFWTTQAMHLSLMNISYNNFTGTIPNLPTRFSKGCLVILESNKFEGLIPLFFRSAFVLRLSENKFSETRLFLCVSTATDSLWILDISKNQLSGQLPDCWSNLKELKFLDLSENTLSGEVPSSMGSLLYLEVLILRNNSFTGKLPFSLKNCTYLTMLDVGDNKFSGPIPYWLGQQLQMLSLRRNRFYGILPESLCFLTNIQLLDLSENNLSGQILKCLKNFSAMSQNVSLTITTEVVIINRDNVIGFDLNALLMWKGAERQFKNNKLILRSIDLSSNQLIGDIPEEIGNLIELVSLNLSNNNLTGEITSEIGRLTSLEFLDLSRNHFYGLIPSSLTQIVRLTMLDLSDNNLSGRIPISTQLQSFDASRYEGNVDLCGKPLDKKCPGDEEIAHQKPETYEESKPEDKKPIYLSVTLGFMTGFWGLWGSLFLIRTWRHKYMLVLNTIVDTIYVFMVLNGIKFQRWLRDLQEKFF >CAK8571395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:396946719:396948992:1 gene:gene-LATHSAT_LOCUS24062 transcript:rna-LATHSAT_LOCUS24062 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPLILGRVIGDVIDYFTPSIKMSVIYNNKEIFTGYEVPFPCTVKTKPRIQIQGGDMRSLFTLIMIDPDVPGPSDPYMKEHLHWVVTDIPGTTDSTFGKELTSYEKPKPNIGIHRYVFVLFKQKRGNKYSITCPFSRDHFNTRNFADQNDLGVPVAAAYFNARRATAPRRR >CAK8540464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8131304:8134427:-1 gene:gene-LATHSAT_LOCUS9467 transcript:rna-LATHSAT_LOCUS9467 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQAPPRDIDEELKRQKAIDDWLPISSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSQLGWGPGVTILVLSWFITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVNIVYMVTGGKSLQKFHDTVCHDCKKIKLTYFIMIFASVHFVLSHLPNLNSISGVSLAAAVMSLSYSTIAWSASAHKGVIENVEYGYRATTKPGTVFGFFNALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALIGYWMFGNTVDDNILVTLEKPKWLIAMANMFVVIHVIGSYQIYAMPVFDMIETLMVKKLNFKPSTILRFIVRNVYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWCCNYVCIALGLCLMILSPIGGLRTIILNAKTYKFYS >CAK8531226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91426474:91430016:-1 gene:gene-LATHSAT_LOCUS1032 transcript:rna-LATHSAT_LOCUS1032 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRLIHPHEGIVGQDDLQAAVGAVNHKGDPCLVLTADPKPRLRWTQDLHERFVDAVTQLGGPSKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDIGEGCKDGMTGSYLLESPGTDNSSPKLPTSDTNEGYEIKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGASAIDTDSQKFQGIENKAPRAPLVDHLGFYSLPSTEAAGVNAPEDEIPPTLPPQRADCSTESCLTSHESSGGLTLEGSPSAGKRRMLGMDSMAAPLIWSEAKMKAQAINIGQGNHHLGITRYGI >CAK8578210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612603416:612605778:-1 gene:gene-LATHSAT_LOCUS30243 transcript:rna-LATHSAT_LOCUS30243 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSACLVGNALSTHSNGVSLGKDLNGRYLFSSWRFSPMNNRASKAFSVKASLDQSQQEGRRGMLKLLLGNVGVGLPALFGNGKAYAADDQGVSSSRMSYSRFLEYLDKDRVTKVDLYENGTIAIVEAVSPELGNRLQRVRVQLPGLSQELLQKLREKNIDFAAHNNQEDSGSFLFNLIGNLAFPLAVIGVLFLLSRRSGGMGGPGGPGFPLQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTSVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGIGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDIRGRTEILKVHANNKKFENDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRSGISSKEIDDSIDRIVAGMEGTLMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAGGDLQQITGIARQMVVTFGMSDIGPWSLMDSSAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALAQIRSNREAIDKIVEVLLEKETLSGDEFRALLSEFVEIPAENRVTPATPLAVPA >CAK8571183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:329126328:329131741:1 gene:gene-LATHSAT_LOCUS23872 transcript:rna-LATHSAT_LOCUS23872 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRKLSTALGAVAATAYGGTILLSPPLSSNDQGSQLSAFQQKIHDPAAVLPSRQVQQSSLIGSCSANPLDILVIGGGATGSGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCNALPCMTPCFDWFEVVYYWMGLKMYDLVAGARLLHLSRYYSTKESVELFPTLATEGNGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDSVGERIIGARIRDNLTGKEFDTYAKVIVNAAGPYCDSVRKMADKNVRDVICPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTAITFLPEPHEDEIQFILDAISDYLNVKVRRSDVLSAWSGIRPLATDPSAKNTESISRDHVVFEEHPGLVTITGGKWTTYRSMAEDAVDAAIKAGKLAPSGGCVTNNLRILGGEGWDPASFTVLTQQYVRMKLTHGGKVVPGVMDTASAKHLSHAYGTLGERVAAIAQNENLGKRLAHGYSFLEAEVAYCARHEYCESAIDFIARRSRLAFLDTDAARRALPRVIQLLAAEHKWDKSRQKEELQKAKDFLETFKSSKNAQFYDGKHN >CAK8571167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:321086938:321089140:-1 gene:gene-LATHSAT_LOCUS23857 transcript:rna-LATHSAT_LOCUS23857 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLQEQDSLNQLDWESILHDLPELEAADFFQDEINPPDSVADTPSPNSYLSEIENLLMTDFDNGEGIASPDSDYDQLLEEILVQPLPQSEESLTPSDKDRVDPLTPEEVPHEPVSKKQIRQMRNRDAAVKSRERKKMYVKNLESKSRYFEGEYRRLEHLLQCCYAENHALRLCLQSRGAFGASMTMQESAVLLLESLLLGSLLWFMGIMCQLSLPLLLWLTVLPPRENMKHKGLRRVALKRPSSNIKYFLTQSFVKSRRCQASRTKMKDDFIVL >CAK8575172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43886931:43887263:1 gene:gene-LATHSAT_LOCUS27458 transcript:rna-LATHSAT_LOCUS27458 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQICKELCEYKRDNLASTQKDLQRWLEGKFQLKVSQGTISNTLKRSNDYLSAKIENGRAEIKRHKPTKFPDMEKVVYEWFLQHQERVNITGELILQKARDTMKLVYMA >CAK8568908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653494887:653497921:-1 gene:gene-LATHSAT_LOCUS21816 transcript:rna-LATHSAT_LOCUS21816 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESQTHPQQHTASSEYSGSAQEQKRWTLNDFDIGKPLGRGKFGHVYLAREKTSNHIIALKVLFKTQLQQSQVEHQLRREVEIQSHLRHPHILRLYGYFYDQKRVYLILEYAPKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIIQVDLKFPPKPIVSSAAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNAEPSGIYRG >CAK8531843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:162855173:162863307:-1 gene:gene-LATHSAT_LOCUS1607 transcript:rna-LATHSAT_LOCUS1607-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPSHMEASAFGTPPRNMGTEDLGILLKGHRFRGSAKDVAPNRSGSAPPSMEGSFLAIENLLPLQNTQDASLTTLSRAVKNCESEEQLRADPAYLAYYSSNVNLNPRLPPPLTSWENRHIGHHIGSSRNNWGLPSTDHRSKTSLHLPQATLSTHKEESEDDSSHQQTHENESVNTRGVWRRQDAASSASQQKNVVDLIQEDFPRTMSPVYNMSLSISHGLVDKPIELEAASSSSHDPHDTAVESAKPTAGADDIRVSSSVDVHSPVASSSTLGSTASMGFSNIDVATVAYQLKTLNVSNLPNSESLSYEEKLKTSYQNNMVQRQVFLQQSNPSEVPSTNSQIVNNAYVRREQFAHNSSNLSDVQPLLQSSGFTPPLYATAAAYMTSVNPYYINIQASGTYAPQYVGGYPLNPTAINPYISSYPPHGAVPFVVDGATSSSYAPLTPGVSTGGSISHGAEMVQANKYLGQFGYPVQPSFGDPIYMQYNQQAFVEGFGISGHFDPHAPRASGVNQMNPYDSQKRPGTGAYLDDKKLQEQRTGANMNSRRGGLSVPSYFGPMPNTSFVMQYPSSPLSSPVLSGYPEGSPGISGGRNEMKPFPASGRNGGMLSGWHGPRSFDSAQDPKIVNFLEELKSGKGRRFELSDIIGHIVEFSADQHGSRFIQQKLESCGVEEKALVFKEVLPHASKLMTDVFGNYVIQKFFEYGNPEQRKELADKLAGQILPLSLQMYGCRVIQKALEVIEHEQKAQLVRELDGNIMRCVRDQNGNHVIQKCIESIPTQKIGFILSAFRGQVANLSMHPYGCRVIQRILEHCTDEVQCQFIVDEILESVCSLAQDQYGNYVTQHVLERGKSQERSQIISKLSGHVVQLSQHKFASNVVEKCLDYGDPSERELFIVEIIGHDGQNDNLLTMMKDQFANYVIQKVIDICSDNQRAMLLSHIRVHANALKKYTYGKHIVARLEHQFGGVFLYSVLKLC >CAK8531842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:162854399:162863307:-1 gene:gene-LATHSAT_LOCUS1607 transcript:rna-LATHSAT_LOCUS1607 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPSHMEASAFGTPPRNMGTEDLGILLKGHRFRGSAKDVAPNRSGSAPPSMEGSFLAIENLLPLQNTQDASLTTLSRAVKNCESEEQLRADPAYLAYYSSNVNLNPRLPPPLTSWENRHIGHHIGSSRNNWGLPSTDHRSKTSLHLPQATLSTHKEESEDDSSHQQTHENESVNTRGVWRRQDAASSASQQKNVVDLIQEDFPRTMSPVYNMSLSISHGLVDKPIELEAASSSSHDPHDTAVESAKPTAGADDIRVSSSVDVHSPVASSSTLGSTASMGFSNIDVATVAYQLKTLNVSNLPNSESLSYEEKLKTSYQNNMVQRQVFLQQSNPSEVPSTNSQIVNNAYVRREQFAHNSSNLSDVQPLLQSSGFTPPLYATAAAYMTSVNPYYINIQASGTYAPQYVGGYPLNPTAINPYISSYPPHGAVPFVVDGATSSSYAPLTPGVSTGGSISHGAEMVQANKYLGQFGYPVQPSFGDPIYMQYNQQAFVEGFGISGHFDPHAPRASGVNQMNPYDSQKRPGTGAYLDDKKLQEQRTGANMNSRRGGLSVPSYFGPMPNTSFVMQYPSSPLSSPVLSGYPEGSPGISGGRNEMKPFPASGRNGGMLSGWHGPRSFDSAQDPKIVNFLEELKSGKGRRFELSDIIGHIVEFSADQHGSRFIQQKLESCGVEEKALVFKEVLPHASKLMTDVFGNYVIQKFFEYGNPEQRKELADKLAGQILPLSLQMYGCRVIQKALEVIEHEQKAQLVRELDGNIMRCVRDQNGNHVIQKCIESIPTQKIGFILSAFRGQVANLSMHPYGCRVIQRILEHCTDEVQCQFIVDEILESVCSLAQDQYGNYVTQHVLERGKSQERSQIISKLSGHVVQLSQHKFASNVVEKCLDYGDPSERELFIVEIIGHDGQNDNLLTMMKDQFANYVIQKVIDICSDNQRAMLLSHIRVHANALKKYTYGKHIVARLEHQFGENQTPSSS >CAK8531852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166333761:166334150:-1 gene:gene-LATHSAT_LOCUS1616 transcript:rna-LATHSAT_LOCUS1616 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDVQNKIKLIEEAIAEDKNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKVSKDEDSSMKTSSSMGSMDSHDFEGVAGEGQADEAIAEDFWDAMIQSLKEKRKAKN >CAK8568671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631097023:631098127:1 gene:gene-LATHSAT_LOCUS21609 transcript:rna-LATHSAT_LOCUS21609 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGIPILDFRKSSGVTLEEGSGGWKEMSKKVREAFESHGAFLLRCDEIPNELREKMFTGMKSLFELPEETKLKFSSSRAYRGYTAKSHVIPHCQSFGIDDALKPNTAQNFTDLMWPEGNPSFSETLSSLTSKTRELSRLILKMVVEGFGLPEKYISEVEELNSHNDSRMTRYQLPEENKDSEIALVPHTDKGTLALICHNEVQGLQVLPKSGNWVNVNIPPNGFIVLVGDMLKAWSNGRLQAPTHRVVTRGDKERLAFILFAVPKQETLIKVPSELVDQDHPLRYKPFKYEEFIDFHYTTRTEKGVLEQVAGV >CAK8576468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481106915:481107133:-1 gene:gene-LATHSAT_LOCUS28651 transcript:rna-LATHSAT_LOCUS28651 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEAYSALLLAFLSTESKSIRESIADNLPDHNLASLVPVLDRFVEFHLSLDIISPETHKTVSEVIESCRIR >CAK8562543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508411284:508414603:1 gene:gene-LATHSAT_LOCUS16033 transcript:rna-LATHSAT_LOCUS16033 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLQSPRLPFHPKFTPLNSHPFPTSTVHYSPKSSTFNASFLVTRNKPVPSPKASSSQYSPAVTEKLGDISIFTAAGEPVMFKDLWDQEQGIAVVALLRHFGCPCCWELASTLKESKSRFDSAGVKLIAVGVGAPDKARILAERLPFPMDCLYADPDRKAYDFLDLYYGFGRTFFNPASAKVLSRFDALQKATKNYTIEAIPDDRSGVLQQGGMFVFRGKELLYARKDEGTGDHAPLDDVFDVCCKVPVA >CAK8560275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12841968:12847574:-1 gene:gene-LATHSAT_LOCUS13963 transcript:rna-LATHSAT_LOCUS13963 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLGAFKPACNVLISFTDGKNRKQVPFKKENGQSVTVPLFHSQENIAGKITIEPMQGKKIDHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTINRGYAGSIVEYQDFVVRNYSPLPQINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGTNTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHHNINNKFSVKYFLNLVLVDEEDRRYFKQQEITMYRLEETS >CAK8560971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65520807:65522646:1 gene:gene-LATHSAT_LOCUS14604 transcript:rna-LATHSAT_LOCUS14604 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYTMLTFIAFTFLSLFLAICFIMMTIFKGKSIGNPKYAPVKGTVFNQLFYFKKLHDYHTQLAKTHPTMRLLAPNQSELYTIDVRNIEHVLKTNFDKYSKGKYNQDIVTDLLGEGIFAVDGEKWKQQRKVASYEFSTRVLRDFSCSVFRKNAAKLVKVISEFSRNRLHFDMQDLQMRCALDSIFKVGFGTELNCLEGSNKEGIEFMKAFDESNAIIYWRYVDPFWSLKKLLNLGGEAKLKNNVKLIDEFVNGVIKTKKKQLALQQDSNVKEDILSRFLMESEKGGTNISDKYLRDIILNFMIAGKDTTANTLSWFFYMLCKNPLVEDKIVQEIKDVTCCSDESEVKIDEFVVNLRDEIVDKMHYLHAALTETLRLYPVVAIDGRTADAPDVLPDGYKLEKGDGVYYLAYAMGRMTSIWGEDAEEFRPERWINEGIFQPESPFKFVAFHGGPRMCLGKDFAYRQMKIVAITLLHFFKFKLANGIQNVTYKVMFTLHLDKGLPLNAIPRS >CAK8537122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:102704783:102706813:-1 gene:gene-LATHSAT_LOCUS6436 transcript:rna-LATHSAT_LOCUS6436 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYTSLIAQCAHKKSLTTLKAVHTHIIKSGSLFSFFGHKLIDAYIKCGIIAEARKLFDELPNRHIVTWNSMISSHIKFRKTNEAIELYKNMLFHGVLPDAYTFSAIFKAFSELGVLRYSQKAHGLAVVLGFEVSDGFVATAIVDMYAKFDKMKDARFVFDRVLDKDVVLFTALIVGYNQHDLDGEALEVFEDMVGRRIKPNEYTLASILVSCGNLGDLVNGKLIHGLVVKSGLESVVASQTSLLTMYSKCSLVEDSIKVFNQLNYASHVTWTSFIVGLVQNGREEVAVSVFREMIRCSVIPNHFTLSSILHACSSLAMLEVGEQIHAVTMKLGIDGNKYADAALIHLYGKCGNVEKARSVFDLLAELDVVSFNSMIYAYAQNGFGHEALQLFERMNILGLAPNGVTFISILLACNNAGLVEEGCQVFTCIRNNHNIELTRDHFTCMIDLLGRARRFEEAAMLIEEVKNPDAILWRTLLNACKIHGEVEMAEKFMAKMLDHAPRDGGTHILLTNIYASAGKWDNVIEMKSSIRDLRLKKSPAMSWVDIDREVHTFMAGDLSHPRAHEILEMLHELIEKVKTLGYNPDTKFVLQDLEEEKKISSLYYHSEKLAIAFALWKTCSRSTTVRIFKNLRVCGDCHSWIKFVSLLTGRDIIARDAKRFHHFKGGICSCKDYW >CAK8543489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611144726:611145465:-1 gene:gene-LATHSAT_LOCUS12244 transcript:rna-LATHSAT_LOCUS12244 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMEENDLLALLRDDETAEDKMIQKDISDEDLENLLDRGDLVVDGSTDVKAPVSTFPLNGPGWEVVIPTASGGMLSTLNS >CAK8541615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:301493526:301503423:-1 gene:gene-LATHSAT_LOCUS10522 transcript:rna-LATHSAT_LOCUS10522-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSITSITNNLPLRNLSTFFKKWSTKQYTIAAVALILFFSYTFLIRSNPHSHPSHSNSNSLLIPFTPLTNFKQFGALCLDGSAPGYHFQKGFGSGSRNWLLHLEGGGWCSSIASCSYRKTTALGSSSYMDTPVLFSGMLSSVPSQNPDFFNWNKVKIRYCDGASFAGRPESEVRKGSGLFFRGQIIWETIMNELLSIGMSKAKQALLTGCSAGGLATLIHCDNFRQLLPKRATVKCLADAGFFLDEKDILGNSTMKSFYQDVVQLQGVAKSLHKKCLSKLEPSKCLFSSEILKNIKTPVFLVHPAYDFWQIHNILVPKGSDPHHRWKSCRLNIQSCDANLMDKLNSFRGSLLKTVNEFQQRKDIGMFIDSCFIHCQTLMGETWYSPNSPKINDKTIAESVADWFFDRQVVKLIDCPYPCNPTCHNLDFTRV >CAK8541616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:301493526:301503423:-1 gene:gene-LATHSAT_LOCUS10522 transcript:rna-LATHSAT_LOCUS10522 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSITSITNNLPLRNLSTFFKKWSTKQYTIAAVALILFFSYTFLIRSNPHSHPSHSNSNSLLIPFTPLTNFKQFGALCLDGSAPGYHFQKGFGSGSRNWLLHLEGGGWCSSIASCSYRKTTALGSSSYMDTPVLFSGMLSSVPSQNPDFFNWNKVKIRYCDGASFAGRPESEKGSGLFFRGQIIWETIMNELLSIGMSKAKQALLTGCSAGGLATLIHCDNFRQLLPKRATVKCLADAGFFLDEKDILGNSTMKSFYQDVVQLQGVAKSLHKKCLSKLEPSKCLFSSEILKNIKTPVFLVHPAYDFWQIHNILVPKGSDPHHRWKSCRLNIQSCDANLMDKLNSFRGSLLKTVNEFQQRKDIGMFIDSCFIHCQTLMGETWYSPNSPKINDKTIAESVADWFFDRQVVKLIDCPYPCNPTCHNLDFTRV >CAK8530557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30200841:30203352:1 gene:gene-LATHSAT_LOCUS415 transcript:rna-LATHSAT_LOCUS415 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFWDKRISQEVNGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKQSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRDRRSESLAKKRSRLSTAKPVAA >CAK8568086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572105555:572106336:1 gene:gene-LATHSAT_LOCUS21082 transcript:rna-LATHSAT_LOCUS21082 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDLNSADMQRFYSEEQQRAMINEMVAKMTSQCWDKCITGAPGNKFSSSETNCLTHCAQRYMEMSMLIMKRFQSMQ >CAK8542195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487503354:487504043:-1 gene:gene-LATHSAT_LOCUS11055 transcript:rna-LATHSAT_LOCUS11055 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIFPPDEDGPYIEIELDHAGDSKNSCMHDKVEVEHGEECELRISISSTISVSLQKESKSVDSAAEVGLGPSMIRKQPSLTTPTSSNGIMVKLMIKFRRIKIRSFIASLMKPAQNFQTGSSKKSITFFQCYEEDSISASLRKSRRRMDMDLGAFKGVFNAIGMSRRRSKRRSDASTSCNSTPTHEGFSKDNSIQGAIAYCKSSFGQTSDFTFSSSITSTPTRFVGYHD >CAK8540927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33071972:33073398:-1 gene:gene-LATHSAT_LOCUS9884 transcript:rna-LATHSAT_LOCUS9884 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDIYGPHTNELLLGKALKGEVREKVELATKFGVRAREGKFEICGDPAYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASPATIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGTKLLESLSQDDHRKHMPRFQPENLQQNQTIFDKVNELATKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENLNQNIGALSVKLTPQELAEIESLADAVRGDRYMEGMRTWKDSDTPPLSSWKAA >CAK8533740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:656063630:656064043:1 gene:gene-LATHSAT_LOCUS3341 transcript:rna-LATHSAT_LOCUS3341 gene_biotype:protein_coding transcript_biotype:protein_coding MACSYSSNPPCAACKFLRRKCNEECIFAPYFPPEEPHKFINVHKIFGASNVSKILNEVLPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQKQLLSLQKELDATHADLIRFNHQPSSSLGLGHGHSLPFFNYPSN >CAK8535832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883978124:883979185:-1 gene:gene-LATHSAT_LOCUS5252 transcript:rna-LATHSAT_LOCUS5252 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHELANSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDLTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKHWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEICAAEDPEFENFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8540992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39619802:39620866:1 gene:gene-LATHSAT_LOCUS9946 transcript:rna-LATHSAT_LOCUS9946 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHRIAIGSPGEAGQPDAIRAAFAEFFSMLIFVFAGQGSGMAYNKITNNGPATPAGLIAASLSHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRSMLYWIAQLLGSVVACILLKSATGGMETSAFALSSDVSVWNALVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPVAIGIIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTHHWVYWAGPFIGAAIAAIIYDNIFIGEDGHEPLTSSDF >CAK8571367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:391994400:391994693:-1 gene:gene-LATHSAT_LOCUS24034 transcript:rna-LATHSAT_LOCUS24034 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFQRKYPNESEDKIMIRILDHMKRKFFSTFPTKASKDEDSSMKTSSSMGSMDSNNFDGLAGEGQADEATAEDFWDAMIQCMKVKGKSKN >CAK8577799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589243226:589243897:1 gene:gene-LATHSAT_LOCUS29870 transcript:rna-LATHSAT_LOCUS29870 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFDSYSYCRALGRKRVVVSNSHESISVRSPLKKMCSGKFNSISEKSRLEALPQDVLIRVLCGVDHDDLDQVFNVSTTIREASEIAKQMHFKFSTPKKNTVAAVRSPFHIENGCDEIEAPDAPLMLKKSKSRLSTRKLADISVTLFP >CAK8539943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531996465:531998102:1 gene:gene-LATHSAT_LOCUS8993 transcript:rna-LATHSAT_LOCUS8993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEEGQVISCHSVDAWNDILHRGNESKKLIVVDFTASWCGPCRFIAPFLGELAKKFTNVIFLKVDVDELKSVAQDWAVEAMPTFVFVKEGTILGKVVGAKKEELQQTIERHVASSNA >CAK8567715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538634247:538635209:1 gene:gene-LATHSAT_LOCUS20739 transcript:rna-LATHSAT_LOCUS20739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKDCSKSSNLSSPMAETPTRPVLCLKKKEDIKLYEETEEIFILEFDPFESIDFSKLSLENKNNDDDDDDASDISIVAEKGQVACRDYPHARHLCVKFPFNTTSHESSCEKCYCYVCDSVAPCKYWTRSSDKSDSHYDAPHCDANSDWEEERNEYKRSSDTEAH >CAK8567714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538634118:538635209:1 gene:gene-LATHSAT_LOCUS20739 transcript:rna-LATHSAT_LOCUS20739 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYSENAKGFAISFQNRNSIFALVPLLIISLSWFQSEKKHKTMAEEKDCSKSSNLSSPMAETPTRPVLCLKKKEDIKLYEETEEIFILEFDPFESIDFSKLSLENKNNDDDDDDASDISIVAEKGQVACRDYPHARHLCVKFPFNTTSHESSCEKCYCYVCDSVAPCKYWTRSSDKSDSHYDAPHCDANSDWEEERNEYKRSSDTEAH >CAK8533944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:673991158:673992507:-1 gene:gene-LATHSAT_LOCUS3529 transcript:rna-LATHSAT_LOCUS3529 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTIIYKVRKMQQLPTSSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLRGKSRPNLEILMTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIVEGKYIYFNYWDYVQDFAQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWVKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVKEQSSQQMYMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDFHNLEGLAGEGQADEATAEDFWDAMIQSLKEKRKTKN >CAK8572461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538504952:538512353:-1 gene:gene-LATHSAT_LOCUS25027 transcript:rna-LATHSAT_LOCUS25027 gene_biotype:protein_coding transcript_biotype:protein_coding MKTETAMAEETKELEDKTFEDLGLDSRLIRALLKKKIAKPTPIQEHAIPHILEGKDVVARAKTGSGKTLAYLLPLLQKLFTSNVDRKKLAPNVIILVPTTELCQQICVEVKSLLELCKVQLKVVQLNGKMLATDLQAALVGPPDVLISTPACIAKCLSNSILLPASINDSLETLVLDEADLLLSYGYENDIKALTPHIPRSCQCLLMSATLSADVDKLKNLILHNAIVLTLAEKENHKDEVIPKNVQQFWISCPANDKLLYILAMLKLELVQKKVLIFTNNIDTSFRLRLFLEKFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIAADISQSTEKDEAPKENVVGSRKSRKHAKLKLDSEFGVVRGIDFKNVYTVINFEMPRSVAGYVHRIGRTGRAYSSGASITLVSSDEMDTFEEVRSFVGDDENNVGSPLIAEFPLLTKNAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEILNSEKLKSHFETNPRDLALLKHDKVLSKNAPPPHLRDVPDYLIDKTTKEAREIVKLTRDAMGNNNRRRGSKRKSRKGGDPLMAISTGVSKRPHKGFKKEGASNGKNSDRQKHKKAKAF >CAK8572462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538504952:538512335:-1 gene:gene-LATHSAT_LOCUS25027 transcript:rna-LATHSAT_LOCUS25027-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKELEDKTFEDLGLDSRLIRALLKKKIAKPTPIQEHAIPHILEGKDVVARAKTGSGKTLAYLLPLLQKLFTSNVDRKKLAPNVIILVPTTELCQQICVEVKSLLELCKVQLKVVQLNGKMLATDLQAALVGPPDVLISTPACIAKCLSNSILLPASINDSLETLVLDEADLLLSYGYENDIKALTPHIPRSCQCLLMSATLSADVDKLKNLILHNAIVLTLAEKENHKDEVIPKNVQQFWISCPANDKLLYILAMLKLELVQKKVLIFTNNIDTSFRLRLFLEKFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIAADISQSTEKDEAPKENVVGSRKSRKHAKLKLDSEFGVVRGIDFKNVYTVINFEMPRSVAGYVHRIGRTGRAYSSGASITLVSSDEMDTFEEVRSFVGDDENNVGSPLIAEFPLLTKNAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEILNSEKLKSHFETNPRDLALLKHDKVLSKNAPPPHLRDVPDYLIDKTTKEAREIVKLTRDAMGNNNRRRGSKRKSRKGGDPLMAISTGVSKRPHKGFKKEGASNGKNSDRQKHKKAKAF >CAK8564850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12580019:12583658:1 gene:gene-LATHSAT_LOCUS18108 transcript:rna-LATHSAT_LOCUS18108 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSGEHDKEEEETTTLDNMLEGDEKLHNGGIDGRHIVDAGIEVHALNGGDLNSPTVDMVMFKEDSNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQNKQESENSTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAVMARQFAEYKTVVGIKNEKSPFEKGRNLGLEFGEAKLMLDFFIQMQNMNSNFFYAVDLGEDQRLKSLLWIDAKSRYDYITFCDVVSFDTTYVRNKYKMPLVLFVGVNQHYQFILLGCALISDESATTFSWLLRTWLKGVGGQVPKVIITDHDMTLKSVISDIFPSACHCVCLWHIMGKVSENLAPVIKKHENFMAKFEKCVFRSLTSDDFDNRWEKILDRFELRQDECMLSLYEDRRLWAPTFMKDVFLGAMSTVQRSESVNSFFDKYVHRKTYVQDFVKQYESILQDRYEEEAKADSDTWNKVVTLKTPSPLEKSAAGVCTHTVFKKIQAEIIGAVACHPKVDRQDETTVVHRVHDMESNKDFFVVVNEGKLELSCICRLFEYKGYLCRHALVVLQYSGHSVYPTQYILSRWAKDAKTRNVMGEESELMLARVQRYNDLCLRSLKLSEEGSLSQDSYSIAFHALNEAHKSCVSVNNCNKSPTEAGTSGAHGQLSIEEDTQSRNMGKSNKKKNPTKKKKVNSEAEVMTVGALDNLQQMDKFSTRAAVALEGYYGTQQSVQGMLNLMGPTREDYYGNQQTLQGLGPINSIPTSHDGYYGAHQGMPGLAQLDFLRTGFTYSMRDDPNVRAAQLHEDPSRHS >CAK8563979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643713345:643715263:1 gene:gene-LATHSAT_LOCUS17331 transcript:rna-LATHSAT_LOCUS17331 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLESNGRSSFSDYVSICDQDFPEEDSDSDSCGSSHSSLGSNSDSDDCSDRELEDSGEVEKKSPLDTMNDLEEDLPVKKGISNFYIGKSKSFTNLADAAGATCVQEIVKAEDPYAKKRKDLLARNILMGRSRSYANVGGISNSKRTSNLGRRTSCLNLSANADSSDEGKSSTSRSISPPCPLPPRHPQAGNMSSASASRPRPSPPTRDLPLRSYSWSDLNSVAEGHDLAGLAICSGNKDNKVH >CAK8578029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603065739:603070113:1 gene:gene-LATHSAT_LOCUS30075 transcript:rna-LATHSAT_LOCUS30075 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSALCYLVRRALINGRNCNRHGAAVVRPSVAAEMRQMNLCADGGNGGFFYWKRMMASQAAIPPTGEAEAKSTGKEKSDKESSGTKNNKVVSSYWGISRPKITREDGTEWPWNCFMPWETYESNLSIDLNKHHVPKTFLDKVAYRTVKLLRIPTDLFFKRQYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQHSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLAVQGVFFNAFFVVYIVSPKLAHRVVGYLEEEAIHSYTEYLKDINSGAVENVPAPAIAIDYWRLPKDAKLKDVITVIRADEAHHRDVNHFASDIHFHGKELRDAPAPLGYH >CAK8533979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:678376548:678377922:1 gene:gene-LATHSAT_LOCUS3559 transcript:rna-LATHSAT_LOCUS3559 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQGVTKDKLVLLKGVSGAFMPGVLTTLMGVSGAGKTTLVDVLAGRKIGGHIDGDIKVSGYPKNQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSKTRKMFIDEVMDLVELNSLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARVVAIVMRILRNTVDTGRTVVCTIHQRSIDIFEAFDELFLMKRGGQEICIGPLGRHSVHLVKYFEIIEGVSKIKDGYNPATWMLEVTTTAQEFNLGVDFTDLYKNSDIYRRNKQLIQELAQPAPGSNDLHFPTQFSLGPMPGLLMETTLVILA >CAK8571707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463975638:463981925:1 gene:gene-LATHSAT_LOCUS24344 transcript:rna-LATHSAT_LOCUS24344 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELPSPCHDQPSSSYNSNTSGRNVFSLLAQREISPRTKHVRKWHWGESSKSKSSCSNHKPMIDAKCGLLSWVEAESLRHLSAKYCPLLPPPRSTIAAAFSQDGKVLASTHGDHTVKIIDCETGNCLKVLVGHRRTPWVVRFHPLHRQILASGSLDQEVRLWDASTSEWILSHYFNRPIASLAFHASGEIIAVASGHKLYMWHYKNGGESTSPVFVLKTRRSLRAVHFHPHGAPYLLTAQVNDLDSSDSSMTEATSHGYLQYPPPAVFVTNVNSRMHINMSSEPPYVSLPLFSRPSYTVNESRVELQHASNDVGSSSMQVQSSSVAQFQSHTSPTEQYQTRLSNSEIPNSQTGAEYVVHTTLPNQTRIGINNLTNGGTATDETEPEPEPEPAEEINHGNPDHVHSLDGGTLHDPSRHAVKPEDLSELGQFPQIVPSRDSSGWELPFLQGWLMGQSQVGVPSMLPHIGGSDDSLPQQIGSSTMPSNPSTTNVEVAIPPSEIPSGISIPAVLPPGLQGRFSITRRVPVSNSGNLVPSINATHDVEVATPPSDMPSSISIPAVLQPGLQGRFSITHRVPVSDSGNLVPYINVPHDGFDSQTIISRIQAELATSVAAVASAELPCTVKLKVWSYDLKNACTPLQRCCLTIPHVVLCSEMGAHFSPCGRFLAACVACMHPHIEADPGLQTLVHQESGVPTSPTRHPISAHQVMYELRIYSLEKSTFGSVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLTSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFPGGGLVYGTKEGKLRVFQFDRAHTVSGTGSGYLPEENITGVGQ >CAK8578451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630074680:630078011:1 gene:gene-LATHSAT_LOCUS30463 transcript:rna-LATHSAT_LOCUS30463 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFSFFISIITVIATTTVAGDDAAVMKSLYQNLKPPPPNWSSDTPFCQWTGITCDSSNRVTVIRLSSLNLAGTLPADLNSLTQLTTLDLRNNSLTGSFPSLANLSSLKTAYLGVNKFTSVTSAAFSGLTSLQELYFDHNSDLSQWEFPNELTQSSSLTLLDLTSSMINGNLPDIFGNFASLTKLSLSFNNFTGGLPNSLAASSIQNLWLNNQQPGPGFTGSIAVISNMTYLTQVWIQNNHFTGPIPDFSQCKNLFDLQLRDNQFTGMVPDSLMSMSSLKNVSIGNNVLQGPVPVFSKGVTATNDGINSFCLDKPGPCDGRVMVLLQIAEGFGYPIQFAKVWKGNDPCQDWQYVLCSGGKITTLNFGKRNLHGTISPAFANLTDLNRLILSGNNLTGSIPQSLTTLSQLETLDVSNNNLSGKVPNFSPKVKLISDGNVLLGKDPGSGGETPGFSGGSGKGTVLTPGGIAGIVIIVVLFVAVVLFVSCKCYSRKRFRKFSRVSNPVNGQGNVKLDLASVSNGYGGVPSELQSQSSGDHSDLHGFDGGSGGNAIISIHVLRQVTNDFSDDNILGRGGFGIVYKGELPDGTKIAVKRMISVAMGSKGLNEFQAEISVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFECEEHGYTPLTWKQRLAIALDVGRGVEYLHSLAQQSFIHRDLKPSNILLGNDMRAKVADFGLVKNAPDGNYSLETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGKKALDDSVPDERSHLVTWFRRVLINKENVPKTIDQTLNPDEETMLSIYKVAELAGHCTAREPYQRPDMGHAVNVLVPLVEEWKPSTHTDESSYGVDHQMNLPRALQRWQANEGTSTMFNDMSISQTQSSFTSKPPLFADSFSSTDCR >CAK8570965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:199027366:199028474:1 gene:gene-LATHSAT_LOCUS23669 transcript:rna-LATHSAT_LOCUS23669 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFTKSERKLKFKIPAKHLDWYKVGWHMAQNEDQGTLNAFMKNSGKNLNMKMTCFVCLDQIGMVSWFRTSLSFLSIDI >CAK8568903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653124592:653127774:1 gene:gene-LATHSAT_LOCUS21812 transcript:rna-LATHSAT_LOCUS21812 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPFFMLKFPCNLFLLLTFIIPFKVISQPIASEVTILLSLKRQIGDPPSLQSWKPSPSSPCGWPEINCTGGFVTELLLPNKDITTQKLPSIICNLKSLTKLDLSNNSVAGEFPTWMYNCSNLRYLELSQNYFAGQIPDDIGNLKTLTYLNLAGNSFTGDIPAAIGKLHNLKTLYLFQNNFNGTIPKEIGDLSNLETLGIANNFRLKPMTIPFEFGKMKNLKFMWISKCNLIGTIPESFVNLTNLEHLDLSTNNLTGNIPRSLFSLKKLTYMYLYLNRFIGVIPDSVQALNLTEIDLSMNNLTGSIPKEFGKLQNLTVLQLFSNQLSGEIPSSLGLIPSLRKFKVFENKLNGTLPSELGRYSKLVIFEVGENQLIGGLPEHLCEGGVLLGVTAFLNNLSGNLPNWFENCVSLTTVKLYNNRFSGEVPLGLWNLTKLSTLMISDNLFSGELPSKLSWNMSRLEIRNNNFSGQISLGVSSALNLVVFDAGNNLFSGEVPRELTGLSQIITLMLDGNQLSGTLPSEIISWRSLNILNISRNKITGRIPVALSSLPSLDYLDLSENNLTGEIPPQLVNLKLIFLNLSSNKLTGNIPDEFDNLAYENSFLNNPQLCAHDENFNLSSCLTKTTPQHFRNHSSLKSKLIALILAVSVAVLLATVSLAFCTLKKYCGKKRCGRKLSTWRLTSFQRFDLTEINIFSSLTENNLIGSGGFGKVYRVASTRPGEYIAVKKIWNVKDVDDKLDKEFMAEVEILGNIRHSNIVKLLCCYSSENSKLLVYEYMENHSLDKWLHKKKKTSVSGLSSHTENQTVLSWPTRSNIAIGAAQGLCYMHHECSVPIIHRDVKSSNILLDSKFKASIADFGLAKMLAKNGEPYTMSVLAGSFGYIPPEYAYSTRIDEKVDVYSFGVVLLELVTGREPNYGGENACSLVDWAWRHCDEGKCITDAFDEDIRETPYAAEMTSVFKLGLMCTSTLPSTRPSTKEILQVLRQCCSSSSAHTRVATEFEITPLLGNTTYISSYKDGRTVNENEESCLYSV >CAK8563708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626435401:626438792:1 gene:gene-LATHSAT_LOCUS17088 transcript:rna-LATHSAT_LOCUS17088 gene_biotype:protein_coding transcript_biotype:protein_coding MLINRISPRRALIYGRRLFRPSHSQSFSSASSSAYYSSLSRSVLSAKANSRIDFNFKHVKGYCFSAPPVLNVRVGNIVEIPLAQTGEGIAECELLKWYVQEGDFVEDFQPLCEVQSDKATIEITSRYKGKVCNILHVPGDIVKVGATLLKILIDEPACSSTSLGASENAKSSDSDSDQISVDESAFTTGNLADSENVKQLNSDNGKGKQAGVLSTPAVRNLAKEHGIDINDVCGTGRDGRVLKEDVLKFAVNKGISKTPSAVLHADFVEQLDGAEERGCDARKKYDKPSEDTILPLRGFQRAMVKSMSLAAKVPHFHYVDEIICDALVELKTSFQKNNPYPDVKHTFLPMLIKSLSMALIKYPSVNSCFKEDAFEVILKGSHNIGIAMATPHGLVVPNIKNVQSLSILEITKELARLQQLASDNKLTSEDISGGTITLSNIGAIGGKFGSPLLNLPEVSIIAMGRIQKLPRFTEDGNVYPASLMTVNIGADHRVLDGATVARFCNEWKKLIENPELLMLHLR >CAK8563177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580898378:580899679:-1 gene:gene-LATHSAT_LOCUS16611 transcript:rna-LATHSAT_LOCUS16611 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKYGIKKGPWTPEEDIILVSYIQQHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMILHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKMNNDQSNENNHQDVDSEGKTRSQPMKGQWERRLQTDIQMAKQALSEALSLPQNPTTFPHEMKPSSSFSHENIPSPYASSYENISRLMENWMKSPNSSAETISSSIFSNNMQITTTAGSSSSEGAQSTTQDHHHYHLHHQAFDSDLFNVNNSDYASQTHEENNNITKEGLDLFHQEKRNIETLVPLTLLEKWLFEDGVTTTYHECNEDLINMSLEGSTSDFF >CAK8536051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902936172:902937191:1 gene:gene-LATHSAT_LOCUS5453 transcript:rna-LATHSAT_LOCUS5453 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEIYNTKKNDGIKKKLRKGLWSPEEDEKLMNYMVRNNGQGCWSDVARNAGLERCGKSCRLRWINYLRPDLKRGAFSTQEEELIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNMSSTTTTTSTSHNASDESSSPEPNKEINILGFSGQHHQHANYNNYMMNEPIFASSSSSLSTYENTIFNTMNIDTLPMLQHSGYLNTAFTVPCFSESHQVDIKDCYLENGGVQGDVCKRENISIDYTFDDMNSILSNCNIIDSNNDNESGAVENLFQEQLNLGEWDFEELMKDVSSFTSFDYSF >CAK8534788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767845881:767846290:1 gene:gene-LATHSAT_LOCUS4302 transcript:rna-LATHSAT_LOCUS4302 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVSSHVSEEQINISGMPIWAQAAFRDRTQLNSVPSKVYKVSLFNRDNVLVRVPIEHSTPEVARLTILEWISGTNILMMVLMFLIKFSILHALKLLW >CAK8563837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633878512:633883137:-1 gene:gene-LATHSAT_LOCUS17206 transcript:rna-LATHSAT_LOCUS17206 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSLFLTATKLAGALVTLSLAANVFSFSRFRNKNLRSFGSPIDESSDTLADFNITEGENEFFFGLATAPAHVEDRLDDAWIQFAEQESIAGAEQKVDALMGSATADGGSQQAVSSPQRARKGNKKSLKVAMEAMIRGFEKYMEVDGNEGEEERIPNVTAWHNVPHPEERLRFWSDPDTELNLAKNTGVTVFRMGVDWSRIMPKEPVNGLKESVNYAALERYKWIINRVRSYGMKVMLTLFHHSLPPWAGDYGGWKLEKTVDYFMDFTRLVVDNLSDLVDYWVTFNEPHVFCMLTYCSGTWPGGHPDMLEAATSALPTGVFQQAMHWISVAHSKAYDYIHEHSNSSNPIVGVAHHVSFMRPYGLFDTAAVLLANSLSLFPFIDEISKKQDFIGVNYYGQEAVSGAGLKLVENDEYSESGRGVYPDGLYRMLLQFHERYEHLNVPFIIAENGVSDETDLIRRPYLLEHLLSVYAAMIKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANNLARIPRPSYHLFTKVVTTGKVTREDREKAWNELQSAAREKKTRPFYRAVDKNGLMLAGGLDEPIQRPYIERDWRFGHYEMDGLQDNLSRFYRFIVQPLPLKRKKQSQKKELVLQPL >CAK8534225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707282136:707282524:1 gene:gene-LATHSAT_LOCUS3785 transcript:rna-LATHSAT_LOCUS3785 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDMGYVMANQYDIILVSLGYPSLTCFPMTTSHSPNVSIYYIGFVNKNYLVQVNMNEGFPLPPVTLDLKKYCTSDTTSWMIRFSVGLQNWQHLTPTVLKYVKL >CAK8579020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667709050:667712246:-1 gene:gene-LATHSAT_LOCUS30996 transcript:rna-LATHSAT_LOCUS30996 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSLPPLMINTALVGTRAFANTLTLTAKGFPFPKQLQFHSRCVVFSTHSSSATLSVESQVEQKQVFDGDEAYLLVTELRKNFDSGKTKSYEWRISQLESIAKMLVEKEDEIIDALVKDLSKPRMEAYITEIFQAKSSCNEALQELKHWMKPEKVSTSITTFPSSAEIVSEPLGVVLIISTWNFPMLLSLDPVIGAIAAGNTVVLKPSEISPATSSLLANLLESYLDNSAVRVIEGAVPETTALLEQKWDKILYTGSARVGRIVMAAATKHLTPVILELGGKCPAVVDSNINLQATARRIIAGKWACNSGQACISVDYIITRKDFAPTLITALKDELEEFFGKDPIESKDMSRIVSPTQFARLVRLLDEDKVSDKIVLGGQRDEKKLKIAPTILLDVPEEAMVMQEEIFGPILPIITVDSIEDSFSIIKSRPKPLAAYLFTNNEQLKKDYVDKISSGGMLINDAVVHVATRGLPFGGVGESGMGAYHGKFSFDSFSHKKSVLYKGFDSDPSIRYPPYTPEKAKLLRAILDGSIFHIILALLGWSK >CAK8561667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:347178091:347179707:1 gene:gene-LATHSAT_LOCUS15235 transcript:rna-LATHSAT_LOCUS15235 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGKAINGPTEVTSSVYMDYLGKEPKEEDKMKGFVRITWLESEYENFKNKENPTQEDVLLEAKLFILLVIATILFPDKTQNLLHSSWVPFVGDLIECGKYSWGSACLAKLYREMCKAAEKEVRSMSGCALLLTSWAFTRIPLFTPVSMVEPSYPYAQRWAQRGMNYRATPRFHLQGYRNALDHMQEKDFIWRSYIQYPVPRLEDSQIWSATMYLICFYIVEMHQTDRVTLQFGVDQQIPPLPKCLKDQHAMTMRKAHKVHWQQLNRDEVREWRHRGHVILQGDSVLGERKPSQQYLTWFRTIPYVHVAPDQFLTDPRTQPSSSTQPTAASMHPDAPPTNTSQFWGYPSSSAQHNYNFPQFIQQYQPQPYLRPPRQFTPCTAPNFEQNNPYFQYPTNPTFNTTFSQPAFTPDDVYIPTVQQTQAETYP >CAK8532092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201057598:201058079:-1 gene:gene-LATHSAT_LOCUS1829 transcript:rna-LATHSAT_LOCUS1829 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8575796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:327549517:327549978:1 gene:gene-LATHSAT_LOCUS28031 transcript:rna-LATHSAT_LOCUS28031 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEFEMTNMRLMAYYLGIEVKQEDKGIFMNQEGYAKEVLKKFKMDDANPIGTPMECGSKLSKHENGEIMDPTLYKSLVGSLRYLTNTRPDILYVVGVVSRYIEAPTTTHFKAAKRILQYIKGTTNFGLHYYSSNNYEIVGYSDNDWSGDLGR >CAK8577599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:577172500:577175647:1 gene:gene-LATHSAT_LOCUS29690 transcript:rna-LATHSAT_LOCUS29690 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFNVHNLFFLFLFSLVFLVSMHQVTAKSPQKVIPLKGRKQLTNCNLFSGSWVIDNSSTPLLYDTSTCPFLEPQFDCKKFGRPDTEYLRYSWKPDSCSIPRFNGEDFLNKWKGKKIMFVGDSLSLNMWESLSCMIHASLPNAVTTFSKRDGIATVIFKDYGVTIQLYRTPYLVDVIKEDVGNVLTLDSIKGGNTWLNNDILIFNSWHWWTHTGAESQGWDYIRDGSNLVRNMDRLDAFYKGLTTWASWVDANVDTTKTKLFFQGISPTHYQGGEWNEPKKTCNGEVEPVLGPTYPTPLPPPTEVVNRVLKNMKKQVYLLDITLLSQLRKDAHPSVYTRDHGNDCSHWCLPGLPDTWNILLNAALIM >CAK8565408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:172434301:172435173:-1 gene:gene-LATHSAT_LOCUS18626 transcript:rna-LATHSAT_LOCUS18626 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAGYSLNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRAFDGGCRWGHMTTNLVESLNDVFKGTRNLPITALVRATYYRLGSLFASRGKKWSAVLQSGQLFSESSMKYMKDETSKAASHRVRPFNRHDYSFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSSVRHDPFLLLSDVYKVVNLFGIYNNSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTKITTEMDTTEKMERLCGTCRLPGHTRNNCPNVGTSSR >CAK8562551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508869231:508874225:-1 gene:gene-LATHSAT_LOCUS16040 transcript:rna-LATHSAT_LOCUS16040 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTPNSSKPLSITPRSRVLRSPLSDDQIWKRLRDAGFDEESIKHKDKSALVAYIAKLEAEIYDHQHHMGLLILERKELASKYEHTKTLIESSELMHTHDSSMNKSALAESRKREESLKKTIGVKDACIGSLEKALHEMRTECAETKVAAESKLAEAHQLIEEAQKKFTDAEAKVCAVESLQADATRYNNVAERKLRDVEAREDNLRRQIISFKSDCDEKDKELNLERQSLSERQKVLQEEHERLLQSQSLLNQREGHLFSRSQELNRLQKELEDTKFKTEKEHEALHEKKTSLKLLEATLIQREEALTKRKTELDKKEKELLEFEVKLSNRESDETQKVMADQEATLRTRKHDLEVELQMQRKSVEYEIETKRRAWELKEVDLKQREDQILEREHELEVLSRSLTEKEKDLVELSTSLKDKDQSLRSAEKEFELNKTILQKEKDDIKQAKQDLQESLTSLENEKKRVDDTKKRLEVIQSETGDLSVFEVKLKEEIDLVRSQNLELLDEADKLKAEKAKFEAEWELLDEKKVELRKEAEFIENERKAVSTFIKNERDKLREEKENLRNQYTHDLGSLANERENFMKKMADEHAEWFGKMQQERADFQRDIEMQKRELNNLIEKRREEVESYLKEREKAFEEEKDRELRCVDALKEKAAKELEQVSLEMKRLQTERTEISLDRELRNKEWAELTDCIKELEVQRDKLQKQRELLHADRIKIYSQTEELKKLEDSKVVSDDLAIVEMLKSDMEYNLQKMSSRKNLKYQTPTQGRLSKDLDVDSISNELGTPFVQNSSGVSTPSAARFSWLKRCTELIFRNSPDTPLMKKENLPLLSDKTDVSNGQTHLDNDKSLGNFSNGQQMEFSFGEPKVIVEVPSRDGNASRSSEFESVTKDVNGKTAFSDERQMGRGKRGRGNLITKVGDPLVDVVQNKKPRAEQMAKNPLDQGTTYCVLSTQSDISEIQQASTTSNHKQGNTEETRVVTIDKVIHVSEVISEKVDTIGIPNQEPGDSLQSPTMGECQYKLHRETNDQSNSSALENKEGICKENNEHISF >CAK8578665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645543098:645544822:1 gene:gene-LATHSAT_LOCUS30656 transcript:rna-LATHSAT_LOCUS30656 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNTPVVVRVARFSAHAWQRLSCIDDPINSDQLLDLLCCFPLHQFGRFALCLCSFFCIPQPHSFYSSYLISDSDDSDDSASGSSSSTLHLEHDYYYHSHSD >CAK8578666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645543098:645543427:1 gene:gene-LATHSAT_LOCUS30656 transcript:rna-LATHSAT_LOCUS30656-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNTPVVVRVARFSAHAWQRLSCIDDPINSDQLLDLLCCFPLHQFGRFALCLCSFFCIPQPHSFYSSYLISDSDDSDDSASGSSSSTLHLEHDYYYHSHSDCKFFSFF >CAK8537595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407177425:407177914:1 gene:gene-LATHSAT_LOCUS6874 transcript:rna-LATHSAT_LOCUS6874 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYMEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8537596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407177425:407177568:1 gene:gene-LATHSAT_LOCUS6874 transcript:rna-LATHSAT_LOCUS6874-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYMEVTLHDQFLRGTMAA >CAK8534123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696873340:696874179:1 gene:gene-LATHSAT_LOCUS3691 transcript:rna-LATHSAT_LOCUS3691 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFVHGFGLVHPNKVFNFYNELHAYLASCGVDRVKVDVQNIIETLGAGHGGRVSLTRSYHRALEASIVRNFSDNGCIACMCHNTDGLYSAKQTAVVRASVDFYPRDPASHTIHISSVAYNSLFLGKFMQPDWDMFHSLHTAAEYHVAARAINGCLIYVSDEPGNHNFYLFKKLVLSDGSVLRAQLSGRPTRDSLFVDPARDRTRFVFT >CAK8540046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536995676:536997027:-1 gene:gene-LATHSAT_LOCUS9085 transcript:rna-LATHSAT_LOCUS9085 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQNPCPSPSILFGCFGNFVDKVKQFGTLAVSAIIGNIFSAILTFCFALVGTLLGAMTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWHSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETSFDEVQNLFDIGGSKGLSGDSVAKIPKIKITTDNVDASGEKVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDMWLLRHGSCPLCRRDL >CAK8563424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601881427:601883217:-1 gene:gene-LATHSAT_LOCUS16838 transcript:rna-LATHSAT_LOCUS16838 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKQVPSETRDAYRVTYIIHFLLGAGNLLPWNAFITAVDYFAYLYPTNHIEKVFSVAYMVSSVFVLLVMMSWGGWSKTTLRLRMNLGFSMFVMSLMVAPVIDWASSSTTMKERPSGAYGMTVAAVVICGLADGVVGGSLIGSAGKLPKQYMQAVFAGTASSGIIISILRIITKASLPQTPKGLKISAHLYFMVSIVFLLCCIVFSNLQHKLPVMQQYQQSQLQDSALCSKTKFCAVAGKIKGPAFGIFIIYIVTLSIFPGFIAEDLESKVLKDWYPIILITVYNLADLMGKSLTAFYVPQCIKRAICAATARLLFYPLFIVCLHGPNWLKTEVPMIVLTFLLGFTNGYLTSVLMILAPKSVPFSESELSAIVMTAFLGFGLVGGSVLGWFWIL >CAK8536758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14189359:14192072:-1 gene:gene-LATHSAT_LOCUS6091 transcript:rna-LATHSAT_LOCUS6091 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKMFLNDLLLYEKLGGCCFGNFGRYYATKVDLRKLRPMILKRIEKRSRLYPVRSMVPVANEVLLARNVLIKGVSTLLNSLPLLACKFCPEIYIGEQGHLIPTCWGYKHRAKNRVHEWVKGGLNDILVPVETFHLNNMFQSVIRHNQRFDFDRIPAVVELCWQAGANPHDENLNSSGWNLEATNGNVDGTESLSPNDLALIAKKTLEAWETLRSGMEKLLMVYPVKVCKYCSEVHVGPSGHKARLCGVFKYESWKGCHFWTKANVDNLVPPKIVWRRRPHDPPVLVNEGRDFYGRVPAVLDLCTKAGAIAPAKYNIMMKVQGLSGPVNYKILQEH >CAK8578594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640585214:640586134:1 gene:gene-LATHSAT_LOCUS30591 transcript:rna-LATHSAT_LOCUS30591 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSLVSTSFLLLAITFYTTASLAQLSPIQPPTTSSPPLPSTSASPPLPATTAAAPSPGLNTVPLVPTTPTGAPSPLIPKGPTIDIINILQKAKRFSVLIRLLKTTQLINQLNSQLVSSSGSGGLTIFAPEDSDFSKLKAGFLNSLSDRQKVELLQFHTLASFISISNFDTLTNPVQTQAGDDARLQLNVTTYGGNQVSMATGAVNASVTGTVYTDSKLAIYQVDKVLLPLDLVLPAKAPALAPAPGKGLPKAGKTNSSAVEDGSSAGSDDGDGKVLPAEASKAGAVVMWVNLVVFGMALVGGVVL >CAK8538289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475566819:475567454:1 gene:gene-LATHSAT_LOCUS7504 transcript:rna-LATHSAT_LOCUS7504 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEATTRGRRNRVSPSTPEIIDLDSFRSYGKRTIDEDIKILKFTPENIPLRKRKRNFERGESSNSSNIPFVCEICTETKIMKEAFYISGCSHAYCSDCVANYIGSKLEDNVINISCPVPECKGSLEAQFCRNILPAEVFEKWSKALCEALNNVSQKFYCSFLDCSAILINDETKAFRNSECPNCNRMFNAQCKIPWHDGIKCSKFQGEKR >CAK8562166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450082976:450085737:1 gene:gene-LATHSAT_LOCUS15687 transcript:rna-LATHSAT_LOCUS15687 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHGGAEVRASHILIKYEGSRRKASWKDPEGYVIKNTTRDSAVAQLKAMQADIVSGKVTFEDIASRYSDCSSAKRGGDLGSFGHGQMQKPFEDATFALNVGEMSDIVETESGVHIIKRTK >CAK8565845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343011842:343012531:1 gene:gene-LATHSAT_LOCUS19024 transcript:rna-LATHSAT_LOCUS19024 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKNTHYIVEIPVDQEHRETNNKALLCSNMFDAIDDHPLTEISESPGHLLLLKLWQREENLFAKRILRKETRMDSIKSELFQLSSFFFIFHGFFLTLLFTSWAKTQQKGGSSCRIWWIPSMISLCTSLVFVFLVQVKLYRYWKVWEQLQRERNDSRGVGRCIQELRMKGASFDLSKELGLNGKRMKSSSVEIKWKVVTWFSRYLITVCLVCFTGSAFPASKFVLCGL >CAK8566338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:409922061:409923763:1 gene:gene-LATHSAT_LOCUS19473 transcript:rna-LATHSAT_LOCUS19473 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTIDAPSHGFHGKITLSVVITCIVAASSGLIFGYDVGISGGVTTMVPFLQKFFPDILRKSAGTEVNMYCVYDSQLLTLFTSSLYLAGLVSILLASKVTGTIGRRNTIILGGAIFFAGASINGGSENIAMLIVGRILLGFGVGFTNQATPLYLSEIAPAKWRGAFSTGFQFFAGIGVVAALCINYMTAKHAWGWRLSLGLAAVPAAVMMIGAFLITDTPSSLVERGKIDQARKALIKIRGSSIDIEPELEELIKFTEIAKSVQQEPFTTIFKRQYRPHLIMAFAIPLFQQFTGINIVAFYSPNLFQSVGLGHNGALLSALILGLVNLASILVSTTFVDRFGRRFLFILGGILMLVCLIAVSALLAMVTGVHGTKEISKQNAKLVLVLLCFYAAGFGFSWGPLTWLIPSEIFPLKIRSTGQSIAVGVQFITLSVLSQTFLTMLCHFKFGAFLFYAFWVAVMTLFIIFFLPETKGIALESMYIIWGKHWFWRRFVKGEVDKNNLP >CAK8540699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16451667:16457839:-1 gene:gene-LATHSAT_LOCUS9678 transcript:rna-LATHSAT_LOCUS9678 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSTNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNEVKTGEIPADLKLPDSFGQLVSDMKNNQYDAKTFAFMLRGMMEKFEREVRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSYHHFILSTDNILAASVVVNSAVQSSLKPEKIVFHVITDKKTYAGMHSWFALNSASPAVVEIKGIHQFDWLTRENVPVLEAVENQNGIRDYYHGNHIAGANLSDTSPRKFASKLQARSPKYISLLNHLRIYLPELFPNLEKVVFLDDDVVIQRDLSPLWDIDLEGKVNGAVETCRGEDDWVMSKHFRNYFNFSHPLVKEHLNPDECAWAYGMNIFDLGAWRRTNIRETYHSWLKENLRSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNNTNIESLKKAAVIHYNGQSKPWLPIGFEHLRPFWTKYVNYSSDFVRNCHILES >CAK8573032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578483220:578485392:1 gene:gene-LATHSAT_LOCUS25527 transcript:rna-LATHSAT_LOCUS25527 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYPFAFEFSAAFLVDFLDCMFSSRFGNFFFNSEKERLQCDVFESCGCVWAYLADMRRSEGGSHVHFNPFYGPLLPPAAALAPTLWPQFHLRWACPEEAQSGEIEAQCRKIKLKNSEMEKAKEVTERKLRENTNAIESLNAELRHEKLLNISAMTKAKRIIKENTDIKRAIQSIGCKIHISNTGDCILDIENSPENAVQKLHFSSRQVSSSAVSNDKKDISLSVTEDDDENNVISRICETLCPFRSRDGGCMWPNGDCAQIGSQFVGLKANFDAFDRLLIDDNYFKPK >CAK8541994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448863985:448865286:1 gene:gene-LATHSAT_LOCUS10871 transcript:rna-LATHSAT_LOCUS10871 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVVDDCLNDNTEEDVIRLVREAQQQANNTSKHRKRRTVIDRSREEGHHRLLNDYFSENPVYTEAQFRRRFRMRRHVFLRIVEALGNHDEYFQRRIDAVGRMGLSPLQKCTAALRILAYGSPADSMDDYVRIRESTTLECLDRFVIGVCTIFGVQYMRRPNNEDIARLLQINTARSFPGMLGSIDCMHWEWKNCPVAWKGKFSRGDHGKPTIMLEVVASQDLWIWHAYFRTAGSNNDINVLNTSDVFNDVLNGKAPALQYSVNRTTYHMGYYLADGIYPEWATFIKTIPMPQGEKRKLFAQRQESARKDVERAFGVLQARFAIVRGPACAWHVNTMKHIMLACIILHNMIVEDERDTYAGNFDYDHVVNNFSTTEVSTGPIPDLTIMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8576895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522571736:522572835:-1 gene:gene-LATHSAT_LOCUS29052 transcript:rna-LATHSAT_LOCUS29052 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGSDQKQIVATKVLPANWKKGKIYDSDIQITEIAQEECPICADEKWE >CAK8539834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528292580:528293404:-1 gene:gene-LATHSAT_LOCUS8894 transcript:rna-LATHSAT_LOCUS8894 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFEGTMRSATAPNSSCSSGNRFNNDVCNFECNICLDLAQEPVITLCGHLFCWPCLYRWLQHRSHPQGCPVCKGVVDEEKLVPLYGKGRSATEPRIEIPPRPSDIHTLFARLEQMRLSCEATEQRFKEYRESTEQRFKEYRESTEQRFEEYRESTGERFKAYVDQRIEEFCVAQERRFALLQEPQQRRFDRLECRIKECCMDAEYHIKKCRADAELHVNECRAEAEQRIRECRADEKQRIKKLRADAEQRIKKLRADAERRIKELRAVSCGG >CAK8530651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37933854:37935500:-1 gene:gene-LATHSAT_LOCUS499 transcript:rna-LATHSAT_LOCUS499 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRLSLFSLSNFPISFQFLSKSLTPFSHSLHHFTPNHIIHDAVSSFHTLLHMHPTPSIIQFNKILGFLARTKNHYTTVISLSNRLEFDGITPNIGTLNILINCYSHLGQMNFAFSMLGKILKMGYQPTTITLNTLVNGMCLNGKVSEALHFHDYVVARGFHFNQVGYASLINGLCKMGETRAAVQMLRKIEGKLVRLNEVMYSTIIDSLCKDKCVNDAYDLYFEMIAKRISPDVVTFNSLIYGFCIVGQLKQASSLLNEMVLKNIYPDVYTFTILVDALCKEGNVKEAKNLLVVMMKEGVIPNVFVYNSLMDGYCLVKEVNKAEHVLRTISRMGVTPNAWSYSIMINGFCKMKMVNEALSLFNEMCCKGIAPNTVTYNSLIDGLCKSGRISHAWKLVDEMHHNGQPADIFTYNSLINALFKNHLVDKAIALVKKIKDHGIVPNMFTYNILIDGLCKRGQLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDNGIIPDAVTYQTIIRALFRKDKNEKAEKLLREMIARGLL >CAK8574052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655573052:655573855:1 gene:gene-LATHSAT_LOCUS26433 transcript:rna-LATHSAT_LOCUS26433 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNYHEHNNNKTTSQTRLKLFGFHVQEDETMHDNLQDSTNKTASSSTSTSPSRSPDSSAAGDALTFPPSSGERKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQANRNAAVSFVRNPMISAFAPPQHLLAPPPGSMMVPSSSWVYVPPRSAPPPFHVSVSHGCVFPSGNGNTMMSGNNNSNTNFAGAGMFPCVGVVGDSASALSTMQVQARAHMIDGPCLSRFSGPNFDDALGLDLHLSLAPAAARSYGSAQLGYSRCSP >CAK8533140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:583371012:583371932:-1 gene:gene-LATHSAT_LOCUS2788 transcript:rna-LATHSAT_LOCUS2788 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTRVMGKKLSYSVLLFLSISLALFFKQTNAGSLVVYWGQNNGDGYLIDTCNSGLFQIVNIAFLSTFGSSRPPQLNLAGHCIPNKCQNLRNSIKNCQNKGIKIMLSIGGEYKKTYSFSSPEDAMQLADYIWNNFLGGNSDSRPFGDAILDGVDFDIEGGSNLHYATLAKKLHDHYNSDSSKKYYLTAAPMCPFQDNILQRALSTGLFDYVWVQFYNNPICNFDANNPTNFKNSWSQWINSITAKNFFVGLPASTNASQNGFVPPPDLINQLLPIVRSPKYGGVMIWDRFHDITSKYSSQIKGNV >CAK8533141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:583371012:583371911:-1 gene:gene-LATHSAT_LOCUS2788 transcript:rna-LATHSAT_LOCUS2788-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLSYSVLLFLSISLALFFKQTNAGSLVVYWGQNNGDGYLIDTCNSGLFQIVNIAFLSTFGSSRPPQLNLAGHCIPNKCQNLRNSIKNCQNKGIKIMLSIGGEYKKTYSFSSPEDAMQLADYIWNNFLGGNSDSRPFGDAILDGVDFDIEGGSNLHYATLAKKLHDHYNSDSSKKYYLTAAPMCPFQDNILQRALSTGLFDYVWVQFYNNPICNFDANNPTNFKNSWSQWINSITAKNFFVGLPASTNASQNGFVPPPDLINQLLPIVRSPKYGGVMIWDRFHDITSKYSSQIKGNV >CAK8539247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507517421:507529035:1 gene:gene-LATHSAT_LOCUS8359 transcript:rna-LATHSAT_LOCUS8359 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRTNLLSCERSTMLLHLKASPFLRRFRHLKLKPHRSRFRCLLDQIAIQSSANVIAAAAKAASAHGAVYSAINQVAVTAVAIASGACLSTKVDFLWPKPDQQPGTIMLDGVDVAGYPIFNDAKVQKAIAFARKAHGGQMRRTGDPYLTHCIHTGRILAALVPSSGKRAVETIVAGILHDVVDDTCQSLHDIETEFGDDVAELVASVSKLSYINQLLRRHRRVNVNQGILGQEEASNLRVMLLGMIEDPRAVLIKLADRLHNMRTIYALPLHKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQNMRADLASMWSPSARTGNSRRLYVKGSLIPLDEKSSTSFHNKSLTFNEGVCSMKDLLEAVVPFDVLLDRRKRANFLYSIANNLETCTIPKVVQDAGLALASLVICEEALERELVMSASYVPGMEVTLSSRLKSLYSIYSKMKRKDTNIGKVYDARALRVVVGDKNGTLHGPAVQCCYNLLDIVHRLWTPIDGEFDDYILNPKPSGYQSLHTAVEGPDNSPLEVQIRTQRMHDNAEHGLAAHWLYKENGNPFSSVDGMDTPVTDASSYFSKGIEEENSSDILLNEYKSLKAGHPVLRVEGSHLLAAVIIGVENEERELLVAVSFGLAASEAVADRRSFFQNKRWEVYARLYKKVSDKWWFEPGHGDWCTCLEKYTLCQDGMYHKQDQFGRLLPTFIQLINFTEQEESEYWAVVSAVFEGKQVDSIASQSKFDLIPSTSVDANINNKVHLLRTMLSWEEQLRSEVSISQAKHDAKFDDARGPLNLGEVVIICWPHGEIMRLKAGSSAVDAAQRTGLEGKLVLINGHLVLPNTKLKDGDVVEVRI >CAK8577669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582899831:582905233:1 gene:gene-LATHSAT_LOCUS29755 transcript:rna-LATHSAT_LOCUS29755 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKRSFEEALEENEDSENEINNDEQPKDEDESDQSRSSDDEEEEEKEEEDDEEEEEEEEYVAIKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPSYDALIAVMYPDIDKYEEQELALHEDEMARHKQFQASIAQTLQRQSEALSKKRNAKAKSVAFMRRSQGNSRTSNLKRRRNFRNAGDFQVSHDNKGMDDNDGGKGSSSDDAQTETQPRRCKRGVEQAQFPQHSPSTDPDGVGDENTPEVNREILSASGTLSWGKNGHRSHSRVNGKNAKNNRISKLVEHIRNSAAHGYELPTFLMLVSLDEQRIPCLEDPCLTCKPTMSVKILRKHVANETAMPEDEVELWLILKPRSSFEGERTIDPNTDNLRILEDQETLAELLGTYENTSHGYLVMAYKRKLQNSDTVELS >CAK8566474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426689062:426690573:1 gene:gene-LATHSAT_LOCUS19602 transcript:rna-LATHSAT_LOCUS19602 gene_biotype:protein_coding transcript_biotype:protein_coding METKFQISVLLELSATDDIEAFKREVEEKGYDLNEAGFWYSRKIGSKKMGYEKRTPLMIASLFGSTRVAKYIIETNMVDVNTPIGCENVTALHCAVAGGSESTLEIVKLLIDAGADVDCLDEIIKKKFSVANSKELLGSGKKEYPIDISLPDINDGVFGTDEFRMYSFKVKTCSRGYSHDWTECPFVHPGENARRRDPKKYLYSCVPCPDFRKGACHNKDACEYSHGVFESLLHPLQYRTRLCKDEIGCSRKVCFFAHKHEELRPLYASTGSAMPSQESLPASNVSTPNSGNLWQNEINITPPSLQLPNRKMKIALSARDLYQEMDLLHSVSMQPSTPTQLQSMSRLHLNQNRNHVQASYPINNFVSSPVRKSSAYQYDSSAAMAAAVINSRSSAFATRSQSFMDRGVARHHISASESNRRMNCGFSEGISHDGDDLNKFQKSASFGFRNTMVGVSQPEYIEPDVSWVHSLVSSETSEILGAKKQHYDLYKQMSSPWAEQIVA >CAK8567446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514340798:514341683:1 gene:gene-LATHSAT_LOCUS20500 transcript:rna-LATHSAT_LOCUS20500 gene_biotype:protein_coding transcript_biotype:protein_coding MALESCLRVSTPRPHCLPPLFSSSREKLVFSPQKVGFKKSVLNSTVSLPSGVAYRKSRFVCNAREAVNEVQVVNDSSWDELVIGSETPVLVDFWAPWCGPCRMIAPIIDELAKEYAGKIKCYKLNTDESPNTATKYGIRSIPTVLFFKNGEKKDSVIGAVPKATLSEKVEKYI >CAK8531936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:178943486:178948240:1 gene:gene-LATHSAT_LOCUS1689 transcript:rna-LATHSAT_LOCUS1689 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFHMVLVFVLLFLCVCVCSVTASVTYDHKAIIINGQRRILISGSIHYPRSTPQMWPDLIQKAKDGGVDVIETYVFWNGHEPSPGNYYFEDRFDLVKFVKVVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVAFRTDNEPFKAAMQKFTAKIVSIMKGERLFQSQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLDTGVPWIMCKQEDAPDPIIDTCNGYYCENFFPNKNYKPKMWTENWTGWYTDFGSAVPYRPAEDLAFSVARFVQNRGSYVNYYMYHGGTNFGRTTSGLFIATSYDYDAPIDEYGLTNEPKWGHLRNLHKAIKQCESALVSVDPTVSWPGKNLEVHLYKTSFGACAAFLANYDTASWAKVAFGNGHYDLPPWSISILPDCKTEVFNTAKVGAPKFHRKMTPVNGAFNWQSYNEQPAASGGESSITINALLEQVGVTRDSSDYLWYMTDVNISPNEGFLKNGQSPVLTAMSAGHVLHVFVNGQFSGTAYGGLENPKLTFSNSVKLWAGNNKISLLSVAVGLSNVGLHYEKWNVGVLGPVTLKGLNEGTRDLSKQKWSYKTGLKGESLNLHTTTGSSSVKWTQGSFLSKKQPLTWYKTTFNAPAGNDPLALDMSTMGKGEIWVNGKSIGRHWPGYIARGSCGSCSYAGTFTDKKCRTSCGQPTQKWYHIPRSWLNSGGNFLVVLEEWGGDPTGISLVKRT >CAK8574453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682285908:682290083:-1 gene:gene-LATHSAT_LOCUS26797 transcript:rna-LATHSAT_LOCUS26797 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEMTVMYGCVIITMDDDHRVFKDGGIVIHLDRIKAIGHSQHILSHFSHLADHLIDLTGHIIFPGLINTHVHTSQQLARGIADDVDLVTWLHHRIWPYESAMTQHDSYLSTLLCAIELIHSGVTCFAEAGGQHVSGMAKAVELLGLRACLTQSTMDSGHGLPSSWAIRTTHECIQSQKDNYHKYNNSAQGRIRIWFGVRQIMNSTEDLLLQTRDAAAQLNTGIHMHVAEIPYENQLVVDVHKVNHGTVTYLDKIQFLQNNLLAAHSVWVDDNEISLLSKAGVKVSHCPAAAMRMLGFAPVREMLGAGICVSLGTDGAPSNNRMSIVDEMYLASLINKGREVFANGTTDPTALSAETILEMVTVNGAKSVQWDDELGSLEVGKKADIVVVNPSSWSMVPVHDCISNMVYCMRTENVVSVMCNGLWIMKDKKIINVDEDEVISKAKQASAELLKRAGIQLPTRMNFI >CAK8569332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695050260:695050565:1 gene:gene-LATHSAT_LOCUS22194 transcript:rna-LATHSAT_LOCUS22194 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAPKIIDGEMEIQIEEEDVEKEVKFWESALIMYALGVDLSMNAVKQFMSKSWNFVKLPDMFYNEEGFFILRFHSFQYKDLVLMKGPYLIRNRPMMLRE >CAK8570596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66440410:66442005:1 gene:gene-LATHSAT_LOCUS23333 transcript:rna-LATHSAT_LOCUS23333 gene_biotype:protein_coding transcript_biotype:protein_coding MCMWLLNLMDNTDSKVVKDAKSYMVDKPVVVSCVMDGEKVEDHVNSEDDSDSHSLLPPRRGGMSRNSDKTRRKVQWNDKNGNKLAEVLEYEPSDVSDSEDDGDSDSCICTIM >CAK8563108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574185636:574185878:-1 gene:gene-LATHSAT_LOCUS16551 transcript:rna-LATHSAT_LOCUS16551 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAIDALWKRFRSLDVAGKRALKSRVCEIAYPTTTKMVSPPEKIKTKGGVKRKGKKLIGYDIYRDFSYHEYVDQAHSRS >CAK8542821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551469819:551470936:-1 gene:gene-LATHSAT_LOCUS11625 transcript:rna-LATHSAT_LOCUS11625 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDVCQNASLSVDCKQEKLFNPSSSLDDLFSAQNMEVNVEMEWLSVFVEDCFSSPQSCVLLPSSVQNTSTTTISSKPSNTTNKPKQQNESPFAVPGKARSKRKRLSAPRRTKDPLSILSNTLNPQNESLCYDPPLLKQTYWLADSELIVPKADIDAEVAEKETVKKERFDFEGFESFENDVNNGQNSVLSTRRCTHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMNVVSSIPSE >CAK8579566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708147432:708156551:1 gene:gene-LATHSAT_LOCUS31501 transcript:rna-LATHSAT_LOCUS31501 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSGSERDTTNSPISVVSAFWKDFDLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRNFKKASSEDKSNLFNALLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASVAEQDITLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERSRQLEQQMEEKVKEIVEIKHRNLAEENQKTLEILKEREQLLQDQLQSAKESVSNMKKLHELAQNQLFELRAQSEEERAAKQAEANLLMDEVERAQTMLLGLEREKGVLRSQLVTANEDSENKKTDNLDSNNALENSLIAKEKLISELNMELHNIETTLSNEREEHINDVKKFTAMLNEKEASIVAMKKELQTRPTEKIVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSQMESLLLDKNRKMEHELTQLKVKLSEKKSSLETAELKVAELSAKVNEQQKLIQKLEDDISKGYSSSSKDHKGTFLDDWDLSEANRSDVSEHQNMDQRHALDQDQSSMLKVICSQRDRFRTRLRETEEEIRQLKEKIGVLTVELEKTKGDNVKLYGKIRYVQDYNVEKVVSRGSKKYVEDLESGFASDVESKYKKIYEEDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSHGSDEPLIGERTTDLPLPRGL >CAK8538406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481642962:481647823:1 gene:gene-LATHSAT_LOCUS7615 transcript:rna-LATHSAT_LOCUS7615 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRAILIVGLLAIVLLISSEVSARELTEEVVENSNELNDAKFLGFHRHGHRHGHHGHGVDSDNGN >CAK8575452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121902756:121903784:1 gene:gene-LATHSAT_LOCUS27713 transcript:rna-LATHSAT_LOCUS27713-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLKGGSVVMLSFFSSRATTLSRTTTTHCSFLSKFPFFSLSSSSRPKTPSGFSSFCTVASDPVPEGIAAETNSNGENDKSVKDAAGLLDIRVGRILRAWKHDEAETLYVEEVDIGEPEPRIICSGLVNYIPLEHLQGKKVIVLSNLKPRNMRGVKSCGMLIAASDSKHENVELLFPPEEANPGERIWFGSEDEKDNQPEAAKPNQIQKKKIWELVQPHLKTGVSCTAMLGDHVMRTSVGTVACQSLQNANIS >CAK8575451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121902681:121903784:1 gene:gene-LATHSAT_LOCUS27713 transcript:rna-LATHSAT_LOCUS27713 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKLSKGLKIEIRLSLFLISSHTMANVLKGGSVVMLSFFSSRATTLSRTTTTHCSFLSKFPFFSLSSSSRPKTPSGFSSFCTVASDPVPEGIAAETNSNGENDKSVKDAAGLLDIRVGRILRAWKHDEAETLYVEEVDIGEPEPRIICSGLVNYIPLEHLQGKKVIVLSNLKPRNMRGVKSCGMLIAASDSKHENVELLFPPEEANPGERIWFGSEDEKDNQPEAAKPNQIQKKKIWELVQPHLKTGVSCTAMLGDHVMRTSVGTVACQSLQNANIS >CAK8532664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:469767166:469768937:-1 gene:gene-LATHSAT_LOCUS2347 transcript:rna-LATHSAT_LOCUS2347 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANICCKKADVDMNKRAGELSAAELENVMTVVANPRQFKIPDWFLNRKKDYKDGKFSQVVSNGTDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >CAK8532621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332105372:332111008:-1 gene:gene-LATHSAT_LOCUS2308 transcript:rna-LATHSAT_LOCUS2308 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIHEYLKGENACALEGNISVQHLPYSDKKTFPILEVDEESLGIPKSLSMVDIVESYFENLRPQSFDEQYQSITEGRELLDSKVHDLVKLFSEDCVSKKSLILSDLFTERDFLNMLENEHVGGTLQAGSDLLVNLITFQEFVFLDEDMINNTFEAFYYTKASDDLKSNDWMFKKEFNYKSFDDLVVSNEMALVDDTFRSLPVPVISDHIKMINVHDIIGELFSNMKTRPLSASDGIYLNWDLLEEDKCNYNISNWYQNIWAKIDMKNHDFRRKSFDNRKMIFDLVFFDDSIIEWDHKNSEELQNLLSDRISQLDSQPVEFAVSTLLEHGSSNKGCQEQLLGKYSQRKSMSDISNLHDTTGKCDLQQSEELQKLLSDSISQPVEFAAGKLLENGSSNQGHQEQLPERNAERASLLFKSISEISNLDYFLNPQKATVKKSCYFAVDSTNANVNIPKYATAAVKPGLQSQGWHTVLHRVKLSDNIVALARDFGKSYLAILRSDTELTKTHKSDVHYFELLSLQKHKLIEFHVNGNNMAFIVLSAIKQAVWYLCFYGLNPAWLYLEKLCQNMGYLKSRLGTLQALIKDENRKMENNITMAHSSLTIVKEILQANIKQDSLKALIVAEEVFWWSLKNLLLSLRLSFGELNDTYRNHPYANNLPEESDTKMMELLSSDCLLVSYKHVSPLFPFNKFGITLEYGGPNDSSRISEFSSNSVGLPNLHFLTVELNGHAVLKALCQGVELPLYTEMFPETETPLIFNHKESMVNRKLERLLNFYPTEHSHDIKSSKAALETDNFMRLIPAVETENDHQSLESFTGSVIIVNTQNVDKEMIVSRRSSYQVILVLEKRGIQVAERELDLPVDIIFSSAVCLAWYDSTNLWKKATPATEASSSLPLCIDNIATDVLPLLSFYFCGCFLVFEGEFNFLSTVMEYSDGLYAAATSLGMDLQIFFSYSPELTNEIMINCIKSTAIQTRGLYSKMPDSVTLAESFLTQFPGINPLTAQSILSLGVTLNEFLAWSHEQRIHVLEKYNVPEQSLSLFSVFCKYGEREDSKSIMTNCSSSVSSGPDSDRCRFYQFDNKRKRPEMTFSSATKDSAFYKSAAKGS >CAK8568980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661238884:661241366:-1 gene:gene-LATHSAT_LOCUS21881 transcript:rna-LATHSAT_LOCUS21881 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQKNPPPMPPLSTTSPPPKKKRNLPGMPDPDAEVIALSPNTLLATNRFVCEICNKGFQREQNLQLHRRGHNMPWKLQQRGSNENRKKVYVCPEPSCVHHNPSRALGDLTGVKKHFSRKHGEKKWKCERCSKNYAVKCDWKAHMKSCGSREYKCDCGTLFSRRDSFITHRAFCDVVADGSGKGGNNVVDVVVSSPATAPVTPSMISAVVSSPATAPVTPSTISAVSPTMSSIQSSDIAENTTRLSPPPSSNTITSTSTSTSCYSSFSTLMSSLTHSDCPTPFSVTEPTTLSLFTPLYLSNSSNNRDYPVSHYTTVSPQPALSATALLHKAAQVGSSSSNASLLRALGLKENTVSINRTTTTVQWMNGHDHVKQENEPVADYLGLGLPCGNEMIGSTGQPMTRDLLGLSMGLGRGDDLSALLTSFGGNFDSSTKR >CAK8534686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:755053017:755058758:-1 gene:gene-LATHSAT_LOCUS4208 transcript:rna-LATHSAT_LOCUS4208 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSAIVSSHYSSDRFQVLRSSINSKSTRLQNSILGTSFPCDPLVLQNCNARSIQPISATATETPLPVQKSSSTGKTRVGINGFGRIGRLVLRVATSRDDIDVVAINDPFIDAKYMAYMFKYDSTHGPFKGTIKVLDDTTLEINGKQVKVVSKRDPAEIPWGDFGADYVIESSGVFTTLEKASSHLKAGAKKIIISAPSADAPMFVVGVNEKTYKPNMDIVSNASCTTNCLAPLAKVVHEEFGIIEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKNASYEDVKAAIKHASEGQLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSKSFVKLVSWYDNEWGYSNRVLDLVGHMALVGAHN >CAK8540504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9251892:9256558:-1 gene:gene-LATHSAT_LOCUS9504 transcript:rna-LATHSAT_LOCUS9504 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVIRNKTIRPSFSAFRFFSSSAKQMTVRDALNSALDEEMSADSKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSHCYASWYGSCPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGFALKAAEILEKEGISAEVINLRSIRPLDRPTINASVRKTNRLVTVEEGFPQHGVGAEICTSVIEESFGYLDAPVERIAGADVPMPYAANLERLAVPHVEDIVRAAKRACHRSVPLAAAA >CAK8561051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82834242:82836541:1 gene:gene-LATHSAT_LOCUS14676 transcript:rna-LATHSAT_LOCUS14676 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGAGKTTLMDVLVGRRTGGYISGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVHESLLYSAWLRLSPGINAETRKMFIEEVMELVELKQLRNALVGLPGVSGLSMEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGPLGHHSSNLISYFEGNQGVNKIKDGYNPETWMLEITSSSKEAELGIDFADLYKNSELYRRNKTLIEELSTAAPGSSDLYFRSQYSRSFVTQCMACLWKQHWSYWRNPIYTAIRFLYSTMVAVLLGTMFWNLGSKIEKVQDLFNAMGSMYAAVLLIGVKNASSVQPVVGVERTVFYRERAAGMYSDFPYAFAQVVIELPYVFVQALVYGLIVYAMIGFEWFVAKVLWYLFFMYFTFLYFTFYGMMSVAVTPNSHISNIVSSAFFSIWNLFSGFIVPRPKIPIWWRWYSWANPVA >CAK8534075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691151496:691152645:-1 gene:gene-LATHSAT_LOCUS3645 transcript:rna-LATHSAT_LOCUS3645 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTSSDSDNEISTRTKLFEHEKPIHEILGGGKVADILLWRNRYESAALFLGMTTIWFLFEILEYNFVTIICQFFITMMLVLFFWFKFADILKWKVPEIPEIILQESLFNDLAFILYRRFNQLLPILFHISCGRNLPLFLMIIVSLYIISVIGSYFSFVNLLYMGYLCMQTLPLVFERYDEEINILFEDIMLVLKKMYRKFEKNYLRKIPRGPLKDKKAQ >CAK8577529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571250454:571254140:-1 gene:gene-LATHSAT_LOCUS29628 transcript:rna-LATHSAT_LOCUS29628 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPQKPNPYAVRETEADPTQIPKTPLSPVADGHGRKDKDDVVAGKKSPFFPFYSPSPARFLKKSPSTPSRSTSSTPRRFFRRPFPPPSPAKHIRAVLARRQGKKETAAIPEDSEEGAGDLDKRFGFSKDFTSKLEVGEEVGRGHFGYTCSAKFKKGEHKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHSNLVQFYDSFEDQENVYIVMELCEGGELLDMILSRGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKDESSELKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPGFEEAPWPSLSSEAKDFVKRLLNKDPRKRISAAQALSHPWIRNYNDVKVPLDILIFKLMKTYMRSSSLRKAALRALSKTLTADELYYLREQFALLEPSKNDSISLENINKALKKYATDAMKESRITDFLSSLTSLQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPVHVVLHDWIRHTDGKLSFLGFVKLLHGVSSRSIAKVQ >CAK8564906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15153852:15156857:-1 gene:gene-LATHSAT_LOCUS18157 transcript:rna-LATHSAT_LOCUS18157 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKKENEDDMRSNGQSQSMLNGEGNRGNVGVSGGVVLKKGPWTTAEDAILVDYVRKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTADEEQLIAQLHLEMGNRWARMAAHLPGRTDNEIKNYWNTRTKRRSRAGLPLYPPEVRKKQGSQRGQDTGGTNNGDRGHHDFLQKNNYEMHDVMFDNLKENQGILPITVVPENPDISANSILLNSLDSPPYCNSVPSTLPTNHHHLRESTMSFLGSSGTNKNWFYPFYHVQDHSSDKIVQSFGLHSPLDPGFSSHNSMYYRHSLSNGNSSTSKPTSKAVKLELPSLQYPETGFGGWGGTFPSPPLNESVDVFNQSPLPHGALESGCSSPRNSGTLEDIIYQKKTLPNSMNNCSDKSSHSSTATPVERAESSALNMNETEWEDYTDHASSFGATSILNECHAVNTNANSWDKLTPAQNFNGNNVKYEPVDQVCTPKSENQGMSMLNITWPDVLLASDWHEQCYGHEKNKTEAGDNLTDYKHVAGETYSSSTGGCFMHMA >CAK8577510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570025174:570037406:-1 gene:gene-LATHSAT_LOCUS29612 transcript:rna-LATHSAT_LOCUS29612 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWKIAKSAEAMFSRWALKRVCKFFLKKKLGQFILGEIDLDQLDVQLSQGTIQLTDLALNLDFINAKLGKTSSIMVKEGSIGYLLVKMPWSGKGCEVEVNELELVVSPCVNRTSTTEDEACGSSNVDKDNCEIKYSSNRANETGDDAMKSISMDVHEGVKTIANMIKWLLTSFHVKITNVIVAFDPILENDEGREIDCHGTLVLRVSEIQCGTSLSEDNESKVDLLGISRLTNFVKFDGAVLEILKIDNEKNELLGQHVSEAGCGEAGLGSTRSTCPVLTGKQGGFGGNIKLSIPWKNGSLDICKVDADVYVDPVVLRFQPGSIEWLLKSWETLKNLNMDANCKNHDLRGPSHLNSALLNPSSTLVSIANVTGEMITGHGSLRADCASLTQPEDPESPEALLPSANLISDWVPFSTHMDLKDGFQEPDFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFNAITATSSLASGSLHIPSEQHHMETNLRATFSGVSVVLSFCDDEQSCFYKSKIGNTVGSQIDYLGAECNEIVVALKVCPQIMTFDGTVKHVEVANFLNIGSDAENQSALVGQLQTKVLDALPLSTSYNLYSDSLIGPVATDFPFGNKDFLLKVTLFKTSGVTNCKFTVQSSSSDGCVTGMTSFSLNLPPFTFWVIFSVINVLTNLLKEIGKSLDVHNKAEEIFSETSDEKCGSSQNDTKRSFSPCATSFSNTECLHGDISISSARVILCFPFDKAGDRAASFSWEKLIALDFSSLSPLNKGCTSDGSQTSSASSKKRFPSVAAQSLQLNFCDLDVYLITSTSSDSGRIISNDVKNEKFYGSCFLSIPRRRGSFSVFRVVWQEGQVTGPWIAKKARLFVNSEQSKGKDDIAGRGYEYASASTVKDLEDWKSQTQQEMILSSSILMHVHLSQVVIKVNDSLYKSIYELLLQMLNATACETSQEANVDKNSPVSQSSVFLECDSVEILISRDTSESIESSIKSELPGQWHQFKLKVQRFELLSVSNTGGVKAASFFRLTHGEGKLYGSVTGVPDHEFLLITCNNSSVKRGNGGGSNALSSRCAGSEIIYLSDPEISHKITSIAISCCTIIAVGGRVDWFVVISSFFSLPASNTKDADDTSISKREQDISYTTCFVLSLIDIALSYEPFMKNLAGQSEVPNSVSGFSCIKEDMGEQCVSCLLAASSLTLSSSSMTDSVESVFQIRVQDLGLLLHLVSKLNSLLDTYSVEHLQKSGYVKVAQEAFLEAILKTNCACGLLWELKLSKSHLNVETCYDTTAALIRLAAQLQLLFAPDVEESIVHLQNRWDNVQQAQQIDGFNNENKPLRHDTATSTSEQCSPKTYSKDGASIAGLMDEICEDAFQVNDNNAWQSYSFESGFYIPHDRNGLVEVGKLNLDEPEVLSPEPVLGPEGSHTSFLQDGCFPKIIESYCLSDLRPLSELSIDIHSKELSKIKLRNVSHREIERGSGGWYEGNSSKVLENHISEENVKTGPVKAAHHGLLHSNDFPPHSENCGRVILKNLDIRWKMYGGSDLVDSGKNGQHSGRNTSVCLELALSGMKFQYDTFPVGGLHVSKMSLSVQDFFLYDRSQNAPWILVLGYYYSKGHPRESYSKAFKLDLEVVRPDPLTPLEEYRLNVAFLPMLLHLHQCQLNFLVDFFGRKNPLNDHFPNNCHDSEGSKSFTEKSKDHACHSITQEALLPYFQKLDIRSIIVRVDYSPNHVDLAALRCGKYVELVNLIPWKGVELNLKHVHASGIYGWNSVGETALGEWLEDISQNQIHKILRGLPTVRSLIAVGTGAAKLISSPVENYKKERRVIKGLQRGTIAFLRSISLEAVALGVHLAAGAHDFLVQAEYSLSSIPPSVALPVNDKSKTGVRSNQPKDAQQGIQQACESLSDGLGKSASVLVQNPLKKFQRGSGAGPALASAVRAVPAAAIAPASACASAVHYALLGFRNSLDPERKKESMEKYCPTQPWEED >CAK8534627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750686845:750689596:1 gene:gene-LATHSAT_LOCUS4158 transcript:rna-LATHSAT_LOCUS4158 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKRKWIQIMMTLLLIQFIVADNSYDDENVDDKALSLELVHRHDPRFGGDHVDQVKAMRGFIQRDLFRRQQMNLRKGIYQGHHRRKDMEVEKEFQMSIHSGRDFAVGEYFVEVEVGTPGQSFWLVADTGSDLTWFNSIPHTLAKKHPPQQKKHHLHHHHHHHHGKHKHKHKHKEGSKTKSKKKSKSKTKSTRPTERDDPYDPCSGIFCPHRSHSFQKLLCSSNTCQQDLSNVFSLTYCPSQSDPCLFNVSYADGSSVLGYFGVETISVNLTNGRKEKLENVTVGCTLSVLNGITFNDNTGGILGLGFSKGSFVDIASLKYNTKFSYCLVDHLSHRNVSSYLTFGNPKVKLLAEKQTTELFLYQPFYGVNVTGISVGREMLKIPPRVWDFQARGGMIIDSGTTLAALVVEAYEPVVEALKSSLKHVKRVDKSLGDLDFCFESEGFDPSCVPSLVFHLGGGVKFAPPVKSYVIDFGPNVKCLGFMSVNGTGVSVIGNIMQQSHLWQIDVYQNTISFAPSRCS >CAK8543662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630120989:630121934:-1 gene:gene-LATHSAT_LOCUS12407 transcript:rna-LATHSAT_LOCUS12407 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTPKQTLSFLILLNLSSLVFSADNTNVVYKGCSPQKLQQQSSQNLQSLLSSLVSASGQKTFAATTTGDVSGAYQCRGDLSTSDCYLCVSKIPSKISKLCGEVAAVRIQLSGCYLRYEVVGFKQVPMTQFLYKVCGSRKVDDSVGFEAKRDSAFGMVENGVKSGGSLFYTGSYMSLYVLGQCEGSLGNNDCSDCVKSAEEQAKLECGDSISAQIYLFSCFISYSFYPNGVSGSLSSSGSGGNPHTERTVALAVGGVAGFGFLIVCLMFLKSVLKKRGGKH >CAK8536553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954007986:954008264:1 gene:gene-LATHSAT_LOCUS5911 transcript:rna-LATHSAT_LOCUS5911 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKCEDRGKKQQWKPKLKPPETSTNITPVKPPEREETTGAGRDSWARARKSVRDKGKNIVTDTNNNINCNNGFEALEVLNDHQVTTNPEPC >CAK8537248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:176600284:176600979:1 gene:gene-LATHSAT_LOCUS6551 transcript:rna-LATHSAT_LOCUS6551 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWTITLEEMRKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKTACFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTEMRLRKFRDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVIDTNCYPDLADLPIPANDDTMASIGFILNKLVFAICEGRSS >CAK8531716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143130886:143131227:1 gene:gene-LATHSAT_LOCUS1487 transcript:rna-LATHSAT_LOCUS1487 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILSQWLRRWQMKKVVKARDDARVLWKKITIHGGEKDKFILLNLGFRFGDLLRDCSCVCCEFFPFHLQFLCQHKQKQVKEYNVSKINSRSRGSCRGFVTAREGVIEDVEFA >CAK8533372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610244124:610246395:-1 gene:gene-LATHSAT_LOCUS3005 transcript:rna-LATHSAT_LOCUS3005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKKTGPPKHQNKIAWKPNAGVKINETEVGGRFRPLSEITGVCPRCKEQIDWKRRYGKYKPLHEPAKCQRCSKRAVRQAYHNLCFACAKEHRVCAKCCCRVERIVGRDSSEVEAEQKMLEEAIKNASERERRSLLRAMNNKGKSKSSKQTLADTNDNKVGQLFPNASLEDYAKKNGVAGKHDDDKICDSKHDNDDESEEEVNDDEDDNDSANEECDEDDSDKDENYHDQTNAKKE >CAK8575735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:293025688:293026014:-1 gene:gene-LATHSAT_LOCUS27972 transcript:rna-LATHSAT_LOCUS27972 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLARSKETRFRFIKKPNRTQSCFSMFFFFIRSAAQLHLQYFIFFAKPLTTIIITLHALLLHLTSHAQPSLPLRTSQNDADTTHCSATNNQIVLFHSPLTNLFHFQS >CAK8534475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:730912830:730913255:1 gene:gene-LATHSAT_LOCUS4019 transcript:rna-LATHSAT_LOCUS4019 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFEGQPLVEAVEHNGEDFNAHQESTGCGYGCFRVLGLRWGQGNDEGKGLLEQREDSWWSCKLRKMKEVSEVIAGPKWKTFIRKISVYGKKQQKGRFQYDEHSYALNFSSGAQSEDGDLPHSFSARFSAPFPSGRRQNEL >CAK8564976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19837396:19841374:1 gene:gene-LATHSAT_LOCUS18223 transcript:rna-LATHSAT_LOCUS18223 gene_biotype:protein_coding transcript_biotype:protein_coding MPITNHHNHNLHTPLRTTPSSSSSSHSFTSKILLLLTLLPVSLATIAFILQWRGGITDPSTLLSPHGSHNFPGMESSLLSPLPHSTSRSSDCLNLGRSSSPSFPYYHNWKLDFGDSLTPKICVTTSTSAGLEQILPWMFYHKVIGVTNFFLFVEGKAASPEVSKVLESIPGVKVIYRTKQLEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEIAIVMAREAGMDWILHLDTDELIHPAGAREYSLRQLLLDVPGNVDMVIFPNYESSVEKDDIKEPFSEVTMFKKNYDHLPKDTYFGTYKDSVRGNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIIASTATEEEMLKWYNEHVVWGDKELKIKLLRKGILTRIYAPMVIIQSLRESGVFSSVITSAPTLSKDKFLHSIDSSNSTRAVASISHPSRKVGRLKESQATARRILDLESSVFQESAVPPESPPGVVEDSHLISHS >CAK8566732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456880927:456881781:1 gene:gene-LATHSAT_LOCUS19844 transcript:rna-LATHSAT_LOCUS19844 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAACWTRSHFKTDTQCDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYIIARISAQKEKLSRYTGVTSPSIQKVLEKTKRAAEGWIATWHADDDFAIFRVSNGVKTYAVNLLQQKCGCRKRDLSGIPCCHAIACIWYNKKEPKDYVSSFYRKSTFLATYSHIIMPTNGPQLWPINVANPISPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHKKRTCKGKRAVERVIPKGGNKKSKKKG >CAK8532135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211306817:211308963:1 gene:gene-LATHSAT_LOCUS1868 transcript:rna-LATHSAT_LOCUS1868 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFPKMDHNISEERFDKRYNARSCPNSGNSSPTHNKKLNQEKDGYTNEYSASEGTRQMDQGSKTDAKFVNITKPQKINPSNKNISDAFSRQSPKASRMPSNPEPATPLPTGVSKGMSNHAKNNSQSGVPGSGSSSRSDSLESTSSNIRPHTGGDVRWDAVNMVLKNGPLNLSHFRLLKRIGYGDIGSVYLVELKGTDAHFAMKVMDKAALISRNKLLRSQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEYCSGGDLHSLRQKQPNKCFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSTHAGNGSGSDSGGIFNDDQAVQGTTQTSSSFFPRILPSKKNRKAKSDFGLLANGNRLPELMAEPTNVRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLHGATPFKGSGYKATLFNVVGQPLRFPDNPQISQVAKDLIRGLLIKEPQKRIAYKRGATEIKQHPFFEGVNWALVRSAMPPHIPDVIDFSKYARKETAPPVDKKLPDAGMDKKCKDSTDNSYVDFEYF >CAK8575731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:289866889:289867849:-1 gene:gene-LATHSAT_LOCUS27969 transcript:rna-LATHSAT_LOCUS27969 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKVLRKILVNMGYALTEATFNYYRGEIRRTNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINFVLKDTRNLPITALVQSTYYRMGSLFGKRGHKWTKMISSGKVFTDGCNKGMAKEVAKANTHNVMQFDRERFCFMVQENINYNDGLPTGTFSVDLRNHLCDCGKFQTFHLPCFHVIAACSSIRQDYTIHIPEVFTVLNVFKVYKESFMGLPHEENWPKDEGFTMCHDDSMRINKKGRPTSSRIRTEMDEPEKEKRRCGICKEIGHMRRKCPNVAGPSNRPNR >CAK8561070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:85565561:85568001:-1 gene:gene-LATHSAT_LOCUS14693 transcript:rna-LATHSAT_LOCUS14693 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLKLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRQVSQTMISSVSETKFDLVCGVPYTALPIATCVSVTHNIPMVMRRKEIKDYGTSKAIEGDFQHGQSCLVIEDLVTSGTSVLETAAPLRSMGLKVSDAVVLIDREQGGRQNLESNGIKLHAILKLTEMVKILREKGRLDEEMVRVVIRFLEENQKVATLESKTKFKKALSYSERAKLSKNPMGKRLFEIMAEKESNLCLAADVGTTAELLEIADKVGREICLLKTHVDILPDFTPDFGSKLRTIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIISGPGIVDGLKLKGLPRGKGLLLLAEMSSAGNFAKGDYTSAAVKIAEDHSDFVIGFISVNPASWSGAPVNPCFIHATPGVQLAIGGDGLGQQYNTPYSVIHDRGSDIIIVGRGIIKAANPAAVAREYRLQGWSAYLDKYVHRR >CAK8561071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:85565865:85568001:-1 gene:gene-LATHSAT_LOCUS14693 transcript:rna-LATHSAT_LOCUS14693-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLKLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRQVSQTMISSVSETKFDLVCGVPYTALPIATCVSVTHNIPMVMRRKEIKDYGTSKAIEGDFQHGQSCLVIEDLVTSGTSVLETAAPLRSMGLKVSDAVVLIDREQGGRQNLESNGIKLHAILKLTEMVKILREKGRLDEEMVRVVIRFLEENQKVATLESKTKFKKALSYSERAKLSKNPMGKRLFEIMAEKESNLCLAADVGTTAELLEIADKVGREICLLKTHVDILPDFTPDFGSKLRTIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIISGPGIVDGLKLKGLPRGKGLLLLAEMSSAGNFAKGDYTSAAVKIAEDHSDFVIGFISVNPASWSGAPVNPCFIHATPGVQLAIGGDGLGQQYNTPYSVIHDRGSDIIIVGRGIIKAANPAAVAREYRLQGWSAYLDKCK >CAK8562275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463990712:463991263:-1 gene:gene-LATHSAT_LOCUS15785 transcript:rna-LATHSAT_LOCUS15785 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPSVMPPSSHGISSVPLKGASVAKRKTPSELRVKQLKREIFVDYTDESSTSAGSSKAAEMDNRLQKPGSFRAPRYNDTRLDDVFSAKKPRYRHAYGKENVKVSLHAQATTNIYFIQLKALFNY >CAK8543050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571922345:571923035:-1 gene:gene-LATHSAT_LOCUS11837 transcript:rna-LATHSAT_LOCUS11837 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEIHLETHIKKNGEFVDKHSKDTQEEYDRKLELSLSKHPKLPPPPQGIPVDPCLGFQTWYDAARRKRKNGRVYGVGGYVKTIKRRDRTFMMRLDDGERSSRPPILTADMLETIRNLAQTEAATRQAEMEEMRRIQAEMEEELRRKIAEYEEAMRIANERALKFEQFMALNMNQGVGERDEEDEEDDEVD >CAK8575495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:138448372:138449302:1 gene:gene-LATHSAT_LOCUS27754 transcript:rna-LATHSAT_LOCUS27754 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLKSSRGKPTTTATTTTTTNNNPNSQKESGWTTYFDDFSKGTKPSYYSGGGSSLVSDASSCAVWKFSHHHDRIVSSKLPKKLCFKKTRYKIISDDDPLEDTASSPVNSPKVRDLSPSQIASRKIDEQLQAGSMGKGLTSSENHSELLQMDDKLEFEFNEKNIDCIELKKRGLCLVPLSLLVNYLG >CAK8541436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:167110614:167110927:-1 gene:gene-LATHSAT_LOCUS10356 transcript:rna-LATHSAT_LOCUS10356 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGRKLVVCDNRCGCTVPCAGGSTCRCTSSEGGARSDHSTCPCGEHCQCNPCNCSKTVAAGSACRCDASCTCASCRT >CAK8566695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453355661:453358449:-1 gene:gene-LATHSAT_LOCUS19807 transcript:rna-LATHSAT_LOCUS19807 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLRQLQAAPNKMQLKKERRMFSTSDDSAMMKQVQSTHAPDGREIDVKPLIQIVDEILIQIIARSVEGHEHVKKEQETLETAVALAEFDMLDALAFIINKISCELSCKCSGGGDAHASTMVLLNYLSSYSWHAKVVLALAAFAVIFGEFWLVAQSSATNTLAKSVALLKQLPDIVENSVSLRPQFDALVKLVKAALDVTMCIVEFKELPSEYISEDVPPMSVASAHIPIATYWVIRSIVACASQIASLIGMRNEAMSSASEAWELSSLAHKVASIYEHLKNQLALCYQYIDEKRHIEAFHNLIRLFETVHVDNMKIMRALIYAKDDIPPLIDGTSKLRVSIEVLRRKHVLLLISDLDISQEEIMILDNLYKDARSRGETYYEMVWIPVVDKATWNEVNKQKFEYLQSSMPWFSVRDPFIIEPSVIKYIKEVWNYTKRAILVALDPQGRLSSQNALHMIWIWGNLAFPFTSEKEESLWKQEIWSLELLVDGIDPAVLDWMTEGKIICLYGGEDLEWIETFTKTAMNLARTSNFDLEMVYVGKSNAKERMQRMIATFNTKRFSYFFPNVTSIWFFWARLESMLYSKLQHGKTVENDKIMSEVMTVLSFDGSDRGWAIFCRGPSEMARAKGDTALTSLRDYDKWKHNIEQDGWVPALNDYIKEIQQPHHCNRLILPGSTGGIPQKVVCAECGRQMEKYFMYRCCVE >CAK8579244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687014950:687015914:1 gene:gene-LATHSAT_LOCUS31205 transcript:rna-LATHSAT_LOCUS31205 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVVKLDLHDDKAKQKAMKSVSGLSGIDSIAMDMKEKKLTVVGDIDPVDVVSKLRKWHTEILTVGPAKEPEKKKDDGKKDDNKKKEDEKKKDANEQIAELVKQYRAYNPYMTTYYHVQSVEENPNACVIC >CAK8572303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527738848:527740002:1 gene:gene-LATHSAT_LOCUS24881 transcript:rna-LATHSAT_LOCUS24881 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLAPPFQLLEINVISAQDLSQVSKSIKAYAVAWLNPDYKLTTQIDPHGHNNPTWNEKFVFRIDEDYLQAEDSSIMIEIYASSWLRDILIGTVDVHLNSLIPRSANRKSKIRFVALQVRRPSGRPQGILNIGVNLVDATLRSMPMYSELSGSAVEYYDLTNPKKVNQMENNNYDAKLIMTLQRSQSEKNDSTISDYIYNPDGKNGYGDESESEIGVPNPTGRKGVIVNANGSLCSDVGPSPSVVAAAIAKGLYPLPLQMPRKTMNSTSMFEKWPQEKEIGGERLNMKMDRWRTLELPPPVYAHSGENNNSFEQNKAGKPTAKGKRKNQRSRRNGPFSCFGTALGCEISITCGGGNSKKRSGGGNKPRAITESELTYDESSYMG >CAK8543094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:577542173:577544753:1 gene:gene-LATHSAT_LOCUS11878 transcript:rna-LATHSAT_LOCUS11878 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVVFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGNRVNSATRSGVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGDRGCWHTHCTSAVDLALDTLSAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLLASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDIIAKIEELRMKSSLSRRSLISHHHHNPHHHDHLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALPYAVESCSREVVKALLELGAADVNFPAGPTGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVMSREEGNNNNANNNNSGSSATNMYPHSHMNEEHHSHHNNNSNMDSRLVYLNLGANTQMSTSRLDSGDDDHNNNQREAMNPSMYHHHHSHDY >CAK8579134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:678591401:678591956:1 gene:gene-LATHSAT_LOCUS31102 transcript:rna-LATHSAT_LOCUS31102 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHEELLSISSSLDASPFEANVHVQNEEFLKSKRKETLSKRRGKEIMKHKYIFQTRSQIDILDDGFRWRKYGEKLVKNSKFPRSYYKCSYRGCNVRKQIQRHSKDEQIVETTYEGMHVHPVEKPAESFDQILRSFITSNQLNNVTPM >CAK8563306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591187916:591192273:-1 gene:gene-LATHSAT_LOCUS16731 transcript:rna-LATHSAT_LOCUS16731 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRRHHFSKIHAFSCGKASLEQDEHSLIGGPGFSRKVYCNDAERAMSSIYNYGDNYVRTTKYTLATFLPKSLFEQFRRVANFYFLVVAVLSFSPVAPYSAVSNVVPLLVVVAATMAKEFIEDFQRKKQDIEMNNRKVKVHGGGGVFDYSKWRDLRVGDIVKVEKDEYFPADLILLASNYDDAICYVDTMNLDGETNLKLKQALEGTSNLLEDSSFRDFKAVIRCEDPNANLYTFVGSLEVGEDQQFPLSPQQLLLRDSKLKNTDFIYGVVIFTGHDTKVMQNSTEPPSKRSKIEKRMDKVIYCLFVVLILVSFIGSIFFGIWTKQDMKNGIMKRWYLRPRPHDTEVFYDPDRAVLAGILHFLTALMLYGYFIPISLYVSIEVVKVLQSIFINQDLNMYHEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIGGVAYGRGFTEVERALSKRKDSYFGRKMKNDENATKAAKSKSKIKGFNFMDERIMNGNWVRQPNANVIQDFIKVLAVCHTAIPEVDEATGNISYEAESPDEAAFVVAAREFGFEFYERTHAAISVHELDLESNVKSERSYNLLNVLEFTSARKRMSVIVRDYNGKLLLLSKGADSVMFELLGKNGREFEEQTKYHINEYADSGLRTLILAYRELSEKEYYQFNKELTDAKNLVSADQEQIVEDILQNIEKDLILLGATAVEDKLQDGVPECIDKLAQAGIKLWVLTGDKMETAINIGYACSLLRQGMKQIVINSETPENKLLEKMEDKSASEAAIKASVVRQITEAKALLSSSDDNSEALALIIDGKSLAHALEDDVKNLFLELAIGCASVICCRSSPKQKALVTRLVKMRPGSTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGFTLFFYEMYTSFSGQPAYNDWFMSFYNVFFTSLPVIALGVFDQDVSSKLCLKFPLLYQEGVQNLLFSWKRISSWALNGVTSSAIIFFFCIRAMEHQSFRKGGEVADLQILGTTLYTCVVWVVNCQMALSITYFTYIQHIFIWGSIFMWYIFLMAYGAIDPSISTTAYSVFIEACAPSPSYWIVTFLVLIAALLPYFGFSTIQLRFFPVYHQMIQWIRKDGQVNDPEFCDVVRQRSIRHTTVGFTARLEASRRFDASRRSEISLVPLDGKSGENQ >CAK8576491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482964989:482967236:-1 gene:gene-LATHSAT_LOCUS28674 transcript:rna-LATHSAT_LOCUS28674 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLAFHFLSLVLFFVTMGEGIIVEDLKIELPDQKDIEETKQSNHLHHSIDKAKQPDYDYKVNIHDHNSWLPWSSRETKKPNSYYEVNTRDPNPWHPWGNRETKKPNHDYEVNTRDPNSWSPWGSRESKKPNYNYEVNTRDPNSWSPWGSRESKKPNYNYEVNTRDPNSWSPWGSRESKKPNYDYEVNTRDPNSWSPWGSRESKKPNYDYEVNTRDPNSWSPWGSRESKKPNYDYEVNTRDPNSWSPWGSRETKKPNYDYEVNTRDPNSWSPWGSRETKKPNYDYEVYPNSWSPWGSRKTKKSNYDYEVNTRDPNPWRPWGSRESKKPQYNYKVKTHDPSPDHTEAFEKGFFNLEDLHVGNVMTLQFSHQEIPHFFSRKEEADSIPFSVSQLSSVLQLFSIPEDSLEAKIMKGTLEHCQEETVIGETKICANSVESMFEFVDKIIGSENKQNILRTSYPSPTAAPLQKYTILKVSHDIDAPKWVSCHPIPYPYAIYYCHTMATGTKVFKVTLVGDKNGDKMEALGMCHLDTADWDPNHMIFRTLRVKPGKNTPVCHFFSINHLLWVPLPNSKVNI >CAK8573079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581643612:581643758:1 gene:gene-LATHSAT_LOCUS25569 transcript:rna-LATHSAT_LOCUS25569 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSIGSSKRSRLSSSSSRGLGGVLKEQRARLYIIRRCVVMLLCWHE >CAK8537418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:347798292:347801762:1 gene:gene-LATHSAT_LOCUS6711 transcript:rna-LATHSAT_LOCUS6711 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDPSPSPKVFLANSGIVAGAPVARKLIRGGYGEEDTTQHRFGIPFAISLNLLSDSWHFQPDRFLPFLTENTDFTVIGVIGGPGVGKSTLMNELYAFDSSSSDMLPPFVIESVEERATARHCSKGIEPRISAERVILLDTQPVFSASVLVEMTEPDGSSTISVMSGEGESLSAELAYEVMAIQLAVFLASTCHILLVVSEGVHDDNLWHLMSRADLLKNDISDPSLLSSSLSQSSSSGLEKDSKVPEREYMATPVFVHTKLRDQELTPKNILLLRKALMKYFKKSSFVRNTTRNHSNEQVSSSMVHNRDMDSNTLNLFSIPFKGKGENPRAQSYISALRKTRDQILSVKRPSFMRPVSEREWLKSSAKIWEQVRNSSKISEYCRSLQDSGMY >CAK8562346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476106525:476106866:1 gene:gene-LATHSAT_LOCUS15849 transcript:rna-LATHSAT_LOCUS15849 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVDFEVGHDGVAVITMCNPPVNALALPIIRVLKYKFDEVARRNDVKAIVFTGKGGRFSGGLDISVMQRVHQTGDITLVPDVSVELVVNSIEDSKKPIVAAEFSVWLCLN >CAK8565281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:97374014:97374274:-1 gene:gene-LATHSAT_LOCUS18506 transcript:rna-LATHSAT_LOCUS18506 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNISNVLSPKGWLEWEGAPEYLDTLFFAEYMNYGQEANTKNRVKWSGYNLLSFNQASNFTVANFILGDQWLPSTGIPFTSGLVN >CAK8530513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26788330:26794427:-1 gene:gene-LATHSAT_LOCUS371 transcript:rna-LATHSAT_LOCUS371 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLWRVLPLSSLLISFALFFYLHNSNNAELYPIIKLAEGECTLFPYDHYWISSKRILTSHGIISGSVEINEGKIASIVEGYGKQGSSAQQVIDYGDAVIMPGLIDVHVHLDEPGRTEWEGFVTGTRAAAAGGVTTVIDMPLNNHPTTVSKETLELKLEAAENKIYVDVGFWGGLIPENVQNTSILEGLLDAGVLGVKSFMCPSGIDDFPMTTIEQIKEGLSVLAKYKRPLVVHSEIQQESKSNLELKGNGDPHVYNTYLDTRPPSWEQAAIKELVDVTKDTQIGGTLEGAHVHIVHLSDSSASLDLIKEAKCRGDSISVETAPHYLTFSSEEIPDRDTRYKCSPPIRDALNKEKLWEAVLDGHIDLLSSDHSPTVPELKLLKEGDFLKAWGGISSLQFDLPVTWSSGKKHGLTLEQLSLLWSQKPATFAGLESKGAIAIGNHADIVVWQPEVEFDLDNDYPVFLKHPSLSAYMGRRLSGKVLETFVRGNLVFKDGKHAPAACGVPILAK >CAK8532978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:559065916:559066092:1 gene:gene-LATHSAT_LOCUS2632 transcript:rna-LATHSAT_LOCUS2632 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGIWYYKYVEGDHVLFIINRERAGVQFDLIYDSIFERCRKHVFRKKLPPLPNEIHH >CAK8542858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553953694:553954222:1 gene:gene-LATHSAT_LOCUS11660 transcript:rna-LATHSAT_LOCUS11660 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSMHENMAAPICNCEEKCVIFTSKTPKNPNRRFFGCPYFNFEKKMLYCDYFMWEDEFIASQTTMVELKETKKEEDVMNSDVEVKVNQFESDMEHKINVLERDIKVMKFQSESQMKRRNWKKYVGVVVLLIVALVIVVCVLKHTNFFK >CAK8543881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646321462:646322964:-1 gene:gene-LATHSAT_LOCUS12605 transcript:rna-LATHSAT_LOCUS12605 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVKHTNWVGNIYQKLEAVCHEVDSIVGQDVVKYLENRVRNVGDSMKKLYSDVVHELQPFPALSGPGPSKYEAHSVALKNIIGLSFKSVCSVEDKDKNKNGVEENPVNNFIESLQDCNAIDLANDQLAGHSDEHELVNQFNSKTHTDSLEREDSFVTRNEVSDDSRESSVVEKENLHASIEETAIKSASELESLISINEKEPLESSIHSQSYYGSSDSASGVSVTIKDTNKINVEQDPCLIFEENNTSLSSAEVVNDTSVGETKLSKASLFNVSTDVDKGDNDILAEVSPVVPSVSCKRPNIKETGTSDFKCSLVFDSPYSESYKCSSGYVALCNSDSSMAHVCCESSQIAGQVKESDDRIISSCHCKSMESYDESRSIELSLEDIQLNNDTKLEESRVFVADSELHAVSYRIQKLRSYKKGIQDAFASKKRLAKEYEQLAIWYGDADMEPSQGTHKTELNTRLAGGGRIPPAVSLSKIESRHYSMTMGTCWEQKGKN >CAK8579610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712608893:712609707:1 gene:gene-LATHSAT_LOCUS31542 transcript:rna-LATHSAT_LOCUS31542 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPTSQLHYAQPPYPPPATGIPVHDGHHHKTGPQEWSTGLWDCFSDCQTCCVTYWCPCITFGRIAEIVDKGTTSCLVSGSLYTLICCLTGCGCIYSCIYRNKMRQQYMLKDAPCCDCLVHWCCESCALCQEYRELQNRGFDMQLGWHGNVAQGSRGVVMAPTAPGVEYMTR >CAK8578020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602116705:602117070:-1 gene:gene-LATHSAT_LOCUS30067 transcript:rna-LATHSAT_LOCUS30067 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTKKKIQKKCKIRGYNIKPEALDEILSFVTRFELTEQDEAIEVVLEQLEHESINSTVIDVEPVQRVMNLLVEADAREEEAFDAFASSSSAIAVIDVFDVPRYKYDAIRRNFYRCPPES >CAK8579600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711643715:711644771:-1 gene:gene-LATHSAT_LOCUS31533 transcript:rna-LATHSAT_LOCUS31533 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDYLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHKVASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDDLSGYSWGAAALATLYRYLRDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKRRELDTSS >CAK8533935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672616933:672619868:1 gene:gene-LATHSAT_LOCUS3521 transcript:rna-LATHSAT_LOCUS3521-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSNETLSPVSNPTKFSILNLIDLSNPNINQSVHLLKQACLDSGFFYVVNHGMTEEFMDEVFAQSKKFFSLPLNEKMKILRNEKHRGYTPVLDETLDPEHQIHGDYKEGFYIGVEVDEDDAESSKPFYGTNKWPEPDVLPGWRETMEKFHREALEVGKAVGKIIALALDLDVDFFEKPEMLGEPIATLRLLHYGGQISDPTKGLFGAGAHTDFGLLTLLATDDVAGLQICKDRDAKPQKWEDVSPLKGAFIVNLGDMLERWSNGVFKSTLHRVLGNGQERYSIAYFLEPSHDCLVECLPTCKSETNPPKFPPILCRDYLSQRYNETHADLSIYKKQQS >CAK8533934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672616933:672619868:1 gene:gene-LATHSAT_LOCUS3521 transcript:rna-LATHSAT_LOCUS3521 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSNETLSPVSNPTKFSILNLIDLSNPNINQSVHLLKQACLDSGFFYVVNHGMTEEFMDEVFAQSKKFFSLPLNEKMKILRNEKHRGYTPVLDETLDPEHQIHVGDYKEGFYIGVEVDEDDAESSKPFYGTNKWPEPDVLPGWRETMEKFHREALEVGKAVGKIIALALDLDVDFFEKPEMLGEPIATLRLLHYGGQISDPTKGLFGAGAHTDFGLLTLLATDDVAGLQICKDRDAKPQKWEDVSPLKGAFIVNLGDMLERWSNGVFKSTLHRVLGNGQERYSIAYFLEPSHDCLVECLPTCKSETNPPKFPPILCRDYLSQRYNETHADLSIYKKQQS >CAK8566184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388688410:388691621:-1 gene:gene-LATHSAT_LOCUS19339 transcript:rna-LATHSAT_LOCUS19339-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYNAKREEIDLASLVEIVFSWSFNDVRNENLCKNKVQKISQTFMSVTSYLNSFIPSLIEETHSDLASSLYGVHRAPFCEVLTAEPERTKSYIPTKFLLYQISVSRTNSDSKDVGTYEPEVGDLIAMTDFKPKTVEDLNRPRRYYHIAYVYGSKESTGKISVLSSKCIDMEINSNYLRSNNAPKLYAIYLLNLTTNIRVWKALNSELEGANMNMIKKVLQANSNNGENCQLCISRENHIAACSKIQTMIQSQNLNESQKDAVLSCVSMRECHHSDTIKLIWGPPGTGKTKTVASLLFSLLKFKTRTLTCAPTNTAVLEVAARLQNLVKKSLEDNTETCGFGDIVVFGNRSRMKVDDYRCLQDIFLDYRTSNLLKCFAPSTGWKHQLESMIMLLEDPSKQFGLYKLRVEADIMSLEQFAEQKQSDIDLAYSSSKQDEKNGDPMTLEQFKKKEYLSYKESKKNSVMTLERFVKQRFSYMGVELKVCMQTLYTHLPTSLVPFEKMKKIPIAIDLLTSLESSLSKVKLKQALDNHAAGESIFNSLGGLNIKREECLCLLRSLLETVSLPKVTDKYGIEKLCLMNASLIFCTASSSTRLFAEGMTPIKFLVIDEAAQLKECESAIALQLPGLHHAILIGDERQLPAVVKSKVTEEAGYGRSLFERLVLLGYKKHLLNTQYRMHPSISLFPNKEFYDEQLVDAPIVREMEYNRCFLEGKMYASFSFINIAKGKEQRGRGHSSKNIVEAAAISKIIGNLEEEFLRTGKKVSIGVISPYNAQVYEIQEKIKLSNSVSDPDFSVSVRSVDGFQGGEEDIIIISTVRSNGDAKVGFLSNRQRANVALTRARHCLWILGNETTLENSDSVWKELVLDAKERGCFHNADEDKKLAEAIEDALLEIELLDEPESPFKKLSLQDRSERFATTSR >CAK8566183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388688162:388691621:-1 gene:gene-LATHSAT_LOCUS19339 transcript:rna-LATHSAT_LOCUS19339 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYNAKREEIDLASLVEIVFSWSFNDVRNENLCKNKVQKISQTFMSVTSYLNSFIPSLIEETHSDLASSLYGVHRAPFCEVLTAEPERTKSYIPTKFLLYQISVSRTNSDSKDVGTYEPEVGDLIAMTDFKPKTVEDLNRPRRYYHIAYVYGSKESTGKISVLSSKCIDMEINSNYLRSNNAPKLYAIYLLNLTTNIRVWKALNSELEGANMNMIKKVLQANSNNGENCQLCISRENHIAACSKIQTMIQSQNLNESQKDAVLSCVSMRECHHSDTIKLIWGPPGTGKTKTVASLLFSLLKFKTRTLTCAPTNTAVLEVAARLQNLVKKSLEDNTETCGFGDIVVFGNRSRMKVDDYRCLQDIFLDYRTSNLLKCFAPSTGWKHQLESMIMLLEDPSKQFGLYKLRVEADIMSLEQFAEQKQSDIDLAYSSSKQDEKNGDPMTLEQFKKKEYLSYKESKKNSVMTLERFVKQRFSYMGVELKVCMQTLYTHLPTSLVPFEKMKKIPIAIDLLTSLESSLSKVKLKQALDNHAAGESIFNSLGGLNIKREECLCLLRSLLETVSLPKVTDKYGIEKLCLMNASLIFCTASSSTRLFAEGMTPIKFLVIDEAAQLKECESAIALQLPGLHHAILIGDERQLPAVVKSKVTEEAGYGRSLFERLVLLGYKKHLLNTQYRMHPSISLFPNKEFYDEQLVDAPIVREMEYNRCFLEGKMYASFSFINIAKGKEQRGRGHSSKNIVEAAAISKIIGNLEEEFLRTGKKVSIGVISPYNAQVYEIQEKIKLSNSVSDPDFSVSVRSVDGFQGGEEDIIIISTVRSNGDAKVGFLSNRQRANVALTRARHCLWILGNETTLENSDSVWKELVLDAKERGCFHNADEDKKLAEAIEDALLEIELLDEPESPFKKLSLQDRSERFATTSRGSLYTRGSSRGRGRPPPPRRSRW >CAK8570295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34783629:34785611:-1 gene:gene-LATHSAT_LOCUS23057 transcript:rna-LATHSAT_LOCUS23057 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDHFGDMYDVALKPRLLNTLISDYLPTVDHPFSNPSELSKVVSLIKTHSLLSEDVTDSMESKQGKAWKSSVTSWVDRVLLLLSSHSPDKRWAGISLLGVTCEECSSDRFQESYTVWFQKLLTSLQSPEDSHLVKVAACASISDLLARLSGFPKFKKDGSASAVKVVQPILKMLQDDNSEEVWEAAVHVICTLITSFPFSIQRHYDSVESAIAVKLVSGGCSHDMMKKLAHCLALLPKSKGDEESWSVMLQKILILINDQLNLKFEGLEEDYIRKEFNGLLILPGKQPPPPLGGYVSTEEARDNTTKRSKQSRASSVSTLMSSCCMLLTNAYQVKVNVPVRLLLVLVERILMVNGALPEMSLPFMTARQQENICSELPVLHMCSLELLTAIIKATGSQLLPHAASIVRLITKYFKTCQLPEIRIKVYSVAKILLISMGVGMALCLSKEVVNNAIADLSTLEKKNVSMSNGSNTDVSAAALQPASNRKRKHSSTNGSLQENEAGGVLGVQVPKKYRVAPISLRIAALEALEALITVAGALKSEQLRSKVDSLLIAIAMDSFREGSSNEEINVFQKKDPAATATDLQLAALRALLASFLSASRPPYLSQGLELFRRGKQQTGTKLAEFYAHAMLTLEVLIHPRALPLVDYVPPSNDTLPR >CAK8536453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:942890618:942891001:1 gene:gene-LATHSAT_LOCUS5820 transcript:rna-LATHSAT_LOCUS5820 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKASSFLALLLIANVLLSTTCQARNSIPRNSNTDDKKEPQFFFHFDGIPGFGRGGLPPLFGSTPQSPSNGGGEGAGVGSESGPGSAPPSGHYVPGGDDTFVPNPGNEVPIPGSGGAVPVPAAIHP >CAK8577632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579472555:579472908:1 gene:gene-LATHSAT_LOCUS29722 transcript:rna-LATHSAT_LOCUS29722 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWNVRGLNNVGKLREIHSRLLELRPKIIALLESRVKVKNVPSVRNKLMLRGNFADNYQHHANGRVWMYWDSNEVDVKIVTSSSQFIHCGIHDMAGNFLFWLTVVYALNRLEQCC >CAK8535138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816897879:816900451:1 gene:gene-LATHSAT_LOCUS4615 transcript:rna-LATHSAT_LOCUS4615 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSMGKKGGAKNLPKSESKSHNPITLREEATGKLKTKPIVNTKSHLRIDHLKKLAVWATTDSHIPSLSAFYGQHLATVSEAAGVPPDPSLLTCQRCETVLHPGFNSTVRIEKNRSKVRHRQKKFSNIAQNNVVYKCHFCSHQNIKRGTPKGHLKKICPAKDKPSLESMPAAKPIVHKSLKLEKHMVSKDEGGEIHTVGSEVVVKDVAPIKGLETPFSTSTPTLLEAKKRSRNNSVSKNTFETPSMSARVNTQSTASKRRKKSWTSLKEIAKSKERDNSKVTNLTIPFFL >CAK8535139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816897894:816900451:1 gene:gene-LATHSAT_LOCUS4615 transcript:rna-LATHSAT_LOCUS4615-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKNLPKSESKSHNPITLREEATGKLKTKPIVNTKSHLRIDHLKKLAVWATTDSHIPSLSAFYGQHLATVSEAAGVPPDPSLLTCQRCETVLHPGFNSTVRIEKNRSKVRHRQKKFSNIAQNNVVYKCHFCSHQNIKRGTPKGHLKKICPAKDKPSLESMPAAKPIVHKSLKLEKHMVSKDEGGEIHTVGSEVVVKDVAPIKGLETPFSTSTPTLLEAKKRSRNNSVSKNTFETPSMSARVNTQSTASKRRKKSWTSLKEIAKSKERDNSKVTNLTIPFFL >CAK8565596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:287592055:287593712:-1 gene:gene-LATHSAT_LOCUS18793 transcript:rna-LATHSAT_LOCUS18793 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNSFLFISFLILNLGLLLEAEKVPAVYVFGDSLVDVGNNNYLTLSFVKAVLPYYGIDFPTKLPTGRFSNGKNAADLIAEKLGLATSPPYLSLVSKFNNKNASFLNGVNFASGGAGIFDGNDENFRQSIPLTKQVDYYSNVYEQLTQQVEASRLKNHLSKSIFIVVIGSNDIFGYYNSMDLQTNSTPQQYADSMASSLKIQLQRLYDNGARKFEIIGLSPIGCCPINRVKNKTECYSEANLMSMKYNELLQIMLKELKLQNKNLSYSYFDTYAAIQDLTQNPSSYGFVDVKEACCGLGELKAKFLCTPISIICTNRQDHIFWDQVHPTEAATKVIVDKLYNGLSKYTYPINMEQLLAL >CAK8577592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576583240:576587259:1 gene:gene-LATHSAT_LOCUS29684 transcript:rna-LATHSAT_LOCUS29684 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGAPAGDAVMEDAAPAQQQEPQQQVAMGIENIPATLSHGGRFIQYNIFGNIFEVTAKYRPPIMPIGKGAYGIVCSAHNSETNEHVAVKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIVPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEADLGFLNENAKRYIRQLPLYRRQSFQEKFPHVHPDAIDLVEKMLTFDPRQRITVENALAHPYLTSLHDISDEPVCTTPFSFDFEQHALTEEQMKELIYREALAFNPEYQQ >CAK8538917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499497149:499498060:1 gene:gene-LATHSAT_LOCUS8064 transcript:rna-LATHSAT_LOCUS8064 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKAEEKKKPKERRPFLASECRDLNEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKVHWERRIVELGGPNYARHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRINAAYYGYRDDEDGILEPLEAAAEKDMQREAEDEWHRLDMIRKEARKAVRSGEVAEVTTAAREILHEEEEDVVEEERMKEREMRDRFDKKEREFIVHVPLPDEKEIEKMVLQKKKTDLLNKYVSDVLMEEQTEAKDLLNIHR >CAK8568487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611567927:611577674:1 gene:gene-LATHSAT_LOCUS21440 transcript:rna-LATHSAT_LOCUS21440-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNDHNQNQTKNLKRTFDQLHAKPHVEVFPSQESLVTNLVPRRDQLEAFEIAKRSNTIAIMDTGSGKTLIAIMLIKEIGQAVRSSGVKKIIVFLAPTVVLVNQQYKNIKHNTDLQVEEYHGAKGVDTWKFESWQKEVRDNDVMVMTPQTLLDALRKAFLSIEMICLMVIDECHQASGNHPYAKIMAEFYHQANEKPKIFGMTASPIGKRGVSSTLDCKYQISDLENILDSRKYTVKDRTEMDTCIPSAKESCRYYDQARSPALRLKPKIEASWSKCDVLLSDFQSNYKDMDDKFKTLHQRMSNELAKILYCLEDLGLLCACEAAKTCHQKFSKIYGECEVYRKANLQCVTVIEEVIQIIEESLHLADEVTLDVEFDFSKAVEMGYISPKLLELIKLFQSFGEPSQLLCLIFVERIIAAKVIEIFVKKVSQISHLTVAYVTGSSTSADALARNRQKEIMDSFRSGKINLLFTTDVLEEGIHVPNCSCVIRFDLPTTVCSYVQSRGRSRRANSQFILMLERGNLKQRNQLFDIIRGERSMTDAAASKDHASNLRAFTVRKTEAYYVDSTGASVNLDSSVSLVNQYCEKLPRDKYSSAKPNFELLPVEGGYECKLILPPNAAFQTMVGSLGKDMRLAKSLVCLEACKKLHQMGALNDHLVPFVEDPSEEDILKNKESSSGAGAGTTKRKELHGTVGIQALCGSWGEKLDGAKFHGYKFEFQCNIVHEIYSGFVLLVESKLDDDVGNMELDLYLISKMVKTSIIPCGQVDLDAEQVARAKSFHELFFNGLFGRLIRKSKSAVKGEREFLLQKDTDLLWSPKNSYLLLPLEKSNAICTGSLQIHWSAISSCSSAIEFVRRKFSLVAEDSDDTSSSAMEPESTNMFHFSNDVVDVSNIKDTVALAIHTGKIYCIIDVVDNSSAESPFDGNNDKAETMTFSKYFKKRYGITLKHPEQPLLRLKQGHNAHNLFLNTPEEDAGEKSSQVGPVPKAPVHVHMPPELLCLLDVKRDVLKSMYLLPSLMYRIESLMLSSQLRAEINGHVDNFKIPSSLILEALTTLRCCEKFSMERLELLGDSVLKYVVSCHLFLKYPKKHEGHLSAKRQWAVRNSTLHKLGIDCKLQGYIRDSAFEPRRWIAPGQDSIHTAPCDCGLETLEVPFDAKFITEDPQVVVGKLCDRGHRWMCSKTISDCVEALIGAYHVGGGLIASLRVMKWLGIDSELELSMVEEAIAAASLHTYKPKVNEIAILETKIGYEFSVKGLLVEATTHLSETEHGTGCCYERLEFLGDSVLDLLITWHLYQSHTDIDPGELTDLRSASVNNESFAQAAIRRNLHPHLMHSSGLLQGQISEYVKAISESEDNTVSLPGIKAPKALGDLVESIAGAILIDTKLDLDQVWKVFNPLLSPIVTPDKLELPPLRELNQLCDSLGYFVKVKVKCDKKGLMDHVVLSVQLPNALLVREGRGPNKKSAKGDAAYHLLKDLEKRGISYSSAKGKRVIDFSIPTCQAEEQPPKPVAHKKPKLDKSNLTAKESTSDVKETSRETSSESSDCSGSVPVLSLNMKKGGPRSLLYELCKKKQWPLPSFDSTEYKDRSQFQSCEGLEGSKGSNCFVSKITLCIPDDGNIECKGEARGDKKSSFDSAAVQMFYELQRLGKVQITDVSQ >CAK8568488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611567927:611577674:1 gene:gene-LATHSAT_LOCUS21440 transcript:rna-LATHSAT_LOCUS21440 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNDHNQNQTKNLKRTFDQLHAKPHVEVFPSQESLVTNLVPRRDQLEAFEIAKRSNTIAIMDTGSGKTLIAIMLIKEIGQAVRSSGVKKIIVFLAPTVVLVNQQYKNIKHNTDLQVEEYHGAKGVDTWKFESWQKEVRDNDVMVMTPQTLLDALRKAFLSIEMICLMVIDECHQASGNHPYAKIMAEFYHQANEKPKIFGMTASPIGKRGVSSTLDCKYQISDLENILDSRKYTVKDRTEMDTCIPSAKESCRYYDQARSPALRLKPKIEASWSKCDVLLSDFQSNYKDMDDKFKTLHQRMSNELAKILYCLEDLGLLCACEAAKTCHQKFSKIYGECEVYRKANLQCVTVIEEVIQIIEESLHLGVHIADEVTLDVEFDFSKAVEMGYISPKLLELIKLFQSFGEPSQLLCLIFVERIIAAKVIEIFVKKVSQISHLTVAYVTGSSTSADALARNRQKEIMDSFRSGKINLLFTTDVLEEGIHVPNCSCVIRFDLPTTVCSYVQSRGRSRRANSQFILMLERGNLKQRNQLFDIIRGERSMTDAAASKDHASNLRAFTVRKTEAYYVDSTGASVNLDSSVSLVNQYCEKLPRDKYSSAKPNFELLPVEGGYECKLILPPNAAFQTMVGSLGKDMRLAKSLVCLEACKKLHQMGALNDHLVPFVEDPSEEDILKNKESSSGAGAGTTKRKELHGTVGIQALCGSWGEKLDGAKFHGYKFEFQCNIVHEIYSGFVLLVESKLDDDVGNMELDLYLISKMVKTSIIPCGQVDLDAEQVARAKSFHELFFNGLFGRLIRKSKSAVKGEREFLLQKDTDLLWSPKNSYLLLPLEKSNAICTGSLQIHWSAISSCSSAIEFVRRKFSLVAEDSDDTSSSAMEPESTNMFHFSNDVVDVSNIKDTVALAIHTGKIYCIIDVVDNSSAESPFDGNNDKAETMTFSKYFKKRYGITLKHPEQPLLRLKQGHNAHNLFLNTPEEDAGEKSSQVGPVPKAPVHVHMPPELLCLLDVKRDVLKSMYLLPSLMYRIESLMLSSQLRAEINGHVDNFKIPSSLILEALTTLRCCEKFSMERLELLGDSVLKYVVSCHLFLKYPKKHEGHLSAKRQWAVRNSTLHKLGIDCKLQGYIRDSAFEPRRWIAPGQDSIHTAPCDCGLETLEVPFDAKFITEDPQVVVGKLCDRGHRWMCSKTISDCVEALIGAYHVGGGLIASLRVMKWLGIDSELELSMVEEAIAAASLHTYKPKVNEIAILETKIGYEFSVKGLLVEATTHLSETEHGTGCCYERLEFLGDSVLDLLITWHLYQSHTDIDPGELTDLRSASVNNESFAQAAIRRNLHPHLMHSSGLLQGQISEYVKAISESEDNTVSLPGIKAPKALGDLVESIAGAILIDTKLDLDQVWKVFNPLLSPIVTPDKLELPPLRELNQLCDSLGYFVKVKVKCDKKGLMDHVVLSVQLPNALLVREGRGPNKKSAKGDAAYHLLKDLEKRGISYSSAKGKRVIDFSIPTCQAEEQPPKPVAHKKPKLDKSNLTAKESTSDVKETSRETSSESSDCSGSVPVLSLNMKKGGPRSLLYELCKKKQWPLPSFDSTEYKDRSQFQSCEGLEGSKGSNCFVSKITLCIPDDGNIECKGEARGDKKSSFDSAAVQMFYELQRLGKVQITDVSQ >CAK8539691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521763626:521768474:1 gene:gene-LATHSAT_LOCUS8768 transcript:rna-LATHSAT_LOCUS8768-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYAQNQPPNLLTYQYYPPPPPPPPQPIAVIPPPPGAPLPPPHHLPPQYHSHQPVYGSYVPPLSSSNDVRTLFIAGLPEDVKPREIYNLFREFPGYESSHLRSPNNSSQAFAFAVFSNQQSAIMALHALNGMVFDLEKGSTLYIDLAKSNSRAKRARIDDERAGSDKKARGSAPSWPTPDSGVSSIHMPGMGNPAFNTNMIGYPPAQSHGNADGIALHNSLFPHLKKCSTPYIPTNTIPCATLFVANLGPSCNEQELNQVFCRFPGFLKLKMQSTYGAPVSFVDFKDVETATAALNSLQGTILYSSAAGEGIRLEYAKSRMGMRRKTK >CAK8539692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521763626:521768474:1 gene:gene-LATHSAT_LOCUS8768 transcript:rna-LATHSAT_LOCUS8768 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYAQNQPPNLLTYQYYPPPPPPPPQPIAVIPPPPGAPLPPPHHLPPQYHSHQPVYGSYVPPLSSSNDVRTLFIAGLPEDVKPREIYNLFREFPGYESSHLRSPNNSSQAFAFAVFSNQQSAIMALHALNGMVFDLEKGSTLYIDLAKSNSRAKRARIDDERAGSDKKARGSAPSWPTPDSAGVSSIHMPGMGNPAFNTNMIGYPPAQSHGNADGIALHNSLFPHLKKCSTPYIPTNTIPCATLFVANLGPSCNEQELNQVFCRFPGFLKLKMQSTYGAPVSFVDFKDVETATAALNSLQGTILYSSAAGEGIRLEYAKSRMGMRRKTK >CAK8573023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577773859:577774698:-1 gene:gene-LATHSAT_LOCUS25518 transcript:rna-LATHSAT_LOCUS25518 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTYLGRRRLKPHFKEGLVAFLTYAFAQKCCRSEGGVRCPCLNCGCRNIISDLNKVKHHLEKDGFRPNYWVWYSNGEILPGMNRETSSSQTHVGDDMVGDALGLNVTYDEPQDFDVDKLPNEEAQKFYQLLKEINIPLFEGSYDSMLSMSVRLLTAKSNWNVPDQCLEFFAKMMLDATPVKENMPISYYDVKRMMSKLRLKVKKIDCCIGGCMLFYDNEFGKNNGGLEECKFCQSPRYLSRSKGVYQKQKQFAMKSMFYLPIIFSLQRMFASVYSAS >CAK8565471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:228547396:228556297:1 gene:gene-LATHSAT_LOCUS18685 transcript:rna-LATHSAT_LOCUS18685 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVIMHWACAKITASLAIPDTTLLEILLDKLKLCKSISYAAVAAHADKNGRRKLAALLVEHEPRSSKQVPLLLSIGEEDIALMKATECGDTDLVYLILFHIWQKRQPLEFFGTIQARQLARDLFITYARCYKHEFLKDFFLSTGQLQDVAFLIWKESWELEKNPMASKGSPLHGPRIKLIEKAQNLFAETKEHTFESKAAEEHAKLLRIQHELEVTTKQAIFVDSSISDTIRTCIVLGNHRAALKVKTEFKVSEKRWYWLKVFALATIKDWAALEKFSKEKKPPIGYRPFVEACIEADEKGEAIKYIPKLADPREKAESYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAGASSIFDTLRDRLSFQGAS >CAK8569376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697328781:697329059:-1 gene:gene-LATHSAT_LOCUS22233 transcript:rna-LATHSAT_LOCUS22233 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRSSFSTSQSSSKQVEVPKGYLAVYVGEKARRFLVPVSFLNEPLFQELLSQAEEEFGYCHPMGGLTIPCKEEVFLHTASRLNGL >CAK8568989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661755637:661763764:-1 gene:gene-LATHSAT_LOCUS21888 transcript:rna-LATHSAT_LOCUS21888 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRTSATSLHVSTAHPFPISKDFQGSENPIPLSPQWLLPKPGEGKPGAGTVESHVISTPSLSNRSEIVKTSGNGEDVHDDHKRKDVFRPSVLDSESGRHDRWRDEERDTKSSLRQERWRDGDKGLGDARRVERWADNQSARNFGETRRSTSDRWNDSGNREMNFDQRRENRWTSRWGHEEKEPEVLHEKKNDTGKICDLHLDKGSSHISNPGKEEKEGDRFSPWRSNSLQRRGRIEPSHHQNVTPSKQVPIFSSGRGRGDDTLPGANHGRGRGEDTLPGTNQGRGRFGSGGSPINSSYMHSQYPHTIMDKVERERGEAHPFRYSRTNILDVYRVIDVHTAVKLVEDFAQVPPFTQDEPLEPLALCAPTSEELTVLKGIDKGEIVSSSAPPVPKDGRNSTEFAHSRQMKLGNAAVQDRGEDGGSFKVADEVHSNRESAFEENSPVHPGTRWRATSLNEQASTLMPDNKDVPGDVSSKNSELKWSHQPKDFRTQWENNLDYLSDTRDVAKWQSNEDSIVKRQLTGYLDGELESRRVPQTSPEELSLFYKDPRGQVQGPFKGVDIIGWLEAGYFGIDLLVRLESAAADSPWLQLCDVMPHLRAKARPPPGFPATKLDTTEAPVPQSTSTFVNIRTGPSEVERLRNNSMHRVGSATEAENRFLESLMSGSKSSPPLENVTSSQGIQGFIGSNSGNLGSSGVDGVNNPYLLAQRMALERQRSLPNPYPYWPGIDAASLPPKSVPDASPHSKLMSSLSDNSRQFQSQNSELSSVIQGLTDRASTGLNNSVTGWSNYPLQAGLDPLQNNIDLHRDQNFIPFGIQQQTFQAPNHLSLNNLRAQTADSPSNVLAADKLFSSSLYQDPQIMNMLQQQYLLQMHSQATAPAQHMPLLDKLLFLKQQEEQRLLMHQQQQMLSNVLQGHQSQQQFGGLSYGQLQGGGIGIGNLHVEPSQRQPPNENVHMSSQTPVSSVHDELSAKSLNLSQQESQDTSYNKSAESMQLPHQFFGNISQHKSWDIALPEQINENYQKETLPASASVESFLLHEQSRTTEEPNIADKPLSASDCTVKYVEQMSDNNGSAGGSLVNAVSESDEHSKPAQCVAPVIVVSSAESLLAGQVGMDVSSAASPLAGQVGTDVEIKPDELGGRVVSNVEPSVVDARDIEVREPKKAPEKKSKKQKSSKSQSSGQAKGLLKNVTLQQSKNSESEKPNYSEINLKEVNREEEDYETHLKQTSGEDGVSRTSITNAVHQEVSDLPANIPESITVTFVENDSKSSSPVASQNTELPAGRAWKPAPGFKAKSLLEIQQEEQKKAQTELPVIEVATTVNSLSVTTPWVGVVAIPDSIKVSSQNHKEASNTENLVKTKTSQHSKSKKSPLHDLLAEDREIFNGRDGTGLDSISFSQYIAAQSEPIDEGDFIEAKDTRRSRKKSTKSKGSGSKISKPVASSESPISSSPIEKGKSSRSVQQEKEQLPTIPSGPSLGDFVLWRGEPTSPSPSPAWTFDSGRVHKPLSLRDILKEQEKKSSAGPPNQFPTSQKSQQAQTAQSSGPSRTISAPTSLKAVSSIQINSEASHSKYKGDDDMFWGPVEQSKQETKQSNFHQLASQGSWGSKNVPMKGNSSGSLSHQKSGSSKPTERLLSSSPASSQSSQKLKKDAMTRNSEAMDFRVWCENECVRLIGTKDTSFLEFCSKQSKSEAEMFLKENLGSYDPDHEFIDKFLNYMELLPSEVLETAFLTQNDRKVTGSVISGNTNLQNLAQADGSPSKVGKKGKKGKKVSAAVLGFNVVSNRIMMGEIQTVED >CAK8542078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469794320:469794997:-1 gene:gene-LATHSAT_LOCUS10949 transcript:rna-LATHSAT_LOCUS10949 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSSLIVKYLSDNLITRQCPFLFFTKFQIPWIWRWSITISKNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIQEAIAEEQNNKVKEQSSQQMSMENLKNFYQRKYLNESEDEIMVRILDHLKNQFFSTFLTKALKDEDSSMKTSSSMGSMDSHNFDGLTGEGQADEATVEDFWDAMIQCMKAKGKTKN >CAK8543135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582072804:582076152:-1 gene:gene-LATHSAT_LOCUS11917 transcript:rna-LATHSAT_LOCUS11917 gene_biotype:protein_coding transcript_biotype:protein_coding MDHISNEARVGRFPIGPSDILGKTIAFRVLFCKSMSHLMYQIFQLLLGLLYRFKGLLKLKPFLSWFHPRNPQGILAIITIVAILLKRYTSVKVRAEMAYRRKFWRNMMRSALTYDEWAHGAKMLDKLTPKMNESDLYDVELVRNKLQELRHRRHEGSLRDIMFCMRADLVRNLGNMCNPELHKGRLQVPRLIKEYLDEVTTQLRMVCESDSEELSLEEKVAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVKHKLLPRIIAGSSVGSIMCAIAATRSWPELQSFFEDSLHSLQFFDQMGGIFAVVKRVATRGAVHEIRQLQMLLRHLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRSGDIVPYHPPFNLGPEEGSTPSRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEVVRTYGGNFAAKLAHLVVMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTIVMPATLAQYSKIIQNPSYGELQKAANQGRRCSWEKLSAIKANCEIELALDESVAILNHMRKLKRVAERASASASHGLSSTVRFSASRRIPSWNCMARENSTGSLEDLTDVASSLHQGIGSDSENVDLTSWTRSGGPLMRTASANMFVDFLQNLEGGVDTELNRGAVAHPSSRDFQYHNFRLTGPDRNSESEQKEIDNRVVSGSSLLITEGDILQTEKIHNGIVLNVVKKEALAPSNRCHDLGNYNNEVVECDQIDCSGKEMDTGSSDSDNENDKSTPALSIDQNIVDS >CAK8564372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670714249:670714635:-1 gene:gene-LATHSAT_LOCUS17684 transcript:rna-LATHSAT_LOCUS17684 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCMAASSMEWESLKQPKPCPSSSTAHLDHHQNKENDVLGKLRASCDANGKVTLKISKCELAELLGAIQQNNNKNQQPQKQTKKKKELASAELVLFRLIKARDHEIAKKHHWSSHWKPVLETIHEC >CAK8540502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9230211:9231358:1 gene:gene-LATHSAT_LOCUS9502 transcript:rna-LATHSAT_LOCUS9502 gene_biotype:protein_coding transcript_biotype:protein_coding METNTLFHLVTIFTILLLANANSEGDALYAFRTSVKDPNNILQSWDPTLVDPCTWFHVTCDTHNRVTRLDLGHAKLSGHLVPELGNLRHLQFLELYRNELVGPIPKELGNLKNLVSLGLYHNNLTASIPHTLSNLSNIKFLRLNNNKLTGRIPRELTKLKNLKIIDLSNNDLCGTFPTYGSFSKFSQQSFRNNPRLTGPELMGFVRYDDIGGSCK >CAK8560913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57349952:57353885:-1 gene:gene-LATHSAT_LOCUS14552 transcript:rna-LATHSAT_LOCUS14552 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPQGTLQNVLEQESLKWVFVGGKGGVGKTTCSSILSILLASVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFSNLYAMEVDPAVEHDDMGGSDGMDSLFSELAGAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSVLEKGLAKMMSLKNKFGGLFSQMTRMFGMGDDFGEDAILGKLEGMKDVIEQVNRQFKNPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVIFDDEDVESKLLKARMKMQQKYLDQFYMLYDDFNITKLPLLPEEVTGVEALRSFSRHFTLPYKPLCSTDQVERLERRVSALQGQLKDAEEELEKVKRGKQKA >CAK8537705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421898416:421899141:-1 gene:gene-LATHSAT_LOCUS6969 transcript:rna-LATHSAT_LOCUS6969 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNSNVYHERQRLQFCLLHTLNSLFQQKDAFTRENLNAISEKLAFDDTSNSDSWTPFSILFKPHHNALTGNYDINVLIAALEEKGKSVVWHDRRNGGSSIDLDAPADVLMGVVVNVSVKRFAGIWKGRHWIALRKIDGVWYNLDSDLPGPKCFRDKDEVREFLDSSIVNGGEVLLVMNQKQQS >CAK8538491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484791107:484792681:-1 gene:gene-LATHSAT_LOCUS7681 transcript:rna-LATHSAT_LOCUS7681-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNQEMMRIILQKMPDWIHSMDKHERLPIHYATSIGYLEGVDLLLRICKCCTNRKDKYGYFPIHLASYGGHVNVVKKLLEIEYCPDPTEMLDTSYERNIFHIAAKMGNHEVIRYILQSDQIGELDKNYMINQKDIEGNTPLHLAAKSCHPKTVFYLTWDERVDFGLVNQKNQTALEVVNEISQLSSSSTRQQLTLTALNSAGAKPNFERQNDSSSSKSEQIDESDSKSNVSGSKSKESNENVYNTADNFFLTGSDTQYKDRVETLILVSTLIITASVAACFAVPGEADGRAHNLYHVMFQFFIFFITISLFSSISATIILFWATLGLTKLVTFSLKIVMPLLGIALTSLTLAFMAGLYTVISELRWLANLFLVMTVIFVVLVILLYTVLFLPSASTRKSMRYISYYPFLFLAWLAE >CAK8538490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484791107:484793461:-1 gene:gene-LATHSAT_LOCUS7681 transcript:rna-LATHSAT_LOCUS7681 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDIGLKNCREDFDIKIEPTEVTKHILRCGENENQTELLNEVYRAGSTRLLQSCPLAETRTPVKQNTVLHIAAWYGNDDIVAIVIERAPDLLFTFNKNNDSVLHVAARGGHTSTIKKLLDGYTNSERPDIKKAWYKYEVITLDLDYDAKSNMEHLLKFVKQKNDQGNTMFHEAMLCDKRSIGGDMIFKACEQYKTEDWDEQSLSSCCYKYALEVVNRAKQSVLYLAVKNGDKDAVKLILDNYQKDEAWPVGLSPIVAAIMMRNQEMMRIILQKMPDWIHSMDKHERLPIHYATSIGYLEGVDLLLRICKCCTNRKDKYGYFPIHLASYGGHVNVVKKLLEIEYCPDPTEMLDTSYERNIFHIAAKMGNHEVIRYILQSDQIGELDKNYMINQKDIEGNTPLHLAAKSCHPKTVFYLTWDERVDFGLVNQKNQTALEVVNEISQLSSSSTRQQLTLTALNSAGAKPNFERQNDSSSSKSEQIDESDSKSNVSGSKSKESNENVYNTADNFFLTGSDTQYKDRVETLILVSTLIITASVAACFAVPGEADGRAHNLYHVMFQFFIFFITISLFSSISATIILFWATLGLTKLVTFSLKIVMPLLGIALTSLTLAFMAGLYTVISELRWLANLFLVMTVIFVVLVILLYTVLFLPSASTRKSMRYISYYPFLFLAWLAE >CAK8577194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544174693:544175070:1 gene:gene-LATHSAT_LOCUS29323 transcript:rna-LATHSAT_LOCUS29323 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSQEDWVEVRRKKDHAQAKEKFLVWTVKKGKGCVEDETVASFFFTEFPNAFGLVSEVFVPHRRDKRGNRYGFIRYKKVTNVRVMATRLDNIFIKGRKLHTNVSRFQRKENVHVKSQNNGRAI >CAK8570029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21618620:21622450:-1 gene:gene-LATHSAT_LOCUS22819 transcript:rna-LATHSAT_LOCUS22819 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVRLEKAIELEAVHEHLQEHCITEDTGMDTFSGITQDFKGRIECYKHDWICAINTGIRILAPTFYIFFASALPVIAFGEQLNRDTGGSLSTVETLASTAICGVIHSIFGGQPLLIVGVAEPTCLMYTYLYNFCVKTPELGAKLFLAWAAWVCVWTALLLILLAIFNACKIISRFTRIAEELFGMLITVLFFQQAVEGVLTEFKIPKAADPSLEEYQFHWRYINGLLSIIFFFGLIFTAMLSRKARKWRYGTGGLRALVADYGVPMMVVLWTAISYAVPGKVPPTVPRRLFCPFPWDPASLYHWTVMKDMLKVPLLYIYGAFIPAIMIAGLYFFDHSVASKMAQQKEFNLQKPSAYHYDVFLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAILRRQMIRKKVVKGAKECIRNQGTNAELYGTMRSVFIEMDAAPTAKELENLREAVMNTNAGSKEKFDPVKHIDSYLPVRVNEQRLSNLLQAFFVALLLLATSLIKRIPTSVLWGYFAYMAIDSLPGNQFWERILLLFITPKRQYKVLEGSHSSFVESVPFKTIAGFTVLQLLYFFLCYGLTWIPVGGILFPLPFFLLIMLREKLLPTLFKASHLQELDASEYEEIVGAPLGPYNIPLIDKDTRNSTDSDSEEENDYDAEILDEMTTHRGELKFRTINQNDNRSFNRSFNYRYNDDRNSQGYPDETIRI >CAK8567858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551189841:551190452:1 gene:gene-LATHSAT_LOCUS20875 transcript:rna-LATHSAT_LOCUS20875 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYMENRSLDAILFDKAKRSTLDWRTRFNVIYGIARGLLYLHQDSRFRIIHRDLMASNILLDQEMNPKISDFGMARIFGTNQTEANTVKVVGTYGYISPEYAMDGIFSMKSDVFSFGVLVMEIISGKKNRCFISTITNK >CAK8560627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30455744:30458152:-1 gene:gene-LATHSAT_LOCUS14288 transcript:rna-LATHSAT_LOCUS14288 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFRSKSCGVAGFSVSEFNTVAQQGKEKEEKEEELESDDDNDDDDDDEEEEEKYSSNNVSRHFTKGSNNNHQNQFAILDIVVAALKKSLVTCSVEREDVSSLDISWPTEVRHVSHVTFDRFNGFLGLPSEFQPEVPTRVPSASVKVFGVSAKSMQCSYDDRGNSVPTILLRMQKQLYSEGGLQAEGIFRITGENSQEAFVRDQLNKGVVPNGIDVHCLSGLIKAWFRELPTGVLDSLTSEQVMLCNTEDDCTNLVKLLPSTEAALLDWAINLMADVVEYEQFNKMNARNVAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLRERDESISNSRLLSNSMDFTSCKDEFPPFNFNREESSCELTEDDCDKKASTTKRKFSRTSTLGRIEWSVEKLRSSEEKRNRDEVFKSFSADSATSTFDSGPLESSYSYRRKYDSEHWLRLRNGVRKLCRHPVFQLSKPFKKSASLGIVNTRDAGGGEAWA >CAK8541767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391468323:391469003:-1 gene:gene-LATHSAT_LOCUS10662 transcript:rna-LATHSAT_LOCUS10662 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMKLLCLVGFVVLVAGIHGVESAGECGKGTTPDNEAFKLAPCANAASDEDANVSQSCCAQVKKLGQNPACLCAVMLSNVAKMSGVDPKIAVTIPKRCNIATRPIGYKCGPYTLP >CAK8536672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6720814:6721557:-1 gene:gene-LATHSAT_LOCUS6010 transcript:rna-LATHSAT_LOCUS6010 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNIFVILSLFILLVSIQNIVQVYGEDPTYGFTSVPLTEANFAVQKPYNIPVDQRYSFIDGVHRFWVYAHDKPYSPSSPTQPRTEIRIKGLDYHSGVWQFEGYGYVPKGTSGATIAQIHGAAHGATTLILRIYSGDMRYYDTDLVAKNLYDKWFRLNIIHDVDGGIVTVFIDGEKKFQTKDQGPGDLYFKCGVYAAPVDISNYMESRWRDIKIYKK >CAK8539405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512024907:512026168:1 gene:gene-LATHSAT_LOCUS8505 transcript:rna-LATHSAT_LOCUS8505 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDAVKEAQIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRSGKNDKEIYKKLEDDFGETILYAPKFDMQTAALWLSPLLVAGAAAGAWAYQKHKQKTNVHIMALNLVRGVPLTPREKETMLDILTPPASQGFKSQEVKTSFWWRRLGGQ >CAK8538100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462848045:462852033:-1 gene:gene-LATHSAT_LOCUS7335 transcript:rna-LATHSAT_LOCUS7335 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGHSNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNLDSFTRAKKWVQELQKQGNPNMVVAFAGNKADLEDKRKVTAEEARVYAEENGLFFIEASAKTAANVNEIFYEIAKRLPRAQPAQPAGMVLVDRPAEGSKAASCCS >CAK8568911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653754238:653758550:1 gene:gene-LATHSAT_LOCUS21819 transcript:rna-LATHSAT_LOCUS21819 gene_biotype:protein_coding transcript_biotype:protein_coding MASVESEERVPEVQNSVDEDTNNNAEELKNKTENTKTKKKKKKKKYFSRFDCFRIEHDDTGDGFDIQVVDASGQSSNPTHLIIMVNGLIGSAENWKYAAKQFLKRYPYDAIVHCSESNSSKLTFDGVDVTGDRLSEEVISVIKRHPSVQKISFIAHSLGGLIARYAIAKLYERDISKELSQGNEHCESQISKQECHDSYEGKIAGLEPINFITSATPHLGCRGHKQVPLFCGFRSLEKTASRLSWFLGKTGKHLFLTDGKNGKPPLLLQMVHDSEDIKFMSALRSFKRRVAYANIRYDQMVGWSTSSIRHRNELPKRRHLKRHEKYPHIVNEEKAKPTSVSDEVPIKSKVSCGSCKIDLEEEMIRGLTSVSWDRVDVSFSGSRQKYLAHNAIQVQTYSINSDGADVVQHMIDDFWL >CAK8544548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691915313:691919445:1 gene:gene-LATHSAT_LOCUS13214 transcript:rna-LATHSAT_LOCUS13214-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTEGTETPNPPPPTSLDLWSQIVAEENQDYGNQIDVVFRRRKPRNDPNNANSIVSDDIPRRPSRVSFADPNKRISWKRSLSTRGRISIAVGASMVYQPELKKDKRKGKPPAIPKSKNVKAPNFDKERLYFQEVDAFELMEESPSPKKVGTWIIGYNAEEVPLPSLCSRLERWLHSRRLNLRCRPSSTLSKILDTPTMNLEDRLRSLDQSGLSNSQLSNFKSGESQRSLNNASLIESEGCEDVEAGLKKLSLTSTTSSIYDDNPFSVLLEICGQSAPSMLQDLFSRYSGSETVVKVGEGTYGEAFKVGNCVCKIVPFDGDLRVNGEVQKKSEELLEEVLLSKTLNQLRGGDGVLNNNLCRTFIESKEFRVCQGLYDEVLVRAWEDWDRKHNSENDHPNEFPEQQCYMVFVQQHGGKDLEGFVLLNFGEARTLLVQVAAGLAVAESAYEFEHRDLHWGNILLSRSDDSAKLQFTLDGKNLLVETYGLMISIIDFTLSRMNTGDSILYLDLSSDPDLFKGPKGDKQSETYRKMKEVTEDWWEGSFPKTNVLWLVYLVDILLTKKTFERTSKDERDLRSLKKRLDKYNSAKEAVFDPFFTDLFVESDPMA >CAK8544546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691915310:691919445:1 gene:gene-LATHSAT_LOCUS13214 transcript:rna-LATHSAT_LOCUS13214 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTTEGTETPNPPPPTSLDLWSQIVAEENQDYGNQIDVVFRRRKPRNDPNNANSIVSDDIPRRPSRVSFADPNKRISWKRSLSTRGRISIAVGASMVYQPELKKDKRKGKPPAIPKSKNVKAPNFDKERLYFQEVDAFELMEESPSPKKVGTWIIGYNAEEVPLPSLCSRLERWLHSRRLNLRCRPSSTLSKILDTPTMNLEDRLRSLDQSGLSNSQLSNFKSGESQRSLNNASLIESEGCEDVEAGLKKLSLTSTTSSIYDDNPFSVLLEICGQSAPSMLQDLFSRYSGSETVVKVGEGTYGEAFKVGNCVCKIVPFDGDLRVNGEVQKKSEELLEEVLLSKTLNQLRGGDGVLNNNLCRTFIESKEFRVCQGLYDEVLVRAWEDWDRKHNSENDHPNEFPEQQCYMVFVQQHGGKDLEGFVLLNFGEARTLLVQVAAGLAVAESAYEFEHRDLHWGNILLSRSDDSAKLQFTLDGKNLLVETYGLMISIIDFTLSRMNTGDSILYLDLSSDPDLFKGPKGDKQSETYRKMKEVTEDWWEGSFPKTNVLWLVYLVDILLTKKTFERTSKDERDLRSLKKRLDKYNSAKEAVFDPFFTDLFVESDPMA >CAK8544547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691915313:691919445:1 gene:gene-LATHSAT_LOCUS13214 transcript:rna-LATHSAT_LOCUS13214-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTEGTETPNPPPPTSLDLWSQIVAEENQDYGNQIDVVFRRRKPRNDPNNANSIVSDDIPRRPSRVSFADPNKRISWKRSLSTRGRISIAVGASMVYQPELKKDKRKGKPPAIPKSKNVKAPNFDKERLYFQEVDAFELMEESPSPKKVGTWIIGYNAEEVPLPSLCSRLERWLHSRRLNLRCRPSSTLSKILDTPTMNLEDRLRSLDQSGLSNSQLSNFKSGESQRSLNNASLIESEGCEDVEAGLKKLSLTSTTSSIYDDNPFSVLLEICGQSAPSMLQDLFSSGSETVVKVGEGTYGEAFKVGNCVCKIVPFDGDLRVNGEVQKKSEELLEEVLLSKTLNQLRGGDGVLNNNLCRTFIESKEFRVCQGLYDEVLVRAWEDWDRKHNSENDHPNEFPEQQCYMVFVQQHGGKDLEGFVLLNFGEARTLLVQVAAGLAVAESAYEFEHRDLHWGNILLSRSDDSAKLQFTLDGKNLLVETYGLMISIIDFTLSRMNTGDSILYLDLSSDPDLFKGPKGDKQSETYRKMKEVTEDWWEGSFPKTNVLWLVYLVDILLTKKTFERTSKDERDLRSLKKRLDKYNSAKEAVFDPFFTDLFVESDPMA >CAK8578043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603514505:603515764:-1 gene:gene-LATHSAT_LOCUS30087 transcript:rna-LATHSAT_LOCUS30087 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHQQHHHRNNNNSSPQCSSNSNSISSSSSVTTTTNNNNHNNPNRNSNDPMHSWWESVSKARSRIHSLASILPDHNHTLSPLADSERPALSLLSSPIAYSALSSSLSGSHSDPLCHWLYDTFLSSDPHLRLVVLSFIPLLSGLYLSRVHSSDPPSLAGFEAVLLALYAAETKSRAGKPLLVTIPDLSIPSIYHSPLRKPLSPNPTPSVGVISPPLEPHLAVKSTKRACIVGVALHSYFSQISHMPSWSKLEFCLFAAGWAGQDCPCRREFDRIENLNNTLALNHENGESDVEIEEVAQALNNLKIHHDPDCESPKGNSKGDRIPLPWEILQPALRILGHCLMGPLNSQEVKDAASFAVRCLYARASHDLVPQAILATRSLIQLDNRTRDAAKSASSAAASNVNTPTKAKKPEMLLVSK >CAK8568391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601810740:601811189:1 gene:gene-LATHSAT_LOCUS21351 transcript:rna-LATHSAT_LOCUS21351 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQPEEPVQLPSTPVLEPMLSETEIQYLISLINQPEDPTSPSSGSQGSNRTVYSSEERKLRRMKSNREAAQRSRCRKKKHLENITNRLNRLRIQNQELKNQLSFTMDQHLLLSLENDQLISESIALLATLSDLCAILSNTTPYLYKSQ >CAK8530165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3934668:3940616:-1 gene:gene-LATHSAT_LOCUS54 transcript:rna-LATHSAT_LOCUS54 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRFSLLLLLLLSPAFASDSDHKYQPDDPVTLWVNKVGPYNNPQETYNYYSLPFCRPPGDASHKWGGLGEVLGGNELIDSQIEIKYLGNVERTTFCRLNLDDAKVKQFKDAIENNYWFEFFMDDLPLWGYVGELHPDKNSDSGKHVLYTHKNIIVKYNQDQIIHVNLTHDDPKPLEVGRSLDMTYSVKWIPTNVTFRHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRNLALLSAVVGTGAQLALLILLVILLAIVGMLYVGRGAIVTTFIVCYALTSVISGYVSGGMYSRNGGKSWIKSMILTASLFPFLCFGIGFILNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIVVTVCVTIVGTYFLLNAENYNWQWTSFLSAASTGVYVYFYSIYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAVGHLGSNLFVRRIYRNIKCD >CAK8543522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614854613:614856542:-1 gene:gene-LATHSAT_LOCUS12274 transcript:rna-LATHSAT_LOCUS12274 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALKSSFFLQLKKPETGFNNLSFSSNNVSIISAKRYTSVSAIANRTIEAVSISETFNSLKKEGKVALIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAASTRSLARGTDFHAIISMLKEVVPELSTPIALFTYYNPILKRGTERFMSILKDTGVHGLVVPDVPLEETETLRKEAKKNGIELVLLTTPTTPTNRMKAIVDGAEGFVYLVSSLGVTGTRASISSKVQSLLKEIKEATPKPVAVGFGISTAEQVKQVAGWGADGVIVGSAMVKLLGEAKTPQEGLKELEIFTLSLRSALD >CAK8537402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:334291004:334291327:1 gene:gene-LATHSAT_LOCUS6695 transcript:rna-LATHSAT_LOCUS6695 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEKHATHTRVVMADPPDCCSKPKNKLTSEIILVH >CAK8574911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15069828:15070832:1 gene:gene-LATHSAT_LOCUS27210 transcript:rna-LATHSAT_LOCUS27210 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLNMNENDVVIKNWYTSIIHQISVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVLLCGWLKLVEHDKLNLITMWRFFPAAVIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAVGESMFLHRPWPTLKTWTSLATIFAGSLLYVATDYQFTFTAYTWAVAYLVSMTIDFVYIKHVINTIELNTWGLVLYNNIEALMLFPLELFIMNEFKKLQEEINDESDWRSFPVVFPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVMVNLVIWDKHSTWAGTLGLLICMVGGVLYQQSTSKPKETDQKNPVDAEAK >CAK8576524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487350688:487351552:1 gene:gene-LATHSAT_LOCUS28706 transcript:rna-LATHSAT_LOCUS28706 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLAKNGSEFEKKTKQHIKEYADAGLRTLILAYRELEEEECTLFNKELMEARSLVSADREQIMEDVLEKIEMDLILLGATAVEEKLQNRVPECIDKLAQAGIKLWVLTGDKMETTINVGFACRLLWQEMKQIILSSDTRETKSLEKMENKSDADVAIKKSVVCQLMEGKELLGASIENTKELALILDGNSNLCTRR >CAK8571449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:421311588:421315335:-1 gene:gene-LATHSAT_LOCUS24114 transcript:rna-LATHSAT_LOCUS24114 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIPKTPSHFRRFFSLPSKQPYFPNSPFNPHFTAPQKPYSNNSNNNNNTKDPFSNVWKNFGESEEKVDNLFTEESESLDGMNEVEGERVRKDADEEKWYLEEKGIDNEDENTLFKGIDNEAEGKSSGSGEFGSHIDQAWNLKEDTGDVFDFKEDDVGKEEVGELGVVDGETKEDVEKLEKEEKELTVILEGPKRAFGDLIAASGITDEMLDSLIALKDFEGIDGLPPLRVIEDIRYEKNTRKSTRAELERLKQEEAAKARVRQVDDKGRAYGTGRRKCSIARVWVQPGNGKFMVNDKEFDVYFPMIDHRATLLRPFSETKTLGLWDVNCTVKGGGVSGQVGAIRLGVSKALQNWEPELRPALRSVGFLTRDSRVVERKKPGKAKARKSYQWVKR >CAK8542772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545111097:545114304:-1 gene:gene-LATHSAT_LOCUS11580 transcript:rna-LATHSAT_LOCUS11580 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSLSTASPPPELEDGCLSHDGDCHDIHDDGASLDTFLLDDEGFPSQVPGEDASPNESEKSSFAADFYRSGSDCSSLLSPDVYRSRDLTLTPDSLSSGKKLKQANLFQIWGFKRSVAVGSVEFESKQGGYCDDIGEGSVSSEKKKIVTPGSWGSILSGKGIVFEKSNSSSKRKSLHGENRVTRSCPFYKKMPGTNFTVDAFRYGCVEECSAYFLSHFHADHYGGLSKKWSHGPIYCSPLTGRLVKMCLYVNPSYIRSLEFDTEYVIDGIKVTLIDANHCPGAALIHFELPNGQCYLHTGDFRACKLMQDYHLFVNKHVNVLYLDTTYCNPKYKFPFKEDVLNYVVKIAKNHLKMHPRTLVVVGAYSIGKECVYLAISKALGVKIYANASRRRILLAYDCPDYSDRLCTNGNNTLLHVMSMSSLRIETLKEYLKTYKEQFTSVLAFRPTGWTFSEKIGNDLELIKPISKGNITIYGVPYSEHSSFTELRDFVQFLKPDKIIPTVNVGNAANREKMQSYFRDWLKG >CAK8539593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517425347:517425553:-1 gene:gene-LATHSAT_LOCUS8675 transcript:rna-LATHSAT_LOCUS8675 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEMGAFYVLFILLIFCNSGFLCIAGGLLNFTSSGSSSHKNVSGSRNTELEDKRGVPSGANPLHNR >CAK8576567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493150182:493151138:-1 gene:gene-LATHSAT_LOCUS28743 transcript:rna-LATHSAT_LOCUS28743 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8578736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650608924:650609358:1 gene:gene-LATHSAT_LOCUS30722 transcript:rna-LATHSAT_LOCUS30722 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTAPKVVPSIPEIKLKSNPKKKIPSPKELVSYYESQGMDSHEASLKVIEDLQKALFGVISSGRGKNDRVLNESSRKMDSLNNRLAVLDMKLDSKPGYVETFAIGVVSGAALNGIGAILPRIISPIAQIWNSVTTATKSTPQ >CAK8578245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614446887:614448029:1 gene:gene-LATHSAT_LOCUS30272 transcript:rna-LATHSAT_LOCUS30272 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAPYSVGTCLTSLQPQKRNHSNSLTTTCSIKVPQPPPVLVQNENQFKRRDAIGLSISFGLLHSLLPPIIPIATAASEVAPCELTVAPSGLSFCDKIVGTGPQAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDVGILGDDGIPPMFAGGKRTLKLPPELGYGSRGAGCKGGSCVIPPDSVLLFDVEFVSKA >CAK8537356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:300502828:300503061:-1 gene:gene-LATHSAT_LOCUS6652 transcript:rna-LATHSAT_LOCUS6652 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSSARDYFNRILQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELVDSISKSNEPMSHLKAIYFLRPTS >CAK8538351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478611863:478612486:-1 gene:gene-LATHSAT_LOCUS7563 transcript:rna-LATHSAT_LOCUS7563 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVQFQRYNTNNTEMVTVNAIANGVNCPKQGRYVLTALKLTVPETVTNHHNAHIVEPNQCYSVVIQTINASVSTVWSVVRRFDNPQGYKHFVKSCNVVAGDGIRVGALREVRLVSGLPAVSSTERLDILDEERHVISFSVVGGIHRLRNYRSVTTLHGDGNGGTVVIESYVVDVPHGNTKEETCSFVDTIVRCNLQSLAQIAEKL >CAK8576638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499517981:499518364:1 gene:gene-LATHSAT_LOCUS28812 transcript:rna-LATHSAT_LOCUS28812 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKRKNFQIKAKVPNVKIFIEFRDGLTNISREAFTINHEKMLDLLFVPGQKEAITTLVQFYDPPLRCFLFQGFQLAPTIEEFRRILDSPKEKKGIYRGMRKYPKPKDLVEVLNTLIADLALNIKT >CAK8578857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658989331:658989603:-1 gene:gene-LATHSAT_LOCUS30839 transcript:rna-LATHSAT_LOCUS30839 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIDVPCVENLFTWFSGDGRSMNRLDIFLLSEPLVELWGVMGQVTSKRDILDHFSICLKVDKVDWDPKPFKVNNCLFENKEFKDFVKKE >CAK8542326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501975834:501977381:-1 gene:gene-LATHSAT_LOCUS11173 transcript:rna-LATHSAT_LOCUS11173 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTAEEDEILANYINKNGGHGSWRSLPKITGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEEKLVIQLHAILGNRWAAIASQLPGRTDNEIKNFWNTHLKKRLKSMGIDPKTHEPVASSTSYPNHKSHASVTTRHMAQWESARLEAEARLSTESSHFNNSNNNNNHNSSEKADSSSDYFLRIWNSEVGDAFRNVQKPDTDYDTKTSRCQSPISAVTASSSVKEEPEWKIDKFCAEDVILESDSTSSNDLEDLEGSSDTALQLLFDFPMNNDMSFLENYFA >CAK8563774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630317271:630318093:-1 gene:gene-LATHSAT_LOCUS17150 transcript:rna-LATHSAT_LOCUS17150 gene_biotype:protein_coding transcript_biotype:protein_coding MQETGKTETWLRKHRSVYNSATKHPFILSIRDGTVQSHSFKTWLAQDYLFVREFVPFVASVLIKACKESDDSDDVEVILGGMASLNDDISWFKKEANKWGIHLSEIVPQTANKDYCRLLESLMSSDVEYTVAVTALWAIGVVYQESFAYCIEEGSKTPLELKEACERWGTEGFGQYCQSLQKMVDRRLLKASDEEVKKAEVVFLSIIEHEVEFWNMSRGD >CAK8563694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625456742:625461997:1 gene:gene-LATHSAT_LOCUS17076 transcript:rna-LATHSAT_LOCUS17076 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPQYLNCLPPPQYQHQRASVPTPPSHQPDNDHTTAELRALDCNLASLCEHVQIEGFNSGSFSDIVVNAMGSAYHLHRLILSRSSYFRNMLHGPWKEASAPIVTLHIDDKNVNEEAISIALAYLYGNHPKLNDDNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAENQDYGIHGERVRTACWGYLCQSGGIELREVLPKLSSNTLHALLTSNDLWVPCEEKRFELALHTFLAKSAHCKVEHPAHEVPGSESATDIHSDNTKGKCIADRCTNKRLETDLGKMSIKSDRKDPSIPNLFAELADSVVDFNSDISDSNQQVQLASYVSSPNMNPRYSCDTEGPSLGNSLSDTDGARTPCYVEGPLGAGVTTGMGIEGPSEEGSCYLSDNSNRLVRDQSRHCFSTSSCNGLTSSEWGRYGAPLLSWGGHVGRRQVKAHSRGNCGDEDNVFLNIFEGGSLLYCSMSFDALLNVRKQLEEIGFPCKAVNDGLWLQMLLSQRVQDIAADTCRVCSLMTMSCTCHKQFAFVHGSTTTGSYMQEHNHNNMPGGGGNIYVAESSTGERNGSFRPVRVHVRGANDGLAGIGRGTTFVPAAASPPTRFVFSRVPFGIGNRNYPQSAANDDSDTRADHNGDLSGDGLTALVGLSQGGNYGTNVHTELIQRGHEIGLQSTAGGASSGGIPVQMLERPEQTIGIEWENENSSSISLDLKTPLSHFPPFRFGVSFEEVHRLSDGQVKHSPEVFYAGSLWKVSIQAFNDEDPQGRRTLGLFLHRRKAEITDLHRKVHMYVDSREKVTARYQLTCPSKREMLVFGSFKQTGTLLPKAPKGWGWRTALLFDELADLLQNGALRVIAVVQLV >CAK8570909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:164710896:164711303:1 gene:gene-LATHSAT_LOCUS23618 transcript:rna-LATHSAT_LOCUS23618 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKLLDDNIYVSRYRIWGDEVTVRDILWTHPDSIKLLNAFPIMLIIDSTYKTNMYKLPLLDMVGVTSTEKTYSVMFAFLETVKEENVTRALEVSQSMLKDQEEMPKVIVTDHDTALMNSVAKVFHTSYALLY >CAK8564469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676364409:676365599:1 gene:gene-LATHSAT_LOCUS17772 transcript:rna-LATHSAT_LOCUS17772 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDETQQLLLSEPRDHSHVNEKSDLLQRRRTRRGRTSDTEINLSQEQNGNLETPLHPQSIDETQEAELNFRPVFLFLAAYLGTGTLCFFLASYQIQGIKTYGFLDALYFCVVTMTTVGYGDLVPNSTTAKLLACLYVFTGMALGGLILSKAADYIVEKQEVYIVKAICKAEKLGLEEVSKELVTKKSKYKLLLSSSTFVVLMIVGTVFLYFVEKLDFVDAFYCVCSTVTTLGYGDKSFSTAIGRTFAVFWILSSTICLAQCFAYLADFYTEDRQRSLAKIVLTRKFSSHDLEAADLDGDKAVSAAEFVLYKLKEMGKINQEDVKAVMEIFRKLDYDQSGTLTEADFRNPEL >CAK8570084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23323580:23324198:1 gene:gene-LATHSAT_LOCUS22867 transcript:rna-LATHSAT_LOCUS22867 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQSIEVLSDAENGRESEEFIEKKEKVPPHHHPFTQVGTVSFPGFYGKHRLQASISNLNNQINILQEELEKLETIGESSIICKEVVSSVESNPDPLLPWIQGSVDAGTGWDRWFGGAHNSRNHKRWI >CAK8541193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:86251548:86257556:-1 gene:gene-LATHSAT_LOCUS10129 transcript:rna-LATHSAT_LOCUS10129 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLDLPPKGGFSFDLCRRNDMLQKKGLKPPSYLKTGTTIVGLVFQDGIILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKESLSRDEGIKIVVEAICAGIFNDLGSGSNVDVCVITKGHKEYLRNHLQPNPRTFVNPRGFTFSKKTEVLSTKVTPLKEKVEVIEGDAMEE >CAK8543649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628728963:628730243:-1 gene:gene-LATHSAT_LOCUS12395 transcript:rna-LATHSAT_LOCUS12395 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQQQQAGPSSLIDKTKQQIAEKPSHNASEDEEDEEELIDHIKNGPFVPGPLLSLKEQIEKDKEDESLRRWKEKLLGCLESDLDGQLDPEVKFHSIGILSEDFGEIVTPLPVEENQNGRTLFTLREGSRYQLKLQFSVMHNLVSGLTYSNTVWKGGFQVDQSKGMLGTFAPQKEPYVYALKEDTTPSGALARGVYSAKLKFEDDDKRCHMELKYLFEIKKSG >CAK8571014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:263741126:263744372:-1 gene:gene-LATHSAT_LOCUS23713 transcript:rna-LATHSAT_LOCUS23713 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHNNNVHISNIITLLFLSIPLTSSLSSDGLSLLALKAAIDVDPTGILNSWSDSVPTPCNWEGVSCTTTNDQDRVTQLTLPSKSLSGYLPSELGQLTELTSLILHNNNFTKTIPSSLFNTTNKLIVLDLSHNSLSGPLPLSFTSLKSLIHCDLSSNFLNGSIPDTLTELTALTGTLNLSFNSFSGGIPASLGNLPVEVSLDLRDNNLTGEIPQVGSLLNQGPTAFSGNPGLCGFPLRDLCLEGEKVPDRLPEDPNPNPVAIQTGLDQDQRQRSGLLVVVVVVLVSLGAVVVLMSVLVLRRKRLNEGEGDGGFEKRKVESEVLSSGEAQKGRFVVLDEGFGLELEDLLRASAYVVGKSRSGIVYKVVGVGKGLVPAGTAVAVRRLSEGDDGGLRFKEFEYEVESIGRVRHPNVVPLRAYYYASDEKLLITDFIRNGSLHTVLHGGPSDSLPPLPWPARLKIAQGTARGLVYIHEFSGRKYVHGNIKSTKILLDDDLHPYISGFGLTRLGLGMSKSTTTTFTHRRQNSNQSIIPSAMSSKVAASSKNYMAPELRMAGAKFTQKCDVYSFGIVLLELLTGRLPDLGPENDQKELESFVRKAFREEQPLSEIIDPALLPEVNAKKQVVAAFHVALNCTELDPELRPKMRTVSESLDHIKIQ >CAK8571423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404503043:404503487:-1 gene:gene-LATHSAT_LOCUS24089 transcript:rna-LATHSAT_LOCUS24089 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQILLFFFVLILSSFFASARFLVPPNGSRHGEKELKVIGKSSIEMKDDMEHLMGSEECYEKDEECFSRRMIAEAHLDYIYTQHNKP >CAK8531408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107409679:107409927:1 gene:gene-LATHSAT_LOCUS1202 transcript:rna-LATHSAT_LOCUS1202 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVRRGGQIWVCIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFIFSK >CAK8567348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507275628:507279676:-1 gene:gene-LATHSAT_LOCUS20412 transcript:rna-LATHSAT_LOCUS20412 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPLTAMLLWHVIACLLVSNLASVSSLNHTKARNEVIIAHHGAVATDDHRCSKIGMDAIVEGGHAVDAAVAAALCLGVVSPASSGIGGGSFMLLSLANGVAKAFDMRETAPLLASKDMYGGNSTLKAKGGLSVAVPGELAGLHKAWKQHGKLPWERLVKPAEILALRGFKISPYLRMQMEKSESDILNDNGLSSVFAPHGKLLKAGEICYNKKLAETLGAISKFGPQVLYGGIIGVKLVKDVRKAGGILTIKDLRSYTVKQKEPISIDFLGLKILGMPPPSGGPPLMLLLNILAQYELPSGLSGALGIHREIEALKHVFAVRMNLGDPDFVNITDVLSDMLSTKFARALRKDINDNRTYNSSHYGGKWNQINDHGTSHLSIIDFERNAVSMTSTVNEYFGAKILSPSTGIVLNNEMTDFSMPMNDSKDVPPPAPANFVEPGKRPLSSMSPTIALKNGKVKAVVGASGGIMIIAATSEVLLNHFVKGMDPFSSVTAPRVYHQLIPNVVYYENWTTVNGDHFELSADIRASLRSKGHVLQGLAGGTICQLIVSDNSEHSRMNKGIGKLVAVSDPRKGGFPAGY >CAK8540857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25995522:25996637:1 gene:gene-LATHSAT_LOCUS9820 transcript:rna-LATHSAT_LOCUS9820 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMNTQTLILNSTSPLTSLSLTRIITIYAPNSVNKVVGVGTRRRRKWNMAVSKPVTTTTRFDDNNVVDSASTVLRNFYEGINRHDVDSVQFLISENCVYEDLVFPSPFVGRKKIIEFFKKFTESTSIDLQFVIDDLSTEDSSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGKRQITYGRDSVEPAIKPGDATLVLIKGVTWLLQRFPQLADRF >CAK8540858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25995531:25996637:1 gene:gene-LATHSAT_LOCUS9820 transcript:rna-LATHSAT_LOCUS9820-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQTLILNSTSPLTSLSLTRIITIYAPNSVNKVVGVGTRRRRKWNMAVSKPVTTTTRFDDNNVVDSASTVLRNFYEGINRHDVDSVQFLISENCVYEDLVFPSPFVGRKKIIEFFKKFTESTSIDLQFVIDDLSTEDSSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGKRQITYGRDSVEPAIKPGDATLVLIKGVTWLLQRFPQLADRF >CAK8560095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7372240:7376846:1 gene:gene-LATHSAT_LOCUS13807 transcript:rna-LATHSAT_LOCUS13807 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSTPQFLYDVFLNFRGEDDRRSFVPQLYKSLSDSGIQTFLDDENLKKGTDLKPELLRAIEASRISIVVFSKSYITSGWCLKELVHIMNCRKTNGQVVVPIFYFVDPADVRRQMDGYSKALQATAKTRFSGGKRPEHVLSKWMTVLAEAANISGWHTKNFSNEVELISEIVKDVSRKLKSRSLKITEFPVGLDTRVQHLIQFIENHSSKVCLIGIWGMGGSGKTTIARAIYNKFNGKFLDHRFIGDIREVCERGVEEINHLQELLLSNVLKTNKKIDSTLNGITTIEKSFMGKKALVVLDDVSTIEQVEALCGNCKCFASGSVLIVTSRDLRILKLLEVDRIYSIKEMDESESLELFCWHVFREPRPKDDFTELSRSIVAYCGGLPLALEVIGSYLCDRPRQHWIYLLSKLKRSPDDKVQDKLQISYEGLEDDLQKDIFLDICCFFIGKDRAHVSEILDGCGYHSDVGIPVLIERSLLKVEKNNKLGMHSLLRDMGREIVRKESKKEPEKRSRLWVHKDAHKVLTDNSGTKTVEGFVLNSQSTGNLCFKTDTFKEMKNLRLLKLHRVDLIGDFGHLSQELRWLHWQGFTGECIPGDFYLGNLVVFELKHSNIKQVWNETKLMEKLKILNLSHSKYLRSTPDFSKLPNLEKLIMKDCPSLSVVHQSIGDLRNLLLINFKDCTSLSNLPKKINQLKSLKTLILSGCSKIDKLEEGIVQMESLTTLLLKDTGVKEEMYSVVRSKSIGYISLCGYEGLPFDVFPSVIWSWMSPTMALSLASTNVQNNNSGFLTPIVRSLSQLRTVWIQCHSRIQLAQELQRILHYQHDINCTDLEELLVSNLSLKSHLIGMGNCHTVMDTLCKRIPQELTTNDSSNFFLPGGSYPYWLAYTSDGPSALFHIPKDIDCLMEGIVLCVVYSSKPETMAVECLTSVLIINYTKCTIHIYKRDTVVSFIDEDWKNVTSNLEPGDDVQIHVVLGHGLIVRKTTVCLIYGKSVIMEVDSSIKMETNVQPLPEVEMQASSNVTVPKANESLFSGFGKRMGAPEVDMQASSNVIVPKANKSLFSGIRRRMDAYLNLNNF >CAK8539567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516469242:516476463:-1 gene:gene-LATHSAT_LOCUS8650 transcript:rna-LATHSAT_LOCUS8650 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAVYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVKQIGGCSFFYMRISNVYIVIVVSTNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASERPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGVVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFTVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEIELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >CAK8538284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475051107:475051421:-1 gene:gene-LATHSAT_LOCUS7499 transcript:rna-LATHSAT_LOCUS7499 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFHHLSLLCILCVAIILLSTASANFQDPPGHTCIHKCKDITDGVCYKSCYAMGFKSGGDCFSDNPDNSVCCCIKNK >CAK8579047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670069495:670071766:1 gene:gene-LATHSAT_LOCUS31021 transcript:rna-LATHSAT_LOCUS31021 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKSVSRFEAAQKAINSIGLGFDITVDINFDNCKSIGSPLIFINKELQQCRDLEIPGGVTVPNVPNSIKCVRGESIRIHLDVLTSHQMLGHFNHEMRLVGEIASGHFCASFGLSCRCIKHLASIKSLAYDGWFIKRYAVELERYHGELRDHVKEAVPSSWDPAALARFIERFGTHVIVGVSMGGKDVIYVRQDVSSDLNDPASIQKLLKETADMKFMDSAENRRPASEDLSNNKENLFIIHRRKGGSSKEMYHSEWLDTIDSQPDVISMHLLPLTSLLLGIRGSGFVSHAINLYLRYKPPMEDLHQFFEFQLPRQWAPILSEIRLGSYWKHQVNTWLRFSIFGPKLYINTIPVDVGNRPVIGLRLQLEGRRSNRLAIHLQHLTSLPKSLPLADNANAYLSCDSYSCNFHKKVKWSCFSYVCTAPVESDDSLSIVTGAQLQVEKKCLLLRLRFSKVIGATLQKLPEWDGSSNLGGFSNKSRGVLAFLPKEGQRGHPKPGDVTIGSTTYSAALPAPVNTPKLQRYVDLMERMRGPEDSPGYWVVSGARLSVENGKIYLVVKYSLLNFVIQCETEVS >CAK8542427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512458369:512459986:1 gene:gene-LATHSAT_LOCUS11267 transcript:rna-LATHSAT_LOCUS11267 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYISELPDDVLSYILTRISMKDLLKTSVLSKRWCELWTLRKDLHFDIFNVFGETEEELVQTGYVIDVPDGPTMKTLANLNTTRDEFVKRVDQFVNNFHGTKIASFFVNFYLNSDQSTIIDRWITFAIAREVEIIDLLFLGLPYARNHLRKFYKFPFHLLLETNTSTLKHLSLEGCLICNPTNYDFRPLKNLRFLSLNTVKLDEIFIENLLFNCGFLEELHLLSCCFQASMPKIISSSLLKLKIIDVYIVPNIGMVDIDLTLLDCPKLNSLDYLGHGLGTMSINTPMLKYINFPINFPIRDEEHLNTFSLCATFLQLETMRVDITSTVIASLNINQPFKHLKELNLILLLNFDIPMNVNYDLLWISNLLQASPLLQKLSAMFTYPEFLEKQKDIRDIEIFHDEIKVIEFRGFLGNWFEIEFVINVLKYVEKLEQIVLTPCWKEDDSMEEWISDPVCFQSARERISEKLQGEQVLRPEKLVLL >CAK8566406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420261274:420264904:1 gene:gene-LATHSAT_LOCUS19539 transcript:rna-LATHSAT_LOCUS19539 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATFSNSRASSHAIPLSHAYRLQSPRFSIHLPFKRLGLNRNRLIASCSSESDDSASINGIQFTPNKLFVQEAIGAEYGEGFETFRADGPLKVDVDYLNDNLQEGILQRIRYAVKPDEAYGLIFCWDNVVADTRALKRKVWEQLASEEGKDIPKASEIERLMLRTGVDHVLNRYFLSNKEENELDRLKLRFSQIFYDNLLKLERPMEGLKDWLEAVYNARIPCAVVSSLDRKNVVEALERMGLNKYFQAIVTEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAYPAYDLGQADLAVANFSDLSVINLRRLFANKGSTFMDRQKQQIVDQSPPKRTLGIDTIF >CAK8539514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514676232:514677856:-1 gene:gene-LATHSAT_LOCUS8600 transcript:rna-LATHSAT_LOCUS8600 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSCPSEPPDVGNWFSSYEYQSPNLDSNFTLEESCFGKRKSQHVDEEQEEAVREKLVQCNGTCVKDNNHNEDPCFTKNMDSCSSCSLLSEPPNIRNWFSSYNYESSAFDTCSLLNDEEVSDRNKCVEERFDFKVTSKDETKSEIVQPEICVKHNSSFDDTAGMKKNINTANTPHLEKILQPCMQVKALQHNLGSTKHNETVNRNHGSPGCNGEAHLMSLDTHAGAMRTPNPAQKHGTEEAKSKVEIQAEKLDINRSLSKSFLAGSSACTGNKENDGFVTTRKKSITRENDENSWKKPEKKTLQCSSSTGINPQTCEKRNVAKRKALTETTNLQQSNAIEITGKWQCPQKRKPDKGPALKQLRLERWVHKVEKVSPQ >CAK8577398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560810953:560814113:1 gene:gene-LATHSAT_LOCUS29511 transcript:rna-LATHSAT_LOCUS29511 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPFSLIRNYSQLVSTRAFLVKANSYNFSNSVLPLHSRRLRFSACAANNEQAAARAAAINADSGAPTIFDKIINKEIPSSIVYEDEKVLAFRDISPQAPVHVLIIPKFRDGLTELGKADARHGEILGQLLYAAKIVAEKEGIADGFRVVINSGASACQSVYHLHLHVLGGRQMNWPPG >CAK8572409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534863166:534864023:1 gene:gene-LATHSAT_LOCUS24980 transcript:rna-LATHSAT_LOCUS24980 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLYFQVILSLSFSFIFHLFNFKKHFHSFFTTNNNNHKCPNPNPKRPVSSNPKRPVSSNPKLLISLPPRPTNQDLEQLMVCTESIECESMQLVDNYDYSIIDENNEGDDDDEDEYCCRNTRVDEEEEEDVNGNNTFPPPLSSLDGNGLPSYILLPVRMNGRLQLNKMNVKRPKTVYADREDGRLRLFLVTDQCCIEDDDVEPEEEEMVEESRYEYEEDDRVREWNNNNNNNNNNNNNNNNNNNNNNNNSEKYRKGYQNCHQQMVNHHIHGSHGNLLMYGVSIV >CAK8571371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:392763603:392765324:-1 gene:gene-LATHSAT_LOCUS24038 transcript:rna-LATHSAT_LOCUS24038 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESCIRLLYTCRNVFHIRQVHANVLINGTFNDLILANKLLYFYVQHKAISDAHHLFDEMTTRDPTTWSVMVGGFAKLGDYSNCYTTFREILRSGVTPDNYSLPFVIRTCRDRKDFQMGQMIHGVVLKHGLQLDHFVCATLVDMYAKCMVIEDARKLFDVMLSKDLVSWTVMIGAYADCDAYESLVLFDRMREEGFVPDKIAMVTVVNACAKLGAMHRARFVNEYICTNGLSLDVILGTAMIDMYAKCGCVESAREVFDRMKEKNVISWSAMIAAYGYHGKGKEALDLFHMMLSCGILPNRITFVSVLYACSHAGLTEEGIRFFDSMWEDYAVRPDVKHYTCLVDLLGRAGRLDEAVKLIEKMTVEKDERLWSALLGACRIHGNMELAEKAANSLLELQPQNPGHYVLLSNIYAKAGKFEKVAEFRDMMTQKKLKKVPGWTWIEVDNKTYQFGVGDRSHPQSNDIYEMLTSVIKKLEIAGYVPDTEFVLQDVEEEVKKEMLYTHSEKLAISFGLIAIPKDDPIRISKNLRVCGDCHTFCKMVSDIMRRSIIVRDANRFHHFNEGACSCGDYW >CAK8531319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100534995:100535264:-1 gene:gene-LATHSAT_LOCUS1121 transcript:rna-LATHSAT_LOCUS1121 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNGQIKNFTSNFGPQHPAAHGVSGSVLEMNGEVVERAEPHIGSLQCGMKPNKYFKCVKELVAFFHLSLDLSQLGVFKVIKDDQVVK >CAK8560489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21974197:21976570:1 gene:gene-LATHSAT_LOCUS14157 transcript:rna-LATHSAT_LOCUS14157 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQRYGNVKSIVKRSKKYIDEALYLKLFKDGGSELNVRQQLNQFIKSGKRVYKWEVGDTLKKLRQRKLYQPALKLSETMAKRNMIKTVSDQAIHIDLVAKARGITAAENYFVNLPESTKNHLCYGALLNCYCKELLTDKAEGVVEKMKDLGFPLSSMSYNSLMTLYTKVGQPEKIPSIIQEMKASNIMPDSYTYNIWMRALAAVNDISGVERVIDEMKRDGRVTGDWTTYSNLASIYVDAGLFEKAEGALKELEKRNAHKDLSAYQFLITLYGRIGKVYEVYRVWRSLRLAFPKTANISYLNMIQVLVNLKDLPGAEKCFREWESSCTTYDIRVVNALIGAYTKSDMLEKAEELKERARRRGAKPNAKTWEIFLTYHLRKGDFKLAVDCLSEAISIGRGNGEKWVPSSETIGVMMKHLEQEKDVDGAEEYIEILKKSVDSVGVEVFESLIRTYAAAGRTSSAMQRRLKMENVSVNEDTQKLLEAISVE >CAK8564315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667662726:667667718:1 gene:gene-LATHSAT_LOCUS17632 transcript:rna-LATHSAT_LOCUS17632-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSGSDDFAAYLNEALDENSSSSSSDEEAEGKNEFEDVRNKRRKFESTEETEGSTSEGIVKHELVFAESSVKVNVCTHPGSFGDMCICCGQKLDEESGVTFGYIHKGLRLHDEEISRLRNTDMKKFLYSKKLYLVLDLDHTLLNTTILNHLSPEESHLINETDSLEDVSKGSLFKLEHMNMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPQGKYFIAKVISRDDGTQKHQKGLDIVFGQESAVLILDDTEHAWMNHKNNLILMERYHFFASSCRQFGFNCKSLAETKSDENEIDGALAKILDVLKQIHSTFFDKLQGDLVDRDVRQVLSSLRGEILSGCVIIFSRINHGALPTLQRVAQKMGATCLTELDPTVTHVVATDAGTEKSRWAVREKKFLVHPRWIEAANYFWQKQPEENFGLKKTTNNS >CAK8564313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667662726:667667718:1 gene:gene-LATHSAT_LOCUS17632 transcript:rna-LATHSAT_LOCUS17632 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSGSDDFAAYLNEALDENSSSSSSDEEAEGKNEFEDVSRNKRRKFESTEETEGSTSEGIVKHELESSVKVNVCTHPGSFGDMCICCGQKLDEESGVTFGYIHKGLRLHDEEISRLRNTDMKKFLYSKKLYLVLDLDHTLLNTTILNHLSPEESHLINETDSLEDVSKGSLFKLEHMNMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPQGKYFIAKVISRDDGTQKHQKGLDIVFGQESAVLILDDTEHAWMNHKNNLILMERYHFFASSCRQFGFNCKSLAETKSDENEIDGALAKILDVLKQIHSTFFDKLQGDLVDRDVRQVLSSLRGEILSGCVIIFSRINHGALPTLQRVAQKMGATCLTELDPTVTHVVATDAGTEKSRWAVREKKFLVHPRWIEAANYFWQKQPEENFGLKKTTNNS >CAK8564314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667662726:667667718:1 gene:gene-LATHSAT_LOCUS17632 transcript:rna-LATHSAT_LOCUS17632-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSGSDDFAAYLNEALDENSSSSSSDEEAEGKNEFEDVRNKRRKFESTEETEGSTSEGIVKHELESSVKVNVCTHPGSFGDMCICCGQKLDEESGVTFGYIHKGLRLHDEEISRLRNTDMKKFLYSKKLYLVLDLDHTLLNTTILNHLSPEESHLINETDSLEDVSKGSLFKLEHMNMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPQGKYFIAKVISRDDGTQKHQKGLDIVFGQESAVLILDDTEHAWMNHKNNLILMERYHFFASSCRQFGFNCKSLAETKSDENEIDGALAKILDVLKQIHSTFFDKLQGDLVDRDVRQVLSSLRGEILSGCVIIFSRINHGALPTLQRVAQKMGATCLTELDPTVTHVVATDAGTEKSRWAVREKKFLVHPRWIEAANYFWQKQPEENFGLKKTTNNS >CAK8543456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609028287:609029048:-1 gene:gene-LATHSAT_LOCUS12212 transcript:rna-LATHSAT_LOCUS12212 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVISIGTQLPKENEWVPNCDDELKPKIGQVFDTLEEGGEFYKKYAHHVEFSVRSSSETKDKNGVKRCKYFVFSKQGYFPMKTNEMEQSESSIKARRRSLTREGCNANVVFKRVEEGKYELVRFHEIHTHALVSPMKRPFLRSARKVNPIHKNLLLAYSKANIGT >CAK8540519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9750077:9751514:-1 gene:gene-LATHSAT_LOCUS9519 transcript:rna-LATHSAT_LOCUS9519 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFNTPRLVLNRFLAKSQREGQGAIVRRGIGRTELKNLDPFLLLDHFSVSPPGGFPDHPHRGFETVTYVLEGGITHEDNAGHKGTIRSGDIQWMTAGRGIIHSEMPAEQNNNGLQLWINLSANEKMIAPNYQEILSEDIPSGEKDGVEVRVIAGESMGINSPVYTRTPTMYLDFTMNPGTQMNQTIPESWNSFAYIIEGEGVFGSPNSSPILAHHVIVFTQGDGVSVWNKNSSKPLRFLLIGGQPLNEPVAQYGPFVMNTQSEIEKTIEDYHHGRNGFEIKLN >CAK8536966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46021505:46021705:-1 gene:gene-LATHSAT_LOCUS6288 transcript:rna-LATHSAT_LOCUS6288 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPDFNIDDILDDQPGPSSRQTNPPTTHNNEDLSSDSSQSSANERLGRGYRQRRAPRCGTGGHLR >CAK8579549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707090550:707090804:1 gene:gene-LATHSAT_LOCUS31487 transcript:rna-LATHSAT_LOCUS31487 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQTKLTVSTSPKSDSGIGDLIPKGQQCLCSPTTHEGSFRCRFHRSGTFSSSTPPSWIKRTKSMPPNHKSVVNVSLSVSPQ >CAK8535621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870295247:870295996:-1 gene:gene-LATHSAT_LOCUS5064 transcript:rna-LATHSAT_LOCUS5064 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSWICTNNSKTVLLRIVHPGGRVELHDRPVTAAEIMCRNPRCYVAHPFVFQQPWAVVEPDTVLMLGQKFYVVPISTIRKLQGLSPRNSPSPAREMTIGTLLDETRNTQSQSHTAKNEDDGMISGCCIFKKKSVTKKSNHHKQNSTNESKISETITDVRNQSIDVNEKNGGSSYDNFIVRLLNGGVAKAKASDATKETRTSPSSTNLRDNNALNRKRKTDLAGKRGSPKRAWSSDYWQPSLDSITEE >CAK8534761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:764856963:764858289:-1 gene:gene-LATHSAT_LOCUS4276 transcript:rna-LATHSAT_LOCUS4276 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARHLHLFSPQLITNREMMNPVETNVNNIYNNMGYSSVPPSSIKTAATEALILPPYNSITADSLPQKTAMNSDSGLTYNVPLRKRSRDSRDYSNSINFAFQNSYLPPATAPQNNKSCASSSFSFLGEDISLQIQRQQLDIDQLIAQQMEKVKFEIEEKRKRQAMRLIQAIDMSVSKRLKAKEEEIEKIARTNWALEERVKSLCMENQIWRDLAQSNEATANALRSNLEQLLAQRVGEDNGDTISPVGRHAALIDDAESCCDSNESINDEENVVEWRSIGDNDNSNGRNIEAMKMIRNCGGGGGGGDSGGSNFVNSMKLCSNCGKDESCVLILPCRHLCLCAVCGSNLHICPICKSFKTASIHVNMS >CAK8570326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36985056:36988330:-1 gene:gene-LATHSAT_LOCUS23083 transcript:rna-LATHSAT_LOCUS23083 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVDVNVGLVDAMTDKKRWLWKRKSSEKSPGEAESSGSVSSERYSDEQEVSKESSNGSNHSPDVTSKAVTCADDVDDCSVINEQLPDEVESTHLPSTRIVNFGSLEMNKNGGNENISNGIEDDLSDRSRDMSEKLSAALVNVNAKEDLVKQHAKVAEEAIAGWEKAENEVAVLKKQLETVTLRNSALEDRVTHLDGALKECVRQLRQTREEHESNIQDAIAEKTHELESDNIKLESKLVELQNKLEASNAKSSIDRDMRQKVEWFEKENMALRHEILVQSEELKIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKSMASKALSVTDHKSIASSSFCVESLTESQSDSVERLTAVDCDNYKMSGSELNRCEPSVSDSWASALIAELHQFKNEKCCRQAPSDSVEIDLMDDFLEMERLVALPETKNESVVEESVVDDNQCVDKESALRAEFDIMNQQMDELKGKLEKVEADKAELEIALMKSEEFIEESQLQLREAEQKLEELQIELENAYKSNQKIENLLISMEADTQTLSSKVNSLEAEVDNEKAVSHEVAVKCKNLEEELEIKSSMLSLLEVEVEKERNTSHALEKELESKSSMLSLLEVEVEKERNMSHEFAIKCEGLEEALEGKCAKVELLEAEVVKERAVSDEVATKCKNLEEELEIKSAKVELLKAEVEKERAMSGEFAMKCRELEEEILRPTSSLYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTAGIPSSPSLIAHASGELWKMHSNDTFSPKRDSISSRLPDASSGLSLNKHEESSPLSSSPSNSSVALPNHASSERSRNGFAKFFSRTKSGIRLEI >CAK8570325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36985056:36988330:-1 gene:gene-LATHSAT_LOCUS23083 transcript:rna-LATHSAT_LOCUS23083-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVDVNVGLVDAMTDKKRWLWKRKSSEKSPGEAESSGSVSSERYSDEQQEVSKESSNGSNHSPDVTSKAVTCADDVDDCSVINEQLPDEVESTHLPSTRIVNFGSLEMNKNGGNENISNGIEDDLSDRSRDMSEKLSAALVNVNAKEDLVKQHAKVAEEAIAGWEKAENEVAVLKKQLETVTLRNSALEDRVTHLDGALKECVRQLRQTREEHESNIQDAIAEKTHELESDNIKLESKLVELQNKLEASNAKSSIDRDMRQKVEWFEKENMALRHEILVQSEELKIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKSMASKALSVTDHKSIASSSFCVESLTESQSDSVERLTAVDCDNYKMSGSELNRCEPSVSDSWASALIAELHQFKNEKCCRQAPSDSVEIDLMDDFLEMERLVALPETKNESVVEESVVDDNQCVDKESALRAEFDIMNQQMDELKGKLEKVEADKAELEIALMKSEEFIEESQLQLREAEQKLEELQIELENAYKSNQKIENLLISMEADTQTLSSKVNSLEAEVDNEKAVSHEVAVKCKNLEEELEIKSSMLSLLEVEVEKERNTSHALEKELESKSSMLSLLEVEVEKERNMSHEFAIKCEGLEEALEGKCAKVELLEAEVVKERAVSDEVATKCKNLEEELEIKSAKVELLKAEVEKERAMSGEFAMKCRELEEEILRPTSSLYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTAGIPSSPSLIAHASGELWKMHSNDTFSPKRDSISSRLPDASSGLSLNKHEESSPLSSSPSNSSVALPNHASSERSRNGFAKFFSRTKSGIRLEI >CAK8570357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39122052:39122501:1 gene:gene-LATHSAT_LOCUS23110 transcript:rna-LATHSAT_LOCUS23110 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSKLQLRILYWILLLVCVTNTLPIKALEARKLVEKCTPCGDNPISSPPPIIYNSPPPIVYYPSPPPPSPPPPIVYYSPPPPSPKKSPSSNCPPPPSAPYIYMTGPPGNLYPVDVNYSGSGATPAGRGSFAGFLPLLVCLLSFLAFW >CAK8571799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:475089490:475098324:1 gene:gene-LATHSAT_LOCUS24428 transcript:rna-LATHSAT_LOCUS24428 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYVKQLLRNIYCTKYFLNKEEPYRNYMHSVFNRLQLQRSRADKVEKEKLKEEQEGCHFSSKSKVKKADDNNRISKYSSDEEDSSDSKWKLELAWLTKAVEPALQFCRWALPTGDRIGNKPPPNNRSLMEIISFIKRSKIGIQDWSLSDLTIGLYLIYLRQASTHPFEDIKGIQISSESIVQDLIYHIELAKGAYKDNPTVLARNSMLRESNIIKFVNNSSVMRPAYYIGVDTRKKLVILGIRGTHALYDLITDIVSSSDGEVTFEGYSTHFGTAECARWFLHHEIGNIRKYLAKHEGYRLRLVGHSLGGAIASLLAIMIRRKSAKELGFSPDIVSTVAYGTPPCVSKELTESCAGYVTTVVMQDDIIPRLSVASLTRLRNEILQTDWTSVIEKEDWKRFLDLVTNAKQAVSSVQDVAHKLADYANFRGNKTPSVDPIGKELPVTGEAPLPPKAAKENSVVVKVEETKPAVSEELFIPGTVYYLKRNSVSQNDVEKEIYTLLKRQSGEHFQRIILSGNFLTDHRCDSHYFALRDVLKGLPWDGEEGIFR >CAK8568400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602843689:602847463:1 gene:gene-LATHSAT_LOCUS21359 transcript:rna-LATHSAT_LOCUS21359 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSYALGWIICSIITIVAFYSFVFNRKATSQSTSENITTATGECRSFNPDGDADIIIVGAGVAGSALAYTLGKDGRRVLVIERDLNEPDRIVGELLQPGGYLKLIELGLEDCVEKIDAQQVFGYALFKDGKHTRLSYPLEKFHSDIAGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEQGTIRGVQYKTKDAQELSACAPLTIVCDGCFSNLRRTLCNPKVDVPSCFVGLVLENCELPCANHGHVILGDPSPVLFYPISSTEIRCLVDVPGQKVPSISNGEMAKYLKTVVAPQVPPELHDAFIAAVDKGNIRTMPNRSMPADPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRDLNDAPSLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDPARKEMRQACFDYLSLGGLFSEGPVSLLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSPKRIWSGVRLIASASGIILPIIKAEGVRQMFFPATVPAYYRTPPAA >CAK8561809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:386890276:386891061:1 gene:gene-LATHSAT_LOCUS15364 transcript:rna-LATHSAT_LOCUS15364 gene_biotype:protein_coding transcript_biotype:protein_coding METDTVSFHPSLRSGAALLSRRMFPTCRTFIHRQPRSDPDIAAQLDRMLAIIDVLEAESLRVGPGNNLPDHYAVLQLKPSDTNTRNRDFVRQRFKNLVRQLDPNKNKFPLAEEALMRVREAWQILSDPVQLDRFKREIRGEVEVVSPASFWTMCPYCWFLHEYEKKYEDCTLRCGNCRRTFHGTPVKPPEQESMVEGKEQYYCYHMSLPLRYPVDDNCSFEFGGNGGRKMRIKTVANRSRVKAVVVPDSESESDPEMEVEL >CAK8538087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462125919:462128580:1 gene:gene-LATHSAT_LOCUS7323 transcript:rna-LATHSAT_LOCUS7323 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPFFTTTLTFFLIITKATSHYSSSSSQSPPSQQTSPSFTEWRSARATYYAAADPRDTVGGACGYGDLTKAGYGMATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSIIVTATNFCAPNYGFTSEGGGHCNPPNKHFVLPIDAFEKIAIWKAGNMPLQYRRIKCRREGGVRFTVTGSGIFISVLISNVAGHGDIVAVKVKGSRTGWLSMGRNWGQNWHINALLQNQPLSFEVTSSDGKTVTAYNVAPKDWSFGQTFEGKQFDY >CAK8534008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681735858:681736169:1 gene:gene-LATHSAT_LOCUS3584 transcript:rna-LATHSAT_LOCUS3584 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8544376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680693503:680693643:-1 gene:gene-LATHSAT_LOCUS13062 transcript:rna-LATHSAT_LOCUS13062 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSNEGQAIG >CAK8573981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:651691868:651692326:-1 gene:gene-LATHSAT_LOCUS26374 transcript:rna-LATHSAT_LOCUS26374 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLAPMSNWNVPDQFLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGVNDEALEECKFCKSLRYQVRSKAINRKQKHITVKSVFYLPIIPRLKILFASMHNTSQMTWHHTNKTSSDITRHPFDGEA >CAK8563687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:625034997:625035758:1 gene:gene-LATHSAT_LOCUS17070 transcript:rna-LATHSAT_LOCUS17070 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAKKEINKLKKQKNASPINIVKTLPTELLVEIVGKVASHSMADLCRMKLSCKDFLNASEEGYVYQHASMENFALIPLPWFRDEKETSFLRRCRENDNLEILYREGMVQYFSTTSMVNLGFENLKKAALEGHHEAKYVYSMLLMANCEENEEGRKLGFDLFGELKNSTCNSTVARCRKRVKSFIKSMWVKNHVVVQNLSLCCSETCGGTEKLKKHSTWCDDEVDNDDIGVACKYCEGNYELGLFANIFSI >CAK8537617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407792251:407793393:-1 gene:gene-LATHSAT_LOCUS6888 transcript:rna-LATHSAT_LOCUS6888 gene_biotype:protein_coding transcript_biotype:protein_coding MGENCRTWTHQVQFSESPNSNSFCAPFDMGKQNAAAAAMNPGVDMNTISRNEAVPPAYTSSALPYMQSGHLNEPHGWFYCLPRFRQGFTCPPNFISEDKLPPASHSKGFKEETTQNGESGFPQKQFLVIDQTGDQTTLIYSSRFGRPVECLGSWDSKFHGCNNLNANNEPSLRRNVNHVVGVEPTFDYKVDENENHGIEIESEMHEDTEEINALLYSDSEGYSTEDDEVTSTGHSPSTMTTQDNQEPYGVGGIAEEVASSSPGKTKKRKLFDVAYDDVQFMDTANSVKRNRPSDLNQNDGDDDDAESRYSGSNYGQGSGKMGSLADTKKMRKEKIQNVLSILQCIVPNGKDKDPIQLLDDAIHCLNSLKLKARALGLKTL >CAK8536338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932092361:932092843:-1 gene:gene-LATHSAT_LOCUS5715 transcript:rna-LATHSAT_LOCUS5715 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKRKVFANPPSTNDEVQKSKASWWILEPLKTSLKHVWIKLPKVNVLVLILPKKDDNGGIMRKILLMHLMSGERANNWKTLYMENL >CAK8537376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:314989701:314990012:-1 gene:gene-LATHSAT_LOCUS6671 transcript:rna-LATHSAT_LOCUS6671 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLSLPSAMASLGWGPGVVILILSWLITLNTLWQMVEMHEMVPGKRFDTYHELGQEAFGEKLRLWIVVPQQLICEVGVDIVYMLLPKKIPANSQFNISE >CAK8541628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:313577583:313577933:-1 gene:gene-LATHSAT_LOCUS10533 transcript:rna-LATHSAT_LOCUS10533 gene_biotype:protein_coding transcript_biotype:protein_coding MDENQSATSVQNGGYLKSNIFTLTIPEIDGGSNLSIKMHWSQKVECSNGEYSLNVPFTFPDFVNPIGKRMSKREKIQLNMDVVA >CAK8577818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590735993:590736553:-1 gene:gene-LATHSAT_LOCUS29889 transcript:rna-LATHSAT_LOCUS29889 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGQVFMDGFNKGMAEEVTKDNTHNVMKFDREIFYFMVHEKINQNDDRSTGTFSINLRKRWCDCGKFQAFHLPCSHVIATCSSICRDYSIHISNVFKILNVFKVYKESFLGLPHKENWPRYEGFTLCHDESMKRKKKKGRLTSTWIRTEMDNVEKVNRRYGICREIGHMSRKCTNVVGPSNQPV >CAK8564363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669992626:669996096:1 gene:gene-LATHSAT_LOCUS17675 transcript:rna-LATHSAT_LOCUS17675 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMEIALLIPNLWWWDKINNSVIWQTSIFYFLSASYALVSLVALFQLVRIELRVPEYGWTTQKIFHLMNFIVNGVRALVFGLHKLVFLLHPKVFILVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLKMIYISINAALYIIQIFIWIYLWIDDNSVVEFFGKTFVAGVSFVAALGFLLYGGRLFCMLRHFPIESKGRRKKLHEVGSVTAICFTCFLIRCVMGILSAFDSDASLDVLDHPILDLVYYMLVEILPSALVLYILRKLPPRRISAQYHPIH >CAK8570741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106001904:106005291:-1 gene:gene-LATHSAT_LOCUS23471 transcript:rna-LATHSAT_LOCUS23471 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHVLSLSANTTLLRQALPVLVRPYNKSTLLFPTTAPNRISFLRSTSQTALFRDISSIPKRHDTLLFTTTSLRKFTSRATHVNDAGSFDLPLIQSMQNKIKEQLNAESVSVKDAYGDGRHVSIDVISTAFEGESAVNRQRMVYKAIWEELQSAVHAVDQMTTQTPAEAAGK >CAK8537671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:419361334:419362954:1 gene:gene-LATHSAT_LOCUS6937 transcript:rna-LATHSAT_LOCUS6937 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAITLVAFLFIPFTYFLFTFFSNPKQKNNKNKKPPGPRALPIIGNLHLLGKLPHRALQSLSKKYGPIMSMQFGQVPAVVISSSKSAELFLKTHDLVFASRPKTQATDILYYGSQGLVFSEYGPYWRNVRKLVILKLLSASKVEKFAPIRKQELGVLVKSLEKAALVGEVVNVSEAVENLIEDIVYKMMLGRSKYEQFDIKKLVKESVVLLGAFNLADFVPWLAAFDLQGIKRACKKVGRAIDDALEVIMTDHEQVTNVDKNRDEDFIDILLSIVNQTFDQESESKDAIDRSNIKAILLDLLLATLDTSSYSIEWTLSELIRHPRVMKILQDEIQNEVGNKRMVEEKDVKKFNYLDMVIDESLRLHSIAPLLVPRESRENVTIEGYFIKEKTRVIVNAWAIGRDPNVWSNNVEEFYPERFIDKKMNYQGNEFESIPFGSGRKGCPGIQMGLIIVKFVIAQLVHCFNWELPGDINPSNLNMEETFGLSAPRAQHLHAIPRYRLVDAELE >CAK8573584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:622145939:622147103:1 gene:gene-LATHSAT_LOCUS26017 transcript:rna-LATHSAT_LOCUS26017 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAEKTLPIIFFLLTIFSLTKGELHAHYYDQTCPQLEKVISETVLNASIHDPKVPARILRMFFHDCFIRGCDASILLDSTATNQAEKDGPPNISVRSFYVIDDAKAKLELACPGTVSCADILAILARDVVTMSGGPYWNVLKGRKDGRVSKASDTANLPAPTFTVNQLIQSFAKRGLGVKDMVTLSGGHTLGFSHCSSFEARLHNFSSLHYIDPRLDTEFALDLRKKCPKPNNNRNAGQFLDSTASVFDNDYYKQLLAGRGVFSSDQSLVGDYRTRWIVEAFARDQSLFFKEFAASMLKVGNLRGFDNGEVRLNCRIMN >CAK8534990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800773200:800775965:1 gene:gene-LATHSAT_LOCUS4478 transcript:rna-LATHSAT_LOCUS4478 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMKVFRSGKFSKSYKEVQSLDVTGDDREGTAVNESEDGTDWSTMLPDLLAEIIKRVDAAEDQWPHRQNVVACACVCKRWRDVTRETVRSPRDGRITFPSCLKQPGPRDLPHQCLIKRDKKTSTFYLYLSLTSSYTDKGKFLLAAKRYRCGTHIEYVISLDADDLSQASNAYVGKLSSDFLGTNFTIYDSRPPHNGAKPSCAKASRRIGSKQISPHVPQVPAGNFEVGSVAYKFNLLKSRGPRRMTCSLKSTVSSMGESSDDKSFDGHKTHSCSLKSTVSSMGEKSSDGKSYDGHKMHPCSLKSTVSSKGECSDDKSFADHMMPRKKPSVHTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLIATMDQSQPEGKGDQETVLLQFGKVGDDTFTMDYRQPLSAFHAFAICLTSFGTKLACE >CAK8530325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14521297:14523677:1 gene:gene-LATHSAT_LOCUS196 transcript:rna-LATHSAT_LOCUS196 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPASISLPSDPFASKLLPLSRISVPAQITAKIELTQLISLRKSKCSSLKASMGSPGFTQQLKDNKLETLGEVKDGRDDIFNDLKDRFLSFKKNVYMKNAEQFESLAKVQVPKFMVIACADSRVCPSSILGFQPGEAFTIRNIANLVPTFESGPTEVNAALEFAVNTLLVENILVIGHSCCGGIRALMGMEDDGTTGFIKSWVVNAKNAKVKTKAVASNLDFDNQCKHCEKVSINHTLVNLLSYPWIKEKVEKEELSIHGGYYDFTDCSFEKWTLDYRGTELEENGRVATKDKVFWS >CAK8562892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554005331:554005756:-1 gene:gene-LATHSAT_LOCUS16354 transcript:rna-LATHSAT_LOCUS16354 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDMMEFERVLSYFDEDGDGKISPNELRSRMAKISGEFELKEAEIAIESLDSDGDGLLTLEDLITLMESGGEDEKLKDLKEAFEMYDNEGCGFITPKSLKRMLKKLGDSKSIDECKLMIGRFDLNGDGVLSFEEFRIMME >CAK8544760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704923908:704925260:1 gene:gene-LATHSAT_LOCUS13415 transcript:rna-LATHSAT_LOCUS13415 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRTKAINHNLLCRYLYSKNNSQTLFHTQSHSHHHPQNRKHIPFITEIKHAQTSEQALSLFHHYNQLGYKHYYPSYAALLYKLARSKTFQAVETILQHMKHNHIQCNETLFIALFQHYGPVKAIELFRSMNEFNCVRTLQSFNSLLNLLVDNCMFSEANDAFDRCYEMGFRPNTVTFNIMIKGWLVRGEWEKAWEVFDEMLQKKLQPSVVTYNSFIGFLSRKGDLDKAMALVEDMRRKGKRANGVTYALLMEGLCCLGKYEEAKKLMFDMAYRGCKPQVVNFSVLMNDLGKRGKINEAMVLLREMRKRRLKPDVVTYNVFVNYLCKEGKTAEAYKVLTEMQIGGCHPNAATYRMMLDGLCQNGDFEVGLNVLNAMLTSRHCPRSDTFNCLVVGLLKSGNIDGGCFVLEEMEKRKVDFGLESWETVIKYACSEDDNGSSMLMNIFSSQSN >CAK8538521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486022035:486029351:1 gene:gene-LATHSAT_LOCUS7709 transcript:rna-LATHSAT_LOCUS7709 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMLVHFASNSSNQSDQSLPTKIAKLEARMVGKASSTASQQQGWPSVSSAGKFSGAAEEMVEPSSTSSDSDDDNGGEFLIQANTRKRLKIKDDVNVDVFERVEAVTDGRQTSLEAVETKNNVDANRKKSGRGRGSSGSGRGRGSKSNDQTKTQNSLQASDVSHKDGRLKDQLHSDNSASLKEEIASLRAKVAGLEEDLRKAKQETSEHKNLCPQIEKELQELKDLEQQMKPKRTKIISDLLISVSKSERQEARLKVRQDSLRLGNVGVSRAGTVLTETWEEGQELKDLIAQLKQLTEKREAIERQRKLFKKKLSDKCDGIDADAALLEDVLIQDEIYKARLANIKREEETILRARERYDLEKGRLIREMKRIRDEDGSRFNSFQILNHRYALLNLLGKGGFSEVYKAFDLVDHRYVACKLHGLNEGWSEEKKQSYIRHAIREYNIHKTLIHPHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKTTSSLPEKEARVILVQIFQGLTYMNKRTLKIIHYDLKPGNVLFDELGSAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELNKTPLISSKVDVWSAGVLFYQMLFGKRPFGHDQTQEKILREDTIINARKVEFPSKPTVSNEAKDFIRRCLTYNQNERPDVLTISQDPYLTYSKKQ >CAK8531794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152190139:152190546:1 gene:gene-LATHSAT_LOCUS1560 transcript:rna-LATHSAT_LOCUS1560 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRYAGKKAVIVKNFDDGTRERAYGHALVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEIVTNDALLSKDKKVTALKEAKKRFEERFKTGKNRWFFTKLRF >CAK8576496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:483976158:483978061:-1 gene:gene-LATHSAT_LOCUS28679 transcript:rna-LATHSAT_LOCUS28679 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLPLQFLIVLIFFIMNGQGITARDLKAVLRDHKQVDSNEESYIAGYRTRIHGSNEPYLTSYANHDTKKSHYITGYRIHAQDSNEPYLTGYTNQDAKKTDYITGYTTHTQDSNEPCLTSYSNHATKKSDYITRYRNHAHDSNKPYLNGYGNHDAMKSDYITGYRTHAHDSNKPYLTNYANHDAMKSDYITGYRTHAQGSNEPYLTDSSNHEVKQPDYITNYRTQSHDSNKPYITNYDNHELNQPDYITGYRTHAHESNGPYITSYGKHETNQPYITQYRPTSLNLKRLASLNSKDLEGHASPNMDRIEAFKTGYFNLDDIHVGNVMTLQFPVQEVSPYLPKKEADSIPLSKSQLPSVLQLFSISEDSTQAKSMRGTLEECEGETTTGETKICANSLESMLEFVDTIIGSNTKHNILSTSKPSPTATPLQKYTILEVSHDIHAPKWVACHPLPYPYAIYYCHYISTGIKVFKVMLVGDDNGDKMEALGICHLDTSDWNPDHVVFRQLGIKAGKNTPVCHFFPINHLLWVPVEPTKPTI >CAK8534749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763396444:763397641:1 gene:gene-LATHSAT_LOCUS4265 transcript:rna-LATHSAT_LOCUS4265 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEHLQVGDHDDDDDDVPLPGFRFHPTDQELVTFYLQRKVDKKPISIDLIKQVDIYKYDPWDLPKSSVQGTEKEGYFFCKRGRKYKNSIRPNRVTGSGFWKATGIDKPIYSNGGEGNDSVGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSNIATNANHAKNDNDVSHEAEIWTLCRIFKRNVPPRKQQMPEVKPLANKHQIIHEKSSRMNNMETYINFGARHDHQRGNEHQHVDSYGRIDQMNQFHVDQMSSSVAHQPQQNSVAQSSNYWINQAANELQSFDNWDELGSVVKFSVDSPSF >CAK8542355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504026609:504029334:1 gene:gene-LATHSAT_LOCUS11200 transcript:rna-LATHSAT_LOCUS11200 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQCSSLVPCCVNSQVKTSDLEVPNDESEDRIETNNGPAFREFTFEQLNNATSGFNVENIVSEHGEKAPNVVYKGKMENQTRIVVKRFNKSAWPDARQFLEEARSVGQLRNERLANLLGCCCEDDERLLVSEYMPNETLAKHLFHWDAQPMKWAMRLRVVLHLAEALEYCTSNGRALYHDLNAYRVLFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDDDGTELVRLASRCLQYEPRERPNTKSLVTALAPLQKETEVPSHSLMGIQHSATIVASLTPLGDACSRKDLTAIHEVLESIGYKDDEGVANELSFQMWTDQMQDSLTSKQKGDAAFRHKDFKLAIECYTQFIDVGTMVSPTVHARRSLCYLINNQPQEAMNDAMQAQVISPLWHIASYLQSVSLAGLGMANESQVALSEGTTLEAKRNAPTGKK >CAK8530505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25826184:25826708:-1 gene:gene-LATHSAT_LOCUS363 transcript:rna-LATHSAT_LOCUS363 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGCGYDRGGRGSNNMLPQSESNIHLIGDWITVYKGRKMQQLPASSAKREDIASFSSNKTTSYKEVVVNNPPQEQMDYFENPVTEKIMYTDDEDMKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHLTKFCYQENGV >CAK8532610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:325640077:325641102:-1 gene:gene-LATHSAT_LOCUS2298 transcript:rna-LATHSAT_LOCUS2298 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHHHIPGTTHANLQFPPTTHLRHSTLIHTKPYLPPLTPKALLQWNRKPQLAGETPRVVVVTSGKGGVGKTTTTANIGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGECRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALTWLVEALKARGDRTGGNGNGNGNGSTGSHSPDFILIDCPAGIDAGFITAITPANEAVLITTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGAIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMQAVMVEEEPKRGFFSFFGG >CAK8541314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:117800633:117805602:-1 gene:gene-LATHSAT_LOCUS10243 transcript:rna-LATHSAT_LOCUS10243 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSSRTRSKNVTINGVSTRTRSKNVPLFGSISFHGSCSCSLKSKRRKSNEKDKGSSSKKRKPNEESFGLHEDVDVVCIDSDDEEGDQDLLQYFEDCVDGDSDEDWLEYRRKKKFEVDDIDVKDEELSGDIVENGGEGGLEDCVGVVNNEVESEKNGGIGVLVEKDESFDDEKCGLISGNLIIDSDGEDKGGLDDCVAVENEVESQKNGGIGVLVEKDESFDDEKCGLISGNLIIDSDGEDKGGLEDCVAVENEVESEKNGGIGVLVEKDESFDDEKCGSISENLIIDSDGEDKGGLEDCEKNSSEGCVGVENEVESEKNGGIGELGEKDESFDDEKCGLTSENPIIDLDLDDDNESDDDDDDEDDNESDDGDDESEESKEIETSDEEFLVDEVNEVSDSDNSTSSYVDDDDTGDKKEEKKKVWIWKKNEEEKKKGWEEEEEEEVEEKKKGLEEEEEEDVEEQKMGWEEEEEKTEEVEEEEEKVEEEEGVEKKKMGWEEVDLEMDWQEYDNVLAEMVREAKGQNSNNEEVKNHYSSSSELRHADDGGVRTSNASKKKGSFAPMEAPSSSSKLHETSETLTSKVVQMKVKNVSDNLNVGKSKSKDTVKPKESVIIEDERDSSIHAKQKKMKDSDKQKMMEMKGRDYKGRAYTHSGEKKESMDKNDLNQRGKSTVFKPKELRLVELLAEYYWGNKKNKTQNDSPVLELKDVIRHDTRPPPVCNETAPLIWSLKKVEKVQKTKSEEEEEALWDKMNTTLRELEAESMIGNLGSNEATQVKTGSPFSDCEHDIRLDEEIGVYCRRCGWVVTDIKDVSPQVIDKYPYEGSGQRASFGDANVSHFHDSHFNVSDHDSGTNLSYDERTVWDLIPDVKPTLYSHQQEGFEFIWNKLVGNIELQGLKNANLQREGGCIISHAPGTGKTKLTIMFLKAYLKVFPKCLPVIVAPAGLLLTWEDEFRKWDIGVPFHNLNNPELSVKEHDDVANATNWSNSRRHSLDETRMAKLISWFKETSILGISYSLFKVLADGEGECKDKKKNEHARGWEWESEDKKKNKQKRKENSYMRKALLEAPGLLVLDEGHTPRNARSNVWKVLSKAQTKKRILLSGTPFQNNFLELYNTFSIVKPSFPNTIPPKLKKFCKKHKEAAKEWSWEPVTGNSTTGNPSDDKIKHLKLLMDPFVHVYKGAILQKKLFGLRECVLRLKPDSFQKQILESIKSSQNILNFERKLILSSVHPSLFLECKLLEEEESVVDKERLEKLRLNPHVGVKTKFLVEFVNLCVAVNEKVLVFSQFTAPLHLIVEQLSSSFNWAEGKEILYIDGTIDLKEKQSLIHNFNDANSKAKIVLACTKACSEGISLVGASRVVLLDVLWNPSVERQAISRAYRIGQKRIVYTYHLLTHETTECIKYFKQAEKDRLSELVFSDKDGGKNRAVTFEDGILDLMLQHEKLKDMFVDCVVQPKERDLVESYYY >CAK8576601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497132480:497140103:-1 gene:gene-LATHSAT_LOCUS28776 transcript:rna-LATHSAT_LOCUS28776 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNAFSISASAYTHSSFPYSHSSSHLQQHALLQNRKIQILCKHGPSLKKLTLFNLNCRRRTTFICAAHGEAEEAFKKTVELDKLIDMLREANPRELEKLVAENILAFNPDFWIRLAARSDTCISEDDKKDYEELATTVMSVVDLMVHKTKERIESATDVLKGILKPVVNDEGEIPWPPRDPQALTLMEMEINRRGQVGQLDEGFLAEVSAQLRQAKEDGDKPGLEAMLQKVLQLYASNSLSKRSYAMKGEKVLKDEQFLETIIQAPEQEWNGILINGLTIGAGDVSPEELYAVLKKRRERTLIRTEGGSYQQRVLTEYLKGIETRAHEIVQVLQSQGKPQ >CAK8566598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440150693:440151994:1 gene:gene-LATHSAT_LOCUS19718 transcript:rna-LATHSAT_LOCUS19718 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEELMDDSDEEQHLQNECQFGSSSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALDNHDEYFQMRVDATGKMGLSPLQKCTSAIRMLAYGSPADIVDEYVRIGESTSIECLERFVKGVNVVFGAEYLRKPNNTDIEHLLKMGESRGFPSMLGSIDCMHWEWKNCPVAWKGQFCRGDNGKPTIMLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNVFNDILEGHAPTVQYTINGTPYNMGYYLADGIYPEWATFVKTISMPQGEKRKLFAQHQESARKDVERAFGVLQSRFAIIRGPARAWHMETLKHTIYACIILHNMIVEDERHTYEGHFDYSYDNVDDNNSTTETFNGPHPNLATRLQRRANIREKQVHRQLQGDLVEHIWERFGHEEDET >CAK8536212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917690505:917696891:1 gene:gene-LATHSAT_LOCUS5602 transcript:rna-LATHSAT_LOCUS5602 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFLNGERLVLLFFISRILYSLPLSLLSHGVSLSLIALAAFFLEISVDSSNSPFPLRTRPGASSGILLGAITLPSLILSKLIQSSRGFSLQLLQPQEIEYLTLQYWATSASVFSVLLFLALTIRRSHWGLRFSFSFAFLQAVLSIAALLTTSQIGLHPALKLSWVFFHGLASVKLIQHFMRTFPYCASIGEAFLVTAGIVLYFGDMLLLTIKKLCGLLVSSELDTTDEIKRSEINIIIQGLVLGLLLYPIALKYILQIWEWLINTIYSEQKRYYEIGRSLIFIASLGLALIVFVPLWMQFVQEFDMHPFFWVLSFVFSEPSKRLSLCIYWMCIIFVSVLRFYTISKNSKIERILLRKYYHLIAVLMFSPALIFQPKFLDLAFGAALAVFLILEIIRVWRIWPLGQPINQFMNAFTDHRDSDFLIVSHFSLLLGCALPIWLSSGYSDRPLAPFAGILSLGIGDTMASMVGYKYGVLRWSKTGKKTVEGTAAGITSVLAACSLLLPLLASAGYIFTQHWFSLLLAVTASGLLEAYTAQLDNAFIPLFFYSLLCL >CAK8564812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11188840:11190156:1 gene:gene-LATHSAT_LOCUS18077 transcript:rna-LATHSAT_LOCUS18077 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPYNKHLLAKQALVAVIKDSPFDTLSSSSSSNLTWTPDAVIQLLRSISRFSFQSHRSLGRQNNLFRHRSLPLSNLKPYSNPYLTNLGLRKAQEFLHWIHSHFNFLHTQSTSLEMAILITKSNNTKTLWTFLKQLSSSSLNTASNNLVTTASITCLIKLLGEQGLAKEALLTFYRMRQFGCKPDVQAYNALINALCSVGDFTKARHLLQQMELPGFHSPPDVFTYTVMISSYCRYGVKISGCRKAVRRRLYEANRLFRVMVFKGIVPDVVAYNALIDGCCKTYRVGRALELFEDMKNRGCVPNRVTYDSFIRYFSAVNEIDKAVEFLRDMQRLSHDGGNGIVGSCSSYTPIIHALCEAGRVVDAWSFLVELVDRGSVPREFTYKLVCDALRLKGEDGLLSGEVHQRIKDGVLERYRRTMKVKPVMTRKGYPELELFS >CAK8566330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407674770:407675864:1 gene:gene-LATHSAT_LOCUS19465 transcript:rna-LATHSAT_LOCUS19465 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMDLYNNTQLHLDQYSDLFRGELMEVLEPFIKSPSPNSTPSPSSSSSSYSLSEPSFSSFPSFYNDGCTTSMTHGFTNGLSNSQNFIGFVQQPSSSLLGLNHLTPSQINQIQAQIQHQNFTLQQHQQQKNLSNTLSFLSPKPIPMKHVGNTPTKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLKHQGSCIGVGEFGEYKPLPSSVDAKLQAICEGLAEMQKQGKSEKPKKTPAARSKAASKVVPSPETVEKSVVDDLKKGSDSGSGSEACCKVEASISPVMTESEGSEGSSPLSDLTFGDVGEPRWEGDSENFNLLKYPSYEIDWDSL >CAK8562020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426691602:426693682:1 gene:gene-LATHSAT_LOCUS15556 transcript:rna-LATHSAT_LOCUS15556 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLFRRYKKWNPVHPTYGTFYGMGFGVGCGVGWGPGFGPEVIGYVGAGCGVGFNVGVTLAGFGIGLPANVIFAAPYNAFLATKSSALKLARSGTQTAEDIWIRNLPLVSDFQREAGEKFSCFRQKYLSINGTDFFDVKNSLPLLTTSACKNIQAFHDQFFPHKGKD >CAK8567165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491977108:491977395:1 gene:gene-LATHSAT_LOCUS20240 transcript:rna-LATHSAT_LOCUS20240 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRAPPNVWMNPSLPDCVHCNQENCVKPTIAKKKKNINWLFLLLGQLFGCCNLVQLKYCCKHTNNHRTGVKDKVLYLTYLALCKQLDSTGPFNL >CAK8565075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33586529:33586798:-1 gene:gene-LATHSAT_LOCUS18315 transcript:rna-LATHSAT_LOCUS18315 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFVGKVQKSLSLCVPGKHALSYWNEDHATTTTELADDVMKGYFAVLARKGDETRRFIVGLDYLTDPAFVGLLDDAWEELWHCILHN >CAK8575716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:274547825:274548550:1 gene:gene-LATHSAT_LOCUS27955 transcript:rna-LATHSAT_LOCUS27955 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQSDAVSLICGAKTQFNPENTVGVLTMAGKGVRVLATPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFSGSPVKHEKKMLEMIGRKLKKNSVALDIVNFGEDDEGKTEKLEALLAAVNNNDSSHMVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAASAGGVSGYDFGVDPNLDPELALALRVSMEEERAR >CAK8541013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44001306:44003426:1 gene:gene-LATHSAT_LOCUS9966 transcript:rna-LATHSAT_LOCUS9966 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRISDASVQGDMKHWPFKVIAGPGEKPMIVVNYKGEDKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVEEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTINDEKIGSKLSPEDKKKIDDAIEAAIQWLDSNQLAEADEFEDKMKELESLCNPIIAKMYQGGGAPDMGGDDDEVPPAAGGAGPKIEEVD >CAK8537508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:379425361:379425850:-1 gene:gene-LATHSAT_LOCUS6797 transcript:rna-LATHSAT_LOCUS6797 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGILSKRESSARVDYVPALCTHRPSLLPIEWSGEVFRLRRRGQFAARDVVRSPLNLII >CAK8574549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1947007:1947513:1 gene:gene-LATHSAT_LOCUS26884 transcript:rna-LATHSAT_LOCUS26884 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKKRGTSVVVLALLVLGLFTFSIAYANSNRVSDDDDESKKSCIWVWERFRRGYSMYSSIFPTSVGQYWHMVKAILNNTYAYFFPPNIDFRKGGEDQAVSEAFSKSIGRSKETLEEVAKSAAEKVKGSLSSDRKENKEL >CAK8574550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1947013:1947513:1 gene:gene-LATHSAT_LOCUS26884 transcript:rna-LATHSAT_LOCUS26884-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKRGTSVVVLALLVLGLFTFSIAYANSNRVSDDDDESKKSCIWVWERFRRGYSMYSSIFPTSVGQYWHMVKAILNNTYAYFFPPNIDFRKGGEDQAVSEAFSKSIGRSKETLEEVAKSAAEKVKGSLSSDRKENKEL >CAK8539820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527356424:527357688:-1 gene:gene-LATHSAT_LOCUS8880 transcript:rna-LATHSAT_LOCUS8880 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTVLKEKFQRMCDKSMIKKRYMYLTEEILKENPSLREYMAPSLDARQDMLVVEVPRLGKEAAVQAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPQIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALVEAFKPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMRATREVLSEYGNMSSACVLFILDEMRKKSTQDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVVI >CAK8530465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23283216:23283827:1 gene:gene-LATHSAT_LOCUS328 transcript:rna-LATHSAT_LOCUS328 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSD >CAK8541449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:174675306:174676709:-1 gene:gene-LATHSAT_LOCUS10369 transcript:rna-LATHSAT_LOCUS10369 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQLKHIKTNDTCRETQIRRGSEGQDLPLGEQTSDGAQFCVLSMRTMRKLNGKHGIGVLTEGVWMQRTWNELWSWLLGAWLDTRHGV >CAK8543578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:622490473:622491255:1 gene:gene-LATHSAT_LOCUS12326 transcript:rna-LATHSAT_LOCUS12326 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSHLFQNQNQNLLYFPQNSYYNNFSWELENFDNFFIDDSTFNNESQTQTQTRTNELLSSSLSSAESNSMVSVLSNDSIEVSSNTTYTQMIKETRTSTPLPPPPQIKESNKRAFRGVRRRPWGKFAAEIRDSTRKGVRVWIGTFDTAEAAALAYDQAAFSTRGSLAVLNFPEEVVRESLKEMGRNSKPLEEGTSPVLALKRKHIIRKKSNKVSKKKIKSEEQIKIQIETKNGNINSQNVFVFEDLGAEYLEQLLSLTS >CAK8533362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:609151112:609154378:1 gene:gene-LATHSAT_LOCUS2997 transcript:rna-LATHSAT_LOCUS2997 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFMGIFGVFFILLCLCSALLRWNELRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRLRFGSFFKSHILGCPTIVSMDADVNRYILMNESKGLVPGYPQSMLDILGKCNIAAVHGSTHKYLRGALLSIISPTMIRDQILPKIDEFMSFQLSNWDEKIINIQEKTKEMVFLSSLKQIASIDSTSKIADSFKTEFFKLVLGTISLPINLPGTNYHRGFQARKNIINILRELLKERRATNETHKDILGCLMENEENKYKLSDEEIIDLVITLMYSGYETVSTTSMMAVKYLHDHPKALEEIRKEHLAIRERKKPNEPIDFNDIKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDPFLYPEPLAFNPWRWMDKSLESSNYFLIFGGGTRHCPGKEAGITEISTFLHYLLTRYRWEETGGDKLMKFPRVQAPNGLHMKFSSYNK >CAK8571363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:391589911:391595011:1 gene:gene-LATHSAT_LOCUS24030 transcript:rna-LATHSAT_LOCUS24030 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFEGMEQQRSSSGGASLSPRSPSSSQPFLSVSVTDPVKLGNGVQSYISYRVITKTNFQEYQAPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHHELQQSEDLRTFLQAEEETMERLRSHEAGIFKKPSDLMQIFKDVQSKVSDVVLGKEKPVEESDAEYEKLKHYIFELENHLGEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGASEGSALGKAFSELGIKSEVLSIKLQKEAHQLLMSFEEPLKDYVRAVQSIKATIAERANAFRRQCELAETMKLKEINLDKLMLIRSDKVGEAEREFHELKAESEQATKTFETIVKLMNEEIGRFQEQKTLDMGIAFHEFAKGQARLANGIADAWRSLLPKLEACSSS >CAK8565333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:136418652:136419732:1 gene:gene-LATHSAT_LOCUS18555 transcript:rna-LATHSAT_LOCUS18555 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSASCEKNSVAKRSVWVTEEDVSSNWNFVPKKTGIKRCGKNCRLRWSNNNNMGGDDKLFTSEEEDLIVKLHAAIGSRWSIIAQQLPGRTDSDVKNNWNTKLKKKLSQMGIDPVTHKPFSKLIADYGNIGAKQDFRNSNLILKSDPKMDLSHFQKIPNTKIKNETNNVASFSWNDFLLQDAFAPALTNYQDQTLFSNDIVVTKLQLEKNKDMVSTSSSSSDISFVEAILDQENEMFLSFPELLEEPSHY >CAK8538669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491975572:491977725:-1 gene:gene-LATHSAT_LOCUS7844 transcript:rna-LATHSAT_LOCUS7844 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLPQHTHFPLRTLTLTLPSHLTHSFSSLPLPQQPSPSSLPDAFLIDKLFFRFKQGDLSSLRNHLLRSNSSTLIPQLLQKCHNYPLLLPNLIQTIATTSPNPTITASMVHFLVQSKNLPEAQSLLLRIIRKSGVSRLEVIDSLVSNSHQNGVVFDLLIRTYVQARKLREGSEAFRILAGRGLCVSVNACNALLGAIVKVGWVDLAWNVYEDFVKSGNKVNVYTLNIMVNALCKDGRLDNVRGFLDEMEGKGVYPDLVTYNTLINAYCRRGLVSEAFRLVDNMAGKGLRPGLFTYNALINGLCKEGSYERARCVLDEMLGVGLCPDAASFNPMLVESCRKEDVCEAERVFNEMLGRGVVPDLISFSSIVKVFSRNGELGRALAYFEKMKDVGLVPDTVIYTILINGYCRNGDVTGALKMRNEMVERGCVMDVVTYNTLLNGLCRGKMLVDADELFREMVERGVFPDFYTLTTLIHGYCKDGNMTKALSLFETMTLRSLKPDVVTYNTLMDGFCKIGEMEKAKELWRDMINREIFPNYISFSILINGFCTLGLVSEAFRLWDEMKEKGIKPTLVTCNTIIKGYLRAGSVSKASNFLNKMISEGVSPDCITYNTLINGFVKEENFDRAFFLISNMEERGLLPDLVTYNAILGGFSRQGRMQEAEMVLHKMIDKGINPDKSIYTSLINGHVSKDNLKEAFRVHDEMLQRGFVPDDKF >CAK8544559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692507864:692509200:1 gene:gene-LATHSAT_LOCUS13225 transcript:rna-LATHSAT_LOCUS13225 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKNRVRFLVIVSSIIVLSITAEKCRELVGEKASSKSGQFTFLNCFDLGSGTLACGVKESVKLYVNNIRSAHVEGARHDAIRSALVDAASQSMSPAHSEKYAQKQGKKAAKLAARKANRIIGPIISSGWDFFEAVYYGGTFTEGFLRGTGTLFGTYSGGFMGEQRLGRFGYLIGSQLGSWVGGRIGLMLYDVANGVHFMFQFVQTD >CAK8577873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595165124:595169715:-1 gene:gene-LATHSAT_LOCUS29941 transcript:rna-LATHSAT_LOCUS29941 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKEASSSKEKRRLSCTAHFDALWFCYSPVHQMQQYYRLGVLDNCSGKWKAMVDCLMLKTKPSSQVEEILEAQEKSKPHIWNFRTRYEASQYWRRRYGHLDRPE >CAK8532568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:298468443:298470691:-1 gene:gene-LATHSAT_LOCUS2260 transcript:rna-LATHSAT_LOCUS2260 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMRNNHNHSNKTNGVSSPITSGGCRTEPGSRDWEMRPGGMLVQTRTSNIDQNPVLVPTIRVRVKFGSVYHELNISSQATFGDLKKMLTGPTGLHHQDQKLFYKDKERDSKAFLDIVGVKDKSKLVLVEDPISQEKRILEIRKNAKMEKAAKSISQISLEVDRLAGRVSAFESIISKGGKVVESDVIGLIELLMNQLLKLDTIIADGDIKLQRKMQVRRVQKYVETLDMLKVKNSTTNGNDVQSKIQPQEKHSNGKRLETIQEQQQQEQPRKTSNDVSLIFEEQQPPSGVVVTTKWETFEFDSTPPLITFPSTSTTTPSMHNNSGPPKFNWEFFE >CAK8532454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:265592316:265593832:-1 gene:gene-LATHSAT_LOCUS2158 transcript:rna-LATHSAT_LOCUS2158 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIKNKTPHCLVIPYPTQGHINPMLQFSKRLLQKGIKITLVNTISTSKTITTKNLNKSFEYETISDGFDDGGLTSAKDIDTYIDTFRRTGSQTLDQLLHKLTTTNNHVDCVIHDAFLPWIVDVANKFQLPVAVFLTQACSVNNINFHAFKGWLGLPLLEKEIVLPGLPKLEASDFPSFLYRYGTHPGYFDLLTNQFSMIDRVDWVLANTFYELEQEVVEWLMKIWPLKTIGPCVPSMFLDKRLKDDNEYGGSIFAINSCIKWLDTKPKGSVVYVSFGSRSSLSEDQMNELACGLKNCGRYFIWIVRESEKGKLREGFSESLEKGLIVTWCQQLEVLEHEAVGCFVTHCGWNSILEALSLGVPVIAMPIWTDQITNAKLVVDVWKVGVKGVADEKGIVRSERIGECIREMMESEKGNEMKKNAMKWMSVARNCVDEGGTSDENIAEFVNELTLRRRNSS >CAK8539942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531987351:531988860:1 gene:gene-LATHSAT_LOCUS8992 transcript:rna-LATHSAT_LOCUS8992 gene_biotype:protein_coding transcript_biotype:protein_coding METESDLDPEQQHMMESGFSRMQIDPRRSRFPCSIVWSPLPVISWFIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGAATRYLQIPKDKCCIPLGQSAYKSEEHYTQDEAGGELRTWDDALLKSTQEFQHRSYSLFTCNCHSFVAHNLNRLSYLSNRWNVVNLAIFILLNGRWVNKTSMLRTILPFVVVFFLGVTLGGFTFLKFWFLFTSVLIGWFLLGTYCFKNLIQL >CAK8536932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40226555:40227400:-1 gene:gene-LATHSAT_LOCUS6256 transcript:rna-LATHSAT_LOCUS6256 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRTTDENKRRKMEDSVKPMVTCHWVDSNYRTKSSALSQPKNNDNVVEDNQVSKTRALSQPEVVEDKKMIKNQVFKTSALSQTKNNDNVVEDKKVIKNQVSNRVMPNNIDVENKKIIKNQVSKTEIGFNGGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMIDKSESKSKGIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDAWKLEDRGRSKTHNSTRYL >CAK8538657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490736184:490740875:1 gene:gene-LATHSAT_LOCUS7833 transcript:rna-LATHSAT_LOCUS7833 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVETDKMSAPSPRERAQRLFDKNLELEKKRRRSAQAHVPSDPSIWPQQRENFEAIILEDHAFSEQHNIEYSLWVLHYKRIEELRAHFNAAIASASSKSPQGGKGSVRPERITKIRQQLKTFLSEATGFYHDLIMKIKAKNGLPLGYFEDSENVIVMEKDGKKSAEMKKSLISCHRCLIYLGDLARYKGLYGEGDSKKREFAAASSYYLQAASIWPSSGNPHHQLALLASYSGDELAVIYRYFRSLAVDSPFTTARENLVVAFEKNRQSYSQLSGDVKAVAVKESSGRLDGKGRGKIEAKLVTRGNGVEAYPRKEGASNIQETYKAFCTRFVRLNGILFTRTSLETFAEVLSLISTGLRELLSSGQDEELNFGQDTLENGLAIVRIISIIIFTVHNVKKESEGQTYAEIVQRAVLLQNAFTAAFELMSIIIERCVQLQDPSCSFLLPGILVFVEWLACYPDLAAGNDVDEIQSTARSKFWNHCISFLNKLLSVGPMSIGDEEETCFNNMSRYEEGETDNRLALWEDFELRGFVPLIPAQTILDFSRKHSFGSDREKERKSRVKRILAAGKALANIVRTDQKRIYFDSKGKKFIIGVEPSISDDFVLSSGKPIVEDLVKENEADKPKLGIAQSDHHQYLEGEDDDDDEVIVFKPIVAEKRADVLAVSSGVTHKGLEPVPVASGVDVNFNANSAFNPPNDVDLQTCLPASVSCMMPQSLQPVQQHSSRWLEGGVSLVNSFEGLGFSENGHVVKPEFPLQESVSIFNHASLSVPFQQSVSTGTNSFYGLPKAEDLMIPSKVDTVASAGVITENPYEKSLSVLQADLKKSPVSRPSRHRGPPPGFSHVSPRLDMESTVTDSITGNPVMDDYSWLDGYQLPASTKGSGPNGPITYTQSNSHLVNNNISSGAVCFPFPGKQVPSALQGVKQNGWQDYHTTEILNAHHHQPQQPITNGNQHFTPLPEQFQGQSIWTGRYFV >CAK8571671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:459766685:459767401:1 gene:gene-LATHSAT_LOCUS24311 transcript:rna-LATHSAT_LOCUS24311 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWCILVVLALAVVATSARNVPAVVTTSARNVPAAGKGGLKDEKNFIGGIGGFSGIGNNGFPFGGVGFGAGGDAGGGGLGGLGGGGLGGAAGGLGGIGGGGLGGAAGGLGGIGGGGLGGIGGGGLGGAAGIGGGGLGGAAGGLGGIGGAGFGGGGLGGLGGSHGLGAFSGLGVGGLGGLGGSHAQSGLGGGELGGHHGGGHGGSHGLEGFSGLGGGGIGGHHGGKHKVSGGGVFPHP >CAK8566535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433530304:433533024:-1 gene:gene-LATHSAT_LOCUS19659 transcript:rna-LATHSAT_LOCUS19659 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGEISGPNKVHDDSIEIPDTAHQISSDSWLQVAFVLTNGVNSAFVLGYSGTVMVPLGWIGGVVGLILAALVSLYANALIANIHELGGTRHIRYRDLAGYVYGKKAYKLTWALQYINLFMINTGFIILAGSALKATYTLFRDDGLLKLPYCIVIAGFVCAMFAISIPHLSALRIWLAVSTVFGLVYIAVASVLSIKDGINSPPRDYTIPGEGASRVFETIGASANLVFAYNTGMLPEIQATIKQPVIKNMMKSLYFQFTVGVIPLYLITFSGYWAYGSDTETYLLNSVNGPVWVKAFANISAFLQSVIALHIFASPMYEYLDTRHGIKGSAMNIKNLSYRIAVRGGYLVFNTFVAALLPFLGDFMSLTGAISTFPLTFILANHMYMVAKKNKLTSLRKLWHWINIVFFSLMSIAATVAALRLISVDSKTYHVFADI >CAK8537077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:77909506:77910051:-1 gene:gene-LATHSAT_LOCUS6393 transcript:rna-LATHSAT_LOCUS6393 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGSQPPHKWLTLPDMGYMIANRYNVVLVCLGIECWTFFPMTTSFSPNAAMYCIGFANGNHWAQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFAPRLQHWQQLTPILPTHYTL >CAK8577933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597709439:597709951:-1 gene:gene-LATHSAT_LOCUS29994 transcript:rna-LATHSAT_LOCUS29994 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAKMQIADLIRTSTSPTSRPQSCTVPQPYYTNSAAKTLPANKSQYKSHVYANPDNVTPAIVESRYALTKQKGARYLPAAFLTGLLDPATSREEFLQLFADLEGKVPVFVVSSKGSPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPAVVAEELYQFLQQYFGSVA >CAK8577934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597709439:597709936:-1 gene:gene-LATHSAT_LOCUS29994 transcript:rna-LATHSAT_LOCUS29994-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIADLIRTSTSPTSRPQSCTVPQPYYTNSAAKTLPANKSQYKSHVYANPDNVTPAIVESRYALTKQKGARYLPAAFLTGLLDPATSREEFLQLFADLEGKVPVFVVSSKGSPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPAVVAEELYQFLQQYFGSVA >CAK8560555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24716908:24718779:1 gene:gene-LATHSAT_LOCUS14218 transcript:rna-LATHSAT_LOCUS14218 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSFPIHSFVPVGFRFRPTDQELVNHYLTNKLLGNVSVVNNVIAEVDVCKFEPWELPGFSVIKSDDPEWFFLSPRDYKYANSKRFNRATKYGFWKATGNDRKIKIRGSDKVIGTKKTLVYYKGRVPGVKTNWVIHEYHAVNFEDAKRTFVLCRLMKKAEKKTEEEADIMICDEGEPSRHISSDCEIQEAVKGIPDVISDPLPEMNMESIFQEPHQAGRYIPFSTQQSLISENEQEVSVSNFRFPNAYFGNENIDFQSPFETIEEEEKFVNSMLIDREFVSSEKRRQTFVNGSIESESLRMVYYENSDADVEVVSTPPLRNFLDTSTMYLEHPSSRKYGSARVLSFNNDESKDEHESTYYDDFGGVEASSCDSTAGKPLEMSCFEISSSSSTPRRDK >CAK8578988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666223355:666227039:-1 gene:gene-LATHSAT_LOCUS30965 transcript:rna-LATHSAT_LOCUS30965 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIQYGRHGLRNIFRFTNASNVFRKSMRQPLECAGTSTTLPLCSRVHGKCLYSTSSDARIVQDLLAQVERDRLREKNDRKRAGLDTADIDAESEEDYMGVSSMIEKLEKGKLKETTELNRFEEQTDSDSDDEDVDAYQKKFEDFEKKFKRHEEMIQSFADADTLDDAFKWMQKIDKFEEKHFKLRSEYRVIGELMNRLKVVTEQKDRFILQQKLNRALRLVQWKEAYDPDNPANYGLIQHEQVGPNVDTLQQSGFEKENKPAQGDEDAAAADSDDEEEFDDMKEKDNIILAKLDVIDKKLEEKLAELEYTFGRKGKALEEEIRDLAEERNELTEKKRRPLFRKGFDVKLIDVNRTCKVTKGGQVVKYTAMLACGNYNGVVGFAKAKGPAVPVALQKAYEKCFQNLHYVERHEEHTIAHAIQTSYKKTKVYLWPASTATGMKAGRIVQTILHLGGFKNVKSKVVGSRNPHNTVKAVFKALNAIETPRDVQEKFGRTVVEKYLL >CAK8565965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:360872939:360874522:-1 gene:gene-LATHSAT_LOCUS19138 transcript:rna-LATHSAT_LOCUS19138 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKFRIRGYEGQSDRAQVENLERKCEVGPSESVFLFTDTMGDPICRIRNSPMYMMLVAELENELVGVIQGSIKVVTVQGHPPKDLAKVGYVLGLRVSQNHRRKGIGSSLVTTLEEWFISNDVDYAYMATEKENHASTNLFINKFHYTKFRTPSILVNPVNHHSYKISSNIEISRLKVEQAESLYRRFMGSTEFFPNDIGNILKNKLSLGTWMAYYKDDMSIGPNGQIPKSWAMLSVWNSGEIFKLRLGKVPFCCLLYTKSWCLIDKIFPCLKLPTLPDFYNPFGFYFMYGVYHEGPFSGKLVRALCQFVHNMAKENKDEKVKIIVTEVGGRDELNHHIPHWKLLSCPEDLWCIKALKNEGLSINTFHELTKTSPTRALFVDPREV >CAK8539867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529668229:529683354:1 gene:gene-LATHSAT_LOCUS8927 transcript:rna-LATHSAT_LOCUS8927 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLNAMNRVLLNLRHPLLPLSATLPSTRLIHSNMHHSNQLPLSSFSTSTKASNDLDLSTKTCVPCNSKELKPMTEDAAHSLIPQVSEWNLVNEGGSLKLSRSWKVKSFNKGLEFFRIIADLAEAQGHHPDLHLVGWNNVTIEIWTHSVGGLTENDIILAAKINELNVHGLLRKKAS >CAK8539869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529668229:529670261:1 gene:gene-LATHSAT_LOCUS8927 transcript:rna-LATHSAT_LOCUS8927-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLNAMNRVLLNLRHPLLPLSATLPSTRLIHSNMHHSNQLPLSSFSTSTKASNDLDLSTKTCVPCNSKELKPMTEDAAHSLIPQVSEWNLVNEGGSLKLSRSWKVKSFNKGLEFFRIIADLAEAQGHHPDLHLVGWNNVTIEIWTHSVGGLTENDFILAAKINELNVHGLLRKKAS >CAK8539868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529668229:529670261:1 gene:gene-LATHSAT_LOCUS8927 transcript:rna-LATHSAT_LOCUS8927-3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLNAMNRVLLNLRHPLLPLSATLPSTRLIHSNMHHSNQLPLSSFSTSTKASNDLSTKTCVPCNSKELKPMTEDAAHSLIPQVSEWNLVNEGGSLKLSRSWKVKSFNKGLEFFRIIADLAEAQGHHPDLHLVGWNNVTIEIWTHSVGGLTENDFILAAKINELNVHGLLRKKAS >CAK8566265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398492064:398497253:1 gene:gene-LATHSAT_LOCUS19409 transcript:rna-LATHSAT_LOCUS19409 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSNHPIKPDPSSPNFVRNFQAALKRHRPIGTGQSNIIRPRRTLLIQPKDSLTRRNNDSEASTVVNPSQDANVHTKVPQGANDEKAIELESLSSYMSSLGFTEMEYVEGNQHEASIDDSKEAKIQKAEPDGGVSCSMLPRRGPVTRDNLHQFRNFLSKPATQSSVVGPSCATTTSVNSTSAPMMNSTTRLHLESGPSVAAIPLGKAERVMESENIDISVEKVNKLSVDKAANAVQTFDSCVDSELAFKGSDQSKEQPECMLKETQNSKYASSDSDKLIKGKEPADVASVQPQAQVPKASSLDAKSEYSKLEKREKAASSKGSSVTKKRTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCNIYALKKIKLRGRDYATAYGFCQEIEYLNRLKGKDNIIQLIDYEVTDKALLEEVMKGSLCNKDGRVKDDGYIYMVLEYGEIDLAHMLSQQWKKLGESNEIIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRESQVGTLSYMSPEAFLCNETDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSEYKTFWAKFKIITDPNHKITYERLSNPWLVDLMKRCLAWDRNRRWRIPQLLQHPFLAPHVLPHPSLSQDHSCKFVQLVAEPCAYDPETSQLCHELQRLLVDPLESANQSLNSRDQLITLLSQLSELCTQLRERLMGTDIE >CAK8532935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551989123:551990636:1 gene:gene-LATHSAT_LOCUS2594 transcript:rna-LATHSAT_LOCUS2594 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLLPSFLYSSTSLPQKKIVDDVSTYHHVATDTSKFMIPSPSETGKRNIKMFSRDYYLACAVGGSICCGFTHMAVTPLDLVKCNMQIDPTKYKSISSGFGVMLKEQGFRGFFRGWAPTFIGYSAQGAFKYGFYEYFKKYYSDVVGPEYATKYKTLIYLAGAASSEVIADVALCPFEAIKVRVQTQPGFARGFSDGLPKLIRSEGVSGLYKGVVPLWGRQVPYTMMKFASYENIVEMIYKHAIPTPKEDCTKTLQLGVSVVGGYLAGILCAVVSHPADNLVSFLNSSKGATAADAVKKLGLWGMCTRGLPLRILMVGTLTGAQWGIYDAFKVSVGLPTTGGVAPTPASTS >CAK8576764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511960334:511961185:-1 gene:gene-LATHSAT_LOCUS28932 transcript:rna-LATHSAT_LOCUS28932-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKLKHLRHMYSVGFMMPRGRCLESNGDVMWNLQTITCIVLNKKTTYLIKRGSFPKLRKLGLFISSYLKGDVPKMLLSLQQLKHLNKLEIMFEGKILPYSRWNINNKPAEVLESLKDLSHLSTLKIIQACELVKCVVTFPPNITTLKLIYITCLNDDGMNAIGNLTKLRRLFLAGETWLLAYGVFEYQCSIFDLSCGEDGFPQLQEFHMKDLPIRSWKLGNGSMSRLQILHIDQCYKLNSLPSELWSLTTLTKVHVRNPSNGMAAMLHNLEVKNGREIIVE >CAK8576763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511960334:511963138:-1 gene:gene-LATHSAT_LOCUS28932 transcript:rna-LATHSAT_LOCUS28932 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVAFLLEHLSQLLQREANLLSGVEDKITSLRNELEIINIYLKISSEGKKNNHKQIEQKVLSQIKDVSYLAEDVIDTFITNVAFNKKRNVLGRMLHSVDHAKLLHNVAEKIDKIKTMLKEIHENKIKYCEESSDQSTSAREEEERMKSLHKLRRNVEEEDVVGFVHESEVVINRLIEGGSLRLKVVSIIGMGGLGKTTLARKVYNNDEVKNHFNCRAWVYVSNECRARELLLDLLKKLMPKNDYECRSSTTKKGKKKHKEVVNQDISSLSDDELKERVRECLKCKKYLLVLDDLWKIQDWDAVKDAFPNENSGSRILITSRLKEVASHTSRDLPYHLQFLSEEQSWELFYKKVFRGEEYPCDLESLGKQIVKSCRGLPLSIVVLAGLLANKEKSHREWSKVLGHVNWYLTRDETQVKDVVLKLSFDNLPSRLKPCFLYLGVFPEDSEIRVRKLLQLWMAEGFIQETGSRDAYDVAEDYLYELIDRSLIQVARVKGSGSVKTCRIHDLLKDLCILESKEDKFFQVCTDNNILISTKPRRLSFHSSMSHYVSLNTDDHSCVRSMFCSDPTCLISPDEWKWLTKNIKLVRVLNLEEKCCFKIPSNLGNFIHLRYLRINSEDIITVPNSICNLQNLETLDLGSSWNDTIYFPMGILKLKHLRHMYSVGFMMPRGRCLESNGDVMWNLQTITCIVLNKKTTYLIKRGSFPKLRKLGLFISSYLKGDVPKMLLSLQQLKHLNKLEIMFEGKILPYSRWNINNKPAEVLESLKDLSHLSTLKIIQACELVKCVVTFPPNITTLKLIYITCLNDDGMNAIGNLTKLRRLFLAGETWLLAYGVFEYQCSIFDLSCGEDGFPQLQEFHMKDLPIRSWKLGNGSMSRLQILHIDQCYKLNSLPSELWSLTTLTKVHVRNPSNGMAAMLHNLEVKNGREIIVE >CAK8559969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2679499:2679954:1 gene:gene-LATHSAT_LOCUS13694 transcript:rna-LATHSAT_LOCUS13694 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIGIMALPLVISVFKPLTTTTRLHSTLLRRRRFTTSTLTASATTTPQHSTSLSSQHSSSSSTSTLPNHNLSSLTFQQAIQRLQEYWASVGCSIMQCSNTEVTN >CAK8563231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584394768:584401060:1 gene:gene-LATHSAT_LOCUS16662 transcript:rna-LATHSAT_LOCUS16662 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTMQTLDAEPSSPSTTIDSKPQISSPLPSSMLRLWRQAAQRNLRNQWSQLALLKDQWFSISSTARSYASALVNAHLSQRYMPDMKLGVLSNLSDIRKRACFKLFQQQKLQRSQLLLSYKDMVGVVSKMINVSRSMKCFFRRSNNSSLLQFSHYYKDQSDSGDSGDGGGIPVFAFLSISSHEKLVEELVQMFRLELCLKRLLVLEFMFIGDDASQVNQLHWSSQIYADEFKDLSDCNLFCEVTRVPVPPRLREGKSDMGSIRFDNQPNPEVLQVYLTLWLAGMNINNSRVNEIFEVVGEEMHVSIG >CAK8532202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:220266131:220270137:-1 gene:gene-LATHSAT_LOCUS1933 transcript:rna-LATHSAT_LOCUS1933 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPYDSSGTDDDLPPTHQNRIPRGARVAGNGRSAVGSMSYPRMYGEIDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQTGGHQTGVLNSGQVIHDSAPSPTVSASRKKQKITSSVPSQSFGGPSPSFHPQPVAAPHQPSSSVGKRGSVPGHKGKKQKPGQVLPGASSIKQYPSSGPGGRNQVPNRVTSGTVAGELAEGTSFDSLNGRRLRTRWPDDNNFYEAVITDYNPVERRHHLVYDMGSTNETWEWVNLSEISPEDIQWVGEDPGINRGGFGGSGRGMNRSVGRDSIPGAGRGGRGGTKGQSKKDLLPSQNGIGKKALEDIQLRHTDTLVKEVERVFSANHPDAHEIERAKKLLKNHEQALIDAIARLADLSDGESDEGGHHFSHKSMDRE >CAK8539495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514158549:514159533:1 gene:gene-LATHSAT_LOCUS8582 transcript:rna-LATHSAT_LOCUS8582 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITLGTFDDSFSIASLKAYLSEFHATLIFVFAGVGSAIAYNEVTSDAALDPAGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGSILASLLLSFVTGKSVPTHGVAAGLNPLAGLVFEVIITFGLVYTVYATAVDPKKGSLGTIAPIAIGFVVGANILVAGPFSGGSMNPARSFGPAVVSGNFADNWIYWVGPLIGGGLAGLIYGDIFIGSYAPAPATETTYA >CAK8532639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:336952135:336959707:-1 gene:gene-LATHSAT_LOCUS2322 transcript:rna-LATHSAT_LOCUS2322 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGNDPQKQLLSIIRNFATEKSQGERRVVTLRKQIETLKSELGVAIAELEKAKRCKELVEQELKGFELHLFLSEASVQTLEARVSLTQNEMSVVESDLETLKNEEVTLRDEFIANMLDLNAKIRKFQESIITCEIDSVEDEACRDLAQVTMKENDADDALGALESRLLEIISQTTKEEHELQAQQKIYESVRRELIDRERKVSLMNMLVTETKELQDLTMQSSKLEETCSSLGEELQNRSMCPRCHLNNLDALTTVLHANEQN >CAK8535182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821439862:821440976:-1 gene:gene-LATHSAT_LOCUS4656 transcript:rna-LATHSAT_LOCUS4656 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAATASSATVVKQTSFLGQRKAANPLRDVVAMGTPRFTMRNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLQKWVRVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQIVLMGLVEGFRINGLPDVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGA >CAK8564599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2058740:2063944:1 gene:gene-LATHSAT_LOCUS17889 transcript:rna-LATHSAT_LOCUS17889 gene_biotype:protein_coding transcript_biotype:protein_coding MKITCLSKGRGFHFPPCHMLNFCGIRILLDCPLDLSALMAFSPVPTSLDASSFEESNNNEKRQKIEDLLEAKSLISAEPWYKTVNNLHLWNASLIDVILISSPMGIMGLPFLTRRKGFSAKIYVTLASARLGQLMMEDLVSMHAEFRQFYGSKEPNFPPWLRQEELEILPSVLKEILAGKDGVELGGWMPMYSAADVKDCMLKINTLNYAQEVCYNGTLVIKAFSSGVEIGSCNWILNGPKGDIAYLSSSCLFSAHAMAFDYRSLQGSSALIYSDFSSLSDTQDIEDGDNYTDPASDKLFPPSFQDSDGFNLNSDEDLEEKEKLVFICSCAIECVKDGGSVLIPINRLGTILQLLEEMTTLLEASAMEVPVYIISSVAEELLAWLNIIPEWLCKQRQERLFSGEFLFAHVKLLKEKKIHVVPDIHSHKLLKNWQEPCIVFCPHWSLRMGPVVRLLRSWCGDPKSLLILEDMVNPELALLPFKPVAMKVLQCLFPSGIGVQKVQPLLKTLQPKTVLFPEDLRLKTNFSCEKSFSVLYYTEAETLKVSCQKDNSEIKIAADLASQFYWKTFKKEEINVTRLKGKLLMENGRHHLFLDNDKKTSSRNSSLLRCGLLDSNKFLAALSKMGINASMERGTDDAGSTNVRIVHTDEPYKALIEIGNTSTAITTDDANAASILYKAIDNILDEV >CAK8533770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658180062:658180457:-1 gene:gene-LATHSAT_LOCUS3369 transcript:rna-LATHSAT_LOCUS3369 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKDEFVCGVKRFVKKALNQPICKSEGGIRCPCINCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGEVELNIDTRGGSNSSEHVRQADQFEAMDQMVYDAFRPHGGFSHANDNME >CAK8574583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3055810:3056427:-1 gene:gene-LATHSAT_LOCUS26913 transcript:rna-LATHSAT_LOCUS26913 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTDLGKVSEESYNTLINPVVNSQLKSLYLAHNRWLRDEHIYMFASVLPKLQLFDLRDCWGISEEGIGHILRKCSKIRYLNLTNCVGLEILRMNFKLSSLEVLNLSECGIDDTSLYAISKSCFGLLQLDLGGCCGITEKGVRKVVESCTQLREINLKECRKVAADVVDSMVFIRPSLRKITAPPYFPCSESKRKLFLRHGCLVC >CAK8576669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504340619:504341253:-1 gene:gene-LATHSAT_LOCUS28842 transcript:rna-LATHSAT_LOCUS28842 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGPYSGTSTLALVARASAFSFGIVYGSIKLKSLKSKAKSQQKAEAKAHH >CAK8566948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474850096:474850758:-1 gene:gene-LATHSAT_LOCUS20043 transcript:rna-LATHSAT_LOCUS20043 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKSSLGRQKIPIEKIPKKSHLQVTFSKRRSGLFKKASELCTLCGVEIAIVVFSPADKAFSFGHPEVESIVDRYLSRNLPQESNTLQLVEAHRNANVRDLNAQLTQLLSHLEIEKKQGEEIDHVRKARQVQNWWENPIDELGLNELVQLKVSIEDLKKNIGKIANKCMIEQSNMFSSNIGANGFGRYNTFENKSGGGINMASTLANTYNYLGFRHGYL >CAK8577197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544293172:544297720:1 gene:gene-LATHSAT_LOCUS29326 transcript:rna-LATHSAT_LOCUS29326 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLFRYADGFDKLLMFFGTLGSLGDGLQNPLMMYILSDVINAYGDTNSRLTKHDVNMYALKLLCVAFGVGISAFIEGLCWNRTAERQASRMRMEYLKSVLRQEVGFFDTQTSGSSTTYQVVSLISSDANIVQSALCEKIPDCMTYMSTFFFCHIFAFVLSWRLALAAIPLSVMFIVPALVFGKMMLDVTMKMIESYGVAGGIAEQAISSIRTVFSYVGENQTLKRFSTALQKTMELGIKQGFAKGLMLGSMGVIYVSWGFQAWVGTFLISEKGEKGGHVFVAGFNILMGGLSILSALPNLTAIMEATSAVTRIYEMVDRVPTIDSEEKKGKALSHVKGEIEFKDIYFCYPSRPDSPVLQEFNLVIPAGKRIGLVGGSGSGKSTIIALLERFYDPVEGEILLDGHKINRLQLKWLRSNLGLVNQEPVLFATSIKENILFGKEGALMESVITAAKAANAHDFIVKLPDGYETQVGQFGFQLSGGQKQRIAIARALLRDPKVLLLDEATSALDSQSERVVQAAIDQASKGRTTIIIAHRLSTIRTADTIAVLQAGRVIESGSHNELMEINGGQGGEYARMVELQQVTTQNDESKHSNPQIEGKSSHRMSVPQSPGVSFKSSTPGTPMLYPFSQGFSIGTPYSYSIQYDHDDDSFEDDLKRPNHPAPSTWRLLKMNAPEWGRGVLGILGAIGSGAVQPVNAYCVGLLISVYFEPDISKMKSKARVLALVFLGIGVFNFFTSILQHYNFAVMGERLTKRIREKILEKLMSFEIGWFDHEDNTSAAICARLASEANLVRSLVGDRMSLLAQAVFGSVFAYTVGLVLTWRLSLVMIAVQPLVIGSFYSRSVLMKTMAEKTRKAQREGSQLASEAVINHRTITAFSSQKRMLALFKSTMTGPKQESIRQSWISGLGLFTSQFFNTGSTALAYWYGGRLLIQGLIEPTHLFQAFLILLFTAYIIAEAGSMTSDISKGSNAVGSVFAILDRKSEIDPETLWGSDKKRKIRGRVEFKSVFFAYPSRPEQMVFQGLNLKVEAGKTVALVGHSGCGKSTIIGLIERFYDPIKGMVCIDEQDIKSYNLRMLRSHIALVSQEPTLFSGTIRENIAYGKENATESEIRRAATVANAHEFISGMNEGYDTNCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSVSEILVQEALEKIMVGRTCIAVAHRLSTIQKSNSIVVIKNGKVVEQGSHNDLIALGRNGAYYSLVKLQGGSSPR >CAK8539108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504013955:504014644:1 gene:gene-LATHSAT_LOCUS8236 transcript:rna-LATHSAT_LOCUS8236 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGSECSSGCESGWTLYLDHSFHTSSQSHTPSLDLTKHIKVQYHEQEDSSMLSDASSGPPHRDYSPSNPAKLAKRSKKRQKVKENNIQQHLDDTASSPLFDNNNVVSINNQQTTSTIESVLDYSQGFSATYFEERSSLQDHFGFLQSSLSQNEAHINNKWLLAGKEMGMI >CAK8566530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433280365:433282530:-1 gene:gene-LATHSAT_LOCUS19654 transcript:rna-LATHSAT_LOCUS19654 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPAKATWNPAFHKVFIGLCLREVLKLNEPGARLTKESWCSIVESFYEKTGVTYDKKQLKNHYDSTRKLWKVWDKLTRDSSMKWDPETSKFDASEEDWCDYIKDNPEAGQFQSKEIQFKDELDIIYDGEMPAEEIKNSIRLKWQDNARAASLHGRGRGRKRKSVVRDYELDTSDAVNSTLTPKASWTPAYHKIFVDLCLDETLKGNKAGSHFTKMGWRNIIGYFYAKTGVRYDKRQIKNHYDSTRKQWKIWVKLIGDDFMKWDSGTSKFGASEKDWLDYLKENPEAAQFRFKEIPFPEKLDIIYGDSIQTEEMRPSSSSERQNDDSGTASPLYAKRGKKYKSVEKDFDFKSAILVNATPISAIASEQSISCSSYPKVKATWTPLLHKTFIDLCLQETLNGNKPGTHFTKEGWKNIMDSFHLKSGLNYGRLQFKNHWDSTKEQWRTWSKLVSTSYMKWNPSKHTFEASDEDWTSYLQKNPEASQFRYKELQFPDALETIFNGTTVTGETEPAVQQKKSDDSVNTLPLHTKGPDVTSSDEKTECLCDAVASRNGVNIQKNAFSISSAEGKRSYSIGECIECLDGMEDVEQGSDLYLFALDVFLRQEHREIFLQLKKPNLRISWLQRLQSVGQSSL >CAK8568124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574835866:574836657:-1 gene:gene-LATHSAT_LOCUS21117 transcript:rna-LATHSAT_LOCUS21117 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSLLNFVLNSIQIYFFSFYKAPKCVIQVIIRFQCEFLWGDDLEKRKVAWISKWKLCILSKGNVLWSDLLSTKYGDTKSIMLEVGKLLVNKFESLWWKDLKLVGVVEVDDYNWFANNISCKVGNGITIEFYINIWLGSSSLNIKFPLISAIAVSQRFKISNMEDWADNSWILDVMIKEDIPLKAYEEAKLELLLVTLLDIRLCRRVNDSFLWQRYKDGFSVTNSYQVL >CAK8570821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:121771074:121771783:-1 gene:gene-LATHSAT_LOCUS23540 transcript:rna-LATHSAT_LOCUS23540 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIKHMVMSLGLNTWGFVLYNNLLSLMIAPFFWFLTGENFEVSNAINSSTGGLFEMNVFLAVSLSCVFGLLISFFGFAARKAVSATAFTVAGVVNKFLTVAINVKIWDKHASPPGLVCLLFTIIGGVLYQQSVTGNVLPQRDAVVVTKQSDIESNHVGDGDLEDESEVKGIR >CAK8568919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654807993:654811930:-1 gene:gene-LATHSAT_LOCUS21826 transcript:rna-LATHSAT_LOCUS21826 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKNMYIETPEAFIDGGKNFDDDGRVKRTGTWVTASAHIITAVIGSGVLSLAWAIAQMGWAAGPAVLLAFSLITYFTSTLLADSYRSPDPVHGKRNYTYSEVVRSVLGGRKFQLCGLAQYINLIGVTIGYTITASISMVAVKRSNCYHKHGHEAKCYTSNIPFMIIFACIQIVLSQIPNFHKLSWLSIVAAVMSFAYSAIGLGLSVAKVIGGGPGVRTSLTGVQVGVDVTGSEKVWRMFQAIGDIAFAYTYSNVLIEIQDTLKSSPPENQVMKRASMIGILTTTMFYMLCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFCQPIFGFVESKSKEKWSDSKFVNGEHAINIPLCGTLYVNFFRLVSRTTYVAVTSLIAMMFPFFNDFLGLIGSVSFWPLTVYFPIEMYIKQSKMQRFSFTWTWLKILSWACLIVSIISAAGSIQGLAHDLKKYRPFKAQQ >CAK8570191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28169009:28171543:1 gene:gene-LATHSAT_LOCUS22964 transcript:rna-LATHSAT_LOCUS22964 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLLSQPLTRPPQPNPPIPTSFTNQTKPPNLNLQSSNIIPQLTLLNNHPLTSTTTYAVILQSSPLTLGKQLHSHSIKTGFHNHHFVQTKLLQMYSTNSSFEDACHMFDTMPLKNLHSWTALIRLHLNTGLFQKAFMLFKELLCDGSREKLDFFVFPVVLNICCGLGDLELGRQLHGMVLKLGFVTNVYVGNALIDMYGKCGGLGEAKKVLEGMSQKDCVSWNSIITACATNGMVHEALDLLQNMSLSELEPNVVTWSAVVGGFSSNGYDVESIELFSRMVGVGVAPNAQTLASILPACARMKWLCFGKELHGYVVRHDLFRSSFVVNALLDMYRRCGDMKNAFEMFSKFARKSAASYNTMIVGYSENGNIIKAKELFDRMEREGMERGRISWNCMISGYVDNLMLDEALMLFRDLLMEGIEPDSFTLGSIFTGCADTASVRQGKEIHSIAIAKGLQSNSFVGGALVEMYCKCNDIIAAQMAFDEISERDASTWNVLISGYARSNQIEKIEELLDKMKRGGFEPNVYTWNSILAGLMENKQYDSAIRLFNEMQVSNLRPDIYTAGIILAACSKLATIHRGKQVHAHSIRAGYDSDVHIGTALIDMYAKCGSIKHCHEVYSKISNPNLVCHNAMLTAYAMHGHGLEGIAIFRRMLADKVRPDHVTFLSVISSCVHAGSIEIGYECFYLMKKYNITPTLKHYTCMIDLLSRAGKLAEAYQLIENLPMEVDSVTWSALLGGCFIHKEVSLGEIAAEKLIELEPDNTGNYVMLANLYASAGRWHDLAKTRELMNDKRMQKSPGCSWIEDRDGIHVFLASDKSHPKINEIYLTLDNLTKFIRIKHTNEL >CAK8531985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186389506:186389730:1 gene:gene-LATHSAT_LOCUS1731 transcript:rna-LATHSAT_LOCUS1731 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALTEAIALFALMMAFLILFVF >CAK8569231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685445730:685447472:-1 gene:gene-LATHSAT_LOCUS22109 transcript:rna-LATHSAT_LOCUS22109 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKTSVEKSLEDFDPHNKPPSNNFAFACAILASMTSILLGYDIGVMSGAVIYIKRDLKLSDVQIEILVGIINLFSLIGSCLAGRTSDWIGRRYTMVLAGAIFFAGALLMGFSPNFPFLMFARFIAGIGIGYALMIAPVYTAEVSPASSRGFLTSFPEVFINGGILLGYISNYGFSKLPLQIGWRVMLGIGAIPSVILAVGVLAMPESPRWLVMKGRLSDAIKVLNKTSSSEQEARLRLVEIKAAAGIPENQNDGVVSVNKREGNTVWKELFLYPTPAVRHIVIAALGIHFFQQASGIDAVVLYSPTIFRKAGLESDTEQLLATVAVGLAKTVFILVATFLLDRVGRRPLLLSSVGGMVISLLTLAVSLTVVDHSHVRKMWAVGLSIASVLSYVATFSIGAGPITWVYSSEIFPLRLRAQGASAGVVVNRVTSGVISMTFLSLSNKISIGGAFFLFGGIAACGWIFFYALLPETQGKTLEEMEGSFGKFGVKSNTKAYNHDNGEIQFAN >CAK8574565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2317069:2319552:1 gene:gene-LATHSAT_LOCUS26897 transcript:rna-LATHSAT_LOCUS26897 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTKTKTLSSSSSSISPLPGDVYTILKDIDILPNSNLHFRFQLPHQAQEFLDKREVREFMSGALAGAMTKAILAPLETIRTRMVVGIGSKNITGSFVQVVEQQGWQGLWAGNMINMIRIVPTQAIEFGTFECTKRAMASLQDKLKQNECPKLQIGCLNLSLNLSWISPTAVAGAAAGVASTLVCHPLEVLKDRLTISPEIYPNLGAAIRNIYKDGGIGAFYAGLSPTLVGMLPYSTCYYFMYDTIKKSYCLTKNKKSLNRLEMLMIGALSGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALSEVIREEGLKGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVQKGISL >CAK8532588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:309735693:309738367:-1 gene:gene-LATHSAT_LOCUS2277 transcript:rna-LATHSAT_LOCUS2277 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKQNETNVYYKTCIYLPPRVNSQIPWWKITNSDTILVNSLPVLQMQMCLIFFATFWFKSILSRFGIHRFTSMSIVGLILAATFNENWTKTMKTMFFFDSQENLGLVSIFGYMLFLFYIGVKTDMSVVHKTRSGATNIGSLAIMAPFLCGMATLSFFSSKHLQSYQTKILGVVIGLFSMTPFPVISSTLSDLKILNSELGRIGQSASLVSEIFSVFIASILTFSKLNEDHGLMRALVCIAAAVLFILLVIFIIRPSMFWIIKQTPEGYHVSDNYVYSILIVTLLSSYASNRFGFFGLFGPFVLGMAIPEGPPLGTAIIKKIDTFVNGILMPTFVTTCAMRVDLKDLLCWRNKMDGSVDYFMVQALVIVAVCFVSKFVACMIPPLRSEMPLSDAFSLSLIMSSKGIVEMAALSVVRDTTELPNNIFALLMVCIIVNTTMIPMLLGYIYDPTKKYTGYTKRNIADLKSNSELRVLACIHRPDNIPATINLLEAAYPTKEEPICTYALQLIELIGRASPIFISHNLQKKKKSNSNTSMAEKLLESFQIFEKEFKDCLVVNTFTAVSPAEMMYDDICTLALDKFTSLIILPFHRKWSCDGDSVELEDESLRDLNYRVMERAPCSVGVLIERAQMTHIFSPETPYNVCLLFIGGKDDREALILTKRMTKNPHVKITVVRFMSLEGDSKGCWEGLLDNELLDEIKTKNKVGDVNVKYVEERVKDGPETALIIRCLVAEFDLIIVGRQAGIETPQTCGLLQWSEYPELGVLGDLLASTDAAGKASVFVIQQQRTAMDF >CAK8576495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:483885438:483887483:-1 gene:gene-LATHSAT_LOCUS28678 transcript:rna-LATHSAT_LOCUS28678 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLPLQFLTVLIFFIMNGQVITARDLKAVLRDHKQGDSDEESYIAGYRTRIHGSNEPYLTSYGNHETKKFDYITGYRTHAQDSNEPYLTGYANHDIKKSDYITGYRTHAQDSNEPYLTSYGNHDAKKSDYITGYRTHAQDSNELYLTGYANHDDKKIDYITGYRTHAQDSNEPYQTSYSNHAAKKSDYITGYRNHAQDSNKPYLNGYGNHDAMKSDYIAGYRTHAQDSNEPYLTDYSNHEVKQPNYITNYRTQSHNSNKPYITNYDNHELNQPDYITGYRTHAHESNGPYITSYGKHESNQPYITQYRPPSLNLKGLTSLNSKDIEGHASPNMDRTEAFKTGFFNLDDIHVGNVMTLQFPVQEVSPYLPKKEADSIPLSKSQLPSVLQLFSISEDSTQAKSMRGTLEECEGETITGETKICANSLESMLEFVDTIIGSNTKHNILSTSKPSPTATHLQKYTILEVSHDIHAPKWVACHPLPYPYAIYYCHYISTGTKVFKVMLVGDDNGDKMEALGICHLDTSDWNPDHVVFRQLGIKAGKNTPVCHFFPVNHLLWVPVEPTKATV >CAK8562135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:444826738:444829244:-1 gene:gene-LATHSAT_LOCUS15659 transcript:rna-LATHSAT_LOCUS15659 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKTLDYLSQEKTLESSFIRDESERPKVSYNDFSNEIPIISLAGIDDVDGRRTEICNKIVEACENWGIFQVIDHGVDSNLISEMTRFAKAFFDLPPEEKLRFDMSGGKKGGFIVSSHLQGEVVKDWRELVTYFSYPIKQRDYSRWPDKPEGWKEVTEQYSEKLMSLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNYYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPDATVYPLKIREGEKSVLEEPITFAEMYRRKMSKDLEIARMKKQAKEEKEVRDSEKAKLETKPLNEILA >CAK8579151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679988567:679989100:-1 gene:gene-LATHSAT_LOCUS31119 transcript:rna-LATHSAT_LOCUS31119 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNKMSLLSTLALFLISAQAARFHIVNQCPYTVWPAATPYGGGVQLNPGQVWNLDIPAGTPSGRIWGRTGCNFDRSGRGNCQTGGCGNVISCTLSGQPPLTLAEFTLNGGNNQDYFDLSVIDGFNIPMQFAPTSNGCNKVRTCKRKSCPDAYQYPSDNTKTVACPGGTNYRVVFCP >CAK8537887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444705769:444706014:-1 gene:gene-LATHSAT_LOCUS7137 transcript:rna-LATHSAT_LOCUS7137 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDGCWECLFKFLNNHEDDKYNRYLNSLSLVSKQFLSITNRLRFSAAVGSKILSFIHLLFQRFPNITFLTITKF >CAK8531689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139699508:139700209:1 gene:gene-LATHSAT_LOCUS1462 transcript:rna-LATHSAT_LOCUS1462 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDLNFNHHAKCERLHITNLTFVDDVLLFSKSDYRFVEFLLETLAKFSNSIGLFVNPMKCKVFFGGVDEATRMQITNLTNFEQGTFPFRYLGIPLTYKQLSDHYYMPLVDKIVGKIKHWSSHLLSYAGKTQLIRFEYFVVANFWMQCLPFPKVVIQKIDAILRSFLWTGKSDISRKSPISWKTICNPKKFGGLNIIELGSWNSTTMLKLLRIYAKSMIILGKVGSYLLLKRF >CAK8537776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:430711331:430713172:1 gene:gene-LATHSAT_LOCUS7030 transcript:rna-LATHSAT_LOCUS7030 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKPSSPTSPFLSPTKTHTKVAYSNPTLDDDHVQDEFHSWPTLKEALTEIKEIGKISGPTTITGLLIYSRAMISMIFLGYLGEMELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKILGLTLQRTVLLLLSTSIPISFIWSNMKTILLFSGQDQEISSMAQTFILYSIPDLFLLSFLHPLRIYLRTQGITLPLTYCSAVSVLLHVPLNFLLVVYFEMGIAGVSIAMVLTNLNLVILLSSFLWFSRVYKDSWISPSLECVKGWSSLLSLAIPTCVSVCLEWWWYEFMIMMCGLLINPKATIASMGILIQTTSLVYVFPSSLSLGVSTRIGNELGANRPQKARISMIVSLFVAMVLGLGAMLFTTLMRNQWGKFFTSDKEILELTSIVLPIVGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAIFLGFVAKLGFAGLWIGLLAAQGSCAMLMLIVLCRTDWNVEVQRAKELTKASIIKTTCDDDNDGKLPTFIEAKMNKNNVHDCLEEIVITHDEKDPLIITTTTTNYIED >CAK8531072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78332445:78332789:-1 gene:gene-LATHSAT_LOCUS887 transcript:rna-LATHSAT_LOCUS887 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAIGTRGTIGSLVRKEIEYFTKFELDRRGISQKPQHHLADMDMVSDRTISMPKPSFWFLPTTWKRKKQRNTSRFFRKICSVTEVNESNHFNRIPGHSYKILRNDINNFQL >CAK8537821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439071251:439072753:1 gene:gene-LATHSAT_LOCUS7075 transcript:rna-LATHSAT_LOCUS7075 gene_biotype:protein_coding transcript_biotype:protein_coding MNKANSWVRGKCIGKGAFGVVNMAYSKSDSRVFAVKSVDTKTALPNQLQALENEIEILKRFSSSSSFSPHVISYLGDDVTCEGEGTSAFKNLHLEYMPGGTVADLDRADVDERLVRKFAWCLVNALRDVHARGVVHCDVKGKNVLVAGGSASVAKLADFGSAVEFSGGRCISPRGSPLWMAPEVIRREYQGPESDVWSLGCTVIEIFSGKSPWEDHGFDTLSQIGFSDELPEFPNGLSELGIDFLEKCLRRDRSRRWSCDQLLQHPFLLPCDSVVESSPRSVMDWVDSRFTESENEFEFEEVKLNCDENLVKNRISKLETGLRVNWETENWVVVREISSEEESSTREKEECGDEVSGAISEMENVVRVVEEMEVEIEISLEYSDSDERVKREMLRLLWWRECYNQCKYNRNRRNSIIGGSGSCCGWRCRYRYGWEKFNRNVSRIFMINALCCKIIKSCYLLLIYYYPFWLKIIKGEFILKFSRNHFETLFTKQSLVELII >CAK8570091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23535853:23538141:1 gene:gene-LATHSAT_LOCUS22874 transcript:rna-LATHSAT_LOCUS22874 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKVEKAAETTAKSARVTRSATRLTRSGARSSSVANFIELPNTNRKSPVKAKKAEVKGKGKGKAKEEGEGSGAVKEETAKTVVVEHCKQCNSFKTRAIQVKEALESSDCGVAVKLNPEPPRRGCFEIRLQDGDKSFISLLNMKRPFQPMKDLDMGKVISDIIDDLSNAS >CAK8576218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:428215111:428216011:1 gene:gene-LATHSAT_LOCUS28424 transcript:rna-LATHSAT_LOCUS28424 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRDEIRRTNRATLEWINNIPREKWSRAFDGGQRWGHMTTNLAEAMNSVLKATCNLPITELVKSMFYRLRSLFGKRGHDWTKLLASGQTFTENCNKGMTDEASKSSSYNVIQFDRERFCFMVAENINQRDGRPLGTFSVDLKRGWCDYGRFQTFHLPCSHVIATRASIRQDHNMHIPDVFKVLSVFKVYNKSFLGLSHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIRIEMNNFEKEKRTYVEKLVICVENV >CAK8567761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:542232652:542234721:-1 gene:gene-LATHSAT_LOCUS20784 transcript:rna-LATHSAT_LOCUS20784 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPAKIRIQPSDVKAAALWGVTAATGALYLVQPWGFLKKTFFEKPEPEQK >CAK8537216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:148354444:148355925:1 gene:gene-LATHSAT_LOCUS6521 transcript:rna-LATHSAT_LOCUS6521 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMLQNSSKNKYAKLLYQYFVNHIITLTFLTIMVCIFVELLRLGPYEILNLFNSLKFDIIHILCSMFLIIFISTIYFMSKPYTIYLVDYACFKPPITCRVPFATFMEHSRLILKDNPKSVEFQMRMLERSGLGEETCLPAAIHYIPPNPTMEEARREAELVIFSSMDSLFVKTGLKPNNIDILIVNCSLFSPTPSLSAMVINKYKLRRNIKSFNLSGMGCSAGLISIDLARDLLQVYPNSNAVVVSTEIITPNYYQGKERAMLLPNCLFRMGGAAILLSNKRKEHKRAKYRLVQVVRTHKGADDKAYRCVFEEEDREGKVGISLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGKKIFNPKWKAYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSSEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRIWQIAFGSGFKCNSAVWKCNRSIKTPIDGPWEDCIDRYPVHIPEIVKL >CAK8567987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561252133:561252645:-1 gene:gene-LATHSAT_LOCUS20990 transcript:rna-LATHSAT_LOCUS20990 gene_biotype:protein_coding transcript_biotype:protein_coding MREGPHLTREQRLPLTTPVNEEEILNALKGIGDLKSPGIDGYGAKVFKASWNIIREDTIAAMHNFFNKEYMLKAFNNTVVTLILKSKEAKTIKECRPIAGCTTLYKVISNIVTTKLGRVLSSITSQCQATFIPGQKIHNHITLAFELLKGYTRKGGTPRCMMQLDLQKSL >CAK8531392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107376904:107377371:-1 gene:gene-LATHSAT_LOCUS1188 transcript:rna-LATHSAT_LOCUS1188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8572439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537125428:537128678:-1 gene:gene-LATHSAT_LOCUS25009 transcript:rna-LATHSAT_LOCUS25009 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWSLTCCNFQFHSTTLLPTSPTPFSISFSHPQLSTYNHNGIPLRSATSNSKLRAKFEKFQSETSQEPEFSSSLETITPVNSTEEDDSCLPPNLVGAVIQSSQAAASYVSSGGIRAIVELLIPQLQYLDDEGAQLELWELSRVFLDTLIEETQCQKVKAVFPDAGAAALLKYRWKDALFSFASLNDRKPVDSGDEIVVMIVPDYQMLEYVEKIASTLSDDPPRPLIMWNPRLYSADVGVGFNVRQLRRNFLSTFTTVYHMRPMPFGAVFRCYPGLWKVFSDDKDRPNRYLLAKEYAGQPDIDDIEMLFDNNEDAESEQEQSLLDKAAGVFSSINRFMKSM >CAK8570088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23397807:23403629:-1 gene:gene-LATHSAT_LOCUS22871 transcript:rna-LATHSAT_LOCUS22871 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVFQLCVGFNFNASNHLHAQATSTTNCKFAGQSVRALPIRILSVGRKRSQGLQLMVDEYVEKIKYYCSVEDVQIRPNPRNARDHRAQVDDEDMAMMNLIRSDDWVVMLDEHGQDVRSEQMAELVADAGNTGASRISFCIGGPYGHGRKIRERANLSIKLSAMVLNHQIALLVLVEQIYRSWTILRGQKYHH >CAK8569198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681852733:681856219:1 gene:gene-LATHSAT_LOCUS22078 transcript:rna-LATHSAT_LOCUS22078-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLFLCHHWVVLLFLLMLPCLGGVYSNSTISIPSIVNIGAIFTFDSSIGKVAKLAMEQAVRDVNSNSSILHSTHLVLHIKSSNCSGFDGMIQALRFMETDAVAILGPQSSVVSHVVSHVANELRVPMLSFAATDPTLSSLQFPFFVRTTVSDLYQMTAVSEIIDFYGWKEVIAIYVDDDYGRNGVSALEDALAARRCRISYKVGIKSGAVVDRNEITNVLVNMAQMQSRVIVVHAHSNSGFMIFKVAHYLGMMQEGYVWIATDWLSTVLDSVSLPSEIMDTLQGALVLRQHTPDTDMKKAFFSRWNKLTDGSLGLHSYGLHAYDSVWLVARAIDKFFSQGGVISWTNYTSLHEGKGKGGGLNLDAMSIFDNGTLLLENILRSDFVGLSGPMKFDSDKSLVRPAYDIVNVVGNGVRRIGYWSNYSGLSDVSPETLYASPPNRSSANQHLHSVIWPGETLTKPRGWVFPSNGKQLRIGVPIRASYREFVSPVKGTDMFKGFCIDVFVAAVNLLPYAVPYRLVPFGDGLKNPSYTEFVNLITTGYFDGAIGDIAIVTNRTRILDFTQPYTASGLVVVAPFKKINSGGWAFLQPFTPRMWIVTACSFFFVGIVVWILEHRINDEFRGSPKQQLITILWFSLSTLFFSHRENTMSTLGRGVVLIWLFVVLIINSSYTASLTSILTVQQLSSPISGIDSLKASDEPIGFQVGSFAERYLTEDIGISKSRLVALGSPEAYAKALQLGPSKGGVAAIIDERPYVEIFLSTQCTFRIVGQEFTRSGWGFAFPRDSPLAVDLSTAILQLSETGDLQRIHDKWNTRSTCSLDNTEIDSDRLQLKSFWGLFIICGIACAIALIIYFLQIMLVLWRSSPSEPASNVGPIQRFLSLVDEKKGPSRSERRKRNGDEMSPEDQLGRQPKRIQRVTAA >CAK8569197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681852721:681856219:1 gene:gene-LATHSAT_LOCUS22078 transcript:rna-LATHSAT_LOCUS22078 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKMSLFLFLCHHWVVLLFLLMLPCLGGVYSNSTISIPSIVNIGAIFTFDSSIGKVAKLAMEQAVRDVNSNSSILHSTHLVLHIKSSNCSGFDGMIQALRFMETDAVAILGPQSSVVSHVVSHVANELRVPMLSFAATDPTLSSLQFPFFVRTTVSDLYQMTAVSEIIDFYGWKEVIAIYVDDDYGRNGVSALEDALAARRCRISYKVGIKSGAVVDRNEITNVLVNMAQMQSRVIVVHAHSNSGFMIFKVAHYLGMMQEGYVWIATDWLSTVLDSVSLPSEIMDTLQGALVLRQHTPDTDMKKAFFSRWNKLTDGSLGLHSYGLHAYDSVWLVARAIDKFFSQGGVISWTNYTSLHEGKGKGGGLNLDAMSIFDNGTLLLENILRSDFVGLSGPMKFDSDKSLVRPAYDIVNVVGNGVRRIGYWSNYSGLSDVSPETLYASPPNRSSANQHLHSVIWPGETLTKPRGWVFPSNGKQLRIGVPIRASYREFVSPVKGTDMFKGFCIDVFVAAVNLLPYAVPYRLVPFGDGLKNPSYTEFVNLITTGYFDGAIGDIAIVTNRTRILDFTQPYTASGLVVVAPFKKINSGGWAFLQPFTPRMWIVTACSFFFVGIVVWILEHRINDEFRGSPKQQLITILWFSLSTLFFSHRENTMSTLGRGVVLIWLFVVLIINSSYTASLTSILTVQQLSSPISGIDSLKASDEPIGFQVGSFAERYLTEDIGISKSRLVALGSPEAYAKALQLGPSKGGVAAIIDERPYVEIFLSTQCTFRIVGQEFTRSGWGFAFPRDSPLAVDLSTAILQLSETGDLQRIHDKWNTRSTCSLDNTEIDSDRLQLKSFWGLFIICGIACAIALIIYFLQIMLVLWRSSPSEPASNVGPIQRFLSLVDEKKGPSRSERRKRNGDEMSPEDQLGRQPKRIQRVTAA >CAK8537362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:304482540:304484354:-1 gene:gene-LATHSAT_LOCUS6658 transcript:rna-LATHSAT_LOCUS6658 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCIWNYLRSQIWEDIDKSINCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETLETLDPDYINDPDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTYICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIEILIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8568535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614720849:614722632:-1 gene:gene-LATHSAT_LOCUS21482 transcript:rna-LATHSAT_LOCUS21482 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVWLGMPGPWANDYCEPSDPFTNKIGGLPDWPIPIDAINSDLLRCSTCANNLSLVAQVYAPLSHRHHRILFIFSCVSPKCATSWRVLRLQKITDIDTSQREQQTEVLNLQNLNSESDEDESEEDMSFEELANALIQAGTLASSNSKSKSKNQQKKRQQHNFSTTASLHNLNHNNNDVPVVPCFYIYTQEEPSTGDVSSVCSSYSSLSIKGNGNSVEDNLQGEETWETEQYEYDKALTADRIYLKFKKRLDAYPEQCFRYSNGGKPILAVAGEINPGSCRLCGRPRTFEMQLMSPLLYFLQEVLDDNQRKMVENWDWMTLLVYTCSESCCEEIKQVKSNCDGWIIAEEAVVAQCEESAHSARLMLMITDVDVKNSIPFMHS >CAK8574713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7229398:7229763:-1 gene:gene-LATHSAT_LOCUS27030 transcript:rna-LATHSAT_LOCUS27030 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAKDVKEAIRIFFNLKFIENDSARPILEGIQFKSLNQENSLYLEVPFTNEEIKEAVWSCDGSKSPGPDGYNFVFIRKCWKFMKKNIINFVKDFHSKMILSKPITSSFLTLIPKIPPPY >CAK8575684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:250460488:250474749:1 gene:gene-LATHSAT_LOCUS27926 transcript:rna-LATHSAT_LOCUS27926 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPRLSLPDDVDSAAEKGKQPMDDSDGKGGSDSDESDLDWFFKSDSDYEAEDVDKHLNFDLNQVPTLSASDSDSDSLAKKIMALSRKRGRESLIKIEDLCELERELEHGDEAPIDSPKKKKQKANKTKKGVPKPVLLWHAWRDEHDRWIDQNLLEDVNLDQSEVMNETAEASLDLIVPLLRYQREWLAWALKQESSVSRGGILADEMGMGKTIQAIALVLAKREFQQMSCEPDEHPHSPGSSKVLPAIKGTLVICPVVAVTQWVSEIDRFTLRGSTKVLVYHGAKRGKSAEQFSEYDFVITTYSIVESEYRRYVMPPKEKCLYCGKLFYESKLTIHQKYHCGPGAIKTEKQSKQTKKMKTGQSSKWDGGLKEGSIKKKKKETCSSIEDNEKSCLHAVKWQRIILDEAHYIKSRHSNTAKAVLALESFYKWALSGTPLQNRVGELYSLVRFLQIVPYSYNLCKDCDCRTLNHSSSKQCSNCPHSSVRHFCWWNKNIATPIQSYGYGDGGKRAMILLKNKILKSIVLRRTKVGRAADLALPPRIVSLRRDSLDTKEQDYYESLYNESQAQFNTYVQENTLTNNYAHIFDLLTRLRQAVDHPYLVVYSPTAAALKGGNLVSNGNVEVECGLCHDIVEDPVVTSCEHTFCKGCLIDFSASLGQVSCPSCSKLLTVDLTSNKDAVVHKTTIKGFRPSSILNRIQIENFQTSTKIEALREEIRSMVEMDGSAKAIVFSQFTSFLDLINYSLQKSGVSCVQLVGSMTLAARDVAIKKFTDDPDCRIFLMSLKAGGVALNLTAASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSSEALGKLTVADLKFLFVT >CAK8539325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509451176:509457680:-1 gene:gene-LATHSAT_LOCUS8434 transcript:rna-LATHSAT_LOCUS8434 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGSQNRLSGNSFGSRVSALIFTMIATMATIYVAGRLWQDAESRVYLIEQLEKKTGQGHSAVSVDDTLKIIACREQQKKLSSLEMELAAARTEGFVSKQLSANNEKQPTKKILSVIGVMTTFGRKKNRDAIRKAWMPTGASIQNLADQKGIIVRFVIGRSANRGDSLDKEIERENSQTNDFVILDDQVEAVEESSKKTKSFFIYAVDNWDAEFYAKVNDDVYVSLDAFGGVLTSHLDKPRVYIGCMKSGEVFSEPTHKWYEPDWWKFGDGKSYFQHASGEVYAISKALAQFISINRFILRTYAHDDVSTGSWFIGLDVMHLDENKFCCSSWSTGSICAAV >CAK8544483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688103257:688104267:-1 gene:gene-LATHSAT_LOCUS13159 transcript:rna-LATHSAT_LOCUS13159 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIICVLILLMNLLTSELRVESAVWQQAHATFYGGSDASGTMGGACGYGNLNIDGYGIKTAALSTALFNDGKSCGGCYQIVCDARKVPQWCLRGTSITITATNFCPPNFAQPNDNGGWCNPPRPHFDMSQPAFETIAKYRAGIVPILYRRVGCKRSGNIRFTINGRDYFELVLISNIGGGGEISKVWIKGSKKNKWESMSMNWGANWQSLSYLNGQSLSFRVQLKNGKTRTALNVAPSSWSFGQSFKSNVQF >CAK8541271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:100742288:100742824:1 gene:gene-LATHSAT_LOCUS10203 transcript:rna-LATHSAT_LOCUS10203 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIGLDKEKASVFFKTSTSSAALMTKNSGIRKILPKYDICDFEFDPCGYSMNGIEGNTVSTIHVTPEYGFSYASFEAVGYEYEERSLNEVVERVIACFYPSEFSIALHIDMTGENLDKFPLEVKGYNCGERSNEVVGQGGAVVYRSFVRNDGCASPKSTLKCCWSKDESKDEEVKEI >CAK8534215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:706925492:706927477:1 gene:gene-LATHSAT_LOCUS3775 transcript:rna-LATHSAT_LOCUS3775 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSPLIVEETNQNSKEEDRRELIKEVKKQLWLSGPLISVSLLNFGINLISVMFVGHLGELTLSGASMATSFASVTGFSLLGGMASGLDTLCGQSYGAKQYGMLGIHTQRAMFIIMIFAIPLAIIWANTRSILILLGQDPEISTEAGNYARSFIPGLFGYGLLQCLNRFLQTQNIVFPMMFSSAVTTLLHIPLCWIMVYKSGLGGRGAAIANSMSQWLNVIILSLYIKFSPSCKKTWTGFSKEALALNSIPIFLKLAIPSTLMVCLEMWSYELIVLLSGLLPNPKLETSVLSICLNTQAAIWMIPFGLSEAISIRVSNELGAGNPQAARLGVSVVVVIAIIESILVGTVIFLIRNILGYAYSNEEEVVKYVATLLPILALSHFLDGLQCVLSGTARGCGRQEMVAYVNLGAYYFVGVPAAVVLAFVLHVGGKGLILGIICALIVQVSSLMIITIRTDWEKETRKASDRVNDSITTESLVS >CAK8572328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529861678:529863321:1 gene:gene-LATHSAT_LOCUS24904 transcript:rna-LATHSAT_LOCUS24904 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRSFRYAVSVSFSIPNSHPFHSSISKPYSHSLQLFTHEFDAVSLFNQMLHKKPTPPVNQFGKILGSLVKTKHYLVVVSLCQQMESSGVYPDFVSLNILINSFCQLGHVTFAFSVLTKILKRGYQLNTITLTVLLNGLCLKGEVNKALDFHDKVVAQGFRLDQVSYATLINGLCKVGETRAALKALRQVDGKLVQPNVVMYNTIIHSLCKDKKVIDAFDLYSEMVAKRISPDAFTYNALIYGFCIVGRLKEAIHWLNQMNLENIIPDVYTFSILVDAFCKEGKVKEAKIVLALMMKKGVALNVVSYNTLMDGYCLVNEVNKAMDILNIMSRKGVVANVRSYNIMVNGLCKVKMVGEAMKLFEEMHCKQIFPNTVTYNTLIDGLCKSGRISHALKLVGKMHDRGQPPDIVTYNSILDGLCKKHHVDKAIELLTKFKDQGIQPDVCTYNILINELCNSGRLKDAQNVFEDLLIKGYNLDVYTYNAMIKGFCIKGLFDEALAMMSKMKDNGCIPNALTYEIMIYSLLGKDENDMAKKLLLEMIGRGLL >CAK8562815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:541359262:541359831:1 gene:gene-LATHSAT_LOCUS16280 transcript:rna-LATHSAT_LOCUS16280 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRLKSTVPPSPETLTSLKTPQPESRNTTVSVQTPGIESRTTAGEDKDMTNTLAKENKKTLIEPTQPQPKERRPWVDVINDNRSPAKGMAIEYVAPKIVNEVIEIDIEQEDIETKIQFWDNALILYVMGDDLSMNTVKNFMQRMWNFVKMPDLYYHDDGYFLLIFNSQEDKEAVMMKGPYTI >CAK8569319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693663300:693664096:1 gene:gene-LATHSAT_LOCUS22182 transcript:rna-LATHSAT_LOCUS22182 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPSQNSKKFKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVHRDLGLEIIHNERSSLYVNLFTDQLTVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEEFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8561080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86852329:86853696:-1 gene:gene-LATHSAT_LOCUS14702 transcript:rna-LATHSAT_LOCUS14702 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMRAVQYNAYGGGPDALKQAEVPIPSPSKNEILIKLEAASINPLDWKIQKRILWPILPTKFPYIPCMDVAGEVMEVGKGVTKFKAGDKVVSLMSPFSGGGLAEFAVIKESVTVSRPPEISASECAGLPISGITALQALSQTIGIKLDKSGERKNILVTAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVIDYKTPEGAALKSPSGKKYDAVIHCAVEVPWSIFEPNLSKNGKVVDLSPTLGSVMNFALKKLTFPKKELVPLCLLPKGEDLQYLVDLVKQGKLRTVIDSKYPLTKAKDAWARCIDGHATGKIIFEF >CAK8574723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7522383:7523865:-1 gene:gene-LATHSAT_LOCUS27040 transcript:rna-LATHSAT_LOCUS27040 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIINDFRKRTSKLPPICGGMWLASTKDGRVAFLTNVRELQNIPQPNTRGDLPLRFLQGNYLVDIVFGGTDSVQLNLNFETSFYNHS >CAK8565112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:39012923:39013220:-1 gene:gene-LATHSAT_LOCUS18349 transcript:rna-LATHSAT_LOCUS18349 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIFFLQCIGCVSPNYMADQGDLNERMKAILVDWLIEVHDKFDLMQETLFLTINLIDRFLAKQNIV >CAK8538273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474346357:474347850:1 gene:gene-LATHSAT_LOCUS7488 transcript:rna-LATHSAT_LOCUS7488 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPTLLSKCTIFPDQISTIGNLKLSVSDLPMLSCHYIQKGCLFTKPSIPFHDLIPLLKTALSKTLSIFPPLAGRFTTDSDGYVYLTCNDAGVEFIHAIATELNISNLLSTTDVHESFKEFFTFDRKVSFTGHFSPIMAVQVTELADGVFIGCSVNHAVTDGTSFWNFFNTFAQVCRVANKCIRNFPSFRRDSVLISDAVLRLSKEGPAVTFNADAPIRERIFSFSREAIQKLKARANNKRWPENNNSLESMQKYMNDHYNNNNNNNQKENGKVATLIENWFKTNVNSKQQTVTVTDTKTETETETVEISSFQSICALLWRAVTRARKFPASKTTTFRMAVNCRHRIEPKLEAFYFGNAIQSVPTYASAGDVMSKDLRWCAEQLNKNVKAHDNVMVRRYIEDWENNPRCFPLGNPDGSSITMGSSPRFPMYDNDFGWGKPLAVRSGKANKFDGKISAFPGRDGSGTVDLEVVLAPETMAGLETDAEFMAYATRQL >CAK8567098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486663859:486664161:-1 gene:gene-LATHSAT_LOCUS20182 transcript:rna-LATHSAT_LOCUS20182 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKRTHIRTWRELAEAFLKYYQYNTGMAPNRTQLQSLTQNTGESFKEYAQRWRELVVRVQPPLLERELIGMLMSTLQGPYLDRMVRSASSGFSDLVIAG >CAK8573215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593862310:593862936:-1 gene:gene-LATHSAT_LOCUS25688 transcript:rna-LATHSAT_LOCUS25688 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRPFRKKGTIALATYLRTYHIGDYVDIRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVRNKILRKRIHVRVEHVMPSRCTEEFRLRKINNDKLKAEAKAKGEVISTKRKPEGPKPGFKVEGATLETVTPIPYDVVNDLKGGY >CAK8565720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:312847552:312849365:-1 gene:gene-LATHSAT_LOCUS18908 transcript:rna-LATHSAT_LOCUS18908 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAIFPTTLLIIFFLSIIVTLTLKKKTKKVHSNPNIPPGPWKLPIIGNIANLVGSPPHRKLRDLSKKYGPLMHLQLGEVFFIIVSSAEYAKEIMKTHDVIFASRPANLTSEIIFYDSTDIAMAPYGDYWRQLRKICTVELLSIKRVQSFWPIREQEMSNLVKRIASEEGRVINLTQQVVSMMFSFTSRAAFGKKYIEQDEFIAAVREILQLAGGFFIGDLFPSAKWLQNATGRRPKLESLHKKVDRILEMIITDHKETKSRDKDGLVEGDEDLIDVLLKFEDSGNTNQEFSLTKKNIKAILFDIFTGGSDTAATTINWAMAEMMKNPRVLRKAQAEVRETLKKSGKLNETCIDELKYLKAIIKEVLRIHPPGPLLIPRECAQDCEINGYHIPKKSKVIINAWSIGMDTKYWNEPERFYPERFMDSCVDYKGNNFEYIPFGAGRRICPGMNYGMANVEMALAMLLCEFDWRVPNGMKCEDLDMSELFGASVIRKEDLYLIPIVYSELK >CAK8533293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600759893:600764361:-1 gene:gene-LATHSAT_LOCUS2933 transcript:rna-LATHSAT_LOCUS2933 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEPVLPQSHGCNIQGMDRVVATVTGYHDPERFNLIKLISYAGANYVGMMSKSITHLVCWKFEGKKYDIARKFRIPIVNHRWVEDCIKEGRRVPEDSYIMQSGHEVGPLLMEVPVTVQAKSLSKEKVVSASLCDIGSERQNSDFGSWLCGISVLEDSPLLKKHEESSSYSSRLSKKGKRNSGNEVSIEARHSRKGRRVEKNDGRVALDPIILDLSSDSQLSEMDRLHTEVAATSTISSCVNIENIQENSEGLDTGLSRQSRTIGGSSDGIEQSRDSYHLSTPTNSTLFIEDPLPLTQTSVDLCSSAAEKSTSDDVVDNFDELPTSNDLSCVICFTDFSSTRGILACGHRFCFPCIQGWVDHRIAMRKISTCPLCKANITGIMKVEHAVTTDQKVYSQTIPCNYPSSDIFIPMDQEFRDSSLESSRAGACVICCGREPEDLLQNCDVCRIRRIHSYCMDPPLLPWTCSPCKELRMIYRNRSY >CAK8567727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539392692:539393557:1 gene:gene-LATHSAT_LOCUS20750 transcript:rna-LATHSAT_LOCUS20750 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMNIPDGVSIKVNAKVIEVEGPRGKLVRDFKHLNLDFDLITDENGKKKLKIDAWFGSRKTSAAIRTALSHVENLITGVTKGFRYKMRFVYAHFPINASITNDNKSIEIRNFLGEKKVRKVDLLDGVSIVRSEKVKDEVVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKGAVVVEE >CAK8575786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:324855243:324859843:1 gene:gene-LATHSAT_LOCUS28021 transcript:rna-LATHSAT_LOCUS28021 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPPREDDRNNTATTLDSRFSQTLRNVQGLLKGRSMPGKVLLSRRSEPSDNSNSKVSSTFYKRSFSQNDAGTSDHPSGAVEEEVQSTSKPVSVANVSKLKISTSHGERDNLSEDIRKYTMGTRATDSARVTKFTKVLSGTVVILDKLRELAWSGVPDYMRPKVWRLLLGYEPPNSDRKEGVLRRKRGEYLDCISQYYDIPASERSDDEVTMLRQIAVDCPRTVPDVPFFQQPQVQKSLERILYAWAIRHPASGYVQGINDLVTPFLVVFISEYLEGGIDDWSMSDLSSDKISNIEADCYGCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRINEPVSQHIEDQGLEFLQFAFRWFNCLLIREIPFDLITRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLPSLEFQELVMFLQHLPTQNWTHQDLDMVLSRAFMWHSMFNNSPSHFSS >CAK8539763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524450219:524450803:-1 gene:gene-LATHSAT_LOCUS8831 transcript:rna-LATHSAT_LOCUS8831 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKINTSLNDGNAPPLCVMNGENYHQIGSLLPLPGKSPKFAQLYIRETYNEIANRMVVVRMEGDDLAFKSSIVRDIREALDNCNNPYVQTYKIIRNTIATQGSPFVKLRILGKRGHDGRIYNLPSASEVAALVVGDFDAADFDRDVVVKTQS >CAK8534209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:705530811:705536334:-1 gene:gene-LATHSAT_LOCUS3769 transcript:rna-LATHSAT_LOCUS3769 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGMSNQNNGQQWLGITEPISLAGPTEEDVNKTRELEKYLQGVGLYESQQEAVGREEVLGRLDQIVKIWVKTISRAKGFNEQLVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELQRMLSEMDEVTELHPVPDAHVPVMKFKFNGVSVDLLYARLALWVIPEDLDVSQESILQNVDEQTVLSLNGCRVTDQVLRLVPNIQSFRTTLRFMRFWAKRRGVYSNVAGFLGGINLALLVGRICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLSVWDPRRNPKDRYHLMPIITPAYPCMNSTYNVTTSTLRIMSEEFKRGSEICEAMEASKDDWDTLFEPYPFFEAYKNYLQIDITAENPDDLRQWKGWVESRLRQLTLKIERYTYGMLQCHPYPGEFSDKSRSFHHCYFMGLQRKQGVPVNEGEQFDIRLTVDEFKHSVNAYTLWKPGMDIRVSHVKRRNIPNFIFPGGVRPSFSSKVAGENKQSSKSRVSGQSQAEKSQGGKTVVLGAGDERKRKRLEDNNNSRVSKSFVSSSPPNKEVHEDVTPISATSTCSMKLDDSEVNSMAAQKSEVPRLKSVDKVPSGDSETNGSVMGNQQVTAPDTSNNKEEERLAIEQIMSGPYDAHQALAEEPDELEEDLGYKNQVEDNGGSVKNNSDSSNSKFAVVEELVIPKETVCSTQSFSNGGLEELEPAELMAPLLCGIPAPLPQRKPLIRLNFTSLGKAVDKSS >CAK8570460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47931171:47934935:1 gene:gene-LATHSAT_LOCUS23207 transcript:rna-LATHSAT_LOCUS23207 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVSYVLHLVLLFSTIFVSAWSQDGDVMQKLKASIHGVDWPGSDYCKWESVTCSDSRVTKIQLQSRSLTGFLPKELVQLTALTDFYCNDNKLHGDFPNMPNSLQNLYIDKNDFTSMPSDFFDNMSNLLLVSMGYNNFTQWKIPSSLKNCLALKTFSANNASFVGEIPEIFGKENFPSLVSLQLSYNHLEGNLPNSLADTSIETLWLNGQNSINKLNGTLSVIQKMTSLKVLWVNVNSFTGPIPDLSNLTQLENVCFRDNRLTGVVPPTLTSLLSLHVVNLTNNQLQGPPPKFQDGVVVDNDLSGSNSFCTKVDGQPCSPVVNALLSVVEPLGYPYILAESWKGNDPCGDNTWKGVICSGSNISTIDFRKLGFSGSISPSFASLSSVTKLLLSDNNLTGTIPMELASMPALKEIDVSNNTLYGQIPMFRGDVVVNTAGNPDIGHDKPSSPPSPSSGGNDKKKLSVGAVVGVVMGVVCLLGVGVLVFVMCRRRYKKRYGKVQTPNAIVVHPRHSGDGNAVKISVAAAGGSHAGGVGGTSGFSQSSSVQNVEAGNMVISIQVLREVTNNFSDKNILGKGGFGTVYKGELDDGTKIAVKRMQSDMVGDKGLNEFKSEIAVLTKVRHRHLVALLGYCLEDNEKLLVYEYMPQGTLSQHLFDWKDDGVKPLEWKRRLSIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGQASFETRLAGTFGYLAPEYAVTGRVTTKVDVYSYGVILMEMITGRRAIDNSQPDENIHLVTWFRRMQLNKDSFEKIIDPAMDIDEEGLESFRTIAGLASHCCAREPHQRPDMGHVVNALAPLVEIWKPSEPDDEDMYGIDLDMSLPQALSKWQNMEGRSSTLDVSYSSSMIPSCDNTQSSIPPRSPGFADSFTSADAR >CAK8561613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:320447520:320449081:1 gene:gene-LATHSAT_LOCUS15189 transcript:rna-LATHSAT_LOCUS15189-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKTDGPTIIVLTHAWYKKNAVLGMALDFLLTLIIPKLNPLNPVALSHSLIQPCDSSIQTTNKGWTSSNEVESIYHINALGKDCFATTIGTTKRFKASKHEWYFESCLSCKTSNKSPRENFVCICRVKDVEPITKFKIEFDVEYENHVGYFVFWDKGCIPFVEMTTTQLRELMKEAGDDNPKIYPAQLDKLLNKDLAFRIKYQSFFQQFSIVTIIKDDDVYKNLDKLINPNEHTSNASVFNFDTSNPKEVQDYPIMTPSAEHTKSAQPSTSVNHTWSPSASSSNTPTKMVSISTSLDELFQNEDLTHKQPATKAKHLKKE >CAK8561612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:320447520:320449081:1 gene:gene-LATHSAT_LOCUS15189 transcript:rna-LATHSAT_LOCUS15189 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKTDGPTIIVLTHAWYKKNAVYGLLSFLVLGMALDFLLTLIIPKLNPLNPVALSHSLIQPCDSSIQTTNKGWTSSNEVESIYHINALGKDCFATTIGTTKRFKASKHEWYFESCLSCKTSNKSPRENFVCICRVKDVEPITKFKIEFDVEYENHVGYFVFWDKGCIPFVEMTTTQLRELMKEAGDDNPKIYPAQLDKLLNKDLAFRIKYQSFFQQFSIVTIIKDDDVYKNLDKLINPNEHTSNASVFNFDTSNPKEVQDYPIMTPSAEHTKSAQPSTSVNHTWSPSASSSNTPTKMVSISTSLDELFQNEDLTHKQPATKAKHLKKE >CAK8541954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441668731:441671844:1 gene:gene-LATHSAT_LOCUS10837 transcript:rna-LATHSAT_LOCUS10837 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVPPTGKILSLDVNPNTTTLHSLKHQIENFHGIPISHQRLFLSQSLRLLGDNDSLFISNLGVGNYSTLTLHVPFYGGTQPPAVPKPPRFDFLNSKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAGVAPGVGRGRGKGGDDAAEEDEEREDKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWEDIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLYTLSPDDWQSLEKFGSGGYSSKNKKKRFESFVPVPDTLLEKARQEQEHLTALDPKSRAASANGTETPWSQTPVTDLTAVGEGRGTVLSVKLDRLSDSISGMTNVDPKGYLTDLNSMKISDSEKHRFLLKSVTQTNPKYPHGWNAAAQLEKSAGKLQAARRLIQKGCEECPKNEDVWMEACRLAKNPDDAKAVIAQGVKSIPTSVKLWMQASKLENDDMNRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDNAKKVLNRARERLTKEPAIWITAAKLEEANGNTSMVGKIIERGITALQIEGVVIDREAWMKEAEAAERAGSVATCQAIIHNTIGVGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWVKAAQLERSHGTTESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNLEEERKLLNEGLKQFPSFYKLWLMLGQLEERLANTAKQQDQPEKQHAHNMEAKKVYDSGLKSCPSSVPLWLSLANLGEEMSGLSKARAVLTMARKKNPQNPELWLAAVRAELKHGFKKEADILMAKALQECPNSGILWASSIEMAPRPQRKIKSTDALKKCDHDTHVIAAVGRLFWIDRKVDKARNWLNRAVTLAPDIGDFWALCYKFELQHGTEENQKDVLKRCVAAEPKHGEKWQAISKSVENSHQPTESILKKVVIALRKEEKAAEDSKH >CAK8576482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482876600:482876806:-1 gene:gene-LATHSAT_LOCUS28665 transcript:rna-LATHSAT_LOCUS28665 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGRPKSKVLPSPENHTKSEVQGEKPSTKMELDDDVFNNSEKSKIEQLETLTKDVKSMPNERKL >CAK8534076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691197599:691198034:-1 gene:gene-LATHSAT_LOCUS3646 transcript:rna-LATHSAT_LOCUS3646 gene_biotype:protein_coding transcript_biotype:protein_coding MINVSLYIISVIGNYFRFINLLYIGYLCMQTFPLVFEQYEEEINNLFGDIRLVLKKMYRKFDKNYLRKISRGPLKDKKAQ >CAK8542915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559692997:559693860:-1 gene:gene-LATHSAT_LOCUS11713 transcript:rna-LATHSAT_LOCUS11713 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSRRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVESLGQHDEYFRMMVDAIGKANLSPLQKCTAVIRMLAYGISADSVDDYLRIGETTTLKCVYKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFPGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEAVASQDLWIWHAFFGVTGSNNDINVLNQSNVFNDVLQGRAPEVHYTINRTEYNKGYYLSDGIYPE >CAK8542654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535194237:535196333:1 gene:gene-LATHSAT_LOCUS11476 transcript:rna-LATHSAT_LOCUS11476 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDAKVGIRRVRSVKDVINLYDDRNHRNADTDSSSLKKNQMDSSPNPTSRTRELHKAKRDIGKYKESRWTAESTKSHAESELSNAKKTMKNLSSMIEESSNKAKARMRDIETLEKKGKSPQHGDMVVSRNESYEYAKVMRELEYIKKELFKLKLDVASVMEEKSRAEQEIEVSSSKMIACSSKAEELRKEIEEANEEQVLAELARIEALKEFEDIRAQRESEQKEFLCKLETTRMKIKEAEEEREESKELEMKLAMTVSDVELLQNQLVLVTEMEKRVQGDESMKLLEGGLRKSGESEEDSTELQAVKEELEASMKELAVIRAEGFQFMASMDVIRNELKHITKETARLKRNDSSVQNLTSKLLRMKSKLEAASAAEEKAKSLVVSLSHSLENLKTETDDAKKEKALISQEIITTKEEIQKTDYEIDTSEEKLQGVMKELEEAKATEALALEKLKTISETAMRQRAITAKHSSLITISKFEYEYLTNHAAAAEEIADRKVAAAEAWIEALKASEKEIVMETKIAQREFKETMLKEEREFYIKEKMVVARRVGGEEFDSPRKRDKNSSKNLQRAISRKSFKSNGSLTPAKRAKFQMSSISPAPRHLSPFALKKRKKVIPNLTKLFSGKKSNRTIE >CAK8577702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584004908:584005384:-1 gene:gene-LATHSAT_LOCUS29784 transcript:rna-LATHSAT_LOCUS29784 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNVYDPFSLDVWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKMEQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8559962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2573889:2576604:-1 gene:gene-LATHSAT_LOCUS13690 transcript:rna-LATHSAT_LOCUS13690 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSEQKVLPRNLPILVEVSPNTGTARETVPRINGHEISNARWISARELNQLSQARDVPMTRLASIREVAQLSNARILLVRDDMEFDTRESPESISFEPTRTWKGNSSFLEGEDLMYDVDTLKGSSDSFEEGDPSLFAGASHPPEPVDTDLMRTVYVPIGQNKSEAGCLLKNLSMKGPFLEDLSIRVPAKKPSPIVLSPQGSLGEELNDTGNLSSPFAGSRASQNTENSLLAPDSEEKECVWDASLPPTPSGDVSPHSSIDSIGVVKAMSIANSCASTYRSDAVTSDGMLSLDRNFDSIKGSVRGDSLESAKTSASRASDSSGLSDDSNWSNLTGSANKPHKGNDPRWNAILAVRLRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVLPTLIRAFDGDPSKRAGGAFCVQPACIEPSSVCIQPACFIPRLFPQKNKKSRKPRAEPGFPSSRLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVENEPPPPPPMKVGPAIDAVGFGSTSKRMSGNNEMKPGGKYLDFEFF >CAK8575072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26386193:26386750:-1 gene:gene-LATHSAT_LOCUS27363 transcript:rna-LATHSAT_LOCUS27363 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFGQSFTKNCNKGMADEASKSSSHNVIQFDCERLCFIVSESINQHDGRPLGTFSIDLRRGWCDCGRFQAFHLPCFHVIAACANIRHDHNMHIPDIFKVLSVFKVYIESFLGLPNHQNWPTYEGFTLCHDETMQRNKKGRPNSTSIRTEMDDLEKEKRRCGICREIGHMRRKCLNVAGPSNRLV >CAK8531611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130248372:130248602:-1 gene:gene-LATHSAT_LOCUS1389 transcript:rna-LATHSAT_LOCUS1389 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFEMNSMELVKQSKHANGGDIWAELIEIGVEPSSLSLVYMYLVKNADALKAFNGIPINKRKEMLHLIVPDYAF >CAK8570397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42813499:42814139:1 gene:gene-LATHSAT_LOCUS23146 transcript:rna-LATHSAT_LOCUS23146 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKELERRSKFLNSLIQNKKKATQQQQQHQQKQQDQEQEQEQEKEQHDKNSNIHVRACDMSLTLQKHAFQCARDHLDSKPSKKIDSKHLALALKKEFDSSYGPAWHCIVGTSFGSYVTHSVGGFLYFSIDKVHVLLFKTAVAPLNHS >CAK8534760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:764643091:764645077:1 gene:gene-LATHSAT_LOCUS4275 transcript:rna-LATHSAT_LOCUS4275 gene_biotype:protein_coding transcript_biotype:protein_coding MERRENQKTPQGQIARVLVEFLEVAITSVVFLKGVYPSGAFERRRYMNVVVQRACHPQLRYYIHATVSGLFPFIQKGLVERVAVIFFNADNIPLEKFIFKLAMNLSYSSSIEEVDLQFSLRSFMSKLSISESLTKKLPPDCRWEITAYFRSIPEAGTSKEADLWIPTDTKQWQQPPLITPIKSMSTEPLCLQLYLEHPCLSESLH >CAK8540118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540435494:540445876:-1 gene:gene-LATHSAT_LOCUS9151 transcript:rna-LATHSAT_LOCUS9151 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRPLPESVRSSIRSGISLFDFTRIVEELVFNSLDARATKVSVFVNIGSGNCFLKVVDDGDGITRDGLELVGERYATSKFLNLADLNTTSENFGFRGEALASISEVSLLEIVTRAYGRPNGYRKVLKGCKCLYLGIDDDRKEVGTTVIVCEIFYNQPVRRKHIESSPNKVLQSIKKCIMRLALVCPNISFKVVDIEREDELFCSHSAASPLSLVTAGFGVEFTKSLHELEVENNIIKLTGYISDPCNTFDMKALQYLYINSQFVCKGPIHKLLSQLAIRFEHRNSWSADNECKNKKRDRSQPCPAYILNLRCPRSLYVLSFEPSKTYVEFKDWTPILNFIEKVIKNFWEGSIAYGDSTNKATYTVQKDQRKKVDPDVNTISVESDISKFGNQNHKGCLDLFFSTSDKLTEDDNHQCNREDVRTNDGYLHRRTEMFKDKQNAGELHCWTGYSGNLLDVSYAKSMPTTKKKNSNFSTYDNNDLLQEVYFSDGMRPTVENLYDNIPFYATSSSHGRKLNKPDASVLCESFEGDFQKPFLKSCSTQKGSILHEKALLVNNEPELQTFSFWSKKNLWGDCFIGKDLNALPCVEVTKKLKMSEDSDFLLRAQSEENCRHSDSWYSATQIGDSSSDDQLPNSERPPVYQGPSPKATAFDVYHTNDINDLKRASRCYERTHHTQNFHKEEFGNNFSYNLEGASKYCKRIYRTHVFDDEEKGYNFSYDMSRHANRLPCTSSFANSGFSFDGAVDFNEIFNRLVDWPDFGDSYFPKRSGILNEETDLLLPESYVGNCTKPNINKGIRDCFKHPALGKTHARSKRSFSAPPFHRSKRRFFSLNQLPEMIAKRSTGQASHSTANLLAGDLKYSQHPSVAFHPSNEDLLREFKTNVKWSSEVLGASQVVDIAKTDGFESLNVQQNDPFRELISREVQDPIDHRTKWRSRSPQTLKNDKLIDVGNQNNILDISSGFLHLAGSSLIPETISKKCLEDAKVLHQVDKKFIPIMAGRTLAVIDQHAADERIRLEDLRQKVLSGEAKALTYLDTEQELVLPEMGYQLLNSYGEQIKDWGWICKSHTQNSESFRRNLDILNRQQMTITLVAVPSIFGVNLNDVDLLEFLQQLADTDGSSTMPPSVVRLLNSKACRGAIMFGDSLLPSECSLLVEELKHTSLCFQCAHGRPTTVPLVNLEALHNQIDKLGLMNEGSSNNKWHGLQRHKVCLERALQRLSSAGS >CAK8543480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610560745:610561076:1 gene:gene-LATHSAT_LOCUS12235 transcript:rna-LATHSAT_LOCUS12235 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRLLVIASFYCLHLSYTPWRLLTMLHQNSGRCYCTDAVMFYLACSTSSSYMFVKSLVVCKLCSVLFFCNMWNLLDLLR >CAK8531947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:182656646:182661087:-1 gene:gene-LATHSAT_LOCUS1700 transcript:rna-LATHSAT_LOCUS1700 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCQVCSLPPEYCEFGSDFDKCKPWLIQNVPDLYPNLLNEANEKEADKVADKLQATGISSASSAGAASSGKPEEVKRLPGGKIKKKDKQEVIIEKVVRNKRKSITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPERAIFFIEDGKKVPAA >CAK8575318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:77392732:77394646:-1 gene:gene-LATHSAT_LOCUS27591 transcript:rna-LATHSAT_LOCUS27591 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLMQAVQYSSYGGGPAGLKHVEIPVPTPKTNEVLLKVEAASINPIDWKIQKGDLRALFMPRKFPNTPCTDVAGEVVEVGPQVKDFKVGDKVLAKLTHQYGGGLAEFAVASESLTATRPSEVSAAEAAGLPIAGLSARDALTEIGGIKLDGTGEQKNVLVTAASGGVGVYAVQLAKLGNNHVTATCGARNIDFVKSLGADEVLDYKTPEGVALKSPSGRKYDAVIHCTTGIPWSTFDPNLAEKGVVVDLTPGPSSMLTFALKKLTFSKKRLVPFIVNVKREGMEHLAQLVKDGKLKTIIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >CAK8535840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884687398:884692475:1 gene:gene-LATHSAT_LOCUS5260 transcript:rna-LATHSAT_LOCUS5260 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHCLFHFPPSSSQSSQFLNPKFKRFPKPYKLRSISSRIHTPESENDEKDKIPNNNHLNFLNLSVTLTVISASLPQLSAASAAVAVKGKKRSTKKVKALTAEELKLWMEGLPDVSERIPYTEIVELKNTGKLKHIVKPSTAELREHAVAVFVVLEDSRVFRTVLPSIESDGKFWGLWEDLNVDSICVNAYSPPLKTPEVPLPVLFRIWMALPLHKPVASFVNRFLKPKKKSKKELELRKARMELQRQKKEEVTMMEKEMEMIEKEARNDKREKEIAKREKRQKEYSQRMLEVKNSSIMSAEFWDRMGRDKLLANALGVVFFLIFYRTVVYSYKKQKKDYEDRVKIAKADAEERKKMKEMEAKMGWTDAGADDDEGQPGEVEENYYLKMTKQFMKSGARVRRAQKKKLPQYLERGIDVKFTEVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRSLYQEAKENAPSVVFIDELDAVGRKRGLIKGSGGQERDATLNQLLVCLDGFEGRGDVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRIEILKVHARKKPIAEDVDYEIVASMTDGMVGAELANIIEIAAINMMRESRTEITTDDLLQAAQMEERGMLDRKERSKEKWEQIAINESAMAVAAMNLPNFDDIEYITIAPRAGRELGYVRAILDSVKFSDAMLTRQSLFDHITVQLAPRAADEIWFGKDRLSTIWAETADNARLAARMYLLLGLSDKYHGVSNFWVTDRINEIDLEAMRILNLCYERAKEILQQNKTLLDAMVNELVEKKNLTKEDIVHLAQLHGHTKPVPVSLFDIREARLRELQEMSSK >CAK8532776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:512684077:512685243:1 gene:gene-LATHSAT_LOCUS2448 transcript:rna-LATHSAT_LOCUS2448 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGQTQVQGIDEAVLDDIIRRLTEFRLSRPGKQVQLSEDEIKQLCLASRDILLQQPNLLELEAPIKIRAKQS >CAK8567723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:539014572:539022035:-1 gene:gene-LATHSAT_LOCUS20746 transcript:rna-LATHSAT_LOCUS20746 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHVLVVFLSCISTAVRCEGDDDDVYAREILSSAQREKDWLVSVRRKIHQHPELAFQEHNTSALIRSELDKLDIPYTYPVAKTGVVAQIGSGSPPIIAIRADIDALPLQELVEWEYKSKIDGRMHGCGHDAHATMLLGAAKLLNQRKDKLKGTVRLLFQPAEEGAKGASQMIKEGVLQDVEAIFALHIDAMTSTGAIASIPGPFTAAGCIFEAKIVGVGGHAAFPHLTVDPVLATSFAILALQQLVSREIDPLHSQVLSITYVKGENALNVIPPYVKFGGTLRSQTTESMYHFRQRLKEVIEGQASVHRCKAYVDFMEKYHTPYPAVVNDKDLHLHVNRVGRLLLGPDNVHEAKKVMAGEDFAFYQEVIPGTLFSIGVRNEKVGSVHSPHSPFFFLDEEVLSTGAALHTAVAELYLSEHSI >CAK8568381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600490105:600490578:-1 gene:gene-LATHSAT_LOCUS21341 transcript:rna-LATHSAT_LOCUS21341 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTGKEQKQNKIVRIITTPIRVLGKAKDMYVRSITKCGNNMNYSKPVDSTGRFQNLPRSYSAVTTRSAGAGDSEDFVDLMRAASARTLVNRIDMDLVLKQEPVSTNGLPKSVSVGMGRIDEERASDMGEGVGDVVVVGNSYPRSRSYAVGNRNAVL >CAK8574393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679857389:679860387:1 gene:gene-LATHSAT_LOCUS26746 transcript:rna-LATHSAT_LOCUS26746 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSYTDSTHPPTTHISLSLYLDFASSTIHASALFTLQNPHSGPFFLDTRSLSINSVTDSQSNPIPFSLSPTVDPIKGSKLTLTLTNHTSFLINYTTSPSSSALQWLLPPQTFNKKHPFVYTQCQAIHARSVFPCQDTPAIRVCYSAKMNVPVELTAVMAAKHVARRSLLDTDDCFGNSSKGRVVEQFEMELPVPPYLFAFAVGELDNREVGPRTRVYAESVPQLLDSAAREFEGTEDMIREGERLFGGYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEAVQGEKRATLNIGIGWRGLNENVERFKDNLELTKLKNNQEGIDPDDAYSQVPYEKGFQFLLRIEREVGRPTFDEFLKKYIATFKFKSIDTETFLDFLKANIPGIENQIDLILWTEGTGIPSDAYEPDSSVYKTIVALANESVNGRMPTEDEIAEWQGQEWELYLDNLPKSIEVSQILALDSRYKLSESKDYEVKVSFLQRAISCGCKAYYSEVEKTLKEVGRMKYLRPLYTALVKDSGNEEDKVFAKRLFSEARECYHPIAQGVVESIFAKYT >CAK8544260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674291391:674291891:1 gene:gene-LATHSAT_LOCUS12954 transcript:rna-LATHSAT_LOCUS12954 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKDKQQIKDAIKEYANRAAEGWIATWHADDDFAIFGVSNGVETYVVSLLQQKYGCRKWDLSGIPCCHAIACIWYNKKDPEEYVSSFYRKSTVLATYSHIIMPTNGLLMLLTQSALQSCEDLSIVLRRIVTRRMMNRG >CAK8541831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:410747317:410747928:-1 gene:gene-LATHSAT_LOCUS10725 transcript:rna-LATHSAT_LOCUS10725 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNIVSQTNNKYDVVFHSQVIHTTVTHTPSVVKDWLSNLPRNNHNRRYLVGLDVEWLPNRQPSMDNPVAVLQLCVDRECLVFQIIHAPSIPESLVAFLEDQENTFLGVGIGEDVEKLLRDYSLRVANFVELCTLAVEKLGDHMKCAGLKTLALHVLGKGMEKPRRITMSKWNDFNLSPQQVRYACIDAFVSFEIGRILYAGR >CAK8542595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528548289:528552882:-1 gene:gene-LATHSAT_LOCUS11421 transcript:rna-LATHSAT_LOCUS11421 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISSLHHLLFSSFVIFSLLLNHVHANKKCYIVYLGAHSHGPTPSSADLEFATSSHYHLLASILGSEENAKEAIIYSYNKQINGFAAMLEEEEAAQIANNGKVVSVFLSKEHKLHTTRSWEFLGLRGNDINSAWRKGRFGENTIIANIDSGVWPESMSFSERGIGPIPAKWRGGNICQINKLNGSNQIPCNRKLIGARFFNNAYESVNGKLPRSQQTARDFVGHGTHTLSTAGGNFVPGASIFNIGNGTVKGGSPKSRVATYKVCWSLTDATSCFGADVLAAIDQAISDGVDLISVSAGGSTSTNSQEIFTDEVSIGAFHALARNILLVASAGNDGPTPGSVVNVAPWVFTVTASTLDRDFSSSITIDNKTITGASLFVNLPPNKSFTVITSTDAKLANSTKRDAQFCRAGTLDPSKVKGKIVACVREGKIKSVAEGQEALSAGAKGVILRNQPLINGRTLLSEPHVLSTVSYYSKHQTTRGHNVDLIPTDIKSGTKIRMSKAKTIYGKKPAPVMASYSSRGPNKVQPSILKPDVTAPGVNILAAYSLFASASNLITDTRRGFPFNVMQGTSMSCPHVVGTAGLIKTLHPNWSPAAIKSAIMTTASTRDNTNKPIRDAFDKTLANPFAYGSGHIQPNSAIDPGLVYDLSIIDYLNFLCASGYNQQLISSLNFNMTFTCSGSHSITDLNYPSITLPNLGLNVVNVTRTVTNVGPPSTYFVKAQLSGYKVFVEPSFLKFKKIGEKKTFRISVQATRVTPRRKYQFGELKWTNGKHIVRSPITVRRK >CAK8569967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18396213:18396809:1 gene:gene-LATHSAT_LOCUS22761 transcript:rna-LATHSAT_LOCUS22761 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQLGDGGKSPQCSRSVLPEEEADQIVNSGGGEVALKKGIEADQTVNAGGGEVALKKGSTSTRKHKVSSLSSGSDVGKRSKLSRSILPEEEADQRDNAGGGEVVLKKGLWTKEEDENLKDHIKKHGEGNWKAVQKESGLARCGKSCRLRWSNHLRPRVKKGSFTAKEECLIIEWNFLKGNKWAHMATFVYLIT >CAK8575027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22571012:22571395:1 gene:gene-LATHSAT_LOCUS27320 transcript:rna-LATHSAT_LOCUS27320 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKLIHDNNLKSSMLMFHQDKEDGENEEQNIVLLSKPTSSREKHKMEDKEEEENDGFKTPTRVENRIQEPKQCPLAPRKSKPSLKRRKPHCRQLLDVSREVELLFQIKHKTFSSSQQSTKKVRRE >CAK8572796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564140722:564142407:-1 gene:gene-LATHSAT_LOCUS25318 transcript:rna-LATHSAT_LOCUS25318-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDQPVMIVAIDESEHSAYALKWTLDHFFNNNNSVFKLVLLHARPSATSSVGLAGPVYAGAAEVLPIVDSDLKKIAARVVDSAKQLCIKKSVNDVIVEVVEGDARNVLCDTVEKYHASILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKTKH >CAK8572795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564140722:564142407:-1 gene:gene-LATHSAT_LOCUS25318 transcript:rna-LATHSAT_LOCUS25318 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDQPVMIVAIDESEHSAYALKWTLDHFFNNNNSVFKLVLLHARPSATSSVGLAGPGAAEVLPIVDSDLKKIAARVVDSAKQLCIKKSVNDVIVEVVEGDARNVLCDTVEKYHASILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKTKH >CAK8531907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174155296:174155892:1 gene:gene-LATHSAT_LOCUS1665 transcript:rna-LATHSAT_LOCUS1665 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIYSSVAENIMSRDLKISAEIYIETLRGWVEEIKENPNPLKSLIREHLIGNERRSGGTCETTYWITPVRHEAADAESAPMPLAMPCLVPRHGGGPVARHEHRAKGRLSNSSEPPYLTTT >CAK8531687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139697514:139698065:1 gene:gene-LATHSAT_LOCUS1460 transcript:rna-LATHSAT_LOCUS1460 gene_biotype:protein_coding transcript_biotype:protein_coding MIISWNVRGLNKKDKIRDISSRLLELNPNIAILLETIVKKDSVAHIRKLLNFKGVFADNYENHGNGRIWLAWKHNCIDIKLVCSTSQLVHCGVYDLVGNFMFWLTVIYAMNTLEQSRLLWKDLARIHSQQRGAWCLISDLNNVIKTQDGIGGRIVTEAEYNDLVTMMQNVGLYEMDSLDDYYT >CAK8577058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535062209:535067938:1 gene:gene-LATHSAT_LOCUS29200 transcript:rna-LATHSAT_LOCUS29200 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSLAKSKRDHTRQHNKKYHGNQKLKVQSQSSVSSSVPTQKDDAKEPFAKQQVVEKKTTRSRSQGSSLLPGNWDRYEEDDSDSVPESSSKTLDVVVPKSKGADFRHLVAEAQSHADKTLDDFNESLPWEFGVGLSSILSVRGEGIVSWEGDDNFVVQDKTIGNQEASMISLNLLAIAQKLAKVDLSKRLFIEPDLLPSELRVEDLAVGSNEEPEPDKQETAVDHELAKKMSKELNIDDFAADQFTSSSSCSSSHTASISALSDNTLVPVNNVGKHTTFEAAAELELDMLLDTLDESKSNASFTAPFGVSSIKEPVSTRIASITASLDDALDDLLEETSTLMKPNVLSRPPEEKTVNPSMLSSQSQNMSKVADDFDSWFDTL >CAK8566578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438189046:438190098:1 gene:gene-LATHSAT_LOCUS19699 transcript:rna-LATHSAT_LOCUS19699 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRTWMYDRTLPGRRGLTANFEEGVKGFIAWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDYCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLSIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHYPKLGVLTPKLGSCME >CAK8570691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88732914:88733417:1 gene:gene-LATHSAT_LOCUS23425 transcript:rna-LATHSAT_LOCUS23425 gene_biotype:protein_coding transcript_biotype:protein_coding MANDFSDNVLNSVACRLCNRVFISTQALVTHIESHMKNEEAAIRRLYSIEHIIPQRRFPSHCFPLGFHVPLMDTQNMNEGRTFQPQPMMIPQPRKKQFLSVGSMQMQFSPRVYQLKHLEEESSNDGTKAYIMQLEKPIKKIDFIDLVNNDDDDDNSDVHALDLALKL >CAK8544545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691809660:691810220:1 gene:gene-LATHSAT_LOCUS13213 transcript:rna-LATHSAT_LOCUS13213 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLMKGPYTIRNMLMLLAEWKPNFNLKNDMMRTIPIWIKLPQLPLHLWGAKNRAKIASVLRTPLMTDECTANRYRISYARVLVEIDITQAVITEIAITDEKGEKMQQQVEYEWRPPYCTKCQRIGEKCEEKQPKNPLKQWIPKQKKNQNDKIASNEDETLKTPTQKTSSTGTSKSRGNRANENQ >CAK8535405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845987770:845988156:-1 gene:gene-LATHSAT_LOCUS4870 transcript:rna-LATHSAT_LOCUS4870 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEVKLVIQKELTNSDVTQNQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPGMYVSMLDHNLNLWDEICLKKWKMEKAEIYSITEGWNELVAEKEWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8538667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491500939:491502471:-1 gene:gene-LATHSAT_LOCUS7842 transcript:rna-LATHSAT_LOCUS7842 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFVVAPSPFHHHHESLISDSLNPATALGVGVIPLLTASPCLENENLLNLNHRRNHNHNHNQNQQGIQFWHEPQPQHHQQHQHHQNHQQQNSHSHYLKKQGFLDHHNTSPTNLVHHGGGGGGGGLTGSGTSSGGGTTTCQDCGNQAKKDCSNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERLASATTTTVVAGGGSSGSTSGAKKPRLIASQTTSHTSTSNTTPPRSFDTTSSHQDAGFKESMPGQVRAPAVFKCVRVTSVDDGKDEYAYQAVVKINGHVFKGFLYDHGVENREVYPNLSELHLGGGGSGNSGGANRNVAPSSSPMLDPSHDVYAAASSGGLLGNSGYGGNQIN >CAK8577843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593686711:593687088:1 gene:gene-LATHSAT_LOCUS29912 transcript:rna-LATHSAT_LOCUS29912 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKKFLYLVTAQNHKHKFWLLINSLILLLNVSFCSCSSDTISTGKPIRDGELLVSKSKTFALGFFTPGKSTSRYVGIWYYNLPIQTVVWIANRDTPINDTSGILSINPSGNLVLHHNLSTIPI >CAK8530257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10592355:10593678:1 gene:gene-LATHSAT_LOCUS137 transcript:rna-LATHSAT_LOCUS137 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFYCEFRADEEPVDQKRFLEESCKPKCVRPLLEYQACIKRINGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTQLK >CAK8544938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713706693:713708396:-1 gene:gene-LATHSAT_LOCUS13578 transcript:rna-LATHSAT_LOCUS13578 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMEKLKMFVVQEPVVAASCLIAGFGLFLPAVVRPILDSYQTTEQPPQTALSDVVKSMAGQK >CAK8536923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:39926222:39927309:-1 gene:gene-LATHSAT_LOCUS6247 transcript:rna-LATHSAT_LOCUS6247 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLDEDIDDGPKVDMSSVIDELWKRFKSLDVIGKRTLKSRIFELAFPTMTSMCPPAEKVKTKEGVKKKDKKPVGSDVYIDHSYHEYVDQASQSSEMQSQPSQTSKKLKLSQFSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIGDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRECLMIEEFDPQPPHKWLTLPDMGYVIVNRYNIVLVCLGIECWTFFPMITSFSPNVAIYCIGFVNKHNWVNMKEEFPLPPVIVDWKKFRSPAATSWMLGLAERLQHWQQLTPILPTHYKL >CAK8560405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17883098:17884342:1 gene:gene-LATHSAT_LOCUS14079 transcript:rna-LATHSAT_LOCUS14079 gene_biotype:protein_coding transcript_biotype:protein_coding MITVMQLMESMDLPKKEVSNYIPNDISFDILSKLPMKSLKRFSCVCKFWSNLFEKPQFMDIYRISLFASKYEDHHNSCFLLKQSLDLSEDNILLLSGETFENKVKLDWPPPFKEYRKRFYIVGSIVNGILCLCRGNGRGDTNYINQEVVLWNPSTEDFKVIPSGSFNHAILKAFPPDTIFEDLPLMFTFVNIYGFCYDPVADDYKLIRNFYFIDSKKFELDLNICPHDETLWQIYSLKSNSWRDIQVKMPNHYYNDEWKQNGNGIYFHGMCHWWGYRDHLKEHMLVSFNLRDEVFIITHSNPNYHVFDRHMFILKDSIATIDYEEPYNIFISVLGEIGVTESWTRLFRIGPLPYSTSPIGVGINGDIFLNRYGEIEKFNLNTNLIEEIGITGRIIRCQMIIYNSSIFPIGGIHS >CAK8560406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17883110:17884342:1 gene:gene-LATHSAT_LOCUS14079 transcript:rna-LATHSAT_LOCUS14079-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMESMDLPKKEVSNYIPNDISFDILSKLPMKSLKRFSCVCKFWSNLFEKPQFMDIYRISLFASKYEDHHNSCFLLKQSLDLSEDNILLLSGETFENKVKLDWPPPFKEYRKRFYIVGSIVNGILCLCRGNGRGDTNYINQEVVLWNPSTEDFKVIPSGSFNHAILKAFPPDTIFEDLPLMFTFVNIYGFCYDPVADDYKLIRNFYFIDSKKFELDLNICPHDETLWQIYSLKSNSWRDIQVKMPNHYYNDEWKQNGNGIYFHGMCHWWGYRDHLKEHMLVSFNLRDEVFIITHSNPNYHVFDRHMFILKDSIATIDYEEPYNIFISVLGEIGVTESWTRLFRIGPLPYSTSPIGVGINGDIFLNRYGEIEKFNLNTNLIEEIGITGRIIRCQMIIYNSSIFPIGGIHS >CAK8538661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490945382:490946173:-1 gene:gene-LATHSAT_LOCUS7837 transcript:rna-LATHSAT_LOCUS7837 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKRVYMISHTTTNTNLMLPTPSKRHRGRPSGSKNKPKPPIIIKENSETLMEMVSIEIPAGRDIVEYLINLAQRHQSSLTVVRGYGPVIDVTLLNLVSHTPSFPIAGPFRMISLSGTYINSNCGHVPPQFATNPSFSSFSIYLSGGHGQVFGGVLGGKVKSAGVVLITATLFKKPVFHRMVTINGTIQDIEDDDSIYGGGVLINGERVAPESSNTNVLNFRGFGVVGSDSTHAINHQTVSSHLPIDMNVMQWNHATQFNNY >CAK8578580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640267850:640268829:1 gene:gene-LATHSAT_LOCUS30578 transcript:rna-LATHSAT_LOCUS30578-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFKNSYTPQEEDTYMSQDSPPSSTTLNIDGSVTSISSTSKKRRAINKRVVQIPIKEPQGSRLKGESNTPPSDSWAWRKYGQKPIKGSPYPRAYYRCSSCKGCPARKQVERSRVDPTMLVITYSSDHNHPWPVSRNTTRLAVKKTEPDPVEPEEKFTGNLVGDELGWLGEMDTTSSALLESSIMAEFDADVGSLMLPMGEEDELLFADLGELPECSTVFRRGLLDGRRRLTAP >CAK8578581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640267850:640268829:1 gene:gene-LATHSAT_LOCUS30578 transcript:rna-LATHSAT_LOCUS30578 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFKNSYTPQEEDTYMSQDSPPSSTTLNIDGSVTSISSTSKKSRRAINKRVVQIPIKEPQGSRLKGESNTPPSDSWAWRKYGQKPIKGSPYPRAYYRCSSCKGCPARKQVERSRVDPTMLVITYSSDHNHPWPVSRNTTRLAVKKTEPDPVEPEEKFTGNLVGDELGWLGEMDTTSSALLESSIMAEFDADVGSLMLPMGEEDELLFADLGELPECSTVFRRGLLDGRRRLTAP >CAK8562518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506078611:506078820:-1 gene:gene-LATHSAT_LOCUS16009 transcript:rna-LATHSAT_LOCUS16009 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHVLATVVDGVSHFVKTLWGLQERRCSYTFDAVTSAAVSVTMTFVLCFFSVHNRIQRRGGSVFFSSF >CAK8568587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620510193:620512542:-1 gene:gene-LATHSAT_LOCUS21530 transcript:rna-LATHSAT_LOCUS21530 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVRKRKREMYSSFAMIDRHNTRFSSMKRKRDYENCIVCFDGDNFLLCDRRGCPNPYHPSCVLKEALCQTEEKLNASTGEDIISTLPDSLIYHILSFLPTKDAAVTVVLSKRWRPLWLSQLILDFDCKQFSDCFAFCNFLYSVMIRRDSTLPIRSLHLKCFSYGSNINNFIYETVYDAVMRGVENLNLYARITLPSLILSIKTLSVLKLKGLTLKDVAYVHLPSLKVLHLNYVTFTHYDYILKLLSGCPILKELGTEELRVELPYSNIPVKSLSNLAIANISSDHIEFDWIQNVERLRATMLIKKLSYTLDRIATFHNLTHLELIVNPPHFQLKWKFECVIKLLEYCPKLKVLIMEEDTWLNKIYEEDWEEPQTIPKCILSHLTKCVLRNFKGINCEVHFAKYILQNSRALRFMTIQSSMDTDVRLMDTDVRLKILKELSLCSKNSAACKLLFI >CAK8568588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620510193:620512509:-1 gene:gene-LATHSAT_LOCUS21530 transcript:rna-LATHSAT_LOCUS21530-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSFAMIDRHNTRFSSMKRKRDYENCIVCFDGDNFLLCDRRGCPNPYHPSCVLKEALCQTEEKLNASTGEDIISTLPDSLIYHILSFLPTKDAAVTVVLSKRWRPLWLSQLILDFDCKQFSDCFAFCNFLYSVMIRRDSTLPIRSLHLKCFSYGSNINNFIYETVYDAVMRGVENLNLYARITLPSLILSIKTLSVLKLKGLTLKDVAYVHLPSLKVLHLNYVTFTHYDYILKLLSGCPILKELGTEELRVELPYSNIPVKSLSNLAIANISSDHIEFDWIQNVERLRATMLIKKLSYTLDRIATFHNLTHLELIVNPPHFQLKWKFECVIKLLEYCPKLKVLIMEEDTWLNKIYEEDWEEPQTIPKCILSHLTKCVLRNFKGINCEVHFAKYILQNSRALRFMTIQSSMDTDVRLMDTDVRLKILKELSLCSKNSAACKLLFI >CAK8542004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:451445670:451450041:1 gene:gene-LATHSAT_LOCUS10881 transcript:rna-LATHSAT_LOCUS10881 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNILTSIRSTKITDGCKGTHVYALNSSAGADSPNTGGGGETIGDKLFHHLLDRSKQSGRFKPVGTKTATPNVVLEGLLPCGLPSSEALEPSIEPCLKPVDLVETLAGVYRRIESCGEVDKSEAFLEQCSVFKGLPDVKLFRRCLRSARRHAVDVHSKVVLASWLRYERREDELVGSSAMDCCGRNLECPKASLVTGYDPESGFDRCLCYRKDVIIVDNDDGECECECSTSYGDEDDGSGDGDYHDMYFCIGDSDIKCNRYAMASLSRPFMAMLYGGYVESRRERINFSLNSVNVEVMMAVEVFSRTKMLSQFPNNVVLEMLSFANRFCCMEMKSACDAHLASLVFDLDDALLLIEYGLEENAYLLVAACLQVFLRELPSSMHRLSVMKLFCSVEGRDRLASIGHVSFSLYCFLSQVAMEEDVKSTTYVMLLERLGECAASGWQKQLAYHQLGVVMLERKEYKDAQHWFEAALKEGHIYSSVGVARAQYKRGHTYAAYKMINSLISDHKPVGWMYQERSLYCIGKEKSMDLVSATELDPTLSFPYKHRAVFMVEENNIGGAISEINKIIGFKISPDCLELRAWFLIAMKDYEGALRDVRAILTLDPNYMMFHGNMQGTRLVELLRPVAQQWNQADCWMQLYDRWSSVDDIGSLAVVHQMLENNPGKSVLRFRQSLLLLRLNSQKAAMRSLRLARNHSSSAHERLVYEGWILYDTGHREEAIAKAEESISVQRSFEAFFLKAYALADSCLDSKSSKSVIDLLEEALKCPSDGLRKGQALNNLGSIYVDCDKLELAADCYKHALNIKHTRAHQGLARVYHLQNQHKAAYDEMTKLIEKALNNASAYEKRSEYCDRDMAKSDLSLATQLDPLRTYPYRYRAAVLMDDHKEAEAIVELSRAINFKPELQLLHLRAAFYDSMGDFVSTVRDCEAALCLDPSHAEMLELCNKAREQIKERK >CAK8574987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19907735:19912019:1 gene:gene-LATHSAT_LOCUS27281 transcript:rna-LATHSAT_LOCUS27281 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVKRLGKCVSLVSKRGFSTTSSIQKDAVENGGKSLNLYTAINQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADQFGKNRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPKEAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDITLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVKKTGRLLVSHEAPVTGGFGAEISASILERCFSRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKSTVNY >CAK8567981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561170887:561174876:1 gene:gene-LATHSAT_LOCUS20985 transcript:rna-LATHSAT_LOCUS20985 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFKRKSVVYPPANDVDLSPYSTEFYLQANVKAPRMTGLLVKIFTYLLEFPLLGTMILYILKGNNLIHELITNAEFEESPLYVPLHQFEDIEEKEVKCIDSSLSPPEKVQHAIDCLPISLERKPSFCRWTIMDYSKAYRSGDITPLLVAERFVAAIDESVKPPLQMGFFIDYNVDDILRQATESTLRYQRGEPISVLDGVPVAIKDEIDCLPYPTTGGTKWLHKERPCKDDACCVKRLRQCGAILVGKSNMHELGSGASGINPHYGPTRNPYDCKKIAGGSSGGSASLVSAGLCPVALGVDGGGSVRMPAALCGVVGLKPTFTRIPHDGVLPINWTVGMVGILASTVEDALIVYAALSGEIPSHPPSSVLTKINLPRLSLTKSISSIRLAKYGKWFDDCSNDVRVCCSLALLKLQDHYNWKIIDVTIPDIEMMRLAHYITIGSECSTALDSYKAKNFPELGWDVRVAQSIYGAFSGIEYVKAQRIRNRQLQFHKKIFAEADVIVSPTTGVTAYPIQDDALKTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGYDKLGLPIGLQFIGRPWSEATLIHLAFAMQAICMSDYRKPEYYYDMLR >CAK8531806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153652881:153655579:1 gene:gene-LATHSAT_LOCUS1571 transcript:rna-LATHSAT_LOCUS1571 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLACLVGNSLSTHSNKVNIHKDINGRHVLFSWRHSKLKRASKTILVKASLDQREQEGRRGFLKLLNLTVGLPALLGSAKAYADEQGVSSSKMSYSRFLEYLDKDRVKKVDLYENGTIAIVEAVSPELGNRVQRVRIQLPGLSQELLQKFRQKNIDFAAHNAQEESDSLFSNLIGNLAVPIIVIGGLFLLSRRSSGMGGPGGSGFPFAFGKSKAKFQMEPNTGVTFDDVAGVDEAKQDFVEVVEFLQKPERFTAIGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGIGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDIRGRTEILKVHGSNKKFDADVSLEVVAMRTPGFSGADLANLLNEAAILTGRRGKTEISSKEIDDSIDRIVAGMEGTVMIDGKSKNLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITSLAKQMVITFGMSDIGPWSLMDGSGQNADVIMRMMARNSMSEKLAEDIDFAIKRLSDEAYEIALKHIRNNREAIDKIVEVLLEKETITGDEFRTLLSEFVEIPPENVISSSTPSPVSV >CAK8569621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6884166:6884486:1 gene:gene-LATHSAT_LOCUS22452 transcript:rna-LATHSAT_LOCUS22452 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPQKTKEVWAAIAEASTTIDDEQQQQQPQTSRKRGRPRKIVVKMESSEEPKEEDSMEKQETKGSSEIETCMLRKEEETEVPKGVVSYRTSRARRKSKPTKSSH >CAK8572168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516829600:516831243:1 gene:gene-LATHSAT_LOCUS24761 transcript:rna-LATHSAT_LOCUS24761 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKTSMKSLKMVMVKLMTVFMLIEVITCQFGFGFGADGGLNMNYYLMSCPFVEPVVKNIVNRALDNDPTLAAALIRMHFHDCFIQGCDGSILLDSTKDNTAEKDSPANLSLRGYEVIDDIKDELERRCPGVVSCADILAMAATEAVFYAGGPVYNIPKGRKDGRRSKIEDTRNLPSPTFNASELIRQFGLHGFSAQEMVALSGAHTLGVARCSSFKNRLSQVDPALDSQFAKTLSRTCSSGDNAEQPFDATRNDFDNVYFNALLRRNGVLFSDQDLYTSPRTWNFVNAYAMNEALFFLDFQRAMVKMGLLDIKQGSNGEVRDNCRKIN >CAK8530284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11809313:11809627:1 gene:gene-LATHSAT_LOCUS162 transcript:rna-LATHSAT_LOCUS162 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLEEIRVYLMQRWESNRQKITKYDSIVLPNIKKRMERESKKTNHWIVRRAGEYDYEVRHTSLNGEKYVVNLYKK >CAK8575132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:36606800:36607400:1 gene:gene-LATHSAT_LOCUS27419 transcript:rna-LATHSAT_LOCUS27419 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKLSLLPLFLLATSLLMFPMKKVEATRCQEGCSLSKLDCGDGCQCIMDDFVTGICETIEYVTKMVEQHPSLCESHGDCTRKGSGSFCALYPNSDIKYGWCFESNSHAEASFKNALNSEFSNLLKMSLEVST >CAK8538322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477284268:477316843:-1 gene:gene-LATHSAT_LOCUS7534 transcript:rna-LATHSAT_LOCUS7534 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVRRLTLLGEFKPFGLIAEALDGKSAETVTENYEYFLFDPEIARDRDAEDHCDEVASALNNHGDHELFIRGKRIIWSIDARVFKRFTLQSSIVKVCWCHLGHTAEALLCILQIDRLTIYSTSGEVVSVQLPRTITSIWPLPFGLLLQQEVEASTSSHVLFSSTSPLLSARDVLHSASNHIHKRDGSLVSSHLILMDPLDEQQPTFIEERGKLNVMKEYDEKTIWTNDQVPLMASYNKGKMQHSLWVAEIINSNFDEAASGLLNVDPLGLSPKHLSFRRIWQGKGAQTAACKVFMATGDDAAPVVCFFHQEQRKLLSVSLQTVEINNEIMYDVKPDMSWIIAAVAASPVMVTRPRVKIGLLPYSDIMVLTPENALLLYSGKQCLCRYVLPSCLNKDKILHDLELPETSSLSNAFKITGLADAVEGRVNVIVNNKQMFRCALRQSPSSSLANDCITALAEGIGSGFYRHFLGLLWKDDYPTDFSEAESNVDSEWDSFCRVILKICRKSNIVSQKRSGLLPQCAWNFLLSSQFHNNFCKVNSLFGKSCAVPLDQLESSSSTSSLDGTQSSEKPFYIELLIECLESLHALYESLKLDNLRKRDLEHLAILLCNIANFLGEDNYLDHYIRDFPLSCKKFLKSGTTISHKIPPSLIRWLENCLQHGCSSANISDLPSLLCKDGCHVASLARKIVCFYSILSGADLLGKKLSSGVYCNIATGSHSSKEELTVLAMVGERFGLQQLDSLPSGVSLPLRHALDKCRDSSPNDWPAAAYVLLGRQDLAMSTLARKCKYKEIETPTNVNVISMSTPYMLNLHPVTISSTISDAIGLEGTKLEDTDSVDGSLLDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSSRPVAIQTSVNHSASDQDLQQTQLWHLAQRTTSLPLGRGAFTLATIHTLLTEAFSVPKLVLAGRLPAQQNATVNLDPNIRNIPELRSWPEFHNAVAAGLRLAPLQGKMSRTWIIYNKPDDPNSVHAGLLLALGLHGFLRVLSITDIYQYFFQEHESTTVGLMLGLASSYRGTMQPAISKILYLHIPVRHPSSYPELEVPTLLQSAALMSAGILYEGSAHPQTMQVLLGEIGRRSGGDNVLEREGHAVSAGFALGLVALGRGEDALGFIDSFVNRLFLYIGGKVHNERPHFSTISIDENRGASQMMDGTTINIDVTAPGAIIALALMFLKTEAEAVASRLSIPNTRFDLQYVRPDFIMLRVIARNLIMWSSVHPSKDWVWSQIPEIVRCGVEGVGGDDNDVDDMDAEAFMQAYINIVAGACISLGLVFAGTRNANAQELLYEFAMYFLNEIKPVSPTSGKFYPKGLSRYIDRGTLETCLHLIVLSLSVVMAGSGHLQTFRLLRFLRSRNCADGQSSYGIQMAVSLATGFLFLGGGMRTFSTNSSSIAALLITLYPRLPMGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPIEVTVRETEHYTESSFCEVTPCLLPERAILKTIRVCGPRYWPQVVDFTPEDKPWWNFGDKNNPFNSGILFIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSLKASDTVTDVHSGSGSITVDQLVGTFSSDPSLIAFAQFCCDPAWYSRSDVDFKEFCLQVLFECVSKDRPALLQVYLSLYTTVESMVNQITTGAVVCGDSLSLSGFKLALTYIEALMTGILSTPKGGIIQSTFVGSLRKKVEELLNSSQELKDDFHKYLKLGKWPEGESQDKRSILLSWYLQWYSVPASSVIRTAIDRVKPKRMSFSSIPLLRLSLPRTHINVIHEIERCLT >CAK8572896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570248884:570251899:-1 gene:gene-LATHSAT_LOCUS25402 transcript:rna-LATHSAT_LOCUS25402 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPWRGISCCFSAAALYFLGRANSRDAEMLKSVSRVNQLIELAKLLDEEIRPLVVAISGRVGSETPINCESSGLRGVIVEETAEQHFLKHNENGSWIQDSALMLSMSKEVPWYLDDGTDRVRVVGARDATGFVLPVGSEAFEESGRSLARGTLDYLQGLKLLGVKKIERILPVGTSLTVVGEAAKDDVGTIRIQRPTKGPFYVSPKTIDELIANLGKWARWYKFASMGLTVFGVYLIANHAIRYIMEKRHRNEIQKRVLAAAAKMSGQDNGGEIADNLSVGAKRERTMPDLCVICLEQEYNSVFVPCGHMCCCTACSSHLTSCPLCRRQIERAVKTFRH >CAK8561634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:331341394:331343382:-1 gene:gene-LATHSAT_LOCUS15208 transcript:rna-LATHSAT_LOCUS15208 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVEELKEALDADIQDRIMKDREMQSYIQEREREVAEREAAWKADLSRREAEIARQEARLKMERDNLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >CAK8539903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530743504:530743935:-1 gene:gene-LATHSAT_LOCUS8959 transcript:rna-LATHSAT_LOCUS8959 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTARQNKLFEEALAIYDRETPDKWHNVAKVVGKSVEDVKRHYEVLKEDIRRIERGEVPFPYKGS >CAK8532123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:209235476:209240944:-1 gene:gene-LATHSAT_LOCUS1858 transcript:rna-LATHSAT_LOCUS1858 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTADSGDICRYTLEEINGFHNGNTESSKPKANSAAPPIPSLFRDAFIVVPPEILRRIIFSSAKGFSVGAGIKGGLALFAILARFARKKPPRKEILVTNSEIIVSALKETLRYGLFLGTFAGTFVSMDEFIGAFGGHRRTARWRALFAGAVAGQSMLLTGLEAQHTSLAIYILMRAAVLASRCGIKSKRFGRICKPLTWKHGDIFLMCLSSSQILSAYILYQESLPASYKSFLNKHGGKDAVILQGVKDIASGKPFTNLHAIEKYYKTTGVNVKLDPKMKVPCSIVHGSQSCDGHVVSFLGQAYKRALPVYFPVYLIPALIVHRKGLLKRPFTILTKVLIGTARSSLFLSVYCTSAWMWTCFLFRLFKRCNIPMVAMGTFPPGLGLAIEKKSRRMEISLYCLARAIESFFTCIADAGYLPQSRRIKRADVVVFSLSTAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPCETPRCKDA >CAK8538830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497215290:497220163:1 gene:gene-LATHSAT_LOCUS7986 transcript:rna-LATHSAT_LOCUS7986 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVRRRVASGGTSSLLKLRSGPARVSSILEKEIALSSGGCVNVRNFSRIAPGRWINSKPIRDVFHQEVSIQTWRRSFSSDNGDTVDVVVPPLAESIEDGTLAKFLKRPGDRVAVDEPIAQIETDKVTIDVSSPESGVILKLLANEGDTVEPGHKIAIISRSADATHVAPSESAPEKPAPKPTPKASEPEEKKAEEKKAPKVETTTKEKPKAPAPQSSPSEPQLPPKERERRVPMTRIRKRVATRLKDSQNTYAMLTTFNEVDMSNLMKLRADYKDAFVEKHGVKLGLMSGFIKAAVNALQHQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADTMNFADIEKQINTFAKKANAGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPAVVGGVVVPRPLMYIALTYDHRIIDGREAVFFLRRIKDTVEDPRRLLLDI >CAK8537680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420520051:420523135:-1 gene:gene-LATHSAT_LOCUS6946 transcript:rna-LATHSAT_LOCUS6946 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIFRSLRDGALEGELAPTLTIKDSISSPFSFHVFSHILLQLSSHFAAQKSHTGIVIVALSQSPSFYADLLKNKGLELASSNKWIHVLDCYTDPLGWKDKTRKSGDVNTPSAQISIATSPYKSVKDIDKLFLAITELGRGLVGENKSRFCVAIDSLSELLRHASLQSVAGLLSNLRSHDQISSIFGLVHSELHEERAAAALEYMSSMVASVEPDHHSSEKFLSEKNFTQGKFNVRLKRRNGRVRVLCERFKVEAGGISFASVSAEDGTTVAGLLPKVHFNLQLSEKEQIDRAKVVLPFEHQGNGSPIQIYDGRKSLEESSGEGAPLSRGGKKEDSTLGEIIYFRDSDDEMPDSDEDPDDDLDI >CAK8544468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686694131:686698080:1 gene:gene-LATHSAT_LOCUS13144 transcript:rna-LATHSAT_LOCUS13144 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDKKQQQSAEKKKAAAEKKQAAAAKHQPGGKKKEVKKETGLGLTNRKAENFGEWYSEVVVNGEMIEYYDISGCYILRPWSMAIWEILQAFFDPEIKKMKIKNCYFPVFVSSTVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLEILELYRRIYEEYLAVPVIKGKKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINYENEKGERAMVWQNSWAYSTRTIGVMVMVHGDDKGLVMPPKVSSVQVIVIPVPYKDADTQGILDACSATVNALLEAGIRAESDSRDNYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRAVRRDSGAKIDIANADLVEEIKKLLDNIQQNLFDVAKQKRDECIQVIHTWEEFIEALNKKKMILAPWCDEKEVEEDVKARTKGETGAAKTLCSPFDQPELPEGTVCFASGKPAKRWSYWGRSY >CAK8540528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9977587:9983148:1 gene:gene-LATHSAT_LOCUS9526 transcript:rna-LATHSAT_LOCUS9526 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLCSGRRRRLAPVSREKTEPADKYVKTRAAVAAAKRNKQKISVIEISESDNDVREEEEDMGDESGGLSANKGVPQEDEGNTTPFPERVQVGGSPVYKVERKLGKGGFGQVFVGRRVTGGNDRLNGPGATEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPKVHYKGRQGEYYVMVMDMLGPSLWDVWNTTGQAMSAEMTACIAVESLSILDKMHSKGYVHGDVKPENFLLGQPGTAQEKKLFLVDLGLATKWRDTSNGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDNKSYLVCKKKMGTSPEMLCCFCPAPFRHFLEFVVGMKFDEEPNYSKLISLFDSMLGPNPALRPINTEGAQKVGQKRGRLNIEEEDDSQPKKKVRLGVPATQWISIYNARMPMKQRYHYNVADGRLEQHVERGIADGLLISCVASCSNLWALIMDAGTGFTNQVYKLSPLFLHKEWIMEQWEKNYYITSIAGVTNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGVHRRWDNGYRITATAATWDQSALILSKPRRRPADETQETLRTSQFPSTHVKEKWSKNLYLACLCYGRTVC >CAK8570793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115358493:115359374:1 gene:gene-LATHSAT_LOCUS23514 transcript:rna-LATHSAT_LOCUS23514-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENFDTINCPYHYVCDKTYPPNYPLFVDILVLLFTTCSYFFTLVIVLMNIISRKEKIFLTQSKRFLLPSGPISLPLIILIFAKGHQINTIFPLSSFGPAILLLVLISSLSFDNEDDYNDIKYTFFAASTVSGILHASLYLDSVVLPYYTGFDALMSSTLSGECATCVCRKEALVVGGKLVKYKGWSMTTFFVVGVLCLRIICKIYGENVGKFVSRIKVLMERFSWILICLDCVYLMVNLPQERVMLRVVVFGGIFVLILLHVLKEACSQIYMMVCVVENLRLVSMSTPIQTV >CAK8570792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115358391:115359374:1 gene:gene-LATHSAT_LOCUS23514 transcript:rna-LATHSAT_LOCUS23514 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLTLLQKNPTFQPGWDIEEIHATFFKCISWQMEENFDTINCPYHYVCDKTYPPNYPLFVDILVLLFTTCSYFFTLVIVLMNIISRKEKIFLTQSKRFLLPSGPISLPLIILIFAKGHQINTIFPLSSFGPAILLLVLISSLSFDNEDDYNDIKYTFFAASTVSGILHASLYLDSVVLPYYTGFDALMSSTLSGECATCVCRKEALVVGGKLVKYKGWSMTTFFVVGVLCLRIICKIYGENVGKFVSRIKVLMERFSWILICLDCVYLMVNLPQERVMLRVVVFGGIFVLILLHVLKEACSQIYMMVCVVENLRLVSMSTPIQTV >CAK8560357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15466039:15466653:-1 gene:gene-LATHSAT_LOCUS14036 transcript:rna-LATHSAT_LOCUS14036 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLVSIREKIDQFPMKDVFNMDETWLFYRLQADHSLATKQLEGRKQDKERLMIVICCNEDDSEKIPLWIIGKYAKPRCFKNVNMNGLDCQYRANKKAWMTNVLFDEYVRSFDQMMHGRRVLLVVDNCPADPRNIEGLRNVELFFLPPNMISKIQPCDAGIIRAFKMHYRRRFYCKVLEGYEVGQSDPGKINVLDAINLAIPA >CAK8561526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:271009374:271009805:1 gene:gene-LATHSAT_LOCUS15108 transcript:rna-LATHSAT_LOCUS15108 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAGDSNRRGAIAASSDSMLSTKTEPILYFTEMQSQSNVSFSGVINSASASDNQECGGVSSMLLTGEPPWCPPCPENSIQSVNRSNAVMRYKEKKKNRKFDKKVRYASRKARADVRKRVKGRFVKAGETFDYDPLSETRSC >CAK8560906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56522169:56523095:-1 gene:gene-LATHSAT_LOCUS14545 transcript:rna-LATHSAT_LOCUS14545 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEATKYASATNNISKSKKPNMRRFIGVRQRPSGRWVAEIKDSSQHVRLWLGTYDTPEEAARAYDEAARALRGENARTNFAAASETTTPGSDDQGLLSSPQTNEGKNNSLTFASLKAKLSKNLQSIMARTSEHHNNSNKFSKSRVSDHFTFASIFNRKNNYHQISAVDMKNIEKVVQPSIIVPPVESSSVSDCSSEWFGFQNHGLDSDGSDTVDNNNNTNNINNVSINVGDQGFLDQLLGWIDDSSDICEGSSSKRFKVSSSVLVPPTFSSSPYDCGSPYSGYASPYTSCGSPCNGYASPYYGSKK >CAK8579457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700593115:700593635:1 gene:gene-LATHSAT_LOCUS31404 transcript:rna-LATHSAT_LOCUS31404 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELKAYVEPVQELINIDSDETKDVQAEEREEVQAGERDDVQAEEMDEVQVEEREEVQEDNDDLGDIEFDDLDEDVGIGHMQYLGIPLCKKRMTGTKCLQIKNQQQLILMKANKLIHMILILHKEVKMKVTNIGIQNSKFLKVGKK >CAK8534192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:703105981:703108386:-1 gene:gene-LATHSAT_LOCUS3753 transcript:rna-LATHSAT_LOCUS3753 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQHYSNPSCVFVLLFSFFLGLTTLVSSSVVSSYHVSDGSLTEAETMYIKHRQLLYYRDEFGDRGENVTVDPSLVFQNDRIKNAYVALQAWKQAILSDPNNCTVDWVGSNVCSYSNVFCAPALDNPQIQTVAGIDLNHCDIAGYLPEELGLLTDLALFHVNTNRFCGTVPHKFEKLKILFELDLSNNRFAGKFPEVVLRLPLLKFLDLRFNEFEGTVPKALFDKDLDAIFINHNRFVFDLPDNFGNSPVSVIVLANNRFHGCFPAGIGNMTNLNEIIAMNNGLQSCLPAEIGLLKNLTVFDVSFNKLLGPLPAAIGNAVGLEQLNVAHNMLSGQIPESICALPNLENFTYSYNFFTGEPPRCLSLPAFDDERNCLPARKNQRSARECKSFSSHPIDCSSFRCKAFVPSLPSPPPSPPVVVIPQSPPPPVLSPPPSVIIPPPPPVLSPPPPPPPVLSPPPPPPPVLSPPPPPPPVNPPPPPPPSPPPPSPPPPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPSPPPPSPPPPSPPPPVYLPPPPPPPPPPPPPVSSPPPPSPSPPPPSPSPPYCVRSPPPPVNSPPPPPNSPPPPAPVFSPPPPVPYYYSSPPPPPSQSPPPPPHSPPPPHSPPPPVYPYLSPPPPPPVHSPPPPVHSPPPPSPPPCIEPPPPPPPCEEQSPPPPPHQTPYLPPPSPSPPPVYASPPPPPPVYASPPPPPVYSSPPPPPTVYSSPPPPVHYNSPPPPPVYSSPPPPIQYNSPPPPTPVYEGPLPPVIGVSYASPPPPPFY >CAK8534029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:682117166:682120467:-1 gene:gene-LATHSAT_LOCUS3605 transcript:rna-LATHSAT_LOCUS3605 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAPSSPPSANATAPLPSTPTAPPPATPSAPPPSNPPPATPSSPPPATPSSPPPATPSSPPPATPSSPPPPKTPSAPPPATPSTPQPTPAAPSTSPPAPTTPSPPVVGNTPPSPPSRGSPPSPRGSDQSPSPPSPKTPPSPPSSSSSMSTGVVVGIAVGAVAILVVFSILCICCRKKKRRRDEEYYGQQHQQPPPQAPKFDPYGGPPNQWQHNSHPPSDHVATMKPPPPPAPIPSRPPSHVAPPPPPLMSSSGGTGSNYSGGELLPPPSPGLAFSTAKSTFTYEELARATDGFSDANLLGQGGFGYVHRGILPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCSTGFQRLLVYEFVPNNTLEFHLHGNGRPTMDWPTRLRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKIASDLNTHVSTRVMGTFGYLAPEYAASGKLTDKSDVFSYGVMLLELLTGRRPVDKNQTYMDDSLVEWARPLLMRALEENNLDSLIDPRLQNEFDPSEMTRMVACAAACTRHSAKRRPRMSQVVRALEGDVSLADLNEGVRPGHSSVYSSHESSDYDTQQYKEDMIKFRKMALGTQEYAASSEYSAATSEYGLNPSGSSSENQSRQTTREMELKKLKNSQGFSGSS >CAK8569871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14049269:14049748:1 gene:gene-LATHSAT_LOCUS22676 transcript:rna-LATHSAT_LOCUS22676 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLVGLEAPVLHALHQMMDLSEDNSSDNSSHNAPARSYVRDAKAMAATPADVKEYPNSYVFLIDMPGLKSGDIKVQVEDDNVLVISGERKREEEKEGAKYLRMERRVGKLMRKFVLPENANSDVVSAVCEDGVLSVTVEKLPPPQPKKPRTIEVKIA >CAK8579763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721334310:721334883:-1 gene:gene-LATHSAT_LOCUS31681 transcript:rna-LATHSAT_LOCUS31681 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDGIKPTSTFLLISKPLPTTSKPLFMIFLMNITTNRRIWNSLSMDGNSELVDKISRAGYLVVERSYFALSDDETYQSLSSDLNESQRKEICACLSSFRCSNSTVDLISCPPESGKTKTLASLLFAPLKMNRRTLVSAPTNIGIPCVEHCKRIIS >CAK8578955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663657551:663659578:-1 gene:gene-LATHSAT_LOCUS30933 transcript:rna-LATHSAT_LOCUS30933 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVSVKEIEGWTERLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >CAK8540718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16946400:16954232:1 gene:gene-LATHSAT_LOCUS9695 transcript:rna-LATHSAT_LOCUS9695-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSNVRVSSSNEAPAANEASQTRQRKKRKWDQPAESLPDGMAVTGVFPLCNSVPLGGVVYPGVTSAISGALLTNPLAAAALLQQQSTAVTQKLNQIQDELVIAREIVINDAESSIRYKLTKRQTQEEIQRCTGTIVITRGKYRPPNVPLDGEKPLYLHISAGAHIKDTAERILVVDRAAAMIEEILRPGQNSQSISSASPSTLANGLKVLSTCVFLGFDADPSWNIVARIRGPNDQYINHITNETGATVILRGSGSGNDEGLNGEDGQQPMHLFLSSNNAKSLEQAKSLAENLLDTISMECGASRISSCKAYSAVPPPQPVYTAVPPPQPVYTAVPSPQQVYSGPTAILPLQQGYSAVPPPQQLLAGVQSLAASTGVTTTSMSLTAVSTPIPLANTIGYTPPLVSGGTSYIGYGGIYPQATPLQQVALALRHPPPVASIVAPATSGSSRESKSTTSSDHEKEDKRPSLRRKFQELPVVSKSTKHNQGLELLKPNERYDDLVVRNISNMPAPKKLIPPSSSGIQPPPPRTMPPPPPPKRAMPPPPPPPKFYDSTEVKVQDRSNNSRKTKPNAVPETLVKLMEYGDDDDDDLDDSNEEILPRTTKAIGAQKPFWAL >CAK8540719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16946400:16954232:1 gene:gene-LATHSAT_LOCUS9695 transcript:rna-LATHSAT_LOCUS9695 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSNVRVSSSNEAPAANEASQTRQRKKRKWDQPAESLPDGMAVTGVFPLCNSVPLGGVVYPGVTSAISGALLTNPLAAAALLQQQSTAVTQKLNQQKIQDELVIAREIVINDAESSIRYKLTKRQTQEEIQRCTGTIVITRGKYRPPNVPLDGEKPLYLHISAGAHIKDTAERILVVDRAAAMIEEILRPGQNSQSISSASPSTLANGLKVLSTCVFLGFDADPSWNIVARIRGPNDQYINHITNETGATVILRGSGSGNDEGLNGEDGQQPMHLFLSSNNAKSLEQAKSLAENLLDTISMECGASRISSCKAYSAVPPPQPVYTAVPPPQPVYTAVPSPQQVYSGPTAILPLQQGYSAVPPPQQLLAGVQSLAASTGVTTTSMSLTAVSTPIPLANTIGYTPPLVSGGTSYIGYGGIYPQATPLQQVALALRHPPPVASIVAPATSGSSRESKSTTSSDHEKEDKRPSLRRKFQELPVVSKSTKHNQGLELLKPNERYDDLVVRNISNMPAPKKLIPPSSSGIQPPPPRTMPPPPPPKRAMPPPPPPPKFYDSTEVKVQDRSNNSRKTKPNAVPETLVKLMEYGDDDDDDLDDSNEEILPRTTKAIGAQKPFWAL >CAK8534003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681497733:681498965:1 gene:gene-LATHSAT_LOCUS3579 transcript:rna-LATHSAT_LOCUS3579 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSIRELVNNDASLKVRVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVLKTFLPRTIIDLQTLPAISSDGSQISGKRIFHRLFWAFRSCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIVFALVEGYALTEARFNYYHGEIRRTNIEASNWIDKIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITVLVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMDDEVAKANTHNVMQFDRERFCLMVQEKINYNDGRCCGGNRITNY >CAK8563798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631557915:631558397:1 gene:gene-LATHSAT_LOCUS17173 transcript:rna-LATHSAT_LOCUS17173 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGRSLRLQPPASDFRPAFDVLDTDSDGKISREDLRSFYATNSGGVKDDAIRAMMSVADTNMSGFVEYEEFERVVSGDAEKSPLGCGAMEDVFKVMDRDGDGKVSHQDLKNYMALAGFDASDEDINAMIRFGGGDVNGGVSFDGLIRILAFDHLAPAN >CAK8540552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10608179:10614127:-1 gene:gene-LATHSAT_LOCUS9548 transcript:rna-LATHSAT_LOCUS9548-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEETLRKTLADKLSAVEIQGNTVRSLKASSAPKPDIDAAVQALNALKLEKSSIEKSLQSLLSGSDSREAFRQSVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCNEKLQKDLTLSSDKAAELKHVLAMLDDFSSQELGAKIKEYGITAPETKNPLSDPYPFNLMFQTSIGPSGLASGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYTEVANLEFFMFPREEQMSGQSAKRIRLGEAVSKGIVNNETLGYFIGRVYLFLTHLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSEPKEVEKLVITPIKKELGLAFKGNQKKVVEALEAMNEKEALDLKAALESKGEVEFEVCTLGKTVSINKNMVTIHKEIKKEHQRVFTPSVIEPSFGIGRIIYCLFEHAFYTRASKAGDEQLNVFRFPALVAPIKCTVFPLVQNQKYEEVAKLISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAVTVDSTTSVTIRERDSKDQVRVDVENAASVIREVSEGQRTWEDVWATFPHHSSTVADE >CAK8540551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10608179:10614226:-1 gene:gene-LATHSAT_LOCUS9548 transcript:rna-LATHSAT_LOCUS9548 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHLRAISKAATKPLSFPPFTKSLSLSSSSSMASTEETLRKTLADKLSAVEIQGNTVRSLKASSAPKPDIDAAVQALNALKLEKSSIEKSLQSLLSGSDSREAFRQSVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCNEKLQKDLTLSSDKAAELKHVLAMLDDFSSQELGAKIKEYGITAPETKNPLSDPYPFNLMFQTSIGPSGLASGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYTEVANLEFFMFPREEQMSGQSAKRIRLGEAVSKGIVNNETLGYFIGRVYLFLTHLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSEPKEVEKLVITPIKKELGLAFKGNQKKVVEALEAMNEKEALDLKAALESKGEVEFEVCTLGKTVSINKNMVTIHKEIKKEHQRVFTPSVIEPSFGIGRIIYCLFEHAFYTRASKAGDEQLNVFRFPALVAPIKCTVFPLVQNQKYEEVAKLISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAVTVDSTTSVTIRERDSKDQVRVDVENAASVIREVSEGQRTWEDVWATFPHHSSTVADE >CAK8531169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86392072:86399126:1 gene:gene-LATHSAT_LOCUS977 transcript:rna-LATHSAT_LOCUS977 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMASSCSILSPHIALQRIRIPRIFNYNYTCNFPKATTTVTVSHQFSSFGFPGKSPRDTTAVIATSSTDDSGVTSQDLAVFLEVHGVLVDAGVGNRIAFNKAFEKLGLDCASWSEPVYSDLLRRSIGDEEKMLFLYFNRIGWPSSLPTNEKGLFTKSVLLEKEKALEEFVTSKSLPLRPGVEQFIDDAYNEGIPVVVLTAYTKSGDNIVRSILEKLGNDRSKKVIIVGIKEAEQSLYGQLISGKVIAYGLDEELAKEATRAASAEKQRLAKEVASMLNLSVAIDTGLSESLDKIVTALHAGAECAGLPICNCVLVAGSQSGAVGAERVGMPCVVLRSSLTSRAEFPLAIATMDGFGGGDLTISKLRNLCQKKQPKD >CAK8578582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640323825:640326589:-1 gene:gene-LATHSAT_LOCUS30579 transcript:rna-LATHSAT_LOCUS30579 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREGVCRAWEEDIYWNHFQFISFTQFLRSDFDQQLALPKTFSENIKKKLPESVTLKGPGGVLWNIELTTREDTLYFTYGWQQFVKEHSLKENDFLVFKYNGESLFEVLIFDGKSLCEKAACYFVRKFQPAANTEQGGGCSTKKRDSDNSVEEVKTPNGVDEGVSPVKSLHPNSTRVPHDVPIETTNGKTSNAGVEPASAEQFMSDAVTDTEPKTVPSQTTGKRTRRRPVYADMSVPSKKRGRPPKTANSHERARNCVSGAELSPKVSPKVSPKDSPKVSPKVSPKVGLGTKELYSSNRRPVTKNEIENTLQLAKAACAEDTLLVTMRPTHVYKRFFVSFPNKWIINHLSPSSQDVILRMGKREWIGKYFYYNTRSSGGLTGGWKYFALQNNLEEFDVCLFKPAGYMYKTLILEMTIFRVVEEITPLTKVNSPGKKRSIKKTPTGVNSPGKKSKKTPTGVNSPEKKGPIKKTPTPTEVISPRKKRSIKKRPTGDVQTELESFEPLVKKRGVDITLTSAVQTELDSIEGA >CAK8564124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652980680:652987719:1 gene:gene-LATHSAT_LOCUS17458 transcript:rna-LATHSAT_LOCUS17458 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGQSYVSCPPSFSNDGNRLLVGCGSSVAIFSTATALQVSSLEGHTSTVTSVAVVPGSKILSYCWTSSLDGTIRYWNFSLVECIKTIDLHLPIFSMVIPSLLSSPEQKSGNQQNVYAYVCMQTENDKDNKPRLCSAQIRKCNLTTFHRLSKVTLKETKRPQHLTISPCGKFLGIKDKRKLHIWKLPKVESDSAVSQKITLHHTKTFAVLAFHPTERIVAAGDVTGRILIFRGFGAQNFRDSGERLNKKSLTDEESKPGVRQNDDAESCSTWHWHSAGVNLLSFSSDGVYLYSGGKEGVLVLWQLDTGKKKFLPRIGSPLRYFVDSPDPSFSSISCADNQIHILKTSSMEVMRSISGIKPPLSSQEICESISSQAAFDRTSGLVAVQTENYGIQFYSLFGNRGLYEVQVCERNYQPVDEVTVVVTMVELSIDGSMMGTVEVKLPEEGIGGLICLKFWDLYSDTKRFSMSTLIYEPHRDAHISAIVFHPTRHMAVSTSYGGDFKIWVCRELAQHKDQTLQNFSWMCHAVGSYKNMAMRAAAFSADGSVLAIAADTVITLWDPDKNELIAVVGETPSPIGRLSFVGKSEYLLSVSHGSTPQLSVWSMSKLAASWSYGLHIEAVSCALDLSFFAILGLLPKSNESLFKGDGIIIVFNATDPIPVASWSVTKAKGGSVAFLKGNPSELADGKSSETLLAYLNGDREYVLFDPFDKEARGLNMTKNDDFVGLEENGQFGYTSIYGELPKFDLKRNKTSSVYSAASNRPWETIFRGSSHMLPPLSKLCSEFLESLLEKRTSIVE >CAK8577625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579134760:579135083:-1 gene:gene-LATHSAT_LOCUS29715 transcript:rna-LATHSAT_LOCUS29715 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRITLINSVLTSLPIFWFSFYKAPASVLKSIDKIRSRFLWGGSEEKSKIHWIKRDKVCMSKEDGGLGVKRIEEFNLALLTKWKWRIFEYHKSLWKDTLKARYGSI >CAK8561830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:390081138:390083923:-1 gene:gene-LATHSAT_LOCUS15384 transcript:rna-LATHSAT_LOCUS15384 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVSEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIIARSDIKTGKRGRSNKLIFGCDKGGKYRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHTFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDNTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKQKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIVEELKRVDYVGTNKEKCRCTIRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPIMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8567319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:503402529:503403812:-1 gene:gene-LATHSAT_LOCUS20383 transcript:rna-LATHSAT_LOCUS20383 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLYFHTKKLFLISLLFFFLFLSIFSFTTCSQPQLNPKHSSNITTRTLLELETEQEQENSESQHPLKKKPTSKNQTKLIKPNNLSKNQTKTIKSNHTNTPSKNQTKPTTKLTDTTTTNLKKLNSTIFKTKKLNSTSKSTTTTNSTKSPDLIKAKTTKSTVTKTDQQESKKPNKPNNNNSKKTPPSWLFDDEDTDFVSDFKDLPMKFQQTLIPDLEKISTTSKAYITKTNQQITKNFKPYVGNKYAPTIATLLSCTCVLLPLLLASLLFNKIKAYFSLQKLLIFIQAYLSIYFSILCFSSLITGLEPLKFFYSTSQSTYLILQIIQTLAYVFYLLLLLMYLVLVFSTENGLGSKFMGLAQTFVGFSVGLHYYMTVFHKVVLRQPPKTNWKIHGIYATCFFVIGVLATAERRKKTYLEEGGEEGKKN >CAK8578977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665774609:665774953:-1 gene:gene-LATHSAT_LOCUS30954 transcript:rna-LATHSAT_LOCUS30954 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYSSNLARCADAKTRKLHGMKSHDCHVFMERLLPIAFSSLPKHVLNPLIEISQFFKDICASTLRVDDIFKLDKNIPIILCKLEQIFPPGFFDSMEHLPVHVAYEAYLGGPV >CAK8576096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405327741:405328389:1 gene:gene-LATHSAT_LOCUS28311 transcript:rna-LATHSAT_LOCUS28311 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLCTVRKLLVGSRSTIRAQYSGGWFQFDKGIMPGRRSSTVGSRHVRFGSRARTINRRCTILAAMYVNLDLRDSSNGTHTQRRTTSSGLDETENISIKKLLGLYDQRDQSARERGYSSSRKAKSSPLLIEPSVRGSSSTCYEAPVFGGSPLLYLERRTSRQGKAQCA >CAK8576817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516358859:516360025:1 gene:gene-LATHSAT_LOCUS28982 transcript:rna-LATHSAT_LOCUS28982 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSRSTPTMSTDSLEQKGQNITESNASIIQCPLSQQHRSSLDGPVSILWDIENCPVPSDVRPEDVASNIRMALQVHPVIKGVVMMFSAYGDFNAFPRRLREGCQRTGVKPIDVPNMRKDAADKAILVDMFLFALDNPPPSSIVLISGDVDFAQALHILGQRGYTVILVIPAGVGVSSALCNAGKFVWDWPVVARGEGFVPPSKLLAQPRRSSVELAGYVMGCHINDSLNGQNEEEAIVYRGMSQSYYNSREFSLVSQSLSEYNPLNMSCLPTSMRSYSLPTGLNDVAGGPMPSSDNTDCQTWVQPGDLNGLKGQLIRLLELSGGCLPLVRVPVEYQKLYGTIAVHQQQQKQYSHCIVFSGATGTTQLPFSATRKPDCGPTYSTWR >CAK8578613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641664481:641666520:-1 gene:gene-LATHSAT_LOCUS30609 transcript:rna-LATHSAT_LOCUS30609 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSKRSTTKATVSLNQHDDSSSVALVHVEQPQPLSVVAPIVSSYNEKIRPVLDALENLRRLNVAKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQNHPVPHPELLLEVNGKTVFTDEANVSDAINMATEEIAGSAKGISNTPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPDNIYDQIKDIIMEYITPEESIILNVLSATVDFTTCESIRMSQTVDRTGLRTLAVVTKADKLPEGLLEKVTADDVNIGLGYVCVRNRIGEESYEEAREEEQKLFESHPLLSKIDKSIVGIPVLAQKLVQVQAMIISKTLPEIIKKINEKLASNASELENLPANLSSIVDAMTAFMHILGLTRDSLKKILLTGDFEEYTEDNHMHCTARLVEMLNLYASDLENSDESDAKKNFLMEEIKVLEEAKWIGLPNFMPRTAFLTILQRKVKGISYMPINFVDNVWDYLESVVISVLNHHSSNYYQLQVSTRRAGEKLIAKKKRNSIQHVMEAVEMEKLTDYTCNPEYLLEYSRLVAQQESFIKEVLNNESKPTHVKLEGVGEIDVANLRNYPHVLSQAFDLKARMIAYWKIVLRRLIDSIALHLMLSINELINNDLQKEICNDLLSSSGGGIERLLEESPSISGKREKLSRSVKVLRESKETVTRIMDRIGIYD >CAK8536640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3738230:3740435:1 gene:gene-LATHSAT_LOCUS5983 transcript:rna-LATHSAT_LOCUS5983 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKTSILTKSAICFAIFGLIFIFQITVLRDAPIWKEKLRQIFSISKWGWDLKANSTALITCDRSNRRFDICSMNGPTLLNQTSLTLFSLGTHTRTKNHIHVKIQPYTLKSDKNAMKSVREFTLTSTPPKSSQCDVTHHSPALIFNGRGYNGNFYHEINDIFIPLFITMNSLFPKQDVILVIADGMSWWFKKYDDLLSTFSPRHEIIKTNNVTTTTHCFPSAVVGLIKHGPVTIDPKLLPYPKTLIDFHALLESAYIKSNNALMYPNNNGRPRLTLVNRKGSSRVLLNQEEVIKLAEDIGFNVNVFDSSKDPSVANTYKLIHSSDVLLGVHGAGLTNLFFLRQGSVVVQIVPIGLEWPSKACYENPDEFLGLKYVGYKVNVNESSLSWEYGEDSLMVKDPSTFAQGKWDKQKLYLKQNIKIDLIRFKKYLIETYEMAKTSMNNTS >CAK8539211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506799334:506802130:1 gene:gene-LATHSAT_LOCUS8328 transcript:rna-LATHSAT_LOCUS8328 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAIICGLIIYKLFKCFFYDDDVSDIEASDSTVLFNVADRLHKLFGGKVFVGLRIPDADSASPQSIDLVLITKRELLVISVKNFSGILTVHGDGTWHSEKPGKHNKVERHPDPVEEVRKQASILESYLEQRGVVLPKGFLTCKVILPNPKLCTIPTSDFPPEVITHEQWKLQKPGTKSVISSWVKGAFLSGKNDMQESGNKNLEFVLSTAPIWDRLELKGNKYALGEFLEFKGKAEDVEALRHIRRSKVGSMIIQKTSMFGLAPSTLQVLYTLRDYRTEGASAPELNEVTVRSNTEIIFQAENGTKIRKFKLSSVCSMHLSA >CAK8578680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646607097:646609850:-1 gene:gene-LATHSAT_LOCUS30670 transcript:rna-LATHSAT_LOCUS30670 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKGLFSFRRRSKKSAVPTHSPPHPTAVEITGSPSDNRLVTKPKKKIGGARLWMRFDRSGRSELVEWEKNTIIRHAAIPARDLRILGPVFSHSSNILARDKAMVVNLEFIKAIVTAEEILLLDPLRQEVLPFVEQLRLQLPHKTQPKLLGGGDEQELPVPEGGEGLPSELPLPFEFQVLEVALEVVCTYLDSNVADLERGAYPVLDDLARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWLQNQQYEAQVGATTSNNLPIVRRLNSTRSGSLMTSNDDNDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNHRNELIQLQLTLTIASFALAIETLIAGAFGMNIPCSLYDINGIFWPIVGIMTALSILLFLLVLAYAKWKKLLGS >CAK8566423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:421374523:421375092:-1 gene:gene-LATHSAT_LOCUS19555 transcript:rna-LATHSAT_LOCUS19555 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVSMMKNAATAPCEKVVSQDDCPLIMIFKEIEICGVIILATILTIRFLFHAIKLKLLASSTVRAAIKVTASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKINQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESILKVALDHGKTSGVIKPHDRVVICQKVGDASVVKIIELED >CAK8530276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11389674:11392588:1 gene:gene-LATHSAT_LOCUS154 transcript:rna-LATHSAT_LOCUS154 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSNLSSVFSPNSLQPRMLVNRFSCTLKNQSVRIGHCTKVPRNVNMEKLQHGYLFPEIERHELLHLKKYPNANVIDLGIGDTTEPIPTLVTSSMVDFVRGLSTAEGYKGYGPEQGEKALRKAIAHRVYKDLGIKPSEVFVSDGAQCDISRLQLLMGPNLKIAVQDPSFPAYIDSSVIIGHAGKFVDRIGKYENIEYMTCGPQTDFFPDLHRTSRSQLIFFCSPNNPTGHAATRKQLQQLVDFAKVNGSIIIYDSAYSAYITDGSPKSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVLHDFNRIVCTCFNGASSISQAGGLACLSPEGLKAVKSLIDYYMENARILVNALTSLGLTVYGGKNAPYVWVRFPGLKSWDVFGEILENTHIITVPGSGFGPGGEGYIRISAFGQRESIIEASERLKYLLY >CAK8574362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677317227:677330384:-1 gene:gene-LATHSAT_LOCUS26716 transcript:rna-LATHSAT_LOCUS26716 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGTHTETLAPVESHLFENRLVDASQHQRSSYATTTSGPEAVSWTVQSSTTNGVYSNPTYQYDQHPQPPGRSVHEGQSVAGNTSNLGTANTPQDYNAYTSYANSSNPYGYGSSTGYSGYYNSYQQQQPNHAYSQPVGAYQNTGAPYQPISSFQSTGSYAGSATYSSTYYNPADYQTTGGYQNSNGYTNQAPVWNNGSYSSYSSHPYTSYAPDSNSSYNSGAAATSVQYQQQYTQWADYYNQTEVSCAPGTENLTVPSSSTLGCPVPAATSGYATPNNQPPQSYPQFWGQESSTPAVPSFQPAAVNSGDYDSYWKHGAQTSSQIHQTSSQIVQTNPIQPNYQSHLDLNSSYDKFQDQQKTVTSQGTNLYFPLPPPPPLPQQVNPAPLQSAPTLDTKQVSKFQIPTNPRIASNLAFEQSKTEKDSSTSSAAMKPAYIAVSLPKPTEKVSSNDAANSILKPGMFPKSLRGYVERALARCKDDKQMAACQAVMKEMITRATADCTLCTRNWDMEPLFPMPDADAINKDNSPSSTHDFVLPKSKKSPRRSKSRWEPLAEEKLVDHSVPISNDTVKYSSWVPNEKDRKVVVENKEIKEDSWKNTKFSPFLHRISSKSPQRPFKKQRLADVSIGHENSNASSDSDKEQSLAAYYSAVNDTPEELKRREIRSKRFDLGQAQRTENNHSRKKNARAGNLYNRRASALVLSKSFDDGVSQAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEILEKALLMVQNSQRNYLYKCDQLKSIRQDLTVQRIHNQLTVKVYETHARLALEVGDLPEYNQCQSQLKALYAEGIEGSYMEFAAYNLLCVIMHSNNYRELLSSMARLSVDSKKDEAVKHALAVRAAVTSGNYVAFFRLYKAAPNLNTCLMDLYVEKMRYKAVTCMCRSYRPTLPVSYVSQVLGFSTAVPLNEANDEKEASALEECLEWLKAHGASIITDNNGDMMLDTKVSSTSLFVPEPDDAVSHGDANLDVNDFLAKAPL >CAK8534079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691305670:691305948:1 gene:gene-LATHSAT_LOCUS3649 transcript:rna-LATHSAT_LOCUS3649 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQIAICTFLLLILANVQVSMAVTCSPVQLSSCVSAITSSTPPSKLCCSKIKEQRPCLCQYLKNPNLRKFVSSPNARKVANTCGTPFPRC >CAK8538687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493028053:493030179:1 gene:gene-LATHSAT_LOCUS7861 transcript:rna-LATHSAT_LOCUS7861 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLKFSIHISRTLLVSIKIPSFPLCTTTSLGKTDETESDTEWEKLLKPFDLKQLQRSLNPITPSQLCKLLELPLDIPTSMELFGKAASQRGYCHSFHVYYLLIDKLGDAGEFKIIDKLLKQMKEEGVVFKESIFILIMRYYGKAGLPGQATRVLLDMWNVYCFEPTFKSYNVVLEILVAGNCPKVVPNVFYDMLSRGISPTVHTFGVVMKGFCMVNEVDSASSLLRDMTKHGCVPNSVIYQTLIHALSENNRVNEAMKLLEEMFLMGCEPDVQTFNDVIHGLCKASRIHEAAKLLDRMLLRGFTADALIYGYLMHGLCRMGQVDEARALLSKIPNPNTVLYNTLINGYVACGRFEEARNLLYNNMVISGFEPDAFTFNIMIDGLCKKGHLVSAFEFLNEMVEKGFDPNVITYTILINGFCKQGRFEEAFEVVNSMSSKGVSLNTVGYNCLIGALCKDGKIQDALQMYNEMSSKGCKPDIYTFNSLIYGLCQNDKMEEALGLYRDMFLEGVIANTVTYNTLIHAFLRGDSIQQAYKLVDEMLFRGCPLDNITYNGLIKALCKTGAIEEGLGLLEEMLGKGIFPSINSCNILINSFCRTGKVNDALQFLRDMIHRGLTPDIVTYNSLINGLCKIGRVQEALNLFNGLQAEGIRPDVVTYNTLISRYCYEGLFNDACRLLYKGVDNGFIPNEITWSILINYFVKKYQRE >CAK8569753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9789473:9792576:-1 gene:gene-LATHSAT_LOCUS22568 transcript:rna-LATHSAT_LOCUS22568 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKSAVLIVYLFAIITTSVVGEDPYRFFSWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSYEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLSFHKAAGAFGGIRILSRPRIPVPFDDPAGDYTILIGDWYKSNHTDLKAQLDNGKKLPFPDGILINGRGSGGSSFNVEQGKTYRLRISNVGLENSLNFRIQNHNLKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPGQDYYIVVSSRFTSPVLTSTGVLRYSNSAGAVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIVLSSSAGQVNGKQRYAINSVSYVSPDTPLKLADFFKISGVYRPGSISDRPTGGGIYTDTSVMQTDYRSYVEIVFQNDEDILQSYHLDGYSFFVVGMDGGQWTSDSRKEYNLRDAVSRCTTQVYPKSWTAIYIPLDNVGMWNLRSEFWARQYLGQQFYLRVYTDSTSLRDEYPIPKNALLCGRATGRHTRPL >CAK8567228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496307737:496308772:1 gene:gene-LATHSAT_LOCUS20298 transcript:rna-LATHSAT_LOCUS20298 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTHFFLLATTTLGFISISKSLFHFLTWIYATLIRPTKHLKHYGSWAIITGSTDGIGKSMAIELASNGLNILLLGRNPLKLEATSKEILVKHSPKVEVKCVVVDLQNNSGDEIMKKIEEAIDGLDVGILVNAAGVAYPYARYFHEVDLDLMDSIIKVNVEGTNWVTKAVIPSMIKNKKGAIINVGSGSTVVLPSYPLVALYAASKAYLAMFSACISLEYKHQGIDIQCQVPLFVSTKMTRMKASLFIPTPDVYSKTCMKWIGYEKLVVPYFFHSLQSFVIRAIPDVLLDSYMLSYFLYWRKRGLDKDSQIKGSSNSEAN >CAK8577167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542306601:542307730:1 gene:gene-LATHSAT_LOCUS29296 transcript:rna-LATHSAT_LOCUS29296 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNKKQYMSVLFLVLALSITNVMSRKLQQSASSPSLEERHEQWMTQYGKVYKDDAEKDKRFIIFRDNVEFIESFNDANNKPYKLSVNHLADLTLEEFKASRNGYKKRSTSTGLTSTSFKYEDVTSIPSSVDWRVKGAVTPIKDQGQCGSCWAFSTVAATEGINQITTGKLVSLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKATDGSCNNATTVPVAKIKGYEKVPVNSEVALLKAVANQPISVSIDASDSSFMFYSHGIYTGECGTELDHGVTAVGYGSANGSDYWLVKNSWGTVWGEKGYIRMQRGIAAKEGLCGIAMDSSYPTA >CAK8560376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16537509:16542227:1 gene:gene-LATHSAT_LOCUS14053 transcript:rna-LATHSAT_LOCUS14053 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIHNIDEFKGQTRLPNFAIPKKYELHLTPNFSTCKFSGTVKINLSINEKTKFLVLNSLELAIQTTSFTNSYGKFTPCDVVVDDEDDLLVLVFDETLCVGEGFLVIEFSGILNEHLRGFYRCTYVDGEVKKNMAATQFEAVDARRCFPCYDEPALKATFKVTLTVPSELTALSNMPVENEKLDGELKTVYFEESPLMSTYLVAVVVGLFDHIEDTTSTGVVVGVYCPVGKSDQGKLALDIAVKSLEIYTKYFSVPYPLPKLDLVAVPEFSAGAMENYGLIVYRESDLLYHDLHSPPSKKQRITIVAAHEVAHQWFGNLVTMEWWTHLWLNEGFATWISFMVTNILYPDWNIWSQFLLETSNGLQMDALEKSHPIEVEIHHARSVIEIFDAISYEKGSSVIRMLQGYLGDVTFQKSLSTYITRYQAKNARTEDLWNVLSEVSGEPVNLMMNAWTKSTGYPVIHVQLTDNIMEFKQSRFLLSGLQVEGQWIVPITLCVGSYEKQKKYLLERSDGRVDISKLVQYIGDDVNSNEKKHDEDSQENQWIKVNVDQSGFYRVNYEDKLAIRLRKALQNNSLLPTDKFGILDDGNALCEACEQSLLSLLMLVDAYRKELDYVIVSRLIEVCYDVLNIAIDAIPGSVNELKQYFINLLMYSAERLGWDSISGEDHSNSLLRGEVIKALATFDHDKTQQEALHRFQILLNDRNTSLLSANTRKAAYVAVMRSTAGESRTAFESLWSFYKSNDVLQERDDILRCIASSADPNVVLEALNLLLSNEIPDQDIIYVLGGISLEGSGIAVRWLKDNWERILVKYGSGLLLTNFISQIVSLVNSNEEADEIEAFFGSRMNPSITLNLNLSIEKIRIKARWIESVKKEHSLPDLVKQLSVRN >CAK8565626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294407689:294408009:1 gene:gene-LATHSAT_LOCUS18822 transcript:rna-LATHSAT_LOCUS18822 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIEEKYDLDFDFEKGRLTLAQGAELYVESPEGKYMPRSVSIIVNSEAKVRITKYFSIYRQRFLRVAY >CAK8535333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:839375662:839382872:-1 gene:gene-LATHSAT_LOCUS4799 transcript:rna-LATHSAT_LOCUS4799 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVSDDHLYTVRSIVGSEFTDMDIIRALHLAKNDVTAAINIIFDTSIPKSQPTHAINKRRVSPPKSTPPTVTSSSKRNADVENKKCSVESESVDWWFVGSGEVAGLSTCKGRSIKSGDAVIFKFPSKKVSVSPSPGKGFGRAAACSEIVRFSNEQDWEIGRIPNEWARCLLPLVRDNKVKIEGKCEFAPNVLGIMDTIILSISVFINRSMFVRQHQVSLKDAANSTDESVFHPLPALFRLLGLSPFKKAELTPGDFCSNKRPFSQTVTSLHAKSERPLQNGHENETEDIVSEFDLENIVGVASSSELEEMEPPENLLCDLRPYQKQALHWMVQMEKGRPRDDTTTALHPCWEAYRLADKRELVVYLNAFSGEATTEFPSTLQMARGGILADAMGLGKTVMTISLLIAHSGRGGLFSSQPITQSFIEGGEVSDTGTIPNFANIPKKVTKFTGFDKPTKQSNSLTSGGNLIICPMTLLGQWKAEIETHVSPGSLSLYVHYGQSRPKDAKSLAQCDVVITTYGVLASDFSSENAENNGGLFSIRWFRVVLDEAHTIKSSKSQVSMAASALIADNRWCLTGTPIQNNLEDVYSLLRFLRIEPWGHWAWWNKLIQKPFEGGDERGLKLVQSILKPIMLRRTKHSTDREGKPILVLPPADMQIIYCEPTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLKKLAKRFLKGTCNASEGEEKDALSRAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRNSTSGLCPVCRKIVSKQDLITAPTESRFQVDIEKNWVESCKVTGLLNELENLRSSGSKSIVFSQWTAFLDLLQIPFTRNKISFLRLDGTLNMQQREKVIKQFSEDSDTQVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKKVAIKRFIVKGSVEQRMEAVQARKQRMISGALTDQEVRSARIEELKMLFT >CAK8569479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2465228:2466361:-1 gene:gene-LATHSAT_LOCUS22326 transcript:rna-LATHSAT_LOCUS22326 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILAKLLFSSLCMLFVLKTQSLVFADQRLAIVYPVIQNFKSLITSDPLGVTKSWIGSDICNYKGFYCDNPPDNNSAIALASIDFNGFHLSASTLDGFIDKLPDIALFHANSNNFIGTITSQITKLPYLYELDLSNNQFSGPFPIAVLGMNTLTFLDIRFNFFSGGVPQQIFTQNLEILFINDNLLTQKLPENLATSSIFLLTLANNKFVGPIPRNLPKALSSLTEVVLLNNELTGCLPHEIGYFQEAVVFDVGNNQLTGPLPFSLSCLEKVEVVNLAGNMFYGIVPEIVCAGLVNLVNFSLSDNYFTHVGPFCRMLIQRGVLDVGRNCIHDLPFQRSVIECAEFFAKPKICPFMWFHSFFPCKFPFQKSQVSSVP >CAK8577298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:553619870:553621570:-1 gene:gene-LATHSAT_LOCUS29419 transcript:rna-LATHSAT_LOCUS29419 gene_biotype:protein_coding transcript_biotype:protein_coding MENWIKQIREKLIGAEEEENIADFGGSRDQKIPIQKSSSFREKKKANNWFQRQLSRNMSHDYDFIEMEHATAVAAAAFAISSHVSEITHEKKMSGFPETSLTKTRSNVFDRNSTLSQLGSASKRLSGSFKSRDEQVNKVPTTSTSTIREEKKPEKTTTFPRAPSSENTQPFIQKAKKTKKPDMQTPEKTQTFGDNYFNNTDESKPETSRPKTLPLVDDPTMFLTALPPPPPPPPPPPQPPIRQTSTSTRPRRPGTTDTKADAWEREELNKIKERYERLLETIETWEKRKKMKARRKLNKHEQSENERKKQKAWRKYEEKIKYIDGIAAGARAQSDERRKNETVKAKEKANIIRTTGKLPGACSCFK >CAK8575654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237073241:237073637:-1 gene:gene-LATHSAT_LOCUS27897 transcript:rna-LATHSAT_LOCUS27897 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPTATWVSCAFLFETRVSGEKAEKVVKTVIASVGTCGIFVLWKSKDILVDVLQDDSQFIHMKVVQEKKSECLLIALYAKPMESLKAWLWKQVLQMWAV >CAK8533391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611992593:611998022:1 gene:gene-LATHSAT_LOCUS3021 transcript:rna-LATHSAT_LOCUS3021-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKLINNLISYSNRNKNPSSSSSKSQLQTAAQVNDPEPVLKIHDVFISFRGEDTRASFTSHLYTTLQNAGITVFIDDIDLQRGDHISASLSLAIQQSQISIIVFSINYPDSRWCLDELMNIMECRRTLGQVVVPVFYHLDPSEVRHLRGKFGAAFQRLLYKITNELEIDQGQAQKLLLSWRETLREAASIAGFVILNSRNESEDIKRIVDKVSHLLNKTDLFIAHNPVGVESRVQDVIQLLPIQSNEVILLGMWGMGGIGKTTIAKAIYNKVGRNFEGRSFIANIRESREKIAGLVGLQEQLLFDIFKEMTIKIPHIGSGINTLQSKLRKKKVLIILDDVSTIEQLNTFCGSREWFGPGSIIIITTRDLHLLKGRVDNIYKMTIMNESESIEFFSWNAFKQASPTEDFDRISRNVVEYSGGLPLALEVLGSYLFNKTKLDWELVLEKLKRIPNSQVYKTLRISYDGLNDDDEQEIFLDIACFFIGMDRSDVILILNDCGLSAEIGINILAERSLVTVDDKNMIGMHDLLRDMGREIIREESPKVPEKRSRLWSQDHVIDILSRQTGKKSVMGLALKLPSANAKCFSTKAFKKMKRLRLLQLVEVKLDGDFEYVSRDLRWLSWSGLCHIPTNFYRENLVSIELENSNVDFRWNKTMRMDKLKILNLSHSRRLTRTPDFSNMPNLEKIVLKDCPLLSEIEKFEEDFAQIASKESEAKVPFLVLRSKSIGYISLCGYEKFSCEVFPSIICSWRSSPLQTHATTSSLVAPVVNNSYDASYFSYHLPWIRSIWMDCKSEDQLSSHAKIVLDALYAIGSKDLESTDTTSQVSNSTTRTLTQCCSLMHVSGSKHYSKPLLIQMGMNCQVTNILKEKILQNMDVNGSGGCFLPDDSYPNWLTFNSEGSSVTFQVPQVEEHNLNTMMCVVYTSTRDDIAFYGLKMVLVKNYTKTTIQLYKRDTLASLEDEEGQRIVSSMEPGNKVEIVFVFENSFIVEKTSVYLIYDGPVDKKLELYHVPDLRGIACRGDEKEHSVKRISTEEESIDDFNRNRKKKNRVE >CAK8533390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611992593:611998022:1 gene:gene-LATHSAT_LOCUS3021 transcript:rna-LATHSAT_LOCUS3021 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKLINNLISYSNRNKNPSSSSSKSQLQTAAQVNDPEPVLKIHDVFISFRGEDTRASFTSHLYTTLQNAGITVFIDDIDLQRGDHISASLSLAIQQSQISIIVFSINYPDSRWCLDELMNIMECRRTLGQVVVPVFYHLDPSEVRHLRGKFGAAFQRLLYKITNELEIDQGQAQKLLLSWRETLREAASIAGFVILNSRNESEDIKRIVDKVSHLLNKTDLFIAHNPVGVESRVQDVIQLLPIQSNEVILLGMWGMGGIGKTTIAKAIYNKVGRNFEGRSFIANIRESREKIAGLVGLQEQLLFDIFKEMTIKIPHIGSGINTLQSKLRKKKVLIILDDVSTIEQLNTFCGSREWFGPGSIIIITTRDLHLLKGRVDNIYKMTIMNESESIEFFSWNAFKQASPTEDFDRISRNVVEYSGGLPLALEVLGSYLFNKTKLDWELVLEKLKRIPNSQVYKTLRISYDGLNDDDEQEIFLDIACFFIGMDRSDVILILNDCGLSAEIGINILAERSLVTVDDKNMIGMHDLLRDMGREIIREESPKVPEKRSRLWSQDHVIDILSRQTGKKSVMGLALKLPSANAKCFSTKAFKKMKRLRLLQLVEVKLDGDFEYVSRDLRWLSWSGLCHIPTNFYRENLVSIELENSNVDFRWNKTMRMDKLKILNLSHSRRLTRTPDFSNMPNLEKIVLKDCPLLSEVSHTIGNLNKILLINLEDCISLSSLPRSIYKLKSLKTLLFSGCLKIEKFEEDFAQIASKESEAKVPFLVLRSKSIGYISLCGYEKFSCEVFPSIICSWRSSPLQTHATTSSLVAPVVNNSYDASYFSYHLPWIRSIWMDCKSEDQLSSHAKIVLDALYAIGSKDLESTDTTSQVSNSTTRTLTQCCSLMHVSGSKHYSKPLLIQMGMNCQVTNILKEKILQNMDVNGSGGCFLPDDSYPNWLTFNSEGSSVTFQVPQVEEHNLNTMMCVVYTSTRDDIAFYGLKMVLVKNYTKTTIQLYKRDTLASLEDEEGQRIVSSMEPGNKVEIVFVFENSFIVEKTSVYLIYDGPVDKKLELYHVPDLRGIACRGDEKEHSVKRISTEEESIDDFNRNRKKKNRVE >CAK8576219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:428217325:428219368:1 gene:gene-LATHSAT_LOCUS28425 transcript:rna-LATHSAT_LOCUS28425 gene_biotype:protein_coding transcript_biotype:protein_coding MASQISHLGPWHSLAGKVVLVTGASAGIGRDLCLDLAQAGCRVVVAARRVDRLQSVCDEINGQLLSQQSGNLRAVAVELDVSADGAAIEKYVEKAWEAFGHIDALINNAGIRGNVNSPLELSEEEWNNVFKTNITGTWLVSKYVCKLMRDSNRKGSIINISSIAGLERGQLPGGTAYACSKAGVNMLTKVMALELGAYKIRVNSISPGLFKSEITEGLMKKDWLNNVAKKTVPLKDYGTSNPALTSAVRYLIHDSSEYVTGNIFIVDAGVTLPGLPIFSSL >CAK8570772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:111767984:111771553:1 gene:gene-LATHSAT_LOCUS23497 transcript:rna-LATHSAT_LOCUS23497 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKLVEVMWPALVANKILHKRLGSRNFVADYQSYTDPLLGITSDDQLSITTNSILNDHRDTEKYKVFVSTWNIGGIAPDEGLNIEDLLETCSKSFDIYVFGFQEIVPLKASNVLGSEDNKISTKWNSLIREALNKRTHHYCCKDIESDDDGDGDNIKQDLKGNIPGQQCKSAPQDFHCIISKQMVGIMISVWVRSDLSPFIRHPCVSCVGCGIMGCLGNKGSVSVRFLLHETSFCFVCSHLASGGKEGDEKHRNSNVAEIFSRTSFPRGTLLDLPRKILDHDHVILLGDLNYRISMPEETTRLLVEKRDWDSLLENDQLKMELVSGQILRGWHEGTIKFAPTYKYFPNSDLYYGCCYHGNKKAAKKRSPAWCDRVIWFGNGLKQIEYSRSESKLSDHRPVKALFTAEVKVSSAFKNLSSLFMSERFGQIKNVFQVSPNHEFVCKRQSSFRL >CAK8570773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:111768099:111771553:1 gene:gene-LATHSAT_LOCUS23497 transcript:rna-LATHSAT_LOCUS23497-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPALVANKILHKRLGSRNFVADYQSYTDPLLGITSDDQLSITTNSILNDHRDTEKYKVFVSTWNIGGIAPDEGLNIEDLLETCSKSFDIYVFGFQEIVPLKASNVLGSEDNKISTKWNSLIREALNKRTHHYCCKDIESDDDGDGDNIKQDLKGNIPGQQCKSAPQDFHCIISKQMVGIMISVWVRSDLSPFIRHPCVSCVGCGIMGCLGNKGSVSVRFLLHETSFCFVCSHLASGGKEGDEKHRNSNVAEIFSRTSFPRGTLLDLPRKILDHDHVILLGDLNYRISMPEETTRLLVEKRDWDSLLENDQLKMELVSGQILRGWHEGTIKFAPTYKYFPNSDLYYGCCYHGNKKAAKKRSPAWCDRVIWFGNGLKQIEYSRSESKLSDHRPVKALFTAEVKVSSAFKNLSSLFMSERFGQIKNVFQVSPNHEFVCKRQSSFRL >CAK8575800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:328005315:328011287:1 gene:gene-LATHSAT_LOCUS28035 transcript:rna-LATHSAT_LOCUS28035 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRFTDLNPKTKTCVVLGGRGFIGKALVLRLLKLGNWIVRVADSTHSLQLHSSESLLADALSSSRASYFHLDVTDKHRVAKVLEGSSVVFYMDVDGINYDNDFYSCYKLIVQGAKNVITACRECKVKRLIYNSSADVVFDDLRNGVKSSAYQWKVDNMLIDLKAHAEALILDANDIDGVLTCSLRPSNVFGPGDTEIVPYFLKLARYGFTKFIIGTGDNRSDFTFYENVAHAHICAEEALNFQTVSVAGKAFFITNLEPMKFWEFLSLLLEGLGYQRPFIRLPANLVQYILPVLMWLHEKLGPRCFSYPLLVRFLQLASHTQTFNCSAAQKDIGYSPIVSLEEGVTLTIESFAHLAKDSSFSRCSGCVDRSKADKLLGCGKVADILLWRDEKASFTYFLGLVFLFYWFFLSGSSFISSSARLLLLATLLLCGHGFLPSKLYMLPVSNFKISDPVVKDSVAITVHLWNKGFQNIKGLAKGDDWSKFFKVAVLIYLLKLTLSKLLTTFIGTGLVLAFMVFFVYEQYESEIDGLAGILTTSLKEFTIYLKGNSPLFVSRLLHYGDNF >CAK8566588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439356942:439357765:1 gene:gene-LATHSAT_LOCUS19709 transcript:rna-LATHSAT_LOCUS19709 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQNFIWMKRKQVLNSDIEVGVPSFGGMNNNNSSWEEKAFAEDAARILGGCIWPPRSYSCHFCKREFRSAQALGGHMNVHRRDRARLKQTLTNPNDFTTLSRVSTLSTQENCFQIQPTVSSMRWGNSNNHMEFHDSESKGLLVHAREQIFKGFGCNDYVETSLSMGQNNSMFVQKLQTDGDSCGDEGINYKKPKTAASMSSSLPVLLKPCLNDRCLTFQSAVGIKPGMEDLDLELRLGKLTQKV >CAK8560258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12071339:12076435:-1 gene:gene-LATHSAT_LOCUS13947 transcript:rna-LATHSAT_LOCUS13947 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVVCATVIGATAACAVAAVLIQRHVKKSKRWGKAKAILKEFEEKSATPTWKLKQVADAMAVEMQAGLASEGGSKLKMLISFVDNLPTGNEEGLFYALDLGGTNFRVLRVQLGGKENGIISQEFTEVSIPPNLMVGTSKELFDFIAAELVKFVKEEKEDYQVPTDGKRQLGFTFSFPVMQTSISSGNLIRWTKGFSIDDTVGQDVVAELRNAIERQGLDMHITALVNDTVGTLAGGRYTNKDVFAAVILGTGTNAAYVENAQAIPKWHGDLPESGEMVINMEWGNFRSSHLPLTEYDTALDAESLNPGDQIFEKLISGMYLGEIVRRVLLKMAEEAWFFGETVPSKLKVPFVLRTPDMSAMHHDSSADLNVVKTKLMDILEISDTSLQVRKVIVELCNIVATRGARLAAAGILGILKKLGKDAVSDGQKNVIAMDGGLYEHYTEYQKCLENTLNELLGEDVSGSVLVEHSNDGSGIGAALLAASHSC >CAK8544014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:656047892:656048872:-1 gene:gene-LATHSAT_LOCUS12725 transcript:rna-LATHSAT_LOCUS12725 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGCGWPRLVPPSITDPTTVISDQQATGSDAMVDDELQNNLVENGSLVEEDVENVFDAGNLGHQSTEGKLKVEASQSKKLWVDIINENRNPTKGLTMEFVAPNIIDGEMEIQIEEEDVEKEVKFWESALIMYALGVDLSMNAVKQFMSKSWNFVKLPDMFYNEEGFFILRFHSFQDKDLVLMKGSYSICNRPMMLREWKPDFCMSKDMLRTIPLWVKLPQLPLHIWGARSLSKIGSALGTPLVTDECTTNKLRVSYARILVEIDITQELKTHILIRDEKGARLNQPIEYEWKPLYCQRCHKIGHNCDKPSKPHKEWKLKVT >CAK8540392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557547726:557553197:-1 gene:gene-LATHSAT_LOCUS9398 transcript:rna-LATHSAT_LOCUS9398 gene_biotype:protein_coding transcript_biotype:protein_coding MESQHVAEWNEAQKIDISVDLVDVAKKQLDFLAAVDRNRHLYDGPALDRAIYRYNACWLPLLAKQSESRSFEGPLVVPLDCEWIWHCHRLNPVRYKVDCEELYGHVLDSFDVVSTVQGISDSQTEEIWNKLYPDEPYNSDLINLIPEDISKRIDSLPKYTKYDLISAVKRQIPFFYQVSRPYIKTDLFIKEAEARYKGFLYLIKRNKEKGINRFCVPTYDIDLMWHSHQLHPVYYSKDLNEALGKILEHDDTDSDRTKGKKLDVGFSGTTKQWEDTFGTRYWKAGAMYKGNAPSPITSCPFSSTKICKKVVSSNEKPHENFLQDRSVVEVFLEFVDVKNLPDGLEGSLFVLFSKSQPDAFFDAKRRLSILSESRVKNVASFQCEPTGELLFELMSHSSSKLSFRRSPKTLGTATFSMQDHLDPVSKLSIEKWLELVPSSGTNSTKPILLRVAISFTVPVPAPYTLQLTQSRPVSKNTCFFNLPVKPQHARSWTHVTDENATRIISLQMRDIKTVKNIENLGKEVVGLLESGETRPLAEYMENGWSFMGNRWLLHLPSKSKNDGHIFELTGTKTMKFYPGRKGEYELRYHVKEENEMDFLTAVEFSIEDPYGKAVALLDLKSKLVLAKEKWMVLPGIILTFIASDNMREDGYEGIISKSKDLNVNDTDEEIKRNALNGVQLSSEVCRGEAGMTKKVVLSSGGCGSGCGSGCGNAVNSGGCGGCGGGCGSGCRNTVNSGDCGGCGGGCGSGCGNTVNSGGCGGGCGSGCGSVVNSGGCGGCGAGCGSGCGGGCGSMIKSGGCGGCGAGCGSGCGGGCGSMIKSGGCGGCGAGCGSGCGGGCGSMIKSGGCGAGCGSGCGGGCGSMIKSGGCGSGCGGGCGGGCGNMAGSGGFEIDSMKKSSGCGGCGDDLLDSKCGLNEHLNEEGHHMNEEAVAAA >CAK8573512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617205099:617205473:1 gene:gene-LATHSAT_LOCUS25954 transcript:rna-LATHSAT_LOCUS25954 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRGSIRQVVLSSPSVTGTTTITIESSVPSSSSSQQEQQQPEVLFLPLNRKKKKVSWKDGTVDNEFMQKKSSKKCCIFHKEKPFDEDDSDEDDVPNSSDKHPHDHGDSGFCCKNHDEAGPSS >CAK8533509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627960937:627962591:-1 gene:gene-LATHSAT_LOCUS3131 transcript:rna-LATHSAT_LOCUS3131 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLLGALILILIAISMLQTMVMASHGHGGHHYNDKTKYGPGSLKSYQCPSQCSRRCKMTQYHKPCMFFCQKCCNKCLCVPPGYYGNKAICPCYNNWKTQQGGPKCP >CAK8576639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499548419:499549227:-1 gene:gene-LATHSAT_LOCUS28813 transcript:rna-LATHSAT_LOCUS28813 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPYSDANYDFSSGGFVELHQPSQYQHQRERYVQASNTIDSPTLVTLLKKQESETNQFHRIQNDKLKFMLQYQRELQESAVRRMEIYSQQILKRKDEEIAKGAKKNQELEYIIRSLENEKMKLKRVAEEKGAMTIDLHNKLEEEKKRARMLVANNDVESCCSNEEAEKHVRREGNIMCCPMCNTNSPGVLFLPCRHISSCKACEASLQACLICGIAKKGAIEIQSFVSEEY >CAK8577247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:548221780:548222084:1 gene:gene-LATHSAT_LOCUS29370 transcript:rna-LATHSAT_LOCUS29370 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYGGHIFNNLHTGINTRQIYMTSKMVEEYSGLQLQPHKALVGANAFAHESGVHQIGYNDNYICLSIP >CAK8579555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707520932:707521975:-1 gene:gene-LATHSAT_LOCUS31493 transcript:rna-LATHSAT_LOCUS31493 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNEKRRRRTKIRRIAMNGKRKKNSITNDKLLTPSFGFLSKPKIDAYKKNPWILPLNFLFMPFYSQKNITDELELRLEKNEYAIHSNLKSYLERYYKPKHRYNANSPKYRKDMAAEQNFLIDRYVGYYLRCADFTYYGDSYAKIVSVLTLLKQTKQPKQILLSTIRRGELDLETFVRIVSDDPCFFYSRDIEEVQDNLVFCLEPIRCSYKKNYEQSFLYQTISVSLKHKRQIINKDKNHYDLLVPENIFSPRRRRELRILTCLNHRKNRKTMDRNTITDKEKTNSSEVLTKKKDLDSDTKKLMNLKFFLWPNYRFEDLACMNRYWFDTHNGSRFSILRIRMYPRLD >CAK8560100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7564834:7569026:-1 gene:gene-LATHSAT_LOCUS13812 transcript:rna-LATHSAT_LOCUS13812 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWGILVSDPSLQNQFTQVELRSLKTHFMSMRRESGKLVIADLASKMSRLKVVGENLSEEERASCVKDLYQNTDEEVDFELFLKVYLKLQTFANSRTGSSPKNSSAFLKAATTTLLHTISESEKSSYVTHINHYLSQDEFLNKYLPIDPSSNELFEVAKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLLELLDDSKDMEELMNLPPEKILLRWMNFHLKKSEYKKIVSNFSSDVKDAEAYAHLLNILAPEYTNPSTLAVKNSFERAKLVLEHSDKMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLTDQTKQISLLQALPDDTEDSREERAFRLWINSLGNSTYINNVFEDLRNGWILLETLEKVSEGIVNWKIANKPPIKMPFKKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHARGKEITDADILEWANSKVSSTGSQSSMNSFKDKRLSDGIFFLELLSSVQPRAVNWGLVTKGVTDEEKKMNASYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKHPHEERTVGISDSESGSQLETTSNSTLDDSASDSSADENGNV >CAK8539273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508054382:508064849:1 gene:gene-LATHSAT_LOCUS8384 transcript:rna-LATHSAT_LOCUS8384 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFTNSSTCVTATTATTAVNSLDLNSTFSSNSRKATTPRILRFSQNEKFNVSRLALSHSNRAVKVRTISMALVRDTVEEKADVASSSSILAYDLVQGALVRWSSVMDRSLPDTPTAVFLHGILGCRKNWGTFAKRLAREFPMWQFLLVDLRCHGDSTSIKKRGPHTVASAALDVLKLVRELRITPRVLVGHSFGGKVVLSMVDQAAKPLARPVRAWVLDATPGKVRAGGDGEDHPEELISLLNTLPKEVSSKKDVLKALIQQGFSNDVAQWVVTNLRPTSSPNSRFSWVFDLKGISEMYQSYEETNLWKVVEDVPRGVHINFLKAERSLHRWALEDLQRIHVAEELASEEGGGVEMHVLEDAGHWVHTDNPDGLFRILSSSFQGNKA >CAK8563285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589870742:589879524:-1 gene:gene-LATHSAT_LOCUS16711 transcript:rna-LATHSAT_LOCUS16711 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRTAIHRLRYTYRTISSPSSTPITTRFQFQRLITSHPIPILKPLQFHSSYYSSSPSSLKQDFIGGGDDAYPTDDFNFEPVTGFNKFTVKLKTLIALPWERVHHGSVLKIVLRGQISDQLKSRFSKGLSLPQICDNLLKATYDPRISGLYLHIDTLNCGWAKVEEIRRQILNFRKSGKFVVAYVPSCREKEYYIACACEEIYAPPSAYFSLFGFTVQAGFVRGFFDNLGIEPQVERIGKYKSAGDQLTRKTMSEDNREMLTTLLDNIYSNWLDKVSSARGKKREDIENFINEGVYQVDKLKEEGFISSILYDDEVMTRLKERLRVKTDKNPPIVDFRKYSGVRKWTVGISGGKELIAVIRASGAIRRVESPLSAPSKGIIGEKFIEKIRRVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLADSKPVITSMSDVAASGGYYMAMGAGTIVAESLTLTGSIGVVSGRFNLGKLYEKIGFNKEIISRGKYAELHAAEQRSLRPHEAELFAKSAQNIYKQFRDKAAFSRSMTVDKMEEVAQGRVWTGKDAASYGLVDAIGGLSRAIAIAKLKANIPQDRQVTVVELSRPSPSLPEILSGLGNSLVGADETLKELLHDLTVSDGVQARMDGIMFQKLEGYPAPNPILALMKDYLGSM >CAK8533849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665091698:665101780:-1 gene:gene-LATHSAT_LOCUS3440 transcript:rna-LATHSAT_LOCUS3440 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGTPWLRTLNNHVGRQVWEFDPHSGSPQDLDDIEIARRNFHDNRFTHKHSDDLLMRLQFAKENPMKEVLPKVKVKDVEDVTEEAVATTLRRGLNFYSTIQSHDGHWPGDYGGPMFLMPGLVITLSVTGALNAVLTDEHRKEMRRYLYNHQNKDGGWGLHIEGPSTMFGSVLCYVTLRLLGEGPNDGEGDMEKGRDWILEHGGATHITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYALPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPIVLSLRKELFTVPYHDIDWNHARNLCAARNLCAKEDLYYPHPLVQDILWATLHKFVEPVFMNWPGKKLREKAIKNAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWVAEDGMKMQGYNGSQLWDTAFAAQAIISTNLIDEFGPTLKKAHAFIKNSQVSEDCPGDLDKWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKISPEIVGEPLDAKRLYDAVNVILSLQNENGGLATYELTRSYTWLEIINPAETFGDIVIDYPYVECTSAAIQALAAFGKLYPGHRREEIQRCIEKAVAFIEKIQASDGSWYGSWGVCFTYGTWFGIKGLIAAGKNFSNCLSIRKACEFLLSKQLPSGGWAESYLSCQNKVYSNLEGNRSHVVNTGWAMLALIEAEQAKRDPTPLHRAAVCLINSQLENGDFPQEEIMGVFNKNCMITYAAYRCIFPIWALGEYRRVLQAC >CAK8577354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556642751:556643647:1 gene:gene-LATHSAT_LOCUS29468 transcript:rna-LATHSAT_LOCUS29468 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKQLLTQEGFSKTNKLSMETKDSFQPNTQRVCPPSEISNSTSSVSPDSTREGPPMDEVAIRAVISILSDYVGRYINDSFFRKTVFEKCNYYLVRRKNSAESESDTENEILGNMKLCLENVDKLVQDQGSTKKELKMSFLRNSIELLTIIASLNSNSLRGGTTCGLPNSHLSACGQLYMAILYKLQKNNRVCARHLMQVFCDAPFFARTYLVPEFWQHLFLPHLVHVKIWYIEEVETISDSNEGDGEKEKKMEGLRRVYNNKVDIGTIMFALYYKQWLQVGTSEPSFPVVPLPTRS >CAK8572437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537055807:537063552:1 gene:gene-LATHSAT_LOCUS25007 transcript:rna-LATHSAT_LOCUS25007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASANSVNPHVHSGVRIVVAGDKGTGKSSLISTAASDNFRPNVAPVLPPTTLAVDLYPDHVPITVIDTTSTIADIDRVSEELKRADTVVLTYACDRPETLESLSTFWLPHLRNLEVRVPVIVVGCKLDLRDESQQVSLEQVMSPIMQQFREIETCIECSASRQIQVPEVFYYAQKAVLHPTAPLFDQETQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIIGVKKVVQDKLSEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDDIKLADDLFPPLKYTPDQSVELTNEAIDFLKTIFDAFDADFDGMLRPRELEELFSTAPESPWIGNPYEDAVERNAFPGLSLDAFLSEWALMTLLNPTFSVENLIYLGYPGDPLSAVRVTRKRRADRKRQLSERNVLQCFVFGPRNAGKSALLNSFIGRPYSEAYNPTIEDRYAVNVVDLAKENKKYLVLKEIPESGIAKLLASKESLASCDIAVFVHDRSVESSWRASSELLVNIAGHGENTGFEVPCLIVAAKDDLESFTSAIQDSIRVSQNMGVEAPIPISVKLGDFNGIFRRIVNAAEHPHLSIPETEAGKNRKHYNKLIGRSLMCVSVGAAVALVGLGAYRIYAARKSASG >CAK8570043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21719970:21721457:1 gene:gene-LATHSAT_LOCUS22830 transcript:rna-LATHSAT_LOCUS22830 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVNIICKRTVVSTKAIEPGKYLTLSVLDRFMEKNHIRMVYYYQTSEESELGKLTKKLRESLSEMLTHFPIVTGRLIRDDMGHWKIKCNDAGVRMVEAKAKGGVEEWLRNVDREKELKLVYWEDMHQKPYYWSTFYVQLTEFEEGGLAIGLSCTHLLADSICATNFMKAWADISLGNKTISPPIFHPLPSQKPQNKKPNDSPYMGLISHYKSSIEKPISIKESKYTTISMGFSHHMVQACMSLAQTNGSSSPSPNSTPFEALAGLFWVALSKIKGIQNGLVDMSICLNVRKIMGLDQGFFGNSMLYNKVHLDKNINLDLSENKFPQATKAIRDVVAKLNNEEIMDLIEWFENIDINSSTMMKSHDLVFTSLEDVDPYLAIFQDMLKPIHVSSYIEPVFGEGHVLILPTPLDEGPLGRVVMVTLEEEEAIKLCEDEFISQFSPNILMKCVNKY >CAK8562321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473358340:473358829:-1 gene:gene-LATHSAT_LOCUS15828 transcript:rna-LATHSAT_LOCUS15828 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHHPFPFCFFGSRGVFFSLLFKLNKGFTHCSCSSSIIFNTVRGFHKSSRGCSCSHSIIYCDSIWGKSRFSFRD >CAK8540691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16236330:16237097:-1 gene:gene-LATHSAT_LOCUS9671 transcript:rna-LATHSAT_LOCUS9671 gene_biotype:protein_coding transcript_biotype:protein_coding MIITKQYRCIHSASCQCSKGHLSEDAIFLVFHNLKWNPKLIATLSCVCKWFDDLAKRVLWKEFCGTRAPKMLCDLQSTGSHVVDGNWRALGKLLTYCSGCTKGGLFKTIQNQIPGHFVYQTRFSRTSGKSFLLPHCRTDVLYVSDPCEHLDQGEEEDLGFFRGVFKSFATSKVRKMLISKGVKLHQTEVCPYCKAKLWSMQQAKMIPQSASCRLGSYEDGIEYYVCLNGHMIGICTLLPLSDSEEAPELDGVTVQ >CAK8565793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:334958645:334963277:1 gene:gene-LATHSAT_LOCUS18975 transcript:rna-LATHSAT_LOCUS18975 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKSAVDLMESSSEVHFSGFHMDGYEQREASIEQPTTSETDTYNQPFVIGVAGGAASGKKTVCDMIVQQLHDQRVVLVNQDSFYNNLSEEERSRVQDYNFDHPDAFDTEELLRVMDKLKHGEAVDIPKYDFKSYKTDTLRRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTSEKDRDIGVILDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDAQITKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGEQLIYEKLPNDISERHVLLLDPILGTGNSAVHAISLLIRKGVPESNIIFLNLISAPKGVHVVCKSFPRIKIVTSEIEIGLNEDYRVIPGMGEFGDRYFGTDDDDEQVVVASK >CAK8567820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547313971:547315242:1 gene:gene-LATHSAT_LOCUS20842 transcript:rna-LATHSAT_LOCUS20842 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVWRGMPGPWANEDREPSDPFTTKIGGLPDWPIPIDAINSDLLRCSTCANNLSLVAQVYAPLSHRHHRILFIFGCVSPECATSWRVLRLQKIIDIDTSQHEQQTEVLNLQNLNSEDESEEDMSFEELANALIQAGNLASSSSKSKSKNQQKKRQQHNFSTTASLDNHNNNDIPVVPCFYTYTQEEPSTGDVSSVCSSYSSLSIKGNGNSAEDNLQGEETWEKEPYEYDKALTADRIYLKFKKRLDAYPEQCFRYSYGANPILALLYLLIELNH >CAK8567821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547313971:547314625:1 gene:gene-LATHSAT_LOCUS20842 transcript:rna-LATHSAT_LOCUS20842-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVWRGMPGPWANEDREPSDPFTTKIGGLPDWPIPIDAINSDLLRCSTCANNLSLVAQVYAPLSHRHHRILFIFGCVSPECATSWRVLRLQKIIDIDTSQHEQQTEVLNLQNLNSEDESEEDMSFEELANALIQAGNLASSSSKSKSKNQQKKRQQHNFSTTASLDNHNNNDIPGTENMILDNV >CAK8534340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719074912:719076482:-1 gene:gene-LATHSAT_LOCUS3891 transcript:rna-LATHSAT_LOCUS3891 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSIAIIFSFVYFVADYVAAQAGVLDISKFGGKPDADITQAFTSAWNEACASTTAAKIVIPTGTYKMGLLEVKGPCKAPVEVQVDGTIQAPVNNADLKGAEQWIRFDGIDFLTVSGKGVFDGQGAAAWKDAAIAWKDKKNGQGSNLRAMNLYFFNCKNSLVTGITSKDSKYFHFMVLGCTNITFDGVTIIAPDESPNTDGIHIGRSNGVKIINTNIGTGDDCVSLGDGSEQVTVQNVNCGPGHGISVGSLGKYDNEENVAGFIVKNCTLTGTQNGVRIKTWPDSPGKITVTDMHFEDIIMNNVMNPIIIDQEYCPWNQCSKKNPSLIKLSKVTFKNIKGTSGIAEGAILICSSGVPCDGVELNNIDLTFNGAPTVAKCSNVKPLVTGIAPACGATTASPGSASASAPGSTPASASAPTSPGSTPASTSPATGSA >CAK8536706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:9318090:9318933:-1 gene:gene-LATHSAT_LOCUS6040 transcript:rna-LATHSAT_LOCUS6040 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLFVFLILVIASHSLCARITPKADDEWIAFDVTNTKYGAIGDGYTDDSEAFIKAWQDVCGSQVTPTLIIPNNKTFFLQPLIFQGPCKCATIKVWLGGTIIAPKNMEDWKWAEDKELAWIRFEDIYGLTVNGGGQINGQGAPWWKEYPDNESKRPFAIKFIGCEKITISNLTHYCRYCKNRRRL >CAK8532794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516795572:516800824:-1 gene:gene-LATHSAT_LOCUS2464 transcript:rna-LATHSAT_LOCUS2464 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLNSFFAQGFKASKCKTLLKLSIPRIKLLRNRREIQLKNMRREIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELVSVRLPIIESQRECPLDLKEAISSICFAAPRCADLPELLQVQLQFATKYGKEFISAATELRPDCGVNRQLIELLSIRAPSPEKKLNLLKDIATEHELDWDPATSETEMFKKHEDLLNGPAEFFSGSKLPLPEEKHDEQLYSTHDTPKKEQFDSDSDSDMLDFPEVPKVSVQPSTNFATAPDMVIPPAAMPHPKVGLHSPSHSGEFADMKQEHIESTVHRDEPDTSFDKVESKQFLPFISPPPSESSGSYYARHSDSPPLSNAKSISPPSESPASYGVRHSDLPPLSTAKSISPPSEPSASFTRNSDSAPSLSTAKYEAKDFSLPTAKSEANLDLQDVVAAAHAAAETAERAAAAARSAASLAQLRISELTKMRSNEHTPDNSSENPFYVGGNNESTTERDHFNAAGNPDGNLFKDHDIHRDHYDSIGSHSSSFPSFDTPKEDFDSSLPTDHVLDDKSSSHQPKRLPSMEDDPYFSYPNLFTSQNSNVGSHTHSDNSRTTYDM >CAK8566599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440176120:440177367:1 gene:gene-LATHSAT_LOCUS19719 transcript:rna-LATHSAT_LOCUS19719 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETISYWCYRCSRFVRLGRQETVVCPDCDSGFLEEVDQPSRSISRRRRFPAAAMYMIGQRPGSGSDHNHNFRPPSFRSTRRNGGDRSPFNPVIVLRGGGGSMEATEGDNNNNNNNNGGVVVGGGGGSRGFELFYDDGAGSGLRPLPPSMSEFLLGSGFDRLLEQLSHIEINGISRYENPPATKAAIDSLPTIEIDKTHLEMESHCAVCKEAFELNTVVREMPCKHIYHPECILPWLALHNSCPVCRHELRSDSDAGTNVQSELQSQAQPLPQTQTHSQLPGLNEEENNVGLTIWRLPGGGFAVGRFSGGRRGAERELPVVYTEMDGGFNNGGEPRRISWSSIGSRGRERGGGLRRFFGSLLGCFGGGVENQRVVSSRETRSLRTNSSHSLGMDPSQRSRRTWSMDVNSGMRSW >CAK8568034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565803906:565811149:-1 gene:gene-LATHSAT_LOCUS21034 transcript:rna-LATHSAT_LOCUS21034 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAVVDGGGAAPAPQGRQQGGGGFSFTGIIRMVVFWYFASKFFSPAKKPTEPSALVSNLFHKGQPLDMWLYLTENEKFNEFGSESALVWHETNIPYAVWVPESTRTLTLNFPPTESLKHNGSLYAHVFFAQSGYSPDPSDPEYQPQAAFGRTLPVVVYSPKSKADKKKSLLGGVPDSSEGQVTPEVVDDSEDDSKDDGSVEWLAYWKPNITINLIADFTQYPNTGIPPNIAPHLNIDPITGNYYPTIYFNEFWLLRDKLIALNETVTELTLNLEVGPISMTKWQLFMQVDQSFQVHRSYGSMIEGEADELKRVFLEGNPYLLGITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKTVVVSFISQLIIFLYLLDNDTSWMILLSSGVGLIIEFWKIGKAMHIEIDRTGRIPMLRFRDRDSYAGNKTKEYDDIAMKYLTYVLFLLSAGFAGYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYVYQRWIYPVDKKRVNEFGFGGDDEQAIDSAETVAAKEEEKKTN >CAK8572337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530448928:530451037:-1 gene:gene-LATHSAT_LOCUS24913 transcript:rna-LATHSAT_LOCUS24913 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVADAERDKYSMINFEETELRLGLPGGSVNDHGEPTVKSSCGKRGFAETANMDLKLNLSPTNDSVSSSSTVASVTANKGKEKTSTSVTAPPRAKAQVVGWPPVRSFRKNIVNVQKSNNESEQEKNTNVGNGAFVKVSMDGAPYLRKVDLNLYKSYQELSDALAKMFSSFTIDNCGSQVTKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVHSCKRLRIMKGSEAIGLAPRAVEKCKNRS >CAK8537360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:304472139:304473236:1 gene:gene-LATHSAT_LOCUS6656 transcript:rna-LATHSAT_LOCUS6656 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKLVQEVVDALLDNGIRGQPMRDSHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNWFNCRNSQNEKMSNNNFKNLKYMKKKEPCFCNSYDAIGAYRQKRINLDSPFWLRWQIHQCIMSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8532843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:530307374:530307625:-1 gene:gene-LATHSAT_LOCUS2507 transcript:rna-LATHSAT_LOCUS2507 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGCWECVLNLLNSLLTITGLAMVGYGVYLLVQFGKAPNNSLTIATVSDDQNYLLLGRPMLMVLPLSKKFFDDLPKAWYER >CAK8541720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:366923648:366924493:-1 gene:gene-LATHSAT_LOCUS10616 transcript:rna-LATHSAT_LOCUS10616 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISPITVSIITLFLLFHPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRTDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECSDNNE >CAK8535222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827160350:827162098:-1 gene:gene-LATHSAT_LOCUS4695 transcript:rna-LATHSAT_LOCUS4695 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQLYSFSMTSAGLPNMSSFSTVPSLQNGLFGSLKVGIGNSPDSPFSSHFDSDNLSAFSDNYEQQNSGETLSGISVSYNSALETNRYLHRSVSSVDNLKGSLQLYSARRSLPPSCNQIVQHALLELETALMAPDDNEVTTSNSSLGESIKETASGPRYRSWGHVSQGSQYIQNQPSHATSSSRQSNEVVHVEKRRKLEESSLQGFPSGDLKQLLIACAKAMSENNIQDFNRLVESARNAVSINGEPIQRLGAYMVEGLVARKEASGNSIYRALKCREPEGEELLTYMHLLYEICPYLKFGYMAANGAIAEACRNEDYIHIIDFQIAQGTQWMTLLQALAARPGGAPHVRITGIDDPVSRYARGDGLEVVGKRLALMSKKFGIPVEFHAIPVFGPDVTKDMLDIRHGEALAVNFPLQLHHTADESVDVNNPRDGLLRMVKSLSPKVVTLVEQESNTNTTPFFNRFIETLDYYLAIFESIDVTLSRNSKERINVEQHCLARDIVNIIACEGKERIERHELFGKWKSRLTMAGFTQCPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWH >CAK8538294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475663401:475666771:-1 gene:gene-LATHSAT_LOCUS7509 transcript:rna-LATHSAT_LOCUS7509 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIFTFFLCFVSQMLVYYLMPSTVVALSLSSETDKLALLALKEKLTNGVPESLPSWNESLHFCEWQGVTCGRRHMRVSSLQLENQTWGGTLGPSLGNLTFLKILMLRNLALHGEIPAQIGRLKRLHDLILSSNKLEGEIPIELSNCTNLRVIELYHNQLIGRVPSWFESMQLTLLNLGFNNLVGTIPSSLGNISSLSDLSLSSNHLAGNIPHALGKLSGLKMLTLDLNNLSGEIPHSLYNLSNIQSFILVDNKLFGSLPSNLNLAFPNLRGFLVGGNQISGAFPSSISNITDLTTLDIARNAFNGPIPLTLGQLNKLERVDIGDNNFGSGGNHDLDFLSSLTNCTKLSLLSFYKNRFGGLLPDLIGNFSSIVTLDISFNQIYGAIPESIGQLTGLTSLDIGFNHLEGTIPSSIGMLKNIERLVLQANKLSGNIPLSIGNLTILSQLYLAANILQGTIPVTLRYCTKLEIVSMSVNNLSGNIPNQTFGYLRGLVLLVLSNNSFTGSIPSDFGNLEQLSQLFLHSTKLSGEIPNELGGCLSLTKLVLRENFFHGNIPLFLGSSIRSLDTLDLSRNNFSGTIPFKLENLTLLNSLDLSFNNLYGEVPTGGVFSNASRISLSGNNNLCGGIPQLKLHACFRAPSRKHKRSLKKKLIIIIISVIGGISISFIAFLAVHSLTGKRKRLPSSPSLQDESLRVTYGDLHEATNGFSSSNLLGVGSFGSVYKGSLLNFERLVAVKVLNLDIRGATKSFMAECKALGKMKHRNLVKVITCCSSIDYNGVDFKAIVLEFMANGTLEKLLHSNEGYENENHSLSLTQRVDIALDIAHALDYLHHDEEQVVVHCDIKPSNVLLDKDMVAHLGDFGLARLIHGSTRHSSKDQVSSSTIKGTIGYVPPEYGAGGPVSSEGDIYSYGILLLEMLTGKRPTDNMFYENLSLHKWCKMKIPETILDIVDSRLVMSFGEDQTWILENNIKECLEMFAKIGVACSEEFPTQRMLSKDVIVKLLEIKRKLSI >CAK8538295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475663401:475666726:-1 gene:gene-LATHSAT_LOCUS7509 transcript:rna-LATHSAT_LOCUS7509-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYYLMPSTVVALSLSSETDKLALLALKEKLTNGVPESLPSWNESLHFCEWQGVTCGRRHMRVSSLQLENQTWGGTLGPSLGNLTFLKILMLRNLALHGEIPAQIGRLKRLHDLILSSNKLEGEIPIELSNCTNLRVIELYHNQLIGRVPSWFESMQLTLLNLGFNNLVGTIPSSLGNISSLSDLSLSSNHLAGNIPHALGKLSGLKMLTLDLNNLSGEIPHSLYNLSNIQSFILVDNKLFGSLPSNLNLAFPNLRGFLVGGNQISGAFPSSISNITDLTTLDIARNAFNGPIPLTLGQLNKLERVDIGDNNFGSGGNHDLDFLSSLTNCTKLSLLSFYKNRFGGLLPDLIGNFSSIVTLDISFNQIYGAIPESIGQLTGLTSLDIGFNHLEGTIPSSIGMLKNIERLVLQANKLSGNIPLSIGNLTILSQLYLAANILQGTIPVTLRYCTKLEIVSMSVNNLSGNIPNQTFGYLRGLVLLVLSNNSFTGSIPSDFGNLEQLSQLFLHSTKLSGEIPNELGGCLSLTKLVLRENFFHGNIPLFLGSSIRSLDTLDLSRNNFSGTIPFKLENLTLLNSLDLSFNNLYGEVPTGGVFSNASRISLSGNNNLCGGIPQLKLHACFRAPSRKHKRSLKKKLIIIIISVIGGISISFIAFLAVHSLTGKRKRLPSSPSLQDESLRVTYGDLHEATNGFSSSNLLGVGSFGSVYKGSLLNFERLVAVKVLNLDIRGATKSFMAECKALGKMKHRNLVKVITCCSSIDYNGVDFKAIVLEFMANGTLEKLLHSNEGYENENHSLSLTQRVDIALDIAHALDYLHHDEEQVVVHCDIKPSNVLLDKDMVAHLGDFGLARLIHGSTRHSSKDQVSSSTIKGTIGYVPPEYGAGGPVSSEGDIYSYGILLLEMLTGKRPTDNMFYENLSLHKWCKMKIPETILDIVDSRLVMSFGEDQTWILENNIKECLEMFAKIGVACSEEFPTQRMLSKDVIVKLLEIKRKLSI >CAK8568655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629095849:629096239:-1 gene:gene-LATHSAT_LOCUS21594 transcript:rna-LATHSAT_LOCUS21594 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLEKETEEFWTAIIKFSCKFYTDMMVVDKLKDIEEDLIRFYKKFFQISSTNCRRVMVVHQNSDRVYGNEDGGDDSIEDYDDLYETVMDHKKCKWW >CAK8569201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681987939:681990754:1 gene:gene-LATHSAT_LOCUS22081 transcript:rna-LATHSAT_LOCUS22081 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAIGERTLKETPTWAVAVVCAVFIIISILIEHGIHTLEKWFRKKHKKAMSEALEKIKAELMLLGFISLLLTFGTKYIAKICIPPSLGDSMLPCKKEEVEEESKDDRRRLLSFDDNVVWRRSLAPAASGGDDYCSQKNQVSLISQSGVHQLHIFIFVLAVFHIFYSVMTMVLARAKMQKWKAWEAETSSVEYQFTHDPARFRFAHQTSFVKRHSGWTRKPGIRWIVAFFRQFFASVSKVDYMTMRHGFINAHFAPDSKFDFHKYIKRSMEDDFKVVVGISIPLWAFAIVFLLMNVYNWYTLTWLSLAPLVILLLVGTKLELIILEMAQEIQDRTTIVRGVPVVEPNNKFFWFNRPQWIIFLIHFTLFQNAFQIAYFLWTWYEFKITSCFHENLPLIVIRVVLGVALQVLCSYITFPLYALVTQMGSHMKKGIFEEQTTKALKKWQKTAREKRKLRNAGSIEIPSMSGETTPSQGTSPMHLLHKFKPSSNQTDTDSVLYSPRSYQSDQTDFSDTEGSTHQMNLNLNQIMAPPLHHPVNNQLNHNIDFSFDKP >CAK8572075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507428250:507428465:1 gene:gene-LATHSAT_LOCUS24679 transcript:rna-LATHSAT_LOCUS24679 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDECKGKRSWPELVGVDGKVAEGRIEKENPLVNAIIVLDGSFVPLDFRCDRVWVWVDKHGIVTQIPKIG >CAK8570242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31349697:31352195:-1 gene:gene-LATHSAT_LOCUS23011 transcript:rna-LATHSAT_LOCUS23011 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNAMVVMILIVIYSRVMWQVNGCSGRDSRCGRDGPPIRFPFRLKGSETENGCSYTGFDLTCSDKNKTLIELPSPSGPVVLEVNSIFYAERHLRVSDPENCLPGQFLKLFQYLTSPFKLAESSYTTYNFTFLHCSSISCPVHVVRSSNTLLDSGLDPLLCTKTLDVISSAVYSPAYLEYENFLDLTWSKPNCMKCEKEGKMCKLKNNGTEDETECFDRHHKPTKKIILYVTVSFVGLMLVTLILTTCLCVYNYFKMKGEDETRIEKFLEEYRALNPARFSYADIKRVTNNFREKLGEGAHGAVFKGKLSNEILVAVKMLNNTEGDGKEFINEVKAMGKVHHINVVRLLGFCADGCYRALVYNFFSNGSLQKFIARPDDKDRFLGWKKLHQIAIGIAKGIEYLHMSCDQQILHFDINPHNVLLDDKLLPKITDFGLAKLCSKDQSAVSVTAARGTLGYIAPEVFSRNFGNISYKADIYSYGMLLLEMVGGRKNISQLSEENSQVLYPEWIHNLFEEKDVQVKIEGEENDIILKKLAIVGLWCIQWHAINRPSIKVVLRMLEALEEENLVVPPNPIHSSTFKVAEIPTKYSTLELESIHE >CAK8566322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406916647:406927713:1 gene:gene-LATHSAT_LOCUS19459 transcript:rna-LATHSAT_LOCUS19459 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYVYIDDDESSHDLYCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANQKEVWVVRKGVKNLIQAQDIHVGNIIWLRENDEVPCDLVLIGTSDPQGVCYIETSALDGETDLKTRVIPSACMGIDVELLHKIKGVIECPSPDKDVRRFDANMRLYPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAIYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFIFQIVVVLVLGIAGNVWKDTEARKQWYVLYPHEGPWYELLVIPLRFELLCSIMIPISIKVSLDLMKSLYAKFIDWDHQMIDLETSIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCISGIFYGNDNGDALKDVELLNAVSSGSSDAVLFVTIMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAQLHMIFFNKSGNILEVKFNTTILQYEVLEILEFTSDRKRMSVVLKDCQNGKILLLSKGADEAILPYARAGQQTRHFIEAVEQYAHLGLRTLCLAWRELKKDEYQDWSLMFKEASSTLVDREWRVAEVCQRVEHDMEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLRTMRITTSEPKDVAFVVDGWALEIALKHYRKAFTELAVLSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLLCFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEETVLHHPQILFYCQAGRLLNPSTFAGWFGRSLFHAVVVFIISIHSYAYDKSEMEEVSMVALSGCIWLQAFVITMETNSFTILQHLAIWGNLAAFYVINWIFSALPSSGMYTIMFRLCRQPSYWITIFLMTAAGMGPILAIKYFRYTYKSSKINILQQAERVGGPILSLATIEPQLRSMEKDVSTLSIAQPKNRNPVFEPLLSDSPNSTRRSFGPGAPFDFFQPQSRLSFSNYTRNSKDK >CAK8579623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713175450:713176266:1 gene:gene-LATHSAT_LOCUS31554 transcript:rna-LATHSAT_LOCUS31554 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVSRSGRELQRYNSMGGRQVVGCIPYRYKQDKDGNKSNELEVLMVSSQKTQRLMFPKGGWELDESLEQAASRESLEEAGVIGLVECELGEWNFISKRHGIYYEGYMFPLFVKEQLDYWPEKNLRTRLWMTVGQAREVCQHWWMKEALDILVQRLISSQQQQ >CAK8532826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:522841109:522854894:1 gene:gene-LATHSAT_LOCUS2490 transcript:rna-LATHSAT_LOCUS2490 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSSSIVFSNYFILFKRHHHSSFLSLSPHQQPSPTLFIRRRFCPSFITKAESSNSNNSSKRSSWWFKFLSEDDPSALGLNDDDLMELGGEYSEQQELSEDEKFEAWKQRAEAIIDLREAQEDRRNQDHRKWEDWLMEEEEDVNGTTSWQRGFKDYREEIPPDSREEGIVKSVRSFIFRTEQDDDDMLYEDRVFQYASSNSAKFLAVLIIIPWAMDLLVHDYVLMPFLDRYVKTVPLAAQLLDVRKCQKLEIIEVLKTEKGRFELEVEIGKSPPLSDSEVWWELRNKALELRDKWRLENRSAFANMFSDTVFGISLFILLYFNKSKVALLKFAGYKIINNISYTAKAVILIAIADILLGYHSEIGWRAMIETIAEHYGLEVDQPALTIFIGTFPIFTDVLIKFWIFKFLPRLSPKVMVMLQRLGRH >CAK8531376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105828883:105830118:-1 gene:gene-LATHSAT_LOCUS1172 transcript:rna-LATHSAT_LOCUS1172 gene_biotype:protein_coding transcript_biotype:protein_coding METFLISNKVSCFSYSYTGRISARNKVQKIQVTKFSIPKLPNKYLVAELNHLNNYTRNDDLYNSKINKNSNEVVKLHLIMEIISDRIEMHKNIGIQRDNWNSLLLSSVNMMTLSASSMVGLAAVASAPTGAEASTLLALKVSSTILYMAATGLLLFMNKVQPSQLAEEQRNAVRFFNQLQGEFRTKLALGNVDEDDVNEAMEKVLALDKAFPLPLLGSMLEKFPQSVKPAVWWPQMKTRKHERDLQGKKNNGWNLRLEEEMKKIVMVLKNKDIENYLKLSKRVLKMNKILSFSGPVLTCFAAFGSVFLGSVNASWPVMLGIICGAMASVVNTIEHGGQVGMVFEFYRSTSGLFKLMEETIELNINEEDYYKRENGELLEIKVALQLGRSLSELRQFSNDDDDCEEFANKLL >CAK8543235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590927998:590928305:1 gene:gene-LATHSAT_LOCUS12006 transcript:rna-LATHSAT_LOCUS12006 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRHATTAKLSKSRCTTLGSFLASKFLITTVRQYRSNRKLEKLGRRSELFGARIIRWSRMNKFTIL >CAK8538191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468193856:468194999:1 gene:gene-LATHSAT_LOCUS7418 transcript:rna-LATHSAT_LOCUS7418 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSILRNKSSTFARAFASAGQLIRKTQPGHRAALSAAANRFDNSFVPRSHFSSVTVKSKPSSDENLLRVIESEITYAQETEETGDAEEVPSNFPFKIIDNPGQQTITLERSYQGEEIKVEVHTPDLVTGDEHGNEDDDKDDESERATQSSIPLSVSVAKKGGPSLEFSCVAYPEEIVIDSLSVKNPDVSDDQIPYEGPDFQDLDESLQKTFHKYLEIRGIKPSTTNFLHEYMINKDSREYLVWLNKLKSFIQA >CAK8570026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21475337:21477772:-1 gene:gene-LATHSAT_LOCUS22816 transcript:rna-LATHSAT_LOCUS22816 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFMFLSLLFSSTFHVSLSFSDGLVANGNFELGPKPSELKGTIVTGGKNSIPEWEISGLVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQRIKVIKGMYYSITFMVARTCAQEERINISVAPDFGVIPIQTLYTSSGWDPIAYGFKAEFDVVEMVIHNPGVEEDPACGPLIDSVALRTLYPPRPTNKNILKNGGFEEGPYIIPNSSYGVIIPPNIEDDHSPLPGWMVESLKAVKYLDSDHFSVPEGTRAIELVAGKESAIAQVARTIPGKTYVLSFSVGDASNACQGSMIVEAFAGRDTIKVPYESKGKGGFKRAALKFVAAGTRTRVMFLSTFYSMRSDDLSSLCGPVIDDVKLLSLRKP >CAK8577478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:567477685:567479793:-1 gene:gene-LATHSAT_LOCUS29582 transcript:rna-LATHSAT_LOCUS29582 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSVNRDQPVNVDFMWENQPWGDHTNSENIGESSKQNLDKKSMNQKEGISEGEVPVNRKRSRGGVVIRTENNIKNGDDNGGKYRNSDKEMHILTERERRKKMKNMFSNLHALLPELPSKADKSSIVDAAVKEISNLKLVVEQLEKKKQEKLNPASNFRTESSFVRNSQWHPYESREANVNVQGSSSYNNNFSTRVMEPSPQQVAFQTWPAQNVVLNICGTEAQFCICATKKSCFLTTIAFILDKYMIDVVSATIKFNENGHFYLIQIQAKQRSHDTNSMEEIYKQAAREIMTWIA >CAK8540946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34755351:34762250:1 gene:gene-LATHSAT_LOCUS9900 transcript:rna-LATHSAT_LOCUS9900 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKITIILGAGIVGSVLAKEGRLPDVSGLVSGAFKVVFRQLQSTSPTPTVKNPHNDALLDQVNRLRQELQQLIPDRSVIIVNGSGAGRKYVTVVIIGVGCGCLWWKGWIPNMMFATRRSLNDACTGIGNQLGKVYESIEQAKKNISGKINGVEKIVDESAVIVEVIEDDINKMRNETEKINGDMKGVDTFIRVIESKISEIEGNQIATNKKIEGICRITDDLQNGTTPAYIQAPLSKPTLELPPASPSSSALQSGPSRLYLEQASITPVISRTGSVPPIRSADPPSSSNTSDEESSNISEQRNFSSSDGNSMKTPPIENKINGSSAGGFFGISLSSVYAPLLRTRSATNSVIQQTRSTS >CAK8573496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616017087:616018430:1 gene:gene-LATHSAT_LOCUS25940 transcript:rna-LATHSAT_LOCUS25940 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELVNQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWVMIHQPHSAPYEGPSGECMLEADEMVILRETITYYFIFL >CAK8573497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616017087:616017461:1 gene:gene-LATHSAT_LOCUS25940 transcript:rna-LATHSAT_LOCUS25940-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELVNQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWRQ >CAK8542059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:463263738:463265484:-1 gene:gene-LATHSAT_LOCUS10932 transcript:rna-LATHSAT_LOCUS10932 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYSFLLFVLFFVLLCNCAFPESSVGIGHSLMVEAPLEYRIGFTVGGFLLENDQTVPKYRVALTIEAINAKFACSLLVFLGDVKVWDSGHYSKFYVTGKCLLEFTMDGDLRLKGPNGTVGWKTGTSGQGVKNLQILRTGNLVLVDELNNVKWQSFNFPTDVMLRGQQLDVATRLTSLRSNSTLFYSFEIENNKVSLYVNSGKLRYSYWNFQPTMNRTITYIKLSSKGLLLFDVKYKKIAQIPSQSVHPLKFLALKNETGNFGLYYYSQEKGKFEASFQALNRTCDLPNSCKPYGICTFSNTCSCIQILTNDKKSSTSADCSEGFSRGFCGGEKAEMLEIDNVSSVLKGVPEMVNISRESCSSLCLEDCKCTAALYFTNASVGAAECYLYRLVLGLKQVDKGLGFSYMVKVRKGIGRNREMQNVKRWILVVVGVFDGFIVMILVGGFGYWLFKRRSDGLNS >CAK8532688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:480461640:480462929:-1 gene:gene-LATHSAT_LOCUS2370 transcript:rna-LATHSAT_LOCUS2370 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSRRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTAVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFPGQYVRGDHGKPTVMLEAVASQDLWIWHAFFGVAGSNNDINVLNQSNAFNDVLQGRAPEVHYTINRTEYNKGYYLSDDIYPEWATFVKSISMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQVHRHLQQDLIEHIWQRYGHENNKN >CAK8561593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:312859154:312859489:1 gene:gene-LATHSAT_LOCUS15170 transcript:rna-LATHSAT_LOCUS15170 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKTRNENGDDTLKQPPLTLFVSNLPYSFTNPQLEETFNEVGPVRRCFMVTQKGSTQHRGFGYVQFAVEADANSAIELKNGSLVGGRKIAVKHAMPRPPRENRRLKPD >CAK8531388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106811277:106812422:-1 gene:gene-LATHSAT_LOCUS1184 transcript:rna-LATHSAT_LOCUS1184 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRDGSGITLLLLLLCLTTLSLKTEALQMIIPSSGTKCVSEDIQTHVVVLGDYFSVVEEAYRVHRVSVKVTSPYGNNLHHNENVTHGQFAFTTTESGSYVVCFWLSGNQQEGATPSVNLDWKTGLAAKDWDSVAKKEKIEGVELEILKLQRLVAAIHLYLTYLKDKSAKLREVNEKTNAKVAWLSIMSLGLCISVSALQLWYLKSYFRRKKLI >CAK8565397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:163948871:163950448:1 gene:gene-LATHSAT_LOCUS18615 transcript:rna-LATHSAT_LOCUS18615 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKITAENLLHNLVDTLSDRPKKVSFFEEDKSKSVSSEINRLFGREKPVHHLLGGGKSADVMLWRNKKISASFLSAATIVWVLFEWLNYNFISLLCFALVLGMLVQFLWSNASSLFNSTPSNVPRLVVPEELFVNIATVIGNEANRGLSFLQDISCEGNLKLFLIAVAGLWAGSVIGSWCNFLTVIYIGIIAAHTLPVLYEKYENEVDDFVYKVIGQMQHNYKKLDAGVLKGKLKGKKHD >CAK8536944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:42169028:42170041:-1 gene:gene-LATHSAT_LOCUS6267 transcript:rna-LATHSAT_LOCUS6267 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSALKTFTFLFILPFLFANVSGGIPGLAGLAEAAESVFKSKISPFLIRTIPGKSKLDKALFLKEKLEKINDVNDYLEQYGYLDSFTSNSDSIDESSLIQFQKYFNLTPTGQFDRSTYNILTKPRCGVADIVNETTSFKPWWTGKELKYGFHPMNHVKDNLKSLFQDAFNRWSNVTGLNFTETMVFKDSDIRIAFLKFDGEGGRVGGTFINNNSHFGVMFFDLEEQWVLSSKSVVIKEGGVDLESFVMHQIGHLLGLEHSSVEEAVMYPIVLPKQKIELVNDDDLQKIQQIYAVKNVKNNASDSTVKNNASDSSWLVVFWPLGFFGFVVCFLGLL >CAK8541110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67668098:67669742:1 gene:gene-LATHSAT_LOCUS10061 transcript:rna-LATHSAT_LOCUS10061 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8531509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117303292:117304017:1 gene:gene-LATHSAT_LOCUS1296 transcript:rna-LATHSAT_LOCUS1296 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRGRGWPHLVPPSTTNPMVTDQNNADKENYGIDEVQAANLECNNQAGEEAATTDTKTLNQVITEEAKKEVEVTQPKKLWVDIINENHNPAKGLTMEFVAPKIVDGEVEIQIEEADVEAEVKFLESSLIMYALGVDLSMNAVTQFMSKTWNFVTLPEMFYNEEGFFVLRFHSFHDKELVLMKGSYSIRNRPMCCTLKFDQPVPAPDLRFGSFLHSHSRTACITCLIIQMMSDLQPGFHL >CAK8573054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580325413:580327591:-1 gene:gene-LATHSAT_LOCUS25547 transcript:rna-LATHSAT_LOCUS25547 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLAITFLPLLLLLLTSITEMVEGTKKTYGVYDSNSVKLFVFGDSYVDTGNFLDSPSYRDPYGITYPGKPAGRFSDGRVLSDYIASFLKIESPTPYSLKNSSNLQYGINFAQGGTGVFETLTKGPNMTLQIDSLENLIKQNAYTKQDLESSVALVAVSGNDYTAFIVNNKSITEIKSFTETLIKQLSINVQRIHNLGVNKIAIGLLEPIGCLPQITVVTFHLSCVDLLNVVSQNHNQVLLQTVEELNQQVGKSVFVTLDLYNAFLSTIKMMQENQDENLKLLNPLLKPCCNGDGFKNSCGVVDDKGEKKYSLCDKPEDSFFWDYVHPSQNGWNSVYTQLQSSLGQLIS >CAK8540062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537736630:537739153:1 gene:gene-LATHSAT_LOCUS9100 transcript:rna-LATHSAT_LOCUS9100 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTVATLSPSSSRLFAPVRYNLHPSQLRPNLLYKNWHLPRLPPLKCSSSSSEPETSATDWTYKLISGLAGIGFLETSYLAYLKLTDSDVFCPVGGDTCSNILNSDYAVVFGVPLPLIGMVAYSFVAALSLQLATKENLPFGINKSSAQLVLLGSTTSMATASAYFLYILTTAFSGSSCSYCLLSVLLSFSLFFITLKDIGLQEKFKQLGLQLFIASLVILTLNTSYSSAKSDASSGAKIELPYFATEITAPSSPFALSLSKYLHSIGAKMYGAFWCSHCLEQKEMFGREAAKQLDYVECFPDGYRTGTKMIDTCIDAKIEGFPTWIINGKVLSGEVELSELAQMSGFSESDQPS >CAK8539960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532372965:532374605:-1 gene:gene-LATHSAT_LOCUS9007 transcript:rna-LATHSAT_LOCUS9007 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATTSNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8542233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492147727:492171551:-1 gene:gene-LATHSAT_LOCUS11088 transcript:rna-LATHSAT_LOCUS11088 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFHRNGAQRGSAKFDRPLKPRPRASSPSPGSAIRRPSSAARNDAVPGRVRVAVRLRPRNAEEEMADADFGDCVELQPELKRLKLRRNNWDSDTYEFDEVLTQSASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGQLGEGDTSSRGIMVRSMEDILADLSPDTDAVTVSYLQLYMETLQDLLNPANDNIPIVEDPRTGDVSLPGATIVDIRDQQSFLELLRIGEANRTAANTKMNTESSRSHAMLTVHIKRSVAESEDIVSSQNGDASHLIKPSKPLVRKSKLVVVDLAGSERVHKSGSEGLMLEEAKSINLSLSSLGKCINALAENNAHVPFRDSKLTRMLRDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQLDKLIAENERQHKAFEDDIEKINLEAQCRIVEVERSFANALEKEKLKCQMEYMGIVKELEQKLVLNQERHDCNADIGEGPAQSSADEVAEIKMLLETESNRRKAAEEEITHLKRKLGNYTQPEAGGGLEIAKLHNILEEEAHQKKKLEEEIIILRSQLLQANFETEQMRRCLEGGGSGSAFSTTDSSTAQVRHSQFKDAANGQKSSVATLFEQVGLQKILSLLESEDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRRYEDETVRRVAAGAIANLAMNEANQELIMAEGGITLLSMAASDAEDPQTLRMVAGAIANLCGNDKILMTLRSQGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRASNQGIKTGRSILIEDGALPWIVQNANNEAAPIRRHIELALCHLAQHEANAKDMISGGALWELVRISRDCSREDIRSLAHRTLSSITTFKSELRRLRVDY >CAK8566589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439643987:439645294:1 gene:gene-LATHSAT_LOCUS19710 transcript:rna-LATHSAT_LOCUS19710 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPESTSRGLRWSLAGFTALVTGGTRGIGHAVVEELAEFGATVYTCSRNQEELNKCLNEWKSKGFSVHGSVCDASSSSQREELIRQVASAFNGKLNILVNNVGTNVRKPTIEYTTEDYSKVMSTNLDSAYHLCQLAYPLLKESGNGSIVFISSVASLTSVGSGTIYAASKAAINQLTKSLACEWAKDNIRSNCVAPWYTKTPLVEHLIADEKFVNEVLSRTPIKRIAETHEVSSLVTFLCLPAASYITGQIVSVDGGFTVNGFQPSMRIT >CAK8538858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498280929:498283262:-1 gene:gene-LATHSAT_LOCUS8012 transcript:rna-LATHSAT_LOCUS8012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMQEIVVKSDLEKLFSMKGGKGEASYANNSQAQAIHAKSMIHFLRETLDQVKLGNGDDKAFVAADLGCSYGSNTINMMNVIIDHIIKRYEALGYNPPEFSAFFCDLPSNDFNSLFQLLPPHVEGVTMEQCLATEKERSYFVAGVPGSFYRRLFPAKTVDVFHSAFSLHWLSKIPDCVVDESSIAYNKGKVFIHGANEATANAYQSQFKANMANFLSARSVEMKKEGSMFLVCLGRTSEDPTQQGGAGVLFGTHFQDAWDDLVQEGLITSEKRDNFNIPLYAPSLEDFKEVIKENGSFVINKLEVFKGGSPLVLNNPDDANEVGKALANSCRTVCGVLVDAHIGDSLSEELFLRVERRAALHAEELLRELQFFHVVVSLSLIE >CAK8575919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:361997941:361998654:-1 gene:gene-LATHSAT_LOCUS28144 transcript:rna-LATHSAT_LOCUS28144 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAVNSALKATRNLQTTALVQSTYYRMGSFFGKRGHKWTKMLATGKVFTDGCNKGTTDEVAKANTHNVMQFDRERFCFMVQKKINQNDGHPTGTFSVDLRNRWCDYEKFHAFHLPCSRVIATCSSIHQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLYHDDSMRRNKKGRPTSSRIRTEMDGTEKEKRRYEICREIGHMRKKCPNVVGPSNRPSRYYFFYK >CAK8567216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495534385:495543232:1 gene:gene-LATHSAT_LOCUS20286 transcript:rna-LATHSAT_LOCUS20286 gene_biotype:protein_coding transcript_biotype:protein_coding MTENEGKREKMEKLKKGKSMIVFTGTAAVLAIALNLVITAIKHHKDKNSKKKDLEGSKVKVNLSASEIVKLANQIITKSNEVHNSVASVPLDKVTYANVISPLAELQAQQFPLIQSCLLPKLVSSQEDVRKASAEAEQRIDAHLDTCSKREDIYLVVKAFAVRGDWVNAETKSFVQILVRDFERNGLNLSASKREELMRLRAQIDELSTIYIQNLNDASAFLPFNEAELAGLPLEFLKGLDKSENGQLKISLRSHHVTAVLEFCKVGTTRRMVSRAYGNRCGEANLSILESLVQQRHKYARLLGYSCYAEYAVDVRMAKTPTKVFEFLNDISIRITDLAMKELDILKDLKKKEEGELPFGIEDLLYYVKRVEEQSYDLDFGEIKQYLPISLVLSGVFKIVQDLFGLRFEEIMGAEVWHCDVRVFSVFDLSSSELLGYCYLDLFSREGKYGHSCVVPLQNSALTISGSRQIPVALLISQYQKESDCSPGLLRFSEVVNLFHEFGHMVQHICNRASFARVSGIRVDPDFVEIPAQLLQNCCYESFFLKLISGFHQDITKPLKDDLCKSIKRWRNSSSALKLKQEILYCLFDQIIHSADNIDIRELFKHLHSKVMLGLPVLEGTNPASCFPFSVVGYEAACYSRIWSEVFAADIFASMFCNGVSNQLPGMQFRNKVLAPGGGKDSIELISDFLGRKPSIQAYFENKTKYSTL >CAK8540131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541005673:541008121:-1 gene:gene-LATHSAT_LOCUS9164 transcript:rna-LATHSAT_LOCUS9164 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELLEAASMPVIQVLLISALGAFMATQYFNNLLSSDFRKSLNKVVFFIFTPSLVFSSFAKSVSLEDMISWWFMPVNVGLTFLIGGILGWILVKLLKPNLKVEGLIIAACSSGNMGNLPIVIIPAICNEIGGPFGAHDVCLSHALSYASFSMALGGIFIWTHTYQTIRSSSMRFKALEAAEIIKTPNKDLEGNADTPLLKGKEKESSAIEAAPLSYTDDSESQIIGEQNQFIVLKKENQSFLARTIEVLRDLVVELLSPPAIATFFGFLFGAVAWLRNLIIGVNAPLSVIQDTLVLLGNGTIPCITLLLGGNLTQGLKSSSVKPLTLISIIVTRLFVLPFIGLFIVKAAANFGLLPIDPLFQYTLVMQYAMPPAMNISTMTQLFDVGNEECSVILLWTYSAAAIALTAWSTFLLWLLSY >CAK8533327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603055585:603056174:1 gene:gene-LATHSAT_LOCUS2965 transcript:rna-LATHSAT_LOCUS2965 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKASYNAGQAKGQAEEKTSNMMDKASETAQSTKESMQETGQQMQAKAQGAADAVKEAVSDK >CAK8531400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107392760:107393236:1 gene:gene-LATHSAT_LOCUS1196 transcript:rna-LATHSAT_LOCUS1196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGNLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFTPRQNPRIPSIFWVWKSADFQERESYDMLGIYYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >CAK8544655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697852919:697867009:-1 gene:gene-LATHSAT_LOCUS13313 transcript:rna-LATHSAT_LOCUS13313 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQSNSEVFTVRIVSIDHYMAPPIPAIDISYSTFQVGKVNEVPVIRVYGSTPAGQKTCLHIHGALPYLYVPCSDIPLQLDREGDAYTYIVADSLEKALKLKASAGSSRQHVHGCSLVRARKFYGYCSFEEFFVKIYLYYPQDVSRAANLLLAGAVLDKSLQPHESHIPFILQFLVDYNLYGMGHLHLSKMRFRHPMPDSIHKKLDFNSQQKDLGADACLESKLWMSSMISFDWMWPLPNEISISSSDKDNCPKRQSICELEGDTSVDDILNQQFKMFSSLSQTRSNVNMVQSLVPIWEEQRKRTGIHEATMLTDPGKPLPEDVMKLLSSGLDFEKKLIQLCSEAETTLFCTPLEKELRETDIIGSASPPASLCKNAKPLKEGTDTSPKLLKIGEMQSAGNIGMLDIKDADMEAQNLKWLATSQAAEDINSDDELACETALSPLLPAATVDKMLEKANIAFENESQQECQDILDSIDDMLELDQPKEKPSRSVDHIGPVEASSDIMIPQVDGSNDDEFPSPRASLAGISNAVEVNSEYKRASEYHLLQNTDTSTVTADKRNRKWGSLPFSMTGKVNNDSKDSALSDHLIRNEVKNSTCITRNVDEGTSDSKEVHSLVNCSLRDLMRRKRSYRVEHDDQEPGNAKKLILDRHGGPKTCLWPKQTELEVMQTDEVETELQKNSDHEVRGLDLVCGKQSLPSGSDSFLDISKDECFGQHERECLDASMVLRNSANQSFSPMHERQGLLETSHVIYSMDKSVARREKNPKDETNYAKPVASDAYTPNPSLGTQLRTDVDHKVRAPERCQQTGYTALGSRQNTLVDDEVLRKSNCMDKIACGNICFVPHDQMKSYEHAVGKSVASDTRVLLSEKAVNQKLDKNLLCETVGSEPIVDDLKSNHLKLTEKTVGNSSSIDKNLESNFSLPTFFDTSLHLDEDDEMPGSALDVFLPISARNSQKQMEPRNNCVTIETPRSSGTKSVSIYYQNDGSHLYLLTPNILPPSAGSVQRWLFCDEREDQDVPAKNQDVPKCTSGPLLMQTPDQMRQEPGGEDKDMSRCTSGSLNELYQDADTEKKLPCISEGQTERIKACLDGSQDISQISGPEEKSSFTPLSQIGFQDPASVGRGQQLTLVSIEILAESRGDLLPDPQFDGVNIVALGFQNDCDAAIEVVVLLHSKYVPCQRSLDGLSNCKVLNFTDEKHLFKEFTKIVSSSDPDILMGWDIQGSSLGFLAERASHLGLGLLNDLSRTPSNSWVNSQDIKMSERSILDPDILDTPSQDCCAQESSIIEDEWGRTHASGVHVGGRIVLNLWRLIRGEVKLNLYSVEAVAETVLRRKVPSLNHNVLTKWFSSGPGQARYQCIKYIVDKAKLNLEILNQLDMVNRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYVAISPGKQQVASQPAMECLPLVMEPESGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVAASKTNTLGVSPFSPEQHVLQDLKDQILLTPNGVMFVPSKVQRGILPRLLEEILSTRIMVKQAMKKLSPSEQVLQRIFNARQLALKLISNVTYGYTAAGFTGRMPCAELADSIVQCGRCTLEKAISFVNLQEKWNAKVIYGDTDSMFVLLKGRTVKEAFQIGSEIASAITAMNPSPVTLKMEKVYHRCFLITKKRYVGYSYESPDQTEPVFDAKGIETVRRDTCEAVAKIMEQSLRLFFEHQSLLEVKTYLQRQWKRILSGRVSLKDFIFAKEVRLGTYSARISSLPPAAIVATKAMRIDRRAEPRYAERIPYVVIHGEPGARLADMVVDPLEVLAIDSPFRINDLYYINKQIIPALQRLFGLVGADLNLWFAEMPRPIREASVKHAFTSNIQRTRIDSYYLSKHCVLCGGLVQASARLCDQCSANEVAAATAVIGKTSKMEQEMQHLVSICHHCGGGDRLLESGVKCTSISCSVFYERRKVQKELLAATNVAADKGFYPRCTIEWF >CAK8579341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692631573:692633540:-1 gene:gene-LATHSAT_LOCUS31294 transcript:rna-LATHSAT_LOCUS31294 gene_biotype:protein_coding transcript_biotype:protein_coding MPATVMAVHTKYRLIAFSPEIVDGQPIFVSSNCSPAKALKKEPAGISFHSAALKLLGVKKEESKDGDSKKVVDDKEQAYLPSDSYSSKSKKKKSGEEQEQDHYALLGMSHLRYLATEDQIRKSYRETALRFHPDKQSSHVLSEKTEAAKQAKKDEVEAHFKAIQKAYEVLTDPIKRRIYDSTDEFDDEIPTDCAPQDFYKVFGPAFMRNGRWSVTQPIPSFGDDKTSIKEVDDFYNFWYSFKSWREFPQSDEFDLEQAETRDHKRWMERQNAKLSEKARKEEYARVRTLVDNAYKRDPRILRRKEEEKAEKKRKKEARFMAKRLEEEEAARVAEEEKRRKAEEDKKAAEAASQQKKVKEKEKKLLRKERTRLRTLSGPILSQHMLDISEDDVEKICMSFDIEQMRGLCEKMEGKEVLEQAKALKDALSCKKDVVDEKSNQQNGSVKANGTISILAVNVEKKEKPWSKEEIELLRKGIQKFPKGTSRRWEVVSEYIGTGRSVEEIMKATKTVLLQKPETSKAFDTFLEKRKPAAQTIASPLSTREELEGVPISTVTPENSAASSTPTPTPKPTPKPTATPATTSNSNPEASQGVSEQEAWSAVQERALVQALKTFPKEASQRWERVAEAVPGKTVIQCKKKFALMKENFRNKKAAV >CAK8579342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692631573:692633525:-1 gene:gene-LATHSAT_LOCUS31294 transcript:rna-LATHSAT_LOCUS31294-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTKYRLIAFSPEIVDGQPIFVSSNCSPAKALKKEPAGISFHSAALKLLGVKKEESKDGDSKKVVDDKEQAYLPSDSYSSKSKKKKSGEEQEQDHYALLGMSHLRYLATEDQIRKSYRETALRFHPDKQSSHVLSEKTEAAKQAKKDEVEAHFKAIQKAYEVLTDPIKRRIYDSTDEFDDEIPTDCAPQDFYKVFGPAFMRNGRWSVTQPIPSFGDDKTSIKEVDDFYNFWYSFKSWREFPQSDEFDLEQAETRDHKRWMERQNAKLSEKARKEEYARVRTLVDNAYKRDPRILRRKEEEKAEKKRKKEARFMAKRLEEEEAARVAEEEKRRKAEEDKKAAEAASQQKKVKEKEKKLLRKERTRLRTLSGPILSQHMLDISEDDVEKICMSFDIEQMRGLCEKMEGKEVLEQAKALKDALSCKKDVVDEKSNQQNGSVKANGTISILAVNVEKKEKPWSKEEIELLRKGIQKFPKGTSRRWEVVSEYIGTGRSVEEIMKATKTVLLQKPETSKAFDTFLEKRKPAAQTIASPLSTREELEGVPISTVTPENSAASSTPTPTPKPTPKPTATPATTSNSNPEASQGVSEQEAWSAVQERALVQALKTFPKEASQRWERVAEAVPGKTVIQCKKKFALMKENFRNKKAAV >CAK8578567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638784933:638790023:1 gene:gene-LATHSAT_LOCUS30566 transcript:rna-LATHSAT_LOCUS30566 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLKTIPISITSSLSHNPKNHINYSKSRNRFIFFKQPRTTCLQYSRLDFYSRATVTRSVTQNQNAKICNFCQMGNLRNAMELLTTSQRHEIGLSTYYSVLQLCAEKNSLEDGKRVHSIIISNGVVIDEVLGAKLVFMYVKCGDLVNARGIFDEILNDNVFLWNLMMSGYAKIDYYRESLSLFKKMQKMGVSGDSYTFTCVLKCFAELRKVKECKSVQGYILKLGFGSNTAVVNSMIAAYFKFGEVESALNLFDELTDRDVVSWNSLISGCVVKGLFGNGLEIFVEMLVMGVNWNLTTLVSVLDASTNIGNLSFGRALHAFGVKACYGEEFWFNNELLYMYAIGGDLVNARQIFDEILNDKVSLWNFMMSEYAKSGYYRESLSLFKKMQKMGVSGDSNTFTCVLKCFAALRKVKECKRVHEYILKLGFGSNTAVVTSMIAAYFKFGEVESALNLFDELTDRDVVSWNSVISECAVNGFSKNVVEIFVQMLVMGVNWDLNTLVSVLDASANIGNLSIGRALHAFGVKACFGEEFWFNNRLLYMYSKIRDYRGSLSLFKKMQMMGVLGDSDMFCCAFKCFAELGKLEECKRVHGYILKLGFGSNTAVVNSMIVAYFKFGEVESACNLFDELTDRDVFSWNSMINGCIMNGLSGNGLEIFIEMLVMGINWDLTILVSVLDASANIGNLSIGRALHAFGVKARYGEEFWFNYRLLSMYSKIRDYRGSLSLFKKMQMMGVSGNSYMFTCVFKCFAELGKVEECKRVHGYILKLGFGSNTAVVNWMIMAYFKFGEVESACNLFDELTDRDVFSWSYMINGCIMNGISKNVVEIFVQMLVMGVNWDLNTLVSVLDASANIGNLSIGRALHAFGVKACFGEEFWFNNRLLYMYSKIRDYRGSLSLFKKMQMMGVSGDSYMFTCVFKCFAELGKVEECKMVHGYILKLGFGSNTAVVNSMIVAYFKFGEVESACNLFDELTDRDVFSWNSMINGCIMNGLSGNGIEIFVEMLVMGINWDLTTLVSVLDASANIGNLSIGRALHAFGVKAYYGEEFWFNNRLLFMYGKCGDLVNARQIFDEILNDKVYLWNFMMSEYAKIGYYKGSLSLFKKMQKMGVLGESYTFTCVFNCFAELGKFEECRRVHGYIVKLGFGSNTAVVNSMIAAYFRFGDVESAQNLFYELTDRDVISWTSMINGCIVNDLSGNGLEIFVEMLVMGVNWDLITLVSVLEASANIGNLSFGRALHAFGVKACFDEEVVHSCIIKSGMGSNLPVANALMNMYAKCGSVEEARLVFSHTLAKDIVSWNTMIGCYSQNSLPNEALELFSDMQKQLKPDDITMACVLPACAGLAALDKGREIHGHILRRGYFSDLRAACALVDMYAKCGLLVLARLLFDMIPQKDLISWTVMIAGYSMHGFGNEAISTFNKMRIAGIEPDESSFAAILNACSHSELLHEGWRFFNFMRNECGIEPKLEHYACMVDLLSRAGNLSKAYKFIESMPIEPDATIWGALLSGCRIHHDVKLAEKVAEHLFELEPDNTMYYVVLANVYAEAEKWEEVKRLQEKLRNRRFKQDPGCSWIEVGGKLNIFDAGNTKHPQAKRIDALLRKLSLQMKNGDYSIKLINEDDMEKEVIQCGHSEKLAMAFGILNLPSGRTVRVTKNRRVCRDCHEMGKFMSKTTKREILLRDSNRFHHFKDGLCSCRGFW >CAK8535844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885192841:885200461:-1 gene:gene-LATHSAT_LOCUS5264 transcript:rna-LATHSAT_LOCUS5264 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKDKGGLDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYFRQHTQNTTLATKDTSCESAVTSGQRSLTAQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPESIGIVAISHGCPGVAARACGLVGLEPTRVAEILKDRPSWYRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDLWLLRYTSVLEDGSLVICERSLKNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTMLAQKTTMVALRHLRQISHEVSQSNVSGWGRRPAALRALGQRLSRGFNEALNGFTDEGWSMIGNDGVDDVTILVNSSPDKLMGLNLSFANGFPSVSNAILCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYSAAAIKVGPCSFSGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGMAHSPEDTIMPREVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEVANPNRTLDLASALDIGPAGSKASNDYSGNSGCMRSVMTIAFEFAFESHMQEHVATMARQYVRSIISSVQRVALALSPSHLSSQAGLRTPLGTPEAQTLARWISNSYRCFLGAELLKSNNEGSESLLKSLWHHSDAILCCTLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKILCSEFPQIIQQGFGCLQGGLCLSSMGRPISYERVVAWKVLNEEENAHCICFMFVNWSFV >CAK8538787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496339605:496341352:-1 gene:gene-LATHSAT_LOCUS7948 transcript:rna-LATHSAT_LOCUS7948 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLLVALLLLIPAIFLLRRTKPSKRVPPGSLGIPIVGQSLGLLRAMRSNTAEKWVEDRINKYGPISKLSLFGTPTVLIHGQAANKFIFASGGDTIVNQQTQSIKMILGDRNLMELSGKDHSRVRGALVSFLKPESLKQYVGKIDEEVKRHVQMHWEGKQQLKVLPLMKTLTFNIICSLLFGLESGKQRDQFMKPFQAMIQGMWSIPVNAPFTRYNRSLRASARIQNLLKEIVHQKKEEHEKNGADSRQDLISCLLSMVEDGKQVLTEKEIIHNAMLVMVAGHDTSSIVITFVIRLLANEPAICADILQEQEEIAKGKLLGEPLTWEDLSKMKYTWRVVMETLRRFPPVFGGFRKTTTDIEYGGYIIPKGWQIFWVTSMTHMDSNIFPEPSKFDPSRFENQASTPPYCFVPFGGGARMCPGYEFARVETLVAIHYLVTKFSWKLLSDNSFSRDPMPTPSQGLLIELFPREQLS >CAK8540456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:1245619:1248213:1 gene:gene-LATHSAT_LOCUS9459 transcript:rna-LATHSAT_LOCUS9459 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNTYWVALIVLMLMSATMRVLGHSKSTKWALLVAGSNGYDNYRHQADVCHAYQILKKGGLKDENIIVFMYDDIAFHPENPRPGVLINHPNGSDVYHGVPKDYIGDEGNARNFFAVLSGNKSAVKGGSGKVLKTGPNDTIFIYYADHGTTGYVSLPDNGGLYGEDFVKALKKKHEAKSYKKMVIYMEACESGSMFEGLLPNDINIYVTTASNAVENSYAYYCPQNPNPPPPGYTVCIGDLYSISWMEDSDRNDLTKETLKQQYKTVRKRTIGQQPHGSHVMQYGDLKMDNNILSTYIGANLTHVNVDHESYFGQYPTTSIMHVTQRDARLIYLKTKMERALNGSPDKLKAQKELEVEIAHRKHVDNTFQHISNLLFEKENGSTMLLHVRAPGQPLVDDWDCFKMLIKTYEDHCSTLSNYGRKYLRAFANMCNAGISVKQMVAAASQACLKKE >CAK8561982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:421284758:421286815:1 gene:gene-LATHSAT_LOCUS15522 transcript:rna-LATHSAT_LOCUS15522 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRFSFSEPFLSHRRKLFSEFSLCVGASLLLCSLFFFSNSLKVPKNSVFLSVFNTSNINSSFVSHPFSLDSTNHSCLSQNNVSENPLKRVEEGSVVFGGTLGDMMQNSTFMSSNVTRNDDLYNGNTSVVEVRNEGDFVRDKNVSLITHSDDDEQMENMEVGFLDEKCDIFDGKWIRDYSKPYYPLGSCPFIDRDFNCHLNGRIDLEYVKWKWKPNKCHIPSLNATDFLERLRGRRLVFVGDSLNRNMWESLVCILRQSVRNKKRVFEISGKREFKKKGVYAFRFEDYNCSVDFVASPFLVRESSFKGKNGSFETLRLDLMDHTTTRYQDANILVFNTGHWWTHDKTSKGEDYYQEGNHVHPRLKALDAYTRALTTWAKWVDRKINANETQVFFRGYSFTHFWGGQWNSGGQCHKETEPIYNKTYLQKYPSKMRAAEHVIENMKTEVVYMNISRLTDYRKDGHPSVYRKDYKTTMMKNSSSLYEDCSHWCLPGVPDTWNELLYVSLLKYGKGTWKV >CAK8577275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551328791:551329219:-1 gene:gene-LATHSAT_LOCUS29396 transcript:rna-LATHSAT_LOCUS29396 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGNVNPLISQDSAHQVAPAMEESFNSSLNGLTPSKETVETPNDETTDKMDTCDNIEALAETKKGRFRSKAWDHFAKVKVNGEDKAQCKYCKKFLGKNRAMEQNTCFNIWRHAFIAKFMRTRLQKDRHFSCQRACKESKN >CAK8562710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530835402:530842754:-1 gene:gene-LATHSAT_LOCUS16184 transcript:rna-LATHSAT_LOCUS16184 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLLVTNAQTNEEYLDEETLVPKNTSVLIRRVPGRPRLPIVTELQQNVENKVVETDPPNSSLAADDMSAMKYPEDSDWDEFGNDLYSIPDQHPVQSVNLIPEAPPTSKVDEESKLKALIDTPALDWQHQSSDFGPGRGFGRGMGGRMGGGRGFGLERKTPPQGYVCHRCKMPGHFIQHCPTNGDPNYDIKRVKQPTGIPRSMLMVNPQGSYALPNGSVAVLKPNEAAFEKEIEGMPSTRSVGDLPPELHCPLCSNVMKDAVLTSKCCFKSFCDKCIRDYIISKSICVCGATNILADDLLPNKTLRDTINRILESGNSSTENAGSTFQVQDMESARCPQPKNPSPTSSAASKGELKISLVNDGTANIRDTTGEIKAISAPQQTSEHVKIPRVNDVSEATHESMSVKEPVSQGSAQVVEEEVQQKLVSSEAAKKKKKKKVRMPTNDFQWKPPHDLGAENYMMPMGPPPGYNPYWNGIQPGMDGFMAPYAAAGPMHMMGYGLGPYDMPFPNGMAHDPFANGMAHDPFANGMTHDPFANGMAHDPFGMHGYMMPPIPPPPHRDLAEFSMGMNGPPPAMSREEFEARNANLRRKRENERRGERDFSKDREFGREASSFGDVSSIKSKTKPIPPSSVSDYHQHRHRSERLSPDRTHREIEPPRPIKRKSDHHSERERDDRDRDYEHDRHQHRRHHRTESSSRKSLEPVAKSSSRKSSEPVGKSTSRKSPEPVAKSSSNASQTMTAAAAAADRKHKASVFTRISFPSEEEAAAKKRKVSATSVTESSPTTASASAVPPNSYYEGKKSNTDMDDYESSDDERHFKRRPSRYEPSPPPQATDWKEEGRHSRGTRDRKYR >CAK8567448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514579668:514580782:1 gene:gene-LATHSAT_LOCUS20502 transcript:rna-LATHSAT_LOCUS20502 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSSPAEDTKSCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFSEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRTFQEVFNNSSILNFPYTTRNIGYENGKFFDNTTSSSNSLASWNFASVPSTTTKTTTTSSIVLDNSITKLGSNIYRGSDKSFLYRICPNLPSYKRVISSPFSFLSSDGDGRIRNSNMMSSLCDKSSKLVTNLNSSKEKELQEDHHEAEQHKEVPFIDFLGVGVSSS >CAK8537169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:120860062:120861623:1 gene:gene-LATHSAT_LOCUS6479 transcript:rna-LATHSAT_LOCUS6479 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDMSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSGLASLQRTILTKIDTNLVSAFAEWWHLETSSFHMPFGEMMITLDDVSCLLHLPIRGVFWNPQDISEALAVEWDVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRFIEHIAASRWDYATRAYLFMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYNWAAVALVTLYRYLEDASMFNCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRVMRWSYRQGALKVDDLRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTTVPYMPDRCLHQFGYRQYIPHPPLDSRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPLSVDATTEMPVPVYEAGPSDPIVA >CAK8577486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568200284:568201555:1 gene:gene-LATHSAT_LOCUS29590 transcript:rna-LATHSAT_LOCUS29590 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQVCSSVDAIRAFLEHFVDPMLPEKPSIQDDPPLSQQQKIANQVHSVVLLYNYYHRKQNPDLSYVGFREFCKLIVDMRPALLPYMKFTAKPNETDLVDVEEQLSLTGKAITSSYDICTILNPSRSVPNVEGWPISKVAVLLVDSKENCFLRFCSTTGGVWSLIEKDVDTSGQISEVTRDVKSTYQKRRVIKKPSKDGLNEGRILEVGYSAVKEAAGTGVNSIDIMLLKSYTVYSQSKEKTASRFYIMKCSKLISEGFIQVPIIDLVKSFQGPLVKRSSSSWMVTPVVKHFHMLPYSEIISEWISSETFSNSLQDSKPAEKQLLTRGVTESRVSNEDMSFGLDNKTCSDPVEALNQKGNNGFCSNKRCSTVKKDQDMDMNNSLVFRSKIKEECQQHIANTLQVSEDQKIENPSAAKFLHFQ >CAK8572440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:537170607:537174529:1 gene:gene-LATHSAT_LOCUS25010 transcript:rna-LATHSAT_LOCUS25010 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTDQSIQIQLLAERFQRFVLDCELKQSSNAPPSDNAESKEINENSDSMDEFSISNGIHENSPDLGHTLPILKKILDLSTKVQDLKKQHVALTDHVKFTTESFSGLDILNSIQLLGTEYELLKKKYLDESSERKRLYNEIIEIKGNIRVFCRCRPLSASETGNGYTSVVNFESTLDNELQVINSDSSKKSFKFDHVFKPEDNQEAVFSQTKPIAISVLDGFNVCIFAYGQTGTGKTFTMEGTPEERGVNYRTLEELFKIYEERKGVMKYQLHVSMLEVYNEKLKDLLVENSAQPKKLEIKQSAEGTQEVPGLVETQVHGTDDVWELLKTGNRVRSVGSTSANEQSSRSHCLLRVTVVGESLINGQKTRSHLWLVDLAGSERVGKTEAEGERLKESQFINKSLSALGDVISALASKAAHIPYRNSKLTHILQSSLGGDCKTLMLVQVSPSSADLGETLCSLNFATRVRGIESGPARKQVDLSDLFKNKQMAEKAKHDEKEIKKLQESLQSLQIRFSTKEFTCKSLQEKVRDLENQLAEERKTRLKQENRSLAAVSAQPPTFFKQTTVTDKRPPLIPSNSRMPLGRITNFIPFPSPKRSTRYTSIHQMNGKENSTRRNSATADTEGFARPRSRASIAMRPPVQSTTQILKPRRRVSIATLRPDPTSEMTTGGSSVSTKQILKPRRRVSIATLRPDPTSEVVTTGGSSVSTKQILKPKRRVSIATLGPDPVSEMTTGGSSASTMRSQRGRYSNLFVPLTATRTSVDTTPIQPVRCRSKFIGSPLHAQAPIPVRGSSSKFMGSPVHAGVGSRLGKFTSAFALQRKPVVWSPLALRAKQKPSILPSQLQ >CAK8530884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59134885:59135679:-1 gene:gene-LATHSAT_LOCUS715 transcript:rna-LATHSAT_LOCUS715 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPYLEEDCVKNNKLKDHSSSHNKAKNLSFFASIFSLFIYISIFYIFNLSPSSLLNNNIFWFIISNTLILIIAIDYEAFSSTKQKQEHLHEEYVTHSQEIRNHVSSIATYNEVEQVDKQCIINSKQELEHVKKETIVHERVLEIELHNQPKESTNDDSTNEKNSTLHLQVDDFGNKEHEKNAIFPTKSVFRRSKSYRHNRAKHVVIDERTKSVRRLDCMKIEPKVEEENEFSKMSNEDLNKRVEEFIQKFNKQIRLQASSIN >CAK8544674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698646519:698647760:1 gene:gene-LATHSAT_LOCUS13331 transcript:rna-LATHSAT_LOCUS13331 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQQTFIPQKQDTQPGKEHVMNPTPQFTSPDYIPANKLQGKIALVTGGDSGIGRAVCNLFALEGATVVFTFVKGHEEKDAKDTLAIIKRAKSADAKNPLAIPADLGFDEDCKRVVDEVIKAYGRIDILVNNAAEQYECGSVEEIDEPRLERVFRTNIFSYFFLTRHALKHMREGSSIINTTSVNAYKGHPTLIDYTSTKGAIVAFTRALSLQLVSKGIRVNGVAPGPIWTPLIPASFKEEKTAEFGSDVPMKRAGQPIEVAPSFVFLASNQCSSYITGQVLHPNGGTVVNA >CAK8542665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536070862:536071467:-1 gene:gene-LATHSAT_LOCUS11485 transcript:rna-LATHSAT_LOCUS11485 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTMTYKFNVNGEFTKFLQAKRGIRQGDPISPMLFVLIMEYMNMIIGDTTLVEMMHDAFRTFTLSAGLIANPRKSKIYYGGIDTGQKRLLQNLIGFQEGELPVKYLGVSLTCKKLTIHQYLPLIEKIVGRIHHWTTKLLSYARRIQLVKVLSEPGCSVFLSQKV >CAK8562276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:464241017:464246244:-1 gene:gene-LATHSAT_LOCUS15786 transcript:rna-LATHSAT_LOCUS15786 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVFRTISERSNPNIQPLRSHLSRFFSSGGNSSYNVVDHKYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMRHNTQFFVEYFALDLLMNSDGTCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPEKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVITIKGDNPDEIVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAENQRPGEKQKPLEKDAGMKTIAWLDKLRNSNGSLPTSKIRLNMQRIMQNNAAVFRTQETLEEGCQLIDGAWESFHDVKLKDRSLIWNSDLIETIELENLLINASITMHSAEARKESRGAHAREDFTTRDDEKWMKHTLGYWENEKVRLDYRPVHMNTLDDEIESFPPKARVY >CAK8537537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390329610:390335512:1 gene:gene-LATHSAT_LOCUS6826 transcript:rna-LATHSAT_LOCUS6826 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAADKSQTLAEQYYVKDKQEKVEVVEKPVAVEVVEKPVAVEEVEKPKEATSGETVVEKAEENNLEPSSETVVSESNLEPSSETVVSESNLEPSSETVVEEISGDQEEAEEKPEIKLETAPVDFRFPTTNQTRHCFTRYIEYHRCVAAKGDDAQECDKFAKYYRALCPGEWVDRWNEQRENGTFPGPL >CAK8534924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:790626026:790626985:-1 gene:gene-LATHSAT_LOCUS4421 transcript:rna-LATHSAT_LOCUS4421 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDGNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8536805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22394425:22396555:-1 gene:gene-LATHSAT_LOCUS6137 transcript:rna-LATHSAT_LOCUS6137 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHHLFLLTIFVVIIPTLVNANLKEQKSYWDNIFPSLNNTYWRARAETAQKINSKAYASDPYAISGNMTSSVSEMIIDGNKGRRNLMGKKLANAGPCMATNPIDRCWRCDPNWANNRQKLADCVKGFGRKTTGGKAGPIYVITDPSDNDMVNPRPGTLRHAVTRNGPLWITFARSMNIRLSQELIMTSDKTIDGRGADVIIANGAGITIQFINNVIIHGIKIFDIQIGSGGLIRDAENHFGLRTMSDGDGISIFGSSNVWIDHVSMRNCRDGLIDVIMGSTAITISNGHFTDHNEVMLFGASDGYDGDKKMQITLAFNHFGKRLIQRMPRCRYGFVHVLNNDYTHWEMYAIGGSKHPTIISEGNRFIAPDNGYAKEITKREYSPEAEWKNWQWRSINDVYMNGAFFRQAGPELTNRPFSSKDMIKAKPGTFVGRLTRYSGSLKCIVGKPC >CAK8571582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:443246220:443246528:1 gene:gene-LATHSAT_LOCUS24227 transcript:rna-LATHSAT_LOCUS24227 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMSSVTVTIKNFVVMYLVDITEVPDFNTMYELYDPSKVMFFFRNKHTLIDIGTGNNNKINWAIKDKQEFIDIIETVYRGARKGRGIVIAPKDYSTKYRY >CAK8536965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45954080:45957362:1 gene:gene-LATHSAT_LOCUS6287 transcript:rna-LATHSAT_LOCUS6287-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKELGLFLGFDQHFNSLSSLLTTIKATLEDAEEKQFTDRAIKDWLLKLKDAAYVLDDILDDCATQLLEMESKGLSHKVQTSFLSSFHPKNVAFRYQMAKKMKNMRERLDEIAEERNKFHLTQIVREERSGVLDWRQTTSIITQPQVYGREEDKDRIINFLVYDASSFEDLSVYPIVGLGGLGKTTLAQLVFNHERVVNHFELRIWVCVSEDFSLKRMIKAIIESTTGDACAELDLEPLQRKLLDLLKGKKYLLVLDDVWDDGQENWQRLKSVLACGGKGASILVTTRLLNVAEIMKTVPPHDLSILSDTDCWELMKQRAFGPNEEERGELVVIGKEIVKKCGGVPLAAMALGGLLRLKRKKIEWFNVKESKLWNLQGENSVMPALRLSYLNLPVKLRPCFALCALFPKDQIINKKFLIELWVANGFISSNGMLEAEDIGNEVWNELYWRSFFQDIKKDDIGEIENFKMHDLVHDLAQSIADEVSCCSTEAILSKRILHLSTYDEKSSMVVGSTQLHEMKSLRTFLMRQYYCSPPQVLKCYSLRVLDFERIEELPSLIFRLKHLRYLNLSCGIFKTLPESLCKLQNLQILKLDYCSRLQRLPDRLVQLKALQHLSLKNCHSLSSLPPHIRKLVSLKTLTMYVVGKEKGFLLEELGEMNLIGDLSISHLERVESVIDAKEANMLRKHVNDLELSWERNEESQLQESVEEILEVLEPQTQQLQRMLVRGYRGAYFPQWMSSSSLNILTTLELLNCESCLHLPDLGKLPSLKNLKVSKMSNVKYLYEEDSCNGGGAGGFRKLEKLELEQLSNLVKLSREDRDNNFSCLSKLQITECPILLELPCLPSLSDLLVRGECSQHLLNSIHKYHTLEKLRFSDNEELSFFPDGMLRDLTSLKIFDIDGLSKLEEIFINNLKSLHDILSHGLQSLKKLHIRNCQKFNLSESFQYLTYLEELTIEGCPEIVGLHEALQHMTALQSLSLRDLTNLASLPDWLGNLALLHKLEIDNCQN >CAK8536964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45954080:45957559:1 gene:gene-LATHSAT_LOCUS6287 transcript:rna-LATHSAT_LOCUS6287 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKELGLFLGFDQHFNSLSSLLTTIKATLEDAEEKQFTDRAIKDWLLKLKDAAYVLDDILDDCATQLLEMESKGLSHKVQTSFLSSFHPKNVAFRYQMAKKMKNMRERLDEIAEERNKFHLTQIVREERSGVLDWRQTTSIITQPQVYGREEDKDRIINFLVYDASSFEDLSVYPIVGLGGLGKTTLAQLVFNHERVVNHFELRIWVCVSEDFSLKRMIKAIIESTTGDACAELDLEPLQRKLLDLLKGKKYLLVLDDVWDDGQENWQRLKSVLACGGKGASILVTTRLLNVAEIMKTVPPHDLSILSDTDCWELMKQRAFGPNEEERGELVVIGKEIVKKCGGVPLAAMALGGLLRLKRKKIEWFNVKESKLWNLQGENSVMPALRLSYLNLPVKLRPCFALCALFPKDQIINKKFLIELWVANGFISSNGMLEAEDIGNEVWNELYWRSFFQDIKKDDIGEIENFKMHDLVHDLAQSIADEVSCCSTEAILSKRILHLSTYDEKSSMVVGSTQLHEMKSLRTFLMRQYYCSPPQVLKCYSLRVLDFERIEELPSLIFRLKHLRYLNLSCGIFKTLPESLCKLQNLQILKLDYCSRLQRLPDRLVQLKALQHLSLKNCHSLSSLPPHIRKLVSLKTLTMYVVGKEKGFLLEELGEMNLIGDLSISHLERVESVIDAKEANMLRKHVNDLELSWERNEESQLQESVEEILEVLEPQTQQLQRMLVRGYRGAYFPQWMSSSSLNILTTLELLNCESCLHLPDLGKLPSLKNLKVSKMSNVKYLYEEDSCNGGGAGGFRKLEKLELEQLSNLVKLSREDRDNNFSCLSKLQITECPILLELPCLPSLSDLLVRGECSQHLLNSIHKYHTLEKLRFSDNEELSFFPDGMLRDLTSLKIFDIDGLSKLEEIFINNLKSLHDILSHGLQSLKKLHIRNCQKFNLSESFQYLTYLEELTIEGCPEIVGLHEALQHMTALQSLSLRDLTNLASLPDWLGNLALLHKLEIDNCQKFNLSESFQYLTCLEKLIITSCPEIEGLHEALQHMTALQSLTLCALPIASLPDWLGNLALLHTLEISLCPKLTCLPMSIQGLTNLKRLYIQHCSELRKRCKENTGEDWHKIAHIPDIEVTYWHPYRTWSSQEHSTPY >CAK8562338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475522057:475522386:-1 gene:gene-LATHSAT_LOCUS15842 transcript:rna-LATHSAT_LOCUS15842 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVSRSESESALTAEREKGSTMDDHNIDKGDNSKDSLTVNDGISSQLQLKSFSQTFDKHVVLRRIKKRKSYNKAKSAFETLLGTLKTEANTAQEHKWLQHDDTFSSP >CAK8563677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624642671:624643324:1 gene:gene-LATHSAT_LOCUS17062 transcript:rna-LATHSAT_LOCUS17062 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKTLQLNLASAKDLNKVNLFSRMQVYAVVSISGNPFNNLKTKTPLDREGGTNPAWNFSVKLPFSEVLAHHNRLTLEINLRCSGSRAIDKDVGSVQVPLGELLKQTGDGKTFQHVSYQVRKPSGKPKGSVNFSYKITDHVNQNAEPGYPSPAVGSTSSPYPVVYPPPQSPSYSEYQQTPAGYFYPPHNGHVYPPPDAVPVAMRPLVFSVLLAFLSI >CAK8541396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:151569295:151571589:1 gene:gene-LATHSAT_LOCUS10321 transcript:rna-LATHSAT_LOCUS10321 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSSATPPDLAAMSETTNPVSPSQINLYRINKVLERLAFHFLPGNRSDSFEFFNLCLSLTRGIDYALANGEPPPKANELPTLMKQMYQRKTDELSLAAVMVLMISVKNACKIGWFEKKESEELLIVADEIGKIYCTLGNISTGPSSSHSAVLTIMERFYPKMKLGQIIVSIEAQPGYGASAVDFHITKNNVQPDKKIWLLVAQTDNIETSACLISPQQVNFLLNGKGIDTRTNFRMDLGPQMPTNVTSVLKFGTNLLQAVGQFNSHYIILVAYMSVASLPEHPVLPPDYVQPATSVDSDSDIIEGASRFSLNCPISFTRIKTPVKGRSCKHFQCFDFDNFIKINSKRPSWRCPHCNQNVSYTDIRLDRNMIEILEKVGENIVEVTVHADGSWQPVLENDHDVDKIQNKVHNFEKEQTEQQESTHSPDTFPHVVDLTNKDNDMDIIMDTCETADRKPSQGSAPTSVQIEDDFWAGLYIANSRSDTPTGGVTDIPVLADGVSPALIQEAEGHNNISAMHNQFQALSNLQVMNYMNSFVSEYGRSSSSPSHIHRTPVAVQALPVQSQPLGPQQNSVTNLDSLITSSPSATHVSLSNSASADPYNAILSDAERQQLFSRSPLNMPQVSAATQNRMPTVNMPAPTHNRVPPVSMSATTLNRAPSHLQTQQYRAGMLSDFRNSHLQQSFNPRAHQPMQPLNAQRSHIQQGISQANAAGGAANSQQAARVMASSHVARQGEQRGPPVQAVSRTDELFNSQPDQNWCHY >CAK8577691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583560623:583560930:-1 gene:gene-LATHSAT_LOCUS29773 transcript:rna-LATHSAT_LOCUS29773 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVANAIDFTADDFDIEEGDPNIEIILPHWN >CAK8537727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:424882564:424882746:-1 gene:gene-LATHSAT_LOCUS6989 transcript:rna-LATHSAT_LOCUS6989 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVAIMSEILEEYATVLTRATERFLSRNGMSFEDLRSRNFRFGSSSTSSSDSSSFFVYF >CAK8571086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:286934620:286934961:-1 gene:gene-LATHSAT_LOCUS23779 transcript:rna-LATHSAT_LOCUS23779 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVPRCDGKYKGTLLMAVAQDGNNNIFPIAFGLVEGETGEAWSFFLRNLRTHVAPQPNLCLISDRHASIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMWDIKDRNLRKKL >CAK8561196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117295568:117295804:1 gene:gene-LATHSAT_LOCUS14808 transcript:rna-LATHSAT_LOCUS14808 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTGLFQFHGFVPLTKNELQE >CAK8535696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875178746:875182153:-1 gene:gene-LATHSAT_LOCUS5128 transcript:rna-LATHSAT_LOCUS5128 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSISIYFTVIIFIQLNLLASTSQGFDPVIRLPHSGSARIRSKPRRVLSIIDFGAKGDGFHNDTQAFLEVWKIACSLSGYITVVFPPGKTFLVHPIDFSGPCRSKITLRISGTIVAPRDPEAWHGLNRRKWIYFHGVNHLSVNGGGRIDGMGQEWWSISCKINKTNPCLPAPTALTFHRCKSLKVRHLVLINSQKMHIAFTSCMRVHASHLKVLAPASSPNTDGIHISATKGVEIKESVIRTGDDCISIVRNSSRVKIKNISCGPGHGISIGSLGKSKVWEKIHDVKVDGVFLYNTHNGVRIKTWQGGSGFASKITFQNILMENVSNPIIINQYYCDSRHPCKNQTSSVQVENISFINIRGTSATEEAIKFACSDDSPCEGLYLEDIFLPSYYGENTTSYCWQAHGSAQGYVYPPACFSNSSDFIRKNILLESNPAINSL >CAK8535815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883356928:883357632:1 gene:gene-LATHSAT_LOCUS5236 transcript:rna-LATHSAT_LOCUS5236 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSAFLNGIIEEEVYVHQPPGFESDKFPEHVFKLKKTLYGLKQAPRAWYERLSNFLQAKNFIRGKVDTTVFCKTCKKDLLICQIYVDDIIFGSTNITLGKEFAQCMQVEFEMSMMGELRFFLGIQVSQTPDCTYIHQTKYVKDFLKKFNMTDSKLAKTPMHPKSILGKEEVSKKVDQKLYRGMIGSLLYLTASRTDILFSVCLCARFQSDPRESHLTAVKRILRGKHCVLSA >CAK8543311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599629382:599631339:1 gene:gene-LATHSAT_LOCUS12076 transcript:rna-LATHSAT_LOCUS12076 gene_biotype:protein_coding transcript_biotype:protein_coding MADYQGYIILFLIWLTSTILVRALLKRTQNKPNLPPSPFSLPIIGHLHLLGTIPHQGFHKLSTKYGPIIHLSLGSIPCVVASSPESAKEFLKTHENYFSNRPQSSAVDYLTYGSQDFSFSPYGPYWKFMKKICMSELLSGITLTRLLPVRKQETKRFVDFLLKKGKENEAIDVAKELLRMSNNVVSRMIMSESCSENEGEAEEVRKLVQDTVHLTGKFNVSDFIWFFKNWDVQGFSKRLTEIRDRFDSMMERIIKEHQEERRRRKEVGGRDGEIKDLLDILLDIFEDENSEIKLKMENIKAFVLDLFMAGTDTSALTIEWALAELINHPHVMDKARQEINDVIGSTRIVEESDIVNLPYLQAIVKETLRIHPTGPLIVRESSERCMIQGYDIPAKTQLFVNIWSIGRDPNYWDNPLEFRPERFISEVGNLDVRGQHFHMIPFGSGRRGCPGTSLALHVVQANLAAMIQCFEWKVSGGSENVDMKEKPGLTLSRAHPLICVPVPRLDHFPSM >CAK8535937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892298413:892300674:1 gene:gene-LATHSAT_LOCUS5351 transcript:rna-LATHSAT_LOCUS5351 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLALRNEEAIKVKFEKDINFNTFYKPYHVSTNSGATEDDEECIGLKVALSCKYLISQNNSDTEDEVEDLVLLDKQSHAVLLPRKPEVVMLFSSSPLVDSNRDLAATKLQKVYKSYRIRRYLADLAVVCEELWWKDSDSSAFQRCLISHFDSDKSETAISKWATARTIAAKMGRGLSKDDKGQRLARKHWLEAIDPRHRYGHNLHFYYDVWFQCQSSEPFFYWLDIGDGKKVDINKCSRKKLQRQCIKYLGPIEREAYEVTVEGGKLVYKQSKNFVHTTEGSKWIFVLSSSRVLYVGQKEKGKFQHSSFVAGAATIASGRIVAHNGVLHVIWPYSGHYRPTEKNYMEFIHFLEEHHVDMTNVKKHPVDDDIPPPVKPVDEELHFEHIENVENNKSSKWTTGVAPKIGYVREFPSKHQLQALEELTPTPKANSGAFEDKTMIPSLRASSEILLSHRLENMELI >CAK8536460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943116131:943122970:1 gene:gene-LATHSAT_LOCUS5827 transcript:rna-LATHSAT_LOCUS5827 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMMRSTSQFKRMRLKFHLQILFGLMLIFSVQFSLAATNPADVAAINRLYAALGNPVLSGWVSSAGDPCGEAWQGVQCNDTLIQEITLVGANLGGELGDSLGTFVSIKSITLSNNHIGGSIPSSLPVTLQNFFLSGNQFNGSIPVSLSTLTELTDMSLNDNLLTGEIPDAFQSLTRLINLDLSNNNLSGELPPSVESLSAVTTLNLQNNSLSGTLDVLQDLPLTELNVENNQFSGTIPPKLLSIPNFSKDGNLFNLDGNATIAPARPPHSPITASPSGTVVPVPGTPFSGRIPPKHANGPTSSKKSSSEKSKTNTKRVVWITISCVLGFIILALALVLFLPRCSKRERVDRTSKQHLVGAYGGERANPWNNGALVQPPSQTEKVLKGAVVRPKENRQAEKDEQRMETIPKLLSHEIDMSALDIDSMPPSPPPPPPPPPPPPAPPLSVEKVVVEPTTLPGGSNINPSKRSPVTPTFAKSFTVASLQQYTNSFSQDNLIGLGMLGSVYRAELPNGKILAVKKLDKRVSDHQEDDEFLELVNNIDRIRHANAVELIGYCVEHGQRLLIYEYCSNGSMYEALHSDDDFKTRLSWNARIRIALGAARALEYLHEQCQPPVIHRNFKSANILLDEDLSVRVSDCGLAPLITKGAVRQLSGQLLAAYGYGAPEFESGIYTYQSDVYSFGVVMLELLTGRPSYDRTRPRGEQFLVRWAIPQLHDIDALSRMVDPSLNKAYPAKSLSNFADIISRCVQAEPEFRPAMSEVVLYLLNMIRRESQQNESNE >CAK8573660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627301594:627302411:-1 gene:gene-LATHSAT_LOCUS26076 transcript:rna-LATHSAT_LOCUS26076 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAWLMDDSNEDPRLPHLGNPTQFVSLDQLAELGVLYWKLNPNDYENDQELKKIREARGYNYMDVLDLCPEKVENYEEKLKNFYTEHIHEDEEIRYCLEGSGYFDIRDKGDRWIRIWIKAGDLIILPAGIYHRFTLDTTNYVKLMRLFMGEPVWTAYNRPQEDNPARKEYIKGFTEKTGVPLAAH >CAK8536961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45872731:45875976:1 gene:gene-LATHSAT_LOCUS6284 transcript:rna-LATHSAT_LOCUS6284 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKELGLFLGFDQHFNSLSSLLTTIKATLEDAEEKQFTDRAIKDWLLKLKDAAYVLDDILDDCATQLLEMESKGLSHKVQTSFLSSFHPKNVAFRYQMAKKMKNMRERLDEIAEERNKFHLTQIVREERSGVLDWRQTTSIITQPQVYGREEDKDRIINFLVCDASSFEDLSVYPIVGLGGLGKTTLAQLVFNHERVVNHFELRIWVCVSEDFSLKRMIKAIIESTTGDACAELDLEPLQRKLLDLLKGKKYLLVLDDVWDDGQENWQRLKSVLACGGKGASILVTTRLLNVAEIMKTVPPHDLSILSNTDCWELMKQRAFGPNEEERGELVVIGKEIVKKCGGVPLAAMALGGLLRLKREKIEWLNVKESKLWSLQGENSVMPALRLSYLNLPVKLRPCFALCALFPKDQIINKKFLIGLWMANGFISTNGMLEAEDIGNEVWNELYWRSFFQDIKKDDIGEIENFKMHDLVHDLAQSIAEEVSCCNTEAILSKRILHRSTYGEKFSRVAGLIQLHGIKSLRTFLTQYNICSPPQVLKCYSLRVLDFQRMKEFPSSIFRLKHLRYLNLSHGNFKTLPESLCKLRNLQILKLDYCSRLQRLPYGLVQLKALQHLSLENCYSLLSLPPHIRKLASLKTLTMYVVGKKKGFHLAELGEMNLKGSLYIKHLERVESVMDANEANMLRKQVNNLKLEWEINEESQLQENVEEILEVLQPQTQQLQRMVVRGYRGAYFPQWMSSSSLNILTTLELLNCESCLHLPDLGKFPSLKNLKVSKMSHVKYLYEEDSCNGGGAGGFRKLEKLELEQLPNLVKLSREDRDSNFSCLSILQITECPVLLELPCLPSLSNLIVRGECSQHLLHSIHKYHTLEKLCFSDNEELSFFPDGMLRDLPSLRIFDIYDLSKLEQLPTEINNINSIQEICIGNCKNLKSLSDEVSHGLHFLKRLSIRRCQKFNLSESFQYLTCLEELIIKNCPEIEGLHEALQQMCALQSLTLGDLPNLASLPDWLGNLALLHKLEIGDCPKLTCLPISIQRLTSLRSLYIQKCGELGKRCKEIAHIQKIKIMV >CAK8530838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54233222:54238612:1 gene:gene-LATHSAT_LOCUS674 transcript:rna-LATHSAT_LOCUS674 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIISTTCFFLLLLPLASCTKNNKQIYLVEFGENTNEDRTLHEIENTHHSYLLLVKETEEDAKTSHLYSYKHTFNGFAALLTPNEANNLSGMEGVVSVRKSETKMYSLHTTRSWKFVGLDRSFDPFEEKSNETNGELLAKGKYGQDIIVGMIDNGVWPDSKSFSDEGMGPVPQKWKGVCQNGTEFDSSNCNRKIIGARYYLQGYESIYGPLNETDDYKSARDKDGHGTHTSSIVAGRAVSNASALGGFARGTAIGGAPLARLAIYKACWAIKGKPKNEGNVCTNVDMLKAIDDAIEDGVDVISISIGFPEPIKYDDDVIAKSSLQAVRKNIVVVCSAGNAGPGPRSLSNPAPWIITVGASTVDRSFVAPIKLSNGTIIEGRSITPLHKENIFRPLVLASEVEYGGITSANSSGYCLENTLDPIKVKGKIVLCMRGIGGRLKKGLEVERAGGFGFILGNNKAYANDLASDLHFIPATAVTYEDTLKLVQYINSTVNPMAQLLPGTTVLDAKPAPSMALFSSRGPNIIDPNILKPDITAPGVDILAAWTGEDGPTRMNDRDKRVVEYNIFSGTSMSCPHVSAASVLLKAMHPTWSPAAIRSALMTSAKTTDNTGNTITDETGNPTTPFAMGSGHFNPKKASNPGLIYDASFTDYLLYLCNLKLTQNISITYTCPNPLPQPFDLNYPSIQIHKLNHTKTITRTVTNVGGSKSVYKFISKAPKEFTIKATPSVLRFTHVGQKRNFVITVTENRDELLSESDSEKYFFGWYSWTDKYHVVNSPVAVSFQ >CAK8532994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561846405:561847023:-1 gene:gene-LATHSAT_LOCUS2647 transcript:rna-LATHSAT_LOCUS2647 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGKWNFQGTEEGSLQGAEEGSLQGAKNAMPEHPVSHAEPTQVESALPHVPPARKKRQANASVTRKTSSVWLDFNILPDELELIVACKHCHKRYRCDPKTHGTSNMLAHSKVCHKNPNLLQKDPTQRNLVSGDGGFLGSTSQRFNSQACRKAITSFVILDEHSFRVVEG >CAK8538954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500278152:500279051:1 gene:gene-LATHSAT_LOCUS8099 transcript:rna-LATHSAT_LOCUS8099 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTINSSVKVGPLSVPEPPPQKKASKDNAIKKYTPHNFVTYVYQTKLGDSFRSVALTWCKNLIEHSLSMIVEKPFDEEKFTCKIDIASGQSWGKKGLKSFEIEGSRVDIYWDFRDAKFSNSPQPSSGYYVALIYKKKVLLLLGDLDNDAYERTKSKPSLEESTLLCKKENVEGKTLFCIKAMLEEGKPEHDVVIETSLSGPDDPEMWISIDGILASRIMNLNWKFRGNEFVMVNNLPVQILWDVHDWLFNDLGSGPALFIFKPGFMEHRAIDSNEDLVDDNSPARSFFHFLYAWRID >CAK8565185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:61084354:61089868:1 gene:gene-LATHSAT_LOCUS18420 transcript:rna-LATHSAT_LOCUS18420 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMRYGINPIRVTAITKQRSCFIVQSEPNLTKGVPGFPIGIVNKKKKLLPLIMAAAVGNSQVGHFENTLPSKEILELWKNGDAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFNPSLSQLQNFLQQRPPRLSPGIEELIQKLKANRKHVYLISGGFRQMINPVASILGIPKENIFANQLLFGSSGQFLGFDENEHTSRSGGKATAVQQIKKNHGYKALTMIGDGATDFEARRPGGADLFVCYAGVQLRQAVAAKADWLVFNFQDLINSLE >CAK8532853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:536235156:536236072:1 gene:gene-LATHSAT_LOCUS2517 transcript:rna-LATHSAT_LOCUS2517 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEASFNYYRGEIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEVIKSVLKATRNLPITTLVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYESFTLCHGDSMRRNKKGRPRSSRIRTEMDDAEKEKRRYGICREIGHMRSKCPNVAGPSNRPNR >CAK8577411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562349499:562349921:-1 gene:gene-LATHSAT_LOCUS29524 transcript:rna-LATHSAT_LOCUS29524 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSRLASEEEHISASASTTQPTGFPGGLYDMSLLVKYEQYIARHVWFCEETGLKKELKVAGHGLKLTLRVSQQLPKDMEGWISKSSISSLPRTSLTKINTNLVSAFVER >CAK8543858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645031648:645034402:-1 gene:gene-LATHSAT_LOCUS12583 transcript:rna-LATHSAT_LOCUS12583 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGAAITKNNGYESFCSTNAKNNNMKVNSADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVKVELSESARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESSHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLINNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGPSGELLNAREAFQSAGINDGFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAIEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNIVSQVAKRTLTTGVNGELHPSRFCEKDLLRVVDREHVFAYIDDPCSATYPLMQKLRQVLVDHALVNGESEKNLNTSIFQKIATFEEELKTLLPKEVESTRVAYESGNPTVPNKINGCRSYPLYRFVRQELGTGLLTGENVISPGEECDKLFTAMCQGKIIDPLLECLGEWNGAPLPIC >CAK8534786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767690689:767692704:-1 gene:gene-LATHSAT_LOCUS4300 transcript:rna-LATHSAT_LOCUS4300 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDKASSQTLQPSASQGSFVDRSFSYTNDIKTIADIMILQDVSILVPMLQFLSRRNVTIFYDVSFIFTQLQVSNHITCHVYLQQAFCNTFGTTKRFKSSRCGWYYEQCPACNRTNKFPGQPFICTCCENTPPPLTKYKIEIEVENDCTTDCFVFWDKECIQYVGMAAHALREIMKKSNEDHP >CAK8531981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186366395:186367174:1 gene:gene-LATHSAT_LOCUS1727 transcript:rna-LATHSAT_LOCUS1727 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEWLFLTIAPCDAAEPWQLGFQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFHYQQNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAMTIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDLELGQSRLLEVDNRVVVPAKTHLRIIVTPADVPHSWVVPSLGVKCDAVPGRLNQISISVQREGVYYGQCSEICGTNHAFTPIVVEAVPSKDYGSRVSNQLIPQTGEA >CAK8538765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495314606:495315371:1 gene:gene-LATHSAT_LOCUS7928 transcript:rna-LATHSAT_LOCUS7928 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGTILRHLDTTIGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFITLFELSTYRILSWFPIWPYMKLVFCLWLVLPMFNGAAYIYENYVRKYVKKLGNYGGSNYPEEYKKVLHMMTLDARKAVERYIDRFGPDAFERVIRAAEKEAKKH >CAK8542253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:493997398:493999464:1 gene:gene-LATHSAT_LOCUS11103 transcript:rna-LATHSAT_LOCUS11103 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSTLTNRNFITRTKSPMPPNITLKPSSSSSPFKSLTFPKNNKKPPNPIPPIDHSHLSQLLSKPNSDWVILLNHELHSKKLLLTPPSLSTIFQNIQNPLHSIKFYTWVSSINSSLANTSSIHRILGNTLHRNGPVILSAEFLNDVHKSGFRVTEDLLCVLMSSWGKLGLARYCVDVFGQISFMGIAPTTRLYNSLIDALVKSNSIDLAYHKFQQMIGDHCFPDRITYNILIHGVCKIGVVDEALRLIRQMKDKGLFPNVFTYTILIDGFCNAKRVDEAFGVLDKMKESNVCLNEATVRTLVHGVFRCVDPSKAFVLLSEFLDREERGGFGKLACDTVLHCLANNSMAKEMAVFIRKAFARGYVPESSVFNVIMACLVKGVESREACEIFEIFRKQGVKPGIGTYFILVEALYKDEQREEGDRISDQMINDGLISNVVSYNMLINCFCKANMMDKASEVFREMKLRGFTPNLVTFNILINSHCKDGSIVKAQELLEMVLENGLKPDIFTFSSIIDGLCRRKRTEEAFECFNEMIEWGVKPNAIIYNILIRSLCSVGDVARSTKLLRRMQEEGISPDTYSYNSLIQIFCRTNRVEKAKKLFDSMSKSGLNPDSYTYSAFIEALSVSGRLEEAKKMFYSMEQNGCSPDSYVCNLIVKALVRQDCVEEAQMIVERCRQKGISLNCIPDS >CAK8542254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:493997458:493999464:1 gene:gene-LATHSAT_LOCUS11103 transcript:rna-LATHSAT_LOCUS11103-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNITLKPSSSSSPFKSLTFPKNNKKPPNPIPPIDHSHLSQLLSKPNSDWVILLNHELHSKKLLLTPPSLSTIFQNIQNPLHSIKFYTWVSSINSSLANTSSIHRILGNTLHRNGPVILSAEFLNDVHKSGFRVTEDLLCVLMSSWGKLGLARYCVDVFGQISFMGIAPTTRLYNSLIDALVKSNSIDLAYHKFQQMIGDHCFPDRITYNILIHGVCKIGVVDEALRLIRQMKDKGLFPNVFTYTILIDGFCNAKRVDEAFGVLDKMKESNVCLNEATVRTLVHGVFRCVDPSKAFVLLSEFLDREERGGFGKLACDTVLHCLANNSMAKEMAVFIRKAFARGYVPESSVFNVIMACLVKGVESREACEIFEIFRKQGVKPGIGTYFILVEALYKDEQREEGDRISDQMINDGLISNVVSYNMLINCFCKANMMDKASEVFREMKLRGFTPNLVTFNILINSHCKDGSIVKAQELLEMVLENGLKPDIFTFSSIIDGLCRRKRTEEAFECFNEMIEWGVKPNAIIYNILIRSLCSVGDVARSTKLLRRMQEEGISPDTYSYNSLIQIFCRTNRVEKAKKLFDSMSKSGLNPDSYTYSAFIEALSVSGRLEEAKKMFYSMEQNGCSPDSYVCNLIVKALVRQDCVEEAQMIVERCRQKGISLNCIPDS >CAK8542067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:467304334:467305161:1 gene:gene-LATHSAT_LOCUS10939 transcript:rna-LATHSAT_LOCUS10939 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFYQPQPSPSAPMPPLPAAIFGPQYCAPYPLDLAVVKKVMTISDGNFAVTDVNGNIVFKVKGSLLTLRDRRVLLDAAGNPITTLRRKIVTMHDRWEAYRGESTDSKDLIFTLKRSSLIQFKTKLDVFLAGNTKEDICDFKVKGSWLERSCIVYAGESNNIVAQMHKKHTVQSVLIGKDQFMVTVYPNVDYAFIVALIVILDEINDDEKEE >CAK8530944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65750550:65752913:-1 gene:gene-LATHSAT_LOCUS771 transcript:rna-LATHSAT_LOCUS771 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIVLSSFIHFSCQTPQSTPLFKHRSTQFSTCKISPNSSRSSSSYSSMAATNFNLSQLDSSSSLSIGQTDLLIVGPGVLGRLVAHQWRQEYEGCQVFGQTITNNHHEELIQLGISPSLNWTKFQHKFPYVIFCAPPYQSSDYHGDLKQAASCWNGEGSFLFTSSSAPYDCNDNGLCDEDSPVVPIGRSLRTDILLNAESIVLEFGGSVVRLSGLYKEGKGAHAYYLEKGIVESRPDHVLNLIHYEDAASLSVAILKKHFRGKIFLGCDNHPLSRQELMDLVEKSGKFSKKFEKFTGTDDPLGKRLNNSKTRQEVGWEPKYSSFAHFLETL >CAK8538460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483335212:483335661:-1 gene:gene-LATHSAT_LOCUS7652 transcript:rna-LATHSAT_LOCUS7652 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKGAPCVDRSPVSGPNPPASPEIRYRGVQKWQCGQYAAEIRDPLKDDTVFVNYPTSSGMSSTVELFSGPRVGSSSTSRVSTSRVHMFIPVGCHSDCDSSSSVVDDDEDCVVVCSSVRKPQPRNIDLNLPAPMNYNDEEICAIDLNL >CAK8534345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719699008:719703652:1 gene:gene-LATHSAT_LOCUS3896 transcript:rna-LATHSAT_LOCUS3896 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSFGRYFSMRHQNSKIQGLYDHCKNTFSPSGIPLPSSQALHKLSLILDTIRPADVGLKEQAADDDRGLGFFGVNQQSRMARWAKPITYIDIHESDSFTMCIFCFPTSSVIPLHDHPGMTVFSKLLYGSLHVKAYDWVEPPCIVESKGPGHPQVRLAKLAVDKVLNAPCEPSVLYPKQGGNLHCFTAVRPCAMLDILAPPYKEYEGRKCTYYHDYPYSTFSAGNGPVCDGEEDEYAWLAETEPSGLYMNSGVYAGPPIKP >CAK8536490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946499555:946500464:-1 gene:gene-LATHSAT_LOCUS5854 transcript:rna-LATHSAT_LOCUS5854 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGISSSALPYRRTSPSWLKISTQDVDENICKFEKGLTPSQIGVSLRDSHGIAQVKNVTGNKIICILKAHGSFNPFDLYVIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >CAK8536491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946499740:946500464:-1 gene:gene-LATHSAT_LOCUS5854 transcript:rna-LATHSAT_LOCUS5854-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGISSSALPYRRTSPSWLKISTQDVDENICKFEKGLTPSQIGVSLRDSHGIAQVKNVTGNKIICILKAHGSFNPFDLYVIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >CAK8560033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5439081:5441724:-1 gene:gene-LATHSAT_LOCUS13750 transcript:rna-LATHSAT_LOCUS13750-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLTVPTPFLHKSTAASFSNSKLPLLKRNSLKINAISKQWEPTKVVPQADRVLIRLEELSQCMIALLICECNFLGQTTAGGILLPKSAVKFERYLTGEVLSVGAEAENVKAGAKVLFNDMSAYEVDLGTEAKHCFCKSSDLLAVVE >CAK8560032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5439081:5441724:-1 gene:gene-LATHSAT_LOCUS13750 transcript:rna-LATHSAT_LOCUS13750 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLTVPTPFLHKSTAASFSNSKLPLLKRNSLKINAISKQWEPTKVVPQADRVLIRLEELSQTTAGGILLPKSAVKFERYLTGEVLSVGAEAENVKAGAKVLFNDMSAYEVDLGTEAKHCFCKSSDLLAVVE >CAK8535849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885664142:885664654:-1 gene:gene-LATHSAT_LOCUS5269 transcript:rna-LATHSAT_LOCUS5269 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAIKSSSHNVIQFDRERFCFMVAESINQRDGRPLGTFSVDLRRGWYDCGRFQAFHLPYSHVIAACASIRQNHNMHIPDVFKVLSVFKVYSQSFLELPHQQNWPTYERFTLCHDETMQRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8531729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143940621:143941808:1 gene:gene-LATHSAT_LOCUS1498 transcript:rna-LATHSAT_LOCUS1498 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQRPPVTKIISYPFLSIFTPSVHMLKFNTWPNRTLSHLNCLTSSQPSPSSPHFDTISFQVSYLVNNLDFSPQSASKLCSTYRLGFKTTQNPDSVLNFFRNYGFSNSELRGMIAKSPWLLSCHLSNRVLAKFEFFLSKGASNSDIFNLVSKNSRVLSPSLENHIVPTYDLLYRFLKSDQDVIASAIQNSELLCDHLVPRNITMLIENGVSDSNIVNILQTWSQTLNVEDLGIFVEELKDLGFNPSKYAFSVALVAKSYVTKPLWQEKVDAFKKWGWSDEDVIEAFKKQPSCMLISIKKMDSVMDFWVNQLGWDVMALAKQPRVLTFSLEKRIAPRAAVVQFLLNNGLRSKNLTLTYPFILSEKKFIYAFIKRYEKESFYLLKLYEEKLKSACHD >CAK8531730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143940696:143941808:1 gene:gene-LATHSAT_LOCUS1498 transcript:rna-LATHSAT_LOCUS1498-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFNTWPNRTLSHLNCLTSSQPSPSSPHFDTISFQVSYLVNNLDFSPQSASKLCSTYRLGFKTTQNPDSVLNFFRNYGFSNSELRGMIAKSPWLLSCHLSNRVLAKFEFFLSKGASNSDIFNLVSKNSRVLSPSLENHIVPTYDLLYRFLKSDQDVIASAIQNSELLCDHLVPRNITMLIENGVSDSNIVNILQTWSQTLNVEDLGIFVEELKDLGFNPSKYAFSVALVAKSYVTKPLWQEKVDAFKKWGWSDEDVIEAFKKQPSCMLISIKKMDSVMDFWVNQLGWDVMALAKQPRVLTFSLEKRIAPRAAVVQFLLNNGLRSKNLTLTYPFILSEKKFIYAFIKRYEKESFYLLKLYEEKLKSACHD >CAK8569146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675720749:675722041:1 gene:gene-LATHSAT_LOCUS22030 transcript:rna-LATHSAT_LOCUS22030 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVTEEFQIPNLKSIFGASGVKGKQVTTLSSEMTISDFIQSIIETKSTEIDSPFSVLDLRVVIDLMNKWLTKLPTVKPFYAVKCNPNISLLGTLASLDSNFDCASRAEIESVLSLGVSPDRIIYANPCKSESHLKYAASVGVNITTFDSVREVEKIKKWHPKCELLLRIKPEEDSTSGASTCLGLKYGALEDEVPELLKAADIAGLKVTGVSFHVGSGGAAAKAYHGAISSAKKVFETASRLGMAKMKILDIGGGFISGLKFDEAALNINDAIKTHFGNEEDVVVIGEPGRYFAETSFTLATKVIGKRVRGELREYWIDDGIYGTLNNIVFDFATVTCMPLRFATSKSENVSKTYYPSTVFGPTCDSVDTVLKDYDMPELEVNDWLLFPNMGAYTISSGTNFNGFSSSVKNIYLACSTSSYVTRLIVT >CAK8571970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:498301535:498304172:-1 gene:gene-LATHSAT_LOCUS24581 transcript:rna-LATHSAT_LOCUS24581 gene_biotype:protein_coding transcript_biotype:protein_coding MADSESPAGGGHDHESGGEQSPRGSSSAAREQDRFLPIANISRIMKKALPSNGKIAKDAKDTMQECVSEFISFITSEASEKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYRELEGDSKGTTIRGCDGSGRRDQVSPGGQNSQLVHQGSMNYMGSQVHPQHLVLPSMQNHE >CAK8568195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581050837:581052030:-1 gene:gene-LATHSAT_LOCUS21181 transcript:rna-LATHSAT_LOCUS21181 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNNHRHHMAPSPSFFKTLVLLLLLSQHFLTLVIAFTHNNTETTPPPSPVPDDAATNSQPQRIKPSVAILVCVFTVLFSLTSVLLLYVKHVNYIATPGEIANIENGGGSYFNGGRKNSGIDRSIVESLPIFRFGSLTGQKDGLDCAVCLCKFESSQVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRSRVDPEDVLLIVEDSSPSSSSTLREIDNQNQNQKEEENEHAMEIEIERGRMWRNEIVENYRKRHSSVGEKEWERERERKKAASFRWSLDSSRKKSESSIGLGLGCFAGPRKDGMLLTKEESSVERRKRLEHRIIVSPKVRSGLHQNQRWSDVQPCDMLYLTSEMMIMSGVKNVNRRNNGSSWNGRGVINSRSVSEITGLSRFQSNNTQQ >CAK8568196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581050837:581052003:-1 gene:gene-LATHSAT_LOCUS21181 transcript:rna-LATHSAT_LOCUS21181-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSFFKTLVLLLLLSQHFLTLVIAFTHNNTETTPPPSPVPDDAATNSQPQRIKPSVAILVCVFTVLFSLTSVLLLYVKHVNYIATPGEIANIENGGGSYFNGGRKNSGIDRSIVESLPIFRFGSLTGQKDGLDCAVCLCKFESSQVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRSRVDPEDVLLIVEDSSPSSSSTLREIDNQNQNQKEEENEHAMEIEIERGRMWRNEIVENYRKRHSSVGEKEWERERERKKAASFRWSLDSSRKKSESSIGLGLGCFAGPRKDGMLLTKEESSVERRKRLEHRIIVSPKVRSGLHQNQRWSDVQPCDMLYLTSEMMIMSGVKNVNRRNNGSSWNGRGVINSRSVSEITGLSRFQSNNTQQ >CAK8544835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708074918:708078972:1 gene:gene-LATHSAT_LOCUS13483 transcript:rna-LATHSAT_LOCUS13483 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLNNQTLLVFLSCMLLIWNIIVPQVEARAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTHRATGRFSNGLNMPDLISEKIGSEPTLPYLSPELNGEALLNGANFASAGIGILNDTGIQFFNIIRITRQLQYFEQYQQRVSALIGEEETVRLVNEALVLMTLGGNDFVNNYFLIPFSARSRQFRLPDYVVYLISEYRKILVNLYNLGARRVLVTGTGPIGCAPAELAQHSRNGECYGELQEAANLFNPQLAQLLSQLNSEIGADVFISANAFAMNMDFVGNPQAYGFATSKVACCGQGPYNGIGLCTPASNLCPNRDAYVFWDPFHPSDRANRLIVDRFMVGSSEYMHPMNLSTIMLLDSKT >CAK8544762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704933582:704934086:1 gene:gene-LATHSAT_LOCUS13417 transcript:rna-LATHSAT_LOCUS13417 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTKRVPLQLPREMESWISRSGLASLQITSLTKIDTNLVSAFAERWRLETSSFHMPFSEMTITLDDVSCLLHLPIRGVF >CAK8542974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563443235:563447087:-1 gene:gene-LATHSAT_LOCUS11768 transcript:rna-LATHSAT_LOCUS11768 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQPERISSTNTMSSSRRPLHINIPPPPSSSSAMFQRIRPSLVTSFLQKPLSLPFVLSIFLFLAWISLRSYRTSHSLHLSSPNDDVKANLRRFSAHFPSPITKDNRGWILDPIALAASSSLSGGAVTCASLHLGEIRPGKFRGNHRHHDCNETFVLWGAAIKFRVENSAVTDIGYAEVTIARDEVIVAASPAHTAHALVNIDPVRSAFFIGCQDSTINYNASSTDFNVWKDL >CAK8565668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:302223379:302223954:1 gene:gene-LATHSAT_LOCUS18859 transcript:rna-LATHSAT_LOCUS18859 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSMFIDVLELFDLLVVGNKFMWINSNGIFKSIFDRFLISKVLIKEWCVMVHHVGKRDISDHRSIWLRASKTNWGPKPFKVFRCWFDHSGFLDFVSNVWTDVEFMGSSSQVRVKKFKLFRERLRWWNINVFGWVDLKIEEEVDTLNRIKDYMSVSRGVTLEDQLCARSKAQNLIWNNLLRKLCYSRNQG >CAK8531524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:120242654:120243179:-1 gene:gene-LATHSAT_LOCUS1308 transcript:rna-LATHSAT_LOCUS1308 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKDKFLKGPNKEYHHMHVMTINNFIIIIITMVNPLRFFDKIEEAIGGSEIVADISSPSPEIAAGSF >CAK8571759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:469647377:469647595:1 gene:gene-LATHSAT_LOCUS24392 transcript:rna-LATHSAT_LOCUS24392 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLIKELIWMISILEPSKYNFFPAMTKIVGTLGPKSRSVDVISSCLKAGMSVARFDFSWGGAEYHQET >CAK8566110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380841483:380842212:-1 gene:gene-LATHSAT_LOCUS19273 transcript:rna-LATHSAT_LOCUS19273 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNVVLLDFWPSVYAMRVKIALEEKGVSYERRQEDFQAKSSLLLEMNPVYKKIPVLIHNGKPICESLNIVEYIDEVWNDKPSILPSDPYWRSQAKFWGDYIDKHVYSIRRKIWMGKGKEQEESKKKFIECLKTLEDELGEKPYFGGDDFGYVDVALIPFTSWFYTYETYGKLSIEEECPKLVAWAKRCMEKESVAKSLPHPHKVCDFASTRLK >CAK8572573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547638789:547642044:1 gene:gene-LATHSAT_LOCUS25126 transcript:rna-LATHSAT_LOCUS25126 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKYLWVAFCLWALTCSLLPSFSYGFMRIDLKKRTLDLDSIKAARMVREKLRSGRPVLGVYDQYTRKLTDDDDDDAIVPLKNYLDAQYYGEIGIGTPPQTFNVIFDTGSSNLWVPSSKCYFSLACLTHRSYKSKKSKTYTKNGTSCKIRYGSGAISGFFSQDNVKLGDIVVKDVDFIEATREGSISFVLAKFDGLFGLGFQEISVENAVPVWYEMVQQNLVSEKVFSFWLNGNPKAKKGGELILGGADPNHYKGKHTYVPVTQKGYWQIEMGDFFIGGLSTGVCEGGCAAIVDSGTSLLAGPTTVVTEINHAIGAEGVLSVECKEIVTEYGEMIWDLLVAGVRPGDVCSQVGLCVAKKDQSKSMGIEMVTEKEEGELSAKDTALCSSCQMLVIWIQNQLKRKTTKDRIFNYVNQLCESLPSPNGESVVDCNSIYQLPNISFTIGDKSFVLSPEQYILKTGEGITQVCLSGFIAFDIAPPRGPLWILGDIFMRVYHTIFDYGNLQLGFAEAA >CAK8564166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654766949:654767832:1 gene:gene-LATHSAT_LOCUS17495 transcript:rna-LATHSAT_LOCUS17495 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEESGHADKVETISETLVKHGFNYSGKDFIYSGFHFTLEFLVLLQMEVFFK >CAK8566965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476753292:476760756:-1 gene:gene-LATHSAT_LOCUS20059 transcript:rna-LATHSAT_LOCUS20059 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEEERRRRLKLEEALEIQSLRRIISAYLNYPDAADEDVRRYERSFRKLSPAHKDLLSHYPLKFEKLRRCISMNAHFIFGMLQAFEPPIDMSQDVDLSADQHPEYVQKDHLVHEGINSCSCESAPLKITCYVSNRHGCVEGSNDSCRSSVLVHPNEEVNIESHHQSNTGNGSHPSNMLHSKETSEYGGSAIADSNGNVSDTSSQQHCMDPSLQLNVPLVDVDKVRCIIRNVVRDWAVEGQKERDQCYKPILEELNSLFPNRSKESPPACLVPGAGLGRLALDISCLGFISQGNEFSYYMMICSSFILNHCETAGEWTIYPWIHSNSNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYGDASQIGAWDAVVTCFFIDTAHNIVEYIEIISQILKDGGVWINLGPLLYHFADTYGQDDEMSIELSLEDVKRAALHYGFEFEKERTIETTYTTNPKSMMQNRYFAAFWTMRKKSAATRKQVP >CAK8543918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649220175:649223238:-1 gene:gene-LATHSAT_LOCUS12640 transcript:rna-LATHSAT_LOCUS12640 gene_biotype:protein_coding transcript_biotype:protein_coding MDESAKRMEEGINVALDIDEAKDDVLKKQETTNINGNSSIDQQDTETVAKPALVKQKTKRVATLDAFRGLTIVLMILVDDAGEAYPRIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRIPKIKFAVKKIILRTLKLLFWGIILQGGYSHAPDELAYGVNMKFIRWCGILQRIALVYCVVALIETFTTKLRPTTLSPGRITVFTAYKWQWFGGFAAFLIYMITTFALYVPDWSFVDHVNGDVPKRYTVLCGMRGHLGPACNAVGYVDRRVWGVNHLYSQPVWRRLKSCTFSSSGEGQFRDDAPSWCLAPYEPEGLLSSVSAILSGTIGIHYGHVLIHFKGHSERLKHWLSMGFVLLIIAIVLHFTDAIPINKQLYSLSYVCFTAGAAGIVFSALYILIDVCGIRTPFLFLEWIGMNAMLVFVMAAQGIFAAFVNGWYYEDPNKSLVHWIKKHVFVNVWKSEKVGTLLYVIFAEITFWGVVAGVLHKLKIYWKL >CAK8530690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41066824:41068448:1 gene:gene-LATHSAT_LOCUS535 transcript:rna-LATHSAT_LOCUS535 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYTSNRFLIFIIFTACLCLYVAIQKKKPDENKDILNISSRLEIPTSKYTSISDLKLDKLPNQDDVIELFQGWKKENGRVYNDLEEMSKKFATFVSNLKYIVESNAKRDSPNSVRLGLTYFADLSITEFRETHMPLNTDAMDIVNDDDVQDVTCSDPPSSLDWRLKGAVTPVKNQRLCGACWAFGTVGAIEGIVAIKTGKLISLSEQEILDCDVTGSCARGLVGRAFYWVEENNGIATNESYPYTASKGVCRSSQIQNSENSSISAHKSAPRSDRGLLCAVAKQPIVVMIYSRSQSYQLYTHGVFQGDDCPLDSVEVSHTMLLVGYNSTDTEDYWIVKNSGGPTWGMQGYMWIKRNTNKKYGVCAINAWASFPIKN >CAK8561103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:94394465:94400019:1 gene:gene-LATHSAT_LOCUS14724 transcript:rna-LATHSAT_LOCUS14724 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASPLLVICLVLGSSFATYNLVTMIIHYGSLESVATEDGGLFFDPIIEMPEHVKNRKTSKVPFHIALTATDAMYSKWQCRIMYYWYKKQRSLPGSEMGGFTRILHSGKADNLMDEIPTFVVNPLPKGLDRGYVVLNRPWAFVQWLQTAKIEEEYILMAEPDHIFVRPLPNLAYGAHPAAFPFFYIKPEENEKIVRKYYPEEKGPVTNIDPIGNSPVIIRKDLISKIAPTWMNVSLDMKEDPETDKEFGWVLEMYAYAVASALHDVKHILRKDFMLQPPWDLETYNRFIIHYTYGCDYSLKGELTYGKIGEWRFDKRSHLERPPPRNLPLPPPGVPESVVTLVKMVNEASANIPNWDTL >CAK8563370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597909571:597911142:1 gene:gene-LATHSAT_LOCUS16790 transcript:rna-LATHSAT_LOCUS16790 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPYKDEPDYDSSSSSITVPESTRSWMSNLSFGSRRRRSSVSVSSTTTYASSTLSSNAKPHKANQVAWEAMSRLRLDQGRVGLDHFRLLKRLGSGDIGNVYLCQIRNPVVGLPQCLYAMKVVDREAIAIRKKLQRAEMEKEILRMLDHPFLPTLYTEFDASHYSCLVMEFCPGGDLYASRQRQPGKRFSLSSSKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLLRTKTRLERSIKTTTKRASTTPSCISPMQPVLSCLLSSTSKKKKTKVETVIRENLQEEFEVDLDPDFVAEPIEARSKSFVGTHEYLAPEVILGQGHGSAVDWWTFGVFLYEMLYGRTPFKGENNEKTLVNILKQELCFPRITVSSNYEFEEMVKVQDLISKLLVKNPNKRIGSCLGSVEIKRHEFFKGVNWALIRSVKPPEVPSDKNKIRNRVMVPKLSKKDRDQPFQPNHRFDYF >CAK8536541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953625357:953625818:1 gene:gene-LATHSAT_LOCUS5900 transcript:rna-LATHSAT_LOCUS5900 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFIVSALLVTGLIIGFGLSRHRRNNNNNAKCSDEPCGSFPTPNFNLPTPPFTPENPISPPAPPVQSESPPVTNPTPPPPPDSTSTSNPPPPPPPPLPDSNPNNPPPPSIQSPPPPPANVAEPPTSSTPGSAVVSPGPVHAIF >CAK8570508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53056881:53083116:-1 gene:gene-LATHSAT_LOCUS23251 transcript:rna-LATHSAT_LOCUS23251 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDMMDMEELELLESSYRIYEQEGDDHYFHNPPEDEPELQPEQSPPDLASPSSPQSNSHKRSRSNSNSDLDLDLDSPEVEKREKVRVRTEDPPADEDWLRYSPPPSPVRAEEVSFSKEKTLSRFASEIDGEVMPVTAPNGDRVYTKLDRYYGDDRATKLNSGAFSSDLALEPISVLFERLEEETFAKTLEASSESQSIVDVPETLTLHEKLWVDKYAPKSFTDLLSDEQTNREVLLWLKQWDSTVFGSEIRSTSEDVLSALKRHSSISHNQKPLGSGFPRTKGGYTWSNNRHTNSRSMEGSDNSKSSQDTHNTKTRNIGAPEQKILLLCGPPGLGKTTLAHVAARHCGYHVVEVNASDDRSSSTIEAKILDVVQMNSVLSDSKPKCLVVDEIDGALGDGKGAVEALLKMISAERKPDAGKQSLDKEQTERKSSKKGRKIASLSRPVICICNDLYAPALRSLRQVAKVHIFVQPTVSRVVSRLKYICNKEGVKANAIALTALAEYTACDIRSCLNTLQFVSKKKETLNAFDIGSQVVGQKDMSKNVLDIWKELFQRKRTKKMERKSHDRKVSEFDTLYSLISYRGDSDLILDGIHENILQLNYHDPVMQKTVKCLNSLEVYDLLHQYIMRTQQMPLLVHLPPIAIIVHRTVAQVQKPIIEWPKSYHRYRTTMMEKMDILNTWHYKISPHIARHLSPTSFVEDLISPLLHILSPPSIKPVALQLLSDKEKNDLAQLVSTMVSYAITYKKMKSDMLPNTLKYGVKDELSLSLVPPISNFLNFKDYTSNHYVLSLAMKQVLVHEVEKHKILQANAKTVGLENGGHGAIESGSNCIPFANTNNHAVVVDKKTIESQANVFARKSNEAKTVSPNLNLTNMVNATDKVKLLDMGNKKKPSRTSSSFFDRFIKSNVKGLQNDDGSLQKETTSEKDRSPLLFKFNEGFTNAVRRPVRMREFLS >CAK8565802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336341349:336342011:1 gene:gene-LATHSAT_LOCUS18983 transcript:rna-LATHSAT_LOCUS18983 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNEASSSMEVDTVQSEPKVLPPKPQFAPLKPHEMSSGQVQFRKVSVPPHRYTPLKKIWMEIYTPVYEQMKIDIRMNLKARKVELKTRHDTPDISNLQKCADFVHAFMLGFDVIDAVAILRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENASKTRIVIADTKIHILGSFANIKIARDSLCYLIMGSPAAKVYSKLRAVTSRMAERF >CAK8576351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466246110:466246514:-1 gene:gene-LATHSAT_LOCUS28546 transcript:rna-LATHSAT_LOCUS28546 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIQKIGNLIQTTIAEDQSNKVKEQSSQQMSMRNLKNFFQRKYPNESEDEIMVRTLDHMKNQFFSTFPTKASKDEDSSMKPSSSMGSIDSHNFDCLAGEAQAEDPTAEDFWDVMIQSMAQKAKEKAKNKNQ >CAK8541335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:124830407:124833734:1 gene:gene-LATHSAT_LOCUS10264 transcript:rna-LATHSAT_LOCUS10264 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKPIHSPSPYIVPPLLRPPPLHQASLITKPKPISTPMPNTDVSTPGRILQPVQPFIDHDDPIAASWDYNFLFMSQRSETTQPIRLRVMEGEIPADFPSGAYYLTGPGIFKDDHGSTVHPLDGHGYLRAFTFDNASKEVKYMAKYIKTEAQVEEYDRRTNSWRFTHRGPFSVLKGGKKIGNTKVMKNVANTSVLMWGKKLLCMWEGGNPYEIESSTLDTIGKFNMTDGGDLADHGENHGGDIWEVAAKLLKPILYGIFKMPPKRLLSHYKLDSSMNRLLTVSCNAEDMLLPRSNFTFTEYDSNFKLVEKQEFKIPDHMMIHDWAFTDTHYIVFANRIKLDVLGSLEAVSGASPMISALTVNPSKSTSPIYLLPRFPNKPETKKRDWRIPLEIPSQLWLLHVGNAFEVKQTHGNLDIQILASACSYQWFNFRKLFGYKWQTKHLDPSIMNVKGENALPHLVQVSIKLDSDYSCQECDVKPIQKWKKSADFPAINPTFSGKQNKYLYSATTLGSRKSLPSFPFDTVVKFDLANNSVQTWTAGSRRFVGEPIFVPKGEDEDDGYLLVVEYATSMQRCCLVILNPKEIGTKKALVARLEIPMHLNFPLGFHGFWAAS >CAK8574266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672864966:672870857:1 gene:gene-LATHSAT_LOCUS26626 transcript:rna-LATHSAT_LOCUS26626 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSVLIYTILALIVLLFLSSYSPFNTTTNHHSSHRHRRLKLRSNFTLSPSHSHHQPVSFDPLVAELERNREDKQWEKQHIQHSHPELHHHDDSAPAHESQPEWEDFMNAEDFINDEDKFNVTSRLLILFPKIDVDPADSFIDVHELTMWNLQQAHREVLHRSQREMELHDKNHDGVVSFSEYDPPSWVKSADKDSFGYDMGWWKEEHFNASDVDGDGVLNLTEFNDFLHPADSNNTKLQQWLCKEEVRERDSDRDGKVNFKEFFHGLFDLVRNYDEEESHTDSHHSDNPMDVPAKKLFAQLDQDGDGYLSEIELLPIIGKLHPSEYYYAKQQAEYIISQADGDKDGRLTLPEMIENPYVFYSAIFNDDEDEYTDYHDEFR >CAK8568977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661136946:661140255:-1 gene:gene-LATHSAT_LOCUS21880 transcript:rna-LATHSAT_LOCUS21880 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQNLSTLCISSLTVSRQILNFLLMERFYDYSEQRDDDSNSNSNPSTSQRELEVDFNDVFGGPPRRSSGFSGEEGEPGWCRWPVESEREKPVFGDDSGVRRRYVNRKAKDFFDDIFGGEESQSQSQSPSGCSTPKKRDGAGFSPALQPLASSLPPSFSLPATSTKGIFGSSPSSRNPLDDNDIAASNGLAFSDESHLSRFSAQHKELRKDLKPSNRQSLLSKEFSNLGLSDEVDKGNNMKQDTSVNEVSPDNTNNDNTGNDKFHFSIYKWASKGVTLATPLRTERTSRTKNKFKHDKRSSAEDWIVTEITTQNDSPTIYESSLTRNEKQDASNTTSATIQKTVEPIVSAKARSDSLSSRQTLIKGVIRSPITKESKAESNAISTSEVVFYGKTEAASETQKYESKSLHSLFGKSSKKQDGDEITRKAREEKTAKSSKKLSSNLDIPINPKKQDYDEITRKEREGNTAKSSKKLSSNFDIPTNPKKQDERRKTVPIRGVEYSKATSQALLSPGRSMGKGRVKGKVKDFVQIFNQEAETKPKAGSKSRLQGYTYKQKGAVRANNDVDGEAEQSRKEISNIEITNMPANSFPQQGDISASEIHDISFSEDIGDKDESFHENFTIQVLSHDEDEVSQNQEIQEIQVIDRKIQQWSKGKEGNIRSLLSTLQYVLWPNCGWKPVPLVDIIEGNAVKRSYQRALLSLHPDKLQQKGATSDQKYIAEKVFDILQEAWTQFNMVGSL >CAK8568978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661136946:661140174:-1 gene:gene-LATHSAT_LOCUS21880 transcript:rna-LATHSAT_LOCUS21880-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYDYSEQRDDDSNSNSNPSTSQRELEVDFNDVFGGPPRRSSGFSGEEGEPGWCRWPVESEREKPVFGDDSGVRRRYVNRKAKDFFDDIFGGEESQSQSQSPSGCSTPKKRDGAGFSPALQPLASSLPPSFSLPATSTKGIFGSSPSSRNPLDDNDIAASNGLAFSDESHLSRFSAQHKELRKDLKPSNRQSLLSKEFSNLGLSDEVDKGNNMKQDTSVNEVSPDNTNNDNTGNDKFHFSIYKWASKGVTLATPLRTERTSRTKNKFKHDKRSSAEDWIVTEITTQNDSPTIYESSLTRNEKQDASNTTSATIQKTVEPIVSAKARSDSLSSRQTLIKGVIRSPITKESKAESNAISTSEVVFYGKTEAASETQKYESKSLHSLFGKSSKKQDGDEITRKAREEKTAKSSKKLSSNLDIPINPKKQDYDEITRKEREGNTAKSSKKLSSNFDIPTNPKKQDERRKTVPIRGVEYSKATSQALLSPGRSMGKGRVKGKVKDFVQIFNQEAETKPKAGSKSRLQGYTYKQKGAVRANNDVDGEAEQSRKEISNIEITNMPANSFPQQGDISASEIHDISFSEDIGDKDESFHENFTIQVLSHDEDEVSQNQEIQEIQVIDRKIQQWSKGKEGNIRSLLSTLQYVLWPNCGWKPVPLVDIIEGNAVKRSYQRALLSLHPDKLQQKGATSDQKYIAEKVFDILQEAWTQFNMVGSL >CAK8568979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661136946:661140174:-1 gene:gene-LATHSAT_LOCUS21880 transcript:rna-LATHSAT_LOCUS21880-3 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYDYSEQRDDDSNSNSNPSTSQRELEVDFNDVFGGPPRRSSGFSGEEGEPGWCRWPVESEREKPVFGDDSGVRRRYVNRKAKDFFDDIFGGEESQSQSQSPSGCSTPKKRDGAGFSPALQPLASSLPPSFSLPATSTKGIFGSSPSSRNPLDDNDIAASNGLAFSDESHLSRFSAQHKELRKDLKPSNRQSLLSKEFSNLGLSDEVDKGNNMKQDTSVNEVSPDNTNNDNTGNDKFHFSIYKWASKGVTLATPLRTERTSRTKNKFKHDKRSSAEDWIVTEITTQNDSPTIYESSLTRNEKQDASNTTSATIQKTVEPIVSAKARSDSLSSRQTLIKGVIRSPITKESKAESNAISTSEVVFYGKTEAASETQKYESKSLHSLFGKSSKKQDGDEITRKAREEKTAKSSKKLSSNLDIPINPKKQDYDEITRKEREGNTAKSSKKLSSNFDIPTNPKKQDERRKTVPIRGVEYSKATSQALLSPGRSMGKGRVKGKVKDFVQIFNQEAETKPKAGSKSRLQGYTYKQKGAVRANNDVDGEAEQSRKEISNIEITNMPANSFPQQGDISASAEIHDISFSEDIGDKDESFHENFTIQVLSHDEDEVSQNQEIQEIQVIDRKIQQWSKGKEGNIRSLLSTLQYVLWPNCGWKPVPLVDIIEGNAVKRSYQRALLSLHPDKLQQKGATSDQKYIAEKVFDILQEAWTQFNMVGSL >CAK8539838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528435403:528437244:-1 gene:gene-LATHSAT_LOCUS8898 transcript:rna-LATHSAT_LOCUS8898 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLAQRLFNITKISSQNFTNCRISSSSVRPTTAVSDLDVSPEKGEGGIFRRFIHKRTGFEPKQTGLKSELRQNQMNGRNIVDKLREMDIARNRIRLGGLKPLPEKTEVDGVSAGDVKKLLKVAQLESVKARLRGSAKSCITVSELVRICSEYCSDYDQAVKIAKMLDDSAAVIILGDTVFLQPEQVAKTIQSLLPTPGSKVPDSIRKEYEEMEKKKLAIDNKASALVRRELWGGLGFLIVQTVGFMRLTFWELTWDVMEPICFYLTSMYFMAGYTFFLRTAREPSFEGFYEARFSSKQKRLIKAYKFDIERYNKLKDICSPTIPSMFDSSIALPVGNSSQHH >CAK8577117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538097968:538100067:-1 gene:gene-LATHSAT_LOCUS29250 transcript:rna-LATHSAT_LOCUS29250 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISGVPSPHNTIPPRPPSSSPFPGREDCWCEDATFTLIDAWGERYLDLNRGNLRQKHWQEVADAVNDLHAAGNYNKKARRTDVQCKNRIDTLKKKYKIEKARVSESNGGYQSPWPFFSSLDVLIGNTFPVKKHSPPATERTTLAVVKSPPPPPAWIISHPVGPRSGTQKRPAQMNRDDTSFRRNFSAFATAAAAVAEAESEESEEWKSNSGTKIGKRGRESGRNMEFGYKHLAQAIEKFGEVYERVEASKQRQMLELEKQRMQFAKELEFQRMQLIMETQVRMQKIKRSKRCSPGVADSFS >CAK8539592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517358540:517359097:-1 gene:gene-LATHSAT_LOCUS8674 transcript:rna-LATHSAT_LOCUS8674 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQSHHFITISLFFLITSNFTQKELVTEGRKTLNHNDSHKTLGDKKVMVRARIGSRPPRCETRCRFCGHCEAIQVPTNPRTLNRNINPSTLSASSYAKRQDNSNYKPMSWKCKCGNIIFNP >CAK8566916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472306565:472308025:-1 gene:gene-LATHSAT_LOCUS20012 transcript:rna-LATHSAT_LOCUS20012 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANVASTLVYMAERCTSMRYLKLIHAHAFRTCLHQHTVVLGKLFRFAAVSPFGDLSYAHNMFDQMPHPSTFFYNTLIRAHSHSTTPSLSSLFFNTMRQNDVAPDEFSFTFLLKSRSFTSPFVHDTHCAVFKFGFRRHLHVQNALIHMYAVGGLTLLARRVFEDALKLGLDVDIVSWSGLLVAHLRAGELDVARKVFDQMPDRDVVSWTIMLSGYSQAKRPRETLALFQEMRLVGVWPDEVTMVSVISACAELGDVEMGRMVHRFVEENGFGWMVALCNALIDMYGKCGCLEEAWHMFDRMKRKSLITWNAMMMVCANHGYADDAFRLFGRMIGSGVVPDGVTILSLLVAYAHKGLIDDGIRLFGSMQREYDVQPRIEHYGAVVDMLGRSGRLQEAYDLLTSMPIPSNDVIWGALLGACRIHGDVGMGERVIKKLLELKPDEGGYYILLRDLYVAAGRNVEANEMRQAMLASGARKNPGCSWVEA >CAK8532004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188969013:188970136:-1 gene:gene-LATHSAT_LOCUS1749 transcript:rna-LATHSAT_LOCUS1749 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLFLNHPSETEFNSSQVDPNHSMAHAPYPYADPIFAGPLVAYAPQATNQPQMLPQMMGSASNRVALPPDLAQDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHALKRVRGSGGRFLSTKQLSQSGTEFVAGSNSGVGSINKYQKEDNSELGSHHSSKPGNNESSITPYSDRTCFSGNSFNFRQPEHMFLGNSPNMGGGAPQCSGGLVFGGTKQRASVR >CAK8566581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438559061:438560608:-1 gene:gene-LATHSAT_LOCUS19702 transcript:rna-LATHSAT_LOCUS19702 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMCCGVVGEGDSPANSRPSRRRNLDMLPFKYIADMAVTPSGTSRKRRQADLCGKKEFESCEDSNGDCGNEKTKKNKNETKVSENKPSTEGNLEDVEFPKFGVTSVCGRRRDMEDSVSVQTSFSEELFHYFGVFDGHGCSHVATMCKERLHEIVKEQINESPENFEWNSTMQLGFARMDDEVQRWNSSSQTVTCRCELQTPHCDAVGSTAVVAVVTPDKIIVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELLRVEAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISEPEVTVTERRKEDECLILASDGLWDVVSNDTACGVVRMCLKSHKMRSAPGSPSNNDVTTDGSDRACSDASILLTKLALARHSSDNVSVVVIDLRRGQQPSSKSNYNLIN >CAK8530932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64507804:64508136:-1 gene:gene-LATHSAT_LOCUS759 transcript:rna-LATHSAT_LOCUS759 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDEEYHGLIEVMDPLVRNTANQTGFGRYLELAIQCAEESASDRPTMSEVVKALETILQNDGLNTNSTSASSSATDFGVTKGAAKRHPHIDNSFTEKDSVDESNALML >CAK8543817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641271338:641272024:-1 gene:gene-LATHSAT_LOCUS12549 transcript:rna-LATHSAT_LOCUS12549 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQNMANVEVLPNIRRKIEKTSTYTNFWLVRISDEHIFEVRHLENQADKFSVNLKEHIFSCRRWELKGLPCVHALVAMKSRNHKIDDYIPDYYRKSRYMEVYKHVIFHVNGSNMWVRIEYPDVQPPKYRKMPGRPKKRKKLEHGEIDSSDRKMRRIGFIVKYSRCKKPGHNKLACKVTSFSQHGATQASQQDAQGVTRASQ >CAK8543818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641271338:641272009:-1 gene:gene-LATHSAT_LOCUS12549 transcript:rna-LATHSAT_LOCUS12549-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEVLPNIRRKIEKTSTYTNFWLVRISDEHIFEVRHLENQADKFSVNLKEHIFSCRRWELKGLPCVHALVAMKSRNHKIDDYIPDYYRKSRYMEVYKHVIFHVNGSNMWVRIEYPDVQPPKYRKMPGRPKKRKKLEHGEIDSSDRKMRRIGFIVKYSRCKKPGHNKLACKVTSFSQHGATQASQQDAQGVTRASQ >CAK8535168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820328426:820330810:-1 gene:gene-LATHSAT_LOCUS4644 transcript:rna-LATHSAT_LOCUS4644 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQLFLLSNVAAGILILIMSKLCWCDDQYIGTISLGMEASQTNYLDKDFKFLLSTNRIFAFGFVATVYDSTKFLLVIVHMASSTVLWTANRALPVSNSDDFVFDKSGNAFLQKDGTVIWSTNTINKGVSSMVLQDTGNLVLLGSKNNRTVIWQSFDFPTDTLIPSQNFKEGMKLTSEPSHNNLTYVLEIKSGNVILSAGFKTPQTYWTMQKDNHRTIDKNGDVVAFANLSANSWRFYDDNSSLLWQFIFSFHAGINASWIAVLGRDGVITFSNLNGGGSNGDSSTTIPQDPCQTPEPCEPYNICTNSGKCSCPSVLLPSCKPGFVSPCGDDKSEKSIEFLKVDDGLSYFALDFLPPYSNTDLAGCQTSCRGNCSCLAMFFHTSSGNCFLLDSVGSFQKFNDSDSGYVSYIKVVSRDKSGSRTKHIIIAVVVAVILTLVVIVLFVVLRYYGKKKNLPLDNSDEDNFLENLTGMPIRFRYKDLEVATDNFSVKLGQGGFGSVYKGVLPDGTQLAVKKLEGIGQGKKEFRAEVSIIGNIHHLNLVRLKGFCADGTHRLLVYEYMANNSLDKWIFKKNKSEFLLDWDTRFNIALGTAKGLAYLHQECDSKIVHCDIKPENVLLDDHFTAKVSDFGLATLINREQSHVFTTLRGTRGYLAPEWIKNYAISEKSDVYSYGMVLLEIIGGRKNYDPNETSEKSYFPRYAFKMMEQGKVKDIIDSEMKMDDDDECDDRVHCAISVALWCIQDDMSMRPSMTKVVQMLEGVCEVPKPPKSSNEGDTSSEAYLSGVSLSGPR >CAK8541519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:215716955:215718123:1 gene:gene-LATHSAT_LOCUS10435 transcript:rna-LATHSAT_LOCUS10435 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKEQGVTEERLVLLKGVSGTFKPGVLTTLMGVSGAGKTTPMDVLAGKKTGGHIDEDIKVSGYPKNQETFARISGYFEQNDIHSPHVTVYESLLYSAWLRLPSGVDSKTRKMFIDEVMDLVELNLLRNSLVGFPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRIVRNTVDTGRIVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSVHLVVILFIWSCISRVLKG >CAK8578133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609165824:609167422:-1 gene:gene-LATHSAT_LOCUS30172 transcript:rna-LATHSAT_LOCUS30172 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISPLPIFVLLLSTLSLKVSSLLLQESFVQCLNMNSDRTYPFHTTVYTPNQPSFKTILDSLAMNLRCLAPSVPKPEFIFTPSQDSHVQASVICSKKLGIHLRVRSGGHDYEGLSYVSEIETPFIVMDLFKLRGINVDTKTNTAWVQSGATIGEVYYKIYEKSSILGYPAGLCTSLGVGGHITGGAYGTLMRKYGLGVDNVLDALIVDASGKILNRKAMGEELFWAIRGGGGGSFGVLLWWKIKLVRVPPTVTVFTVNKSLEQGATKILHRWQEVAPFVDENLFVRVIIQPAASATNKTQRTITTSYNAVFLGEAKTLLQVMKTSFPELGLTRKDCQETSWIKSVLYVAGFSNDTPPEILLKGKSTFKNFFKGKSDFVREPIPETGIQGLWQRLLIEDTPLIVWTPYGGKMSEFSESDSPFPHRNGTLYKILYLSIWQEGEKNVAKHVDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGINSKNSTSYIEASGWGYRYFKGNFDKLVKIKTRVDPENVFRHEQSIPPFPI >CAK8569552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4926509:4929702:1 gene:gene-LATHSAT_LOCUS22393 transcript:rna-LATHSAT_LOCUS22393 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDIISGDICSDLWKMLTTISRKTLNSRASAEQLLTFVRDILPTIEEIKYSGDELPPPRQSQLDRLSEILRSGVELSHQVLATSRWNVYRNFQLAKKMENLEESVTKFLQVQLQAYMLADVHRLRSDMYERFHRIDESHRRIERFFESMKIGVGGGGWVEEAVRTSEEDEGSFGNFNLSIGLEFGKKKVMEMVVGRKDLCVVGICGMGGSGKTTLAREVCRDEEVRCHFKERILFLTVSQSPNVEQLRAKIWGYVMGNGNLNSNYVVPQWNPQFECGWNPQFECVGSQAQILIVLDDVWSKPVLEQLVFRIPRVKYIVVSRFRFVDTIYQVEKLSEDDALSLFCHHAFGQKSIPFAANHNLVKQVVAECGKLPLALKVIGASLRDQNELFWLSVKTRLSQGLSIGESYELDLMDRMAISTNYLPEKIKECFLDLCSFPEDKKIPLEVLINMWVEIHDIHETEAYAIVVELSNKNLLTLVKEARAGGMYSSCFEISVTQHDILRDLALNMSNRGSVNQRRRLVMSKREDNGQLPKEWLRYADQSFDAQIVSIHTGEMRKNDWCNLEFPKAEVLIINFTSSEYFLPPFIKRMPNLKALIVINHSTSYARLHNVSVFKNLTYLRSLWLEKVSIPKLSGIVMESLSKLFIVLCKINNSLNAKESNLADIFPNISELTLDHCDDLTEIPPSICKIHSLQNLSVTNCHSLTELPIKLGSLRSLEILRLYACPVLETLPPSICEMKRLKYIDISQCVNLTGFPEAIGKLVSLEKIDMRECPMISNIPKSALSLHSLQHVVCDEEVSWIWKEVKKVNPNVHIEVAEMQYDLNWLQTD >CAK8537240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174672233:174673435:1 gene:gene-LATHSAT_LOCUS6543 transcript:rna-LATHSAT_LOCUS6543 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHTFSYTCDTVDESSISLSLGPPGTHHKPKLFSPTNQSPSSTLHHNHHQNQNLTIDDDQSGVTVALHIGLPTAISQNNTTITKPDHHHHHHLPSPPTQGQYWIPSPAQILIGPTQFSCTVCNKMFNRFNNMQMHMWGHGSQYRKGPESLRGVKPASSMLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVESCEDQEVLLGDEYDDEFEGDENDEDDDDIDNMDGMIFCFN >CAK8567328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505445303:505448129:1 gene:gene-LATHSAT_LOCUS20392 transcript:rna-LATHSAT_LOCUS20392 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPSLPPLEVLMDLENENNSRENRKLWVLNPPEPPCMLHRVVDNIKDSVLHCPTPNRFFSLKHQPLDKTVLPLLHGLFPILNSFKNYDVHKFKCDVLAGLILAIFAIPQAMGNASLAKMSPEYGLYTSVVPPIIYALLASSREIVIGPVTVDSLLLSSMIQTLKDPVNDSVAYTQLVLTATFFTGAFQVAFGFLRFGFLVDYLSHATIIGFLAAVAIGIVLQQLKALFGITNFTNKADLISVIKSLWTCYKNHSEWHPYNFIIGFSFLSFIILTRFLGKRKKKFLWLSHMAPLVSFIISTVIAYRVNVHQLKLEDYKIEVLGPIKGGSLNPSSLDELQLDANGKYLVPLIKIAFTVAIISTTESIAVGRIYASLRGYNIDPNREVLSLGIMNIFGSFTSCYVASGSIARTAVNYNAGSQTTVSSIVMALTVLTSLKFLTKLLYFTPKAMLAAIILSAVPGLIDYKKAYEIWKVDKLDFLACAGAFLGVLFSSVEIGLAVGVMISFAKIILISIQPGVAIIGRFPGTDAFGDVEQYPMAINMPGVLVVCIKSGWLCFANASPIRERIERLVIENKKGENGKGESSIKFIIIDASSLVSIDTAGIASLAELNNNLTSHGVTLSIANPRWQVIHKLRLANFVAEIGGSVFLSVGEAIDAILTAKMATV >CAK8568147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576918186:576918866:-1 gene:gene-LATHSAT_LOCUS21138 transcript:rna-LATHSAT_LOCUS21138 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQRQFAYIFTSSPSVLQAVNDMSILLAVTILLNSVQPVLSGVAVGSGWQGFVAYINIGCYYLIGLPLGIIMGWIFNIGVEGIWGGMIFGGTTIQTLILIVITVRCDWEKEAEKAGSRVNKWSVIEPNGQLQITD >CAK8530765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47803091:47804746:-1 gene:gene-LATHSAT_LOCUS607 transcript:rna-LATHSAT_LOCUS607 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTFSSQLRWTPYNIALCLQKCLKAKALKPGKQLHAMLLTTGMNMNIMSLSSKLIGMYSSSADLKSAKKLFQKTEHPNVFAFNWMVLGMVYNGYFDDALFYFHRMREIGLIGNKFTFGIVLKTCVGLMDVEKGKQVHGMVCEMGFGNDVCIGNGLIDMYCKCGSIGYACKVFDGMSERDVASWTSMICGFCNLGETEKALVLFERMKVEGYEPNDFTWNAIMATYARLRDSRKAIGFMERMKNEGFVPDVVAWNALISGFVQNRQVEEGFKMFREMLVSRTCPNQVTFATLLPACGSVGSIKWGREVHGFICRKGFDANVFIASALIDMYAKCGGLKDARNVFDKIHCKNVASWNAMIDCYGKCGMVDSSMDLFTKMLEQGLQPNEVTFTCILSACSHSGSVERGLEIFTLMKECYGVEISTEHYACIVDLFCRSGKIVEAYEFLKAMPIQITESIIGAFLNGCKIHGRRDLAKQMAEEIMRMQLNGPGGFVTLSNIYAAEGDWEEVGNVRKVMKERNVNKCPGFSWLEKPCEILEGKEEKEVAVRLNL >CAK8565488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:238492547:238493704:-1 gene:gene-LATHSAT_LOCUS18702 transcript:rna-LATHSAT_LOCUS18702 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIRGCSQIQYRPSWPRKHLMVHRIRVTKVIREGIIFSCCWHRRVIIFTLAMMIRNYYLQGKQLTSNFQV >CAK8575324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:78887044:78894582:-1 gene:gene-LATHSAT_LOCUS27596 transcript:rna-LATHSAT_LOCUS27596 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNEVSLESELTDLVDDAVDYRGQPAVRSKSGYWRSAWFIIGVEVSERMSFYGIQGNLISYLTGPLKQTTASAAKNVNIWAGTASLLPLLGAFVADSFLGRYRTIIIASLIYILGLGLLALSAKLTSLTKSKCQVDTKFILCSQHSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEKHPKEHKARSSFFNWWFFTMVAGCAATLAILNYIQDNYSWVLGFGIPCVVMIVGLLVFLLGTMTYRFNIKDNGKSPFLRICRVFLAAIRNWRDTLPNTYIEEECEGMLHHQSSDQFNFLNKALLTPKGSKQENNCSISEVEEAKAILRLVPIWATSLVYGIVFAQVFTFFTKQGKSMERTIFPGFDIPPASLQTINSLAVVLFSPIYDRIFVPIARAITENHQASQCFKESELEFLYPYS >CAK8579694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717156505:717160830:1 gene:gene-LATHSAT_LOCUS31620 transcript:rna-LATHSAT_LOCUS31620 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRTLKGKPKAVGSRIVVLGATVCAIALLFLLSSVVFTTDSPKSQYIKYSSYDNKYLYWGTRIDCPGKHCGSCEGLGHQESSLRCALEEAAYLRRTFVMPSRMCINPIHNKKGILHHSTNATSEDHWAASSCAMDSLYDAELMSETVPVIFDNSKEWYKVLSTSMKLGDRGVAHVAAVSRVELKENNQYSNLMLINRTASPLSWFMECKDRNNRSAIMLPYSFLPSMASKKLREAADKIKALLGDYDAIHVRRGDKIKTRKDRFGVSRTLHPHLDRDTRPEFIRCRIAKWVTPGRTLFIASNERTPGFFSPLSVRYRLAYSSNYSHIIDPVIENNYQLFMIERLILTGAKRFIKTFKEDETDLSLSDDPKKNTKKWQIPVYDGDDTC >CAK8536768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:17188369:17196475:1 gene:gene-LATHSAT_LOCUS6101 transcript:rna-LATHSAT_LOCUS6101 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGNNLLQVVLKNFDVLALPLVTLVYPLYASIKAIETKSSVDDQQWLTYWVLYSLITLFELTFAKALELLPIWPYAKLILSCWLVLPHFNGAAHVYRHYLRPFYMNPQLPQMPQIPGTSQMWYVPRKNIFSKQDDVLTAAERYMQEHGTEAFERLITKTDREARARRSGNYMIFDDDYRY >CAK8564699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7178435:7182674:1 gene:gene-LATHSAT_LOCUS17975 transcript:rna-LATHSAT_LOCUS17975 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTLHKETVQSWLLLSRTHILFHFICVLFLFYYRIKNFIVTYPWILMTLAETILSIMWFFNQAYRWRPVSRSVMTEKLPADEKLPGLDIFVCTIDPEKEPTVEVMNTVVSAIAMDYPSDKLSVYLSDDGGSPVTLYGIKEASEFAKVWVPFCKKYGVKSRCPKVFFSPLAEDEHVLRSHDFQIERDQIKVKYEKMQKNIEKFSSDPKNLCMVNDRPSRIEIINDESEIPRVVYVSRERRPSLPHKFKGGALNTLLRVSGLISNGPYVLAVDCDMYCNDPSSAKQAMCFFLDPETSKYIAFVQFPQMFHNLSKKDIYDNQSRTAFKTMWQGMDGLRGPGLSGSGNYLNRSALLFGSPNQKDEYLHDAQNYFGGSTAYIESLKAIHGQQVMKKNISKEEILQEAEIVSSCSYESNTKWGTEVGFSYGILLESTITGYLLHCRGWKSAYLYPKTPCFLGCAPTDIKEGMLQLVKWLSELCLLAVSKYSPFTYGFSRMSTIHNFTYCFMSISSIYAIGFILYGIVPQICFFKGIPLFPKVTDPWFIVFAILYIATQIQHLIEVISGDGSVSMWWDEQRIWILKSVTSLFAMIEAVKKWLGLNKKKFNLSNKAIDTDKEKIKKYEQGRFDFQGAALYMSPMIVLLIVNTICFFGGLWRLFKIRDFEDMFGQLFLVSYVMALSYPIFEGILTMKSKSG >CAK8566740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:457328662:457329204:1 gene:gene-LATHSAT_LOCUS19851 transcript:rna-LATHSAT_LOCUS19851 gene_biotype:protein_coding transcript_biotype:protein_coding MDINIKINGEIKKVNGKELSYNEFVERYMEKNKPVVLTFISYFTLANLVLLCYINRRNGSTTGNSSRVAQHVSMNIGSIRKIASEMKHSGGLEGNHDCIVDMIKTFEDPRFSKFCMQVGKTYLMINGQSNLFSDFVGASMVDLVDLDILKAHTSSQIYAPEELIKFIDNAIAKLGDFYIE >CAK8566908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471840174:471840495:1 gene:gene-LATHSAT_LOCUS20004 transcript:rna-LATHSAT_LOCUS20004 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLSIWEYTVILFMRPFLAIAFVLSLIALGWYLAWKLVLVHVPLVQEIFGLKKKPLRSKPQTGRLSKIYSTINAPTSPPT >CAK8532818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:521084255:521086555:-1 gene:gene-LATHSAT_LOCUS2483 transcript:rna-LATHSAT_LOCUS2483 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSNFMFPPPPSIFVTTMSIISLIALANYGISEIRGKHLNYSKFWNANNNSGKQIKFSSKVGMLLLYTPAFLAAAASFWVFPDEGLRSTVLHSALTLHYFKRVFESLFVHKYSASMLLDSAIPITLSYFMSTVTIIYTQHLTKELQEPSINLTYPGILLFLIGIIGNFYHHFLLSKLRGKGEKEYKIPKGGLFGIVICPHYLFEIIDFYGVFFISQTLCSLCFAIGTTFYLLGRSYATRNWYLSKFEDFPKSVKAVIPFVF >CAK8571705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463771343:463776777:-1 gene:gene-LATHSAT_LOCUS24342 transcript:rna-LATHSAT_LOCUS24342 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLSILIAFLPLLLYSCSAKLVSMSPSFASSKQAEEWMVKPFSGSSSDPASSPYFQAPKGYPNLHSQRHHRKSFSAASSPSQSQACGLNCEDPLTETPFGSPCGCVLPMGIILLLDAAPLVIYPVINELEIELASGTYLKQSQVRIMGVIADTQKERKTLVEVNLVPLGEKFDNITVVLISRRFWHKKVRLNRSLFGDYTVLDTTYPGIPSSPPNGTSTGSAPLPSGSVAGLPFGVNFNRSSKKDEMSLRIIIIIAVSSILLLLGMVGGAFYIIFKWRKVRRPSSAAGLPLTSSLIKRSAMESTLSNRMSSSRSMSDMSTIATSALSVKRFSLSELTKATDTFSSQRVLGEGGFGRVYHGRLDDGTDVAVKVLTKDFHQNGNREFNVEVAILCRLHHRNLVKLIGICTEGHKRCLVYELVRNGSVESHLHGVDQKNNPLDWEARKKIALGAARGLAYLHEDSNPHVIHRDFKTGNVLLEDDFTPKVSDFGLAREATEGSQSVPTRVMGTFGYVAPEYAMTGNLLVKSDVYSYGVVLLELLTGRKPVDMSQPVGEENLVVWARPQLKSREGLEQIVDPTLAGTYDFDEMAKVATIASMCVSREVAQRPFMGEVVQALKLIYNDNDEMDVDHFSPRESSGRWSDFRVDLSDSSWWYDEPEDISRRLTFRQALSSPFITMDYSSGPLDETENRMFSGSNLTGNDLSLLPTRLGNSSGPLRTARRNKLPFQRLTGSQSDHAAFPSKPVWNGGYRP >CAK8530274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11133647:11137897:1 gene:gene-LATHSAT_LOCUS152 transcript:rna-LATHSAT_LOCUS152 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVISSSSSKASFLFWAIFVLHFLLKASSNPEGDALNALKSNLNDPNNVLQSWDSTLVNPCTWFHVTCNVDNSVTRVDLGNAELSGTLVSNLGDLPNLQYLELYNNNITGQIPEELGNLVNLESLDLYLNEMSGVIPNTLGKLKKLRFLRLNNNTLTGSIPFSLTNVSTLQVLDLSNNNLEGDVPVNGSFSLFTPVSYKNNPRLRQQTVVPLPTPPSPPASSDSSNTGGIAGGVAAGAALLFAVPAIALSYWRKRKPHDNFFDVPAEEDPEVHLGQLKRFSLRELLVATDNFSNKQILGRGGFGKVYKGRLVDGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTSTERLLVYPFMANGSVASCLRERNDSVPPLEWPRRKNIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLETLVDAELTGNYDDDEVEKLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWEQWQKEETYRLELNNSHMHYPNANWMIVDSTLNMQPDELSGPR >CAK8544132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666087419:666088855:1 gene:gene-LATHSAT_LOCUS12835 transcript:rna-LATHSAT_LOCUS12835 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPYILFFFFLSLLSLLTFSSSIPNTNTNTINLPLSPLFTKSQSSDLINSLKTAASSSLTRAHHLKTQNPNHKKPSSSSPTNTKIYPKSYGGYSINLNFGTPPQTLSFILDTGSSLVWFPCSSHYLCSNCNFPNINPTKIPSFIPRNSSTSKILGCTNSKCGYIFGPDIKSRCRGCNPQSQNCGNITCPAYIIQYGLGSTAGFLLLDNLDFPGKKTVDNFLVGCSILSTRQPSGIAGFGRGEDSLPSQMGLKRFSYCLLSHQFDDSPENSNLVLQVSSTGDTKTSGLSYTPFRKNPSTNNTAFLEYYYVNLRTVVIGGKRVKIPLTVSEPGTDGNGGTIVDSGSTFTYMEKEIFDLVSKEFEKQLSNYSRAKDVEAASGLGLCFNFTDVKTVLFPELVFQFKGGAKMKLPVENYFSLAGEGEAACLTVMSDGVSAPERNGGPAIILGNYQQQNFNVEFDLENDRFGFGPQSCQKSA >CAK8530972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67329931:67333197:1 gene:gene-LATHSAT_LOCUS795 transcript:rna-LATHSAT_LOCUS795 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDVENGEIRVVKYSMQEPFIQKDGDACEEVESDHKSVENGSIGMVLLSTVVSVCGSFSFGTCVGYSAPTQAAIREDLNLSLAQFSMFGSLVTIGAMLGAITSGRITDFIGRKGAMRISTGFCITGWLTVFFSKGSSTLDLGRLFTGYGIGVISYVVPVYIAEIAPKNLRGMLATTNQLMIVIGSSVSFLLGSLINWRQLALAGLVPCICLLVGLCFIPESPRWLAKVGREKEFQLALRKLRGKDIDISHEAIEIMDNIETLQSLPKAKMLDLFQSRYVRSVVIGVGLMAFQQSVGINGIGFYTAETFVAAGLSSAKSGTIAYAFIQVPFTMLGAILMDKSGRKPLITVSASGTFLGCFITGIAFFFKDQSVLLEWVPTLAVAGVLIYIAAFSIGLGSVPWVMMSEVFPINVKGIAGSLVVLVAWLGAWIVSYTFNFLMSWSSPGTMFLYAGCSLLTILFVAKVVPETKGKTLEEIQACISS >CAK8568275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589270043:589270531:1 gene:gene-LATHSAT_LOCUS21249 transcript:rna-LATHSAT_LOCUS21249 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLTEAMNSVLKATRNLPITTLVKSTFYLLGSLFGKQGPDWTKMLSSGQTFTENCNKGMTDEASKPSSHNVIQFDRERFCFMVAKSINQHDGRPLGTFSVDLKRGWCDCGRFQAFHLPCSHVIAACVSIRQKHNMHIPDVFKVLSVFKVYSESFLRLPHH >CAK8572121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512541874:512543208:1 gene:gene-LATHSAT_LOCUS24720 transcript:rna-LATHSAT_LOCUS24720 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPKLFIFFSFLSLTISISIAQTSFKPKALVLPIAKDTSSSTPQYTTQIKQRTPLVPIKLTLDLGGGYFWVNCEKTNHVSSTSKPILCNSSQCSLFGSHGCSSKKICGNSPSNTVTGVSTYGDIHSDIVSIRSTNGNYSTRAVSVPNFLFICGSKVVQNGLAKGVTGMAGLGRTRVSFPSQLSSAFSFKNKFAICLGSSPSSFPNSNGVLFFGDGPYKLNNDVSKVLTFTPLITNPVSTAPSSFLGEKSSEYFIGVKSIRVSDKTVKLNTTLLSINQKGFGGTKISTVNPYTVMETSIYKAVVEAFVKELGVPTVTPVAPFGTCFATKDISFSRMGPGVPGIDLVLQNENVKWSIIGANSMVSIGNSDVICLGFVDAGSYGAKADQVGFVVGGSRPMSSITIGAHQLENNLLQFDLDNSRLGFSSLFLEHDNCENFNFTSSG >CAK8562289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:467374831:467375339:-1 gene:gene-LATHSAT_LOCUS15797 transcript:rna-LATHSAT_LOCUS15797 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSSSSSSKNRSDSHVFSISNLPWKPFCRCGDIVVLRRARIVNNYGKLFWGCQRFKRYSNDGCGFFEWFYEEVRDGKEQIMMKQQSKIEELTQEMEEAKNEVEEFRLKSLKLEDDLKNTIKWKFFGSFCSMLCYLF >CAK8564585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1509535:1512782:-1 gene:gene-LATHSAT_LOCUS17877 transcript:rna-LATHSAT_LOCUS17877 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHKVLMLIFFCSTIPTFSYQNTLAPNQFLQHHETLVSAAGIFEAGFFNFGDPQRQYFGIWYKSILPRTIVWVANRDTPVQNSTGLLKLNDQGNLVILDEPKGVVWSSNSSRIVVKPAAVKLLDSGNLVVKDANSSSENQDLLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRNPEDPAEGECSYRIDTHGFPQLVTAKGAKFLYRAGSWNGFLFTGISWQRMHRVLNFSAVFTDKEFSYQYETMNSSIITRMVLDPYGNSQRLLWSDKSQIWEAIASRPADQCDNYALCGVNSNCNINEFPICECLKGFMPKFQPKWASSVWSDGCIRKTHLNCFHGDGFFKYSNMKLPDTSSSWFDKSMSLEECVAVCLKNCSCTAYANLDIRYGGSGCLLWFDNIVDMRIHPDQGQDIFIRLASSEFDHKKNRRNLKRAVILAGVIAFIIGLTVIVLVTSSYKKKLGCIKMFFHKKEKEDGDLATVFDFSTIANATNHFSNINKLGEGGFGPVYKGIMVDGQEIAVKRLSKTSGQGAEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDTTRSKLLDWTKRLQIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMFPKISDFGLARSFIGDQAEANTNRVMGTYGYMPPEYAVHGYFSIKSDVFSFGVVVLEIISGKKNSGFGDPRHRLNLLGHAWRLWIEERPHELIDDILYDPAICPEIIRFIHVGLLCVQQQPENRPNMSSVVFMLKSEHLLPKPNEPGFYVGRDNTNNIGSSSKGCSINEASMSLLEGR >CAK8564584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1509535:1512782:-1 gene:gene-LATHSAT_LOCUS17877 transcript:rna-LATHSAT_LOCUS17877-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHKVLMLIFFCSTIPTFSYQNTLAPNQFLQHHETLVSAAGIFEAGFFNFGDPQRQYFGIWYKSILPRTIVWVANRDTPVQNSTGLLKLNDQGNLVILDEPKGVVWSSNSSRIVVKPAAVKLLDSGNLVVKDANSSSENQDLLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRNPEDPAEGECSYRIDTHGFPQLVTAKGAKFLYRAGSWNGFLFTGISWQRMHRVLNFSAVFTDKEFSYQYETMNSSIITRMVLDPYGNSQRLLWSDKSQIWEAIASRPADQCDNYALCGVNSNCNINEFPICECLKGFMPKFQPKWASSVWSDGCIRKTHLNCFHGDGFFKYSNMKLPDTSSSWFDKSMSLEECVAVCLKNCSCTAYANLDIRYGGSGCLLWFDNIVDMRIHPDQGQDIFIRLASSEFDHKKNRRNLKRAVILAGVIAFIIGLTVIVLVTSSYKKKLGKPGCIKMFFHKKEKEDGDLATVFDFSTIANATNHFSNINKLGEGGFGPVYKGIMVDGQEIAVKRLSKTSGQGAEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDTTRSKLLDWTKRLQIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMFPKISDFGLARSFIGDQAEANTNRVMGTYGYMPPEYAVHGYFSIKSDVFSFGVVVLEIISGKKNSGFGDPRHRLNLLGHAWRLWIEERPHELIDDILYDPAICPEIIRFIHVGLLCVQQQPENRPNMSSVVFMLKSEHLLPKPNEPGFYVGRDNTNNIGSSSKGCSINEASMSLLEGR >CAK8540251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547061490:547063285:1 gene:gene-LATHSAT_LOCUS9275 transcript:rna-LATHSAT_LOCUS9275 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTSYNRQEGSNTMGEFSGTGSEYVAIGESASSASLKATNHRRKVSVLPLVFLIFYEVSGGPFGVEDTVKAAGPLLALLGFLVFPFIWSVPEALITAEMGTMFPENSGYVVWVSTALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPVIGSGLPRVLATWGLTVVLTYLNYRGLTIVGFAAVCLGIFSLLPFVVMGFMSIPEIKPARWFETNLDDVNWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKGLFFALILVVVAYFFPLLIGTGALPVQRELWMDGYFAEIAMVIGGVWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLAGILFSASGVILLSWMSFQEIVAAENFLYCIGMILEFTAFILLKMKHPNAPRPYKVPGGTAGAIIMCIPPTVLICVVLAFSTFKVLVVSLIAMSIGLVMQPCLKFMEKKRWMKFSHSSELPDIVIGECNHFLLG >CAK8540252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547061855:547063285:1 gene:gene-LATHSAT_LOCUS9275 transcript:rna-LATHSAT_LOCUS9275-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSGTGSEYVAIGESASSASLKATNHRRKVSVLPLVFLIFYEVSGGPFGVEDTVKAAGPLLALLGFLVFPFIWSVPEALITAEMGTMFPENSGYVVWVSTALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPVIGSGLPRVLATWGLTVVLTYLNYRGLTIVGFAAVCLGIFSLLPFVVMGFMSIPEIKPARWFETNLDDVNWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKGLFFALILVVVAYFFPLLIGTGALPVQRELWMDGYFAEIAMVIGGVWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLAGILFSASGVILLSWMSFQEIVAAENFLYCIGMILEFTAFILLKMKHPNAPRPYKVPGGTAGAIIMCIPPTVLICVVLAFSTFKVLVVSLIAMSIGLVMQPCLKFMEKKRWMKFSHSSELPDIVIGECNHFLLG >CAK8576323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459231170:459232816:-1 gene:gene-LATHSAT_LOCUS28521 transcript:rna-LATHSAT_LOCUS28521 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITLLVDLWRKKQSFNMAHSYPSSWLFSASATAATMSVGASFASNDFFGTPVAFSDSGVLAVTDDYLSGVRTSPGKYFYHDTLKYSPKGYNFELKPLWSAFELRSFALISLRSFLMFYLPPLEPHANMEQDYDNFLEDPDDEFHIFVAVPFKKSLLQIVREVTVVTTKRILERLTFHYASKKMAWRLLKDVPASAIRKAERGMPTYLYIFSVSKATLRGQTIGVAASWIVQVGVRIFQFFTSKSDGSTDKDERNRILKEKILIATLKCNASLVFAAIGGGIGAAICRPSVGQWIGCAVGDLTGPVIVAAIANSTLDWDL >CAK8576324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459231170:459232816:-1 gene:gene-LATHSAT_LOCUS28521 transcript:rna-LATHSAT_LOCUS28521-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITLLVDLWRKKQSFNMAHSYPSSWLFSASATAATMSVGASFASNDFFGFRTPVAFSDSGVLAVTDDYLSGVRTSPGKYFYHDTLKYSPKGYNFELKPLWSAFELRSFALISLRSFLMFYLPPLEPHANMEQDYDNFLEDPDDEFHIFVAVPFKKSLLQIVREVTVVTTKRILERLTFHYASKKMAWRLLKDVPASAIRKAERGMPTYLYIFSVSKATLRGQTIGVAASWIVQVGVRIFQFFTSKSDGSTDKDERNRILKEKILIATLKCNASLVFAAIGGGIGAAICRPSVGQWIGCAVGDLTGPVIVAAIANSTLDWDL >CAK8562315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:471165870:471172705:-1 gene:gene-LATHSAT_LOCUS15822 transcript:rna-LATHSAT_LOCUS15822 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAELTTDQVLSRDIPWETYMSTKLISGTSLQLLRRYDHRSESQRAQLLDDDGPAYVRVFVHVLRDIFKEDTVEYVLALIDEMLTANPKRARLFHDNALADDDTYEPFLRLLRKGNWFVQEKSCKILALIVSVRPKNQSGNASKGEASNEKKSITSIDDVLIGLVKWLCEQLKKPSHPTRGVPTAINCLSTLLKEPVVRSSFVQADGVKLLVPLICPASTQQSIQLLYETCLCMWLLSYYEPAIEYLATSRTLPRLIEVVKSSTKEKVVRVVVLTLKNLLSKGTLGAQMVDLQLPQVVQSLKAQAWSDEDLLEALNSLEEGLKDNIKKLSSFDKYKQEVLLGNLDWSPMHKDPIFWRENITNFEDHDFQILRVLLTILDSSNDPRTLAVACFDISQFIQSHPAGRIIVTDLKAKERVMKLMNHESAEVTKNALLCIQRLFLGAKYASFLQV >CAK8560886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52058533:52059066:1 gene:gene-LATHSAT_LOCUS14526 transcript:rna-LATHSAT_LOCUS14526 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLEITILSAENLQENKKAIKGNTFVTVQCDGSSDEASTTKLDSEGGSYPTWNEKLVMNLPMHARFVTIDVRYKTRGSSSSVGMARIPVSDFIGGYVHENQLQFLSYRLWDNRVRRNGIVNISVKVKVSQPNSCSSSMSSAVNGVPVTGVPVAGNGSNRVVTGIPAVWLNYQSSL >CAK8541501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:207127989:207129278:1 gene:gene-LATHSAT_LOCUS10418 transcript:rna-LATHSAT_LOCUS10418 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYHVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNSGIWSYEGVAAAHIVFSGLCFLAAIWHWVYWDLEIFCDERTVKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQFVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFYLSVRPPQRLYKGLRMGNIETVLSSSIVVVFFAAFVVAGTMWYGSTTTPIELFGPTRYQWDQGYFQQEIYRRVGSGLVENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIIEFYGGELNGVNYSDPATVNSIEHILLWILE >CAK8566144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385267465:385268821:1 gene:gene-LATHSAT_LOCUS19305 transcript:rna-LATHSAT_LOCUS19305 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHVEFMDVADSDFLGHNRELDDDDSEFETVFEKYFVFSAAKNRIFAPKLPLHVVLGSGIVADIILWRNKRITASILAGVTVIWFVFKRMEYTLLSFICDSLIILLAILFLWTHLTSFIDISPPKLSALTLPEGSLVNTAISMTRKLNRQLVTFGLLASGRDFKKFLWVTWTLGVVSILGNLFAASTIFYIATVTLMTLPAIYERNQEIIDIISEKALIELNNRYAELTKKIFGKSQHLQDFHLE >CAK8566669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447264679:447265092:1 gene:gene-LATHSAT_LOCUS19784 transcript:rna-LATHSAT_LOCUS19784 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETVMHTGGCHCKSVRWKVVAPSTVVVWDCNCSNCYMRANTNFVVPADKFELLGDSAKFLTTYTFGTHTAKHTFCKICGITSFYYPRSNPDGVAVAFRCVDPGTLTVNEIRNFDGKNWERSYNESDISSCSKVQK >CAK8534471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:730276369:730276949:-1 gene:gene-LATHSAT_LOCUS4015 transcript:rna-LATHSAT_LOCUS4015 gene_biotype:protein_coding transcript_biotype:protein_coding MITSYEEIRLKRIAENKKKVEALNLPKLSQSLHKTSSSSSKPSPSVKGRPRFVQPGELEVNKKRLRSTTMCKSSIIPPPIKTTITLPPIQTKITPLPIETTITHLPIQTAKDVVVEDEDEDDVVGDDTEDDVVGDEAEDVVVGNEAEDVVKVAKSVYWDVNVISK >CAK8560241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11566321:11572822:1 gene:gene-LATHSAT_LOCUS13931 transcript:rna-LATHSAT_LOCUS13931 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCKDGNKHLMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPRQIKVWFQNRRCREKQRKESFRLQGVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYFRQNTQNATKDTSCESVVTSGQHNMTSQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPLWFRDCRAVDIVNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVVEDGSLVICERSLKNTQNGPSMPPVPHFVRADMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRHLRQISHEVSQPNVSGWGRRPAALRALSQRLSRGFNEALNGFTDEGWTMMGNDGVDDVTILVNSSPEKLMGLNLSFGNGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYTAAAIKVGPCSLTGSRMGNYGGQVILPLAHTIEHEEFLEVIKLDGVSHSPEDAMMPRELFLLQLCSGMDENAIGTCAELIFAPIDASFADDAPLLPSGFRIIPLESGKEASSPNRTLDLASALDIGPTGNRASSDNAGNSGCVRSVMTIAFEFAFESHMQENVACMARQYVRSIISSVQRVALALSPSHLSSHAGLRSPLGTPEAQTLAHWICNSYRCYLGVELLKSNNEGKESILKSLWHHSDAVLCCTLKAMPVFTFSNQAGLDMLETTLVALQDITLEKIFDDHGRKALFSEFPQIIQQGFTCLQGGICLSSMGRPVSYERVVAWKVLNDEQNAHCICFMFVNWSFV >CAK8562184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451889285:451889899:1 gene:gene-LATHSAT_LOCUS15703 transcript:rna-LATHSAT_LOCUS15703 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMMRRVVFGVVLVVVVMVLSPCLVNGRSGFHEKRMDGNGINSTGDVFRGEESIVEDFGRSEKEYSSENVVVERKFSVNNGGKGGGAGGGGGGGGGGGGGGNGRGGNRGSRKGMGGGGGGGGGGGGNGQGHGWGGGSGGGGGGGGGGGGGGNGNGHGHGRGEGSGGGGGGGGGGGGGGGGGGGGGGGGGGGSGEGWGWGGGSG >CAK8579037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668552389:668558021:1 gene:gene-LATHSAT_LOCUS31012 transcript:rna-LATHSAT_LOCUS31012 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLGIFILRFITSPDASIASIFGWLITGSFGLVAVIYAVLKWQRRASLNWIKAAAREKKKVWKKFKVPLSEHLWVEDFTYGEQPSTCCFCLTSLWPSQNLGATATPHTPLHRCSVCGVAAHFLCSPFAAKDCKCVAQAGFSHIRHHWSERWVNIDDHHEMSTFCFYCDEACGVPFVKSSPTWHCRWCQRLIHVKCHNKLTRDSGDFCDLGSLRPIVLSPLCVKEVDEDQKEGKLSSIITSSVRGQIRKRRNHNKNGGGCHANGKSRGSSVADASLLEYVFNGFHRKKDKDGKLFDSTNTPGPIKKYTLVDLPNDARPLLVFINTRSGGQLGPSLHRRLNMLLNPVQIFVLSVSQGPEVGLELFKSVPYFRVLVCGGDGTVAWVLDAIEKYNFESPPPVAIIPLGTGNDLSRVLNWGRGFSALDGQGGLTMLLHDISNAAVTMLDRWEVKLAEEISKGKPHRVKTKSMMNYLGIGCDAKVAYEFHVTREINPEKFSSQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGQDIEIPKDSEGLIVLNIGSYMGGVDLWQNDYEHDDDDFSLQSIHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVIKIHSSSPFPVQIDGEPFILQPGYIELTHRGQVFMMRRTSEDEPKGQAAAIMTDVLLEAESKGIINTSQRKVLLKDIAINLS >CAK8539848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529002121:529002552:-1 gene:gene-LATHSAT_LOCUS8908 transcript:rna-LATHSAT_LOCUS8908 gene_biotype:protein_coding transcript_biotype:protein_coding MWMACHRRLTTRGRLKKFGLMTDDSCKFCNKEETIDHLLFDFTPFKNCWQQILVCLGFQHCPCEWREELEWLITQCKGKGWRKCIMRSSVAKTIYEVWKYRNNVVYGNPVNTLEIRDLVISTLANKGWVNTRMRHHIAKLLIV >CAK8541803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:402515485:402515800:-1 gene:gene-LATHSAT_LOCUS10698 transcript:rna-LATHSAT_LOCUS10698 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHTGASASATHTVGYLGGLYDTSLLVKYEHHIARHIWFGDERGTKKELKLAGHMLKLIKRVPLQLPREMEG >CAK8564561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681596759:681608539:-1 gene:gene-LATHSAT_LOCUS17858 transcript:rna-LATHSAT_LOCUS17858-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLPLAISFHSFTLRDSNYNASSSSSSSVHRLKHNFIVASKSKKHRHNDAKGSKSQPSPPRITSNAKQNLRILKTLKEYEKRKSITPKPSTSYRKKKAEKQKIRNGDSDSTSSIDEDDTKELITIDDSVPVMLVDGYNVCGYWMKLKKYFMSGRLELARQFLIDELRTFSMVREFKVVVVFDSMMSGFPTHKERSASIDIVFSSNVSADTWIEREVSALKEDGCSNVWVVTSDRDERQSTYLAGAFVWSCQTLVNEIEESHKEVDEMLLEPRSFLKGSLLKHSLSTEVVDALRNLRQKLSENERRSLSNRNSCKRI >CAK8564562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681596759:681608539:-1 gene:gene-LATHSAT_LOCUS17858 transcript:rna-LATHSAT_LOCUS17858 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLPLAISFHSFTLRDSNYNASSSSSSSVHRLKHNFIVASKSKKHRHNDAKGSKSQPSPPRITSNAKQNLRILKTLKEYEKRKSITPKPSTSYRKKKAEKQKIRNGDSDSTSSIDEDDTKELITIDDSVPVMLVDGYNVCGYWMKLKKYFMSGRLELARQFLIDELRTFSMVREFKVVVVFDSMMSGFPTHKERSASIDIVFSSNVSADTWIEREVSALKEDGCSNVWVVTSDRDERQSTYLAGAFVWSCQTLVNEIEESHKEVDEMLLEPSFLKGSLLKHSLSTEVVDALRNLRQKLSENERRSLSNRNSCKRI >CAK8572771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561873034:561875175:-1 gene:gene-LATHSAT_LOCUS25298 transcript:rna-LATHSAT_LOCUS25298 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTILLSLFSFFLLHTHVNANPFHSIHHHNHPHFATHNYRDALTKSILFFQGQRSGKLPSNQRISWRRDSGLSDGSALHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKSELPNAKEAVRWATDYLLKATAHPNIIYVQVGDAKKDHACWERPEDMDTPRSVFKVDANAPGSEVAAETAAALAAASLVFRRSDPTYAKILVRRAIRVFQFADKHRGSYSNALKPFVCPFYCSYSGYQDELLWGAAWLHKATKNPMYLKYIQVNGQILGAAEFDNTFGWDNKHVGARILLSKEFLVQNVKSLHDYKGHSDNFVCSLIPGAGSSSAQYTPGGLLFKMSDSNMQYVTSTTFLLVTYAKYLTKSHSVVNCGGTIVTPKRLRTLAKRQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSMAVHPGKIQCSAGFGVMKSHSPNPNVLVGAVVGGPDQHDRFPDERSDYEQSEPATYVNAPLVGTLAYLAHSFGQL >CAK8568464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608070681:608071103:1 gene:gene-LATHSAT_LOCUS21419 transcript:rna-LATHSAT_LOCUS21419 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTETNEVGGVPTIIQEELSVQIPNEEVQSVVKLNNDQMNAYNIIMNAIHEKQGQVFFVDGPGGTGKTFLYRTIMANLRRNNEIVLATASSSIAATLLPGGRTAHSRFGIPIDIEHHSICKIAKNSDLEKLIRITNKII >CAK8575958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374473997:374476534:-1 gene:gene-LATHSAT_LOCUS28181 transcript:rna-LATHSAT_LOCUS28181 gene_biotype:protein_coding transcript_biotype:protein_coding MMWNHREGWLFIIFIYVLLILLLSIFPLMCFSANFVPIDNYLIDCGSHTNTSIGNLNFTSDQFYKNFLSTQEDILANTSLNSINSITGYSPLYQTARIFNSPSKYSFPVSKKGRVWIRLHFFPFSYQNYNLSAANFAVMAQDIVLVSNFSVLGRNGVMKEYLVNVTLDTVVVTFAPSKNSTAFVNAIEVVSMPDELIADDAIALSPRRSYEGLLTQALETVFRVNMGGPSVSFGADPLRRTWVPDVSFLILPNLARNFSSIGAVKYVKGGPTENIAPASVYGTLTEMNSDSDPRSNFNVTWRFDVEPEFQYLVRLHFCDIVSKSLNELYFNVYIDSLLAAKDLDLSGKTNNILATPYFMDFVTPTSVDDKILVSIGPSDANSDYPNAILNGVEIMKMNNSKSSLSASTAVFLTSNHGSNSKKVGVIVGVSLGILCVVVGVFFVFFMRRRRLAQQGHSKTWVPLSINDGTSHTMGSKYSNATTGSAASNFGYRFPFVAVQEATNNFDESWVIGVGGFGKVYKGELNDGTKVACKRGNPRSHQGLAEFRTEIEMLSQFRHRHLVSLIGYCDERNEMILIYEYMENGTVKSHLYGSGLPSLSWKERLEICIGAARGLHYLHTGYAMAVIHRDVKSANILLDENLMAKVADFGLSKIGPEVDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWSMKWQKRGELARIVDPTLEGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGELSPQINNFDHEKSVLVAQFEASSLDDLSGVSMSKVFSQLVKSEGR >CAK8541925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:434077364:434083198:1 gene:gene-LATHSAT_LOCUS10813 transcript:rna-LATHSAT_LOCUS10813 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSFSNHDHAKRVGNDNHGGNKKAPPPVTVLGGAAKDNMEERYLVDRELGRGEFGVTYLCIDRDTRELLACKSISKRKLRTAVDVDDVRREVAIMRHLPKSSSIVTLREACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVARTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVKQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKEMFKKMDTDNDGIVSIEELKVGFRNSESQLAESEIQMFIEAVDNNGKGTLDYGEFVAISLHLKRMANDEHLHKAFSYFDKDGNGYIEPEELRNALMEDGTDDCTDVANDIFQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSLNLGNE >CAK8576312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:454074370:454075110:-1 gene:gene-LATHSAT_LOCUS28510 transcript:rna-LATHSAT_LOCUS28510 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKNQRNSPVETHRRGKLTGKSSSFYGHTSSTAATLATAQIRRPKTMPELVLDRKRAAKTTTTPEIFPRQPSKLLLKVTMMGSLGPVQVLMTPESTAGDLIAAAVRLYVKEGRRPILPSNEASRFDLHYSQFSLESLDRNEKLREIGSRNFFMCPKKFGEGENNGGESSVTASSGTCSREAGKASKGFGLLRCMDFLL >CAK8542287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498287198:498291279:-1 gene:gene-LATHSAT_LOCUS11134 transcript:rna-LATHSAT_LOCUS11134 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLGSILYQNFTTKKPNKSLPRLYFFTFTTFLCTFFYLLGLWQNSPATTSSSAISGKTRFSATTIRPNCQQTNYTSITISSSTTALDFSAHHQNPEPSGTLARVVHAPVCDAKLSEYTPCEDVQRSLKFPRENLVYRERHCPEKNEILQCRIPAPFGYRVPPRWPESREWAWYANVPHKELTIEKKNQNWVRFEGDRFMFPGGGTMFPRGAGAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLPRDIIAVSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRSFDMAHCSRCLIPWGQNDGIYLTEVDRVLRPGGYWILSGPPINWEVHSKGWERTRESLNDEQVSIERVAKSLCWKKLVQKGDIAIWQKPTNHMHCKITRKVFKNRPFCNAEDPDSAWYTKMNTCLTPLPEVTDLKDVSGGGLTNWPERLTAIPPRISSGSLKEITAEMFKENTELWKARVAYYKTLDYQLAEPGRFRNLLDMNAYLGGFAAAMIDDPVWVMNVVPVEAEINTLGVVFERGLIGTYQNWCEAMSTYPRTYDFIHAASVFSLYENRCKIEDILLEMDRILRPQGSVIIRDDVDVLLKVKRFLDAMQWEGRIVDHENGPLQREKILIAVKKYWTAPPPDKNQHRKL >CAK8537577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:401246770:401249115:-1 gene:gene-LATHSAT_LOCUS6862 transcript:rna-LATHSAT_LOCUS6862 gene_biotype:protein_coding transcript_biotype:protein_coding MRENHPPTTTPDLLARGEIAESSTSKNRTGSSEPFPGSSLTENPSPFPDQVLENVLENVLHFLSSRKDRNAASLVCRSWYRAEALTRSDLFIGNCYALSPRRATARFSRIKSVTVKGKPRFADFDLMPVDWGAHVTPWVTSLAQAYPWLEKLHLKRMSVTDKDLGLIADSFAGFRELLLVCCEGFGTPGLAVIASKCRLLRVLELVESVIEVEDDVEVDWVSCFPSEGQTHLESLAFDCVECPVNFEALEGLVARSPGLKKLRLNRSVSMVQLHRLMLRAPQLTHLGTGSFSANENVDQEPDYASAFAACRSLGCLSGFREIWPDYLPAIYPVCSNLTSLNFSYADVNAEQLKSVICHCHKLQILWVLDSIGDEGLQAVAATCNDLRELRVFPVDAREETEGPVSQIGFEAISQGCRKLESILFFCQTMTNAAVVAMSKNCPDLVVFRLCIIGVYRPDAVTHEPMDEGFGAIVMNCKKLTRLAVSGLLTDRAFEYIGRYGKLIRTLSVAFAGDTDTGLKYVLEGCPNLQKLEIRDSPFGDGALRSGLHHYYNMRFLWMSSCKLTRQACQEVARALPHMVLEVINNGEDAVEDIGILYMYRSLDGPRDDAPEHVTILQ >CAK8542923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559810403:559812322:1 gene:gene-LATHSAT_LOCUS11720 transcript:rna-LATHSAT_LOCUS11720 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNASAIKVVSRKSLCFFFTTTTLLFIMSWLFVLRSTRSDSTSEVISELLSESFHSQNSESSFSNRAILVNTKKQKQTPYILKCNSHTTTMSNDNSNYSNNFLLKVFMYDLPSEFHFGLLDWKTDDGNGKNKNVFPDMKTNVPRYPGGLNLQHSIEYWLTLDILASELPELYPNKTRTVIRVKNSTDADVIFVPFFSSFSYNRLSKTGPHEKKSRNRVLQENLVRYLMNQEEWKRSGGRDHLILAHHPNSMLDARMKLWPATFILSDFGRYPPNVANVAKDVIAPYKHVVGSYVDDQSSFYSRKTLLYFQGAIYRKDGGHARQELFYLLKDEKDVHFSFGSVQKGGIRKATEGMRSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDQIELPYEDVLDYSEFCIFVRTRDALRKKFLINFIRSIDKDEWTRMWNRLKEVEKFFDFQFPSKESDAIQMIWQAVSRKVPLMKLKTNRSRRFFRSLGNNKDMGHKSIPAPADFW >CAK8537921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447919616:447922178:-1 gene:gene-LATHSAT_LOCUS7169 transcript:rna-LATHSAT_LOCUS7169-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTSLPFPLSPTRNPNVPFYLLPPKTLFKCFCSSTTHSLPPLSPDKWEPFLKKKVVMRVGYIGTDFRGLQIQRNEHKLSTIEKELETAIFKVGGIRDSNFGDLDKIKWGRSSRTDKGVHSLSTMISFKMEIPENAWKGDDHGIEMANYINSCLPNSIRVFSVLPSTKGFDPRRECNLRKYSYLLPADIIGIQSHFSEDEVDFHISEFNSILSVFEGDHPFHNYTVRSIYRKKHHERKSPKNGDMSRRTGSSSSLVSACDSENDESDVDDSLSDDEEQSHKCPESSESNSLNNQNSSLGVRARWLHEPDEADRLSASHFRKVVRCSCGKLETLLGYRYVEINVWGDSFMLHQIRKMVGTAVAVKRKLIPTDIFSLSLLKFSRIVLPIAPPEVLILRGNAFRMRSSGGSYTRPEMVSMVESEQILKSVNEFYSSVMLPELSKFLDSSKSPWADWVEKLDKYSSIPDDQLDEVREAWRTWKVNFKAKPTSDV >CAK8537920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447919616:447922235:-1 gene:gene-LATHSAT_LOCUS7169 transcript:rna-LATHSAT_LOCUS7169 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCAFPSPSLLSLPFSSMPFTSLPFPLSPTRNPNVPFYLLPPKTLFKCFCSSTTHSLPPLSPDKWEPFLKKKVVMRVGYIGTDFRGLQIQRNEHKLSTIEKELETAIFKVGGIRDSNFGDLDKIKWGRSSRTDKGVHSLSTMISFKMEIPENAWKGDDHGIEMANYINSCLPNSIRVFSVLPSTKGFDPRRECNLRKYSYLLPADIIGIQSHFSEDEVDFHISEFNSILSVFEGDHPFHNYTVRSIYRKKHHERKSPKNGDMSRRTGSSSSLVSACDSENDESDVDDSLSDDEEQSHKCPESSESNSLNNQNSSLGVRARWLHEPDEADRLSASHFRKVVRCSCGKLETLLGYRYVEINVWGDSFMLHQIRKMVGTAVAVKRKLIPTDIFSLSLLKFSRIVLPIAPPEVLILRGNAFRMRSSGGSYTRPEMVSMVESEQILKSVNEFYSSVMLPELSKFLDSSKSPWADWVEKLDKYSSIPDDQLDEVREAWRTWKVNFKAKPTSDV >CAK8540011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535893127:535893363:1 gene:gene-LATHSAT_LOCUS9053 transcript:rna-LATHSAT_LOCUS9053 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQALHNNYCGNSEFSLIISFIKNLLVFHSNFEVKFIKHQVNSVAHMLAKAVNFWTRHSVLNLIPLCIENFFINDMN >CAK8565135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44287353:44288003:-1 gene:gene-LATHSAT_LOCUS18371 transcript:rna-LATHSAT_LOCUS18371 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDLTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDMKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTDSVTITHHYQNNNPVSFINFSKCHINKILLPREWVLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHS >CAK8542343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502596229:502596795:-1 gene:gene-LATHSAT_LOCUS11188 transcript:rna-LATHSAT_LOCUS11188 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCPKVSFLLLFTLILTSCVFSQAQKCRPNGRIRGKKAPPGQCNKENDSDCCVRGKMYTTYECSPSVSTHTKAYLTLNSFEKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWEALGVPKDQWGGLDITWSDA >CAK8564316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667999201:668000520:1 gene:gene-LATHSAT_LOCUS17633 transcript:rna-LATHSAT_LOCUS17633 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKEKESSHSALLDGKYELGRVLGHGTFAKVYHARNLNTGKNVAMKVVGKEKVIKVGMMEQIKREISVMKMVKHSNIVQIHEVMASKSKIYIAMELVRGGELFNKIVKGRLKEDVARVYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGNLKVSDFGLSTFSEHLRQDGLLHTTCGTPAYVSPEVIAKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNLVAMYKKIYRGDFKCPPWFSLDARRLITKLLDPNPNTRITISQIMDSCWFKKPVPKSVARRKKEKEEEEEEKGFGFLETEKSSMAPTTMNAFHIISLSEGFDLSPLFEEKKREEREEMRFATAGTASRVISKLEQVAKEVKFDVKSSDTKVRLQGQERGRKGKLAIAADIYAVTPSFLVVEVKKDKGDTLEYNQFCSKQLRPALKDIFWTSTDPPAA >CAK8561075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:86114957:86115268:-1 gene:gene-LATHSAT_LOCUS14697 transcript:rna-LATHSAT_LOCUS14697 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8579748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720686249:720687894:-1 gene:gene-LATHSAT_LOCUS31669 transcript:rna-LATHSAT_LOCUS31669 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPQYDTFSKDFLMGGAAAIISKSAVAPIERVKLLLQNQSEMIKRGQLKTPYLGVANSFKRVFAEEGLVAFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYSKEKDGYIKWFAGNVASGSAAGATTSMLLYHLDFARTRLATDAFVCHVTGQRQFKGLVDVYRKTLSTDGIAGLYRGFGVSILGITMYRGMYFGIYDTMKPVVLVGALEGNFFASFLLGWSITTVSGVCAYPFDTLRRRMMLTSGHHIKYNNAMHAFREIVGQEGFLALFRGVSANMLLGMAGAGVLAGYDQLNRISSRHINENEANQRVLK >CAK8574689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6710104:6711564:1 gene:gene-LATHSAT_LOCUS27011 transcript:rna-LATHSAT_LOCUS27011 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDISNDQPPPEISTTTTPTPLLRRNFFRLPSTLKLKTSLWSELSGAVGDLGTYIPIVLALSLVNNLDLTTTLVFTSLYNIITGLFFGLPMPVQPMKSIAAVAISESPPLTIPQISAAGLSVAAVLLFLGTTGLMSFLYRYLPLPVVRGVQLSQGLQFAFSAIKYIRYQQDLSSASSKTGPVRPWFSLDGLALALVAVLFLVLTTGAGEDNHQEQQHELDENAYDSDDRIDNLRRNKIRRRLKILSMVPSALIVFLFGLLLCFIRDPSIFHDLQFGPSKIKLIRITWDDFKVGFVRAAIPQIPLSILNSVIAVCKLSGDLFPEREASAMKVSVSVGVMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLGIGKLLIALVFGNSFGRILGQFPIGILGVLLLFAGIELAMASKDMSSKQESFVMFVCAAVSLTGSSAALGFFVGIVLYLLLKLRELDCGFGFLSNSNKDKSSKDEEAHLIA >CAK8560679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35546262:35551749:1 gene:gene-LATHSAT_LOCUS14337 transcript:rna-LATHSAT_LOCUS14337 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIQLVNKIQRACTALGDHGEESALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHAPRKRFTDFAAVRQEIADETDRETGRSKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRAFIEKPNCIILAISPANQDLATSDAIKISREVDPKGDRTFGVLTKIDLMDKGTDASEILEGKSYKLNFPWIGVVNRSQADINKNVDMIAARRRENEYFATTPEYKHLAPRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELEAEMNRIGKPIAADTGGKLYMIMEICRNFDQTFKDRLDGIRSGGEKIYQVFDNQFPAALKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRVPAEAAVDAVHGILKDLIHKSMNETMELKQYPTLKAELGNAAIESLERMRDESKKATLLLVDMEYGYLTVEFFRKLPQDAEKGGNPTHSIFDRYNDAYLRRIATTVLSYVNMVCSTLRHTIPKSVVYCQVREAKRSLLDHFFTDLGKKEGKQLASLLNEDPAVMQRRTSLAKRLELYRSAQSEIEAVAWDK >CAK8565829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:341207056:341208877:1 gene:gene-LATHSAT_LOCUS19010 transcript:rna-LATHSAT_LOCUS19010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNSLQITKTASGAYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPLALLCCAIVTYVSSFLLSDCYRNPDPVTGKRNYSYMDAVRVNLGNKRTYVAGFLQFLTLYGTGTAYVITTATSLRAIMRSNCYHKEGHKAPCSYGGNLYMMMFGLVQIVMSFIPDLHNMAWVSIVAAIMSFTYSFIGLGLGIATVIQNGTIMGSVTGVQTANGSEKIWLIFQALGDISFSYPYAILLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGSATPGNLLTGFGFYEPFWLIDIANVCIIIHLVGGYQIYSQPIYSTADRWCAKKYPNSGFVNDFHRVKLPLLPAFEINLFRFCFRTTYVISTTGLAILFPYFNQVLGVLGAINFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRIFSFACFLVTVVGFVGSIEGIISEKISGKG >CAK8560959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64006569:64008611:-1 gene:gene-LATHSAT_LOCUS14594 transcript:rna-LATHSAT_LOCUS14594 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPQPPLPSAAAITSPHHHNHHRDMIIGGCIAGAVFLTAVVISVAIFLYRKLSHNRTTPFEQNQRRFSFSVLRRASNSFSASTRLGHGGFGSVHKATLPTGETVALKVMDSPGSIQGEREFHNELSLCSNLRSPFILSLLGYSSDRSGRKLVLVYELMENRSLQDALLDRRCPELMCWLNRFEVAVSVAKGLEYLHHSCHPPVIHGDIKPSNVLLDREFRAKIGDFGLARVKSLEDSGMEMMVEEIRVKKKRDDVVVSVAVEDCSSVSVVEESESVITNTTVGFDRSPESFNVRVLDSDASPEVGVVSPEMSGVEKLSVVSDGCFDKFSIDSGNQRKRGGGCVRGGSGRDWWWKQENNGGGSESGRVKDYVMEWIGSEIKKERPKSSEWVGSGSSICSGAGDVAAPSKVEGKKKQRKKLEWWASLDEEKVKGKKNRKPREWWKEEFCEELSKKSRKKKRSLDCRGESWWQRDEDVGSTAGMKKKKRKSKSSRGSIDWWLDGLSGDLRNNVRRNSQDWGNGDIPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVAGRRPLQVTASPISEFERANLISWARQLAHNGKLLDLVDSSIHSLDKEQALLCITIALLCLQRSPGKRPSMKEIVGMLSGEADPPHLPFEFSPSPPSNFPFKSRKKAR >CAK8535093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813655313:813656877:1 gene:gene-LATHSAT_LOCUS4572 transcript:rna-LATHSAT_LOCUS4572 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILLDVYGSERGSKKELKVTGHGLKLIQRVPLKLPREMEGWISRSGLTSLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISETLVVEWTVDYLGVSRRVAQQQVCDCRGSYYKLEWLYDRFIEHRAASIWDYATRAYSLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAVLVTLYRYLRDASMFSCKQLGGYPTLLHCWIHEYFPTLRKKGENWIPANNVGLPRAMRWSYRQDALKVDDLRPILDELTPAGVIWRPFENHRVWRPFDELCLYRGCLRWGDTIVPYLLDRCMRQFGYKQYVPHPPLDYTMAGDIDVDWISYHQSVQDVIRLTAPTTTPYETDDGYLQWYYRVSHPRLIPPYRDAPTEMSVPVYEAGPSDPSWARMSSLIHRYLQQAGAEEEDPQFADLFEALHIARSL >CAK8561143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:104385293:104386354:-1 gene:gene-LATHSAT_LOCUS14757 transcript:rna-LATHSAT_LOCUS14757 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMILTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNSGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATTVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQVEHNILMHPFHMLGVAGVFGGSLFNAMHGSLVTSSLIRETTENESANEGYIFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFIALGIITMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8533374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610435547:610435909:-1 gene:gene-LATHSAT_LOCUS3007 transcript:rna-LATHSAT_LOCUS3007 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSIPEYYILKRWTKLARSETSRNVYVSYVEEDVDLSPAQRYKEICLRLIRIANKACRSPDAFTFLCKNTNELDRHMLEFQKNPVNISQVNEFIDKVKESISTHDDLAQAKDFKKREG >CAK8569560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5010270:5023741:1 gene:gene-LATHSAT_LOCUS22399 transcript:rna-LATHSAT_LOCUS22399-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGQNGGGTKSWQEDIYWTHFQFIHFTQFLHNDFQQQLELPKTFSDNLKKKLPENVALKGPSGVVWNIGLVIRDDSVYFVNGWERFVKDHSLKENDFLVFKYNGESLFEVLVFDGNSFCEKAASYFVGKCGPAQAEQGARKEKDNNNSVEEVNTASNGGVECGSSEKFRRLKKVGTPLAVPFETANGNTSNAGVRTDSPEVFTADAVTKTTPVVVPFQSAGKKTKKPVNDVTPGPTKKRGRPPKVDNSSETIRDLVAYSKEHSGNVYIRQHREKVPTPLPDADPPPELVPVEPRHSVRTFRAPDRYSPDR >CAK8569559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5010270:5023790:1 gene:gene-LATHSAT_LOCUS22399 transcript:rna-LATHSAT_LOCUS22399 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGQNGGGTKSWQEDIYWTHFQFIHFTQFLHNDFQQQLELPKTFSDNLKKKLPENVALKGPSGVVWNIGLVIRDDSVYFVNGWERFVKDHSLKENDFLVFKYNGESLFEVLVFDGNSFCEKAASYFVGKCGPAQAEQGARKEKDNNNSVEEVNTASNGGVECGSSEKFRRLKKVGTPLAVPFETANGNTSNAGVRTDSPEVFTADAVTKTTPVVVPFQSAGKKTKKPVNDVTPGPTKKRGRPPKVDNSSETIRDLVAYSKEHSDSKGKDVDAHDEIQGGS >CAK8571526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434932578:434932721:-1 gene:gene-LATHSAT_LOCUS24180 transcript:rna-LATHSAT_LOCUS24180-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGVICLVNSVNERDLCLLNSYVEVTLHDQLLRGTMAA >CAK8571525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434932232:434932721:-1 gene:gene-LATHSAT_LOCUS24180 transcript:rna-LATHSAT_LOCUS24180 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGVICLVNSVNERDLCLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTYRPSLLPIEWSGEVFGLRRHGRFAARDVVRSPLNLII >CAK8577110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537563738:537567082:-1 gene:gene-LATHSAT_LOCUS29243 transcript:rna-LATHSAT_LOCUS29243 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSSCSTAISASSKTLAKPVAASFAPTNLSFSKLSPHSIRARRSIIVGSAIGATSVSAPPATLPVSLDFETSVFKKERVNLAGHEEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKVGLRKGSSSFNEAREAGFSEEKGTLGDIWETISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSIGLDFPKNFSVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVESITGVISKTISTQGMLAVYNALSEDGKKEFEKAYSASYYPCMEILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRSTRPAGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDSGAPINQDLISNFVSDPVHGAIQVCAELRPTLDISVPADADFVRPELRQSSN >CAK8543738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635591801:635596882:1 gene:gene-LATHSAT_LOCUS12478 transcript:rna-LATHSAT_LOCUS12478 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNITSIKTSSNGIWQGDNPLDYAFPLLIVQTVLVLVVSRSLALLFKPLRQPKVIAEIVGGILLGPSALGRNTNYLHRIFPAWSTPTLESVASIGLLFFLFLVGLELDLNSIRRSGKKAFSIAAFGISVPFICGIGVAIVLRKTVDGADKVGFGQFLVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDLAAWILLALAIALAGSGADGGKSKSPLVSIWVLLSGVAFVAFMMLVIGPVMKRVAQRCSAENEAVNEVYICITLAGVMVSGFITDFIGIHSIFGAFVFGLTIPKTGNFAERLIERIEDFVLGLLLPLYFASSGLKTNVTKISGGKAWGLLVLVISTACAGKILGTFVVAMMCRIPVRESITLGVLMNTKGLVELIVLNIGKEKKVLNDEIFAILVLMALFTTFITTPVVMAIYKPARGIADKTIRKLGDMSTHSSKDKNVVETLRVLACIHGPTNIPAIINLIESTRSTKNSLLKVFIMHLVELTERSSSIIMVQRARKNGFPFFNRFNRDEWYNRLAGAFQAYSQLGRVMVRSTTAISSLSTMHEDVCHVAEEKKVTMIILPFHKHWRMEVDEENDKESHEVIENAGHEWRGVNQRVLKNAPCSVGVLVDRGYGHGSKNLGSEGTVTQRICIVFFGGPDDREALELGKKMTEHPAVEVTIVRFVEQDAESGNNFVLRQSPGKSADDSYSFAITKMNRQKELELDEKAMEEFRSKCGEKVKYIEKGSGNVVEEVVALGESVDYDLIIVGKGRFPSTMVAELAERPAEHAELGPIGDILTSSTTGHKMVSSVLVLQQHDVAITEDAPMYKVKVHDENVAEVSSARHEITIANAV >CAK8564590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1667657:1669505:1 gene:gene-LATHSAT_LOCUS17881 transcript:rna-LATHSAT_LOCUS17881 gene_biotype:protein_coding transcript_biotype:protein_coding MGFERRVYGWIVRTICLVICVNPCICLEESNGDSCNLYEGSWVYDESYPLYDSSKCPHIRLEYDCLKYGRTDREYLKYRWQPTNCDLPSFNGKSFLTKLKGKQIMYIGDSVSLNQWQSFICMLHSTVPNTTVTQEAAEPITNHTFQEYGVSIIVYHTAYLVDIEEVEELGRVLKLDSLKGGAIWKEMDVLVFNTWLWWYRSGPKQPWDYIQVGDKTLKDMDRMEAFKIGLTTWAKWVDTDVDTTKTKVLFQGISPMHYHGEEWNEPGVTNCAKETTPINGSSSTRGLPKASYVLENILEKITNHVHLLNITALSELRKDGHPSSHNGFHGMDCTHWCVAGVPDIWNQLMLESIMNSN >CAK8560478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21454417:21456755:-1 gene:gene-LATHSAT_LOCUS14146 transcript:rna-LATHSAT_LOCUS14146 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPTILHPSFFILIFNIIIRFSITSSMTEAEALLSLKNSFTNSAALDNWIASTLPCAEDEQWEGVICYNGLVTGLRLGRMELSGKIDVDALLELKGLRTISLEDNSFAGPIPELNRIGYLKGIYMSRNKFSGHIPKEYFQKMNSLKKVYLSDNEFTGEVPLSLADVPTLLELHLENNQFSGVIPNLNSPALVEFNVSNNNLEGEVPKSLMRFSESSFLGNAGLCGEKFGTICGQTMMKKPVNAPDINNITQLNNHNNSNVYVSVPDQSKHRSLQIVGIVVTCVALIALAIFLFVRSRKEKEKEKSNEDGEKSGVESVNDCGESFEVQVSSNSICRSDISNNQAKSDNLAKKGSSNRRSSSQGSKGIGELVMMNEEKGVFSMSDLMKASAEVLGNGGFGSSYKAVMSNGVAVVVKRTRELNAMGKDGFDAEMKMIGRLHHWNVLTPLAFHYRKDEKLVISEYVPRGSLLYLLHGDKGPSHSELDWKTRLKIVQGIAKGMHYLHTKLSCDLPHGNLKSSNVLLGPDYEPLLMDYGFIHLVNPSSYSNTLFAYKSPEALQNNQISPRSDVYCLGVVILEIITGKFPSQYLSNGKGGTDLVQWVTSAISEGRELELLDPEIASKRDSLDDVKKLVYIGAACTESNPRKRLDMKEAARRIEEIKTNEVEHVQESRTIEVLPSFDGDDEESRKKGGTNSFGSKDNC >CAK8567011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479496799:479513108:1 gene:gene-LATHSAT_LOCUS20102 transcript:rna-LATHSAT_LOCUS20102 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPSNNSETEEETDAFHRKRARRVSFADNEITSVHIFRPDDDHSTSSSSDVPPENKGLFSELGGDSDDEDAERNRNPTEDAADHINSFLQPIGSPSPGGSSTNLEDDDTDDDEFRGPVSTDFIKPGRLSDSGVSDDITMDSTAFSMHYRSLARSDSGDLKTPTRFDGTMTTPGQNSDTLGSYMELTDGKKKEPQSPVLRSGSGDSDYMSIVGQSESYDYGRLSPGLVAILTYGKKDLDGVSPLGSKATHSPIANDTGVLPNLECSTNSPAHQITHDTAVASTSESSTKNTKEFVTDATPLACKQLDSAKANRGTPPKMDEDRGQGTDTNHTSDQVTESHPVHEFTPLSHSANKLVSVGSPDSFRCTGNITPPLEESGLPVPEVHVANSVNLLSIRKSISKLKTPKTTHNTSTLEEGIDKLKHRFSKDRRQGTDTNHKSDQVTGSHVHEFTPLSHSANKPVFMGSPDSFRCTGNITPPLEESGLPVPEVHVANSVTLSSIRKSISKLKTPKTTPNTSTLKEGIDKLKHKFSNYSPGTSFFSERDFENKQVGTLTPPLEEQPYSLTLENNMHQNLITTDDHVVDSLISISKLSQNTETVATKQDEEKNCLVSANVSDNDKNLMHVDTGASPLVKTRITRVADFDMANGTAEKRKDEILTATHAKPFSSPVKSFDHALSPSVECQSDRHGEFKQMEMQNEYLSSGLGQTIEYDRWTVAKKLDLSDVGNSDEPSSPFEDAQGALKGKPAKSPKRFLDLSSPIKAAQSLTETGIEVSSWGKREGAEIFSNGDDRQKGKVSSFYAIQEATTVLSSLQKPPRDIQDLSQRYNSDGHGVGFINNHHPAFQVSQSPLTKTGIEVSGKKRKGVTVLSDGDNIDKIGRIDRSPEVHKRGNGDLPFVLEQTSYMRSEREKFGDQEWNDWDHILKRFSTSTKQLLSPSFDKLNLRSIGRLEDILVNLQKVKKWDILSSEIQSQKFADPQDTRHKRVVEVKMLLFNIAYEKTKLQLMNVKRDRLLKKAQQLSSGLQEAQIIKNSMLCSAKSGPVDIQADDSHINARIFNSQGKCQVSCNKGMEVRQELESLNQKAKSLSEFFYSHCKMEGDQSCTNILKSVPDYLEKRMSYKLVFQKLKLWDIEDFERKDDYHLITLNYRGYIIQRFTVNAGLSSIIVSNSLNDVNIGKTYPNMDAFSAFLFALNPITTSKCTGQISMAQETQITGSLLSNLLDVVEEVQLARIEIGNLVEAKFNSQSVHQLDLQLSFIDFLGGKKVQVILDMTCLKCGAYPAEVLPSQIYDSTANRDQKALLSLLVNEIRTAAESVSAGYSRIIRLCRCISQVVRGCTRGI >CAK8535226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827701141:827705981:-1 gene:gene-LATHSAT_LOCUS4699 transcript:rna-LATHSAT_LOCUS4699 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIQRYMGVINSIFCIVLVLNIVAAISIKENQETEEFITRLFDPASGLLDEDTAEILWITCMEDLIHVREDIGDIGLCLPRESHSRTNEVTTEFRSTTRQNILNLINTCNPRLKESFLNCLRKNNVPLQDQSKNWNTAYQEGLSTRYPRRVSPRYLAEKQDKDGGDDGSSKKDKSNSDSDKSSKKKSSKSSDSKSKHEKAAYNAMALAAMVTFLLAACIFLCCCGSGKVNQTDERPLLSMSRSDYSVGSSSNNNSSKISINEEKLGVQSMRSILADEKKNSIKEDAARPSFDLKPPPGRVGSGIPPLKPPPGRPDALPPEPPLVKSFDYAVNPPPPPPPPRLQMPSSGAVLPPGLPKPGGGGPPPPPPPKLGNSGPKPPPPPPPRGKPGGPRPPPPPKGGGGPPKAPPPFGQKGPRHVRSGPNVQGKFEVSLEGEGEADDAAPKTKLKPFFWDKVQANSDQTMVWNQLKAGSFQFNEEMMETLFGYSTPSMEKKAGQKKESIRDAPPQFIQIIESKKAQNLSILLKALNVTLEEVSDALLEGNELPIEFLHTLIKMAPTQEEELKLRLFSGSASQLGPADRFLKSLVEIPFAVKRMEALLYMSTLQEELTSTRDSFSILEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGALAFKLDTLLKLSDVKGVDGKITLLHFVVQEIMRTEGIRAARMVKESGSFSSIKTDDLLEDINHESEEHYREIGLQVVSHLSSDLENVRKAAALDADGLTSTTARLGYGLIKTRDFFNKELVNNLENDKGFHKTLKCFVEKAEVDVTNLLEEEKKIMALVKSTGDYFHGSTGRDEGLRLFVIVRDFLIMLDKVCREIQKAPKKPIKNVKQDTTNANSNVKQDTTKANSKNVKQDTTNANSNVKQDTTKANSKGSSTRETRPTRPPPPDIRQRLFPAVVERRVDDFSSDEDSP >CAK8532950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554426736:554427122:1 gene:gene-LATHSAT_LOCUS2608 transcript:rna-LATHSAT_LOCUS2608 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDHRKLSSEMISHNIRELVNQDASLKVIIAHILEKYRYIISYRKTWIAKCKATESLYGNWETSYNDLPQWIPVMKTYLPRTIIDLQTLLAISNDGSQISGKRIFHRLFWHFVRVYVASPTVSPLCK >CAK8570384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41914123:41918573:-1 gene:gene-LATHSAT_LOCUS23134 transcript:rna-LATHSAT_LOCUS23134 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVTSDQSSTSSLNATRIICHVCQKQFSQYTCPRCNSRYCSLVCYKSHSLRCTESFMKENVVQELQQMQPDEQTKHKMLEILKRFHSEEEMDNMDEDSFEDSTLSEETIEKILSGQEISFDDLSLEEKKQFQRAIACGELSKMVTPWDPWWSKHSARNIRLSKEGTQLVQPLSEQESLDDTESNESSEIPLGPEVPLPPLSRLSSKEPSPLLTVHIVDILYSYCFTLRLYNGDWRSDPIGSAVVVLSVSSVLGQGGQPETVLEALTHCLEQVCSPAYRHMGGLQFGLSVTDDVISLLSLGGPAMVCALCDLRRLIQEGEKEAKSEKPRKFRRNETRSAIKQAERKIYFIMCWVHEQPKEAWSSLAAIVTTQKTLAMKFQGSNKAEKLNRAKTKSKCLIEEIE >CAK8578697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647697107:647722120:1 gene:gene-LATHSAT_LOCUS30687 transcript:rna-LATHSAT_LOCUS30687 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYRRGKCVVYGFHTLASRVSSQNSIFQPSSRIFQSGYLNSPSKGASFNGFSLFSSISKRLGKEGFEVNKFFRRFYYVDPRNVQHFRARGPKRWIQNPRHVMIAVVVGSGVFITVYFGNMETVPYTKRTHLILMSKAMERKLGESEFEKMKVSFKGKLLPAIHPESVRVRMIANDIVDALKRGLSKENVWSDLGYASENTTLSEENGSETLSALTDNGKVEGNWHREDEVLDDNWVHKSRKKGQERGSETSHLDGLNWEILVVNEPVVNAFCLPGGKIVVFTGLLEHFRSDAEIATIIGHEVGHAVARHSAEGMTKNLWFFILQLVLYQFVTPDIVNTMSSLFLRLPFSRRMEIEADYIGLLLVASAGYDPRVAPKVYEKLGKVTGDSLLRDYLSTHPSGKKRAELLAQAQVMEEAFTIYKNVRAGRGVEGFL >CAK8574233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671351869:671360844:-1 gene:gene-LATHSAT_LOCUS26593 transcript:rna-LATHSAT_LOCUS26593 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLISKSHCHLGLGKLSLPPLQTSKSEYEIARVKMSGKLKVVCMGMLKPRKFMQRKKERVVFKDADDEAKKKNWWRLMTLIEESDSAASVLSSEKMKNQTIPKNLVVGTLIRFKQLRKWNVVAEILEWLRTQNWWDFSMMDFIMLITAYGKVGDFNSAEKVLALMNKNGYAPNVASQTALMEAYGKGGRYNNAESIFRRMQTFGPEPSAFTYQIILKTFSEGNKFEEAEEVFDKLLNDENSPLKPDQKMFNMMIYMYKKAGSHEKARKTFALMAERGIERTSATYNNLMSFETNYKEVSNIYEEMQRADIRPDVISYGLLINAYGKARREDEALAVFEEMLDAGVRPTRKAYNILLDAFSTSGMVEQARTVFKSMRRDKYMPDLWSYASMLKAYVNASDMEGAEKFFKRLIQDGFEPNVVTYGTMINGYAKENDIEKVMEKYEEMLARGIMANQTILTTIMDVHGKNGEFDRAVHWFKEMELSGLVPDQKAKNVLRSLAKTEEDKREVNELLLDSIEVNNLPTANDLDEEDEDDDEEDDDDEEEDNHEYFDDQVVIANEEDEEDDEEDDDDEEEDNHEYFDDQVAIANDEQPEESS >CAK8562732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532145137:532148084:1 gene:gene-LATHSAT_LOCUS16204 transcript:rna-LATHSAT_LOCUS16204 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDDLNELLDSALDDFQTLNLNSSLPRTGEETTNNKESPSLPSGVQGLGLGLPDLRSKKKGKQKVSKDAHVSEALNKLREQTKEAVKGLEFITPPPGAGGVDDFGKDGMMEDWVKQFEELTGSQDMESIVETMMQQLLSKEILAEPMKEIGERYPKWLEDHKASLSEEEYERYSQQYELIRNLNEVYEKDSGNFTKIVELMQKMQDCGQPPNDIVQELSPGFDLASFGQISPEMLDGSQPNCSIM >CAK8577071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535293416:535294088:-1 gene:gene-LATHSAT_LOCUS29212 transcript:rna-LATHSAT_LOCUS29212 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFLAISPEIFIINATSIFLIHGVVFSTSKKYDYPPLVSNVGWLGLLSVSRLGGQRTLGCGGAKQLERMNVRGAKKPQLPNLMRHQVQTAGNRSMGGCLILLQPQGWLIVRSWLEYLWFWKAHESERYVECATDTTLRRDREGIAQFFLEEGWFARVTDPAIMYSYLHAPETEVEHTYDDLHA >CAK8532201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:219844680:219848452:1 gene:gene-LATHSAT_LOCUS1932 transcript:rna-LATHSAT_LOCUS1932 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQNQNKNLNQEHHQQHHAWGTWEELLLACAVNRHGFKDWDTVAMEVQSRTNRPTLLATAHHCEQKFHDLSRRFRDDVPPPQQNGDAAAVDSDHVPWLDKLRKQRVAELRRDVQRSDVSILSLQLQVKKLEEEKAKENDGEEDKKPDLAVTGEEERPEKEKNGGEMEKAKPVISEPDIRRLEESTTNTDKVLPTTGDESDRDNQSVNESNSTGSRFDAAKTGEVDVKIEPGPVPVHSGLKEPDQTGRKKKSVEEESNNGSFDNEAKVLTYESVPPSEERKVEGDSSELHDSVTHSEEGGTRESSEVQSSSSLTKTRKSRRKKEVSGEEVLPENEDVVAMVKSEPLVYVLEMIKRHEKFSLFERRLEKNQDLDRYKDIVRQHLDLETIQSKLQKGLYSSCTNTFFRDLLLLFTNATVFFHHDSAESRAAQQLIRLVIAEMRSCSRTQSDPITQKNDSLPPNPPLSKPDSVFPKHKSSAPIIVCRKRSTIPVKPSTATFNQKGDQSINNDKKERSSSDAKPTLKQSYSDTDEDEPPKAKEKPVTGARSRRSSKSHNSNTGDKKLLSNSTHKTGSSANKPVETPKLDKNKAEGMSDKKKNAAADFLKRIKRNASAEVMRSGGSGGGASSGSSKGGGGGSNIKDQKKIVNSGKGEKGKEKSPRYDDGGGSGSGDKRNKNIEHSSQSRRSVGRPPKKSETNVVKRGRESSASGGKDKRPKKRSKK >CAK8565226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:76544477:76545876:1 gene:gene-LATHSAT_LOCUS18458 transcript:rna-LATHSAT_LOCUS18458 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >CAK8530322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14453762:14463012:-1 gene:gene-LATHSAT_LOCUS194 transcript:rna-LATHSAT_LOCUS194 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTFSSSSSSINKFDVFNFDDEEENIEKVSEKMIGKFKNPNNPTSPPISKYQFLEAFAHGSQSEHQSKHFPVEPIDLDDDLDDEEEGKFTTEEVFNQPLEVDNDDDVDDVGDDDEVEEDDTCIDNQAKNANACSIDSSLQYFADKDDNGYAEFEDSYFDLKNQSLHALSDDEDEDDDDSSEMGVSSNSTFDPSNFEDCFEDELVKADCSAFKIDDIKKVVDVLPDFIQYEELYSTSSRLIFTCNSIKLEGPTNNHTGEPFKIEWETEDIIKIESRWFEKIETAWVYLFFRSKDFEEVEITNEKPGFKLLKFAVYDSCWSRAEEAIKLLDMRYTNIWSTVFDIDTDNYGNNFALGQRSMFSQRDYFPIFDESFEEVIYPKGEPDAVSISKRDVALLQPETFLNDTIIDFYIKYLKNKLSTDEQKRFHFFNSFFFRKLADLDKDPSSASDGRAAFQRVRKWTRKVNLFEKDYIVIPVNYSLHWSLIVICHPGEVPCFRDEEIKESSKVPCILHMDSLRGHHKGLKNVIQSYLCEEWKERHPNMVDDVSSKFLQLRFISLELPQQGDLYDCGLFLLHYVECFLGEAPIKFNPFNITKYSNFLTSNWFPPLEASLKRSHIQKLIYDIFKNSSLQAPPVDCHDKGPLSSVSDVIESRAEAGPSGASCYPIMWDGNPSNVSTETDIQFPIVSPVRGASWAREPEIIFKDLQLPAVSPHFDCGQMSVSHQRGFMSPIEETEVFGDENAISIVRTNSQVGTSASDFPSTSYIGKDHRDPETTQQGFSMNFVESVDDLSYSKTASIPWDRLDSGILEDQPLEKIEESNIPNKIALEEIADSVVLDSQDSNDGHDVGVKSNSALGENLNSFTHQILDFAQNTTSVGDDSLLGKREPPLTFEPQEYDDKRRKLMDESDGPSRRFTRRMSKEPCLI >CAK8530323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14453762:14463012:-1 gene:gene-LATHSAT_LOCUS194 transcript:rna-LATHSAT_LOCUS194-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTFSSSSSSINKFDVFNFDDEEENIEKVSEKMIGKFKNPNNPTSPPISKYQFLEAFAHGSQSEHQSKHFPVEPIDLDDDLEDDEEEGKFTTEEVFNQPLEVDNVDDVEDDDVDDVDDVEDDDDDDVDDVGDDDEVEEDDTCIDNQAKNANACSIDSSLQYFADKDDNGYAEFEDSYFDLKNQSLHALSDDEDEDDDDSSEMGVSSNSTFDPSNFEDCFEDELVKADCSAFKIDDIKKVVDVLPDFIQYEELYSTSSRLIFTCNSIKLEGPTNNHTGEPFKIEWETEDIIKIESRWFEKIETAWVYLFFRSKDFEEVEITNEKPGFKLLKFAVYDSCWSRAEEAIKLLDMRYTNIWSTVFDIDTDNYGNNFALGQRSMFSQRDYFPIFDESFEEVIYPKGEPDAVSISKRDVALLQPETFLNDTIIDFYIKYLKNKLSTDEQKRFHFFNSFFFRKLADLDKDPSSASDGRAAFQRVRKWTRKVNLFEKDYIVIPVNYSLHWSLIVICHPGEVPCFRDEEIKESSKVPCILHMDSLRGHHKGLKNVIQSYLCEEWKERHPNMVDDVSSKFLQLRFISLELPQQGDLYDCGLFLLHYVECFLGEAPIKFNPFNITKYSNFLTSNWFPPLEASLKRSHIQKLIYDIFKNSSLQAPPVDCHDKGPLSSVSDVIESRAEAGPSGASCYPIMWDGNPSNVSTETDIQFPIVSPVRGASWAREPEIIFKDLQLPAVSPHFDCGQMSVSHQRGFMSPIEETEVFGDENAISIVRTNSQVGTSASDFPSTSYIGKDHRDPETTQQGFSMNFVESVDDLSYSKTASIPWDRLDSGILEDQPLEKIEESNIPNKIALEEIADSVVLDSQDSNDGHDVGVKSNSALGENLNSFTHQILDFAQNTTSVGDDSLLGKREPPLTFEPQEYDDKRRKLMDESDGPSRRFTRRMSKEPCLI >CAK8530321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14453762:14463012:-1 gene:gene-LATHSAT_LOCUS194 transcript:rna-LATHSAT_LOCUS194-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTFSSSSSSINKFDVFNFDDEEENIEKVSEKMIGKFKNPNNPTSPPISKYQFLEAFAHGSQSEHQSKHFPVEPIDLDDDLEDDEEEGKFTTEEVFNQPLEVDNDDDVDDVGDDDEVEEDDTCIDNQAKNANACSIDSSLQYFADKDDNGYAEFEDSYFDLKNQSLHALSDDEDEDDDDSSEMGVSSNSTFDPSNFEDCFEDELVKADCSAFKIDDIKKVVDVLPDFIQYEELYSTSSRLIFTCNSIKLEGPTNNHTGEPFKIEWETEDIIKIESRWFEKIETAWVYLFFRSKDFEEVEITNEKPGFKLLKFAVYDSCWSRAEEAIKLLDMRYTNIWSTVFDIDTDNYGNNFALGQRSMFSQRDYFPIFDESFEEVIYPKGEPDAVSISKRDVALLQPETFLNDTIIDFYIKYLKNKLSTDEQKRFHFFNSFFFRKLADLDKDPSSASDGRAAFQRVRKWTRKVNLFEKDYIVIPVNYSLHWSLIVICHPGEVPCFRDEEIKESSKVPCILHMDSLRGHHKGLKNVIQSYLCEEWKERHPNMVDDVSSKFLQLRFISLELPQQGDLYDCGLFLLHYVECFLGEAPIKFNPFNITKYSNFLTSNWFPPLEASLKRSHIQKLIYDIFKNSSLQAPPVDCHDKGPLSSVSDVIESRAEAGPSGASCYPIMWDGNPSNVSTETDIQFPIVSPVRGASWAREPEIIFKDLQLPAVSPHFDCGQMSVSHQRGFMSPIEETEVFGDENAISIVRTNSQVGTSASDFPSTSYIGKDHRDPETTQQGFSMNFVESVDDLSYSKTASIPWDRLDSGILEDQPLEKIEESNIPNKIALEEIADSVVLDSQDSNDGHDVGVKSNSALGENLNSFTHQILDFAQNTTSVGDDSLLGKREPPLTFEPQEYDDKRRKLMDESDGPSRRFTRRMSKEPCLI >CAK8543003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:565023919:565025157:1 gene:gene-LATHSAT_LOCUS11793 transcript:rna-LATHSAT_LOCUS11793 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSTFRFRIFQSTTTSFSPFFLFNQKRWKKPVISAQTRLEDRVRDPHFDKLTTHFKKLNLVLKIHTLMSNRKRGSFVSLQLMSRWRNTLGLNVTVTSFLQKYPHVFDLFLHPFRRNMCCKITRKMKELILLEEVVAKRCELEIVMRVKKLLMMSLNGTLHVHALRLIRRELGLPCDFRDSILGKYSDEFRLVDLEIVALVGWDDELGMAHVEEWREREYREKWLSEFETKFAFPINFPTGFKIERGFREKLKTWQRLSYTKPYERKDVKCGGDQRYEKRAVAVLHELLSLTVEKMVEVDLLAHFRKDFAIEVNMREVLLRHPGIFYISTKGNAQTVFLREAYVKGGLVEPNPVYTVRRNMLELVLLGRRKTKQLLASDEFKENNAVVCKVDEGKREGDWAIQFLEGYEKN >CAK8561639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:334307030:334309198:-1 gene:gene-LATHSAT_LOCUS15212 transcript:rna-LATHSAT_LOCUS15212-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFTFLTLHLYLLFTLFSLHVQANHVISEDGYTVSTVLEGHKLHINPFSVLQRPHSSDLIILDSSNSTFYTVPLPISQESVFKRFSGNGLPGYEDGDVGSARFDKPRSFAVDMRGNVYVADRVNKVIRKISTNGVTTIAGASSKKSNIKDGPAQNSSFSNDFELTFIPALCALLVSDHMHQLVHQINLKEEDCTIGSKSGGLGAVMTWTLGLGLSCLLGLIIGIVVRPCIIPPHECTSLYHFTVTWKQCQTSLGKLLPTLYSGIRSAVASCNCSSVFAVAMKLWRLSLSLLVLVFNIDFVFPRRPQLESVSLLDLDACNSGEISKSSKYFDQMKDLMSFDEDVMDSTKKTLNKGKDIRGSMRTKDSSNILHKISSVANLGVVKR >CAK8561638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:334307030:334309198:-1 gene:gene-LATHSAT_LOCUS15212 transcript:rna-LATHSAT_LOCUS15212 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFTFLTLHLYLLFTLFSLHVQANHVISEDGYTVSTVLEGHKLHINPFSVLQRPHSSDLIILDSSNSTFYTVPLPISQESVFKRFSGNGLPGYEDGDVGSARFDKPRSFAVDMRGNVYVADRVNKVIRKISTNGVTTIAGASSKKSNIKDGPAQNSSFSNDFELTFIPALCALLVSDHMHQLVHQINLKEEDCTIGSKSGLGAVMTWTLGLGLSCLLGLIIGIVVRPCIIPPHECTSLYHFTVTWKQCQTSLGKLLPTLYSGIRSAVASCNCSSVFAVAMKLWRLSLSLLVLVFNIDFVFPRRPQLESVSLLDLDACNSGEISKSSKYFDQMKDLMSFDEDVMDSTKKTLNKGKDIRGSMRTKDSSNILHKISSVANLGVVKR >CAK8530637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36963952:36964607:-1 gene:gene-LATHSAT_LOCUS487 transcript:rna-LATHSAT_LOCUS487 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKRLSVGSNKKGIDRVRYLLKRLSVGSSSVASSRYTVFIIDECHLLTSKAWIGFLKFLEETPQHVLFIFITSDIDNLPRTIESRRQMYLFNKIKDGDIATRLKKLSTQKNLDIDTDALDLISMNADGLLRDAETMLEQLSLLGKRITTSLVNELVGVVSDEKLLELLVV >CAK8579389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695307540:695308013:-1 gene:gene-LATHSAT_LOCUS31338 transcript:rna-LATHSAT_LOCUS31338 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPLQKCTAALHILAYGSSADSVDDYVRIGESTTLKCLDRFVIGVCTLFGAQYIRSPNNEDIAHLLQINTARGFPGSNNDINVLNKSDVFNDVVNGKAPAV >CAK8532799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:517766637:517768254:1 gene:gene-LATHSAT_LOCUS2469 transcript:rna-LATHSAT_LOCUS2469 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLQDFIPPTRDEVNKIIESYSFFNFITSSVMLSTLEDGKIVKGLAGIPSEGSVLLVGNHMLLALDKVSLLTRTYTKRDITVRGMAHPFMFRRLKSGRLPKVSFFDWLRVMGIVPVMVTNLFKLFSSKSHVLLFPGGFHEAFHRKGEEYKLF >CAK8561049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82613252:82613853:-1 gene:gene-LATHSAT_LOCUS14674 transcript:rna-LATHSAT_LOCUS14674 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFCIPLLLLVTFLYATSVLARNDPSGNEVKTEGKLANEGVTKPSLQGPNEDEKFIGYFYLKHKLKGYFHKKPIYYKPIPKYIPTYKPFHKPIIGEKPIPSVVKPESFLKHKHYFFKKPIIPIVKPVYVPIYKPVPKVIPIYKPIPKVIPIVKPIH >CAK8574856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11913185:11915300:-1 gene:gene-LATHSAT_LOCUS27156 transcript:rna-LATHSAT_LOCUS27156 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVNKHDENEGDDLYTEDGTLDIRKRPANKKKTGKWKACSFILGNECSERLAYYGMSTNLVNYLHDQFGQDNATAAKNVNTWSGTCYLTPLLGAFLADSYLGRYWTIASFSCIYVIGMALLTFSAVAPGLKPPCDANGCHPTSGQTAACFISLYLIALGTGGIKPCVSSFGADQFDDNDVAEKKKKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPAVAMVIAVIFFFIGSRWYRLQIPGGSPITRICQVLVAAFKKHALKVPDEKSLYETTDSESNIKGSRKLEHTNELKCLDKAAIETESDQTRDVPSPWRLCTVTQVEELKAVIRILPVWASLIAFATVYSQMGTMFVLQGNTMDQHIGPKFEIPSASLSLFDTLSVIFWAPVYDRIIVPFARKYTGHEHGFTQLQRIGIGLVISIISMIVAGILEVVRLDIVRKNNYYELKTIPMSIFWQVPQYFLIGAAEVFTNIGQMEFFYGEAPDAMRSLLSALSLTTNALGNYVSTLLVIIVTKVTTKNGNLGWIPDNMNRGHLDYFYWLLTILSMINFIVYLWIAKRYTYKSVKK >CAK8562380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480210289:480211298:-1 gene:gene-LATHSAT_LOCUS15881 transcript:rna-LATHSAT_LOCUS15881 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMASSRGNIAAIVGVGPNLGLSIARKFAHEGYTVAILARDLGRLSEFAEEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEVLVYNANYQSPLQSKPTPFQLLPIQTFQTSLAVSSLGAFNCSQQVLPGMVERGKGTILFTGCSASLNGIAGYSELCCGKFALRALSQCLAKEFQPQGVHIAHIIIDGLIGPPRGSPASAATTTSRGGGEGVMDPDALAQTYWHLHVQDRNAWTQEIDVRSSIC >CAK8568751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639037756:639040440:1 gene:gene-LATHSAT_LOCUS21682 transcript:rna-LATHSAT_LOCUS21682 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNEKLVRFSNDGKLNLEKPMQVYKFQKIVKPRGKTSSGDIDIDIDNDNDKNRMSIKFPTFGKFKVFQENHEPWKERILDPGSDLFLEWKRAFLFSSILSLFVDPLFFYLPSVSIEPDNKNLSCMVTDLNLGIVVTCFRTFADVFYLLNMVIKFRTAFVSPSSRVFGRGELVMDPRLIARRYMKSEFFLDLLATLPLPQIVIWFIMPAIRSSHADHTNNALVLIVLLQYVPRLYMIFPLSSQIVKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSECRNENLPVKCVLDYLDCSSINNNGRVKWMNTTSVFSNCNPESSTDFKYGIFGNAVQNNVLSSVFIEKYLYCLWWGLQNLSSYGQSLSTSTFVWETAFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPEFLRERVRRFVQYKWLATRGVDEETILRGLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTLNLPSSTRTVKALVEVEAFELRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQVAWRRFKKRVLANSLSLREYKSFIDEQARYRMEHEKEERGSVTSSTAQVKQNLGVTILASRFAANTRKGVQKIKDVEMLKLQKPEEPDFSVDHEED >CAK8539512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514634982:514635767:1 gene:gene-LATHSAT_LOCUS8598 transcript:rna-LATHSAT_LOCUS8598 gene_biotype:protein_coding transcript_biotype:protein_coding MYGENVYETNSSLLDSIRLHLLGDSDVFSFGASSNVPVFCRSFSFNKLYPCMSENWGELPLKEDDSEDMLLYGVLRDAVNVGWVPSLDGASPGSFSSCFTPPAIVKSEPDVFPVVKSVSENVVPAPVKGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRAMLNFPLRVNSGEPEPVRVASKRFSASELSSSSSESGSLVKRRKKVVEPMVTTQAGLKMAQEGSQVEHCTRGDKVLAS >CAK8567967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559579001:559580530:-1 gene:gene-LATHSAT_LOCUS20971 transcript:rna-LATHSAT_LOCUS20971 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIKQNKTTKNQEGETNRADSCMFQSNVLDPPLADDQHLVKNNVSEDVGQGKKKKKKKRSGVSKHNCEDGDDGKKMKKKPRLIEGNTFNECNHCRSNEGEDGEQGNKLKKKNKKLSEESKHKDYNEVKSNDCEDVGQGKKVKKKKKLIEEGRFNHIRSNEDGDDDQGETTKKNHLTNKKSKTNDDESKAVTSESPNSAHNGTCKPKRVTFSDQVEEFCYDGLVCGKRYTPEEDEKIRASVYDFIDSHGLGDEGVDMILHSRQHSSIKGCWKVIAKVLPERPKESVIRRARNLFESNEKFKWTPYEIDFIRKAHEQNGPNWRGVANALGKSQYQIADAWRKLKFTKRKKGRWSQEEYQTLFNLVNTDLRTRALEPYRKSKHGMLRDNICWEAIGNKLETRNSALCCSKWYDQLTSTMVASGDWCDTDDFRLVEALYALDACSMEEVDWDDLLEHRSGDVCRKRWDQMVQHIGDRVGKSFIEQVEILSKRFCPDLLEARETFDNKPVTC >CAK8540186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543417788:543421505:-1 gene:gene-LATHSAT_LOCUS9215 transcript:rna-LATHSAT_LOCUS9215 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEEVAYSRPLFLTIYTVVIVGIVVSSLYVFSAIHYSPTTSSSAWSSIPPLSNDDSRLNISQSEVVRVVPSAFSEPQNMSKKAVLNVPPRNKKMPPLKDFRLNKELVQQRVKDNIIIVTFGNYAFMDFILTWVKQLNHLGLSNYLVGAMDTKLLEALYWEGIPVFDMNSHMSTVDVGWGSPTFHKMGREKVILINSILPYGFELLMCDTDMVWLKNPLPYFARYPGADVLTSSDQVVPTVVDDSLEVWQEAGAAYNIGIFHWRPTESAIKLAKEWKELLLADDKIWDQNGFNDIVHRELGPSVDEDSGLVYAYDGKLKLGILPSSIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMLFHDPPEYYNPPGGFLSFKPSIPKSLLLTGNHTVGSHFTLINYQMKQIRTALAIASLLNRTLVMPPLWCRIDRLWFPHPGVLEGSMTRQPFLCPLDHVFEVNVMLKELPEEEFGPGIDIREYSILDNPSLPLEVKKSWLDVHLCKEGTQDCNASNNVTVGGVLKFPKHSNEETFMKVFSSFKDVKVIQFSSMRDAFTGFVNKEREDRFRTRVKHYTGIWCCVVDHTPGHIYYDMYWDEKPGWKPIPPQTSADDHPPW >CAK8577348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556442039:556442471:1 gene:gene-LATHSAT_LOCUS29462 transcript:rna-LATHSAT_LOCUS29462 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVAISGCGHLPHEECPKALLAAVLPFIALCIFTRVSNKTTIGSTIVNCNYGVSLLVFEDDPVDLLQDDEVDGEEVSLSTIQENEDEEDSNDDDENLIAI >CAK8566605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441682650:441684516:-1 gene:gene-LATHSAT_LOCUS19725 transcript:rna-LATHSAT_LOCUS19725 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIKRKRHLIHTSESSDNDSINYPSCMQNSNNETSQHHHPLGWIARAEKCFKKQMHAKTIELERQRGLHQNLEFELQQLKTALNVLNIFEDDDDEEEADLEFSIKFRAMENDLTMKKELIEELEELNHVLTLKEREMNDELQRARKTLINGIEELSVPNNIAVKRLGELDSEPFLEAMKKKYKGDEAEVRAANLCSLWEFYMKDPDWHPFKFVTVDGEKREIIDAADKKLNGLKRSVGKAAYNAVVAALTELNEYNPSGRYVTSELWNYDEDRRATLQEGIQFLLDNSSNKRKKESETMGRKGN >CAK8544033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659183101:659222212:-1 gene:gene-LATHSAT_LOCUS12743 transcript:rna-LATHSAT_LOCUS12743 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTISGIRFPVVPSLHKSTFRCNHRTSSHSFLLKKNSSFSRTSLYAKFSRDSETKSSTIAESDKVLIPEDQDNSLSLADQLENPDITSEDAQNLEDLTMKDGNKYNLDEAASSYKEVGDEKGSVIASSLVDANTDTQAKKTSVHSDKKVKVDKPKIIPPPGAGQKIYEIDPLLQAHRQHLDFRYGQYKRIREEIDKYEGGLDAFSRGYEKFGFTRSATGITYREWAPGAKSAALVGDFNNWNPNADVMTKDAFGVWEIFLPNNADGSPPIPHGSRVKIHMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPQSIRIYESHIGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNMFDGTDAHYFHPGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVSFTGNFSEYFGLATDVEAVVYMMLVNDLIHGLFPEAVSIGEDVSGMPTFCLPTQDGGIGFNYRLHMAVADKWIELLKKQDEDWRMGDIVHTLTNRRWLEKCVVYAESHDQALVGDKTLAFWLMDKDMYDFMALDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPNGKIVPGNNNSYDKCRRRFDLGDADYLRYNGMQEFDRAMQHLEERYGFMTSEHQYISRKNEGDRVIIFERDNLVFVFNFHWTNSYSDYKVGCLKPGKYKIVLDSDDTLFGGFNRLNHTAEYFTSEGWYDDRPRSFLVYAPCRTAVVYALADGVESEPIELSDEVEPEPIEQSVQVESEPTELSVEVESEPIELSVEVESKTTQQSVEVEP >CAK8535662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873056097:873056705:1 gene:gene-LATHSAT_LOCUS5097 transcript:rna-LATHSAT_LOCUS5097 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKELRKKLINMGYALMEATFNYYRGKIRRTDIEASCWIDNIPMKKWSRAYDGGQRWGHMTTNLAEAMNSVLKATRNLPITALLQSTYYRMGSLFGKRGHQLTKILASCRVFTDGCNKGMTDEVAKDNAHDVMQFDCERFYFMVQEKINQNDGRPTGSFIVDLRNHWCDCGIF >CAK8564189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655854104:655861375:-1 gene:gene-LATHSAT_LOCUS17516 transcript:rna-LATHSAT_LOCUS17516 gene_biotype:protein_coding transcript_biotype:protein_coding MANGPAPFFEIGKRARDLLYKDYNFDHKFTLSVPSSSGLGLTATGFKKDQYFVGDINSLYKSGNVTVDVKVDTYSNVSTKVTLNDVFHSKKVALSFNIPDHKSGKLDVQYLHPHAAIDSSIGLNPAPQLEISAAIGSKDVSMGAEVGFNTTSASFSKYNAGITFNKPDFSATLMLADKGEALKASYIHYVDRPDGLTVAAELVHRLSSSENRFTIGTSQSIDPKTVLKTRFSDDGKAAFQCQRAWRPNSLITLSAEYDSTKIFGSPAKFGLALALKP >CAK8537474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:366134332:366134586:-1 gene:gene-LATHSAT_LOCUS6764 transcript:rna-LATHSAT_LOCUS6764 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWTDRCRRTLINFLVYCPKGTVFIKSVDASGASKTADTLFKLFKEVVLYVGPENVVQIVTDNAANYVAARKLLEKEFSKLY >CAK8543082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574778181:574781491:-1 gene:gene-LATHSAT_LOCUS11868 transcript:rna-LATHSAT_LOCUS11868 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRAAEDTNKIANGMPSYAPPLPPPNSMGMEGTNIHPSRISDFGALEQSLGFRVEDAMNLSRNPVFNQMKANSQALGADIQFGALSKTLQQQKDTQPNLASTSGGHRENWGESNMGDGSPDTSTDDTEDKNQMAERGESSERSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGEQAHSMSGNGAMAFDAEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMTQFEDIYRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSHLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNPSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFARLRALSSLWLARPRE >CAK8543081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:574778181:574781491:-1 gene:gene-LATHSAT_LOCUS11868 transcript:rna-LATHSAT_LOCUS11868-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRAAEDTNKIANGMPSYAPPLPPPNSMGMEGTNIHPSRISDFGALEQSLGFRVEDAMNLSRNPVFNQMKANSQALGADIQFGALSKSIANSDINLSAAIAGSQTLQQQKDTQPNLASTSGGHRENWGESNMGDGSPDTSTDDTEDKNQMAERGESSERSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGEQAHSMSGNGAMAFDAEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMTQFEDIYRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSHLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNPSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFARLRALSSLWLARPRE >CAK8575821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:331177530:331178246:1 gene:gene-LATHSAT_LOCUS28055 transcript:rna-LATHSAT_LOCUS28055 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCPPISQESTHQPIPNESGNLSIEAPPPTPNDNMEVDSQTTENRRSRLKSIVWDHFTKVKVGEKVKAKCNYCSKLLNGSSNDGTTHLKGHMDYCPKKKLLKPSEKGQTFLTPKTMQGKQELSTGIYDAEIAKKELAHAIILHEYPLSIVDHIGFRRYSASLQPLFQVPCRNTIKKEILKVYNLERSSTLKLLENLEGRVAITFDLWTSSNQKKGYMAVTAHYIDGNWNL >CAK8568972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660661439:660662029:1 gene:gene-LATHSAT_LOCUS21875 transcript:rna-LATHSAT_LOCUS21875 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMNIKKTLNKWRHNVSDPILKTMTANMQLKYNKYWESNTINYLLFVAIYLDPRYKLDYIEFCFTRMYGEKLSEDMLKKLKSLIAKLFEHYLFLYPVSHDGGSNASSSNIASHSRIENGEDDED >CAK8539388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511095873:511096598:-1 gene:gene-LATHSAT_LOCUS8488 transcript:rna-LATHSAT_LOCUS8488 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSLLTFTLCSLLLTFTPSQAANFEIVNNCPYTVWAASSPGGGRRLDRGQTWNLWVNPGTAMARIWGRTGCNFDGSGRGRCQTGDCTGGLQCTGWGVPPNTLAEFALNQFGNQDFYDISLVDGFNIPMDFFPLNGGCHKISCTADINGQCPNELRTQGGCNNPCTVYKTNEYCCTNGQGTCGPTNFSRFFKDRCHDSYSYPQDDPTSTFTCPAGSNYKVVFCPLGAPHIEMPLNHTSVY >CAK8531209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:89186952:89190535:1 gene:gene-LATHSAT_LOCUS1016 transcript:rna-LATHSAT_LOCUS1016 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLDYSPLLSKQGGETDYGYVRKISPSVVSATKWTLRILIFIVFVLWGAFIFLLPSEPVYKLFTKWLRFSRGTSYGITGSILMSHSVPVLAIAFLAIAHLIISGEDQLPEKKSSKYPRFRLWTFPLLINGPFGVVSATEFIGIVIFVAYVIWAFSTYAMQALANMSDQLSFRALSLRMLDIMGLRLGAIGLVCLAFLFLPISRGSVLLRFIDIPFEHATKYHVWLGHLTMVLFTLHGLFYAIEWLMEGRLIRELVEWKDIGVANLAGVISLVAGLLMWVTSLPGVRKWNFELFFYTHQLYIIFIVFMALHIGDFIFAMAAGPIFLFVLDRFLRFCQSRKTVNVISSRCLPCGTVEMVLSKPQNLRYNALSFIFLQVRELSWLQWHPFSVSSSPLDGNNHIAVLIKVLGKWTGRLRETITNVDAPEDLSVKPNTVVTASVEGPYGHEVPYHLMYENLILVAGGIGLSPFLAILSDILHRVREGKPCRPRNVLIVWAVKKSNELPLLSTVDMETICPNFSDKVNINVHIFVTRESDPPLEEGYVFKPIKSSLCPFPMPSDYGMSGLVGTGNNVWSGLYVISSTLGFVILFALLNIFYINPFGVYKWWYKGLLFVICMVASVVIFGGIVVGFWHMWEKQSSLKDNSNNIKVDKIEQNGSAASKDPSPDNLTKLTDVQYGSRPDFKEIFELMSEKWGHVDVGVIVCGPPTLQTSVAQEIRSHSLTRQTYHPIFHFHSHSFDL >CAK8536901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35916595:35917662:-1 gene:gene-LATHSAT_LOCUS6226 transcript:rna-LATHSAT_LOCUS6226 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRNTDENKRRKIEDSVKPIVTCYWVDSKDNDNVVEDKKMIKNKVFKTRASSQPKNNENVVEDKKVIKNQVSNTVMPNNIDVENKKQVSKAEIAFNGRKESSRGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMVDKSESKSKAIGLKDIERKLRLYATPDEFASDVRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDTWKLEDRGRSKTHKSRIY >CAK8536900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35916595:35917662:-1 gene:gene-LATHSAT_LOCUS6226 transcript:rna-LATHSAT_LOCUS6226-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRNTDENKRRKIEDSVKPIVTCYWVDSSYRTKSSALSQPKNNDNVVEDKKLIKNQVFKTTPEDNDNVVEDKKMIKNQVFNTVMPNNTVVEDKKMIKNQVFNTVMPNNTVVEDKKMIKNQVLKTTALSQPEDNDNVVEDKKMIKNKVFKTRASSQPKNNENVVEDKKVIKNQVSNTVMPNNIDVENKKQVSKAEIAFNGRKESSRGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMVDKSESKSKAIGLKDIERKLRLYATPDEFASDVRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDTWKLEDRGRSKTHKSRIY >CAK8573458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613610983:613611681:-1 gene:gene-LATHSAT_LOCUS25904 transcript:rna-LATHSAT_LOCUS25904 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVLKLEIHDDKIKQKALKAVSSLSGVESVSVDMRDMKLTATGDIDPVKLVAKLRKFCHAEIISIGPAKEEKKEEPKKKEDDKKDSTKTTIIDPLMFYGTHAYYNHQMKSQYSPYHVPHAYYNHQMKSQYSPYYVQQYSAVAVEEDPNACAII >CAK8535404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845984442:845985734:-1 gene:gene-LATHSAT_LOCUS4869 transcript:rna-LATHSAT_LOCUS4869 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNEMSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSGEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8560287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13015031:13015240:-1 gene:gene-LATHSAT_LOCUS13974 transcript:rna-LATHSAT_LOCUS13974 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKLKCFQCFLMWHVLVATSILSSSTDHSSIDNFLDIAKSPEVFDWMISIRRKIHENPELGYQEF >CAK8560041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5952761:5958239:-1 gene:gene-LATHSAT_LOCUS13758 transcript:rna-LATHSAT_LOCUS13758 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLEAAVKDTNGSILNKKPTVVFVLGGPGSGKGTQCANLVEHFGFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAMQENGNDKFLIDGFPRNEENRAAFEKVTGIEPTFVLFFDCPEEEMERRLLSRNQGREDDNIETIRKRFKVFLDSSLPVINHYDAKGKVRKIDAARPVEEVFDSVKAIFGPKNEKAD >CAK8568493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611721451:611721979:1 gene:gene-LATHSAT_LOCUS21445 transcript:rna-LATHSAT_LOCUS21445 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSAYRGIEREDRGPEQVGLGYRAVSAVGGLQRTCSYGSKKARLDPRRQSLGCSPNPTRERTGGNRSMGDVRVSSQGSFLVFGLRADLSDQGPGHKGPGTIQVRRTPEVTAMSRNLTHRPKRRANTRT >CAK8539366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510224703:510228568:-1 gene:gene-LATHSAT_LOCUS8469 transcript:rna-LATHSAT_LOCUS8469 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRRFTQVATSDDDEDEAPPPPQQHSKLRKRKRMKLLEEEEEDNDNSDNSNDKVEEKEEEKKDPPQTPDDAKPIGDPLRVSGKGRGRKRHYESFEFDGNQYYLEDPVLLVPEDKEQKPYVAIIKDIIQYFSGSIMVAGQWFYRPEEAEKKGGGSWKSCDTRELFYSFHRDEVPAESVMHKCVVHFVPLNKQFPKRKQHPGFIVQKVYDTLERKLWKLTDKDFEDVKQQEIDELVQKTQKRIGELLDIEPEESPPIDQEEVMKNKRSSRRKSISPIDVSRDEEGISKSDQHSKPETPMSCVNNNSEHHHILVNFNALTGNIHRDKWLERLLQHVQYMCNYDEGVEKGKGSGNTDSDEIKNKNNDKTSEIANDRQDTGQKSSESFVWPDAAVSAIVALEKASNEALSTDFQKYNQKLRQLDFNLKNNALLARRLLNGELEPSKILNMTPIELKEGLTAEELTKKEPDEKQHMQMTDARCSRCMEFKVGLREIIHAGHDDRYQLECSACGNSWYASRDEVSTLTIDASDSKRSTDTAPSATAKFEDVQKKLASPRESEIAVDDISKKTGEPHVPVLDTQKSLGKSKKDDNVETKKLVDKE >CAK8568259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587747349:587747981:1 gene:gene-LATHSAT_LOCUS21233 transcript:rna-LATHSAT_LOCUS21233 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQSSLLQIQPPTYGNLVTILSIDGGGIRGLIPATILEYLESELQELDGESARLADYFDVITGTSTGGLVTAMLTAPNDKQRPLFAAKDIKPFYLEHCPNIFPQNKHMLGSMGKLFRSLAGPKYDGKYLHNVVKEKLGETRVHETLTNIVIPAFDIKSMQPIIFSTYQSKRTPCWK >CAK8533215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591767550:591768050:-1 gene:gene-LATHSAT_LOCUS2860 transcript:rna-LATHSAT_LOCUS2860 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDLPAVGNHFTWLNSSGSCRSRLCRFLLSDSLIDKWRITAQYVRDKDVSGHRPVWIKASHVNWGPKPFKVFSCWYKHPQFHDFVKSVWDSSAIGGDATNVLSVKLRLLRTRLWWWNTNVFGLIDLKIKDEVSKVNKIEDEVTWSGEEISDSDLNIKATALEAF >CAK8567383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509870001:509871912:1 gene:gene-LATHSAT_LOCUS20443 transcript:rna-LATHSAT_LOCUS20443 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVETLARLAQWKIDSFGPSSYKKSDPFKLGIWNWHFTIVRNRFFSIHLFPEPSRVSKEHPPVARFILRVSVAGSSRKFIISPVYERLLRTQDDFVWPVDTAFTGRFIIDVEFLDLKICHMSGGEPSSIWSSDGNSPCNKAQRSTLHCLSRMLDEAINADLTIITSNGTLRAHKAVLSASSPVFQSMFHHNLKEKESSTIHIEDISLESCTTLLSYLYGAIKHEDFRKHCLALLGAANKYDISDLKDLCEESLVEDINSGNVLEMLNEAWLYQLHKLKKGCLTFLFEFGKIYDVKDDEINNLFQHADRELVMEMFHVMLTISNPE >CAK8564734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7866463:7866852:1 gene:gene-LATHSAT_LOCUS18006 transcript:rna-LATHSAT_LOCUS18006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDVHNKIKLIQEAIAEDQNNKVKEQNSQQMSMENLKNFFQRKYPNESEDEIMVRILDHMKNQFFSMFPTKASKDEDSSMKASSSIGSMDSHNFDGLAEEGQADEPTAEDFWDAMIQSMKVKGKAKS >CAK8570676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:86029672:86031720:1 gene:gene-LATHSAT_LOCUS23412 transcript:rna-LATHSAT_LOCUS23412 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSLSTLPSSFLLPNRLLSFSARLPSQASPSSSSRFTTVRCRVNRAGNSTYSPLNSGNSNLSERPPTDMAPLFPGCDYEHWLIVMDKPGGAGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNQLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRHQDRPRYNDRTRYVRRKENTR >CAK8566436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423178400:423181075:-1 gene:gene-LATHSAT_LOCUS19567 transcript:rna-LATHSAT_LOCUS19567 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKRFPLSSNGPHSIQKCFNNRTLGSLGIWFGDNPFDFALPITLCQIILYFIVSRTLHYVLRPLQTPKFLCCVIGGVLLGPTAIGRNVRFLDSLFPVTQAPFLTALAKIGSSYFVFIYALKLDVINNLKTAKRCWRFGVIPYYSCYFATSILLALYRPRGDQKENTTGSVYSIPNVFTISSFAVVSEALTELNLISTELGQIALSSSMVSEMLQWVTISLQFSSKTNFVLSLGFLVCTCLFGLSFFFIVRPMAKFIIQRTPVGKPVNEIYIVMILLGVLVMAGISDLLGLHFVMGPILFGLVMPNGPPLATTIIERSELINQEFLMPFFFLYVGVTTNFGGVNKHWKVALTFQAILFVGSMVKIIDDHVYSQMVLYVVLMTGICIPLIKSLYKNRSRVLIDKRIHEGGERTIQSTSNNSKFSIISCVHNETNVRSMIGLLEACNPSKGSPLYVHIVHLTELFGKSIPILLPINMKNKKSLSINYPNSSHILRAFVNYSENSKGPVTINSYINVSPYHTMHEAICNLAEDKLVHFLIIPFHENEQSLGNNVGSTIRELNSNFQACAQCTVGILVDRYSQISTSATNLSFNVAIFFVGGQDDREALALGIRMFDRPNTNVTLFCFIFHNISSNSNNRSGVVEYKVDDKDYEIYESMLDENLIDEFKAKKINNDNVVCREIVVDDCNQMLEAIRGLENEDYDLVMVGKRHNIGDLTDEEMSNFMDNAILLGVFGDMLASTEFCNGKVPILVLQCGDKRVNQFNTLF >CAK8564716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7510706:7513354:-1 gene:gene-LATHSAT_LOCUS17989 transcript:rna-LATHSAT_LOCUS17989 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKNCTIDDALELVIAVKVEFHDHNTEKYHSFLEILKDYKAQRIDTRVVRLKVYQLFEGHRDLILRFNTFVPTQYEIKLPLDYDDKQQQCRRRLEIGQEDALAFLKRVRDVFHGKNKEKYDEFIEMMNDFKARRINTSFVVDRVKELFKGHTTLILGFYDFLPKEYRDDEIRLEVKDALAFLKRVGDVFQGKNTEKYGEFLEILKDFKAQRIDTSVVVHRVKELFKRHTNLILEFNAFLPKNYQIRLPLQLHTGNKVKKVAENCEIPWDLLDIISRKLDFDDVFGFAGVCKSWREFHKTYWRNFMASQEPLLLQKSSHDKKSFSFISIQDQRVYHSKTINHFWNFAYSGSSSGYLIMTTENNSFILMNPFTRKKIEINTSAFKVEFSIFAYHVLLAFGKGSTEFVLVALCTSSNSLHVYQSRSSDWITYSTKGKPWKVVDFAVLHNTIYVVNDKAKIGVLSLNSANINFLELKSTPKVSSSSRLRLVSCDNGKLLMIHILSRKILNVYEIDLSIKNFVEMKTLGDIALFYASGKYFYALSNPGRWGYESNSLHAINLSSTQCIVSIGNDNKLPEYISHDRLSNPPKRRPYLLDWCFKHLHYEVDYSLVE >CAK8544592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694160200:694161919:1 gene:gene-LATHSAT_LOCUS13253 transcript:rna-LATHSAT_LOCUS13253 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVNFLFILVLFPSFDQVLSFPDEFPSEMQTQDMQALIAQACMDIENQNLCLTNIHNELTKTGPPSPTSVINAALRTTINEAIGAINNMTKISTFSVNNREQLAIEDCKELLDFSVSELAWSLGEMRRIRAGDSTAQYEGNLEAWLSAALSNQDTCIEGFEGTDRRLESYISGSLTQVTQLISNVLSLYTQLNRLPFRPPRNTTLHETSTDESLEFPEWMTEADQELLKSKPRGTVADAVVALDGSGHYRTINEALNAAPSHSNRRHVIYVKKGIYKENVDMKKKMTNIMIVGDGIGQTIITSNRNFMQGWTTFRTATFAVSGKGFIARDMTFRNTAGPVNHQAVALRVDSDQSAFFRCSVEGHQDTLYAHSLRQFYRECEIYGTIDFIFGNGAAVLQNCKIYTRVPLPLQKVTITAQGRKSPHQSTGFTIQDSYVLASQPTYLGRPWKQYSRTVYINTYMSSMVQPRGWLEWLGNFALDTLWYGEYRNYGPGSSLAGRVNWPGYHVIKDASTAGFFTVNRFLNGGSWLPRTGIKFTAGLSN >CAK8575743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:297538686:297538883:-1 gene:gene-LATHSAT_LOCUS27979 transcript:rna-LATHSAT_LOCUS27979 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYRYYRSWMYATLYLGRRELKPKFEEGVKGSITWTFSQDCCRSEGGVRCPCHKCECRPIISDP >CAK8544119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664279999:664286906:-1 gene:gene-LATHSAT_LOCUS12822 transcript:rna-LATHSAT_LOCUS12822 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFHYKTILFSLLSLLCFAFTSSHIIPKFPSSLVSPELQQLSISSQNGFYRTMFFTQILDHFNYNPQSYQTFQQRYLINDTYWGGAKKKAPIFVYMGSEADIEWITQNTGFMLEKAPYFKALLVFIEHRYYGKSIPFGGNEEVANRNSSTLGYMSSTQALADYATLIIDLKNNLSATDSPVIVIGGSYGGMLATWFRLKYPHIVIGALASSAPILQFLDLVSPYTFTNIVTRDYKSVSENCYKVIKGCWKQIEDTANTPGGIEQLQKSFKICNNNTISDGTLKNWLRSAFLYTAMADYPTPTNFTTPLPAYPVKKMCEAIDSISTGNDTFTKLYGAANVFYNYTGTVPCFDIESDSEDSTIYRGGWDWQACTEMILPLGGNNEESIFPAHRWELQDTISYCKHEYDIVPRPHWIIAEFGGKDIERVLKRFGSNIIFFNGLRDPWSGGGVLKNLSKTLIAIVAKEGAHHVDLMFSTKEDPEWLKDVRNKEVKIIATWISQYYQDLI >CAK8572893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570045909:570047288:1 gene:gene-LATHSAT_LOCUS25399 transcript:rna-LATHSAT_LOCUS25399 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFSDDPDPAQSEPNNADPNPDSGADDAWNFGGLIKTLTSKSESIIETYRRDLQEFSTGLKSEIEVAQDSVGEIGSTVIKSTAQIISQGKEAILAVNLDSDSDNGTTTASRDSSRLDSKRYSRFDAQVGAIQGDVSTYTEVPEDLNEFNEWKLGFALDGKSDEMESLFRENDAMESIYKKVVPNTVDHETFFCRYYYKVYRLKKAEDVRARLVRRISRDEEDLSWDVEDDEEENEAKVKTEIVINKEIDAENLGKSLGADLKTGASGSGAGDEKRLSVEEVQDSGSKVEKRDNSMQSNEHGNEMDKSVEESPVVEKAEVVHEMDGGIEENNEDGGVDKASKLEVGDAANKDGSAAVSDEKMVILETKASDVKSPGKNNESSVVESLPMGNEDEEDLGWDDIEDLSGIDDKKAAQSESQSGSTSKVDLLKRLSTAEEDEDLIWDSEDDDDESVKP >CAK8540823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23772350:23773249:-1 gene:gene-LATHSAT_LOCUS9790 transcript:rna-LATHSAT_LOCUS9790 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRKKLVNMGYALTEATFNYYHGEIRRTNIEASNWIDNISREKWARAFDGGQRWGHMTSNLEETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMDDKVAKANTHNVMQFDSEKFCFMVQEKTNYNDGRPTGTFSVDLQNRFCDCGKFQAFHLPCSHVIAAYSSIRQDYTIHIPEVFKVLNVFKVYQESFLGLPHEENWSKYEGFTLCHDDSMRRNKKGIQIVVELELRWTTEKKRKEGVGFVEK >CAK8543940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650585348:650585824:-1 gene:gene-LATHSAT_LOCUS12661 transcript:rna-LATHSAT_LOCUS12661 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVILINSVLANLLIHYLAFFKAPHKVVKNLIAIQRRFLWAGNSSNSFIPWVSWNSVCKSKEHGGLGIKHVGRFNSALLAKWLWRFQTGDNEIWRNTLTNRYGNLSIKTQTYSDVDRLKSNSLWMKDMMTNASLNSHANFCNFTACSVGEGIDAAF >CAK8570098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23713116:23713562:1 gene:gene-LATHSAT_LOCUS22881 transcript:rna-LATHSAT_LOCUS22881 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRHGLTPNFEEGVNGFITWAFAQECCRREGGVRCPCLKCECRPIISNPEEVERHFKRRGFIKNYWVWTYNGEQLPSNVYAETTNTHTSSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLK >CAK8562383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480684469:480688308:-1 gene:gene-LATHSAT_LOCUS15884 transcript:rna-LATHSAT_LOCUS15884 gene_biotype:protein_coding transcript_biotype:protein_coding MNARARSTLHSIKSSFNDAKQEKKMEVGGSKGMKNGRRSNREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENSNDSIDQNHMRNSSSKHQRSKSYSQNEFNSMTTTRVQPQNSLARSASSRKLLFSPDSVNSHSGMSNGKQLHRKQDSFSSIQEEGRGKENLLFGNFLKDKQSPVKKISKLITPIKKSPLKHESPQKNSMDHFKLQLDWRLSEHERAQSSSNSPKDNKVSEVDSAPNRVSEDLVKCLSNIFVRISTSKEKFVEPKTPSTSGSSFSQYSKEKDQFCDPYDICSESKTREVGPYKSLCEVRASTVDLNKTTNAMFLIHRLKFLLGKLSSVNLKGLNHQEKLAFWINTYNSSILNAYLEHGIPGSPEMVVALMQKATIVVGGQLLNAITIEHFILRLPYHLKFTCPKAAKNDEVKARSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASEVENELEAAKRDYLQASIGITKTNKIIIPKLLDWYLLDFAKDLDSLLDWICLQLPDEIRNQAVRCLEGQERDSLSNMVQMKPYDFSFRLLLHTNE >CAK8537069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:76550132:76552671:-1 gene:gene-LATHSAT_LOCUS6385 transcript:rna-LATHSAT_LOCUS6385 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIPLISSQCLTHLLITFALLSQTVMAESRAKTVLITCGSQLEHNTTIFVPNFVATMVRINEQMSSTGFGTAVTGTGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNSGRIYLDGCFMRSENYSFFNEYKGPGDRAVCGNTTKNSDFRAAAKQAVLRAVQDAEKNKGYARGKVVVSGAANESAYVLADCWRNLNSQSCKACLEHASSSILGCLPSSEGRALNTGCFMRYSDTDFLNKEVENTNSRGNLLVIVVAVVSSVIVAVVGVIIGAYIWKQRYIQRKRRGSNDAEKLAKTLQEKSLNFKYSTLEKATESFNDNNKLGHGGFGTVYKGVLPDGREIAIKRLYFNNRHRAADFYNEVNIISGVEHKNLVRLLGCSCSGPESLLVYEYMHNRSLDRFIFDKNKGRELKWGKRNEIIIGTAEGLVYLHENSKIRIIHRDIKASNILLDSKLRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGRQNNRSKALEYSDSLVIVAWKHFQSGASEELFDPNIELHDDRNSSDVKNELLRVVHIGLLCTQEVPSLRPTMSKALQMLTKSEEPLVAPSNPPFIDENTMELHDTSGDAFYLPNATDSIATMSHSSFYPR >CAK8531648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:134198860:134201362:-1 gene:gene-LATHSAT_LOCUS1424 transcript:rna-LATHSAT_LOCUS1424 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLLALSNASKVYGDFEQCGLNPKLDPRPHTVSILEFGAVGDGKTLNTLALQNAIFYVKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVIIGSQDPSHWDVVEPLPSYGRGKDVPEGRYKSLINGYKLEDVVITGNNGTIDGMGMVWWEWYNSHSLNHSRPHLVEIISSDSVVVSNLTFLNAPAYSIHPVYCSNVHIQNVSISTPPESPYTAGIVPDSSDNVCIEDCNVSIGYDAISLKSGWDQYGITYGRPAKKIHIRRVHLHAFSGSAISFGTEMSGGISNVLIDHVHIVNSNSGIEFRTVKGRGGYIKQIAISNIEMENVYTAISAKGNCGSHPDDKFDPKALPLLNHITLKDIIGTNITIAGNFSGIEESPFTDICLSNITLSTNSVSSITWECSNVSGFSDSVLPKPCQELDNPSNSSSSLCFYLMSLGEKNSAEL >CAK8542419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512243515:512245750:-1 gene:gene-LATHSAT_LOCUS11259 transcript:rna-LATHSAT_LOCUS11259 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSLNVALSKKTSVLGLKRWVLIGIGVGAFIVLILCMLSVWVMFRRKSRSRRSLDKAQIPNVSKDIDVDIVGVQNSHVQYGNAFVPNDSNLDKMSVCMKMGKFSDPDSVSQCSSVYHHERGLSSLSWEEGSSGNFKKRSTLSYGGGPTTTSPLIGLPEFSHLGWGHWFTLRDLEQATHYFSKDNIVGEGGYGVVYRGRLINGTDVAVKKLLNNLGQAEREFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHEEKLGTLTWEARMKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDKEFNAKVSDFGLAKLLESGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVNYSRPSDEVSLVEWLKMMVGARRAEEVVDPRLKVKPSARALKRSLLVALRCIDPDAEKRPKMSHVVRMLEADEYPFREDRRNRKSATTTSLEIETVDDISGPTDAEKAPD >CAK8543131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:581822869:581823588:1 gene:gene-LATHSAT_LOCUS11913 transcript:rna-LATHSAT_LOCUS11913 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSDGEAWKHFDRVYSDFVVEPRNVRLGLCSGGPSSPKFGIDVYLQSLIDDLKRLWTGEWTYDISRKQKFTLRDALMWTINDFPAYGMLSGWGTHGKMRCPHCMEFTTAFTLEFGGKSLWFDCHRRFLPLDHVFRRNKTDFKKDVRVKDLPPPRLSPEEIWNRVSKLPKFTDYGEACRIQGYGVKHNWTKRSIF >CAK8567452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515177887:515179103:-1 gene:gene-LATHSAT_LOCUS20505 transcript:rna-LATHSAT_LOCUS20505 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIRPQRLALARIPIQCHQKFSSKISLKSQKTHSMPPEKKEVFKSLEKWAVQSLLPLIKPVEESWQPHDLLPDSSLPADEFIDQVKALKDRTAELPDDYLVVLVGGMITEEALPTYQTWVNGLDGIEDETGSSLTPWAIWTRSWTAEENRHGDLLKTYLYLSGRVDMHMIEKTIHYLIGAGMDLRTENHPYMGFVYTSFQERATFVTHGNIARMAKERGDTTLARICGTIAADEKRHENAYVKIIEQLLKVDPTETMIAIANMMRRKITMPAHLMQDGQDSRLFDHYSTVAQRTGVYTTNDYADILDFLIERWKLEKLQGLTSEGERAQEFVCGLAPRIRKLQERLDERTRKINHKFTWIFNKEVSF >CAK8575777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322243553:322244170:1 gene:gene-LATHSAT_LOCUS28012 transcript:rna-LATHSAT_LOCUS28012 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFNATFHHGGEFVMVNQNEIIYKGGVDSNMSGLHIENWTIDSVHKMVNRWGYKKGSYRLWTKFLEIDESFIQIRKDDDAYDFGSYCCAMVTDGDIFVEYDVKNMELGVREPKCVNRVTDMEGIEDEVVEGLDDREDDIATALVDGFEGIDVTLPIREYGVITGLLGSPNKKFDEDDYYSDELDSSYPDDSCDDKMPKYERFRK >CAK8566653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445934593:445938119:-1 gene:gene-LATHSAT_LOCUS19769 transcript:rna-LATHSAT_LOCUS19769 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVVFGCARWLWKRCTYIGSYDSATWPSATAVEFEPVPRVCRIILAIYEPDLKNPQHYQPANGYRLNPDWVVKRVSYLETEGHAPPYIIYVDHEHREIVMAVRGLNLAKESDYKLLLDNKLGRQMFDGGYVHHGLLKSAVWLLKKESETLKKLWVENGCEYGMVFAGHSLGSGVVSLLSILVVNHRELLGGIPKDKIRCYAIAPARCMSLNLAVKYANLIHSIVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNTTADHAIIWIEREAEKALQLMKDQDSTKTVTVPPTIQKFERLKTLEKEHKDALERAVSLNVPHAVDAAENEPSENNEGEAASTSNGDKEAEVEAASSTESKPSGGRLNWEEVVEKLLKKSETGDKHIGEDTNVQH >CAK8543785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639775383:639775674:-1 gene:gene-LATHSAT_LOCUS12521 transcript:rna-LATHSAT_LOCUS12521 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMDVYGFLVVFKIERPKRAKSVSHIFLKGVVKSGRKHHKRKQPARVGGEEIRCEVHILDLLANAYVV >CAK8576116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408808501:408808734:1 gene:gene-LATHSAT_LOCUS28330 transcript:rna-LATHSAT_LOCUS28330 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLLKLTLLLHVATSTVERSFSAMNFMKNQLRNRMSYEFLNDCLITYIESDIFDSVENEKILQHFQNMKTHREQL >CAK8569265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688940026:688942060:1 gene:gene-LATHSAT_LOCUS22140 transcript:rna-LATHSAT_LOCUS22140 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVALSQTLFVLISIILALCLCLITTLTYFWWILPNCKLQNLKRCGFDGPTPSFPFGNIKEMKRNSSFSSSLDITHNIHSTVSPYYSSWQKSFGKVFVYWLGAEPFLYVADPEILKKISGKVMAKKWGKPSVFRKDRKAMFGNGLVMAEGSIWVHHRHVIAPTFTPINLKTMAGMMVDSTKKMINRWNSEINSGRLELDLESEIVAMAGEIIARASFGMDDENGKIVFDQLRTLQMTLFKTNRYVGVPFGKFFNFKKTLEAKKIGEEVDKLLLSIIESRMNSNDKKQHEQDLLGHLLKENNEIDGQMNKALTKKELVDECKTFFFGGYETTSLSITWTLLLLALHQDWQNQLRHEIKQVVGNVEQLDINLLADLKKMKWVMNEALRLYPPSPNVQRQTMEDIQVDNVKVPKGTNIWIDVVAMHHDVTLWGNDANKFKPERFMNDANGECNHKMGYLPFGFGGRACVGRNLTFMEYKIVLTLLLSKFKFKLSPSYYHSPTIMLSLRPSCGLPLIVQPL >CAK8530990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68079923:68080564:-1 gene:gene-LATHSAT_LOCUS812 transcript:rna-LATHSAT_LOCUS812 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSTKVYEMNLYETPTGDDQIVYSTTQSRTCTLQATIGVKTAYFTLRDYTLTNLGTAVEIMVRHEGCIKSIDFGIEGHVLDTLNQTNNLRILYGVPNNSFGILVAKKYRTSKWGETVLVFHMLLVPSEVCVAGWHIWHDARKGRFMCEPIGPLQRSSLLEIMREFSNTRHPISSDGNQTSINGLINNLGAVIGDFNGSIINYIINIFLST >CAK8573036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578525841:578528425:1 gene:gene-LATHSAT_LOCUS25530 transcript:rna-LATHSAT_LOCUS25530 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSFEGVHYGIVSQMSFIWQQTRVPLVVPMLKILVFLCLTMSVMLFVERVYMGIVIVFLKLFGHKPEKHYKWEPLMDHDIELGNSCFPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKNMVEVECERWRRKGLNIKYEIRENRNGYKAGALKEGMKHSYVKLCDYVAIFDADFQPESNFLWRTIPFLDQNPQVGLVQARWKFVNADECLMTRMQEMSLDYHFLVEQEVGSLTHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLSDLKVKSELPSTFKAYRYQQHRWSCGPANLFRKMAMEIIRNKKVTMLKKFYVIYSFFFVRKIIAHVVTFTFYCVILPATVLVPEVEVPKWGAVYIPSIITLLNAVGTPRSFHLIILWILFENVMSMHRTKATLIGLFETGRVNEWVVTEKLGDALKTKSGGKAARKSQIRFNGRLHFLELGVGAYLFLCGCYDLAFGKNHFFIYLFMQSIAFFVVGVGYVGIYVPSS >CAK8574528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1650700:1654073:-1 gene:gene-LATHSAT_LOCUS26868 transcript:rna-LATHSAT_LOCUS26868 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLSISSSSSSFLAPSNFNSRSHVSLDSKRSTICKCIATPQQLDTAYKTSVSRNKNLGKLQAGYLFPEVARRKSAHLLKYPDAKIISLGIGDTTEPIPEVISSALANKSLALSTFEGYSGYGDGQGEKPLRSAIVSTFYLDLGIEDDDIFVSDGAKCDISRLQIVFGSNVKMAVQDPSYPAYVDSSVIMGQTGLYQKDVQKFANIEYMRCNPENGFFPDLSSISRPDIIFFCSPNNPTGAAATREQLVQLVRYAKDNGSIIIHDSAYAMYMSGDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFSRVVSTCFNGASNISQAGGLACLSPDGLKAMRGVIGYYKENTNIIMETFDSLGFKVYGGKNAPYVWVNFPGQSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYK >CAK8541297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:108469061:108469470:1 gene:gene-LATHSAT_LOCUS10227 transcript:rna-LATHSAT_LOCUS10227 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGHAVSHIFIFLCLLSIFPGTPSLCFKPKKLVNVTSNFSSDSDWASTMATWYGPPEGYGSDGGACGYGKAVGQPPYNSMISAGNPVIYQSGKGCGSCYQV >CAK8534973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798923029:798924401:1 gene:gene-LATHSAT_LOCUS4463 transcript:rna-LATHSAT_LOCUS4463 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGWFPTNGKKAMEEELIRGRDMANQLLEVLTFDDKSNIIRQIKGSNSNSSKVSPKDVAQDLVREVLKSFTNTLLLLHNREDSRGFSFSTNCHKMEEDLDEAYKQLKTLNRKSPKETKKRKLSAPTWEKTTSILIDDGHTWRKYGQKKITNSKFVRSYFRCTHSDQRCKAMKHVQRTQENPPLYKTTCYGHHTCKNYFQSNIISEPVSSSDSSIILNFDNHISSEQEDMLWPSPPLRPLSPLLVSSEWNPLEVMHDDHFPQNQLFLSENLQLWESDVYLDHLRDVNMLPPLIGSQG >CAK8530514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26835429:26835764:1 gene:gene-LATHSAT_LOCUS372 transcript:rna-LATHSAT_LOCUS372 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLYLTFKLTSVVDKVQSFFAVVKALSRKEVHYGAHATSEQVVAAGDLCAICQEKMHAPILLRCKHIFCKDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >CAK8564172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655052208:655053161:-1 gene:gene-LATHSAT_LOCUS17501 transcript:rna-LATHSAT_LOCUS17501 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILGIHLILLGIGAFLLILKAFYFGGIYDTWAPGGGDVRKITNLTLSPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALAIFGFIACCFVWFNNTAYPSEFYGPTGSEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKYIQPWQERRSAEYMTHAPLGSLNFVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDLEPVLSMTPLN >CAK8531425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108963191:108963724:-1 gene:gene-LATHSAT_LOCUS1218 transcript:rna-LATHSAT_LOCUS1218 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSVCKDPKLVEANDFFFSGLHITGNTTNLVGSKVTPVFASQLPGLNTLGISMARIDIAPWGVNPPHLHPRATEILTVLEGTLEVGFITSNPENRHFRKVLRKGDVFVFPIGLIHYQRNIGYDNVVAIAALSSQNPGVITISNAVFGATPEISSEVLAKAFQLDRTVINYLQSKF >CAK8576247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:435507034:435508584:-1 gene:gene-LATHSAT_LOCUS28452 transcript:rna-LATHSAT_LOCUS28452 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKTSSKNNKGYYVKMKLMHKHGRPQQEKNNSFHRYFKWILWLSLSLYFFTSYLISNNSNNHHNHKPTHIIKSLSQSFSFNKTTSPPQQSHNINIKNLKIFIYDLPPNYNTNWLANERCKTHLFASEVAIHRALLTSDVRTFDPYDADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAVHLISSDYPFWNRSRGSDHVFVASHDFGSCFHTLEDVAIKDGVPEIMKKSIVLQTFGVTYDHPCQKVEHVVIPPFVSPGSIHNTMKNFPVNGRRDIWVFFRGKMEVHPKNVSGRFYSKKVRTVIWKKFNGDRRFYLQRRRFAGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVKWSEISVTVAERDVWRLAEILENVAASNLSSIQRNLWDPHTRKALLFNSRVQEGDATWQVLHSLSEKLGRSYRSSRVSRQLDFDT >CAK8573098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:583916125:583918952:1 gene:gene-LATHSAT_LOCUS25584 transcript:rna-LATHSAT_LOCUS25584 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEQETSINAPNNNSPNTNRSESSSIHGEAEGSSSNKVKPSLDIDHTAINIPSHPTTPVTTFSILPQSLRPITLKFEDVSYSITLTSQKKNGCVLGKESKVTRKVLNGVTGIARPGELTAMLGPSGSGKTTLLTALAGRLTGKVTGTITYNGNSDLSCMKRKIGFVSQDDVVYPHLTVLETLTYTALLRLPKTLTREEKVEHAERIITELGLTRCRNSPVGGCMALFRGISGGERKRMSIAQEMLVNPSLLLLDEPTSGLDSTTAQLIVSVLRGLARSGRTIVTTIHQPSSRLYRMFDKVVVLSDGYPIYSGNAGRVMDYLGSVGFVPGFNFVNPADFLLDLANGIVADVKHDDEIEKHDQDQASVKQSLILSYKKNLYPALKEDIQKNNIESSVFASQGTTSRHCSDNQWNTGWWEQFRILLKRGLQERRHESFSGLKIFQVLSVSILSGLLWWHSDPSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPLEKPMLTKERSSGMYHLSSYYVARMVGDLPMELVLPTIFVTITYWMGGLKPSLVTFILTLLIMLFNVLVSQGIGLALGAILMDVKQATTLASVTMLVFLLAGGYYIQKMPSFIAWLKYFSFSHYCYKLLVGVQYSVNEMYECRQGLHCRVRDFPAIKCLELDVTMWGDVAALTVMFVGYRIVAYLALRMRQPKCQKT >CAK8567857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551057142:551058981:1 gene:gene-LATHSAT_LOCUS20874 transcript:rna-LATHSAT_LOCUS20874 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRSILDTAGVDVWLFIDTAITVASLDNAGELKQRRDGIVERLYATTAAPPLCQNCDGGNLLTDGNQIRKQSNPSLSPERNQRRGGSSPPTPQSQGNDEDEDEEIDPYGGLFDDEQKKILEIKELLEDSTQPEDSLLELLQNLVDIDITFQELKETDIGRNVNQLRKHPSSEVRRLVKLLVKKWKEIVDEWVKLNPQGGKNTVMADGDSPLQKTTPNGHHHQIPDFAYSPNPQNGSSGSDRNTSELEPKPKQKPILPRKEPPPKLRPSPPVSAPTTQNRQREQRESNFDAERLASARKRLQENYKEAENAKKQRTIQVMDIHELPKSKAKNGFFAKNRGGGSSHGRQHW >CAK8533194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589412688:589415899:-1 gene:gene-LATHSAT_LOCUS2839 transcript:rna-LATHSAT_LOCUS2839 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVENNEIDATIIPSNEEKNEEKSELESKLKNEEKTGLESKLKNEERTGLESKLKLKKKLKMKKKLEKETEKAQKRGVCYLSRIPPHMDHVKLRQILSQFGDIQRIFLAPQESSARVQFKRARASQNQAYSEGWVEFSNKFVAKRVANNLNGEQIGGRKRSSFYYDLWNIKYLSKFKWDDLTEELAFKRATREQKVALELSAAKKERDFYMSKVDQSRASNAIEERLKKKQKVQQDSVQVEKVIRHFPQTKPIAADAKQSKPELTDDFLDAVFGGS >CAK8531056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76266826:76267056:1 gene:gene-LATHSAT_LOCUS872 transcript:rna-LATHSAT_LOCUS872 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYEGNFDYSYDNVDINNSTTETFSVPHSNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8537621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:409297902:409300977:-1 gene:gene-LATHSAT_LOCUS6892 transcript:rna-LATHSAT_LOCUS6892 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEWEQDEHHHHNNNAFTQPDQIPDPNSYLNFDFFSSLSKPKDYYKILEVDYDANEDAIRSNYIRLALKWHPDKQKDHNSATSMFQDINEAYQVLSDPDKRRDYDINGMRHVYDYNIIDYLNRYKGLILTCNGLGIKQSIW >CAK8532069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:198583173:198583802:-1 gene:gene-LATHSAT_LOCUS1806 transcript:rna-LATHSAT_LOCUS1806 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTNVEKPAAERSDSLFRGVRKRKWGKYVSEIRLPNSRQRIWLGSYDSAEKAARAFDAAMFCLRGSGARFNFPNDIPDIAGGRLMTHSEIQEAAAQFANSEPCNEYSGRTGNSPDQTPSSSVGTPITPLLPMEFPSPALSDVTVQTESDIADNGLFRDLFSDTGSGYTMFPGFDDFYGEFYVPEFTNFDYEPEKMDELIIYDSFLWSF >CAK8534755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763850126:763851043:-1 gene:gene-LATHSAT_LOCUS4270 transcript:rna-LATHSAT_LOCUS4270-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRADRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLVESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAINSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHKMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYDGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMWRKCPNVAGPSNRPV >CAK8534754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763850126:763851337:-1 gene:gene-LATHSAT_LOCUS4270 transcript:rna-LATHSAT_LOCUS4270 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRADRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLVESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAINSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHKMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYDGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMWRKCPNVAGPSNRPV >CAK8530198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5495947:5497464:-1 gene:gene-LATHSAT_LOCUS85 transcript:rna-LATHSAT_LOCUS85 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGASLVLESSENGTDLSQDDIGTVEETPEETILSRQTSVNLVPFIGQRFVSQEAAYEFYCSFAKQFGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGFPQNKHSEDVGKVQRNRKSSRCGCQAYLRIVKRADFDVPEWRVTGFRNIHNHELLKSNEVRLLPSYCPISPDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDKDNDAIDLIAMCKRLKDENHNFKYDFKIDNNNRLEYIAWSYGSSIQSYEVFGDAVVFDTTYRVEAYDMLLGIWLGVDNNGMICCFSCALLRDENMPSFSWALKAFLGFMKGKAPQTILTDHNMWLKEAIAVEMPETKHAFSIWHILSKFSDWFSLLLGSQYDEWKAEFHRLYNLEMVEDFEEGWRQMVDNYGLHANKHIISLYSLRTFWALPFLRQYFFAGLTSTSQTESVNVFIQRFLSAQSQPITKPLIEHASHKNPKPDLRKSTDTIRKPASYNLCHNYHD >CAK8569523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4170463:4174654:-1 gene:gene-LATHSAT_LOCUS22366 transcript:rna-LATHSAT_LOCUS22366 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWGRLGGYIRRGVCNVSGPFLPFGGAVDIIVVQQKDGSFKSSPWYVRFGKFHRVMKAAKREKVKVSVSVNGVETDFHMCLSPKGEVFFLHGNLQHEEGEEEEQEGQDEEEDGIGSMWCYDDIQVRGSNKRQFKSKSCNFRSEDPVVDRSGSRRSRMCRLVFGPSSDGGDGEDADADLVERAEIAAKLLDLRWSTNFTLDELPYRERKKTQGNKLDKEKGKTDESGEVLRLASEVCGEVHVRDEVLHATTLQLPEGGKPEGVTWNVHLERPVTDCGISDVADVGSRAKFQKSQTVNIGRRDRSVKKVSVNTPTSEQLSSLNLKEGRNTITFGFSTPMMGMRQIDARIFLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAHNTRQFLFNLNQNGKVLPDGPVVISPDGLFPSLYREVILRAPHEFKISCLEDIRALFPPDCNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEISINRRYLDANSYTSLHTLVNGMFPPTSSYEQEDYNSWNFWKLPPF >CAK8534918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789663662:789665122:-1 gene:gene-LATHSAT_LOCUS4417 transcript:rna-LATHSAT_LOCUS4417 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFASLAIYKVAKDAAGIAGNIFAFGLFVSPIPTFRRIIRNGSTEMFSGLPYIYSLMNCLICLWYGTPLISHDNILVTTVNSIGAVFQFVYIILFMMCAEKEKKVKMLAWLMVVLGVFAIILIGSLRIDDIVMRRLFVGVLSCASLISMFASPLFIIKLVIQTKSVEFMPFHLSLCTFLMSTSFLVYGLLSDDIFIYVPNGIGTILGTIQLILYFHYEKKSRIDAEEPLIVSYA >CAK8532321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247374837:247375454:-1 gene:gene-LATHSAT_LOCUS2042 transcript:rna-LATHSAT_LOCUS2042 gene_biotype:protein_coding transcript_biotype:protein_coding MKASILAFCIIYLAFICKTIAAPEPVLDISGKKLITGVKYYILPVIRGKGGGLNVANVNNLNSNNNTCPLYVVQEKLEVNNGGAVTFTPYNANQGVILTSTDLNIKSYVTKTTCTQSQVWKLLKVLSGVWFLSTGGVEGNPGINTIVNWFKIEKADKDYVLSFCPSVCKCQTLCRELGLYVDDSGNKHLALSDQVPSFRVVFKRA >CAK8536381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936794613:936795243:-1 gene:gene-LATHSAT_LOCUS5756 transcript:rna-LATHSAT_LOCUS5756 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATLLCLRDLINLPNVTWYVTVATINKLKATANGWHYHACFKCPKVVKGEAPPYICVDGHSIEVEIYRYKIEIEVVDNGSSAIFIFWDRECNKLLEIFALQLLKTMIKVDIEIHLIFHWTLIS >CAK8571431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:409466134:409470114:1 gene:gene-LATHSAT_LOCUS24097 transcript:rna-LATHSAT_LOCUS24097 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSFKYIIVGGGVSAGYAAREFVKQGVRPGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHTCVGSGGERLLPEWYSEKGIQLYLSTEIVSADLAAKFLKSAKGEHFDFQTLVIATGSAVIRLSDFGVEGAGAKNIFYLREVDDADQLYEAIKAKKNGKAVVVGGGYIGLELSAVLKLNNVDVTMVYPEPWCMPRLFTSEIAAFYEGYYANKGINIIKGTVAVGFTSNSDGEVKEVKLKDGRVLEADIVVVGVGGRPLISLFKGQVDEHNGGIKTDSFFKTSVPDVYAVGDVATFPLKLYNDVRRVEHVDHARKSAEQAAKAIIAADAGKSVEEYDYLPYFYSRAFDLSWQFYGDNVGETVLFGDNDPASSKPNFGTYWIKEGKVVGAFLEGGTPDENKAIAKVARVMPAVADVNQLAKEGISFASKI >CAK8575261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:65517815:65521227:-1 gene:gene-LATHSAT_LOCUS27540 transcript:rna-LATHSAT_LOCUS27540 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAYTMKGKKRKNKEVSTKYHREEDEEEQIQPKKPAIQIEKPTPVVSRTEENSELVGIPIAPSIDKNSEEPGVVFVLEKASLEVAKVGKTYQLLNSDDHANFLRKHKKNPGDYRPDICHQALLSILDSPLNKAGRLKAVYIRTEKGVLIEVKNYVRIPRTFKRFAGVMLELLQKLSISAAGKREKLLRTIKNPVTEHLPPNSRKAGLSFSSDKLVNMKDYLSTIPSDQDLVFVVGAMAHGKVETDYTDDYIAVSGYPLSAAYCISRICNAIEAKWKIV >CAK8543195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587024983:587027654:-1 gene:gene-LATHSAT_LOCUS11968 transcript:rna-LATHSAT_LOCUS11968 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRNLRKGFKAIQDSELVNLFKSEINFELSSNHFQNAQIGSLGEFVVDYDSPHSIDVILRRKCDSGEEVALSAILGPPNYEKDLIFVRDVFMKICVKKPDLSSILQFDCRAYQDTDKTSQFDINNAYYLRSPASLSSSVYRGPLFSELGIKLQTAFKEYLIDKGIGGSLTNFLLHYLHTREQKQYVNWLKTGEAFLSKN >CAK8561985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:421366035:421367655:-1 gene:gene-LATHSAT_LOCUS15524 transcript:rna-LATHSAT_LOCUS15524 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELPTPPSPSTNQPQQQKFSLQVDSEHKSTEFKIHSIAKPHMLAFHLSWFSFFACFVSSFAAAPLVPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLVGPRLASASLILLTSPAVYFTSIIDSPTTFLLVRFFTGFSLATFVSTQFWMSSMFSTTVVGRANGFSGGWGNLGGGATQLIMPLVFSLIQNIGSTKFTAWRIAFFIPAFLQTLTAFSILIFGQDLPDGNFHGLKKSGEKVKDEFSKVLYHGVLNYRGWILALTYGYCFGVELTVDNIIAEYFYDKFNLKLHTAGIIAASFGLANVFSRPGGGVISDLMAKRFGMRGRLWCLWICQTLAGVFCVILGLVGSLNVSVVVMIIFSVFVQAACGMTFGIVPFVSRRSLGVISGMTGGGGNVGAVITQLIFFKGSRFSKERGITLMGAMIIICTLPLFLIYFPQWGGMLFGPSSSKNVSEEDYYLSEWNSKEREKGSHHGSLKFADNSRSERGRKFNISTRPSEDITPPQHV >CAK8567010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479440121:479442604:-1 gene:gene-LATHSAT_LOCUS20101 transcript:rna-LATHSAT_LOCUS20101 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLWGGSFEMSPSEITSGYETSRTGDHWDKAALLDNGHHHHHRGGLDETLQGWVLDRPGNAKKKHKYVDIGCMKLSHKASKWIFGILFVAFCVIGLPIIITKYLPKHHAKPITPDNYTLALHKSLLFFNAQKSGKLPKNNGVPWRRDSGLRDGKELHDKKGLVGGYYDAGDNVKFHFPMSFAITMLSWSVLEYKHKYVAVNEYHHTRELIKWGTDYLLLTFNSSATKIDKIHAQVGGSLNGSKTPDDHYCWQKPEDMNYPRPMTTVFEGPDLAGEMAAALAAASIVFQDKSAYSKKLIKGAETVFSFARDSGKRASYSRGQPYIEPYYNSTGYYDEYMWGGAWLFYATGNTSYISLATDPNLPKNANAFKMKPDLSVLSWDNKLPAAMLLLTRFRMFLNPGYPYEEMLSMYHNITSLTMCSYLQQFKIFRRTKGGLIQLNHGRLQSLQYVVNAAFMASLFADYMEARGVPGWNCGPNYIPRSDLKSFATSQMDYIMGMNPMNMSYIVGYGKKFPTHVHHRGASIPNNRINYSCTGGWKWRDTPNRNPNKITGAMVGGPDRFDQFHDSTKKYNYTEPTLAGNAGLVAALVSLTKTAGSGVDINSIFTYIPPFGPKNSPPPPPWTP >CAK8576505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486151732:486157584:1 gene:gene-LATHSAT_LOCUS28687 transcript:rna-LATHSAT_LOCUS28687 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRPPSAADAPASEPVTSRRRAGGNKRKSGSLNASNSSSTPSKRITREKASPLHHLPLHNGPLTRARQIPNNFSAASTSTIAGSASAPAAVKHAPQTQASAAAAEQLKKEREWESLEASIEAEFKAIRSRDTNAHVVPTHCGWFSWLNIHPIEKRMVPSFFNEKNESRTPDKYMEIRNWIMKKFHSNPNIQIELNDLSELDIGDLDARQEVLEFLDYWGLINFHPFPSTESAVAKTSDDGEAEKNSLLEKFYHFETLQLCPPTAQKTGPTIPAMTSGLFPESTIAEELVKQEGPAVEMLEYHCNSCSGDCSRKRYHCQKQADFDLCADCFNNRKFGSGMSSLDFILMEPAEAAGVSSGKWTDQETLLLLEALELYKENWNEIAEHVGTKSKAQCILHFVQMPIEDSFVDCDEDVDAGCKEMADPAATNNNLSVDEDKDKDASEVIENDTNIKGLDETSQAEDVKVKDNQEETPKLQDGSDEKTSEGTSKLEDDIKVKLDEEVDNDCVLNALKETFAAVGYSLEPEGPSSFAEVGNPVMALAVFLAQLVSSDVAVASAHNYIKSLSGNAPGTEIASRCCFLLEDPPDDKKETTTSERDFKSEGDQSDKIVQQDTAMLDDKDLENDHQNMKIASDASEDKIHLASTDDGLSEKSTSKEQAMINHESGLDNCDDPSISKAPEDQAQDTLHDSDGSTSKAKIPPSSEEVQEGTSNEEPCHPIELKKEGSVSDSHPSEKNEVQQSNEPSLPVELPKPVETPKYDEIVSDSVLSDDSKPQKQLSTNAVSESHKTTDSAMDVDVVSNSLPAKIDSQPVISSQDNGTQKDVEMMPPSLPISLGAENGASTGAVEDHAGNVMEVKNDGTKTKQDSSFEKLKRAAVSTLAAAAVKAKLLANQEEDQIRQLTSSLIEKQLHKLETKLAFFNDMENVVMRVKEHLEQSRHKLYHERAMIIASRLGIQASSSRGVPPSILTNRIPTNFANSLPRPQIVMNPQGPQISRPGSTAATTHPNPLMSATAAGNSVRASSQENLSSVGTK >CAK8530852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:55856285:55860284:1 gene:gene-LATHSAT_LOCUS687 transcript:rna-LATHSAT_LOCUS687 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGSDDGTTLSESALIFLGTGCSSMVPNVLCLINPSDPPCSVCAQSLSIPPEKNPNYRCNTSLLIDYCESNGGHKYILIDVGKTFRETVLRWFVPHRIPRIDSIILTHEHADAVLGLDDIRAVQPFSPINDIDPTPIYLSQHSMESIEEKFPYLVQKRKEGQEIRRVAQIAWNIITDDCNQPFFASGLKFTPLPVMHGEDYICLGFLFGEKSRVAYISDVSRIPESTEYAISKSGAGQLDLLILDSLYRTGSHNVHLCFPQTLETVKRLCPKQTLLIGMTHEFDHDKDNEFLKEWSRREGIPVQLSRDGLRVPINL >CAK8572819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565501487:565504519:-1 gene:gene-LATHSAT_LOCUS25341 transcript:rna-LATHSAT_LOCUS25341 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQSQPSSPPSPSSSLLSISFNQDHTCFSTSTKTGFRVFSCDPLRQLFQRVFPRDGFIHVEMLHQTNILALVGGGSNPQFPRNSVVIWDDYRCESVGMLSFQSAVRGVRLRQDRIVVVLEFMVFVYSFGDLKFLCEFGTCGNPKGLCVVSQLKESIVLVCPGLQRGHVRVEHYPKNKINCIRAHDSQLACLALTIDGKFLATASTKGTLIRVFDTGNGALLQEVRRGTISAEIYSMAFSSTAQWLAVSSDKGTLHVFYLKVDISNSEHKMSQSSSNSGASITSSSSYLSSIKFRRILPNYFNSEWSVAQFRLHEGCRYTIAFGDSNNILTILGMDGSFYRCEIYPGHDGQIIQLESVLNQK >CAK8572663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554163246:554165041:-1 gene:gene-LATHSAT_LOCUS25198 transcript:rna-LATHSAT_LOCUS25198 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKVLVSGVSIILVVGVAIGVVAVVSKQGSDPQLAAHEKNVQSMCQATEDQQLCHDTLISAKPANSSDPTAYLAALVQASVQSVITALNMTDRLKIEHGDKDPGIKMALEDCKDLMEFAMDSLEASANLVRDNNIQAVHAQTPDFRNWLSAVISYQESCSDGFSNETNGEDKIKQQLHTESLDKMQKLTGIALDIVASMANILQAFDLKLELVPGSRRLLSTNVIDNEGLPTWFSATDRKLLAHPGAGPPPNAVVAKDGSGKFKSVKDAIDSYPKNFKGRYIIYVKAGVYDEYIIIPKKSINILIYGDGPTKTIITGNKNNVAGVKTMKSSSFANTAPGFIAKSMAFENTAGPEGHQAVAFRNQGDMSAVFDCAMHGFQDTLYVQTNRQFYRNCEISGTIDFIFGASPTIIQNSKLILRKPLPTQYNTVTADGTLRRNMATGIVIQNCEIVPDPALFPVRHQLKSYLGRPWKDYSRAIVMESNIADAIAPEGWSTWDGTNKFVNTLYYAEYANTGPGANLNGRVKWKSYHNLDKNAAAQYTAEQFLRAGRGGKAEDWLRATGVPYTLGFGK >CAK8537467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:364544891:364545421:-1 gene:gene-LATHSAT_LOCUS6757 transcript:rna-LATHSAT_LOCUS6757 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIESSVWELNIEIFLFLSVCCCFSIFLYPHLSNLNRTSTILDHGISSSFTSFQWNFLFIYSLASVVESLWFVFGEFNLASYGIGKEEMIMSLCYSYITSLFAAPFLGMLSDFIGHKKACLTYLTYCILHFFVGVWKKITQQPSIIMTSVCLSMANTIFSFSFETWMVTQHEKQ >CAK8535613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:869856685:869858971:-1 gene:gene-LATHSAT_LOCUS5056 transcript:rna-LATHSAT_LOCUS5056 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDTAAAQPLPISGQTICVTGAGGFIASWMVKLLLEKGYTVRGTLRNPDDPKNEHLKELEGAKERLTLHKVDLLDLNSVKAAVNGCHGVFHTASPVTDNPEEMVEPAVNGAKNVIIAAAEANVRRVVFTSSIGAVYMDPNRSVDVEVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWDIAKEKEVDLVVVNPVLVLGPLLQPTINASTIHILKYLTGSAKTYANATQAYVHVRDVALAHILVYEKPSASGRYLCAESSLHRGELVEILAKYFPEYPIPTKCSDEKNPRVKPHIFSNKKLRDLGLEFTPVSQCLYETVKSLQEQGHIPVPKKDDSLAAKS >CAK8538697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493251345:493251878:-1 gene:gene-LATHSAT_LOCUS7870 transcript:rna-LATHSAT_LOCUS7870 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNIWVLHNERTQNNGRNYPNECVNQISNNHSSPNTHIKTLQNPFTNLNNYNQNSPYGEASNHNNNSILFNDSTTRSRIDLNSYHGLKSVAISHSNSPLFRPIKSKDLDLFFSSENDTAHSAKHHVFDIRETDAWKKSMAQNKELFLFKDDKNTISVIKNGAKESDDEDLDLSLHL >CAK8575308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:74861962:74862690:-1 gene:gene-LATHSAT_LOCUS27581 transcript:rna-LATHSAT_LOCUS27581 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFLQATSMQSIYCVVVLVCDPSCICRPRTFISSLATCHPGDKASNFWRKEQSQDLSRYVDILTAKIGKGSSKEDILLSLISDEVVNGIPPSLNLVNRLLYRYKDDWKSALGIFKWASSHAHFKHSQESYDMMIDILGRTKVMGKMREILEEMRQESLVTLNTIAKVMRRFVREKQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLYKEKFVEQAREIYLELKRHIAPNAHTFNILIHGW >CAK8540693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16251693:16253156:-1 gene:gene-LATHSAT_LOCUS9672 transcript:rna-LATHSAT_LOCUS9672-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGELRQRHSSADTSFGNTEEKVVPRYLRASIRSCHDFCKYGKQLALEPKQMSLIPKIAERTQLHQSLEKNIAEVVVEVTPDVKRRASTDSEPAKMSLAKRRESTGSLAKRRESTGSNASGTIKIVKSKPGRPVQPDNEVAVVNKTKTSSIKVKQSPLLPKSHFSSTFKTRRQEISSSSKMDITPKPISKRVGASSMSSSKKEEPLSKSIFQKAKVHPISTSYLAKTPPKSTIKKMGKIASPLLPSSSSKSSRRRVVGTNMHKSLKTASRVKNQPKPRKVELEEHCIEAEAEEKTLYVIEIENKDDTFQSDQNANQDIELFRSQSFSTSKFSSQEDQEEFEYAASEFNEDSCFANNGKVNEENLETLDFKVEENEKPQKDEIVILVETQIEESGTKKLKFKRGKVLRENNADSDDEGEDVTGHEKVVLRHQDMKGKKDEQELLNNVIEETASKLVEIQRSKVKALVGAFETLISLNEKKPLSNKH >CAK8540692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16251693:16253207:-1 gene:gene-LATHSAT_LOCUS9672 transcript:rna-LATHSAT_LOCUS9672 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDRFSPIEVISEKVTMSRVGELRQRHSSADTSFGNTEEKVVPRYLRASIRSCHDFCKYGKQLALEPKQMSLIPKIAERTQLHQSLEKNIAEVVVEVTPDVKRRASTDSEPAKMSLAKRRESTGSLAKRRESTGSNASGTIKIVKSKPGRPVQPDNEVAVVNKTKTSSIKVKQSPLLPKSHFSSTFKTRRQEISSSSKMDITPKPISKRVGASSMSSSKKEEPLSKSIFQKAKVHPISTSYLAKTPPKSTIKKMGKIASPLLPSSSSKSSRRRVVGTNMHKSLKTASRVKNQPKPRKVELEEHCIEAEAEEKTLYVIEIENKDDTFQSDQNANQDIELFRSQSFSTSKFSSQEDQEEFEYAASEFNEDSCFANNGKVNEENLETLDFKVEENEKPQKDEIVILVETQIEESGTKKLKFKRGKVLRENNADSDDEGEDVTGHEKVVLRHQDMKGKKDEQELLNNVIEETASKLVEIQRSKVKALVGAFETLISLNEKKPLSNKH >CAK8540103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539886804:539887097:1 gene:gene-LATHSAT_LOCUS9137 transcript:rna-LATHSAT_LOCUS9137 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRYGIKCSRCHKDGHNKATCKLQQPQASSSQVQDAISQQPSQANTSQSPSVATSQPPSQAVTSQPPPTIATSQPPSQVVTSQPPPPVATSQPPP >CAK8541752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:384188532:384189647:1 gene:gene-LATHSAT_LOCUS10648 transcript:rna-LATHSAT_LOCUS10648 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSKEDEKLNPHPEQETHNHDHHNHNLPSAASGGAEKLRSTSNGGASKREFPALLKDGPPGQIAAQAFTFRELALATKNFRPQSFLGEGGFGRVYKGRLESTSQAVAVKQLDKDGLQGNREFFVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEFMPLGSLEDHLHKLPPDKEPLDWNTRMKIVAGAAKGLDYLHDKANPPVIYRDFKTSNILLEDGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFPKLADPRLQGRYPMRGLYQALVVASMCIQEQAVARPLIGDVVTALSYLANQALIPC >CAK8541537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234836749:234837225:-1 gene:gene-LATHSAT_LOCUS10452 transcript:rna-LATHSAT_LOCUS10452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGNLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFTPRQNPRIPSIFWVWKSADFQERESYDMLGIYYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >CAK8568319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594467155:594471335:-1 gene:gene-LATHSAT_LOCUS21286 transcript:rna-LATHSAT_LOCUS21286 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFSSLFFLLLIIQPWPMNLTQAEKNIFILAGQSNMAGRGGVTNDTTTGLTTWDGVIPPECNPNPSILKLNSHLKWVEAQEPLHEDIDREKTNGIGPGMVFANHVLGKNMGVGVVGLVPCAIGGTNISEWEKGKGLYSHMMKRVKASLREGGDVRGILWFQGESDTVSLRDAEAYQSNVRRFFLDVRGDLQAPLLPIIQVALASGSGPYVEIVRQAQLSIDLLNLKTVDAKGLPLQPDGLHLSTQAQVELGKMMGDAFLQFVPSSIPNPNIHHNVSQIRKEASNSVGISNCLSHIYMVSLFVTFLIMIS >CAK8570896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:158832719:158833741:1 gene:gene-LATHSAT_LOCUS23607 transcript:rna-LATHSAT_LOCUS23607 gene_biotype:protein_coding transcript_biotype:protein_coding MITSWNMRGLNKLGMLKEISSRLFNLHPKIAILLETRVKHDKARKIRDQLNLKGQYLDNYKEHYNGRIWIYWDERQCDVRVIKCTSQMIHCGIHDVNGVFQTWMTTIYALNQLEQRRKLWEDLKQIHDSQQGPWFLMGDFNNVTKSMDRIGGNLVTEREFEDLRSLMDYAGLFEKDSTEDYFTWINKHSIGTIYSKIDHVLGNIDWLQGNIDLKLEILPPSISDHCLLGLNAVKINRAVQTKFKFTNSVVKISDYHDTVKQNWNKEITGRPMARLWYKLMRLQAPLSRLSKQFSNLQETIVQARNDLLQTQESLIMDIMNTEIIEKVKTCTDVLTHLQEL >CAK8531073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78351443:78354747:1 gene:gene-LATHSAT_LOCUS888 transcript:rna-LATHSAT_LOCUS888 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFRSLKSILRNSNTIARNFLIPTTSTTITLPFSPLLHNALFPLPLHHNGPLFLASPPWKLSQSATPLYHHPKAVVFRHKVNAINLNLIRITTRTPLPLHNYVESNSNSNSNQSHQQTLLYTFLNAPNLISISRLISGPFLGWMIVNDMYTPAMVGLAISGASDWLDGYVARKMKIDSVVGSYLDPLADKVLIGCVAVAMVHQDLLHPGLVGLVVFRDAFLVGGAIFQRASSLGWKWKSWFDFFNLDGTGRQKVKPLFISKVNTVFQLALVAAALLQPDFGTPETQSYLTYLSWLVASTTAGSSAAYGLQYMKRSAVVLKST >CAK8540064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537926709:537927615:1 gene:gene-LATHSAT_LOCUS9102 transcript:rna-LATHSAT_LOCUS9102 gene_biotype:protein_coding transcript_biotype:protein_coding MISTMTVPEQEELLENSEVFKIKGRDKHGRKILRIIGKFFPARLVSVDVLKNYLEERIFPKLGKKKFAILYIHTGVQRSENFPGISSLRSIYDAIPASVKENLEAVYFIHPGLQARLFLATFGRFLFNAGLYGKLRYVSRIGYLWENVRRNDVMIPEFVYDHDEDLEYRPMMDYGLESDHARVYDSAPALDSPFTSYSMRCIS >CAK8542983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564224887:564225906:1 gene:gene-LATHSAT_LOCUS11776 transcript:rna-LATHSAT_LOCUS11776 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSSSSYFHKTIYHISSKLFHPCLPLPQFNPITLTSPSFNPLTHSKQHLNLKTSNSNLFVVSYRYFSKEEEEDEDEEEHSFDEAVTLFNGGEYYKCHDYLESLWHNAEEPSRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMEFSNGPFLMFEKDISAVLEFIYKTQIELAACSDDICVAMDQSERSYQLMGEYAAGKRVYDLELGRDASVYIVLCIQGSNGATEAPRVKLPRLNATSEHLVAYEYK >CAK8530806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51132405:51132815:1 gene:gene-LATHSAT_LOCUS646 transcript:rna-LATHSAT_LOCUS646 gene_biotype:protein_coding transcript_biotype:protein_coding MPMISLILANQILLGAGFVSMLIAKMPSKGYSPDKVSYCTVMGFFYKDRKVEEVKRLMENMVRNSDLIPYQITYNTLIHALSKHGHAGLTKIFNASTICRFDKGSWLLPVSLIPLTKVLRLSLSTSVIAQTTTESE >CAK8578924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662277960:662278646:-1 gene:gene-LATHSAT_LOCUS30902 transcript:rna-LATHSAT_LOCUS30902 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSSFTSPLLHELLVESHTRRLLFQGPIDHQSLTTSYVHTHNNSTNLYFGLSATDANILMILAALLCALICSLVLNSIIRCALRFSNVAINNNVSSSSRTSSNSSSQLVNKGIKKKALKTFPTVSYSTELKLPGLDTECVICLSEFTKAEKVRILPKCNHGFHVRCIDKWLKAHSSCPKCRQCLLETCRKIVGSEEPPPMLPVPETIITIQPLNREAVERSYREES >CAK8564634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3559411:3559713:1 gene:gene-LATHSAT_LOCUS17919 transcript:rna-LATHSAT_LOCUS17919 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFYSYEHYKKLLRTVPQLQSNKDNVSADLCIHFVGGGLADITAATSTSTYPLLVVVVQTFICISNMPKYI >CAK8533271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598377102:598377482:-1 gene:gene-LATHSAT_LOCUS2912 transcript:rna-LATHSAT_LOCUS2912 gene_biotype:protein_coding transcript_biotype:protein_coding MMILYHLSNPSPMTFEKCCRICYAHNVFQKWWKCEVCPDCTICSACYKDRGVDCHEHKSTQNEHKSTQNEHKLVENNSTSQSGNQESNGKMMLKMLK >CAK8576876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521559696:521563264:-1 gene:gene-LATHSAT_LOCUS29038 transcript:rna-LATHSAT_LOCUS29038 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLSLFGFCSILFLVAAEDPYKFFDWNVTYGDIYPLGVKQQGILINGQFPGPEIYAVTNDNLIINVHNSLPEPFLLSWNGVQQRRNSFQDGVYGTTCPIPPGKNFTYKLQVKDQIGSFFYFPSLAFHKAAGGFGSIKILSRPLIPVPFPSPADDFTLLIGDWYKIDHTILRRFLDLGHRLPLPQAVLINGRANWTTFTVEQGKTYRLRISNVGLENTLNFRIQDHTMKLVEVEGTHTLQTSYSSIDVHVGQSYSVLITADQAPKDFHIVASTRFTEKILTSTAVLHYSNSKQSVSDAIPGGPTEVDWSIQQARSIRTNLTASGPRPNPQGSYHYGTINISRTIELVSSAALVNGKQRYAVNGVSFVPADTPLKLADYFKIDGVFKVGSIPDSPSGKPTHLDTSVMGADFRNFVEIVFQNRESIVQSWHIDGYSFWVVGMDGGTWTPNSRNQYNLQDAVSRSTTQVYPRSWTAIYMALDNVGMWNIRSEFWARQYLGQQFYLRVYSDAGSIRDEYLLPENALLCGKALGRKTTTL >CAK8575168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43375932:43376403:1 gene:gene-LATHSAT_LOCUS27454 transcript:rna-LATHSAT_LOCUS27454 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMKLACVVLVMCMVVIAPMAEGALTCGTVTSDMSPCLGYLQAPNNASPSPSCCAGVKRLLSAATTTPDRQAACNCLKAAAGAMSKLNANNAAALPGKCGVSIPYKISTTTNCNAVKF >CAK8567539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523399162:523399808:1 gene:gene-LATHSAT_LOCUS20585 transcript:rna-LATHSAT_LOCUS20585 gene_biotype:protein_coding transcript_biotype:protein_coding MITTFVVAVFCITAAVSAFALDNTRNRKLFVGSISFCVSVALYGSPLVAMKNVIKTKSVEFMPLPLSLCAFSASVLWLGYGILVRDVFIAGPTVVGIPLSILQLVIYFKYRKERVVDEAEIGDLEKGGLELEKVVELDLDLGKVEKNVTNCEQF >CAK8536816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:23323646:23326923:1 gene:gene-LATHSAT_LOCUS6148 transcript:rna-LATHSAT_LOCUS6148 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFSLFNKIMFSTTAMSYTSSPSISFRKFSAFSKPKLCNLPCYTTNYFSLGLATSARNLSLTCSYSSQNESRSEGSSSIQGGEGFLRDVLESMQDVYLKRNPTAKAVLDLVQSVDNDKLAYDHLAFRTFGVNGYGIESLAGFFLDYGYTQREELKFPAKKLRALWFSPPADSTSGTGSGMNGPLLPRIFISELLVDQMSPQTQEIIKKYTESSGNGNKYGALASSLGHLTWGKPLYSEFQQLASESEYAAWTLVNGHALNHVTISTHWLKTHLRDIKKLNQFLEESGFRLNSEGGVLKVSPDGLLQQSSTIADSVSFQFSDGITESVPCSYIEFAERLVLPQYENIPHTDIKEFHRRDGFEAASADKIFESTSKEQLSRVGGS >CAK8536817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:23323676:23326923:1 gene:gene-LATHSAT_LOCUS6148 transcript:rna-LATHSAT_LOCUS6148-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTAMSYTSSPSISFRKFSAFSKPKLCNLPCYTTNYFSLGLATSARNLSLTCSYSSQNESRSEGSSSIQGGEGFLRDVLESMQDVYLKRNPTAKAVLDLVQSVDNDKLAYDHLAFRTFGVNGYGIESLAGFFLDYGYTQREELKFPAKKLRALWFSPPADSTSGTGSGMNGPLLPRIFISELLVDQMSPQTQEIIKKYTESSGNGNKYGALASSLGHLTWGKPLYSEFQQLASESEYAAWTLVNGHALNHVTISTHWLKTHLRDIKKLNQFLEESGFRLNSEGGVLKVSPDGLLQQSSTIADSVSFQFSDGITESVPCSYIEFAERLVLPQYENIPHTDIKEFHRRDGFEAASADKIFESTSKEQLSRVGGS >CAK8541006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:42240816:42242490:-1 gene:gene-LATHSAT_LOCUS9959 transcript:rna-LATHSAT_LOCUS9959 gene_biotype:protein_coding transcript_biotype:protein_coding MDNASLQNGIKLQAKHSWVTLHSLLHSERISYRQNGYIWLGDLLIAEISEERDGNIWSSIRYFQQEIAQAGTQDFLDTSNIPLSILLLCGLLKSKYNYIRWGFLFVLERLLMRFKFFLDEHEMQLSNSKTLEHGKKDWHLEKANAVIDIMSSALSLVFQINETDHINILKMCDILFSQLCLRIPPATAPPFGDDVQQDRNINLTSVSKKYDIDNHVPKQDTFLWDEHKEESNRRPGYPNNYLPDHETASMAALLQGRAIVPMQLIARVPAALLYWPLIQLAGAATDDIALGVAVGSKGRGNLPGATSDIRAILILLLIGKCSADPVVFQEVGQEQFFRELLDDTDSRVAYYSSAFLLKA >CAK8579380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694876515:694879228:-1 gene:gene-LATHSAT_LOCUS31331 transcript:rna-LATHSAT_LOCUS31331 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQAVDASLRKQAEDNLKQFQEQNLPSFLFSLAGELANDEKPSESRRLAGLILKNALDSKEQHKKIEFVQRWLAMDPTFKAQVKAFLLRTLSSPSPDARSTASQVIAKVAGIELPHKQWPELIGSLLSNAHQLPAPTRQATLETLGYICEEVSPDVVEQDHVNKILTAVVQGMNSTEENNDVRLAAIQALYNALGFAQANFSNDMERDYIMRIVCEATLSPEIKIRRAAFECLVAISSTYYEKLAPYIQDIFTITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGEFSGDSDVPCSYFIKHALPFLIPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPEKLVPLVNMALSFMLNALTKDPNNHVKDTTAWTLGRMFEFLHGSALDTPIINQGNCQQIINVLLHSMKDVPNVAEKACGALYFLAQGYEDAGSASSPLTPFFQDIVQSLLTVTHREDTGESRLRTAAYEALNEVVRCSNDDTAPMVAQLVTVIMMELHQTLENQKVSSDDRQNELQGLLCGCLQVIIQKLGASDPTKHHLMQYADQIMGLFLRVFASRSATAHEEAMLAIGALAYATGTEFVKYMQEFYRYLELGLQNFEDYQVCAITVGVVGDVSRALEEKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQSAAELSAHTAGADDDMTEYTNTLRNGILEAYSGIFQGFKGSPKTQLLMPYAPHVLQFLDSLYLEKDMDDVVTKTAIGVLGDLADTLGGAAGPLIQQSVSSKDFLKECLSSDDHLIKESAEWAKLTLSRAISLPSY >CAK8577464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566147850:566150122:1 gene:gene-LATHSAT_LOCUS29570 transcript:rna-LATHSAT_LOCUS29570 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVFLALTLCITCCNVEARNKTLLNGEINFTLSGSSMHQVNNDFDCVDIYKQPALQHPLLKNHKIQLYPTFAKNITQSRASFGKTMDACSPGKVPIYNKTQIHETITSSSSRLHTDNIKQYSKNSGSYHTVTLDTTQNVIFRGASAGIGAYNLSLQANQFSLSSIWLESGPSMELNSIKVGLGVHPHLYGDNQVRLTGHWTADAFKKTGCYNTLCSGFVQVNHNKEYALGSVIHPVSSIGSTTKVYGLIKIKQDRSTGHWWLIIQDEAIYTGYWPKELFTHLRKGASLIRFGGQTYAPPNKDNPPMGSGRLPKEKLKNSGFMGLLEIIDSEYNERDIKPEDMKKYTNSNSNCYDLAYRGYEGSVYRQAFLYGGPGGRNCNI >CAK8576253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:436121534:436122395:1 gene:gene-LATHSAT_LOCUS28458 transcript:rna-LATHSAT_LOCUS28458 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQRSPLLTWAYYCQGKSVEELKQSLIYTTMELEQTRATVQEELRKRDEQLLNLKDILNKVIRERDEAHEKCQRLFLDKLLFQQQQQQQKQDPLSGISSVEDEQQQQQQHKRGIESSNNNGLSSSDCEESIVSSPATEQQQPMMMIELIAKDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPTQQILHHQDSSFLNTNCGRFSSRKRVFGEGSDSPSADNKYQRIVLH >CAK8533109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:578494854:578495721:-1 gene:gene-LATHSAT_LOCUS2757 transcript:rna-LATHSAT_LOCUS2757 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKNMILLVLIATLITKEVLATQHVVGGNQGWDPSSDFDSWSSSQTFKVGDQLVFKYSSMHSVVELGNESAYTKCDISTSLNSLSSGKDVVKLDKPGTRYFTCGTLGHCGQGMKVKIKIVKGNGSSSSVSSPSSSPSSSSTSSDASSASHYFASLVLIVTISFVTMISLF >CAK8539689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521703034:521705121:1 gene:gene-LATHSAT_LOCUS8766 transcript:rna-LATHSAT_LOCUS8766 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTINLMVWHCTIPGKIGTDWEGGYYPLTMIFSEDYPSKPPKCKFPQSFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKRRVKQQSKQYPPLV >CAK8565218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:72529925:72537061:-1 gene:gene-LATHSAT_LOCUS18450 transcript:rna-LATHSAT_LOCUS18450 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEAVDRVHNFFGQENLSQGQHHSQAVDGNWPGLNNNIWVGSQRSTGVPFISNLKNFNQPQSDSEQGHISSPHMRQSLNLSQSNLRSESGRNQLPNQQAAVSGYMQGQQVFQTRHSGSNIMGVDAESDWHSLSRGIPVPESQGSSGLELYKKNLARNDVGESPVNFDFFGGQHQLSGRQNGMLQSLPRQHSGMNEMHLLQQQALLNQMQELQRQQQFHQLEAKQHNSVAPAQSISKQTVTGHSTSLVNGNPVNGASNFMWQSDVTPSNTNWLQRGASPVMHGSSNGLMLSPEQGQALRMMGLVHNQGGDQSLYGVPVSGSGGTPNLYYHFQADKPAMPQVSFPQQYSHVHGNKYTLPHITAGGNSFPAHQYAAFSDQSNTNDGPLVSRQDIQEKSMFGPTAHGINRVNVENLQQMNYGQRIVSMQDFNGRHELAGSSELSSQDKIVQVPPSQNVATLDPTEEKILFGSDDNLWDGFGTNIGDINMLDGTDSSSGFPSLQSGSWSALMQSAVAETSSSDMGVQEEWSGLGFRNMGQLSGNEQPSTTDGSKQQSVWANSNLQSPSNLNSRPFIQPNDVSRPPTAENHCSVSELHQSGPDSSHQQHDRLQTDSQRPIPQYLERGRWLDCSTQQKQFSEGGHIHGKTTNSSGLEKNEKVPSDYWTHQPTISSCSGSGGPFNKSDGWDVTNSTPFDSSSTFKTHVNENSLKHHHEKAVHEEMSQVPASWEPNSDANLSAGFEHEKSTDNMQIRQEDSGMNGIAALPNSVPAWFSQPSSKKLPNIDVCKDSESAGSYKRNEGPGKYKYHMENPLILESSKNGKFEGDADDADDCNKIEKSEVGLGSNPSHPRDGSMRENANCDGNDLHSPKSAGQAHQRSSIARKFQYHPIGDLGVEVEPYGNKQVINSQAMNHHHFRGLKDQRNIYNSLGQSKYGHFDVNYSETEKGESERLDDNVPKSIHPTQTPKTMTPLDRSVDNYALQKTALPRVPETESSDGFAAHPPRNQSSSTQGFGLQLAPPTQGPAMVFSHGLSDSGLTALHMSETGDKGHPWLVTNQTFPSQESAPGENRDNISSTTGQVFDKASQYSVLGNIPPAFTSGFPFSKNHNQNQNIAHLGGQVTNNQLASSNQIDKYGERTQDSQLEIASAQDMSQPSGTDQIRGSQPSGIYGASLHGTPLNVMQNLWTNVSSRQHPNASKISSLPKQINCGMIADSSNPGDQGLEKDGEELSSIGAEEPAVPSHPIDPVMKCVSDASQPNLAATSRDNEALGQSLRPNNVLNYNFSSLNQVQSMRNMEIDPSNREAKRLKVSDNMPNRQQVDSNHEQQLSYGYNNVVRDVSGNYSSITSSDPSMLCLSAKPHHVQNINATSQEVIGDDQEKSVNLLDSNKAVSVRSGHYPINPQMAPSWFEQYGAFKSGKILPVYDGQKITAAKTMDQPFIIPNQSDSLHFQNSVEQVNSRSDAQLGSTRHSPMPDSVENENVCSQLSTPMSESDLLSFSSKKRKSSISGLLSWHEEFTQGSERLRDLSAAELLWARTANRLAEKVEAGAGAVEDLSVMVKSKRRLVLTTQLMQQLLSPPPAVVLVEDMKLHHESMVYSVSRLTLGEACSSISWSGCDTSLPPGSTNLLTKKETSADNVDHYILKVMDFLDRTRKLEDDILRLDSRASILDLRVECQDLERFSVINRFAKFHSRGHHDGAEISSSSDTTFQRFFPVKLVTEVPLPRTLPDRVQCLSL >CAK8574056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655962099:655963444:-1 gene:gene-LATHSAT_LOCUS26436 transcript:rna-LATHSAT_LOCUS26436-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDIEAGIHQDGFYPSMIESPELRWGFIRKVYTIVSIQLLFTAGFTSLFVFFPPARDFVRYNDLRIVVLIVAVIFTFIILFALSKYYDKHPVNLFLLGLYTLCMSVTVGFVCAFAKAIIVLEAALLTGVVVLSLTLYTFWAVKRGEDFSFLGPFLFASLMVLLMFGLIQMFCPLGPLGRMVYAGLGALIMCGFIVYDTCDLIKRYSYDDYIWAAIAIYGDIINLFMYILTFLNDI >CAK8574055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655962099:655963447:-1 gene:gene-LATHSAT_LOCUS26436 transcript:rna-LATHSAT_LOCUS26436 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKGDIEAGIHQDGFYPSMIESPELRWGFIRKVYTIVSIQLLFTAGFTSLFVFFPPARDFVRYNDLRIVVLIVAVIFTFIILFALSKYYDKHPVNLFLLGLYTLCMSVTVGFVCAFAKAIIVLEAALLTGVVVLSLTLYTFWAVKRGEDFSFLGPFLFASLMVLLMFGLIQMFCPLGPLGRMVYAGLGALIMCGFIVYDTCDLIKRYSYDDYIWAAIAIYGDIINLFMYILTFLNDI >CAK8574268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672932221:672933456:-1 gene:gene-LATHSAT_LOCUS26628 transcript:rna-LATHSAT_LOCUS26628 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRIGDSQNETACSSRSLTEETAGTLSSMPLRNSIHAPPHLPFDLVAEILCRLSVKLLIQLRCVSKSWNSLISQDSKFAKKHLRLSTSSDDRHHLILSSSQSVLYHSPISSIFSSGLGSSLTTSVKQFSHCIREILNENAYCNGVSTCDGMVCFMASDCSVVLCNPSIRKYKRLPPLKLPNQLFALHTLVYDPFTNNYKIIAVSTTDRKTEVNVHTVGTTVGTDYWRRIQDFPNPLVASPVPGIFVSDSVYWLAYDYDSVNWLTLDSSSCSWFIVSLDLEKESYQKLSFPVNDVQFTTSFMTLGTLKGSLSLISLRDNFYDVWVMKEYGNEKFWNKLLSVPHMKECGVYGSARALYISKNDQVLMEFIKNGKFNLAVYDFINNTFKIQDNPHDEMMAQEVYAESLKSPL >CAK8570470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:48985915:48988496:1 gene:gene-LATHSAT_LOCUS23216 transcript:rna-LATHSAT_LOCUS23216 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLDCDWYSPKSLLSTPTIYDFPGDRFIPNRSLMNLHQANSLLTNNTKKIQNPNFNEAYRQKLDDKLNLDSEGKPFRMLVFRGSPKSSQKSIRHIDQMREEDSEALQNNGNQRIRRRLPKKESRVLDAPKIKNDYYTNTMDWGKNNILAVALGTEMYFWNSVTTDVSLLFKATGNNYPTSVSWSTDAKYVATGFVHSQLQLWDAETSKPVRNLEGHSQRIATLAWNNHVLTSGSHDKTIINHDVRARRNEVSRVKAHRAEICGLKWSKRGNLLASGGNENHIYVWELNKMNSSNFLHCFKDHSAAVKALAWCPYDPAVLASGGGTDDRCIKLWNVQKGTNICSIDTKAQVCGLQWNRHHKEILSGHGFGTSAEHNQLCLWQYPSMTKVGGLDPHTSRVLHLSQSPDGLTVVSAGGDETLRFWDVFGPPVTDTRETSILDNLLSMKISPIR >CAK8536769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:17208391:17210605:-1 gene:gene-LATHSAT_LOCUS6102 transcript:rna-LATHSAT_LOCUS6102 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFRRITATAKQFTSNLRLQSTTQLPTTLRQFSSRNPRSGEDEWNEAWESAWLPQDLTPKTRAPWEGDVNFTTEADAETKVFVEEMNENWNDRRKGSKKENSEKGEENGALYSLENIKKDYRLKKQKLHAGLWTKEIEKLEEAKLGDSDVPAGDDDIQRLLNSCSDIFDSGNNDLNNAKIPTSEFKNMPDGWETISKNQDGNIWEMSQREEDILMQEFERRIAYSKFQIASFIKTHIFSRRRPIDGWKYMIEVVGPNAKRGKGSVSRVPSLSDPSTQPFREEKTPADKNHIPLGRR >CAK8566527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433168175:433169392:1 gene:gene-LATHSAT_LOCUS19651 transcript:rna-LATHSAT_LOCUS19651 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRSQIEKLPTPAAPKDDEPPTPVSKDDEKLKPSSPIFEDKVGDSSSASSSSEAAEDDEYEEDTPSKNSIPPAKPHPKTEDDSDSEDEEETDSEDEPAPKLKSLNANSKPVDQIKSKADSKPALARSGIKRPIDSDPKQAKKRKTAAEKEPEEDNKKSGDDSKRLFQRVFTEEDEMAIIKGIYDYITETGNDPFKYHTAFYEFVKKSIHFKVTLEQLRDKMRRLKQKYQKYETKAKAKSGKTPNFSKANDRIMFEFGQKIWGGKLNVNEAEEKGKPNGKLSKKEPAAKKPRTTKKLVLEPDSPLPVVKETRKVESTDTENPGSGSSLALNELIRFDESVSGSGKTLHAMKRGLELLGESKKEEFGRRWEKVQVAELEVFKMRADLAVDQAISILNALKSSSSD >CAK8563360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:595450691:595453767:-1 gene:gene-LATHSAT_LOCUS16781 transcript:rna-LATHSAT_LOCUS16781 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSSSSSPFDPFNQEPVIRKKNNGAPIKFLLPLIYAPVLPLIRLSLRSKPVLRDRLFTAVLVGAFVHGGYLVTDLYDAESK >CAK8568623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625047075:625050015:1 gene:gene-LATHSAT_LOCUS21565 transcript:rna-LATHSAT_LOCUS21565 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVTNRIVHCSIATAPGGETNSPAKSQPPSFSTVTFRSDRSNDHASSEVSSSRRGVICSIAMLPCLLPLTHIFGSLRANAMPPPGGDEYVRIKQELRKVLSKGKAAGVLRLVFHDAGTFEIDDNTGGMNGSIVYELERPENAGLKKSVKVLQKAKTQIDTIHPVSWADVIAVAGAEAIEVCGGPTIQVSLGRQDSLGPDPEGKLPEETLDASGLKRCFQKKGFSTQELVALSGAHTLGSKGFGSPTSFDNSYYKVLLEKPQTPSGGMSTMIGLPSDHALVEDDECLRWIKKYSDNENMFFEDFKNAYVKLVNSGVRWNSL >CAK8533581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639132880:639134385:1 gene:gene-LATHSAT_LOCUS3200 transcript:rna-LATHSAT_LOCUS3200 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIGEIWSQLGSIMASIMFVYAMFDKFFPPHLHGYFLKYTNKFSNLFYPYIQIKFDELAGEKLKHSETYKIIQTYLSSHSSKGARKLKAEVVKDSQTPLVLSMDDNEEIVDEFNGIKVWWTAYHTTSKSQSFSYYPVSDEKRFLTLTFHKRNRDVITTSYIQHVLEQGKTITLKNRQLKLYTNNPSNDWWGYKSAKWSHTIFEHPARFETLAMEPEKKDEIINDLVKFKEGKEYYAKVGKAWKRGYLLFGPPGTGKSTMISAIANFMNYDVYDLELTTIKDNNELKRLLIDSSSKSIIVIEDIDCSLDLTGQRKEKNEKDAENGEKMDPIKKAAKEEEKKSKVTLSGLLNFIDGIWSACGSERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCSYEAFKVLAKNYLDVESHDELFPVIGKLLGETNMTPADVAENLMPKSVTEDYESCLKNLIQSLEIEKKKAEEEAKKKVEDEAEKEKVKDNEKSEEKEVKENGFTH >CAK8569161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677668338:677673419:1 gene:gene-LATHSAT_LOCUS22045 transcript:rna-LATHSAT_LOCUS22045 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSSGIGYGLKYQARCISDVKADTDHTSFLAGTLSLKEENEVHLIRLSSSGTELFCEGLFSHPSEIWDLVSCPFDQRIFSTVYSNGESYGAAIWQIPELYGELNSPQLERITSLETKSGKIKSILWWPTGRHDKLISIDDESLCLWSLDVSKKTAQVQSQDSAGMLHKLSGGAWDPHDVNSVAATCESSLQFWDVRTMKKTLSIECSHVRSADYHPRRNNILVTAEHESGIRIWDLRKPKVPIQELLGHTHWTWIVKCNPEYDGIILSAGTDSTVNLWSASINHDETTTESQPESPARLVDPLLNTYSDYEDSIYGLTWSSREPWIFASLSYDGRVVVESVKPFISKK >CAK8573664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627699110:627699579:1 gene:gene-LATHSAT_LOCUS26079 transcript:rna-LATHSAT_LOCUS26079 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLRHLHRRKHHHNHHCCLLLLPTFLFLFLLFSSSSAITTLAPQPSSGTVKYEESENLSPASPWERDMTQQKKLNGPGSAPPSCRSKCGWCNPCHPVHVPVQPGLIIRLEYYPEAWRCKCGNKLFLP >CAK8560170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9356991:9358052:-1 gene:gene-LATHSAT_LOCUS13870 transcript:rna-LATHSAT_LOCUS13870 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRNRFVFVGWSGLLLFPCAYFAVGDWFTSTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDLTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLWPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPLTGLWMSALGVVGLALNLRAYDFVSQEIRATEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8574913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15086207:15088051:1 gene:gene-LATHSAT_LOCUS27212 transcript:rna-LATHSAT_LOCUS27212 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMMKNSIPWPTIMVVISWYSSNIGVLLMNKYLLTNYGYKYPVFLTMCHMMLCSVFSYVGISVLDIVPLQSVQSKSQLLKICGLSVVFCFSVVCGNVSLNYIPVSFNQAIGATTPFFTAVFAYAVSRKREAWVTYCTLLPVVAGVIIASGSEPSFHLFGFIICVASTAARAFKSVLQAILLSSEGEKLNSMNLLLYMAPIAMLVLLPATLLMEGNVIRITIELAREDFRIIWYLLLSSSLAYFVNLTNFLVTKYTSALTLQVLGNAKGAVAVVISILIFQNPISMIGMLGYVLTIIGVVLYSETKKRYT >CAK8536552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954007085:954007816:1 gene:gene-LATHSAT_LOCUS5910 transcript:rna-LATHSAT_LOCUS5910 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRPKSTVPPSLETLTSLKTSEPESTTVSVQTPGIESRTTAGEDKDMTNTLAKENKKTLTEPTQTQPEERKPWVDVINDNRNPAKGMAIEYVAPKIVNGVIEIDIEQEDIETEIQFWDNALILYVVGDDLSMNTVKNFMQRMWNFVKMPDLYYHDDGYFLLRFNSQEDKEAVMMKGPYTIRNMPMILKEWQTGFNLKKDLLRTLPIWVKLPQLPLHLWGGKKSQQNWKCYWQTVGD >CAK8543798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640317750:640318252:-1 gene:gene-LATHSAT_LOCUS12533 transcript:rna-LATHSAT_LOCUS12533 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHKHIPIPSFTSHRFTSRNQTFSSYFPFKVVCSKRDESQRNGDNKGDKSSTDWDKAWSKFKKQGKKTLFSNFSADKYVSWNPKRSDFPLSEEVDPIKRTERSNLKFWNSPTFTLGGALIILIFLLLYTLQAPINK >CAK8568887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651536621:651537205:1 gene:gene-LATHSAT_LOCUS21796 transcript:rna-LATHSAT_LOCUS21796 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTETKWCLPNNVALEDITCMDNNQSMVVIEKVSKTVRLLGFLIQNGVSKSNRVMQDMHEMMKRGKNIGKALNTVMVKHHEALSCRPRDADVYFVSPLEYQFSCSGSPPRLSRGASSRRKLLSPAKEVCRGTGNERRRVKVTKVSREIEKEEEFHVDQAAEEFIDRFYRELRLQKWLDHHHHHQQQHHRRQY >CAK8533257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597599199:597599447:-1 gene:gene-LATHSAT_LOCUS2900 transcript:rna-LATHSAT_LOCUS2900 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFPVFQILRTVPNKLLGVLLMVSVPAGLLTLPFLENVNKFQNPFRRPVATTVFLIGTVVALWLDIGATLPIEKSLTLGIF >CAK8536275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924388946:924389797:1 gene:gene-LATHSAT_LOCUS5659 transcript:rna-LATHSAT_LOCUS5659 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFSFSLFLLMFSSLYFPNTAATEPVASPKHAPAPKAASPTSSKPLVPTLPDSPDTSDSTPDDITKILKKAKTFTILTRLLKTTEIMSSINSQLITGKSGGLTILAPDDSAFSQLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGTKAPASAPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGIQGTTLKAIGAAFVAVAMFGNCI >CAK8574844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11281838:11291672:1 gene:gene-LATHSAT_LOCUS27144 transcript:rna-LATHSAT_LOCUS27144 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSTKLLLFLLLLCTFSFVFSQDSKKNTFREREASDDALGYPEIDEDALVNSKCPLNLELRWQTEVSSSIYANPLIADINSDGKLEIVIPSFVHYLEVLEGTDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVLFFRASGYIMSEKLEVPRRKVLKNWYVGLNADPADRTHPDVHDEQLVHEATIAKSTSETNGSKHEVNSSAATSTESHPDTKSVSNPEPENKINGSQSEESIKMPTITDNSNVNTSSVGTVSADNSTVNAGSVETVSADNSTVNAGSVETVSADNSTVNAGSVVTVSADNKTSTGRRLLEDNNVKGAEQSDSESKGNEGVHAATVENEEGLEADADSSFELFRNSDELADEYSYDYDDYVDESLWGDEEWTEVKHEKLEDYVNVDSHILCTPVIADIDNDGVMEMVVGVSYFFDHEYYDNQEHKKELGDIDIGKYVAGGIVVFDLDTKQVKWTAELDMSTDTANFRAFIYSSPTVVDLDGDGYLDILVGTSYGLFYVLDHHGKIREKFPLEMAEIQGGVVAADVNDDGKIELVTADTHGNVVVWTPKGDLIWEKHLKSLIPHAPTIGDIDGDGHTELVVPTLSGKIHVLDGRDGSSIGRYPFITHGRVMNQVLLVDLSKQKEKKKGLTIVTASFDGYLYLIDGPTGCADVVDIGETSYSMILADNVDGGDDLDLIVSTMNGNVFCFSTPSPHHPLKAWRLPNQGRNNVASRYGRQGIYVTHPSRAFRDEEGKSFWVEIEIVDNYRYPSGHQGPYYVTTTLLVPGNYQGDRTIKQNQTYSQPGKYRVKLPTVGVRTTGTVLVEMVDKNGLYFSDEFSLTFHMYYYKLLKWLLVLPMLGMFGVLVILRPQGAVPLPSFSRNND >CAK8576757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511418504:511419821:-1 gene:gene-LATHSAT_LOCUS28926 transcript:rna-LATHSAT_LOCUS28926 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKFAERGLRSLGVAYQEVPEKTKESPGAPWQFVGLLPLFDPPRHDSAVTIRQALNLGVNVNMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDASTSDIPIDKLIEKADGFAGVFPEHKYEIVKRLQDIKHICGMTGDGINDAPALKRADIGIAVVDATDAARSAFDIVLTEPGWSVIISVVLTSRAIFQRMKNYTIYVVSIATRIVFGFMLIALI >CAK8578382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625617767:625620987:-1 gene:gene-LATHSAT_LOCUS30399 transcript:rna-LATHSAT_LOCUS30399 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLQILTEQKPAESNTENQVPLLTSNSNHQHEDQAANNKTDTEVDKTLARLELFLNILGFNPRSVLYSVICWTVFFILGVALPLTALWMCDCPECEKYELRGCEMVIVAFQASLAAVSLLCLSHCLRKYGLRRFLFVDRYTGHVACFHRDYVNQISGSLRLFILWVFPCFLLKTVREIIRISYIQHGSWGLSIMIFIALIVSWTYVSAISLSACILFHLVCNLQVVHFDDYGKLLERESDVLVFLEEHMRLRYHLSKISHRFRIYLLLEFLVVTVSQVVTLLQLTGYRDMVTIVNGGDFAVSTLVQVVGIIICLHAATRISHRAQGVVSLASRWHAIATCTSSDTSQMRSSVSAGNLEVANHLNSIQIDYSESDLESLDFGGMAVNTQLVSYMSSHHKRQAFVMYLQSNPGGITIFGWTVDRSLVNTIFFLELSLVTFVLGQTLIS >CAK8578663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:645469669:645469938:1 gene:gene-LATHSAT_LOCUS30654 transcript:rna-LATHSAT_LOCUS30654 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHDSVTDFETKEHIGSAPPASNNVDLCPQKHKKRPKALNPFTPMPPNTKKSKSAKCNRFFSLIKYNGGTRAVLAHLRRCSNNPDNGM >CAK8569058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667317942:667318471:-1 gene:gene-LATHSAT_LOCUS21951 transcript:rna-LATHSAT_LOCUS21951 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLQKINNLNNTKELWKVAVQVYHKWLVLTNNKEHIEMIFVDKYGDDIHVIVYAVYMDTFKDKLNVDHTYTVSNFNVQSNDLVFKPSRYKYMFRFTEGTSINDADKHVILAKELNFTNFVDIMTERFQKDVLIGCH >CAK8543654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628899539:628902699:-1 gene:gene-LATHSAT_LOCUS12400 transcript:rna-LATHSAT_LOCUS12400 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGIYRRTLPPPSIEFSSPEGKKIFTEALAKGTMEGFFKLISYYQTQSEPAFCGLATISVVLNALAIDPGRKWKGPWRWFDDTMLDCCESLDKIKSQGITFGKVACLARCNGAKVEAFRSDQSNIDDFRNRVISCSSSEDCHVIVSYLRTPLNQTGTGHFSPLGGYHAVRDMVLILDVARFKYPPHWVPLTILWNALNTIDQDTGQHRGYMVISRLNRAPSILYTVSCRHEGWSSVAKFLTEDVPLILKSENLKEIPEVLSVVYKSPPSELREFITWIVEVRRQEDGNLTLSEEEKGRLAIKADVLEQIRSTALFKHVIRWLDSERSCCDTIANLGDRDTLSALAARVCCQGADLLTGCRLSGGNCCSKIDVKHLNVDSENPVTLISGTVTTTGGGGEQGVDVLVPLCQRKPSSLCLCNEGQCIGMHPSTADVLTVLLFALPLHTWSGIKDEKLRVEVASLLTTEDLLPLLSEEVLFLREQLHFLMTDNGAPST >CAK8564874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14857700:14858650:-1 gene:gene-LATHSAT_LOCUS18130 transcript:rna-LATHSAT_LOCUS18130 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDNGSFGFDNFVFIQDNPDSYCSTKNQIDNKTILDFEVFAPIQEKSESRLSTNQQIHKSDDENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQNEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNESNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8544060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660941492:660942754:-1 gene:gene-LATHSAT_LOCUS12769 transcript:rna-LATHSAT_LOCUS12769 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPVEVIGIILSRVGSARDVVIASLTCKKWTEAWHNHLHTLSFNTSDWPLYSEVCSSHLEMVITCTLFQTKGLQRLTILMDDEHEFIVTPVIAWLMYTRDSLRELCYNVRTSANFNFNIIEKCSTQRLEVLSLARNPIPRVERYHKFPCLMSLSLSFVSISALDLSLLVSACPMLETFSIVELVITMSDSEASLEISSSSLKSIFVDSFGFDKFILEAGSLEKLHLKDCTFDTFVLVGKGKLKVLKIDDVSVIDLDIGDSTENLEVVDVCDFTIMWPKFYQMIARASRLNSLRLWDVIFADEDEVVDIENISVCFPRLTQLSLSYDLKDGVLHYGLQGLSFLMNVTVLELGWTTISDLFSVWVAGLLEGCPNLEKLVIYGYVTEVKTIEECQTFARFSEFMLQLGKKYSHVKFEFEYE >CAK8540171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542829720:542840377:-1 gene:gene-LATHSAT_LOCUS9201 transcript:rna-LATHSAT_LOCUS9201 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVAGLAPGLSRKLKKVLESRIDTPDLLSSLNTLSSFYDENTPQARRNLRSTIEKRALSINHEFLDASHAAQLALDSVENEVESLAECCDRIAKALSSCSASTGDIISTTERLKQELETTTQRQEIVTCFLRDYQLSPEEIDALRDEDLNESFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDTDNPEVGELLKTAVRYLRERSVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLVLLDPDAIVDTRPTTKQLSNNSDNGSGKSEIDLMFVLDRIFEGVCRPFKVRVEQVLQSQPSLIVSYKLSNTLQFYCYTISDLLGQDTALCNTLWALKDAAQKTFFDILKGRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIENYNSMMIPASGQKPAFDPVISATLDPIIQMCEQAAEAHKSKVAGNSSRRSRMSSDSSQLTKSSVDAILSNSRSASSLTSETPSKIFLINCLCAIQQPLSGYEVAAEYVKRLGAMIDNHLRVLVEKEADAILSRCNLSEKMPHFHNSIHKDGEDDVGTPLAELEDTSPTILSECLKALFGLILGSESSLPEFEQIQVPKLRSEASIGVARSLGEAYELIYNAIMDPKNGYPDPRSLARHPPNQIRTILGI >CAK8570298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34844601:34848662:1 gene:gene-LATHSAT_LOCUS23060 transcript:rna-LATHSAT_LOCUS23060 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVSPSASDDQIRKAYYQKAKQVHPDRNQNDPNAAHKFQILGEAYQVLSDPVQRKGYDQNGKHSVSRETMLDPMAVFALLFGSELFEDYVGHLAVASMASSELADESEDLQEKLKAVQKEREEKLARFLKDCLSQYVRGDQKGFLQRAESEAKRLSHAAFGVDMLHTIGYIYSRQAAQELGKKALYLGVPFLAEWVRNKGHFWKSQLTAAKGAFQLLQLQDELSRQSKTGGSCPENVDSHIRLNKDTLLNSLWKLNVVDIEVTLAHVCQMVLKENNVRKEELRVRAIALKILGKIFQEKNAKGETLKKKHAVDSDDEGSSSDSSDDESSHRALTYRTPFFNQGIGRLFKCLCNPAFDVDDEEIVYKSK >CAK8575081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27101916:27103805:1 gene:gene-LATHSAT_LOCUS27371 transcript:rna-LATHSAT_LOCUS27371 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCANSSSYQPWSSTIPTLILLPKFTTKEHMNQIHARLITTGFIKNTSLTTKLILTFVSSPHKPLIEFARYIFIKNHALRAGRDRNDDPFLWNAVLRSYSHGSDPKGAIFLLCLMIENGVCLDKYSFSLVLKACSNVGLMKEGMQVYGLLCKTDIGCDLFLQNCLIGLFMRCGCVDFAEQMFDRMTERDSVSYNSMIDGYVKYGLIGRASELFNGMPMEEKNLITWNSMIRGYVRCGEDDDGLKFGWSLFVKMPERNLVSWNTMIDGCVKRGNMDDAQAFFDGMSERDLVSWVTMIDGYAKSGDVVAARSLFDEMPRRNVICCNSMMAGYVQNGYCIEALKLFHDMRRATDMLPDDTTLLIVLTAVAQLGRVEDGIVIHRYLMDNGYSLSDNLGVALIDMYSKCGSIENAISVFENIEQKCVDHWNAMIGGLAIHGMSEMAFDFLMEMKRLSITPDDITFIGVLSACRHSGMLKEGLICFELMQKVYKLQPKVQHYGCMVDMLSRAGLVEEATKLIQKMPIEPNDVIWKTLLSACQIHEKFSVGKPIAQQLIQLTSGSASSYVLLSNIYASLGLWDNVRRVRTKMRERHLRKIPGCSWIELEGVVHKFSVQDRTHPQVNEIYSMLNSL >CAK8572513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542533602:542534783:1 gene:gene-LATHSAT_LOCUS25072 transcript:rna-LATHSAT_LOCUS25072 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQKPTQNTNPEPSDTNNGETFYDDIDSNCSTPYVSAPSSPGRGGPPISSGYFYSAPASPLHFSITASSSYHHQTTTTSSVPLSYEFEFSARFGSTGSAVPGSMTSADELFLNGQIRPMKLSSHLERPQVLAPLLDLEEEDEEESEVVRGRDLRLRDKSVRRRTRSMSPLRSNTHLDWTENENNEDEHHQNEASEIENQGNDDGEMENVKVDEMGLERIEIITPSDSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFTPIKDKKSNSNNQNLHPQISKEKTSETQRNGSSSKGSFSSSSSSTQSWAKKMTGKPMNGVGKRRVPPSPHELHYKANRAQAEELRKKTFLPYKQGLLGCLGFSSKGYGAMNGFARALNPVSSR >CAK8532690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:481683762:481683986:-1 gene:gene-LATHSAT_LOCUS2372 transcript:rna-LATHSAT_LOCUS2372 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTWALFGKPGKGGISGPGLKMDLNANIGLTFTPIEWGNEQKHILSSIPQGKSVFITGSAGTGKTLLLEEINY >CAK8542089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471734697:471736217:1 gene:gene-LATHSAT_LOCUS10960 transcript:rna-LATHSAT_LOCUS10960 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIGKDSNERVPLSGVVADCVKRWFKDTLREAKGGDVNMQVLVGQMYYSGYGVPKDAQKSKLWLTKASRVRSSVWKVGDKRPGYNASDSDSDESDEDS >CAK8542891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555862704:555870587:1 gene:gene-LATHSAT_LOCUS11689 transcript:rna-LATHSAT_LOCUS11689 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNNHNNSEGSRNNTATTTMSILSSRTSSRSVTETVNGSHKFVIKGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSPNGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFKRAQLEASSFLKDDCLKINCTVGVVVSSIDCSKLTTIHVPESDIGSHFGMLLENEEGSDVTFSVGGERFHAHKLVMAARSTAFETEFFNRMEVDDCDVVVTDMEPKVFKALLHFIYRDALIEDEEFFMSGSSFLPSISETFAAKLLAAAEKYDLPRLKLMCESVLCKDISIDSVAYILALADRHHATELKSICLQFSAENLIAVMQSDGFEYLKENCPLLQSELLKTVAGGEEEFSGEGKCRSVWAQVSDGGETNDRSVRQQTWENGVERGQSLWVNLSDGVNNDRSPGQEA >CAK8541045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47785717:47787129:-1 gene:gene-LATHSAT_LOCUS9997 transcript:rna-LATHSAT_LOCUS9997 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIQQEQAAFREELDSMKGKIDQILEAILVARREEEQREATAVVNNGQGQGSTAIPLVPIPKFHGMPLNFNNAVEGNTPQPIPAPGVTIGAIPQAQPTVVQIPAPHTEDTQMDQYDDVHNYHAAIPIASPVASQDFEAMKMCRDLAEKLRAMEGHNLNSFSALELCLVPDVVIPSKFKVPEFSKYKGLSCPNIHLKMYCRKMAAYARDERLMIHCFQDSLSGASLKWYMQLERNSVHTWAELADAFVKQYKYNTDLAPNHTQLQSMTQKDSESFKEYAQRWRELAARVHPPLVDRELIDIFMGTLQGQYYEKMIGSVSAGFSDLVIVDERIEEGMKSGKIPGGSNNQANAKKPFNEYKKKEGETNAISLQKGQASQQAPAPMPYQVPYYQYPYVAAAQYAPMPYQPAVQAQMPQQQAYNQQGYQYPPQQQAYNHPILISLKFGCRTLSHHSKTTIPKEIQEKACKQLIK >CAK8563727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626979482:626980441:1 gene:gene-LATHSAT_LOCUS17106 transcript:rna-LATHSAT_LOCUS17106 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKSDFKAKLVLEICSISTRFATCVHTILSNPTKTTFIDWYCILGVEENAGVNAIRKRYRKLALQLHPDKNKHPKAEIAFKLVSEANACLTNEAKREAFDFARYKHFCIECKRIPYTSANVSVNSNGSSFKAWNVITRSRSLKFWRNIREVKERLKEEANVIDYCLKVNSMSRNESTLYNQDNCLDRSKSVHKFEKETPVFDPSDYLCRGYPHTRGFVNKNSSMFWYLQTNSMVQNEARGARYSSPVFEVKRRSMFSNEFAFVPSRY >CAK8543588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623797895:623800229:-1 gene:gene-LATHSAT_LOCUS12336 transcript:rna-LATHSAT_LOCUS12336 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGLSRPNASSGMGVDENSKSTFLELKQKKVHRYVIFKVDEKKREVVVEKTGGPAESYDDFAASLPENDCRYAVFDYDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATTKERFRRELDGVHYEIQATDPTEMDLEVLRDRAH >CAK8562414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486474377:486480316:-1 gene:gene-LATHSAT_LOCUS15911 transcript:rna-LATHSAT_LOCUS15911 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKLMVTNIPWDVDTKGLKNYMSKFGELGDCFVVKDRFTGRSRGFGYVTFASVDDAKYVLSSEHSLGNRMLEFKVATPKEEMRAPVPRIFVSRIAPSVTEATFRSHFEKYGDIEYLYMPKAQRSKTHRGIGFITFASADSVENVMKETHKLGGSDVVVDLAIPKGDGFKPIGRTRTSQGGHGAFNNAYISKPTRYAALGGPTMYDHPSSIYGRKEPVRGMSKKIFVGRLPQEATTEDLHLYFERFGHILDVYIPRDFKKSGHRGYGFVTFADYGVADCVSRRSHEICGHQVVIDSAASLDDAGPSGNTTGNNSMDSSRGYGDPVRPYFDRSPVSPYFDQSPVRPYGRMYDNLDYDNRGYGVASRRPSRADRRYRPY >CAK8534953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795279841:795281445:-1 gene:gene-LATHSAT_LOCUS4446 transcript:rna-LATHSAT_LOCUS4446 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRYAVSVSIPNSRPYSQCLQHFHDHVDVVSMFNRMLHKNPTPSAIEFGKILGSLVKAKHYLTVVSLSHQMELNRVTPDFVTMSILMNSLSQLGHITFAFSVFGKILKRGYHPDAITFTTLIKGFCLKGEIHTALRFHDKVVAQGFRLNHVSYGTLVNGLCKAGQTAAALQLLRRVDGKLVRPNVVMYTTIIDGMCKDKLVNDACDLYSEMVAKRIVPNVFTYNALIYGFCVVGKLKEAIGLFNKMMLENISPGVYTFNILVDAFCKEGNMKEAQNVLGMMIEKDIKLDVVTYNSLMDGYCLVNQVNKAKDMFKTMIQRGVTPNVWSYSIIINGLCKIKMVDEAISLFKEMHCRKIIPNTITYSSLIDGLCKLGRISYALELVDEMCDRGQLPDIITYSSILDALCNHHQVDKALALLTKFKDEGIQPNMYTYTILIKGLCKSGRLVDARNVFEDLLLKGYDINVYTYTAMIKGFCDKGLFDEAMAWLSKMKDSGCLPNAITYDIVISSLFENNENDKAEKLLCEMIARGLL >CAK8563919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639070918:639072773:1 gene:gene-LATHSAT_LOCUS17277 transcript:rna-LATHSAT_LOCUS17277 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTKTIFTFIFFFFILTLPHFTASASIDSNGSVLLKISPIHFVNSAKEVITNLQHVTAILSRFNLHVEASHPPVSNAISDCLDLVDLSTENLHWSISAIQNPNGKDNSTGNLSSDLRTWLSAVLVNPDTCIEGLQGTNAMGLVSAGLDRVKSAVKNLLDGVKPVNDHLTTAIGSDQFPSWIKDNDANLLQADEVTADAVVAADGSGDYQSVMEAIWAAPDDSLKRYVIHVKKGVYVENVVIDNKKRNIMMIGDGMDDTVISGRLNKVDGTTAFSSATFAVKGKGFIARDISFTNTAGPEKHQAVALKSSSDLSVFYRCGIFGYQDSLYAHNMRQFYRECKITGTVDFIFGDATAVFQKCQIIARQGMQDQKNTITAQGRQYPCQPTGFSFQFCNISADTGVTSPTYLGRPWKEYSRTVFMQSYMSDAIRPEGWLKWRGSFALDTLYYGEYMNSGPGAEVTNRVKWSGYHVLNDSSDAIKYTVAQFIEGDLWLPATGVTYDSGLTVNSI >CAK8543841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643244452:643245852:1 gene:gene-LATHSAT_LOCUS12568 transcript:rna-LATHSAT_LOCUS12568-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLLNFQILHGSIIRRVFFRSIMLAATISMVALFRALSDFDLGNLVPIANSFNCYADSDNNVTSNPSSNFLRNRIWGNVNCEKDVNLTVNVVTELMGKQFLNCEANTLCVGEGSSMAVKAMKQLGFSTVSGVYSNRFFTLNMKRIVYELDYQDSSFDFVLSRDLDKVSVPALLVLEVERVLKPNGIGALLVGAKSSHHNDLIRSATPVSSLLRFSSVVHVDSIDDELNLVVFKKRSENATAFFNHHQLSLPADCPSLALTKPLIDLMEPLVSEKPKPSNATPVSYLPKFVDVSTRKRLVYVDIGVGELLNANVSDWFLPSYPIDQKDFNVYFVHYNTSIMLSYVKRPGITFVYHPGLAGKVVTAADVDEDVEDDEEVDPYVGEEEFDFLAWFKETVQYADFVVLKMNAGEVELKFLSDVFDSGAICFVDEMFLRCPDITSKESCMDVYKSLRSNGVYVHQLWGE >CAK8543840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643222300:643245852:1 gene:gene-LATHSAT_LOCUS12568 transcript:rna-LATHSAT_LOCUS12568 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLLNFQILHGSIVRRVFFRSIMLAATISMVALFRALSDFDLGNLVPIANSFNCYADSDNNVTSNPSSNFLRNRIWGNVNCEKDVNLTVSVVTELMGKQFLNCEANTLCVGEGSSMAVKAMKQLGFSTVSGVYSNRFFTLNMKRIVYELDYQDSSFDFVLSRDLDKVSVPALLVLEVERVLKPNGIGALLVGAKSSHHNDLIRSATPVSSLLRFSSVVHVDSIDDELNLVVFKKRSENATAFFNHHQLSLPADCPSLALTKPLIDLMEPLVSEKPKPSNATLVSYLPKFVDISTRKRLVYVDIGVGELLNANVSDWFLPSYPIDQKDFNVYFVHYNTSIMLSYVKRPGITFVYHPGLAGKVVTAADVDEDVEDDEEVDPYVGEEEFDFLAWFKETVQYADFVVLKMNAGEVELKFLSDVFDSGAICFVDEMFLRCPDITSKESCMDVYKSLRSNGVYVHQLWGE >CAK8543842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643244524:643245852:1 gene:gene-LATHSAT_LOCUS12568 transcript:rna-LATHSAT_LOCUS12568-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATISMVALFRALSDFDLGNLVPIANSFNCYADSDNNVTSNPSSNFLRNRIWGNVNCEKDVNLTVNVVTELMGKQFLNCEANTLCVGEGSSMAVKAMKQLGFSTVSGVYSNRFFTLNMKRIVYELDYQDSSFDFVLSRDLDKVSVPALLVLEVERVLKPNGIGALLVGAKSSHHNDLIRSATPVSSLLRFSSVVHVDSIDDELNLVVFKKRSENATAFFNHHQLSLPADCPSLALTKPLIDLMEPLVSEKPKPSNATPVSYLPKFVDVSTRKRLVYVDIGVGELLNANVSDWFLPSYPIDQKDFNVYFVHYNTSIMLSYVKRPGITFVYHPGLAGKVVTAADVDEDVEDDEEVDPYVGEEEFDFLAWFKETVQYADFVVLKMNAGEVELKFLSDVFDSGAICFVDEMFLRCPDITSKESCMDVYKSLRSNGVYVHQLWGE >CAK8534712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:757161867:757165214:-1 gene:gene-LATHSAT_LOCUS4232 transcript:rna-LATHSAT_LOCUS4232 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRKSKRTAYSSQSESEDEKKHKRKRTVDDEERKSRKREKKDKRKDKKHSKDKSDKEKKSKDKHKSKRSKGEGNMDFQELSSDDYFAKNNEFATWLKEEKNVFFSDLLSESARELFAEFVKAWNRGKLESNYYEGIATAPRSSHNWKIKK >CAK8571724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465380286:465380570:1 gene:gene-LATHSAT_LOCUS24361 transcript:rna-LATHSAT_LOCUS24361 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRIFHNFQRNQFMKLQRKTRVTSSVTLGNNVERKVTLECLVRKLFYKLKNCWKQSLGWKRRTPQYSYDLQSYCLNFDDGISNDCMIPSHVC >CAK8543587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:623794947:623796509:1 gene:gene-LATHSAT_LOCUS12335 transcript:rna-LATHSAT_LOCUS12335 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGSLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMIMVLCSIGSGLSFGHTPDSVMITLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGIFAILISVIFKAKFNSPSYMVDPLGSTVPQADYVWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNMAQAAADMSKVLQVEIQAEPEKQDDSKVKPYGLFSKQFLSRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNALEEVYKIARAQTLIALCSTVPGYWFTVALIDRIGRFSIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVLYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSAAGKLGAIVGAFGFLYLAQNQDKSKADAGYPAGIGVKNSLIVLGVVNILGFLFTFLVPEANGISLEEMSGENEEEVEATE >CAK8530561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30267731:30268282:1 gene:gene-LATHSAT_LOCUS418 transcript:rna-LATHSAT_LOCUS418 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLITKSTVVCHFSKSLPNVPSLSLHKPSRVILASASNHSISYRIATKTRRGVVVRAAESISEGVEDIKKAALDANEKAKEVAGSVVDKVKEDTDKALAAGESAGEKAKDFASDAKDKTTEALGSVADKTDEGIEAATKKGDEATKALKKEGEKAEKAADGAWKDTKDESQKVKDAVVGKD >CAK8578553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637722560:637724119:1 gene:gene-LATHSAT_LOCUS30554 transcript:rna-LATHSAT_LOCUS30554 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAPKKNNSFNKKDNPNLLLGRFELGKLLGHGTFAKVHLAKNIKTGEAVAIKIISKDKILKSGLVSHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLICAVGFCHARGVFHRDIKPENLLLDENGNLKVSDFGLSAVSDEIKQDGLFHTFCGTPAYVAPEVLSRKGYDGAKVDIWSCGVVLFVLMAGYLPFHDPNNVMVMYKKIYKGDFRCPRWFSPELVNLLSRLLDTKPQTRISIPEIMENRWFKIGFKHIKFYVEDDVVCNLDSLGLDGNDGNDGNDGNDGKKVLNIDEHRDEVLESVSESEWDSEVVNRRKNRQLGSLPRPASLNAFDIISFSQGFDLSGLFEERGDEARFVSGASVSKIMTKLEEVAQLVSFKVRKKDCRVSLEGLREGVKGPLSIAAEVFELTPSLVVVEVKKKGGDKVEYDRFLNTELKPALHSLTMEESAGSSCQTTPDETLQQRAFSDSAIDKHSDSIESLNLDT >CAK8567296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502228193:502229212:-1 gene:gene-LATHSAT_LOCUS20361 transcript:rna-LATHSAT_LOCUS20361 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLDSHHLQQDPNSNADPQVPLQNLSLVRTRIDSLRTFLSQSINANTPFTNDQITVVSNQIVSAINHTIVNAAALVSYYQNITVPAASVRFRPEPSAVDNAKQHMLDSKIEPPDNNYLTGDDSDSDCEIVELDAVELFAEHLHFCEICGKGFKRDANLRMHMRAHGNKFKTPEALAKPLDGSARRGSRFSCPFEGCNRNKKHGKFKALKSVICVKNHFKRSHCPKMYSCDRCHKKKYSVLSDLKSHMRQCGGERRWKCSCGTTFSRKDKLFGHIGLFEGHMPAVVLEDEEEKGKQVVVQESEGDLDLDIHMDEDFFNDFGSIDNYCLREVLGFSDS >CAK8569436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:811261:811698:-1 gene:gene-LATHSAT_LOCUS22286 transcript:rna-LATHSAT_LOCUS22286 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQEEKFPVRFTCNPVHETMFTQSEIEELFSLINQPVDPSSPGSGSQGSNRTVYSTRERKIRRMQSNRESARRSRWRKKRHVENLNNDVNRLRIENRELKTRLGLTMQYNLILSAENERLRSESMSLVATLLDLYRTLETIISQ >CAK8561017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:74030361:74030807:-1 gene:gene-LATHSAT_LOCUS14645 transcript:rna-LATHSAT_LOCUS14645 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKITKKEETEFKVPETITPCINPPTTTTATTTAIATVAEPSRFFEDNSTARSATSSRSPKRSHPSDDDLKVQPQTTSSEAKRSVNRCSGCRKRVGLTGFRCRCGDLFCSEHRYSDRHDCSYDYKAAGRETIARENPVVRAAKIVKL >CAK8565300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:110942337:110943747:-1 gene:gene-LATHSAT_LOCUS18524 transcript:rna-LATHSAT_LOCUS18524 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNENKEEWHQGPRKGLGGL >CAK8565022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25783017:25783707:-1 gene:gene-LATHSAT_LOCUS18263 transcript:rna-LATHSAT_LOCUS18263 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTSINPQNSLNSSPFQTSENFKDEKNENRAAYLAPERFRSCWLGGWAYIVPDERLPVLKHDPKCLRETSFLTESADIVPDESSCVLKHDPKCAISSQLSMPCEVSHNKPDDDVLHSQDVVRCSNLSLIDPLCSVVPCSIASEHEYYKALIDRENDMEYFSPLVSDFEADKCQRNTTLDCRDEKITSIIDG >CAK8572488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:540112043:540116018:1 gene:gene-LATHSAT_LOCUS25049 transcript:rna-LATHSAT_LOCUS25049 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFRIWRHSHLGPNKMEYAFLLLLILFHIFLPHSSGKPNGVCVSQKGRFPPFKSEGNPPKRGPKDLTLCRVFRKKTCCDVTHTHPALLSVRKLASGGEASQECLQLWELLECAICDPRVGTRPGPPVICESLCENIYDACSNAYFSMDAKTQMLAPCGVNDFVCGRAAEWVSNGTDLCLAAGFRVKPSDIVHAASEETFCYGDKASLGSVADSWKASQFESTKKGENSMIFDEFQQWTRNMPFNERVSWAIGGMVLTAGLVFISKRKSNNQRQKLAAIQRTARKLGRLVDQQQLSNASEIRNRISR >CAK8533843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664769240:664781598:1 gene:gene-LATHSAT_LOCUS3434 transcript:rna-LATHSAT_LOCUS3434 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSSSIVFSNYFILFKRHHHSSFLSLSPHQQPSPTLFIRRRFCPSFIAKAESSNSNNSSKRSSWWFKFLSEDDPSALGLNDDDLMELGGEYSEQQELSEDEKFEAWKQRAEAIIDLREAQEDRRNQDHRKWEDWLMEEEEDVNGTTSWQRGFKDYREEIPPDSREEGIVKSVRSFIFRTEQDDDMLYEDRVFQYASSNSAKFLAVLIIIPWAMDLLVHDYVLMPFLDRYVKTVPLAAQLLDVRKCQKLEIIEVLKTEKGRFELEVEIGKSPPLSDSEVWWELRNKALELRDKWRLENRSAFANMFSDTVFGISLFILLYFNKSKVALLKFAGYKIINNISYTAKAVILIAIADILLGYHSEIGWRAMIETIAEHYGLEVDQPALTIFIGTFPIFTDVLIKFWIFKFLPRLSPKVMVMLQRLGRH >CAK8533023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566762936:566763988:1 gene:gene-LATHSAT_LOCUS2675 transcript:rna-LATHSAT_LOCUS2675 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQKILVTGGAGFIGAHTVVQLLKDGFHVSIIDNFDNSSMEAVVRVREVVGPKLSQNLEFTLGDLRNKDDLEKLFSKTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKHNCKNMVFSSSATVYGQPEKMPCVEDFKLQAMNPYGRTKLFLEEIARDIQKAEPEWRIILLRYFNPVGAHESGKLGEDPKGIPNNLMPYIQQVAVGRLSELNVYGHDYPTRDGSAVRDYIHVMDLADGHIAALKKLFATKNIGCISYNLGTGRGTSVLEMVDAFNKASGKKIALKLCPRRAGDATEVYASTKKAEKELGWKAKYGVEEMCRDQWNWAKNNPWGYSGKP >CAK8562549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508684490:508686105:1 gene:gene-LATHSAT_LOCUS16038 transcript:rna-LATHSAT_LOCUS16038 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSTKATCNSHGQDSSYFLGWEEYEKNPYDNVHNPKGIIQMGLAENQLSFDLLESWLAKNPDAAGFKSGGKSIFRELALFQDYHGLPSFKNALVDFMAEIRGNKVTFDPDHIVLTAGSTSANETLMFCLAEKGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCNSSNNFQVTEQALQQAYQEAQNRNLKVKGVMVTNPSNPLGTTMSRSELNLLVDFIEENKDMHLISDEIYSGTVFTSPSFISVMEILKERNDLKNFKDIDEIKERVHVVYSLSKDLGLPGFRVGAIYSENDTVVAAATKMSSFGLISSQTQYLLSAILGDKKFTKNYLAENSKRLKKRQTKLVSGLQKAGINCLESNAALFCWVDMRHLLHSNTFEAEMELWKKIVYQVGLNISPGSSCHCNEPGWFRMCFANMSEETLKLAMKRLKAFVVESTGVTPRSTSQSKRKSVLTKWVFRLSSRGDHRDQQEER >CAK8533894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669080720:669083514:-1 gene:gene-LATHSAT_LOCUS3482 transcript:rna-LATHSAT_LOCUS3482 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLGLGPHHHVSPNRNIFLFFLLLFVAANIIGLAVRGGINFGILNGAERYNQGKSDNKGQVIESQIGAVAADDARCSAVGVSMLRLGGHAVDAAVAAALCIGVVFQASSGIGGGSFMVVKSSSSSNAQAFDMRETAPIAASQNMYQGNPEAKVLGALSMGVPGELAGLHAAWLKYGRLPWKTLFQPAIELAKNGFVVSPTLSNYIATSENKIMNDPGLRNIYAPNGILLKEGEICSNVELGQTLEIVAKEGIQTFYNGTIGEKLVKDVREVGGILTMEDLRNYKVEITDAMVVNVMGYTIYGMPPPSSGTLALSLVLNILDSYGSLDAAKGNLGIHRVIEASKHMFAIRMNLGDPSFENVSETVSEMLSPAFAQTIQRRILDNITFPPEYYMERWSQLNDHGTSHLCVVDADRNAVSLTTTVNEKFGAGIRSTSTGIVINDEMDDFSIPTDISPGKLPPAPTNFIEPNKRPLSSMTPIIITKDDQLVGVIGGSGGMRIIPAVTQVFLNHFILGMKPLDAVLRPRIYTKLLPDTVLYENITAYDGDHIELSEESRLFLKERGHQLQVCEVEAVTQLIVHTPKASINSHRKLGENTSSHVKYGTLTAVSDPRKGGRPAAV >CAK8540018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536090067:536093373:1 gene:gene-LATHSAT_LOCUS9059 transcript:rna-LATHSAT_LOCUS9059 gene_biotype:protein_coding transcript_biotype:protein_coding MIATMVEENGEGRSLSETPTWAVATVITLLVSLSFLSHGTLKKLVKWLDRTKRKSLLSALEKMQEELMLFGLLSLLMGHWIVFVAKICVKSSVLSSRFFPCAMERSFEIMKHSVWLNSEYSNKTILREQVNHGRHSYCPKGHESFASYESLEQLHRFVFVLGITHVSYSFVAVALAMIKIYSWRTWENEAKTIAIQSLQDTSQSASSIRLKRLSTFISHNTSHPWSHHKILVWLLCFSRQFWSSINRADYMALRLGFITNHDLPLTYDFHNYMLRSMDEEFRDIVGVSVLLWVYAICCIFLNFHGHNFYFWLSFVPAILILIIGTKLHRVVVKLAVEVIDHCPDKKHHQFNLRDELFWFGKPRLLLRLIQLISFLNAFEMSTFLWSLWEIKEASCFMNNRTFVVIRLSFGVVSQVWCSFITFPLYVIITQMGSRFKKTVISENVRKSLSKWQRRVKEKQSSSYEILNTSTTTSLESLKHGMDNCTSALIRETQEESSSRTKDVCFSNIDDVSMIHSNAEFLSYDEDHHHDHDVKYDLSPPLF >CAK8577920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597493321:597493838:-1 gene:gene-LATHSAT_LOCUS29983 transcript:rna-LATHSAT_LOCUS29983 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRKIENLTNRQVTFSKRRKGLKKKAKELSILCDAQVGLILFSSTHKLYHYASSSLELIIDRYNKFTKDHHRAIDTTLDVKVIQTMRER >CAK8533932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672530662:672536667:1 gene:gene-LATHSAT_LOCUS3519 transcript:rna-LATHSAT_LOCUS3519 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSKRSVANGSSSSNKNTKKKKMNKTGPEGVAMKAKAPKANVNPFESIWTRKKFDILGQKRKGETKRTGLARSTAIEKRKKTLLKEYEQSTKSSQFVDKRIGENDETIDDFGKAIMRSQRERQLNLKLSKKSKYNLSDGEDDDGFEGIDTLGGDDYEDDMLDEDDNDETYKRSDLGQHLNAHGTQSPGETDGADGGENRRKTKKEVMNEIIAKSKFYKAQKAKDKEEDCDLVDELDKNFTSLAHSEALLSLTEPNKRKALNALVNNSKSNEKSDKDSLSATPTMDKSVKEKPDEYDQLVRQMGFEICARPSDRLKTPEEIAQEERERLEELEELRQKRMTAAEDSSEEDDEDSEKPSEQKQRSVSGDDLGDSFSVDEETITKKGLIDLILERKDDEDSSGEDDDGEEDSDDSESSEDPDEGTGDDHKKDITLKDWEQSDDDDISAGSEDEDDEDEERAAEELDEIKGLNSRIHKKAKRNDSVESVKGDDDSSDAKKIVVGEKMSKELEIPYIIEAPKTFEELCSLVDKRSNSDIILIINRIRKSNAIILAAENRKKVQVFYGVLLQYFAVLTNKKPLNVDLVNMLVKPLIEISMEIPYFAAICARRRIETTRKQFVESIKNAENCSWPSSKTLCLFRLWSMIFPCSDFRHPVMTPVVLLMCEYLMRCPITSGRDIAIGSFLCSMLLSVFKQSKKFCPEAIIFIQTLLLATTESKHISCEDSQLYHLMELKDLKPLVRINESVDKINALNFFKLIDMPEDSPFFTTDDFRASVLVTVVETIQGYINAYKDLNSFPEIFLPILKLLLEIAEQKNMPIVLRDKVKDVAELIKLKADEHHALRRPLKMRKQKPVPIRLLNPKFEENYIKGVDYDAELKKLKRLWKRECKGDVRELRKSNYVLLEVKEKERSLQEKARAEKYGRAKAFLQEQEHAFKSGQLGKGKKRSR >CAK8573685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629829196:629832202:1 gene:gene-LATHSAT_LOCUS26096 transcript:rna-LATHSAT_LOCUS26096 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESSKKTKLSWSKKMVRKFFNIKCKCEDSRDDDVVCGGSEVEYGSRNSFSEREPCTIKKSKTEKFSRNSSQVRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNVDDWLHSSPPADIYVLGFQEVVPLNAGNILGAEDNGPAKKWLALIRKTLNNLPGTSGSSGCYTPSPIPQPVVELNADFEGSARQKNSSFFHRRSFQTASSGWGMDNDPSNVQPQVDRRYSVCDRVIFGNRPSDFDPSLRWGYRPSDYSRASDYSRPSDYSRWGSSDDDNGLVDSPSTVLFSPMSTNCGSASNEDGYSMPGHSRYCLVASKQMVGIYLTVWVKGELKDHVRNMKVSCVGRGLMGYLGNKGSISISMSVHETSFCFICSHLTSGQKEGDELRRNSDVLEILKKTRFPRVHGVDNEKSPQTILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYSGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESTHGKLKKSMSCSGSRIEVDELMPYSGGYTELNFF >CAK8541695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356834482:356834949:-1 gene:gene-LATHSAT_LOCUS10593 transcript:rna-LATHSAT_LOCUS10593 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNQVVNRFINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDVVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8534084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:692402017:692403054:-1 gene:gene-LATHSAT_LOCUS3654 transcript:rna-LATHSAT_LOCUS3654 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFLQPHCSFLINIFLFLSLFFLIPIRVHSDAKSYKSCAPFTCGSFTNITYPFWINNKQPNYCGHPNFTLDCQKNNLTIDINSQKFHIIDMNQTSQLLKIARLDLWSYDAAIVPSCPDTNVSLNLDFFKYTSKDEKYTLLSDCHSLPTDTYGGSPLSSEVSQKISCLIGSEPQDAYLIVSTKMADFAGLECKNNIKVYGPKSSIIENSDTSVNVLKEGFEVAWSDVDSDICSYCKKFGGRCGYNTTKSALMCICPNQQSYGDCGFCRDNSTTEIWPDESGCIGSKLFKPVAPSPLEAPPYGLQQPYGDTPFQASDPSSNSDSKKSDTSSKYSSFSRYFIRFFS >CAK8538703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493410433:493413289:-1 gene:gene-LATHSAT_LOCUS7875 transcript:rna-LATHSAT_LOCUS7875 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSKEIMKFKSYQNQANLFVKEYLLADPLIPYTSIIGGIFACKMVYDLTQLFSTIHFKSYSSLTKIQRIEWNNRAMSTIHAIFITSMSLYLVFCSNLYSDSQSAELLTERSTALSTFALGVTVGYFMADLGMIFWFFPSLGGYEYVIHHMFSLVAVAYSMLSGEGQLYTYMVLISETTTPGVNLRWYLDVAGMKRSKAYLINGVVIFIAWLVARILLFVYMFYHAYLHFDQVQQMHPFGQILVVVVPVVLSIMNLIWFSKIIKGLMKTLAKRQ >CAK8531692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140270687:140283439:1 gene:gene-LATHSAT_LOCUS1465 transcript:rna-LATHSAT_LOCUS1465 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQGNAAAAAALYDQAAGGSVPSSSTDAGDAVMARWLQSAGLQHLGSPFASSGIDHRLLPNLLMQGYGAQSTEEKQRLLKLMRNLNFNGESGSELSTPNTQTLGGVVAPDGFYSPEFRGDFGAGLLDLHAMDDTGLLSEHMISEPFEPSPIIPGDTRAFEDDLYPTNSKLEKEADVDASICLPMNEKENSTRENNVAKIKVVVRKRPLNKKELAKKEDDAVTVSDTAYLTVYEPKLKVDLTAYVDKHEFCFDAVLDEHVTNDEVYRATVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRQLHQPVYRSQKYKLWLSYFEIYGGKLFDLLSDRRKLCMREDGRQQVCIVGLQEFEVFDVQIVKDFIEKGNASRSTGSTGANEESSRSHAILQLVVKKHNEVKEGKRNTDGNEAKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPGAGSCEHTLNTLRYADRVKSLSKSGNPRKDLISSCVPQPQTNKDISSTSTLPASDRAEDFSNQRLEKPLDLGRKPFEMESSMYSSAAIADKQIPSISSNYLSNGREEKGITSSISPNYLSNGREEKGIASASMEERFEKKNSYNDSSSQKTYSYSQNDSDEKVQKVSSPRRKGSKDERFERPTNLMKRDINGSDPSTTSSKQQITGNHSTVTTRSRLYEAESAPDGNINAVLEEEEALIASHRKEIEDTMEIVREEMKLLAEVDQPGSYIDNYVAQLSFVLSRKAASLVGLQARLARFQHRLKEQEILSRKRVSRQ >CAK8575053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:24961414:24964065:1 gene:gene-LATHSAT_LOCUS27345 transcript:rna-LATHSAT_LOCUS27345 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNNAKKLSYISVPSQIINSISSSSIQSLLDQTPKKSSKASSKFFTTLTTKWKKPRLFLFFTLFLLGVFSMLKFGFNLEIPFPHYPCATNPSISNGFDSKSELGFVSSNKNEIFNAALLSKSELGSVSLKKDEILNDNGMLKEMGHSGFDLMDQEKGEFWKQPDGLGYRPCLDFSRDYRRDSDGVLKNRRRYLMVVVSGGLNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDFEHFKNVLANDVRVVSSLPSTHLMTKPVEGSPPLHVTPSWIRSRYLRRFNREGVLLLRSLDSRLSKDLPSDLQKLRCKVAFSALRFAKPVQELGEKIAERMKSKGPYLALHLRMEKDVWVRTGCLPGLSPEFDEIVKSERIQRPELLTGRSNMTYHERKMAGLCPLTAMDVTRLLKALGAQKDARIYWAGGQPLGGKEALHPLIHEFPHLYNKEDLALRGELEPFAKKASIMAAIDYIVSEKSDVFMPSHGGNMGHAIQGHRAYAGHKKYITPNKRQMLPYFLNPSLPEAEFNSIVKELHQNSLGQPELRTSKAGRDVTKYPVPECMCNSRSDS >CAK8530865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56998020:57003090:1 gene:gene-LATHSAT_LOCUS699 transcript:rna-LATHSAT_LOCUS699 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLERMGRELKCPICWSLLNSASSLTCNHLFCNSCIFNSLKSASSCPVCKVPFTRREVRAAPHIDNLVAIYRNMEAASGTNFFTQNTSDTKSPDDEKQHEGNAESSDRGSDGIQENHGDEENTPLRKKSKKKLKAGKKSPAASRAKPSFPAKKRVQVPQDILSETPLKDLKSRGSLSRVNKEGTQKALTKGKEMENQSKKGDHVKEPFFWLRDEQEGEMLSGRTDEDQIIEGSTPVPPSFSDLKDADDESPTKQAPSDEVQNIPSVNLFDSEMFEWTQKPCSPELFSSPTKMQVEDAVEIDEIDEELVEASQVHQSSADAYSTQFANPNGNQLANTLPLGMSSQNRSSDDLNGKKKSTKRSRKAREKYRRDHIRELNCRNDVMNMDSNITSKAIEEQSLGHKSKASILKKSSGRAKKVCFVTSANLTSQNACTVSNILGAQNNDERKMNKNSYGSSSKQDNEMLCPKIAGKSQVKRSGKERLDVVHDQPEDLTSVQNQCKELAGSASSSLSPLMGNNRNTNNTKKRKNSLSKTSMPCSTERKSTKKSKPSPELISRTKDVKETKPNECTKKVTDVRALNDSLKEKQCHLTDQPVLMKCASHAKKYQCAFCLLSEESEASGTIVHYFDGKPVTADYEGGSKVIHCHRNCTEWAPNVYFEGDNAINLEAEISRSRRIKCSFCGLKGAALGCYEKSCRRSFHVTCAKWTLECRWDMENFVMLCPLHATSKLPYESSGSHHRSKTLAATKAKSCSLKHDTASQSRIAHGSHKKIVLCCSALSLQERDVVSEFEKVSKVTVSKTWDSSVTHVIASTDENGACRRTLKVLLGILEGKWILSIEWIKACIKEAGPVDEDCYEVNVDIHGIKDGPRLGRLRVLNKQPKLFDGYNFYFIGDFIPSYKGYLQDLVIAAGGINLHRKPVSGDQNAMPHHMRPHQTLIIYNLELPANCNPLEKDAIFSHRRHDAEVLARSTGSMVASNTWILNSIAGCKLQSVA >CAK8530866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56998020:57003090:1 gene:gene-LATHSAT_LOCUS699 transcript:rna-LATHSAT_LOCUS699-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLERMGRELKCPICWSLLNSASSLTCNHLFCNSCIFNSLKSASSCPVCKVPFTRREVRAAPHIDNLVAIYRNMEAASGTNFFTQNTSDTKSPDDEKQHEGNAESSDRGSDGIQENHGDEENTPLRKKSKKKLKAGKKSPAASRAKPSFPAKKRVQVPQDILSETPLKDLKSRGSLSRVNKEGTQKALTKGKEMENQSKKGDHVKEPFFWLRDEQEGEMLSGRTDEDQIIEGSTPVPPSFSDLKDADDESPTKQAPSDEVQNIPSVNLFDSEMFEWTQKPCSPELFSSPTKMQVEDAVEIDEIDEELVEASQVHQSSADAYSTQFANPNGNQLANTLPLGMSSQNRSSDDLNGKKKSTKRSRKAREKYRRDHIRELNCRNDVMNMDSNITSKAIEEQSLGHKSKASILKKSSGRAKKVCFVTSANLTSQNACTVSNILGAQNNDERKMNKNSYGSSSKQDNEMLCPKIAGKSQVKRSGKERLDVVHDQPEDLTSVQNQCKELAGSASSSLSPLMGNNRNTNNTKKRKNSLSKTSMPCSTERKSTKKALNDSLKEKQCHLTDQPVLMKCASHAKKYQCAFCLLSEESEASGTIVHYFDGKPVTADYEGGSKVIHCHRNCTEWAPNVYFEGDNAINLEAEISRSRRIKCSFCGLKGAALGCYEKSCRRSFHVTCAKWTLECRWDMENFVMLCPLHATSKLPYESSGSHHRSKTLAATKAKSCSLKHDTASQSRIAHGSHKKIVLCCSALSLQERDVVSEFEKVSKVTVSKTWDSSVTHVIASTDENGACRRTLKVLLGILEGKWILSIEWIKACIKEAGPVDEDCYEVNVDIHGIKDGPRLGRLRVLNKQPKLFDGYNFYFIGDFIPSYKGYLQDLVIAAGGINLHRKPVSGDQNAMPHHMRPHQTLIIYNLELPANCNPLEKDAIFSHRRHDAEVLARSTGSMVASNTWILNSIAGCKLQSVA >CAK8563257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586807126:586808061:1 gene:gene-LATHSAT_LOCUS16686 transcript:rna-LATHSAT_LOCUS16686 gene_biotype:protein_coding transcript_biotype:protein_coding MMIENSTGKGYYNEATKEDDENGATNMEKFSNKAVATSRQWTSLRNPRIVRVSRSLGGKDRHSKVCTIKGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLEATQSDIDKLPPLDFSTQQQTLRYLQNPQESNFSLGSGFYDHHQIKGKEPENSAEKGNYYSSVCSNYSSTGFPFPYNNNLDPSSTLSLSQFGSFHGNLFHQNSNGSAMQFSSSNLTGPNSAGNSQLLFCPPSVMPSLFSTTQNPPSMESDFQRQFNHVQILNSSSTSTFIPSLHLPINPPFRRNQTMPFINSKLLDSDHNNTRNG >CAK8532196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218907896:218908993:1 gene:gene-LATHSAT_LOCUS1927 transcript:rna-LATHSAT_LOCUS1927 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDENVVPNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDGDSGTQLSDGSYRNDHKLNSRDGLVERIAARTGFNAPRLNTEGIRSTELSLNSEIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKSKLNGFNDMYASSFAFKPTPDTGPSFYHGAGRNINQTTLPQQTLHGFEASVQSQRVDATENKSSLHLKAEFSDSPPQKDNSAPMEDQAEEEGEQRVNRDTIVAGVGGTPSEDGYNWRKYGQKQVKGSEFPRSYYKCTHSNCPVKKKVERSHEGHITEIIYKGNSKANKPAVQGHASRKPLRKHSLRDIIFRGKREGCHIT >CAK8571141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:311813360:311821119:1 gene:gene-LATHSAT_LOCUS23831 transcript:rna-LATHSAT_LOCUS23831 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLDTTHLTLRTTLLLRSFLLSPSFSSHSSFAFKTITTLSQSKSYSFVSLSSTQQHASSFTLPLSRLSVIRCVSSFPTLHWNDAVSCSEVDAHADSDSTHDETLDQDTKPAIPVRAFFFSTSVDLKSLVEQNKPNFVTPSSRMTNYVVLKFGNLGDSKGPGSSFLNGTNGCYMVVFQYGSIVLFNVCEHEVDGYLKIVRKHASGLLPEMRKDEYEVREKSALSTWMLGGLDYIMLQYLDVDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINREMEATGKFKMQRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYGQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIVQRSAMNL >CAK8533813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661924239:661925261:-1 gene:gene-LATHSAT_LOCUS3409 transcript:rna-LATHSAT_LOCUS3409 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKLLAASNGSLWRTGLIYARVRNSVAILYNGQVALDVPLHFGSLESCQVLCVKPLAVSASADVKFSVKGLNLFLYSTRLLCALEGKYLVEDNCYDLIDGAEAASGHHELQNLSFSCHIPNMIGRGFIEVEDNSLSSCSYPFLVAEPEIYSEICNLEIVIEAAETADDIQIKAKLIEGKTRAMNFVHSVH >CAK8540245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546773043:546773390:1 gene:gene-LATHSAT_LOCUS9270 transcript:rna-LATHSAT_LOCUS9270 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYNRTLPGRLGLTPKFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRPIISDPDEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTHASSSRSHMEFDE >CAK8570456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47866719:47867862:1 gene:gene-LATHSAT_LOCUS23203 transcript:rna-LATHSAT_LOCUS23203 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDCPHFSITQYRHLIDHLAQEDFIWRPYLGLEAFHQVEQQESAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNSWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPHCQPSTQYYFQPPTQPHFQPPTQPHFQPPTQPYFQPPLIQSQPYEHTPNQFTPFTQSEYQQHPPQYHTYSQFQTPNQPIPTQTFTPISPYDQAGYRPDIASFSQPPQNNYEDMGNPFNLDDFTNMDSSWAEVMQMLDDDTMDPTPQQRPPRNVRNRGCGTGGYLNRPGRRN >CAK8544385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681304312:681304740:-1 gene:gene-LATHSAT_LOCUS13071 transcript:rna-LATHSAT_LOCUS13071 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITASMKRGFKKYWKRRKGYQRVTKSNRKRNTVKLGGDSTTGTKRKWRIKISPKIKIPTISSPKKWMVWMRDSYVSMMVALANSKVVKMGSFGDTTGGFGRNQQPKEYDNKMLVHMYNSLVVAQGHLLPHDIASKLGSET >CAK8564406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672600654:672612181:-1 gene:gene-LATHSAT_LOCUS17715 transcript:rna-LATHSAT_LOCUS17715 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLHGLVLCLLLLLSTLLLSAVSFASSDGLRRIGLKKIKFDPNNKLAARVRSKDAEFFGKKYNGQNNLLRSEETDIVALKNYLDAQYYGEISIGTSPQKFTVVFDTGSSNLWVPSSKCTFSVACYFHAKYRSTKSNTYKKNGTAAAIHYGTGAISGFFSYDNVKVGDIVVKNQEFIEATKEPGVTFLVAKFDGILGLGFQEISVGKAVPVWYNMVEQGLIQEAVFSFWFNRKPEEEEGGEIVFGGVDPAHYKGNHTYVPVTRKGYWQFDMEDVIIDGNSTGYCADGCSAIADSGTSLLAGPTTVITMINHAIGASGVVSKECKTIVAEYGQTILDLLLSEAQPRKICSQIGLCAFDGTRGVTLGIESVVDENERKSSGGFHTAACSACEMAVVWVQNQLKQNKTQDQILTYINNLCDKVPSPMGESAVDCENISSLPVVSFTIGGRTFDLAPEEYILKVGEGPAAQCISGFIALDVPPPRGPLWILGDIFMGRYHTVFDFGKSRIGFADAA >CAK8577499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569336242:569336910:1 gene:gene-LATHSAT_LOCUS29602 transcript:rna-LATHSAT_LOCUS29602 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSPTSLTSDQELSVIVAALTNVVSGSTSTSTANSIPEFRNPDPSVGSTSSLDKIVPSATMETCRECNIAGCLGCNFFPEENKKKQKRAKKKYRGVRQRPWGKWAAEIRDPRRAARVWLGTFTTAEEAARAYDNAAIEFRGPRAKLNFPLVDESLTKQAEEPEVVVPSTENVKDVKDENLNQEMQMDCDFWDRIGEADFQQLMRFMDFGGDSSDSRTGSTF >CAK8569630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7010567:7012399:1 gene:gene-LATHSAT_LOCUS22460 transcript:rna-LATHSAT_LOCUS22460 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKELLPEPKSTSKTYYDHSNDPWFKQRFTATEEEISAAINPKIVPPYMKRSGFVPRKVEDFGEGGAFPEIHVAQYPLDMGRNKSSKPGSKILPVTVDAHGNVAYDAIVKQNENAKKIVYTQHKDLIPKILKNNEDSDMDDADDDDAQREIDETMQETKAALEKIVNVRLSAAQPKNVPKHNSDAKYIKYKPSQQNAAFNSGARERVIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVSEQKAREAVAMRSKVQKEMLLKEKERKEQELRALAQKARSERIGVAPPAAAAVPLASNKSGVDDGDMRVDYEHRDRDRDREREKNFPKESREEREERLQREKIREERRKERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGLASTKQGTEVMYDERLFNQDKGMSSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDIDDETYGGANEQLEKIMKTDRFKPDKGFTGASERAAPRDRPVEFESEEADPFGLDQFLTEVKKGKKAMENVGGGGTMRASAGSSMRDSSDGGSGRTRIGFERGR >CAK8565038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:30765612:30765890:-1 gene:gene-LATHSAT_LOCUS18279 transcript:rna-LATHSAT_LOCUS18279 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVNESPTKEFKVGKGLRQGDPLSPFLFVIVVEGLIGLVRKSIYNGDFSDFHVNDRCLVDILQFVMLLFLLETVVGNTFGLSNRCLEDSK >CAK8568639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625987596:625988645:1 gene:gene-LATHSAT_LOCUS21579 transcript:rna-LATHSAT_LOCUS21579 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSVSDGVMRKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAILLVRVFKFVEPVSMSREVYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVALKKENYKNDTMFNMLSISLGVGVAAYGEARFDTWGVILQLGAVAFEATRLVMIQILLNSKGISLNPITSLYYVAPCCLVFLSVPWILVEFPVLRETSSFHFDFIIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEIQKKTPQSDEEAGRLLEEREGDGSARRNDQQN >CAK8576223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:428717542:428720033:1 gene:gene-LATHSAT_LOCUS28429 transcript:rna-LATHSAT_LOCUS28429 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCWFFDNNFNGVSDEILGDVVEFFDFPLDDDVETDVVEQDWNDQFKHIEEPSLGVFSVPSFDLCGETQNEKPNLVNSFSASELSHINRRNIVNSGLKEQRGLLPKTAGAKYGKTIPIQNFSFKGTNLLQCQTYSPVSVFESSSYSSVENSNFELPVIPAKRPRSKRRRLSSFNKLFLIPFIPLQKHQSITQRAGKPRKKDISQCRVQRKPKKKDISQPSDGIKMKRSTSQESVTPRKCTHCEVTETPQWREGPKGPKTLCNACGVRYRSGRLFPEYRPAASPTFEASMHSNSHKKVIEIRNKVNKETDKGSSIMYLSPNLSGNSLG >CAK8530559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30217836:30218955:1 gene:gene-LATHSAT_LOCUS416 transcript:rna-LATHSAT_LOCUS416 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFITRNAIFRFSKSFPNVPSLSLPKPSRVFVASASNQSDWRNAADGKRHTSMDWAYNSTSKARQDADEIADRERKTLNGDVDSEDVKQYVRDAKERTREAANRAAENADCAGEKAKEKTKDAANRAAENVGSAGEKAKDYAYDAKERTKDAAESAGEKVKDYAYDAKERTKEAAQNAGETAKDYAYGAKERTKEAAESAGETARDYAYDATDKTKEAVGTVADKTKEGAKKTAEMTKEGAEKTAETTGEVAGAATEALKSAGEMAKRTAQGAWETAKDATQKIKETVVGKDDDDDNDRGGGVGAVVHDEYDLELKRKGYGENNSYDKRKGYGENKGYDKSRGY >CAK8530558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30217836:30218955:1 gene:gene-LATHSAT_LOCUS416 transcript:rna-LATHSAT_LOCUS416-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFITRNAIFRFSKSFPNVPSLSLPKPSRVFVASASNQSDWRNAADGKRHTSMDWAYNSTSKARQDADEIADRERKTLNGDVDSEDVKQYVQKAKEKTKDAANRAAENVGSAGEKAKDYAYDAKERTKDAAESAGEKVKDYAYDAKERTKEAAQNAGETAKDYAYGAKERTKEAAESAGETARDYAYDATDKTKEAVGTVADKTKEGAKKTAEMTKEGAEKTAETTGEVAGAATEALKSAGEMAKRTAQGAWETAKDATQKIKETVVGKDDDDDNDRGGGVGAVVHDEYDLELKRKGYGENNSYDKRKGYGENKGYDKSRGY >CAK8535153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818442848:818443047:-1 gene:gene-LATHSAT_LOCUS4629 transcript:rna-LATHSAT_LOCUS4629 gene_biotype:protein_coding transcript_biotype:protein_coding MATIYACKQDSYCTGYGSSISRKGLLSCKEFLLLLL >CAK8562078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:438095849:438096400:-1 gene:gene-LATHSAT_LOCUS15605 transcript:rna-LATHSAT_LOCUS15605 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPSVMPPSSHGISSVPLKGASVAKRKTPSELRGEQLKREIFVDYTDESSTSADSSKAAEMDNRLKKPGSFRAPRYNDTRLDDVFFAKKPRYRHAYGKENVKVSLHAQATTNIYFIQLKALFNY >CAK8566939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474093084:474095127:-1 gene:gene-LATHSAT_LOCUS20034 transcript:rna-LATHSAT_LOCUS20034 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSANTLCLHFLLMLLLCSFNLQPSFGFSSQEYHEALEKSILFFEGQRSGRLPSNQRLTWRGDSGLSDGSSYHVDLVGGYYDAGDNVKFGLPMAFTTTLLAWSVIEFGSSMHDQIDNAREAIRWSADYLLKAATTTPDTLYVQVGEPNLDHRCWERPEDMDTPRNVYKVSPQNPGSDVAAETAAALAASSLVFKDSDPAYSSKLLQAAINVFNFADRYRGSYSDSLNSIVCPFYCSYSGYHDELLWGASWIYKASGINSYMEFIQSNGHILGADDDGYTFSWDDKRPGTKILLSKDFLEKDSEEFQLYKAHADNYICALVPGTPGFQAKYTPGGVLYKDSSSDLQYVTSTSFLLLTYAKYLNSNGGAVSCGTSKIKGQNLINLAKKQVDYILGNNPKEMSYMVGFGERYPNHIHHRGSSLPSIEQQPQKISCNNGFQYLNSGSPNPNVLVGAVVGGPDSSDNFCDDRNNYQQSEPATYINAPFVGALAFFSAQ >CAK8542088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471654074:471661024:1 gene:gene-LATHSAT_LOCUS10959 transcript:rna-LATHSAT_LOCUS10959 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRYHIRSAHSLADPELHRAADKDDSEALLEAVAMSGLVGFLRQLGDLAQFAAELFHDLHEEVMATAERGHSLMSRVQQIEAEIPPLEKAFLSRTHHSSFFTNGGIDWCPNLRSEQNLVSRGDLPRFIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYSDPSFFKAEPASSVSVTATVEVHRERKIRKVKQKKGEWRRDGETPGAVLSHSKLHQLFLEERIENVCSDPARLVKLKKRQFDGSAVEAKSGRSYMEEILEMTSPDHKMACETSINPLPVKLMSNDTSETGIEILEINGISHMRRSIENGKTHSSSNEQELELNSSSEVGRKTNGYLVKEPGQISSGGTGEVSSKHLKVPDEAELVDDDGQNKSLLVKTNGYLVKEPEKISSGGTGQVSSKHLKVPDETELVDDDGQNKSLLVKSNGYLVKEPEETSSGGIGEASSKHLKVPDETELVDDDGQNQREGSLDCYHSDDTASEVDDYMDALATIDSELEIDNECGPKKSLLNVQKVIDSNGEEEHQLQAPFSDSQSFGDSSLSEEMSSFEQDRSEENNEVQAQLPDSRSAGTSCASDDDNSSFRKDRTEEHTQLHAQLSDFQSIGNSSPETENMLSNQLPQTGELKKNYDECVTHDDAHDLGGEISDSEPVSSGSCPVDSGCLLLSSDHGATALSDKTPHVPVERHLRLEDDEDAISLIKDSNLPVVYFDNNSLNNLDVCNSHVHSHTTLQVSNDLNLAHEGECGDHSDIKVMQDESHNEYCSEISTVGDIGSRGENPICLPMELDLNLGTKMQLDDWDLQSDDDIKAMQLDSEDLFPVVETTVENSFAEELFSDFIQGNPLDGPHSVEVKTLYSDYLSNFEEVPKIMLGDERNGSTCSLDQVEDDDLINHPPCPNYILQDDDIMVNDMFPVKVLSKNLAVSPIPSLDNTETDASVVNCQASNFISSPSMNPPNLLESLPASPDSNRMEMESNEVELTKISIDLNAEKRENQLEPFSYMTSPVSSLTNLEESISTFEDSHWKNLEVSEEVARDSLTELTSHLVVDQLKIASTDELLSLNRSDSSNSSVCNNQHSLLKDKDQDGSSLNDMKMVTQCSELDSQDSESTIVRKNDLQNSKDSFSPPSYNQLEPETHLEWTLKPRVVQHDVGFLLKNEEKCTSSKFEPQPMPISNHLEGERINCVASEFSAEVHLEESSDGSASKSSDQKINSSKHFMDPSKPLLPNLFPKATKINLEETPPMPPLPPMQWIMSRAQNASLVSEREEIGVSHVLFQPVQPVKPDYNSQIDLSTSERVTLPYQNPFLPAVAVESNNCLHSSGLSAGISEHPVAIPLQLPVMVNEANGRHNYQVLERSQIHNPFLALPMLSYGWLPHGRVKASEGESILKSNPCPPIHLTECAVFGDDPSYQQETLPQFKSQLMENTSLEAKDDNLGESVLNSSSCPPILPAECAVPGADTIFQQEKQTHSSGQIMEDTSFEAKMDSPEESHSVLPSECPVSGGDPISSNEQHSHSPNALMEETVLEFTALEETSIHLERDQGDHIVSPKSPSPSVEIVQPNHGLLPSEGDVTLSLDTSSQSSEFDNQIPNGKSKKLPPPQNHLFDVVAALDKSRLRKVTDRVRPPIAPKVDERDSLLEQIRTKSFNLRPAVVTRPNIQGPKTNLRVAAILEKANSIRQALAGSDEDDDAWSDS >CAK8539866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529652906:529655327:1 gene:gene-LATHSAT_LOCUS8926 transcript:rna-LATHSAT_LOCUS8926 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTWLILCVITMICHMSLAERVLKEKETVNHGEKEAKGFLKAMADFLWESGKSSYEPVWPEMEFDWKIIVGSIVGFLGAALGSVGGVGGGGIFVPMLALVIGFDSKSSTAISKCMIMGAALSTVYYNMRLRHPALDMPLIDYDLALLFQPMLMLGISIGVVCNVMFADWMVTVLLIIIFIGTSTKALLKGIDTWKKETIMKKETAKRLEEEPKTGEDYKPLPSGPAGIKDEVVPLLKNIYWKELSLLVYVWVAFLIVQIVKRYTKTCSIEYWILNFLQVPIAISVALFEAVCLYKGTRVITSKGKEITNWKVHQILLYCFCGIIAGMVGGLLGLGGGFILGPLFLEMGIPPQVASATSTFSMLFSSSMSVVQYYYLDRFPVPYASYFVLVATIAAFAGQHVVRKIIAILGRASIIIFILASTIFISAISLGGVGIENMILKIESHEYMGFENLCAQS >CAK8565468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:227101836:227112569:-1 gene:gene-LATHSAT_LOCUS18683 transcript:rna-LATHSAT_LOCUS18683-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNNARSGKTAAIDVVATVKEIVNCTEQEIYDVLKDCDMDPNLAVEKLLAQDTFREVRSKREKRKEMKEAVDSRTRGNSTGLSRGGKIGIGNDPGVVQNGFHHMAYKENGKAVDKQDVGSVCASVTSSTTHVAGKSTKVDSLYTDNGRQSLGTGVSMSDTAQVSPAPLPRLVGASKGHLSMADIVRMGTTSQDAVSHDHCNSIGVSSLSGNSESSLSLPCQNSSEQQSFHDEWPVIEQPISGNAQTLNMNHELDAAQVSREEIARDNAISEKIESASISDYTELGPHSNSNLKNTLTSDFSGSYEHREGVSSVTLDLQRLNMKEANLEVPSSDDIVVLPNHLQALAAECSHLSFGTYKGGNNSASSEAFTPNNLSRDGLEMKSATVDGPLAQLLKPSSQNLGDQLGFDILRGTPGDQNYGLHSTLGHEYNTTASISDPSLQKSQWMVPSLPLKQPCVQSGNHSSFPGELHNSSNAVPQDLLAYLLAESRRSKHNAEPSINNFPLSMSGVSRDIEPGTFALHNRPSPAQGFTVQPNNHFQQSREAYYALPQNQSYMATIDSRRAFSDNTAYSGSHANMNYNSLPQNRNEFMSRLHPSTVSDAPGYGNPDSSLYCPGSFQPNASLGNMKPSSNLNEILTSQYYGGHNLSSVQPHDSFSQRDYETRLRSSYVPEKTQYIFPDQPSQASLSQYASHEYSDFYPSWSQIPEEHKQSGSVQDLLPRKLHQFWPHNQ >CAK8565467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:227101836:227112569:-1 gene:gene-LATHSAT_LOCUS18683 transcript:rna-LATHSAT_LOCUS18683 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNNARSGKTAAIDVVATVKEIVNCTEQEIYDVLKDCDMDPNLAVEKLLAQDTFREVRSKREKRKEMKEAVDSRTRGNSTGLSRGGKIGIGNDPGVVQNGFHHMAYKENGKAVDKQDVGSVCASVTSSTTHVAGKSTKVDSLYTDNGRQSLGTGVSMSDTAQVSPAPLPRLVGASKGHLSMADIVRMGTTSQDAVSHDHCNSIGVSSLSGNSESSLSLPCQNSSEQQSFHDEWPVIEQPISGNAQTLNMNHELDAAQVSREEIARDNAISEKIESASISDYTELGPHSNSNLKNTLTSDFSGSYEHREGVSSVTLDLQRLNMKEANLEVPSSDDIVVLPNHLQALAAECSHLSFGTYKGGNNSASSEAFTPNNLSRDGLEMKSATVDGPLAQLLKPSSQNLGDQLGFDILRGTPGDQNYGLHSTLGHEYNTTASISDPSLQKSQWMVPSLPLKQPCVQSGNHSSFPGELHNSSNAVPQDLLAYLLAESRRSKHNAEPSINNFPLSMSGDIEPGTFALHNRPSPAQGFTVQPNNHFQQSREAYYALPQNQSYMATIDSRRAFSDNTAYSGSHANMNYNSLPQNRNEFMSRLHPSTVSDAPGYGNPDSSLYCPGSFQPNASLGNMKPSSNLNEILTSQYYGGHNLSSVQPHDSFSQRDYETRLRSSYVPEKTQYIFPDQPSQASLSQYASHEYSDFYPSWSQIPEEHKQSGSVQDLLPRKLHQFWPHNQ >CAK8565469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:227101836:227112569:-1 gene:gene-LATHSAT_LOCUS18683 transcript:rna-LATHSAT_LOCUS18683-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNNARSGKTAAIDVVATVKEIVNCTEQEIYDVLKDCDMDPNLAVEKLLAQDTFREVRSKREKRKEMKEAVDSRTRGNSTGLSRGGKIGIGNDPGVVQNGFHHMAYKENGKAVDKQDVGSVCASVTSSTTHVAGKSTKVDSLYTDNGRQSLGTGVSMSDTAQVSPAPLPRLVGASKGHLSMADIVRMGTTSQDAVSHDHCNSIGVSSLSGNSESSLSLPCQNSSEQQSFHDEWPVIEQPISGNAQTLNMYSSSNANIPFELPNWHDTEVSLHRNHELDAAQVSREEIARDNAISEKIESASISDYTELGPHSNSNLKNTLTSDFSGSYEHREGVSSVTLDLQRLNMKEANLEVPSSDDIVVLPNHLQALAAECSHLSFGTYKGGNNSASSEAFTPNNLSRDGLEMKSATVDGPLAQLLKPSSQNLGDQLGFDILRGTPGDQNYGLHSTLGHEYNTTASISDPSLQKSQWMVPSLPLKQPCVQSGNHSSFPGELHNSSNAVPQDLLAYLLAESRRSKHNAEPSINNFPLSMSGVSRDIEPGTFALHNRPSPAQGFTVQPNNHFQQSREAYYALPQNQSYMATIDSRRAFSDNTAYSGSHANMNYNSLPQNRNEFMSRLHPSTVSDAPGYGNPDSSLYCPGSFQPNASLGNMKPSSNLNEILTSQYYGGHNLSSVQPHDSFSQRDYETRLRSSYVPEKTQYIFPDQPSQASLSQYASHEYSDFYPSWSQIPEEHKQSGSVQDLLPRKLHQFWPHNQ >CAK8560798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44328443:44330395:-1 gene:gene-LATHSAT_LOCUS14445 transcript:rna-LATHSAT_LOCUS14445 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKLHFKKKLFFPPFPISFFTQCFSFSSYQPHHPCSSIRLKASMPIYDQTHFKDHDTVHLFYSNALKISAKKGYLPEGKQLHAHLIKFGFCHVLSLQNQILSVYLKCKETEDAEKLFDELPVRNVVSWNIMIRGVVGSHDNESYSNGTQLCFSYFKRMLLEMVVPDYITLNGLICLCAQFHDAEMGIQLHCFTVKVGFDLDCFIGCALVDLYAKCGFVENARRVFCFVPFRDLVMWNVMISCYTFNCLPEEAFNMFNLMRLDVANGDEFTFSSMISVISDDALEYYDFGKQIHSLVLRHSFDSDVLVASALINMYAKNENIIDARRVFNEMPIRNVVAWNTMVVGCGNHGDGNEVMKLLREMLREGFLPDELTISSVISSCGYASAITETLQAHAFAVKLLCHDFLSVVNSLISAYSKCGSITSACKCFELTSQPDLVTWTSLIYAYAFHGLAKEATEMFEKMLSRGIIPDKIALLGVLTACAHRGLVTKGLHYFKLMTNVYQIGPDTEHYACLVDLLGRYGLINEAFEFLKSMPIQAESDTLGAFIGSCKLHSNIDFAKLAAEKLFIIEPEKSVNYAVMSNIYAAQKHWCGVERVRKMMEDKHDAKVPGRSWIEIGNQVHSFVSNDKSHPNSIEMYVTLNMLLSPMKE >CAK8539898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530579623:530580453:1 gene:gene-LATHSAT_LOCUS8954 transcript:rna-LATHSAT_LOCUS8954 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRSQTKSNPNNNSEPPIKTLTSSKIPFPVKKISKLTIKNKQPHLHLPTTPPPTIAKPLSSHGELQSALNHLRSADPLLSTLINTFPSPQFSTNTTPFFSLIKSIISQQLSNKAASSIENRFISLFQNQSSILPDAVLSFSPTHLRQVGISGPKATYIHDLSTKYANGFLSDSSILEMDDETLYEKLTSVKGIGPWSVHMFMIFTLHRPDVLPVGDLVVRRGVEKLYGLKGLPSPSQMEVLCRKWKPYRSVGSWYMYRFVEAKGVLPNPTTTLC >CAK8541577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:258432674:258433054:1 gene:gene-LATHSAT_LOCUS10487 transcript:rna-LATHSAT_LOCUS10487 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHLIQISKAKNVTHADLIASLTLNDRGNTLNASYYHVVNPLTNNVVGAEFSHSFSSNESTLTIGTQHALDLISLLKARVNNYGRASALIQHEWSPKARFSLVGEVDTAAIDKSAKVGLVVAFKP >CAK8572994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575194360:575203771:-1 gene:gene-LATHSAT_LOCUS25490 transcript:rna-LATHSAT_LOCUS25490 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRNSISQPEIDDAGGASDFGFSSIRGRFPFKRNPSYNRDRQKSASDRHLPRSANSSRSHLHNRFTRKGLLSFCPFFITKTGLYALIFAVVFLFALASIVLQSSITSVFRQRNEHSLNLREGLKFGSTLKFVPGKVSQKFLSGDGLDRLRSLPRIAVRAPRIALVLGHMTVDPQSLMLVTVIQNLQKLGYVFKIFAVGRGNARSMWEKIGGGLSSLSTEQQGQIDWSNFEGVIVDSLEAKEAISSLMQEPFCSVPLIWIIQEDSLSRRLSFYEQMGWQHLISHWRSAFSRANAIVFPDFTYPMLYSELDTGNFFVIPGSPVDVWAAESYSKTHTRDQLREHSGFGKSDMVVLVVGSSIFYDDLSWEYAVAMHSIGPLLTKYARRNDATEEFKFVFLCGNSTDGYDDALEEVASRLGLPHGSIRHYGLNGDVNSVLLIADIVLYGSAQDVQGFPPLLIRAMTFEIPVIAPDFPILRKYIVDGVHGVFYSKHNPEALMNAFSLLLSSGGLSKFAQAVGSSGRQFAKNVLALDCITGYARLLENVLSFPSDSSLPGPVSQIQQGAWEWSFFQNEILLNIDLLKMNDGFSKEKVTVVHAVEKELAGLNYSTNIIDNGTDVPILDELTKLDWDILREIEISEESEMLEMEQVEERLEKDVGVWDEIYRNARKSEKLKFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRRSQRQSSDDVDAIGRLPLLNETYYRDILCEMGGLFAIANRVDSIHRRPWIGFQSWRAAGRKVSLSVEAEKVLEETMHESVRGDVIYFWGRVDLDGSVLGSNNALTFWSMCDILNGGNCRNVFQDSFRQMYALPPHAEALPPMPEDGGYWSALHSWVMPTPSFLEFVMFSRMFVDSIDAFHRDSGKYSMCLLGSSEIEEKHCYCRVLELLINVWAYHSARKMVYINPNTGSMEEQHLIEHRKRYMWAKYFNSSLLKTMDEDLAEAADDGDDPRQNWLWPMTGEVHWQGIYEREREERYRTKMDKKRKTKEKLYERMKYGYKQKSLGL >CAK8575613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:206018555:206019395:-1 gene:gene-LATHSAT_LOCUS27862 transcript:rna-LATHSAT_LOCUS27862 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKDHQNVVTLDVHGAKDLLHSSGYNYLDVRSVEEFNKSHVENAINIPYMFKTEEGRVINPDFVSQVEAIYKSEDHLIVACNSGGRSSRACVDLHKSGFQKIVNMGGGYSAWVDAGFAGDNPAQDLKTACNFHP >CAK8573197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592913452:592914554:-1 gene:gene-LATHSAT_LOCUS25672 transcript:rna-LATHSAT_LOCUS25672 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGFLNLLTLLASIPIIGAGLWMARSSTTCENFLQTPLLVIGFIVLVISLAGFIGACFHVACALWLYLVIMLLLIAALLGVTVFGFGVTSKGGGVEVPGRSYSEYHLMDYSPWLKKRIENPRYWNTLRNCILGSKTCDKLASWTPLDYMQNDMSPIQSGCCKPPTACNYNMEAAMLNQDSDCYKWNNAPTVLCYECDSCKAGVLENLRRNWHKLSVLIVTMLVLLIGIYSIGCCAFRNARRAQTDYPYGENRMTKVRPRWDYHWWRWLHDRKEQLY >CAK8542071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469110759:469112925:1 gene:gene-LATHSAT_LOCUS10943 transcript:rna-LATHSAT_LOCUS10943 gene_biotype:protein_coding transcript_biotype:protein_coding MADHIATGQLLANKAEKKLFCCCALFGSNYQDAAELFLKSAKSFKLGKSWEKAGSIFIKSAKCHIKLDNKFDAAKAYVDASHCFNKTSRKGAITCLNQAVTIFTEIGQHIMAARYCKEIGELYELDQDLENARSYFERAAELFDIRGDSTTSVIQCKQKVAQFSAQLQQYQKAIKIYEDIAQQSLNNNLLKYGVRGYLLNSGLCELCRGDIVAITNALERYQDLDLTFSKTREYKFLADLAASIDNEDVANFTRVVKEFESITRLESWKSTLLTRVKDALEAKVMEEDDLT >CAK8563724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626912769:626913152:1 gene:gene-LATHSAT_LOCUS17103 transcript:rna-LATHSAT_LOCUS17103 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTSEVPVATKPDYDRASELKAFDETKDGVKGLVDASITNIPCMFHHEIDEDSASSSSSTTKLIVPSVDLVDIHQDPTRRKTVVEKIREASETWGFFQVVNHGIEVTVLDEMKNGIIRFFEISD >CAK8530320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14388528:14389589:1 gene:gene-LATHSAT_LOCUS193 transcript:rna-LATHSAT_LOCUS193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbA MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8531121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82498337:82498750:1 gene:gene-LATHSAT_LOCUS933 transcript:rna-LATHSAT_LOCUS933 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHSSWSNFMPNGGCVPSIPNQQNNPYFGNAPFISNSHHNPNFQNSPFIPNPQNIPHFGNYSYYTPPYPYQHQPFISQSTNSTMPHGTQIGSSGAQSNDEERETPQFCTQDSLETINLGEEVASGVPIPICDHT >CAK8540928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33137294:33138602:-1 gene:gene-LATHSAT_LOCUS9885 transcript:rna-LATHSAT_LOCUS9885 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMIALIHHAIQSGVTFLDTSDVYGPHTNELLLGKALKGEVREKVELATKFGVRAGDEKFEICGDPAYVREACEGSLKRLGIDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEEVIPTCRELGIGIVAYSPLGRGFFSSGPKLLENLSKDDYRKDMPRFQPENLQQNQTIFDKVNELAAKKGCTPPQLALAWLHHQGNDVCPIPGTTKIENLNQNIGALSVKLTREEMAEIESLADGVRGDRYGDDISTWKDSDTPPLSSWKAAQ >CAK8534125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696891150:696899550:-1 gene:gene-LATHSAT_LOCUS3693 transcript:rna-LATHSAT_LOCUS3693 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTGLQQHLDAGGGVAVLSNLVSSSSPSSTSNGGGGFNRSSLSESPILIFSFFQKAIGNELDALHRLALAFATGNCSDIQPLSERYHFLRSMYRHHSNAEDEVIFPALDKRVKNVAQTYSLEHKGESDLFDHLFELLNSSVDNDESFRRELASCTGALQTSLSQHMAKEQQQVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSTSISPDESQDLRKCLSKIVPEEKLLQKVIFTWMEGPSRANTVENCVDHSQVQCSACPLANQIEKIKCACESTVSGKRKYSASFLDVPETMGSHPIDEILLWHNAIKKELNEIAVETRKIQHSGDYTNLSAFNERLQFIAEVFIFHSIAEDKVIFPAVDGDFSFFQEHAEEESQFNEFRSLIERILSEEATSSSEVELYSKLCSHADHIMETIQRHFHNEEVQVLPLARKHFSFKRQRELVYESLCMMPLKLIERVLPWFVGSLTEDEAEIFLKNIQSAAPAVDSALVTLFSGWACKARKNGQCLSSSASHFCPAKKIVRSSCACALSGKDCSVLAESDGTQRSVKRSILELHKNGDVSKTPENECAQKPCCGARSCCVPALGVSSNNLGLSSLSAAKSLRSFTSSAPSLNSSLFIWETDSSSCDVGSAERPIDTIFKFHKAIRIDLEYLDVESGKLCDGDGATIRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKAEEKLFEDISCVLSELSVLHEALQRTHMSEDLSESNLGVSEVNDSDDIRKYNELATKLQGMCKSIRVTLDQHIFREELELWPLFGKHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNQMMDTWKQATKNTMFNEWLTECLIESPGSTSQTETSEHSTSQRGAEYQESLNLNDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLMTSRWIAAQQKLPKSQSGESSNKQIEGCVPSFRDPEKQVFGCEHYKRNCKVRAACCGKLFTCRFCHDNNSSDHSMDRKATLEMMCMACMTIQPVGPICTTPSCNGLSMAKYYCNICKFFDDERNVYHCPFCNICRVGQGLGIDYFHCMKCNCCVGIKSVSHKCLEKGLEMNCPICCDDLFTSSATVRALACGHYMHSSCFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEQLPEEYRDRSQDILCHDCDRKGISHFHWLYHKCGFCGSYNTRVIKSETANSSCP >CAK8568422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605236442:605240137:-1 gene:gene-LATHSAT_LOCUS21380 transcript:rna-LATHSAT_LOCUS21380 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQYALKRKYEDQPTATDIELEVANAKQRAQEVAARLLSVTGGAPPLSFDPKRSKSDNGAPQSGFDSYDLKPQYSAGSYGGSSKKIEIPNGRVGVLIGKGGETIKYLQLQSGAKIQVTRDMDADPNSTTRMVELMGTSDAVASAEKLINEVLAEAEAGASAGGGTRRMAAQSGGDEFSMQIPNNKVGLIIGKGGETIKSMQASTGARIQVIPLHLPPGDTSTERTLKIDGTPDQIESAKQLVNQIITGENRLRGSGNSGGYNQQGYQSRPPSSWAPPVAPAQQPGYGYGQPGSYSGPSPQYNMPQPPYSGYPPQQPGGYPANWDQSTAPSHQQSASGYDYYNQQPQQQQNPGGPAQPADGSAYNYSQPPSTGYSQPGQGYGQEGYGAYNATQQSGYGQPPTYDQQGYGSAPSYGSGSNPTQEGLTSNYGSQADSSQTSQPSTVAPQGYAANQQGTPQPGYGVAPASQAAYGNQPQSGYGSGYGAPPSQKPTANPTAYGQSQSPGTAGSYGQSAYPPTQAPPSGYAQPESGTQRPPVQPGYGSQSYGAPQGGQPGYGQTPPSYGNSSYGAGYTQPPVYASDGAAAPPVQPGGVAKASPQS >CAK8562426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:488237189:488237521:1 gene:gene-LATHSAT_LOCUS15922 transcript:rna-LATHSAT_LOCUS15922 gene_biotype:protein_coding transcript_biotype:protein_coding MARDMLKDNAYRDLRLKLISHRPEDSRVYSMPTVSKVYALIVGDINYVNERDIIIQEHDGQLQRIYEFHASYLEFQYPLIFVYGKDGYGPNILHKYQHDIWLSRKIIKPL >CAK8571434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:410366955:410379531:-1 gene:gene-LATHSAT_LOCUS24100 transcript:rna-LATHSAT_LOCUS24100 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEENLSTKATKESKTVAVADDSITVRNNHGGGSSTSGDSNLRVSLFDFSVENFFHDMETIAKLCGEEERNANVEQSEIKRMSSSVTFLRAWRDFKYPSKNIRFTYGLGSSECYERNNIEDINLPQFSSAAVHKYDTEKEELFGDAESQDSRDFVMNVGGSVWALDWCPRMHGESDCSIKCEFIAVAAHPPGSSYHKMGAPLSGRGAVQIWCLLSIKEHNKEVSSLPGKKGKKPKKDTGTNDKSTEIKNPTEKNEEILPITNKRKRGRPKKNPAPNENLENNEEMHPITNKRIKGISEKNPAPNENLENNEEMHPITYKRRKGRSKKNPTVIDIPSGTVQFEENSIESPAPDGYNVNNEETLCVKYKSKKGPRENKTLKTKSAPIKRPRGRPKQNSKEVTASDPNCENQFVSLASEFPDSAEFISQDVVHGNSDENHSQQFSNTKEKNAKKVASACDSETPAPNGYNVNNEETHCITYKSKKGPRGNKTLKTKSAPIKRPRGRPKQNSKEVTASDPNCENQFVSLASEFPDSAEFISQDVVHGNSDENHSQQFSNTKEKNAKKVASACDSETPVTRSRLDINHMERSYSQDASRPLLNQCVKEEDHQSHGSSVLEPQASTYPIPQNVALPKFVSCLAHNGKVAWDVKWRPLNNFDSSCNHRMGYLAVLLGNGSLEVWEVPLPHAVRAIYTHIESTDPRFLRLEPVFKCSMLKRGSLQSIPLTVEWSVTPPHDYLLAGCHDGTVALWKFSTNSSSKCDDTRPILCFGGDTVPIRTVAWAPFEGDPESSNIVVTAGHEGLKFWDLRNPFRPLRLLNPSQRIIYSLVWLSKPSCIIMCFEDGAMKTISLAKTANDLPVTGTIYNGKRQPGLHGSIYSSYAIWSVQVSPITGMVAYCGADGAAFRYQLTAKAVEAEHPRNRTPFFLCGSVSEEESTIIVNTQISSSPFPMKKVQDRGHQAQSFRDLLSKANLSRSANNQMIKASGNDSQFLALCDGDSPEQQPKRPKLSCSRKKKPHESTSFISRDGNTPGVDNEKLDSGNIPEVFPSKKTALHKVRWNLNKGSERWLCFGGANGLVRCQEIVFNDIDKKRALKRW >CAK8579447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699809292:699810783:-1 gene:gene-LATHSAT_LOCUS31394 transcript:rna-LATHSAT_LOCUS31394 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIQQQCVQLSNIALSDTHGENSPYFAGWKAYDENPYHYLTNSSGVIQMGLAENQVSFDLVEKYLKEHPEDYNGFRENALFQDYHGLISFRTAMATFMEQIRGGRAKFDPERIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNSSNNFQITPQALHAAYKETQSMNMKVSGVLITNPSNPLGITIQRAVLEQILDFVTEKNIHLISDEIYSGSVFSSSEFVSVAEILEARSYKGAERVHIVYSLSKDLGLPGFRVGTVYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKEFTEKYIKINRERLKKRYEMIVEGLKSVGIECLKGNAGLFCWMNMSPLLKESSKEGEIEVWNEILKEVRLNISPGCSCHCSEAGWFRVCFANMSEQTLEIALERIRKFMAKRTKTKKE >CAK8544479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687909450:687910501:1 gene:gene-LATHSAT_LOCUS13155 transcript:rna-LATHSAT_LOCUS13155 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIICVLILLMNLLTSELRVVESAVWQEAHATFYGGSDASGTMGGACGYGNLNIDGYGIKTAALSTALFNDGKSCGGCYQIVCDARKVPQWCLRGTSITITATNFCPPNFAQPNDNGGWCNPPRPHFDMSQPAFETIAKYRAGIVPILYRRVGCKRSGNIRFTINGRDYFELVLISNIGGGGEISKVWIKGSKKNKWESMSMNWGANWQSLSYLNGQSLSFRVQLKNGKTRTALNVAPSSWSFGQSFKSNVQF >CAK8567480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517143970:517146512:-1 gene:gene-LATHSAT_LOCUS20527 transcript:rna-LATHSAT_LOCUS20527 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSCQLLCELQVQPHVPKSFIWPKEYLEHAHQELQAPVVDLEGFLNGDNESTQYASSLINEACLNHGFFQVINHGVDPVLIAQAYDQMDTIFKLPTHRKVSAYKVPGSMWGYSGAHAHRFSSKLPWKETFSFPYYVDDFEPVVANYFTSTLGGDFQQAGVTFEKYCKSMKELGMKLTELLAISLGVNDRLHYRELFEEGCSIMRCNNYPCCQQPSLVLGTGPHCDPTSLTLLHQDQVGGLQVFVDDKWHTVRPLPNALVVNIGDTFTALSNGRYKSCLHRAVVNQHKQRRSLAFFLCPKEDKVVRPPQDIISRDGTKLYPDFTWSQLLHFTQNYYRADESTLSNFTNWLISSKPQI >CAK8534171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701906088:701908193:1 gene:gene-LATHSAT_LOCUS3737 transcript:rna-LATHSAT_LOCUS3737 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPQSSHPHPYVPRDLHLPGYVPCFISQSNILTVFASFTVILFSLTWIFSGRLKKTKVDRLLIFWWAFTGLIHIVLEGYFVFSPEFFKDNTGFYLAEVWKEYSKGDSRYAGRDAGVVTVEGLTAVLEGPASLLAVYAITTGKSYSYILQFAISLGQLYGTAVYYITAILEGDNFSTNSLYYYSYYIGANFSWIVIPSIISIRCWRKISAAFQVQSQTKKHKNR >CAK8568048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566741934:566744967:-1 gene:gene-LATHSAT_LOCUS21046 transcript:rna-LATHSAT_LOCUS21046-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKEIPLAMGLLAVILFFVASSSSFHLVRASDEVDDAIFYESFDEDFDNRWIVSGKEEYNGVWKHSKSEGHDDFGLLVSEPARKYAIVKELDAPVSLKDGTVVLQFETRLQNGLECGGAYIKYLQTQESGWKPKGFDNESPYSIMFGPDRCGATNKVHFIFRHKNPKTGKHVEHHLKFPPSVPSDKLTHVYTAILKDDNEMSILIDGEEKKKANFLSSEDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPEAVKPEDWDEDAPREIIDEEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGEWEAPKIENPKCEAAPGCGEWKRPTKSNPAYKGKWSAPYIENPNYKGIWKPQEIPNPEYFELAKPDFEPIAAIGIEIWTMQDGILFDNVLIAKDDKIAESYRETTWKPKFNIEKEKQKAEEEAAAAAATESEGIAGIQKKAFDLLYKIADIPFLSGHKEKIIEIIEKGEKQPNLTIGIIVSVVIVFVSIFFRLIFGGKKPANVEANVEKKTNTETSSKQAGEENEDNKEKEETANPSAPRRRPKRDN >CAK8568049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566741934:566744967:-1 gene:gene-LATHSAT_LOCUS21046 transcript:rna-LATHSAT_LOCUS21046 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKEIPLAMGLLAVILFFVASSSSFHLVRASDEVDDAIFYESFDEDFDNRWIVSGKEEYNGVWKHSKSEGHDDFGLLVSEPARKYAIVKELDAPVSLKDGTVVLQFETRLQNGLECGGAYIKYLQTQESGWKPKGFDNESPYSIMFGPDRCGATNKVHFIFRHKNPKTGKHVEHHLKFPPSVPSDKLTHVYTAILKDDNEMSILIDGEEKKKANFLSSEDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPEAVKPEDWDEDAPREIIDEEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGEWEAPKIENPKCEAAPGCGEWKRPTKSNPAYKGKWSAPYIENPNYKGIWKPQEIPNPEYFELAKPDFEPIAAIGIEIWTMQDGILFDNVLIAKDDKIAESYRETTWKPKFNIEKEKQKAEEEAAAAAATESEGIAGIQKAFDLLYKIADIPFLSGHKEKIIEIIEKGEKQPNLTIGIIVSVVIVFVSIFFRLIFGGKKPANVEANVEKKTNTETSSKQAGEENEDNKEKEETANPSAPRRRPKRDN >CAK8540493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9077571:9077666:-1 gene:gene-LATHSAT_LOCUS9495 transcript:rna-LATHSAT_LOCUS9495 gene_biotype:protein_coding transcript_biotype:protein_coding MLVM >CAK8536150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912067075:912067986:1 gene:gene-LATHSAT_LOCUS5546 transcript:rna-LATHSAT_LOCUS5546 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFAMVVTEISQCVCEMVNGAYKNSRNLSVRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFINDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8568264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587936183:587940237:1 gene:gene-LATHSAT_LOCUS21238 transcript:rna-LATHSAT_LOCUS21238 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGRYYWGRKVDFEKANGIVVVFAWMSSEEKHLMRYVDLYASFGWNSIVCHSQFLNMFFPDKVTTLAVDILNELIEVLKIRPCPIVFASFSGGAKACMLKVLQIISGKSETHNMDDYQLVRDSISGYIYDSSPVDFTSDMGVRFILHPSVLKVSHPPRFASWIANGIASGLDSLFLNRFESHRAEYWRTLYSTTSMQVPYLIFCSENDDLASFEVVSNFFHRLKDLGGDVKMVKWSSSPHVGHFRYHPDEYKAAINEILGKAVAIYSHKNRRIEDGNLGIEGIRDEIPDPFSELRKAATTSTSFQGFVVAPSENLSSSSLEYYEGKDVGSVADERKGSFIHLPSNPSINANGVLGQILFDVCVPKTVEDWDVRSNSKNVGVLSGTRRHTHFNPIKCIRRSRL >CAK8576242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:434096505:434096990:-1 gene:gene-LATHSAT_LOCUS28448 transcript:rna-LATHSAT_LOCUS28448 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYFAKRNHLFLSVSTDRDSSLSFTTDSDSMFEFQESDIYSSNHDNSVEFGTSFHGSRSVKKPSSSKTKDARGTPASVPVNVPDWSKILGDEYRNSYAKRSGVEEEEEEEDENGWLPPHEFLARKRAASLSVQEGVGRTLKGRDLSRLRNAIWAKTGFQ >CAK8575880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:347111852:347112952:-1 gene:gene-LATHSAT_LOCUS28105 transcript:rna-LATHSAT_LOCUS28105 gene_biotype:protein_coding transcript_biotype:protein_coding MQILYFTPLTALLLFAVISTVNSCQPSELAALQAFKSSLREPNVGLFNSWTGTDCCHNWFGVSCDENTRRVADINLRAGTLYTTFEKAHRPGYMTGYISPEICKLTQISSITITDWKGISGEIPTCISSLSSLRIIDLAGNRFSGNIPMDIGKLLHLNRLSLADNLFTGGIPSSLISITSLTHLDLRNNRISGVIPTDLGKLQNLNQALLSGNQFHGPIPGSISQINRLSDLDLSQNQLTGLIPDSLGLMSSLGTVKLDTNKLSGMIPKSLLSSGISDLNLSHNMLEGNIPDFGAKSYFTSLDFSYNNLKGVIPKSIASASYIGYMDLSHNHLCGPIPNVINYFDASSFEYNECLCGKPLNVCKTK >CAK8573237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596184340:596184693:1 gene:gene-LATHSAT_LOCUS25709 transcript:rna-LATHSAT_LOCUS25709 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDRFLLSESLAESWKIVGQVVGVRDIFDHSPIWLKSCTVDWSPKPFIFNNNWFSHKDFYLFVKVKWNGFVVSGRGDFVLKEKLRMLKRKLRSWNAEVFGWVNLKIDKAVESGFF >CAK8570187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28070657:28073773:-1 gene:gene-LATHSAT_LOCUS22961 transcript:rna-LATHSAT_LOCUS22961-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTRVSSNGGGGEVRFPLSASNALIIQKGDITKWSVDGSTDAIVNPANERMLGGGGADGAIHRAAGPDLLRACRNVPEVRPGVRCPTGEARITPGFLLPASHVIYTVGPIYDVDSNPAASLASAYRNSLRVAKENNIQYVAFPAISCGIYGYPYEEAATVAISTIKEFKNDFKEVHFVLFESDIYGIWLNKSDELLKD >CAK8570186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28070657:28073857:-1 gene:gene-LATHSAT_LOCUS22961 transcript:rna-LATHSAT_LOCUS22961 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLMLKPLTFGSRLNAVNLRSYRVSEMNSSTRVSSNGGGGEVRFPLSASNALIIQKGDITKWSVDGSTDAIVNPANERMLGGGGADGAIHRAAGPDLLRACRNVPEVRPGVRCPTGEARITPGFLLPASHVIYTVGPIYDVDSNPAASLASAYRNSLRVAKENNIQYVAFPAISCGIYGYPYEEAATVAISTIKEFKNDFKEVHFVLFESDIYGIWLNKSDELLKD >CAK8536220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:918858908:918862286:1 gene:gene-LATHSAT_LOCUS5610 transcript:rna-LATHSAT_LOCUS5610 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKWRKLKLALGFNSCLHLPKTTDHPSPSLTNFSGRVSNSGDLPVHRPSTPTPSSSGLRVSTSSSSSSNKGVCAICLNAMKPGQGQAIFTAECSHSFHFQCITSNVRHGNQVCPVCRAKWKEVPFQNNASNVSHDASQPRGDTWSGILRRLSSARPNGTVRQSLPRQNITEPAIFDDDDEILDQQTSVTHHVNDVDHSIENTMEITTYPEVSAVSKSDTHDNFTVLVHLKAPPHLHKHNSDRSNVESSVETSPAPVDLVTVLDISGSMTGSKIALLKQAMSFVIQNLSSSDRLSIVVFASTARRIFPLRRMNDAGRQQALRVVNTLAPTGGTDIAAGLEKGVKVFVDRRWKNPVCGIMLLTDGQDTHNICLPTRSGEGYQSLVPNSIHRNNGEGLNIPVHAFGFGVDHDATLMHSISEISGGTFSFIEAEEVIQDAFAQCIGGLLSVVVQDLHVEVRCAQSGLQLNSVKAGSYQSTLTNNARMASIKVGDLYAEEERDFLVTLNIPVEESSDEMSLLTVTCLYSNPITKVEGLDATSEVKIQRPNEARDPVVSIEVDRQRNRLNAAEAMAEARVKAECGDLATAISVLETCHKELSETLSAQAGDPLCVSLSAELKEMQERMANQHVYEHSGRAYVLSGMSCHLGQRATARGDSTDYQTSVMADMVTRSQTFLVGTPQSENILRPTKSCNGRKQRN >CAK8575759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:310438529:310440865:-1 gene:gene-LATHSAT_LOCUS27995 transcript:rna-LATHSAT_LOCUS27995 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKMNFQDEAAQLINSDFRDLGEAAHKLANHVLKLGGLGFGASFFGFFAAVAAIYLLVLDRTNWKTNILTSLLIPYIFFSLPQLVFGVFRGEIGKWIALVTVVLRLFIPKHYPDWLDLPGALVLLVVVAPNVIATTFREDIVGVIVCLVIACYLLQEHIRASGGFRNSFTRANGVSNSVGIILLLVYPIWALIVIIL >CAK8532640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:338787258:338787644:1 gene:gene-LATHSAT_LOCUS2323 transcript:rna-LATHSAT_LOCUS2323 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRQRLGKHGRATCRETCTCGSGRGPRYTILICVGPRNSSEIVMAQKQIWPGIPLFPVLVMFFISRLAETNRAPFDLPKAEAESVASYNVEYAQDAILNSPLLAKANVPGSRGLILTEIRGGSLPT >CAK8571477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:428112588:428121169:-1 gene:gene-LATHSAT_LOCUS24140 transcript:rna-LATHSAT_LOCUS24140-2 gene_biotype:protein_coding transcript_biotype:protein_coding METVTECHNSENENCKLQRRRRGELVVNGYFVYTRRKRIEHHSDNEIVKRLRTEENEQVKSETDSGAAEKNAVVLWTSKRQRRPSFKLKADSQEDTSADKASAVSNTKSVVANEKLMTVKELFDTGLLDGVPVVYVGCKKEASDSGLQGVIAGGGILCSCCLCNGRRIIPPSKFEIHACKIYKRATQYICFENGKSLLELLGVCRTAPLHTLEATIQNFLCIPPEEKYFTCRSCRGCFPVSTVKRVGLICHSCMETSKSEDGSIRAVGKRVRIPRPYLFSSPSSISETSISSQTKRQQKKKTKSSKRVSMTKSSKKSASRPIMQKTSLCSMETKSSKLLVKFKIAPITSNSKCSSPQNKSQWRINKKHQRLHKLIFEEDGLPDGAEVAYYARGQKLLEGIKKKSGIICRCCNTEISPAQFEIHAGWASRRKPYAYIYTSNGVSLHELALFLSKDRKCTAKYNDDACIVCWDGGNLLLCDGCPRAFHKECASVSSTPRRGRYCPICQHMFLGEGSVALNPDAVAAGRVEGVDPIEQIAKRCIRIVKDIEAEIGGCALCRGSDFSKSGFGPRTIIICDQCEKEYHVGCLRDHKMAYLKELPDGDWLCCNDCTRIHSILGNLLVRVAERLPESLLDVIKKKQEERYLEPLNEIDIRWRLVNGKVASPETRPLLLEALSIFNECFDPIVDVATERDLIPSMVYGRNLQTQDFGGMYCALLIVNSSVVSAGMLRIFGRDIAELPLIATRHKNRGKGYFQTLFSCIERLLAFLNVKNLVLPAAEEAESIWINKFGFSRMKLEQLTNYRKNCQQMMAFKGTVMLHKTVPQCRVINREK >CAK8571478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:428112588:428121169:-1 gene:gene-LATHSAT_LOCUS24140 transcript:rna-LATHSAT_LOCUS24140 gene_biotype:protein_coding transcript_biotype:protein_coding METVTECHNSENENCKLQRRRRGELVVNGYFVYTRRKRIEHHSDNEIVKRLRTEENEQVKSETDSGAAEKNAVVLWTSKRQRRPSFKLKADSQEDTSADKASAVSNTKSVVANEKLMTVKELFDTGLLDGVPVVYVGCKKEASDSGLQGVIAGGGILCSCCLCNGRRIIPPSKFEIHACKIYKRATQYICFENGKSLLELLGVCRTAPLHTLEATIQNFLCIPPEEKYFTCRSCRGCFPVSTVKRVGLICHSCMETSKSEDGSIRAVGKRVRIPRPYLFSSPSSISETSISSQTKRQQKKKTKSSKLLVKFKIAPITSNSKCSSPQNKSQWRINKKHQRLHKLIFEEDGLPDGAEVAYYARGQKLLEGIKKKSGIICRCCNTEISPAQFEIHAGWASRRKPYAYIYTSNGVSLHELALFLSKDRKCTAKYNDDACIVCWDGGNLLLCDGCPRAFHKECASVSSTPRRGRYCPICQHMFLGEGSVALNPDAVAAGRVEGVDPIEQIAKRCIRIVKDIEAEIGGCALCRGSDFSKSGFGPRTIIICDQCEKEYHVGCLRDHKMAYLKELPDGDWLCCNDCTRIHSILGNLLVRVAERLPESLLDVIKKKQEERYLEPLNEIDIRWRLVNGKVASPETRPLLLEALSIFNECFDPIVDVATERDLIPSMVYGRNLQTQDFGGMYCALLIVNSSVVSAGMLRIFGRDIAELPLIATRHKNRGKGYFQTLFSCIERLLAFLNVKNLVLPAAEEAESIWINKFGFSRMKLEQLTNYRKNCQQMMAFKGTVMLHKTVPQCRVINREK >CAK8577831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592130702:592133766:-1 gene:gene-LATHSAT_LOCUS29900 transcript:rna-LATHSAT_LOCUS29900 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSVIWDFAKSLFRCTNAQVAYVYKLQENLESLMEKWEDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQKFEEKMKDIPKFQEVQSNQCLNGYFPKNCVSSYKLGKTIVKRLDDINGLLARAGNMQIALKQPPKPIDEIPFSETIGLDLIVQKVWNSLEDDTVDVIGLYGMGGAGKTTLMKRIHNELGTRDHSFDLVLWVVVSRDCDVNKIGNDIHKKLGIDEFFWNTSTHEQRVAKIYDRLKGKKFLLMLDDLWGKLELEAIGVPDPKKNNKSKVMFTTRSEDVCDKMQAQKKHKVECLSEKDAFDLFCKKVGDETLKCHTEIRKLTHEMAKECGGLPLALITVGSAMAGVKSFEAWMVAKNNLRSSSWTASDLEDKVFRILKFSYDKLPDKAHKNCFLYCALYPEHFEIDMDDLIDRWIAEGFLCRDDTSIFDMYIQGKSIIEKLTLSCLLNESIDIESFRYLERNNRVVKMHDVIRDMALWLARDEDENKDKVVVQGEGFSISEIDSKRLNSIERISIINDKSFLEEFHLPACPNLITICFRSQRVSILSNLQFLKRLRVLDLSYTTSLEIISPEIGELINLEFLNISGTSVSSFPIEFKKLKNLKVFLMEYMKGFSSKMFPLAVIKSLEQLKVFRYSRIYINVEDEISLLEELESLPKLEELSIQLIDITSMPRLFNSTKLRGCSRCLKLHYSGVDNKELDTVEMSSLLASMSEMTHLDCIHLFEMHSLVDGSTVTNKFHLGKLRQVRIYMCDSITHLTWLRYAPFLEYLVVYACLSIEHVVKEAKNDEDVGSESMNDNIFTNLKDLCLHNMPKLVSIYQKGLAFPSLQRICVSNCPNLRKLPFNSAFSSKDNLVAIQGSTEWWDNLEWDDTFIQHFLRPKFQHNKGMGIEFLDWYLTR >CAK8576824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516914890:516961356:1 gene:gene-LATHSAT_LOCUS28988 transcript:rna-LATHSAT_LOCUS28988 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQSTGSAFTKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIVLEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLHQCFKKDARQRPDAKTLLSHPWIQNCRRALQSSLRHSGSLRNIEEDDSAGGKVSGGDRKLAGENSSVEKQGTVAADSSRSQDGSTSDSNFPNERTEKADDFSSDEVFTLAIHEKSFQQTGSDKVSNDGEVGSSEPTGNHEISNADELHEVTMNGEVGSPQSREVANNVGVKDSSINNGKKSFAFGPRGLDKSPTKALKILHPAEGNELSKFSDPPGDAYLDDLFPLDKRHGEVVGEASTSASTSHMAKGNVSLIDGGEKDLAKELRATIARKQWEKESEIGQANNGGNLLHRVMIGVLKDDVIDIDGLVFDEKLPGENLFPLQAVEFSKLVGSLKPEESEDVIVSACQKLIGIFQQRSEQKLVFVTQHGLLPLADLLEVPKTRVICSVLQLINQIIKDNTDFQENACLVGLIPAVMSFAVPDRPRDIRMEAAFFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGILLRLINTLYSLNESTRLASMSVGGGFLVDGSIPRPRSGILDPSHPISSQNEALLSSADQQDLSKLRRGVLDHHLEPSHSSSSNPRRSDANYQMDVDRPQSSNAAAEAVPLEKSSNLASRESTAGTMKERENVDRWKSDPSKADVELRQQRLSISANRASTDRPTKLTETPSNGLSVTGATQQEQVRPLLSLLEKEPPSGRLSVQLEYARQFSTLDRHESVLPLLHASEKKTNGELDFLMAEFADVSQRGRENGNLDSSARVSQRVTPKKLGTFGSSEGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSSLNAEVAKEYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILKCINHLSTDPNCLENLQRAEAIKYLIPNLELKEGSLVSEIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMQFITSNSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEFWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQKLVKFFQCCPEQHFVHILEPFLKIITKSARINTTLAVNGLTPLLIARLDHQDAIARLNLLRLIKAVYEHHPQPKKLIVENDLPEKLQNLIGERRDGQVLVKQMATSLLKALHINTVL >CAK8562100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440673898:440674221:1 gene:gene-LATHSAT_LOCUS15626 transcript:rna-LATHSAT_LOCUS15626 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMDIKKTLNKWRHNVSDPILKTMTANMQLKYNKY >CAK8532750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510631198:510631893:1 gene:gene-LATHSAT_LOCUS2424 transcript:rna-LATHSAT_LOCUS2424 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNITLEEMLKAGVHFGHKTRKWNPRMAPFISAKRKGNHITNLTKIARILSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTEMRLRKFRDLRTEQKTGKLNSLPKRDAVMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPMICVIDTNCNPDLADLPIPANDDTMASIGFILNKLVFAICEGRSS >CAK8560302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13661961:13665825:-1 gene:gene-LATHSAT_LOCUS13986 transcript:rna-LATHSAT_LOCUS13986 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQSKRGKQEKSCDGAEKVIVAVKASKEIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRRWGFPRFAGDCASGIKKYPPGTILEQKSDITDSCSQMILQLHDVYDPNKINVRIKIISGSPCGSVAAEAKKGQASWVVLDKHLKHEEKRCMEELQCNIVVMKRTQPKVLRLNLIGAQKKEVEEAGTSPSEQDDMPEKQTENKMDSLVDSIKGPNVTPTSSPELGTPFTATTEAGTSSTSSSDPGTSPFCIPVMNGETKREETIKESQELCDTNSDTESESLSTSSASFRYQPWITELLLHQQSSQRNGERSEAYHGMPQATTTKALLEKYSRLDRGAGMEMSASYRNDSDFSGNLREAIALSGNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGYGSVHRGVLPEGQVIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRQRDPLEWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLTRPKGQQCLTEWARPLLEEYAIDELIDPRLGRLYLEHEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMVMDTNYISTPGYDVGNRSGRIWSEPLQRQHHYSGPLLEDSLESSFSGKLSLDKYKPSYWDRSRDKPIRASSEDDI >CAK8576722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508894912:508895935:1 gene:gene-LATHSAT_LOCUS28895 transcript:rna-LATHSAT_LOCUS28895 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLISYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEVSHSQTQTHSQILQLQNQNQNQNQNQESVTIAVAAAAAASVSTTTSTTVIAATTPTSIISFAPSIKQEQYHNHYHNHSHSHQEMMIKGSVLERCPDLNLELTISPPRQQESEEQFKNRDRNNSTNNNSLCFVCSLGLQNSKDCSCNEIVGNSSDGKAPAFDFLGLKAGVWDYKGLEMK >CAK8572691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555849607:555852095:-1 gene:gene-LATHSAT_LOCUS25224 transcript:rna-LATHSAT_LOCUS25224 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSPTSIRTRKTKAVGIPTIDLSVERSQLSELVVKACEEYGFFKVVNHSVPKEVISRLDEEGIEFFSKSCSEKRQAGTSTPFGYGCKNIGPNGDKGELEYLLLHSNPLSISERSKTIAKDHPIKFSCIVNDYIKAVKELTCEILELAAEGLWVPDKSSLSKIIKDEHSDSLLRINHYPPVKKLGNDNWDPSKIHNSNHNNTTIGFGEHSDPQILTILRSNDVGGLQISTHHGLWIPVPPDPSEFYVMVGDALQVLTNGRFVSVRHRVLTNTTKPRMSMMYFAAPPLSSLISPLPKMVTAHSPSLYRPFTWAQYKQAAYSLRLGDTRLDQFKVQKQEDSNIEHDSHSLYNA >CAK8579068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672015516:672015896:-1 gene:gene-LATHSAT_LOCUS31041 transcript:rna-LATHSAT_LOCUS31041 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRGSPMYVLWKKLKRLQQELKAFSKPFSDIRNKLTTARDNLKNIQEQLIRDKMNTILIGKARDLTEEVITLKEIEWDILQQRAKVDWIRKGDGNNHYLYVAVKIKHHSTCLTNLQRSDGSQLSD >CAK8566351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:411798851:411801125:1 gene:gene-LATHSAT_LOCUS19486 transcript:rna-LATHSAT_LOCUS19486 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRCVSVLIGRKNKIKGIEGSSKGDLEQLHAKVRHPTISSKGRDLNPEATQMNSRCNVKATNLDSPMRTEVQEAYDGGDEHEDSPSIRRELSDFDLQVHEAVASKGGYDPTGKEMNSPSLYKKQVNIQLEDRDDKYNKKSVDLILSGHVSDPGIGKCDFWDSPKLKRSCSNLERRDILRKTAHHFPSSRSQSFENLQELSANQMVNLESPRSVMTQRSADKVILKRRSSSQVLPSGSKKLWWKLILWSHRNIHRPLVSKSTQLHPANAVLNNQCGYSSDILESEKGKTLRHVRSPSPASSSGEYFRKSGKDKNIDNPRWSRFHKEKNLDFGTQNHWVAFSTEPSSFNRVDEWMKDLENQQPPPEDDFAVDNIVTTVLPPSPDADRSITRSTTQLARHQDAVFSKDILYANSVVQSLNSASTAAHICGIGIKAIPAISHFSSLRSVNLSNNCIVHITPGFLPKSLHTLNLSRNKISIIEGLRELTRLRILDLSYNRISRIGQGLSNCTPVKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNIGDEQLRKAVCGLLPKLVYLNKDAVKPQRAREMLTDSVAKAALGNSSRISNRRALKKGVQGGSSSSSVHRSSAGLAQKSRHRSKSRSKHQ >CAK8578951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663563475:663564932:1 gene:gene-LATHSAT_LOCUS30929 transcript:rna-LATHSAT_LOCUS30929 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMDYDQFHECNLEIAFPFFQTQDVLSPNTILNEILFDQQDQSQYLLSPNTIDEIFDQEKSIERSLQQHTSHDVTMPLENELCQGTLEKGCENVREEDSFWKEVQDELMEETSLVDLLLIGAEAVESQNMTLASDIIEKLNNAAFLENGDSLLNRLCPFFTQGLFYKTTNAPKFHCEHVSTQTSTFCVFQILPELSPYVKFAHFTANQAIFEATDGVQHVHVIDFDIMEGIQWPSLMVDFAMRKNTTSLRVTAITVDQQTAASVQQTGRRLKEFADSINFPFVFDTMTMAREEDFQRIEHGDMLIINCMIHQWMPNRSFSFVKTFLNGVRKLSPKLIVLVEEELFNFSRLKYMSFVEFFCEALHHYIAVCDSLVTTLSGGHKMELSLIVKDVLGVRILDSVRQFPCEREERMLWEEKFFYSLKGYKGVGMSTYNISQAKFLVSLFGKGYWVQFENFRLALSWKSRPLTSVSIWEPIDYMSNKVK >CAK8572454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538044793:538048841:1 gene:gene-LATHSAT_LOCUS25020 transcript:rna-LATHSAT_LOCUS25020 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQTSTHRARWIFSPQQLVEKYTAANQRARQTLEKCGATLMEVDSNGSLSYPEPQTTASDSAEKHSRVKPLSNEEERCMKVFYEIKLQEVCNNFHFPHKIQATALIFFKRFYLQWSVMEHQPKNIMLTCIYAACKIEENHVSAEELGKGISQDHQMILNNEMIVYQSLDFDLIVYAPYRSVEGFIDYMEEVCNAGEDELPKFKALQDTAGREVDKMMLTDAPLLFTPGQLALAALRTSNALHKVVDFDSFLSRVFSHKNFTHTMDELLESLDAIDSWVRKYTSPSEKELKHIDRKLKSCWGHDEGKKREKKSKHKSRKSSKEAQNV >CAK8577490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568282700:568285779:1 gene:gene-LATHSAT_LOCUS29594 transcript:rna-LATHSAT_LOCUS29594 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLPPKPPPTLFTFTVATSIISLKPLPSFKPPRCTPNSTLPISVSPPTTRTNATTPKKVLLPIGFGTEEMEAVILIHVLRRAGALVTVASVEPQLQIEAAGGTKLVADTSISDCSDQIFDLIALPGGMPGSARLRDCDVLRRITCKQAEENRLYGAINAAPAVTLLPWGLLKSREITCHPAFFDKLPTFWAVKTNIQVSKGLTTSRGPGTAYMFALTLAEQLFGESVAREVAEFLLMRTSDDNVSKKEFNEIEWSVGHHPPSVLIPIAHGSEEIEVVTLIDILRRAKTNVIVASVEKTLGVMASQGTKIVADKLLSDVQESAHDLIILPGGTAGTQRLSKSRILKKLLKEQNSAGRIYGAVCSSPTILHKHGLLKDKKATAHPSVVDKLKDGAVNDAVVVIDGKLITCEGLASITDFALAIVSKLFGDRRARSVAEGLVFEYPKK >CAK8577681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583174217:583174678:1 gene:gene-LATHSAT_LOCUS29765 transcript:rna-LATHSAT_LOCUS29765-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8577680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583173533:583174678:1 gene:gene-LATHSAT_LOCUS29765 transcript:rna-LATHSAT_LOCUS29765 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTVDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8577682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583174445:583174678:1 gene:gene-LATHSAT_LOCUS29765 transcript:rna-LATHSAT_LOCUS29765-3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8538275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474471880:474472291:1 gene:gene-LATHSAT_LOCUS7490 transcript:rna-LATHSAT_LOCUS7490 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPIMIADLKLGNNVWKLSICVVDLWTVTERNGHQYFECVIQDSKCDKIHVVTRNRDFDLWKQRLQEHKTYMVYNADLLNNDLPLKIYENLLKLFFNSGTTVTMVDIP >CAK8532684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:478266601:478266949:1 gene:gene-LATHSAT_LOCUS2366 transcript:rna-LATHSAT_LOCUS2366 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNCWNLALLLFMTCLVFFMTANQSIYGGGSYLNANTKCISNYFPHQHTNNCDTDILQPTKRKKKDMDFPNIFLHRSFV >CAK8565324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:124379315:124379429:-1 gene:gene-LATHSAT_LOCUS18546 transcript:rna-LATHSAT_LOCUS18546 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIVSRA >CAK8564296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666584517:666586301:-1 gene:gene-LATHSAT_LOCUS17617 transcript:rna-LATHSAT_LOCUS17617 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLTVMTFNLHDDEPQDSANSWDKRRDICISVITSYSPIILCTQQGVKTQLDFLQQGLPGYDQFGVSRRGPQDTTDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSISWDVHTFQLKGVEPPGFSFQIVNTNMDAISPRARRRSALLTWQHIASLPPTLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARVRKNVSLIRTYHGFKGDKQGTVEYLKLIFRALCLCWDRQTQDLHVDWILFRGRSLIPVSCEVVNDNIDECYPSSHFPIFAEFMLPRTVRMLESPVQEDN >CAK8536733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11805995:11809344:-1 gene:gene-LATHSAT_LOCUS6067 transcript:rna-LATHSAT_LOCUS6067 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYGGVLIASSFTLFMLMILRYGVMQNPISEGYLIIPASINGTNPLEWINPVIPTAIQNPDGSSQVISADILVSSLFAKNSFSIKEHQTLQTWNHLKHLISHVQGLPSAADAIKEAASAWNSLVSSVEEQKQGHANDSSRAKEKQCPHFLNKMNSSELGNSSYRLQVPCGLTQGSSITVIGTPNGILGNFRIDLTGEPIPGEPDPPVILHYNVRLHGDQITEDPVIVQNTWTLAHDWGEEERCPSPDSEEVKKVDELEQCNKIVGKNISQLYIGGMHSHTSRQISAAEEQSIKRKYFPFKQGYPFVATIRVGSEGIQMTVDGKHISSFAFRETLEPWLVSEIKISGDLKLASILASGLPTSEDSEHIVDLELLKSSPVSAQAPLDLFIGVFSTANNFKRRMAVRRTWMQYSAVRSNTTAVRFFVGLHKSQIVNEELWKEAQTYGDIQLMPFVDYYSLITWKSLAICIFGTQVVSAKFIMKTDDDAFVRVDEVLGSLQRINVAHGLLYGLINSDSQPHRNPDSKWYISTEEWSEESYPPWAHGPGYVISHDIAKAVYKKYRENHLKMFKLEDVAMGIWIAEMKKEGLEVRYENEGRVYNEGCKDGYVVAHYQGPREMLCLWHKLQELKRATCCGDRR >CAK8576063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:397433129:397434054:1 gene:gene-LATHSAT_LOCUS28280 transcript:rna-LATHSAT_LOCUS28280 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSWTSALKITLFLLLVSAVIVAILILPIQQILNDFLIWVDRDLGKWGPLALAVAYIPLTILAVPASVLTLGGGYLFGLPIGIIADSIGATIGVVAAFLLGGTVCFALIYSWELKKFKTAPIVSKTPRHVNTPNVININIRH >CAK8569853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13687147:13688505:-1 gene:gene-LATHSAT_LOCUS22661 transcript:rna-LATHSAT_LOCUS22661 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEPLVKPTYISLQELQKHNKRGDAWISIDGKIYDVSKWANYHPGGEVPLLNLAGQDVTDAFLAFHPPSAYKQLDAFFTGSYLRDYTVSEVSRDYRSLFSELTKLGLFEDKGHGVLILLSLIVVMFCVSVYGVLFSDNMVVHVLSGGLMGFLWIQSGWLGHDSGHYQIMVNRKWNRFMQILSGNVLAGISIGWWRWNHNAHHLACNSLDFDPDLQHLPFFVVSSKFFGSLTSSFYGRKMNFDSFARFLVSYQHWSYYPVMCFARLNLFAQSFFLLLSKRKVPNRKMELLGLLAFWIWYPLLVSFLPNWRERVLFVMASFTVTGIQHVQFTLNHFSASVYVGPPTAHDWFEKQASGTLDVTCSSWMDWFHGGLQFQVEHHLFPRLPRRNLRKIAPLVKDLCKKHNLPYTCVSFWKANVLTVQTLRNAALQARDLTKPVPRNLVWEAVNTHG >CAK8537314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227446123:227446998:1 gene:gene-LATHSAT_LOCUS6612 transcript:rna-LATHSAT_LOCUS6612 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADIADHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSIASDMNTEINPAFFPLTVHPLSTIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8569697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8437552:8441177:-1 gene:gene-LATHSAT_LOCUS22518 transcript:rna-LATHSAT_LOCUS22518 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTQNLRRGTNKRRHTNKLFGVFGAAAIKFLKKSKKQEKVRSLSAVNEMGCEKQQQHQDPKRFKIPNNFLNGCYGASVPRKLRSAMKKRGRESILLDAEKMNHKNNGIESSEKDNVKKSKKQEISQKLSRREGGFGSITKDEEEVAETLYSLAAMFPESGSNHVSKEIYGESLIENSSVLQDKKENVNAALEESVTDQGASLCPESCLPGEASKITSVNETTGQEHSEKASLLVASHSSTPSINLQSMPEMVKRECCKKIALHDSELWLAMGLNITRQSQISQHKKKPDVELDSVRYVDNKQKQHLIKEHIKNESLALWPGLSSVSSAVSHKRSRKRCATHVYISHTIRRLEVSKQGAIKESKLHECNEMRVPNGSNREILSEVHNVNGMRNRAMRATVRNTNESKNGSIPSQQCHYGEITQTTPTPGVYDSQKQSFNFLSLSTGSYGLKVDNNNYNKVVSRLEPLSNLQVPYFQSLAQQQQQRVVPNPTHQNRYAASTVYLDQLSVVGPQLRLQQPHYYGSQLCGTQYSSTATNSKQDHQNFWGMQQQVAQGRSSVNCNVAMRTQNPNWQSGRSESSAMVPCAQAIFPHTSVSQEIFGSKIAGRQQQLISPIQDKWARSSSSQYYI >CAK8569696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8437552:8441177:-1 gene:gene-LATHSAT_LOCUS22518 transcript:rna-LATHSAT_LOCUS22518-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTQNLRRGTNKRRHTNKLFGVFGAAAIKFLKKSKKQEKVRSLSAVNEMGCEKQQQHQDPKRFKIPNNFLNGCYGASVPRKLRSAMKKRGRESILLDAEKMNHKNNGIESSEKDNVKKSKVSIKQEISQKLSRREGGFGSITKDEEEVAETLYSLAAMFPESGSNHVSKEIYGESLIENSSVLQDKKENVNAALEESVTDQGASLCPESCLPGEASKITSVNETTGQEHSEKASLLVASHSSTPSINLQSMPEMVKRECCKKIALHDSELWLAMGLNITRQSQISQHKKKPDVELDSVRYVDNKQKQHLIKEHIKNESLALWPGLSSVSSAVSHKRSRKRCATHVYISHTIRRLEVSKQGAIKESKLHECNEMRVPNGSNREILSEVHNVNGMRNRAMRATVRNTNESKNGSIPSQQCHYGEITQTTPTPGVYDSQKQSFNFLSLSTGSYGLKVDNNNYNKVVSRLEPLSNLQVPYFQSLAQQQQQRVVPNPTHQNRYAASTVYLDQLSVVGPQLRLQQPHYYGSQLCGTQYSSTATNSKQDHQNFWGMQQQVAQGRSSVNCNVAMRTQNPNWQSGRSESSAMVPCAQAIFPHTSVSQEIFGSKIAGRQQQLISPIQDKWARSSSSQYYI >CAK8566302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404531024:404532751:-1 gene:gene-LATHSAT_LOCUS19441 transcript:rna-LATHSAT_LOCUS19441-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYEPFQQVNMWGDNFKVEGGLSSIASSPMLMVNTTNIENKSEYVPHEPRESSGADQEAIDSKALRRLAQNREAARKSRLRKKAYVQQLETSRLKLMQLELEIGRARKQLQGMCNGNSLDTSFMGSSETINPGIVAFEIEYGNWVEESHRRNNELRNVFQANAPEVQLGQAVQNVLDHYADLFRMKADAAKADVLYLISGVWKASAERLFLWIGGSRPSQLLNLIVPQLELLTDPQIASIKKLCLCSQQAEDALSQGLDKLQQSLVQDMSVDPFSAVNHGIQMAFAIGKFEALEGFVSQADHLRQQTLIHMSRILSTRQAARGLIALGEYFHRLRTLCSLWTSRPCNTVISPKISP >CAK8566303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404531024:404532751:-1 gene:gene-LATHSAT_LOCUS19441 transcript:rna-LATHSAT_LOCUS19441 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYEPFQQVNMWGDNFKVEGGLSSIASSPMLMVNTTNIENKSEYVPHEPRESSGADQEAIDSKALRRLAQNREAARKSRLRKKAYVQQLETSRLKLMQLELEIGRARKQGMCNGNSLDTSFMGSSETINPGIVAFEIEYGNWVEESHRRNNELRNVFQANAPEVQLGQAVQNVLDHYADLFRMKADAAKADVLYLISGVWKASAERLFLWIGGSRPSQLLNLIVPQLELLTDPQIASIKKLCLCSQQAEDALSQGLDKLQQSLVQDMSVDPFSAVNHGIQMAFAIGKFEALEGFVSQADHLRQQTLIHMSRILSTRQAARGLIALGEYFHRLRTLCSLWTSRPCNTVISPKISP >CAK8562191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452965591:452969431:1 gene:gene-LATHSAT_LOCUS15710 transcript:rna-LATHSAT_LOCUS15710 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNHKKGKVPKGNKGWPLLGETLDFIACGYTSNPLSFMEKRKSLYGKVFKTSILGSCVIVSTDPEINKVILQNQGNIFIPAYPKSIRELMGENSILQMNGNMHKKLHSLLGGFLRSPQFKARITKDIQQTVKQCLATWTHHQQIYVQDQVKKITFTILVKVLMSIDPGEDLSILKSEFEEFIKGLICLPIKFPGTRLYKSLKAKERMMKIVQRIIEERNGDNKHKDCVANDVVDVLLEENKGEMKKMSENIIEMMIPGEETLPTAMTMAVKFLSDSPLALSKLLEENMELKRNKSCSNDYTWTDYLSVQFTQNVISETLRMANIVNAIWRKSIKDVDIKGYRIPKDWCVVASLTSVHLDGINYEKPFEFDPWRWENIEAGTRNNCFTPFGGGQRLCPGIELSRLELSIFLHHLVTTYRWVAERDEIIYFPTVKMKKKLPISVTPLTLD >CAK8572145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514534859:514536826:1 gene:gene-LATHSAT_LOCUS24741 transcript:rna-LATHSAT_LOCUS24741 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTTNFEEGVKGFIAWAFAQECCRRVGGMRCPCLKCECRRIISDPEEVERHLKRKGFITNYWVWTYNGEQLPSNVHAETTNTDASSSQSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCTLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPETCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINEFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKRSWFDCHRRFLPRDHVFRRNKTDFKKDVRVKDLPPPRLSPEEIWNRVSELPKFTDYGEAYRIEGYGVKHNWTKRSIFWDLPYWKDDLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELALKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPNGYA >CAK8538257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473770603:473770898:1 gene:gene-LATHSAT_LOCUS7476 transcript:rna-LATHSAT_LOCUS7476 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRDNKQVEKATITNLKLMLDDNNRHAKAFRMIRDILKNNAFQDLKLRLISGRSTNGCVYNHPTVQRET >CAK8531647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:134015622:134016059:1 gene:gene-LATHSAT_LOCUS1423 transcript:rna-LATHSAT_LOCUS1423 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHLQDKEETDRKIQLLLKTVLNQNTSELNIEALVALISTPVTDANSVLRSSTSTHAPTNDQVMNDNINEDFEFKDEET >CAK8562940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:559107918:559109045:1 gene:gene-LATHSAT_LOCUS16400 transcript:rna-LATHSAT_LOCUS16400 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFTNTITTLLHLQHKQIPLILPLNHQCLTSIKTLTPHITCLAVHRNLLYAASLNLINVFDLSSNYTLIDTFNETSTSGFVKSITFTGSRVFTAHQDCKIRVWHITSSKRHCLVSSLPTFKDRLRRCIVPKNYVTVRRHQKSLWIKHNDTVSGLAVNEKEKLMYSVSWDKSFKIWDLSSGYYRCLESFNAHDDAINAVVVSDDGTIYTASADGCIKAWKMDNKVKRYSFVSLGKQKPTVNALAMNGDGTVLFSGGSDGTVCRWENNNKCEENNVVLMETLRGHGGAILCLVNVNELLASGSADHTVRIWQRERRGDGGYCCRGVLEGHEKPVKSLVVISGGGEEDDGLDGDGVVTLFSGSLDGEIRVWEVFGLT >CAK8573077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581369030:581369338:1 gene:gene-LATHSAT_LOCUS25567 transcript:rna-LATHSAT_LOCUS25567 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPKTILTDQDAAMAKAISLVMSKTFHGLCTWHIRQNALRHVNHLYQKSPQFSLDFEACIDLHEEEGEFFKAWNSLLIEHNVSEGSWMHMIFQLKEKWA >CAK8533045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570089018:570090767:-1 gene:gene-LATHSAT_LOCUS2697 transcript:rna-LATHSAT_LOCUS2697 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVERHLDSFKLVSKKSLLFFFSMTSIMFLMSWVFVLRSTSRPYFIDHNLLPSSKLFSTLEDSMFHTKVPNVESSFGNRAILVDNEDVEDESEASKSNENTECSDRNDAFLLKVFMYDLPSEFHFGLLDWKQDGKSVWPNVRTTIPGYPGGLNLQHSIEFWLTLDILASEIPNAPKAKTVIRVQNSSEADIIFVPFFSSLSYNRNSKPKPREKKTKNMILQEKLVKYLTSQEEWKRSKGKDHLIMAHHPNSMLDARMKLWPATFILSDFGRYPSSIANVEKDVIAPYKHLIPSYVNDDSSFDSRTTLLYFQGAIYRKDGGYVRQELYYSLKDEPDVHFSFGSIQKDGIKKATEGMRSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPFEDVLDYSEFCVFVRASDAVEENYLINFIRSISKDEWTKMWKKLKEVEHIFEYNFPSKEGDAVQMIWQAVSHKVPAMKLKLNRFRRYSKSPPSIDKDLKSIHLPINFW >CAK8564090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650900830:650901270:1 gene:gene-LATHSAT_LOCUS17429 transcript:rna-LATHSAT_LOCUS17429 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVKRIDYEIGDKVEVCSSEEGFRGSYYEATIISRLENGKYVVRYKNLLEDDESGPLIETLFPKDFRPSPPHVRSPRKFQLRQKVDVFYNDGWWLGKIVSDKVLTQKGHYYKVYVNNMREAIYYSRKRIRVHHELIHGDWILEA >CAK8543056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572419607:572420365:-1 gene:gene-LATHSAT_LOCUS11843 transcript:rna-LATHSAT_LOCUS11843 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVRTFGKTFEQKEREIINLQGQVKEIYDFLRAYHERKPKHVENSFFKPHTFPTYFTTPEKSSPFYHTYISSLPDYVKYIHASYRSKSKRTNATSTAKTKGKVVCLRASSSDSRDVPEIPPSKFQKEEENLDKDDKNDSYQDASADETLRSFSLESQYKENYIPRLFMANIKEEEYFYEEPPEETLVTKRTKLNSGPWFMLDDIPPCHWKKRLLEFRA >CAK8565059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32154400:32156472:1 gene:gene-LATHSAT_LOCUS18300 transcript:rna-LATHSAT_LOCUS18300 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQQGGGGKSPQCSWSVLPEEEADQIVNSGGGEVALKKGIEADQTVNSGGGEVALKKGIEADQTVNSGGGEVALKKGIEADQTVNAGGGEVALKKGIEADQTVNVGGGEVALKKGIEADQTVNAGGGEVALKKGIEADQTVNALKKGSTSTRKRKMSSLSSGSDVGKRSKLSRSILPEEEADQRGEVALKKGPWTNEEDEILKDHIKKHGEGNWKAVQKKSGLARCGKSCRLRWSNHLRPGVKKGSFTAEEERLIIECHFLKGTKWAHMAKMLPGRTDNEIKNFWYTRSKKRNRDGLPIYPDEIMSKYSLNDSQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVRQCSDSSHNTLYMPSAVVQQRFFSSSRSAAVLDVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSDPIYGSMTFEPPSFQNSQTQQPTWTNMDVPPLPSFEYVDTPVQAPPIESCPPVPNSLDWDRIIDATELPSVKYVDTPVQTPPIESCPPVSDSSDWDRLIDPIDYDHDAIVAAQLNFLRQVRSEIKNTRRYYINRGAFNDQLPAYYTSNKKII >CAK8573423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611470804:611471694:1 gene:gene-LATHSAT_LOCUS25870 transcript:rna-LATHSAT_LOCUS25870 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRQVSILLFSLFLISLLKYSHAAGIAVYWGQNGGEGSLVDACNTNNYQFVNIAFLSTFGNGQTPQLNLAGHCNPSANECTKFSSEIQACQAKGIKVLLSLGGGAGSYSLSSADDATQVANYLWNNFLGGTSTSRPLGDAVLDGIDFDIEAGGEHFDELAKALNGFSAQKRVYLSAAPQCPFPDAHLDSAIKTGLFDYVWVQFYNNPQCQYSNGNTNNLVNAWTQWTSSQAKQVFLGVPANTAAAPSGGFISSDVLISQVLPAIKSSPKYGGVMIWDRFNDGQSGYSTAIKGSV >CAK8541534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:232352958:232353280:1 gene:gene-LATHSAT_LOCUS10449 transcript:rna-LATHSAT_LOCUS10449 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPCAKPDELHRKQENYGQDELEVFLYESFVSIRSSSSIMEKLCCLFVSLLSINLYCSHLFMLKLELSMEIF >CAK8531371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105538347:105540143:-1 gene:gene-LATHSAT_LOCUS1168 transcript:rna-LATHSAT_LOCUS1168 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLQLQRVIRSGSVNLISTLPHSSSYSSSRSFSKSSPYVVKVGIPEFLNGIGSGVESHVAKLDSEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKHAHKYRLGLWRPRAEQVKA >CAK8571650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:455169242:455172156:1 gene:gene-LATHSAT_LOCUS24291 transcript:rna-LATHSAT_LOCUS24291 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVCLVPLFLVPIVNFLPLVFDFLMGKIYAVFGWEYRKPERAPPACPIKPSNKTISKDKADTGPSPTGPAKPESVNVKQD >CAK8578262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615708701:615709540:1 gene:gene-LATHSAT_LOCUS30289 transcript:rna-LATHSAT_LOCUS30289 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMEKLKGKKGHFVIKVDMSKVYDKLSWEFIWRVLQVNFLKNLINLILRAVSSVETNVNWKGARSSYFRPQCGIRQGDPISLCSFHGNFSHRIEHKVRNKNWNTMKLGEKGSRISHLMFVVNLLLFGQATEKQMECLTRTLNKFYNTPGHDISQEKMTLIFSKNVARSMQNKLAHMSVFRIANKLGKYLGIPLRGKNLKKIDFQYLVDQRDAKLTSWKISSLSFTGRITLAKSVIEAIPLYSMMIEKISKAIIQEITCLQRNFIWGYTIAHRKLRAIG >CAK8573246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:597012308:597012718:-1 gene:gene-LATHSAT_LOCUS25716 transcript:rna-LATHSAT_LOCUS25716 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDFTFPRINDTFSYIIDSPPLWNSSSTSSSSKKGDSYEEKLDSKGQRKSFSSSIQNGKKSTRELEDEEVVPMDLLWEVFNEEVVEYSSLTIGNTAKNALVHTKNKPGMLEMLKVLKKLFSINNSHGKSRRRIL >CAK8560005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4847933:4848536:1 gene:gene-LATHSAT_LOCUS13727 transcript:rna-LATHSAT_LOCUS13727 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRDMHFIYILFLLTLSPLICVNSKHFVLVHGACHGAWCWFKVATLLKSAGHHVTTVELAASGINPIQVQQIRQISEYHEPLMKYMESLPSEEKVVLVGHSLAGLSLSVAMEKFPQKISVAVFMSAFVLSQNLTYPAILQEQARRNHSLMDTKDFYFDGPNKPATARLFGPK >CAK8569336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695121761:695122714:-1 gene:gene-LATHSAT_LOCUS22197 transcript:rna-LATHSAT_LOCUS22197-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLSKQVAKRKTIHTEKKTLTDLKSSGEQYPGSEYHPSDRKNWMKDLNPEKLHINQIVWPGTHDSATNKIGFPLVTRPFAQCQSLSIYHQLVRGARVLDIRVQQDRRVCHGILVTYNVDVVVKDVKRFLSETDSEIIILEIRTEFGHEDPPEFDKYLEENLGEVLIHQDDNVFGKTVSELLPRRVICVWKPRKSAQPKAGSSLWSAGFLKDNWINTDLPSTKFDGNLKNLSEQQPVTARKYFYRVENTVTPVADNPVLCVKPVTRRIHGYARLFIAQCFEKGYADRIQVFSTDFIDEDFVDACAGLTYARVEGKT >CAK8569335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695121761:695122807:-1 gene:gene-LATHSAT_LOCUS22197 transcript:rna-LATHSAT_LOCUS22197 gene_biotype:protein_coding transcript_biotype:protein_coding MLTANSSYKKKKQKKKHKRNKKEKTFSTRVKMGSHLSKQVAKRKTIHTEKKTLTDLKSSGEQYPGSEYHPSDRKNWMKDLNPEKLHINQIVWPGTHDSATNKIGFPLVTRPFAQCQSLSIYHQLVRGARVLDIRVQQDRRVCHGILVTYNVDVVVKDVKRFLSETDSEIIILEIRTEFGHEDPPEFDKYLEENLGEVLIHQDDNVFGKTVSELLPRRVICVWKPRKSAQPKAGSSLWSAGFLKDNWINTDLPSTKFDGNLKNLSEQQPVTARKYFYRVENTVTPVADNPVLCVKPVTRRIHGYARLFIAQCFEKGYADRIQVFSTDFIDEDFVDACAGLTYARVEGKT >CAK8577833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592212926:592216428:-1 gene:gene-LATHSAT_LOCUS29902 transcript:rna-LATHSAT_LOCUS29902 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEPKPHSEPKVWNFFKLPFRHSSANSSTGTTSSSPNLHHPHHQHHHNPNSNAHNPPPVEGSTSQSSNSVSSVARSLLPTRRRLKLDPSNKLYFPYEPGRQVRSAVRIKNTSKSNVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEQPENNEKPEKSGLKFKIMSLKVKGSIDYVPELFDEQKDQVAVEQILRVVFLDPERPSPILEKLKRQLADADAALEARKKPAEDVGPKIIGEGLVIDEWKERRERYLAKQQGDVVVDSV >CAK8567632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532666280:532668742:-1 gene:gene-LATHSAT_LOCUS20668 transcript:rna-LATHSAT_LOCUS20668 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSSEEVSAQNQVKASVQRRIRQSIADEYPGLEPVLDDILPKKSPLIVAKCQNHLNLVVVNNVPLFFSVRDGPYMPTLRLLHQYPDIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGVLDEEVGAECPVAIMAEGKQHALAIGFTKMSAKEIKAINKGIGVDNLHYLNDGLWKMEKFD >CAK8571961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497349732:497350421:1 gene:gene-LATHSAT_LOCUS24573 transcript:rna-LATHSAT_LOCUS24573 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKDVVTWSVMILGFAINGNNLMAMKLFEKMEKFGPKPNEITFIGVLTACNHKDLFCEALRLFEIMSEKYGIKPSIEHYGCMVDVLPRSGQVIKALTFIKSMHIEPDGAIRGSLLNGCLMHGYFELGQKVGKYLIEFEPQHSGRYILLANMYANMGKWEGVSEVRKLMKDRGVVIVSAWSFIEIDQTIHKFVVDDKCCLNLGEIYKVLSHLRMKDEEFSGDKDALFFI >CAK8561276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:134704260:134704640:1 gene:gene-LATHSAT_LOCUS14884 transcript:rna-LATHSAT_LOCUS14884 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCGNCDCADKSQCGKGNNLGVTIVETEKSIVMDVETVEHEGDCKCGSNCTCTNCTCGH >CAK8568962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659830777:659832348:-1 gene:gene-LATHSAT_LOCUS21866 transcript:rna-LATHSAT_LOCUS21866 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSIISLSSTFLQKDTPLKRTTTKSPISKCPLNPIKVSMSASETDKTTSALPMREIPGDYGLPFIGPIKDRQDYFYNEGQTNFFKNRIQKYNSTVFRANMPPGPFISSNPNVIVLLDGKSFPILFDVSKVEKRDLFVGTYMPSTELTGGYRILSYLDPSEPKHDQLKRFLFFLLKSRSSHFIPEFHSSYTNLFETLEKELAKKGKAVFGDSSDQTAFNYFAKAYFGVNPSETRLGTDAPSIITKWTALQVGPILTIGLPRLIEEPLLHTFLLPPALVKKDYQRLYEFFYESSSGPILDEAVRLGVSKEEAVHNLIFVTCFNSFGGMKILFPSMLGYIGEAGVNLHRRLAEEIRAVVKSNGGKVTMAGLEQMPLMKSVVYEALRIDPPVPFQYAKAKRDLVIENHENAFQVKEGEMLFGFQPFATKDPKIFDRADEFVGDRFLGEGEKLLKHVLWSNGPETEQTNVSNKQCAGKDFVVLFSRLLVVELFLRYDTFDVEVEKGASGSSVTITSFKKATF >CAK8569343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695508671:695513648:1 gene:gene-LATHSAT_LOCUS22204 transcript:rna-LATHSAT_LOCUS22204 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSDAPISNIVIVIAMQTEAQPVVNKFQLIEDPHSPFPQGVPWVRYHGKYKDLNINLIWPGKDPNSGVDSVGTISSALVTYAAIQALKPDLIINAGTAGGFKARGASVGDIFIISDCAFHDRRIPIPVFDLYGVGSRKAFETPNLVQELNLKVGKLSTGDSLDMTQQDESSIIANDATVKDMEGAAVAYVADLLKVPAIFVKAVTDIIDGDKPTAEEFLQNLASVTTALDLAVEQVINFTNGKSVSQL >CAK8544907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711473159:711475014:-1 gene:gene-LATHSAT_LOCUS13551 transcript:rna-LATHSAT_LOCUS13551 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSLAQLWPFFFRICILSLVFNFKIIALGDDNDGSSGDFTSSSKSRCKNTNFQIFLPPPYRNISSTICKPVWHTYELRYTKNDDTTTIILSAPYTVGWVGIGFSKDGKMVGSSAMVGWINKHGHAKIKQYYLRGNKSSEVIVNKGELPLNTVPAAVATNGAEIYLAFQLRPTIPFGKQPILLAFSTKHPHEHHLSKHDDETSIIFDFSSSSSDSKDPSSNDLVQMRKNHGIVGVIGWGLILPVGAIVARYFKHKEPIWFYLHSIFQFVGFVFGLVTVLLGLQLHSKMHVHIPAHKGIGIFVLVLSILQVLAFFLRPNRDSKIRKIWNLYHGWFGRMALFFAALNIVLGMQAAGAGNNWKTSYGFLVGIIIVAVIVLEVLAYLKRLEKRSLPPNFQMNPLEETFPSNNLPKG >CAK8530713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43663428:43667007:-1 gene:gene-LATHSAT_LOCUS557 transcript:rna-LATHSAT_LOCUS557 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEATEILKSAKNIPKTVFLFPLTAFILVLLLPLLIHFNQSSSKVLFTTTVVENQTTTTTTTNTSCSNLFSGKWVPYLEQPYYNETCPFITEKQNCLIHGRPDSDFLKWRWKPDDCELPLFDAKVFLKIVKGKSMAFVGDSIGRNQMESLLCLLNSVARPKDITTKYVSNEEDLTYFKWWFYADYNFTITMLWSPFLVKSSKSYIYNSSNFYKPESLYLDEPDTAWTSRIENYDYVIFSGGQWFFRPFTFYEKNQIVGCQKCNNSIELNYYGYKKAYRTMLKTIINHKKFKGLMFLATHSPNHFENGEWNKGGGCNRTQPFSNERKWEVHPYGLEILHQIQIEEFSAAKKNASENGSRFALIDITEAMLMRPDGHPNKYGHALNKNVSVNDCVHWCMPGPVDTINEIFLYMIMRMKL >CAK8579454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:700514376:700517977:1 gene:gene-LATHSAT_LOCUS31401 transcript:rna-LATHSAT_LOCUS31401 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKSLQSKACQATQFISRHGNVYYKQLLEQNKEYIQEPATIEKCNLLSKQLFYTRLASIPGRREAFKKELDYVKQLWRNKQDLKVEDAGIAALFGLEIYAWFCAGEIVGRGFTFTGYYV >CAK8560297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13432212:13436702:-1 gene:gene-LATHSAT_LOCUS13983 transcript:rna-LATHSAT_LOCUS13983-3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDDAVVAPEEPLQPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSKDQHGSRKDLESTDYSNSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKFWKVQEKKVKKISDMNIDPSKANGNVSIASSSNSCILKPHLANGGSSDKTYSYLSNDFSFPPGGLPSLRLPSVASHETSLLARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFAREGRYILSRDYMSLKLWDINMDSGPVSTFRVHEYLRPKLCDLYENDSIFDKFECCLSGDGSRVSTGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVPTPSRPSRSIGNSITRVVRRGAENTGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >CAK8560298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13432212:13436702:-1 gene:gene-LATHSAT_LOCUS13983 transcript:rna-LATHSAT_LOCUS13983 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDDAVVAPEEPLQPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSKDHGSRKDLESTDYSNSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKFWKVQEKKVKKISDMNIDPSKANGNVSIASSSNSCILKPHLANGGSSDKTYSYLSNDFSFPPGGLPSLRLPSVASHETSLLARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFAREGRYILSRDYMSLKLWDINMDSGPVSTFRVHEYLRPKLCDLYENDSIFDKFECCLSGDGSRVSTGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVPTPSRPSRSIGNSITRVVRRGAENTGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >CAK8560299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13432212:13436702:-1 gene:gene-LATHSAT_LOCUS13983 transcript:rna-LATHSAT_LOCUS13983-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDDAVVAPEEPLQPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSKDHGSRKDLESTDYSNSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKFWKVQEKKVKKISDMNIDPSKANGNVSIASSSNSCILKPHLANGGSSDKTYSYLSNDFSFPPGGLPSLRLPSVVASHETSLLARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFAREGRYILSRDYMSLKLWDINMDSGPVSTFRVHEYLRPKLCDLYENDSIFDKFECCLSGDGSRVSTGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVPTPSRPSRSIGNSITRVVRRGAENTGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >CAK8530823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53600225:53601694:-1 gene:gene-LATHSAT_LOCUS661 transcript:rna-LATHSAT_LOCUS661 gene_biotype:protein_coding transcript_biotype:protein_coding MESMIKTWLVMHIIFLSSTYLHYCVNGKSQVPCVFIFGDSLSDSGNNNNLPTSQKSNYKPYGIDFPIGPTGRFTNGRTSIDIITQLLGFEKFIPPFANTNGSDILKGVNYASGGAGIRNETSKARGFVVSLGLQLTNHRVIVSQISSRLGSLDKAQQYLNKCLYYVNIGSNDYINNYFLPQLYPTSHIYSPQQYAEALIQELSLNLLALHGIGARKFVLVGMGLLGCTPHAIFNHGTNGSCVEEENVHAFIFNAKLKSLVNHFLKFLADSKFIFINSTLESDGRNGFAVSNAPCCPSKISGECIPEERPCYNRSEYVFWDEFHPTEARNLLTAIRSYDSHDSGFTYPMDIKHLVEHETKMELESTNEIPSNLSTSS >CAK8530824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53600225:53601694:-1 gene:gene-LATHSAT_LOCUS661 transcript:rna-LATHSAT_LOCUS661-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMIKTWLVMHIIFLSSTYLHYCVNGKSQVPCVFIFGDSLSDSGNNNNLPTSQKSNYKPYGIDFPIGPTGRFTNGRTSIDIITQLLGFEKFIPPFANTNGSDILKGVNYASGGAGIRNETSKARGFVVSLGLQLTNHRVIVSQISSRLGSLDKAQQYLNKCLYYVNIGSNDYINNYFLPQLYPTSHIYSPQQYAEALIQELSLNLLALHGIGARKFVLVGMGLLGCTPHAIFNHGTNGSCVEEENVHAFIFNAKLKSLVNHFLKFLADSKFIFINSTLESDGRNGNNFSLQQNSLDSIVMHHVSWISGFAVSNAPCCPSKISGECIPEERPCYNRSEYVFWDEFHPTEARNLLTAIRSYDSHDSGFTYPMDIKHLVEHETKMELESTNEIPSNLSTSS >CAK8569220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683991127:683993137:-1 gene:gene-LATHSAT_LOCUS22099 transcript:rna-LATHSAT_LOCUS22099 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGFHGFRKLPNTTSGTLKLSSDMNTRQQVRGEQMNSSNHSTEQDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTMYLHRYRELEGDRTSMRVEPLGKRTMDQYGGGLGGFVPQFHLGHPNGGYYGNPQAYMMRDGSSNNAPNAPGGSSSRSQGGHGNADVNGHHHHHHHQYK >CAK8570100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23774115:23775008:-1 gene:gene-LATHSAT_LOCUS22883 transcript:rna-LATHSAT_LOCUS22883 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSSYLLCLGVLLAVSCSIHALDVAKLLEKSPEFASFTKALNETKLIDQINSRNSITILALNDGAMGGLSGKSPQAIKAILSTHVILDYFDEKKLMEAQGSNQLLTTLYQASGLAQNQQGFIKVALVGEGEIAFGSAVKGSPVDVELVKTVVTQPYNISILEVARPITFEGGNAQAPSAPANAKAPAPAESAKAPVSANASKAPAPTQDAVADAPTPGAQTPTSEAATAPTPFEAPVAETPTEGPTAADVSSPSLAPGASDDAAAADAKDPSSSSRTVAGFVGAVMCLASLVAVM >CAK8577902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596509614:596512251:1 gene:gene-LATHSAT_LOCUS29965 transcript:rna-LATHSAT_LOCUS29965 gene_biotype:protein_coding transcript_biotype:protein_coding MELRELGRTGLKLSSVGFGASPLGNVFGSVSEQQANASVRLAFQSGINFFDTSPYYGSTLSEKVLGKALKALNVPRSEYIVATKCGRYQDGFDFSAERVTRSIDESLERLQLDYVDILQCHDIEFGSLDQIVNETIPALQKLKEAGKTRFIGITGLPLEIFTYVLDRVPPGTLDVILSYCHHSINDSTLEDIVPYLKSKGVGIISASPLAMGLLTEAGPPEWHPASPELKSACKAAATYCKEKGKNISKLAVQYSLLNKEITSVLVGMSSVEQVEENVAAAKELAASGIDEEALSEVGAILKPVKNQSWPSGIQQS >CAK8544339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679046281:679048347:-1 gene:gene-LATHSAT_LOCUS13028 transcript:rna-LATHSAT_LOCUS13028 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRVDKVYITVLLADSKDAKVDLTPEGDFIFSASAGAGDNKYELKLELFDKVNVEESKISVGVRSVFSVVQKAESGWWKRLLRGEGKAPHYVKVDWDKWVDEDEDEGGDVDLGGMDFSKFGDGGMGGMGGMGGMGGMGGMGGMGGMGGMGGLGGMGGLEGLGGLGGLGGMDFSKFGGMGGADDIDESDDEGQEESKPGEQDAGKIGSEQDAAGKSAGEATTVGKEATPST >CAK8576198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:423794079:423797121:-1 gene:gene-LATHSAT_LOCUS28404 transcript:rna-LATHSAT_LOCUS28404 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNALSSTSILRSPTNQAQSSLNRKVKQHGRVNFRQKPNRFVVKAAAKDIAFDQHSRSAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASILAREIIKLGLLNVTSGANPVSIKKGIDKTVAALVEELEKLARPVKGGDDIKAVATISAGNDELIGKMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSIVEFENARVLITDQKISAIKDIIPLLEKTTQLRAPLLIISEDITGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVENTTIEQLGLARKVTISKDSTTIIADAASKDELQSRVAQLKKELSETDSIYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGTALVHLSGYVPAIKEKLEDADERLGADIVQKALVAPASLIAQNAGIEGEVVVEKIKNGEWEVGYNAMTDTYENLVESGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAGAPQGLTI >CAK8538960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500394102:500394905:1 gene:gene-LATHSAT_LOCUS8105 transcript:rna-LATHSAT_LOCUS8105 gene_biotype:protein_coding transcript_biotype:protein_coding MENALRACCKGIKIGKILIHREGDNGQQLVYEKLPNDISDRHVLLLDPILGTGNSAVQAISLILRKGVPESNIIFLNLISAPQGLHMVCKRFPRIKIVTSEIDIGLNEDFRVIPGMGEFGDRYFGTDDDDELVESRSR >CAK8575962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:374948886:374949262:-1 gene:gene-LATHSAT_LOCUS28185 transcript:rna-LATHSAT_LOCUS28185 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIRGYLMDRYATNRARTEEYREYILPKIKKVIERRQDISRFFIPRLSSDIIYEVRHRSLTGESFTIYLKRLECSCRSWMLSGIPCYHAISCM >CAK8542804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:548867168:548869082:1 gene:gene-LATHSAT_LOCUS11609 transcript:rna-LATHSAT_LOCUS11609 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNVVDPHVVGSVIGDVLNPFTNSVSLSVVINNKEISNGCLLKPSQLVNRPRVSVGGEDLRTFYTLAMVDADAPSPSNPFLREYLHWMVTDIPATTSASFGKEAVFYESPKPSAGIHRFVIVLFKQLGRDTVFAPEWRHNFNTRSFAEINNLLIVGSVYFNCQRERGCGGRRC >CAK8564819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11255248:11257516:-1 gene:gene-LATHSAT_LOCUS18084 transcript:rna-LATHSAT_LOCUS18084 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALHFHSLFETTTPWHKPLRKTLHFHSFSSPPSCTFLGPNLPDVWTPKENNTSPHTLLPQSFQWTNDGHSATILEGKAIAKQIKLKVADDIRKMKSEIGKFPRLAVVLVGDRKDSHTFIHVKMKACDKVGIGTVVSELPESCTENELLDVVSEFNDDKDVHGIVVQLPLPQHLDKERIMNVIRPEKDVDGFHPLNIGNLAIQGRKPFFIPCASKSCIELLLRHGVEIRGKRVAIIGRSKIAGLPTSLLLQRHHATVSLLHAYTKNPELITSEADIVIADVGIANIVRGDWIKKGAVVIDMGTNQVKDSNSRAFRVTGDVCFAEAVKVASAITPVPGGVGPVTISMLLSNTLDSAKRAFGMN >CAK8572989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575031646:575032011:-1 gene:gene-LATHSAT_LOCUS25486 transcript:rna-LATHSAT_LOCUS25486 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVWQTCLQPQTIVDQDGYLVYRRRETGNIVMKNHIVLDDRYVVTYNPYLLKKFQAHINMEWCNQSTLVKYLFKYINKGYDRITAAVVQTDSDGSSVIRNVEEIKQYLDSRYVSSSEAC >CAK8544436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684007984:684009475:-1 gene:gene-LATHSAT_LOCUS13114 transcript:rna-LATHSAT_LOCUS13114-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGVTVSDVKGFGAQGGSIERHGGSEFSEDSFIVKVKMEIVVRKDQVEAVLDQILESARTGEIGDGKIFLMPVSDVIRVRTGERAEKAERMAGGMTDLSSVRTNE >CAK8544435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684007984:684011008:-1 gene:gene-LATHSAT_LOCUS13114 transcript:rna-LATHSAT_LOCUS13114 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSTNVFNFASFQLKQTEVSFSTFSVIRKRFGDCPNRNVLLRTKRNAPILPKVRAQNLPDYVPDSKFYKIEAILRPWRISHVSSALLKMGIRGVTVSDVKGFGAQGGSIERHGGSEFSEDSFIVKVKMEIVVRKDQVEAVLDQILESARTGEIGDGKIFLMPVSDVIRVRTGERAEKAERMAGGMTDLSSVRTNE >CAK8569577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5916162:5917213:-1 gene:gene-LATHSAT_LOCUS22415 transcript:rna-LATHSAT_LOCUS22415 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSFHYSLFLITIFFSSSKLANADNNAQNFHYFCNFNNTGNYTDNSIYHTNLKTLLSTLTSNTQINYGFYNFSNGQNTNKVYAIGLCRGDVKQTECLNCLKASTNNLTQLCPNQKEAIGWYEDEKCMLRYSDRSIFGLEKTGPAYFAWNLKNATNENEFNKRVKNLLDGLRNIASSGDSDLKYAVGSDNFGLNNNETLLYGLVQCTPDLSKTSCDDCLVQSIKEVPNCCNNRIGVRIVRPSCNLRFEINSPFYQTTTPDSPSPSPSPPPSTVPSFSAPPPFAQNTASSPSSDKGNSSKYAVGIIQLMLFILCSLLSSF >CAK8530167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3966113:3969179:1 gene:gene-LATHSAT_LOCUS56 transcript:rna-LATHSAT_LOCUS56 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELVKDIGSGNFGVARLMRHKDTKELVAMKFIQRGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFERIVSAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQQDPKNFRKTINKILAIEYQIPDYVHISEDCKHLLSHIFVASPAKRITIKEIKSHPWFLKNLPRELTEMAQAVYYKKENPTYSLQSIEDIMNIVEEAKSLPQVSRSIGGFGWGGVEDDDETKEAEAEVEEDEYEKRVKEAQESGEFNFN >CAK8576721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508887942:508891457:1 gene:gene-LATHSAT_LOCUS28894 transcript:rna-LATHSAT_LOCUS28894 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVVVDAEYLKQIEKARRDLRALIANRNCAPIMLRLAWHDAGTYDASSKTGGPNGSIRNEEEYSHGANNGLKKAIDFCEEVKAKHPKISYADLYQLAGVVAVEVTGGPTVNFVPGRRDSKISTRDGRLPDAKKGESHLRDIFYRMGLTDKDIVALSGAHTLGRAHQERSGFDGAWTEDPLKFDNSYFEILLEEDSAGLLKLPTDRALVDDPEFRRYVELYAKDEDAFFRDYAESHKKLSELGFVPSSKANAPKDATVLAQSAVGAVVAAAVVILTYLYEHSKRGK >CAK8540004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535310466:535311689:1 gene:gene-LATHSAT_LOCUS9046 transcript:rna-LATHSAT_LOCUS9046 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPKSPVVSTPVAHSDSRNHNVSNRFINAEIAEIENLGETPEVRDEEIVDEARKEVELENPNQAETHEEGRKLWVDVLKDNRNPAKGGAMKSIAPQVVDGKIEVLIEEDDVRSEVKFWESSLILYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFKDRDEVLLRGLYMIRNIPLLIREWRPGFKIKDELMRTLPIWVKLPQLPILLWGDTSLNKIGSALGNPIMTDECTANRLRVSYAHILVEMDITKELPQTITIVDHEGEKIQQAIEYEWRPLFCNKCQKVGHSCEKPKVRKQWIPKHVKQPEANVESKKVEADNKGPNKVENVNKVIVQARKDNVSTSAETPSPVAEDIRNKDVDAVVENGLRLLKVVKIEEKSQLMIAL >CAK8530481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23673025:23673261:1 gene:gene-LATHSAT_LOCUS342 transcript:rna-LATHSAT_LOCUS342 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKELCEYKRDNPTSTQKDLQRWLEEKFQLKVSQETISNTLRRSDDHLSTELEKGRAKIKRH >CAK8535841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884876413:884877077:1 gene:gene-LATHSAT_LOCUS5261 transcript:rna-LATHSAT_LOCUS5261 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLQFFVLFIILIILQFQQVTPNEGGQNVAQDYPEKPVLNKFLMDTVSLLRKSQESTWEKIKIVIHDLQMQFSPPNLDFRGVGKGGVKEAVEKSFDKSKESVEETAKSAAEFVGEAIHKRTEKVNENADYDMDTKDEL >CAK8568866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648677757:648679577:1 gene:gene-LATHSAT_LOCUS21776 transcript:rna-LATHSAT_LOCUS21776 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEFFSLFHLERNRFLYLTIIITFALIFVFQYFELPYGNILVPSKFHDADPPSASDDINVISNQSSITVLPKENKNSLVKVQETMSISEMDKLLLQNYASYRSARPRWSLAVDQELLQARLEIENAPLVTDLGNLYAPLFCNVSMFKRSYELMEKTLKVYVYTEGSKPIMHSPYLLGIYASEGWFMKLMEANQAFVTKDAKKAHLFYLPFSSRRLEVTLYDRNLHGHRNLVHYLNNYVDMIAGKHWFWNRTEGADHFLVACHDWAPSETKQRMAKCIRSLCNADLKEGFMLGKDVSLPETYVHAQNRTINLGGKPLSERKTLAFFAGGMHGYVRPILLQHWENKDPDMKIFGMMPKSEGDRNYIQYMKSSRYCICPKGYEVNSPRVVEAIVYECVPVIISDNFVPPFFEVLNWESFAVFVLERDIPNLKSILVSIPKKRYLEMQMRVKKVQQHFLWHRSPVKYDIFHMILHSIWYNRVFTAS >CAK8577987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600945614:600946006:1 gene:gene-LATHSAT_LOCUS30041 transcript:rna-LATHSAT_LOCUS30041 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKSFIMALLFVVTMSSMSIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPTFPQATLPPLPVPTTIPSLPKLTMPPLPTFPTNIPTLNIPPLPAITSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8577988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:600945638:600946006:1 gene:gene-LATHSAT_LOCUS30041 transcript:rna-LATHSAT_LOCUS30041-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVVTMSSMSIDARHLLQTTSQQPNLPTIPTFPKPTTLPPLPSIPTFPQATLPPLPVPTTIPSLPKLTMPPLPTFPTNIPTLNIPPLPAITSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8543836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:642695042:642699719:1 gene:gene-LATHSAT_LOCUS12565 transcript:rna-LATHSAT_LOCUS12565 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFMGFSLLITNSVSYKGLFHTFLVLDFVFVCQLIILQPFVSASGNAAELFEKASQSIKERHFTEALNDLNSAIEADPNHSEAYLSRASVLRQLCRYEQSERSYKKFLELKPGHSVAEKELSQLLQAQSALETAQSLYESGNYTKSLEYIEKVVLVFSPACTKAKLLKVRLLIADKEYEGAIAESGILLKEDENNLEALLLRGRGYYYLADHDVATRHYQKGLRLDPEHSKLKKAYFGLKNLLKKSKSAEDNASKGKLRVAVEEFKNALAIDPDHRAHNVNLHLGLCKVLVRLGRGKDALNSCSEALNIDEELIDALVQRGEAKLLTEDWEGAVEDLKAAAQKSPQDMNIREVLMRAEKALKISKRKDYYKILGISKHASAADIKRAYKKLALQWHPDKNVDNREEAEAKFREIAAAYEVLSDEDKRTRYDRGEDLEESGMGGGGGGGFNPFGGGGQQFHFTFDGGFPGGGFPGGGGGYEFHF >CAK8530897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60491255:60493863:-1 gene:gene-LATHSAT_LOCUS727 transcript:rna-LATHSAT_LOCUS727 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLFSIVFTSFTTLILSLILPFHALLHRFVPSRAASSSFNDEAEPVSRYEGTVYHQRRHPVHHSFQYPVRYALFDLDRARDAPPNHLSSDEARQITGTNGPILLLTIPPSVGYVQNPLSVYYCYDVEESATCLKKCIAEVTNTPWAERVTFIFNPHSDLVAKALHVSPFMDMLGSWNIKASDPGDSISISISVHHPEFGNYFTASLKAKKLQTSSKSDHAVFFWLMPHKVAIWIYWHAIKLWWKNVRFIQHPRYATPTYRDEALVRDRNLQCCGFSAERVNNKNCLLSEASPRDRKFRWIDAKWPWS >CAK8543106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578992519:578995251:-1 gene:gene-LATHSAT_LOCUS11889 transcript:rna-LATHSAT_LOCUS11889 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNGIHNLFLETATAMEIENGDAPPQVQPQEREPPKCLVTKTDDGSLESHRYYLSRRTILEMLKDRGYSIPSPEIQLSLEEFRQAHGQCPDVDRLRFTASHGTDPSKRVLVIFSGPGVVKVNVIRNIAGQIVNRDTLTGLILIVQNQITSQALKAVNTLSFKVEIFQITDLLVNITKHVLKPKHQVLTDKQKKNLLKKYNIQEKQLPRMLQTDAIARYYGLQRGQVVKVTYTGEITQMHVTYRCVW >CAK8570003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20441431:20441874:1 gene:gene-LATHSAT_LOCUS22794 transcript:rna-LATHSAT_LOCUS22794 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVFGNWFTWFNSNGKSRSRLDRILVDDRVISLLSLKNQVVGDRDVSDHRPVWLKSNFVNWGPKPFRTFNCWFSHKEFVPFVMKSWNSYHFTRSSCNILTKKLQALKTDLKNWNYNVFGWLELKIEDNIEKFNKRELDSVVDSES >CAK8531756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147590368:147591330:1 gene:gene-LATHSAT_LOCUS1522 transcript:rna-LATHSAT_LOCUS1522 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKTKVLPKIKKVFEKNGTKKAAAAEASKSFDESKEGINTEFEEKKTELQTKVLAVYEASSTEIKGVIKERDEAGLKKNSTEVQKFIDELVKIDFPGSKAVSEASSKFGPTLVSGPIFFVFEKVSTFIVTEEKTEEVVKTEEETSGVKDREIVVEEGKKEETSESAAEKAEEKAKAANDSLAPPPPPAEKEEEKPVEPTPVDKVEPPKA >CAK8572546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545828491:545829529:-1 gene:gene-LATHSAT_LOCUS25099 transcript:rna-LATHSAT_LOCUS25099 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSAAITIFRPGTLVEISNDVEGYYGSWFTGKIVCCLHHHKFVVEYDKIMVDEEGTMGVQETVNLSQLRPIPPKEIIQDLQVGDEVDAYDRDGWWEGRISGNFENGMWAVYFKDWSEQLAYPEDELRRHHNWVNGSWIPPFPQQDDDSKIKETERVNAAETVTGDKDEFKFEPGTLVEVCSKEDGFQGAWFCATLIEPKAGLKFVVEYESFVDDDDNYKLLREEINMHQIRPRPPKTDDGYQFQFLDEVDAYYNDGWWVGVVSNVLGDSKYIVYFRNSNEKMEFQRSELRVHQEWEGTKWAMASKV >CAK8574315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674749300:674750804:-1 gene:gene-LATHSAT_LOCUS26670 transcript:rna-LATHSAT_LOCUS26670 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVQDLFTYLPDEILACIVSFLPNEYSLQTSLISTRWRNLWNQILVKHGTIEDITTAVAQFLTNFDEFDPLKQPRKLQFHFDDNNLLSAAIATNSKLLLDFSFLNKKLEREYGLEFRLNNLVPPSTFLVKSLTLKSISYLTSEVASSIVSNLVHLETLMITDCSGLKSLFIESETKLHKLTILDCLQLKSLHLRTSKLKSFRYRGPLPRICPESHFNLSHANLDFRQGLSCSDLKSQDFDETLLTIKNSEILTLCQWTFEELIWPSISPLSGSFIFYKLRELWWIESHENKNSINSLVSFLKLCPALEQLFVMIDPKSYSAPRSNSGLMQETKDIELKQLKLIKLMGFTNSMNEISVAKKLIHLVKGKPPKIETSCGSYLDGMSSICSDF >CAK8566680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448308942:448315942:1 gene:gene-LATHSAT_LOCUS19793 transcript:rna-LATHSAT_LOCUS19793-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSSATIQNSPSQPPTKSFLRDPFEPEINPPPRNYLEERVFKRRRIEEGQDKSLIHGLELQEHAAKLLVSNDLSPLQEAIEEYTETKKETLITIFKSFALHYPNAFSFKLAKILKLHPPLRTRIQTVALLLEVLPEGVSSSMHSSILIQLKNPLLHSLKVESEEIVFPILCEMVGIFADRLHQFSLGSWGELLQYACECISVDEESNNKKGLMLLTELSENVFLNREFWLNQGKFDLVFSNVLKLIYSMDWELKTLAYNASTSLMLLSKGLQRTDICDILVPVLLNIIDQHGEEVILENRLRRLGDLVKVDDDYIFNGKHGELFWCMIRVAEVENVSQEPVLVAVNVLKELDAKDGKVMVSVIRNLSHEEVRRVLVVAVNFLSCVDDDPLWYDVDNKDCDDAGMTDPFYHGVFLFDSLSLDGDEGVFVPTAIEMITMQYASHIDWRLRHAAMLAIGWIIERNMNGDMVQYFDLVVRLLLKSLDDPDPRVLWATMHAIKSLTEYDELLMNGQYHKKLSAKLVPFIRCYSCARVQRYAVIAIRSLVENCGLDEISHLGKPIVASLHAFLNHEDPKLQEEAIDTLRLFAVLTPITFRQNYYDTTVEALRVIVFNKYSLSRLLVFAKYLECMVYLVRKVGPDNFKEQEAIQVMDSIILLEGKLNNTEHMTKYMILKTLDQIFRCPRLSIDKFIDKIIPMLLGSAQRCLDLTVNEVKGDRDRRLVENTMVLVCNTLSYCAVRSYINFSPHISKVAILFVSLLDCYQFQVRKTSVLGFSNLLLSLKVGGTGSRTKSDLTFFIMRSLVEKLKKQTDRVFTTIILRSLPKCIQSSSSFFNDSLIKIIADEIKDAVRNVIKSGIMKEQGVGTLGGRCESLPTEDTLQGIVHLIATTVETFKDRFMLHVDDLMSNVVVLLGEDNPDRLIAFAISIFNVIFPLFPDKLPLYHDRYSSASSFALRENYPRSKLHAIPAIGICAMFGGDRFKAFAGDGIYNLYVEILESYKPNEDGDATMLCDTAVAALGKICEFHGDSIDPKVVPRWLSFLPLKHDSNEARYTHGLLSKLIQRSDKYLFGINNENLPKIISIVKEILSGPDRLGTEEAISLMIDFIDQHGGMEIEL >CAK8566681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448308942:448315942:1 gene:gene-LATHSAT_LOCUS19793 transcript:rna-LATHSAT_LOCUS19793 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSSATIQNSPSQPPTKSFLRDPFEPEINPPPRNYLEERVFKRRRIEEGQDKSLIHGLELQEHAAKLLVSNDLSPLQEAIEEYTETKKETLITIFKSFALHYPNAFSFKLAKILKLHPPLRTRIQTVALLLEVLPEGVSSSMHSSILIQLKNPLLHSLKVESEEIVFPILCEMVGIFADRLHQFSLGSWGELLQYACECISVDEESNNKKGLMLLTELSENVFLNREFWLNQGKFDLVFSNVLKLIYSMDWELKTLAYNASTSLMLLSKGLQRTDICDILVPVLLNIIDQHGEEVILENRLRRLGDLVKVDDDYIFNGKHGELFWCMIRVAEVENVSQEPVLVAVNVLKELDAKDGKVMVSVIRNLSHEEVRRVLVVAVNFLSCVDDDPLWYDVDNKDCDDAGMTDPFYHGVFLFDSLSLDGDEGVFVPTAIEMITMQYASHIDWRLRHAAMLAIGWIIERNMNGDMVQYFDLVVRLLLKSLDDPDPRVLWATMHAIKSLTEYDELLMNGQYHKKLSAKLVPFIRCYSCARVQRYAVIAIRSLVENCGLDEISHLGKPIVASLHAFLNHEDPKLQEEAIDTLRLFAVLTPITFRQNYYDTTVEALRVIVFNKYSLSRLLVFAKYLECMVYLVRKVGPDNFKEQEAIQVMDSIILLEGKLNNTEHMTKYMILKTLDQIFRCPRLSIDKFIDKIIPMLLGSAQRCLDLTVNEVKGDRDRRLVENTMVLVCNTLSYCAVRSYINFSPHISKVAILFVSLLDCYQFQVRKTSVLGFSNLLLSLKVGGTGSRTKSDLTFFIMRSLVEKLKKQTDRVFTTIILRSLPKCIQSSSSFFNDSLIKIIADEIKDAVRNVIKSGIMKEQGVGTLGGRCESLPTEDTLQNRNDNQLFCSSQGIVHLIATTVETFKDRFMLHVDDLMSNVVVLLGEDNPDRLIAFAISIFNVIFPLFPDKLPLYHDRYSSASSFALRENYPRSKLHAIPAIGICAMFGGDRFKAFAGDGIYNLYVEILESYKPNEDGDATMLCDTAVAALGKICEFHGDSIDPKVVPRWLSFLPLKHDSNEARYTHGLLSKLIQRSDKYLFGINNENLPKIISIVKEILSGPDRLGTEEAISLMIDFIDQHGGMEIEL >CAK8577960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599238411:599238701:1 gene:gene-LATHSAT_LOCUS30016 transcript:rna-LATHSAT_LOCUS30016 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSKWVKWIKNSVLSSYMSVIVNGSQTQDFKVMKVLRQGDPLSPFLITIVVEGLAILVRRAAEAGILRGFEVIEGVSYNLLQYTDDTMLICEVA >CAK8535921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891293091:891294656:1 gene:gene-LATHSAT_LOCUS5336 transcript:rna-LATHSAT_LOCUS5336-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIAERVQYPLDSSSYKIIDEIGAGNSAVVYKAICIPINSTPVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAGGSLQSIISHSFQNGLTEQSIAVILKDTLNALSYLHGQGHLHRDIKSGNILVDSNGLVKLADFGVSASIYESNNSVGVCASYSSSSSNSSSSLMFTDFAGTPYWMAPEVIHSHNGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLNITKRFRFSDFDKYIYKGHSGGSNKFSKAFKDMVASCLNQDPTKRPSAEKLLKHSFFKNCKGPEFLVKNVLNGLPSVEKRYKEIKVTMGADSKGSDDGDDEDSVVNVKQRRISGWNFNEDGLKLEPVFPKDQCREDHDVVKQVRFEEENAIQEEEDVASSGTVTETTNLNASDEADIDDVSGVVKNREATLATLSVLKGSLEQELGQVKFLMSLIGGNGEENHVADSEEKTVQEISKLRMELETERKKNLQLELQLENIKLHLISSTVNSPTS >CAK8535920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891293079:891294656:1 gene:gene-LATHSAT_LOCUS5336 transcript:rna-LATHSAT_LOCUS5336 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMASNIAERVQYPLDSSSYKIIDEIGAGNSAVVYKAICIPINSTPVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAGGSLQSIISHSFQNGLTEQSIAVILKDTLNALSYLHGQGHLHRDIKSGNILVDSNGLVKLADFGVSASIYESNNSVGVCASYSSSSSNSSSSLMFTDFAGTPYWMAPEVIHSHNGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLNITKRFRFSDFDKYIYKGHSGGSNKFSKAFKDMVASCLNQDPTKRPSAEKLLKHSFFKNCKGPEFLVKNVLNGLPSVEKRYKEIKVTMGADSKGSDDGDDEDSVVNVKQRRISGWNFNEDGLKLEPVFPKDQCREDHDVVKQVRFEEENAIQEEEDVASSGTVTETTNLNASDEADIDDVSGVVKNREATLATLSVLKGSLEQELGQVKFLMSLIGGNGEENHVADSEEKTVQEISKLRMELETERKKNLQLELQLENIKLHLISSTVNSPTS >CAK8539180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506181428:506184259:1 gene:gene-LATHSAT_LOCUS8300 transcript:rna-LATHSAT_LOCUS8300 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGTPKKEMEGEASVTSSKMKGAGNLSSKDMIFRADRIDLKNIDAQLEKHLSRVWSRNTNEPKRPKEEWEIELAKLNLRYVVAHGAYGTVYRGTYDTQDVAVKVLDWGDDGVATAAETAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPAKNSSTDNQETLPSRACCVIVEFLPGGTLKQYLIKNRRKKLAYKIVVQLALDLSRGLNYLHSKKIVHRDVKTENMLLDGNRNLKIADFGVARVEAMNPSDMTGETGTLGYMAPEVLDGKPYNRTCDVYSFGICLWEIYCCDMPYADLSFADVSSAVVRQNLRPDIPRCCPSALVNIMRKCWDGNPSRRPEMANVVRMLEALDTSKGGGMIPEDQRSGCFCFAPARGP >CAK8530628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36335270:36335570:1 gene:gene-LATHSAT_LOCUS479 transcript:rna-LATHSAT_LOCUS479 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKFEKFFEKIDLTMLNRLICLVLDHNITDYVTAKNNVFLSYGLVLDLLLLGLTRASEIAGPPQMPNEFITY >CAK8538031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459432283:459434251:-1 gene:gene-LATHSAT_LOCUS7272 transcript:rna-LATHSAT_LOCUS7272 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILALGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRAFGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGFYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPE >CAK8531849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:163962649:163964576:1 gene:gene-LATHSAT_LOCUS1613 transcript:rna-LATHSAT_LOCUS1613 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKHPIFKNTNLNPPKPSPLSLLLSLLKQCPSTKTLQQIHTQMIIHSIHKPNHLLSQSINLKDFNYSSLIFSHITPHPNDYAFNIMLRATTTTWNNYPLTLNLYHQMKNLNLTPNNFTFPFVFLACANLGAIREARSAHSLVIKLGLDTDLHTAHSLITVYFRCGEFGFARQVFDEISHKDLVSWNSLISGYSKMGRAREAVEVFGRLREESGFEPDEMSLVSVLGACGELGDLELGRWVEGFVVECGMKVNSYIGSALISMYAKCGDLVSARRIFDGMPIRDVITWNAVISGYAQNGMADEAISFFHCMKESGVNLNKVTLTAVLSACAGIGALDLGKHIDEYATQRGFQHDIFVATALVDMYAKCGSLESAQRVFNDMPRKNDASWNAMIAALASHGKAKEALSLFRRMSDEGDGARPNDITFVALLSACVHAGLVDEGHRLFDMMSTLFGLVPKVEHYSCMVDLLARAGHLYEAWDLIEKMPEKPDKITLGALHGACRRKKNVDIAEQVMHMLLELDPSNSGNYIISSKIYANSNMWDESARMRALMKEKGVTKTPGCSWIEIDKQLREFRAGDNLCLDSIDICNIIDLLYEELRKEGYVPNIVE >CAK8571272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:358998327:359004289:1 gene:gene-LATHSAT_LOCUS23950 transcript:rna-LATHSAT_LOCUS23950 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDNGSPKSSPLTPYEEALEALSSLITKRTRVGDVNMEERFSVLFQYLKMLELEEAISKLKIIHVAGTKGKGSTCTFTESILCNCGFRTGLFTSPHLIDIRERFRIDG >CAK8574267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672872184:672874346:1 gene:gene-LATHSAT_LOCUS26627 transcript:rna-LATHSAT_LOCUS26627 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNNNTMRNSSHWKSFYSFADRVRRFPGLMRRTIWKVGKDDPRRISHSLKVGLALSLVSLLYLMEPLFKGVGTNAIWAVMTVVVVMEFTVGGTLCKGLNRGLGTLLAGSLAFFIRYLADIPGQIFRAIFIGAAVFLLGAAATYVRFVPYVKKNYDYGVSIFLLTFNLIIVSSYRVDNVWTLGKDRITTITIGVGLCLVMSIFVFPNWSGEELHKSTISKLEGLANSIEVSVMEYFYDSEKQENEDDSCEDPIYKCYEAILDSKAKDETLAMQANWEPRYSRICHRIPWQQYTKVGASLRHFSYTVVALHGCLQSEIQTPRSIRDLYRDSCIKLAQEVSKVLRIMANSIRKKHQFSLQILSNNLNEALQDLDNALKSQPQLLLGSRNGGSRTLRSPKTPQTPRTPNSYKHEEETRTSLSRVKSDCCSPTGSKSKEHSREQTKEGQVPQKVLRPQLSKIIITSLKFSEALPFAAFTSLLVEMVAKLDHVMDKVEELGRMSHFTEFKDDDDDESIIVTCERPKMNIVDNELPSYGAE >CAK8566933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473796654:473798651:-1 gene:gene-LATHSAT_LOCUS20028 transcript:rna-LATHSAT_LOCUS20028 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSTSPLCSSSSSSLFSTPLKLSLKPSSLGTKNPLSLPMTHSRRFKITAMAPPKPAGGKEKKVVGVIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKPGYVIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKDPKIEKVGKVTIDQLRTIASEKLPDLNCSTIDSAMRIIAGTAANMGIDVDPPILEVKEKQLL >CAK8543118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579817851:579818597:-1 gene:gene-LATHSAT_LOCUS11900 transcript:rna-LATHSAT_LOCUS11900 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNSLDSFLDYSYTKGKPRGKEILYPCANYYNSNWFTRNEVRNHLIAFGFQKGYDVWVRHGKKKPKLGDLNDNHMNEEEDQIDDIDGLLHERFRDIVQEENDVNVSLNEDEKKFYNLVEEAKQDLYPSCKKFSKLSFTIRLYLLKCLYGWSNVSFDALLELLREVMPSLNIPDMFNKTKGMIRDLGLDYKKIDACPNDYMIYWKDHENDTSCHVCGAPRWNEDVKGNDHVKKKS >CAK8560321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14302361:14307217:-1 gene:gene-LATHSAT_LOCUS14005 transcript:rna-LATHSAT_LOCUS14005 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFASKLASSIASSTSKNKNLVYSGVLLRRNYVTKDINFGVGARAAILQGVSEVAEAVKVTMGPKGRNVIIEKSHRGPRVTKDGVTVAKSISFKDKAKNVGAELIKQVAKATNTAAGDGTTCATVLTQAILIEGCKSIAAGVNVMDLRNGINKAVDAVITDLKSRAVRISTPEEITQVGTISANGERDIGELIARAMEKVGKEGVITVADGNTLENELEVVEGMKLTRGYISPYFITDQKTQKCELENPFILIHDKKISDMNSLLKVLELSVTNKRPLLVVAEDVDSDALAMLILNKHQAGLKVCAIKAPGFGDNRKAALDDLAILTGGEVITEERGIALNKVRPEMLGTAKKITVTIDDTIVLHGGGDKKFIEDRCVQLREAMERSSATFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYASKVLENLETKNEDERRGVQIIQYALKAPTFTIAANAGFDGSLVYSKLLEQDNLNLGFDAAKGTYVDMVKAGIIDPVKVVRTALVDAASVSLLLTTTEASIVENVSDKNKPPQRVADMDDLDY >CAK8530522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:27494133:27496851:1 gene:gene-LATHSAT_LOCUS380 transcript:rna-LATHSAT_LOCUS380 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSCFRTLSIKKEKEHPSNYISSEIEGYSLDNLKNFSDKELRLATDNYHLSNKVGRGGFGTVYKGTLKNGRKIAVKPLSVGSKQGVREFLTEITTLSNVKHSNLVELVGFCIQGPNRTVVYEYVENGNLHTTLLSKKSSSIKLEWRKRSAICIGTAKGLAYLHEELAQHIVHRDIKASNVLLDKDFNPKIGDFGMAKLFPDDITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLILEIISGKSSSRTNWEGSHKFLLEWAWQLHEDEKWLELVDQEMEEYPEKEVIKYIKVALFCTQAAAKRRPLMTQVVDMLSKEIQLNEKQLTAPGLFNYDVGESSQQRSNPESLVYHTSSTQASITQVTPR >CAK8571347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:386045008:386045667:-1 gene:gene-LATHSAT_LOCUS24015 transcript:rna-LATHSAT_LOCUS24015 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKMKLLSFFKNNDKKSSSPRACLQCSKKPKTLSFRANQNDAISDIPETSEFITPEFSSENSSGGSVETVNIECLRSGRFFFEPDETRSIFKDKENINSFDELITRSSGSNNNDSNNESSLLPFENTVEIAIDSRNPIEDFKKSIVEMVEAHGVNNWEALEKLLDWYLEVNEERNHEFVIDAFFDLFVNNHDSPHSSPSSSLDSSWSTARVSSYSSPL >CAK8575148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:39848682:39849761:-1 gene:gene-LATHSAT_LOCUS27434 transcript:rna-LATHSAT_LOCUS27434 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKCKYCYRSFSNGRALGGHMRSHMMNLPIPPKPNGSPPSPPPPPPPTIQLSFEAESDSSPSSSSLYSLRENPKRSFIFTDPNQFIDAGSIILQENRESETETESSKNPTRPRSKRVKKLDMAKPNESVLSSSSDITTEEDIAFCLMLLSRDNNNNWRTRTRTRTQTQTRHHNYDDQEQQHRYYVDEDEDEDEEEVEEMEEEESEAESEELKPLKKVRGRYKCDTCNKVFRSYQALGGHRASHKKTKLLAASGAYSTSQHDEKINIENINVVEKKIHECPVCFREFSSGQALGGHKRTHGIGTSSASTTTIAAVTTPTTRNAEVVIRSSAKFGGSLLDLNLPAPMDDADGGSAVSDA >CAK8534529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739209211:739215950:1 gene:gene-LATHSAT_LOCUS4071 transcript:rna-LATHSAT_LOCUS4071 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSSHGGGASSGGGGRPRAGRYELGRTLGEGNFAKVKFARHVETGEHVAIKILDKEKILKHKMIRQIKQEISTMKLIRHPNVIRMHEVIANKSKIFIVMELVTGGELFDKIARSGRLKEDEARKYFQQLICAVDYCHSRGVCHRDLKPENLLLDAHGTLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIDNKGYDGAKADLWSCGVILFVLMAGYLPFEEDNLMALYKKIVKAEFACPPWFSSSAKRLIKRILDPTPRTRITIAEVIENEWFKKGYTPPVFEQANVSLDDVNFIFNGSLDSDNSVVERHEVGPVAPVTMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKCSANEIISKIEAAAGPLGFDVKKNNCKLRIHGEKTGRKGHLSVATEILEVAPSLYMVEMRKFEGDTLEFHKFYKSISTGLKDIVWKADPIAEEIGGSSKSK >CAK8541624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:308255968:308256711:-1 gene:gene-LATHSAT_LOCUS10529 transcript:rna-LATHSAT_LOCUS10529 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWASAFDEGKHWGHMTSNLVEDINSLLKATRNLPITALVQSTYYRMDSLFGKRGYKWTKMLATGKVFTDGCNKGMADEVAKANTLNVMQFDCERFCFMVQEKINQNDGCPTGTFSVDLRNHLCECGKFQAFHLPCSHMIAACSSIQQDYTIHIPEVYTVLNIFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSIRIRTKMDDTKKEKRRCGSCREIGHMRRKCPNVVGPSNRPSR >CAK8562897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554267047:554270232:-1 gene:gene-LATHSAT_LOCUS16359 transcript:rna-LATHSAT_LOCUS16359 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAFPSHPCATATPSLHATEHILFNRFWKANPCSYKQLKPKANVGAGRLQVVKAVSSNDSAVEVSQAKKGSGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGIKELDGLEAKAKASGASQLVVKDLQEEFVKDYVFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPKLNIVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDTANEPQKDMYMISVDPEDAPDQPEYLEIGIESGLPVSLNGKTLSPATLLTELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAARELEFLTLDRETIQLKDTLALKYAELVYAGRWFDPLRESMDAFMQKITETTTGSVTLKLYKGSVTVTGRKSPFSLYRQDISSFEGSDIYDQADAAGFIRLYGLPMRVRAMLEQGI >CAK8567641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533133993:533135891:1 gene:gene-LATHSAT_LOCUS20677 transcript:rna-LATHSAT_LOCUS20677 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFTPTALQYSSTSYLQSRVPSLEGTRDHNSWCARLRSYKPTYQRFHQQKFARGLTIQNAATKPAKSPAEEEWKVKRELLLQKRVKSVEPKEALRLQKENNFVILDVRPEAEFKEAHPADAVNVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIKSVEEKLDKNAKIIVACSAGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYANVFHLEGGLYRWFKEDLPTVSEE >CAK8535270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:832277268:832279079:-1 gene:gene-LATHSAT_LOCUS4743 transcript:rna-LATHSAT_LOCUS4743 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIKLFVTLSIISLLACSTNAQLINNFYGRTCPRLQTIVRNTMISAIKTEARIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAGPNINSARGFEVIDTIKTNVEASCNATVSCADILALAARDGIFLLGGPTWIVPLGRRDARTASQSAANSQIPGPSSDLATLTTMFKNKGLTLNDLTVLSGAHTIGQTECQFFRNRIYNESNIDTNFATLRKANCPSSGGDTNLAPLDSLTPTTFDNNYYNDLIANKGLLHSDQALFNGVGSQVSLVRTYSRNTIAFKRDFAAAMIKLSRISPLTGTNGEIRKNCRLVN >CAK8575428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117453538:117454107:-1 gene:gene-LATHSAT_LOCUS27697 transcript:rna-LATHSAT_LOCUS27697 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRGRGRSKTTVPSSPVNHPSPSMQLDKESVNQFTVEGDSSNSNEKSIDEEVVEVETLEYEIEDPKARKPWDDILSENRNTAMRSTIEYVAPTLVNEEVEVEIEEKDIGTEIKFWETALIMYVLGEDLSMITMKNYMTKAWNFIKLPDMYYHDEGYFLLIFKSHSVMDVVMMKGSYTIRNMLMLLTE >CAK8543648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628657048:628657368:-1 gene:gene-LATHSAT_LOCUS12394 transcript:rna-LATHSAT_LOCUS12394 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYTTLWNGDSWATRGEQVKIDWSKAPFTAGFRNFNANACIPIPSNNFLGFNGGENKGLSDETRKKLKEIYSKLIVCDYCRDFIRFSRGLPYECHNRLTDRQDEY >CAK8575328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:80660507:80663288:-1 gene:gene-LATHSAT_LOCUS27600 transcript:rna-LATHSAT_LOCUS27600 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNERPTKRMKTRVTADLYDFFSFPSAGDFSDAVPFRYRVHRFLSDHARVAFPPSLFPFLMTWQILFRVGEVVDGSDLSSDIVTLDIVEEDVTCSRSTVYCDQCRVVGWSGHPVCRKRFHFIIRSGSDAVEAYQRPCSKCGDLLQLSEARCKTCNLDICVDDVEDWVYLQIEDNTHLLHGVVHSNGYGHLLTLNGREGGSMLLSGSNLIGFWDRLCAAISVRKVSVMDLSKKFGLDYRLLHAITNGHSWYGNWGYEFGTGCYGLTKEAYKKAVDTLSNIPLSSFSFQGRGPRNPVQTVISLYQSLAETELLTIKDLFSFLLKLVHNFRNPRSAKTSQHESTGPSNILVAWTRNEVEDVQQALIKVLLASSACNKAKWATRRTLKGAINMRVRSPELVDYSLKHLPGKLAANGMVVCSRCNPTSSAVEFRLGPFTNGFTSNSSYPSEEQVISDLTFLFNIIIQPEKKFRYRPKIMKKTIADSARTLLDCKQFMKNYENDHVITESPTDIKLWCHVELSDQPKEDQPTPPPELIVLPIEATVDDLKNEVTSAFQEVYAMYKRFQAEELLGYGKISNLYTLKFLFGANSSVRILGKCPTKHGLSRFRKERGTEEWKVDCTCGAKDDDGEKMLACDTCGVWQHTRCAGIGSPAPSKFECRRCVSSYSVKTKKYPVSSSSETCKLKTSCRDEAAAVTCNINVNFGVR >CAK8567232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496570594:496576552:1 gene:gene-LATHSAT_LOCUS20302 transcript:rna-LATHSAT_LOCUS20302 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSDNGSVVFIDTNLDTHLALTVSDQDTVSDFKKRIVLEHPLCFPKIGQIQINGIKVKRNGHFYHLSDSMIVKSAFSGFKKNWFLSVDVSALEDCRQNEQLFSHAFLHRVDSIGVANNALVRTSNDNAVILPCNYQLQTLENKRNESEGVPVVSPCVSEHSAKKGDTNLKADANSSGHNDMEVPLPSSILKTDDCSPLNKEVQSLHMDCEVDGIDDGNKGDCNVYEDEPSVSVQSETRKRKKKRKREDTVVGDNSKEEIASAGGDNSKEEIASDRPLVSEHTGKKAVKNLEMVPSLNIECEVGGSDEGNKDDCNKGEDVPSITAPSAKRRKRSKKKKEDTAGDDNSKEEIPSDRPHVSEHTEKEAVKNLEVVPSFHIECEVDGLGEENKGVVASTSVPSAKKKRKSKRKNQDTGGGSNSEINIEAVNNPVGCPSERASGFNIFQVPQSENKQDEKEEIPIDRPCISLLTEKKAVKNLEMVPNSHIECEIDGSYKGSKDESIVVCEEETSKPSPSAKKKKKSKSKRQKEDAVGGDISNDNIASVDNPPGCPSERASNFNSFQMPQSKNKLDEKEEIPFDRPCVTQPTEKEPEKNLEMVSNSHIESEVDGSNKGSKDDSIVCGEGTTKPALSAKKKKKEKSKSKRQKEDTAGGDISKDNIASVDNPPGCPSERPSNFNSFQVPQSEDKQDEKEEIPCDRPCVSQLTEKEAVQNSKIAPNSHIECEVDGSNKGSKDDSIVCEDGTSKSAPSAKKKQKNRRKKEETVRDDTLKVSDASVVVPAQQDIVVLANSSENANKEVIKETDALKESTACNDNNTKNDVNVLSMNEASELMSPAKKQRKRKKPLAHESKDIIMSKTNCDQMEIGTEARKESMQSTTKDAGNYKNQCNVELEVQLSDANEPKGLMEDNENVVVGHCHESEIGPIDGAAAGEVSPQNVDMSGVKEPVKPVNEKKGIKKASNKGGGKTLRKDSDHVDASESETVVTKSLKATIYDPMAGDTETKENPLNQTEGKVLQQEKLQGTVTSMTNKGDDFSTNDIDSPEQTKRKLNAQNVDEHVSKRLKNISSNKQTSTPKGTSDMLANGHVFGSKKERVVNSIDKAPNAHKSGQVAKLSSQSDSGMSSIGEYRKPPNSASGKSMDLEKQRKHIPTSNANLEEGFNKTVQNKARKASGNDAMRVVSNSQQKKSLLEGAIFKDDSDSVSEDEDKAYNSDASTRTPSDNSIPSDLDGYDSPGLDSQQNGSYDGKGLENDEKSSLKSSLSGTTKMPIEHILRSSARYKKARITASQLDESESLPEVVPDSLP >CAK8567233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496570594:496576458:1 gene:gene-LATHSAT_LOCUS20302 transcript:rna-LATHSAT_LOCUS20302-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSDNGSVVFIDTNLDTHLALTVSDQDTVSDFKKRIVLEHPLCFPKIGQIQINGIKVKRNGHFYHLSDSMIVKSAFSGFKKNWFLSVDVSALEDCRQNEQLFSHAFLHRVDSIGVANNALVRTSNDNAVILPCNYQLQTLENKRNESEGVPVVSPCVSEHSAKKGDTNLKADANSSGHNDMEVPLPSSILKTDDCSPLNKEVQSLHMDCEVDGIDDGNKGDCNVYEDEPSVSVQSETRKRKKKRKREDTVVGDNSKEEIASAGGDNSKEEIASDRPLVSEHTGKKAVKNLEMVPSLNIECEVGGSDEGNKDDCNKGEDVPSITAPSAKRRKRSKKKKEDTAGDDNSKEEIPSDRPHVSEHTEKEAVKNLEVVPSFHIECEVDGLGEENKGVVASTSVPSAKKKRKSKRKNQDTGGGSNSEINIEAVNNPVGCPSERASGFNIFQVPQSENKQDEKEEIPIDRPCISLLTEKKAVKNLEMVPNSHIECEIDGSYKGSKDESIVVCEEETSKPSPSAKKKKKSKSKRQKEDAVGGDISNDNIASVDNPPGCPSERASNFNSFQMPQSKNKLDEKEEIPFDRPCVTQPTEKEPEKNLEMVSNSHIESEVDGSNKGSKDDSIVCGEGTTKPALSAKKKKKEKSKSKRQKEDTAGGDISKDNIASVDNPPGCPSERPSNFNSFQVPQSEDKQDEKEEIPCDRPCVSQLTEKEAVQNSKIAPNSHIECEVDGSNKGSKDDSIVCEDGTSKSAPSAKKKQKNRRKKEETVRDDTLKVSDASVVVPAQQDIVVLANSSENANKEVIKETDALKESTACNDNNTKNDVNVLSMNEASELMSPAKKQRKRKKPLAHESKDIIMSKTNCDQMEIGTEARKESMQSTTKDAGNYKNQCNVELEVQLSDANEPKGLMEDNENVVVGHCHESEIGPIDGAAAGEVSPQNVDMSGVKEPVKPVNEKKGIKKASNKGGGKTLRKDSDHVDASESETVVTKSLKATIYDPMAGDTETKENPLNQTEGKVLQQEKLQGTVTSMTNKGDDFSTNDIDSPEQTKRKLNAQNVDEHVSKRLKNISSNKQTSTPKGTSDMLANGHVFGSKKERVVNSIDKAPNAHKSGQVAKLSSQSDSGMSSIGEYRKPPNSASGKSMDLEKQRKHIPTSNANLEEGFNKTVQNKARKASGNDAMRVVSNSQQKKSLLEGAIFKDDSDSVSEDEDKAYNSDASTRTPSDNSIPSDLDGYDSPGLDSQQNGSYDGKGLENDEKSSLKSSLSGTTKMPIEHILRSSARYKKARITASQLDESESLPEVVPDSLP >CAK8536202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916694931:916695245:-1 gene:gene-LATHSAT_LOCUS5593 transcript:rna-LATHSAT_LOCUS5593 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKCFSFLTIYLLLSLNSLETVIGGRIIPPSAPSTVTRPLVSSEVENFVMPQQERKQKAFQIGREVKGCLPKGSRHNSAPSRFVNFKPEGSGGCSKIHSGKP >CAK8561734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:369413367:369420663:1 gene:gene-LATHSAT_LOCUS15295 transcript:rna-LATHSAT_LOCUS15295 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLSSKQLKEQFVSNLTGSSLIEIAALTVTLPILVLIRHSFNSIPITGNSLKKKKDDAPSEHRSFKAYLSTLTLDFLVIVVPMLLFFTVLADWSYIIASLFTILTLLYIAVKRPGGSSPVLEEPNSFRAYITSYRVIVMIITILCILAVDFRIFPRRYAKTETFGASLMDLGVGAFVLANSFVSRQARNIASVNWKTAIVSSSPLIFLGFFRLVTTTGVDYQVHTSEYGVHWNFFFTLAAISILTSFINIPPQYSGVFGSLVLVGYQFSLMHGLNHYLLSNERGMDIVSQNKEGIFSIFGYWGMYLIGVHLGNYLIFGTHSSAIKSSRWVRMRVWALAILFWLLTVLLDRHVERISRRTCNLPYVTLVVADNLQLLSILTLADLIPGIKTSVLEEAFNRNLLATFLLANLLTGLVNLSVDTLSASSATAFLILLFYAYIISIVIGIADYFDMKLKFW >CAK8533369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610164209:610170511:1 gene:gene-LATHSAT_LOCUS3002 transcript:rna-LATHSAT_LOCUS3002 gene_biotype:protein_coding transcript_biotype:protein_coding MSALDYYLSPSTIYLHSHTIKSNFHHWRNARSFVPNSSPVRVLKDVNFLKDFGRFHLWRGLKLNNIDGFRTAATGGSETDSGENSGESTEVEAEPGSGVSWEWLGSSKPGNWKWELWLKAQLVGVLLLQLGIVVFFMRFFRLEIPLPGSEPSSSEVILSVPYNEFLSKINSDQVLKVQVDGVRIAFMLKSDLEGGEVPSSNSSSGLNLESESLVKSVAPTKRVIYTTTRPSDIRTPYEKMLENKVEFGSPDRRLSGFFNPGLIVMVYVAVLAGLLHDFPASFSRIRSDILGTSTGTKSSEKGETITFADVAGIDEAKEELEEIVEFLRDPDKYVRLGARPPRGVLLVGPPGTGKTLLAKAIAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAQCIIFIDEIDAVAKSRDGKFRIGSDDEREQTLNQLLTEMDGFDSNPGVIVIAATNRADVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSMKELPLAKDVYIGDIASMTTGFTGADLANLVNEAALLAGRKNKVVVEKIDFIEAVERSIAGIEKKTAKLQGCEKGVVARHEAGHAVVGTAVANLIPGQPHVQKVSILPRSGGALGFTYIPPTNEDRYLLLIDDLRGRLVTLLGGRAAEEIVYSGRVSTGALDDIRRGTDMAYKAIAEYGFSQTIGPVSISTLSNGGMDESVGSVPWGRDQGQLVDLVQKEVKSLLQSALEVALSIVRANRTVVESLGAQLEEKEKVEGEELQKWLRLVVAPTELAIFMEGKQQTLLPLQSGS >CAK8533368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610164209:610170511:1 gene:gene-LATHSAT_LOCUS3002 transcript:rna-LATHSAT_LOCUS3002-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALDYYLSPSTIYLHSHTIKSNFHHWRNARSFVPNSSPVRVLKDVNFLKDFGRFHLWRGLKLNNIDGFRTAATGGSETDSGENSGESTEVEAEPGSGVSWEWLGSSKPGNWKWELWLKAQLVGVLLLQLGIVVFFMRFFRLEIPLPGSEPSSSEVDGVRIAFMLKSDLEGGEVPSSNSSSGLNLESESLVKSVAPTKRVIYTTTRPSDIRTPYEKMLENKVEFGSPDRRLSGFFNPGLIVMVYVAVLAGLLHDFPASFSRIRSDILGTSTGTKSSEKGETITFADVAGIDEAKEELEEIVEFLRDPDKYVRLGARPPRGVLLVGPPGTGKTLLAKAIAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAQCIIFIDEIDAVAKSRDGKFRIGSDDEREQTLNQLLTEMDGFDSNPGVIVIAATNRADVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSMKELPLAKDVYIGDIASMTTGFTGADLANLVNEAALLAGRKNKVVVEKIDFIEAVERSIAGIEKKTAKLQGCEKGVVARHEAGHAVVGTAVANLIPGQPHVQKVSILPRSGGALGFTYIPPTNEDRYLLLIDDLRGRLVTLLGGRAAEEIVYSGRVSTGALDDIRRGTDMAYKAIAEYGFSQTIGPVSISTLSNGGMDESVGSVPWGRDQGQLVDLVQKEVKSLLQSALEVALSIVRANRTVVESLGAQLEEKEKVEGEELQKWLRLVVAPTELAIFMEGKQQTLLPLQSGS >CAK8578347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622633182:622636811:-1 gene:gene-LATHSAT_LOCUS30364 transcript:rna-LATHSAT_LOCUS30364 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEADISAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKDVDRKTWLQEAIVSTALAGAIIGAAVGGWINDRFGRKRAILIADFLFFIGSAIMASAMNSSLLIVGRVFVGLGVGMASMASPLYISEASPTRVRGALVSLNGFLITGGQFLSYLINLAFTNAPGTWRWMLGVAAVPALLQFALMIMLPESPRWLFRKGREEEAKAILKRIYPPQEAEDEINTLKESVEFEIKEAESSDKLSIIKMLKTKTVRRGLYAGMGLQIFQQFVGINTVMYYSPAIIQLAGFASNRTALLLSLVTSGLNAFGSILSIYFIDKTGRKKLLLFSLSGVVLSLVVLTVVFHQSSTHSPMVSAVETLHFNNTCPDYSAAVNPGGWDCMKCLKASPDCGFCAYGDNKLLPGACLISNDTTKDECHKEHRLWYTRGCPSKFGWLAIIGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGMASTSNWVSNLIVAQSFLSLTQAIGVSWTFMIFIFITVAAIVFVIVFVPETKGLPMEEVENMLERRSLHFKFWQRSSDSG >CAK8560183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9778248:9779310:-1 gene:gene-LATHSAT_LOCUS13880 transcript:rna-LATHSAT_LOCUS13880 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGRFDDSFSFGSIKAYIAEFISTLLFVFAGVGSAIAYGKLTSDAALDPAGLLAVAVCHGFALFVAVSVGANISGGHVNPAVTFGLAVGGQITIITGVFYWIAQLLGSIVACFLLKFVTGGLETPVHSVAAEVGVIGGVVTEIIITFGLVYTVYATAADPKKGAIGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFHDNWIYWAGPLIGGGLAGLVYGNVFMRSSEHAPLTNDF >CAK8563124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575233307:575233648:1 gene:gene-LATHSAT_LOCUS16565 transcript:rna-LATHSAT_LOCUS16565 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKLQYHLNILNDIVYELKIIIVEIDEEDKALRLIWHFPYLYEHIKPALIYGKEILNFEEVASKIIFEERRLKGKDNTSSNSVLVARGRPYVKKNNEPGVGYWKCGKLDI >CAK8577545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572756785:572757408:1 gene:gene-LATHSAT_LOCUS29643 transcript:rna-LATHSAT_LOCUS29643 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTNCVHLLTLFLILTISMSTVTAANKDWSSFGNFNYTDWWSRFGNHNHQINKTQQESKNIIVGGSQNWHFGYNYSDWAIKNGPFYLNDTLVFKYDAPNATSFPHSVYMYSSWRSFMKCDVKKAKMLANHTQGVGQGFKFVLNKWKPYYFSCGEKNGLHCNIGQMKFSIMPMFRPFWPSRP >CAK8541066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:55818971:55819180:1 gene:gene-LATHSAT_LOCUS10017 transcript:rna-LATHSAT_LOCUS10017 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWAPPLIAAALFWLLSPGMIFQLPGKNAPFEFLNMKTTIASIFVHAVIYGLLLMLFFVVLNVHLYIT >CAK8563879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636762417:636762662:1 gene:gene-LATHSAT_LOCUS17242 transcript:rna-LATHSAT_LOCUS17242 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKDQDSGNGQRYPMNWDPPKEGWLKCNVDAAFNNHRGTTNKGWCVRDNLGSFIIEGVAWDPRILTVIKSEALPLKEANQ >CAK8576570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493621734:493622636:-1 gene:gene-LATHSAT_LOCUS28746 transcript:rna-LATHSAT_LOCUS28746 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSLNTKTHNHARSNSLPSKPHPIILQCNEHLSKLGGANSNYDAISSLTLTHKLNILQDLHICIEKLVQLPLTQEALVKQSQEKWVDEFLEGSLRLLDTCTATKDALLHTKECARELQSIIRRKRGGEIEVTIEVKKFLTSRKVVRKAIFKALENLKGNTHKCILSINNSKDYQTLATISLLKEVEMVTFGIFESLLNFMCGTQTKRSSWSLVSKVMNSKRVSSSSPQSEDENEFAKVDNALELFAFNIGSKLSDVENLQNKLVNLGSCIQDFEEGLESLFRRLIKIRVALLNILNH >CAK8535065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:810096059:810097866:1 gene:gene-LATHSAT_LOCUS4548 transcript:rna-LATHSAT_LOCUS4548 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFYQQMNNMNNNSDAHLPPGFRFHPTDEELITFYLLKKVLDNTFTARAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTFSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSRNSKDEWVISRVFQKSNTGSGNTTVTATTSGSKKTKLVSTASNNNSTSLCPEPSSPSSVYLPPLLDSSPYSNNAAASTTGFNGGQMCSYNNRSSNTDLKEHVSCFSTSSSTSNAVSGHNRFNNNNGSFDLLSPSMNATMDPFARFQRNVGVSAFPSLRSLQDNLQLPFFFSSAAAQPFHGGDVLGGWGMPQEEQRAVVDGGHNMGGLGSSELDCMWNY >CAK8565198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67129519:67131051:1 gene:gene-LATHSAT_LOCUS18431 transcript:rna-LATHSAT_LOCUS18431 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSRKLHQLLGPKPHETSFHYTDLLHHCKTTDCIKKTHAQIVIGGHEQDPFIAAKLIDKYSQLGGSNVEQARKVFDNLSQRDVFCWDVVIKGYANMGPFVEALNVYDDMLLSGATPNRYTYPFVLKACSAERAYIKGRIVHGHAVKCGLDFDLFVGNALIAFYAKSQEIEVARKVFDEMPIRDVVSWNSIMSGYITNGYVDDAVILFYDMLRDDDIGFPDNATLVTVLPAFAEKADIHAGYWVHCYIVKTGMKLDPAVGCGLITLYSNCGYITIARAVFDQIPDRNVIVWNAIIRCYGMHGFAQEALSMFQQLVDSGLHPDDIVFLCLLSACSHAGMHEQGWHLFQTMETYGVVKREAHYACIVDLLGRAGDLEKAVEFIQSMPIKPGKNVYGALLGACRIHKNLELAELAAEKLFVLDPNNAGRYVILAQMYEDEGRWQDAARLRKVIREKEIKKPIGYSSVELESGHNKFGVNDEAHPLTTQIFEILPLDEAQKGLNQMSLHFPVS >CAK8576304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:451734234:451735162:1 gene:gene-LATHSAT_LOCUS28502 transcript:rna-LATHSAT_LOCUS28502-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIISIILIHTLFYVGFSDDLPLITTLLRLSTSFLPSFDIYHQISNPYSSYEPLEISKKKSPPPPPSPPPPPPPPFLFDQFFLAQTWPPTYCKIHNDDCVSPKPIKFTIHGLWPSKKDTEIRNCDKAKNVDVTVFNPILDKLVKDWPALFKKKYQTDANYALWIDQWNAHGTCSTQLFDFIPYFEETLKVYNRNSIQDILEKSGIKSGGKYPKQNILKAIQTHITFKPQIRCERFNNLDYLYEIRLCLTANAKLEYKNCDTPFSGCQDISNDLYF >CAK8576303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:451734219:451735162:1 gene:gene-LATHSAT_LOCUS28502 transcript:rna-LATHSAT_LOCUS28502 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTMTSIISIILIHTLFYVGFSDDLPLITTLLRLSTSFLPSFDIYHQISNPYSSYEPLEISKKKSPPPPPSPPPPPPPPFLFDQFFLAQTWPPTYCKIHNDDCVSPKPIKFTIHGLWPSKKDTEIRNCDKAKNVDVTVFNPILDKLVKDWPALFKKKYQTDANYALWIDQWNAHGTCSTQLFDFIPYFEETLKVYNRNSIQDILEKSGIKSGGKYPKQNILKAIQTHITFKPQIRCERFNNLDYLYEIRLCLTANAKLEYKNCDTPFSGCQDISNDLYF >CAK8563606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619960018:619960437:-1 gene:gene-LATHSAT_LOCUS17000 transcript:rna-LATHSAT_LOCUS17000 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVLHPQEYFFIPTPASFSHRSNHYGYNNRAVTSRSYRKPVTRPYLKKRDTALVSKRSSADDSVTGKSREKVTILRRGKSLDSSLEAVKSDKYAGSAFSVSPSPSALPLPSFLMKKQLSATVDDSATRDLRRLLRLD >CAK8531160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85841392:85842171:1 gene:gene-LATHSAT_LOCUS969 transcript:rna-LATHSAT_LOCUS969-2 gene_biotype:protein_coding transcript_biotype:protein_coding METVLKILPPNISDHALLYLDTKEQRKTAKHFKFNNYLTELPGYDALIKRNWDVYMRGSPMYVLWQKFKRLQHELKTFSKPFSDVKNKLTAARDNLKNLQKQLIRDKMNTTVIGNAINLTEEVVALNKIEWKILQQREKIDWIRKGDGNNHYLYVAVKTKHHSNCLTNLRKSDDRKLSDQNDIEEEVMEFYKNLMGKEDNNINHIDIEAMRMEKQLNMEQREYLTRNIIEDDIIKALRGIGDLKAPGLDGYGAKFFKAS >CAK8531159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85840762:85842171:1 gene:gene-LATHSAT_LOCUS969 transcript:rna-LATHSAT_LOCUS969 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRGLNKVGKMREIKSSLQELKPAIIILIETRVKEPKAKAIREKLLLYDNHMDNYKDHTNGRIWIHWDRNRVDMRFLQSTSQFIHCGVYDNSGTLKHWLTAVYAHNQLHRRRILWREIEHMSSHIQGPWCIIGDYNNVIKAQDRIGGNLVTEKEYEDLKRMMENTGLSEMDSIRDHFTWSNKQAVAPIYSRIDRILGNTEWFLTNMETVLKILPPNISDHALLYLDTKEQRKTAKHFKFNNYLTELPGYDALIKRNWDVYMRGSPMYVLWQKFKRLQHELKTFSKPFSDVKNKLTAARDNLKNLQKQLIRDKMNTTVIGNAINLTEEVVALNKIEWKILQQREKIDWIRKGDGNNHYLYVAVKTKHHSNCLTNLRKSDDRKLSDQNDIEEEVMEFYKNLMGKEDNNINHIDIEAMRMEKQLNMEQREYLTRNIIEDDIIKALRGIGDLKAPGLDGYGAKFFKAS >CAK8563187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581392892:581394536:1 gene:gene-LATHSAT_LOCUS16621 transcript:rna-LATHSAT_LOCUS16621 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYAIRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLRDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPAEVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8571055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274527089:274527997:1 gene:gene-LATHSAT_LOCUS23751 transcript:rna-LATHSAT_LOCUS23751 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRNKTKADLLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKYLPLDLRPKKTRAIRRRLTKHQVSLKTEREKKKEIYFPVRKYAIKA >CAK8571448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:420620671:420622230:1 gene:gene-LATHSAT_LOCUS24113 transcript:rna-LATHSAT_LOCUS24113-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNIVKKFISKFLILVTILLVSTKWTIAEEVDDQREFDYTKEDEHEFDYTKGSKKSPPYWGELKKEWAACKNGKMQSPIDMTNDNLKIVPNLGRIEKNYKPKNAILKNRGHDIQVKWLGDAGSIKINGTKFFLHQAHWHSPSEHTIDGSRYEVELHMVHESPIVNGKSETAVLGVLYKFGPSDPILTKLSKHIKAMVNNISETNIGDINPSEFVCEGDEYYQYVGSLTVPPCTEGVIWTINKKVGTVSEDQVSLLRKAVQDHATKNARPLQPRNGRDILYYDPKEK >CAK8571447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:420620656:420622230:1 gene:gene-LATHSAT_LOCUS24113 transcript:rna-LATHSAT_LOCUS24113 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKMKHNNIVKKFISKFLILVTILLVSTKWTIAEEVDDQREFDYTKEDEHEFDYTKGSKKSPPYWGELKKEWAACKNGKMQSPIDMTNDNLKIVPNLGRIEKNYKPKNAILKNRGHDIQVKWLGDAGSIKINGTKFFLHQAHWHSPSEHTIDGSRYEVELHMVHESPIVNGKSETAVLGVLYKFGPSDPILTKLSKHIKAMVNNISETNIGDINPSEFVCEGDEYYQYVGSLTVPPCTEGVIWTINKKVGTVSEDQVSLLRKAVQDHATKNARPLQPRNGRDILYYDPKEK >CAK8561755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:374822097:374823068:1 gene:gene-LATHSAT_LOCUS15316 transcript:rna-LATHSAT_LOCUS15316 gene_biotype:protein_coding transcript_biotype:protein_coding MENSINTQNENLGVNKIGKTIKKNSSQQTIDFGSGRHHYPQPKIHHIHRDDFKSFVMKTTGRESNRPTRTQSEFTRLQQNRPPPLANVQPLVRFPMQPQPPRVPYINGLAVPPLQQISGPPVFNNSWSNFVESPISAFMRKFQESENYCGGGGDASRGNQFQPYPPPPPQQQMINNVNVNDQFQQQYFSIQTQMVNNVEHYNLSSASNQTFPNPNPSISLNVASNQTFPMNNGNQFLNGFPQSQTNCSMSPTSEYLLASTTQKLNVQSPQRPLLSPSIFSSPSSPDYPFKPYLHNEILSPEPPSPLSAGIFPLTSPQRPDNDE >CAK8574621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4199380:4200363:-1 gene:gene-LATHSAT_LOCUS26949 transcript:rna-LATHSAT_LOCUS26949 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLSFLFHQGVLDEQFLQLQQLQDETSPNFVSEVVNIYFHQSEKLLRNLRTLLMEREFMDYKKMGIDLNQFMGSSSSIGAKKVTNVCVAFRVATEQNNRAGCFRALEMLEHEYCYLKNKLHELFQIEQQRALAAGVRYPVQNE >CAK8576941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526631395:526634683:-1 gene:gene-LATHSAT_LOCUS29095 transcript:rna-LATHSAT_LOCUS29095 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVPILHNLLNQNSNLPSLSHSQPLFSRSTNHKSKFLILSRKPNNSPILLLKCSPSSSSSMLSKLEEDEEDREEDNVGDEELSPPPPPRLLPSPPPPPPPPQLSEVWEEIQGKNNWEGLLDPMDPILRKEIIRYGEFAQACYDSFDFDPHSKYCGTCKYHPSHFFDKLDMADSSGYTITRYLYATSNINLPNFFQKSKISSVWSTHANWMGYIAVATDEEEIKRLGRRDIVIAWRGTVTYIEWIYDLKDILHEANFRNDPTIKVELGFYDLYTKKEDSCSYCTFSAREQVLSEVKRLLQYYEEEELSITITGHSLGAALATLSAYDIAELKLNVVEDSNNNKTNVVPVTVYSFGGPRVGNLKFKERCDELGVKVLRIVNVHDKVPTVPGIITNEKFQFQKYIEDTLSFPWSYAHVGTEIALDHRESPFLKENGDLGCAHNLEVLLHLIDGYHGKKKNFDLVSKRDIALVNKSCDFLRSEYGVPPHWRQDENKGMVRSGDGRWVLPERPQLEAHPPDTAHLLKLVLKYHILVNGKFQSGTT >CAK8562941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:559210768:559212934:1 gene:gene-LATHSAT_LOCUS16401 transcript:rna-LATHSAT_LOCUS16401 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATFDSDLILSIMKRIWTLRTLERENVATNDALDSEVGAGSSKKNRTTSANASALKLTCELLRVFITEAIQRAVAIAETEGDGQIEATHLESILPQLLLDF >CAK8564267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664675383:664677220:1 gene:gene-LATHSAT_LOCUS17590 transcript:rna-LATHSAT_LOCUS17590 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASATATVSTFAVRLTPSSFSSRVSLTSAKFPFSNNVNPLKLNWSSSISAPILHQNISTVTAPPRSLTIVSAKGYKMKTHKASAKRFRVTGAGKIVRRRAGKQHLLYKKSKKRKLRLSKLVQVDRSDYDNVIGALPYLKVNRKAT >CAK8544331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678837093:678842321:-1 gene:gene-LATHSAT_LOCUS13020 transcript:rna-LATHSAT_LOCUS13020 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGRKLKNTETMAVESVTQSAPPPRSKTKTTYFENPKIPIAVALLIADAIFVFLIIAFVPYTKIDWDAYMSQVKGFLGGERDYRNLKGDTGPLVYPAGFLYIYSAFLYLTGGEVYPAQILFGVLYIINLAVVLFIYVKTDVLPWWALCLLSLSKRVHSIFVLRLFNDCVAMTLLHAALLLLMLRRWNIGLIVFSAAVSVKMNVLLYAPPLLLLMLKGMDIGGVLLALAGAALVQILLGLPFLVSYPVAYISGAFNLGRVFIHFWSVNFKFIPEPVFVSKGFAIFLLAAHLITLASFAHYRWCKHEGGLLKFLHSKYVFMRLRFALFFSSSFKKFDKSSSSSVKILTKEHIVTTMFVGNFIGIVCARSLHYQFYSWYFYTLPYLLWRTHYPTLIRLVLFVGVEVCWNIYPSNNISSALLLCLHLIILGGLWSAPPDNPYAETEPSSHKDK >CAK8577405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561633098:561634931:-1 gene:gene-LATHSAT_LOCUS29518 transcript:rna-LATHSAT_LOCUS29518 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCSFLSSTPNSNHNSHAPPKISSIVQPYCICTHCNHLLPFNHHPGTFDCNGVDQGTSNTMPPQQSSRWSPTPVQLLVLEELYRKGMKTPSAEQIQQIALQLRQFGKIEGKNVFYWFQNHKARERQKRRRREMEETTGSSTEDKKEKDKYIMSNSEAAGLKETGSGVKETKKWATTSNCSEQAEDISEKGSIQVLRKNIAAESEGKCQNIEIPYYFTVPFTSAAYRTCSNSNTPQSYGLLPFDKENFNYYEEENAGPSPRTLDLFPVKEDEQDGKSMLCVNDSMDTEVTSSSNQFFEFLPLRN >CAK8564358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669704979:669714652:1 gene:gene-LATHSAT_LOCUS17672 transcript:rna-LATHSAT_LOCUS17672 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEIFHLTLSYGNDDPPESLARTSTSSRSSSASSSSSSSSSSSIVSQDQDLGFRIELDWSSSDDEDQVALKLQSQLMVALPMPQDTVVIELTPRHDDEDYVDLSMKVVKRRDPLRAITMAKAVNSGLQSDGTGVLTRLLRSEMASPTPEGDESVPRGGGHHWTTLAVLSICGCGLSVFPVELTQLPQIEKLYLNNNKLTVLPPELGELRSLRVLRVDNNMLVSVPVELRQCVELVELSLEHNRLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLRHLSLANIRIVADENLRSVNVQIEMENSSYFGASRHKLSAAFSLIFRFSSCHHPLLASALGKIMQDQGNRAFVGKDENAVRQLISMISSDNCHVVEQACSALSALASDDSVALQLMKADIMQPIGIVLKSAGREEVISVLHVVVKLAFTSDTVAQKMLTKDVLKSLKILCAYKDPEVQRLALLAVGNLAFCLENRRVLVTSESLRELLLRMAVATEPRVYKAAARALAILGENENLRRAIRGKQVAKQGLRILSMDGGGMKGLATVQMLKEIENGTGKRIHELFDLICGTSTGGMLAVSLGMKLMTLEECEDIYKNLGKLVFAEPVPKDNEAATWRDKLDQLYKSSSQSFRVVVHGSKHSAEQFERLLKELCVDEDGDLLIDSAVKNVPKVFVVSTLVNMVPAQPFIFRNYQYPAGTPEVALAASDGSGIAVLTSPMSAQVGYKRSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTKIDTLVSIGSGSVPTKVRKGGWRYMDTGQVLVESACSVDRVEEALSTLLPMLPEMHYYRFNPVDERCDMELDETDPTIWLKLESAVEEYIQQNHLAFENACERLLLPFQHEDKWSENLRTKFPKTKESLEGVNGPTLGWRRNVLLVEASHNPDSGRLVHHARALESFCARNGIRLSLMQGLAGMVKNLPSSRFPTPFASPMFTGSFPSSPLVYSPDFGQRIGRIDLVPPLSLDGQQGRTIASPPISPRGVRQLSLPVKALHEKLQNSPQVGVIHLALQADSDGLVISWHNDVFVVAEPGDLAEKFLQSVKLSLLSTLRSHRRKGASLLANISTISDLVSFKPYFQIGGIVHRYLGRQTLIMEDNQEIGSYMFRRTVPSMQLSAEDIRWMVGAWRDRIIICTGTYGPTLALIKAFLDSGAKAVICSSNEPPESQLSTLDGTNELNVMENGKFEIGEDEADDENIPASPVSDWEDSDVEKVGDCASFWEDDEEELSQFVCILYETLYKEGASVNVALQHALASYRRMGYVCHLPDIQ >CAK8565515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:253664198:253665580:1 gene:gene-LATHSAT_LOCUS18724 transcript:rna-LATHSAT_LOCUS18724 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIKASYTVTPNESTPNGYLWLSDLDQVVRLSHTPLIFIFKPKHNQNNRIIETLKKSLSKILVHYYPIAGRYCYTKGGRVELNLNAKGAVLTEAETSKTVHDYGDFSPSDSTKELIPKIDYGQPMEEIPLLVVQVTRFSNKEESFAFAIGIAYSHPLSDGSGFFKLLNSWARIARGETLDSNEIPFLDRTILKFSHTPLEPLFEHVELKPPPLILGRSDTDTERKKKTTAKLLKLTAEEVEKLKKKANEFDIPKGSKPYSSFEAITAHIWKSASKARYLEENQQSVVRFNVEIRNRISPNLPKNYYGNALIQTSAKGYVGEITSKPLSYVAMKIREANELITNEYIRSQIDVIRGFEHLDDARKLFTGGEGKNATFWGNPNLHITSWLNFPAYKLDFGWGKPFYFGIGYVSPHDRGLILSSPDGDGSVIVCMHFQVELMDLLKKTFYEDLYGLFTSARL >CAK8576437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:476472089:476472361:-1 gene:gene-LATHSAT_LOCUS28624 transcript:rna-LATHSAT_LOCUS28624 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLKGVAKSTMSDQIRKELCDYKRDNPASTHKDLQRWLEGKFQLKVSQGTISNTLKRSDDYLSAEIEKERVEIKRHKPAKYPNMEKVC >CAK8575618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211983600:211984562:-1 gene:gene-LATHSAT_LOCUS27867 transcript:rna-LATHSAT_LOCUS27867 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSWIKKEITRSISVLLMIHIITRAPISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGALNVGAILILPEGFELAPPHRLSPEIKEKMGNLSFQSYRPTKKNILVIGPVPGKKYSEITFPILSPDPATKRDVYFLKYPLYVGGNRGRGQIYPDGSKSNNNVYNATATGVVNKIIRKEKGGYEITIVDASDGREVIDILPPGPELLVSEGESIKLDQPLTSNPNGGGFGQGDAEIVLQDPLRVQGLLLFLASIILAQIFLVLKKKQFEKVQLFEMNF >CAK8535989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:895597961:895599651:-1 gene:gene-LATHSAT_LOCUS5400 transcript:rna-LATHSAT_LOCUS5400 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNVFPATGSGLVVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVNRLGGKAAFVGKLGDDEFGHMLAGILKENGVKTDGITFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLELIRSAKVFHYGSISLIVEPCRSAHLKALEVAKEAGCLLSYDPNLRLPLWPSADEARKQILSIWEKADLIKVSDNELEFLTGSDKIDDETALTLWHPNLKLLLVTLGEHGAKYYTKNFHGTVNAFHVNTVDTTGAGDSFVGALLSKIVDDQSILEDEARLRQVLLFANACGAITTTKKGAIPALPKEEDALKLINA >CAK8571038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272859931:272860318:1 gene:gene-LATHSAT_LOCUS23735 transcript:rna-LATHSAT_LOCUS23735 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTYPHHQHNLEFLLCLNRPFFLPDQLQCIKTSEVLGLKMEEAGLLLCCSVDCRFQQENYAGLFFVVVSSFGFIRVNAVFSFRVTWLLRTRLPNEV >CAK8540073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538308417:538309271:-1 gene:gene-LATHSAT_LOCUS9111 transcript:rna-LATHSAT_LOCUS9111 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNHARSNSFPSQSHPNSTRIEQELSKIKTWETTSTSTSDSITIGLSLLEDLYTSLEDFLNMTSTQKVISRHQGENFVEDLLDGSVKILDICGITRDTMLDIKENVEALHSSLRRRKGDSSFETSVAEYNFFTKRMKKNVTKLITSLKQMESKFGASTLLNQDQEVVSVIKVVREVIVMNMSIFQSILSFLASKSKATKWLKMAKLMHKRTISCEEENLNELQHVDASLRTLLREGSDVAKMQAARESFEALESTIEGIEKGLESVFRSLVKTRVCLLNMTQ >CAK8561723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:365313017:365316761:-1 gene:gene-LATHSAT_LOCUS15284 transcript:rna-LATHSAT_LOCUS15284 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIESGRPFRRGPINGKQDPTQAVAAGIFQINTAVSTFQRLVNTLGTPKDTPDLREKLHKTRQHIGQLVKDTSAKLKQASDIDHHAEVNASKKIADAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQAMLPSSYTANEMDIGSDKTPEQRALLVESRRQEVLFLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQAKTQLAKASKTQRSNSSLACLLLVIFGIVLLIVIIVLAA >CAK8541764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391212225:391217415:1 gene:gene-LATHSAT_LOCUS10659 transcript:rna-LATHSAT_LOCUS10659 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKSVLAVIRAARPTFRNQNDKIAFVVHSSFLASGYILTATGPLALSDTALSNSSNDEVAVDHWNELNDEYAFVYLNAEKGGKKVLVKCIVMNEKLLVHALSEGFPEPLHLEINVGEYSGEDRGSNFSQQFKNLDKLVKRIDADILSKLDGSSNASSSTISSETIDKRGLERSEPVPGFGEPAGPYDHNPEFIIPPVGIGSGSDLFPGPAAGVYPSRGDHGFGGSMLVGPNDPRWFGGGVGGGPAFPGGLPGVPPGSRFDPIGPPGLPGFEPNRFARNPRRPGYDAHPDLQHFRRDTDSDYI >CAK8535932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892223787:892227784:-1 gene:gene-LATHSAT_LOCUS5347 transcript:rna-LATHSAT_LOCUS5347 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDNKSKQGLAEIYEQECVQKIDPTFAPLLARDKLKNEASILFKKICLKLDALSHFNFAPKPIIEYMCFQINVPALAMEEIAPVAVLDAAMLAPGKGDVKEEAELTQAKRKRRRANKKRQFKVFSSNHTGWIFSKAKSEKKPDNAISGQVNG >CAK8565376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156451659:156451859:1 gene:gene-LATHSAT_LOCUS18596 transcript:rna-LATHSAT_LOCUS18596 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCNGVIILFEKLVYGLVRIRASSGIRRAQGLGLCRAACPQKSQFNILMNQAVKDVEGTREGYI >CAK8533632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:643685965:643687752:1 gene:gene-LATHSAT_LOCUS3244 transcript:rna-LATHSAT_LOCUS3244 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKKRQFKRESEEYVPKRNFDAMALRNRHRRKLHRYINSFTNMR >CAK8578702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648102281:648104005:-1 gene:gene-LATHSAT_LOCUS30692 transcript:rna-LATHSAT_LOCUS30692 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFQFLLLILITLIVSTCVFHKRKQVSTKNLPPGTFGCPLIGETYQFLFNNIENFLQERAKKYSSEIFKTRLFGEPTMVMFGPSANKFMSMNESKLFKVWYLKTQCKLFNLPDQNQNQNQAQVVVASAPVKILGFLKPEGIVKYMKNNNRIESIIHKHFMTHWEGKTELKVYPLVKSFSISLAFQFFLGIDEPNYVAKFATEFENLYSGIYSVPVNFPGSTYHRAMKSATKIRKEIQFLIKDKIEGLSNGKVMDDLLAHIVDAEMSGKYVPKIEISNIIMGLMNSSYISIAITLAFMIKHIGLRHDIYQRVLSEHFEVKRSSKGSGTSVLDWESIQKLKYTWAVAQETMRLYSAAPGAFREAKTDIIYEGFTIPKGWKIFWAFLGTNKNPKCFDEPESFDPSRFEGNNVHAPYSYVPFGAGPRSCPGKDYTRFAILTFIHNLVTKFKWEVITPDEKVSGALIPIPVEGVPIRLYKL >CAK8578703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648102281:648103768:-1 gene:gene-LATHSAT_LOCUS30692 transcript:rna-LATHSAT_LOCUS30692-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFGPSANKFMSMNESKLFKVWYLKTQCKLFNLPDQNQNQNQAQVVVASAPVKILGFLKPEGIVKYMKNNNRIESIIHKHFMTHWEGKTELKVYPLVKSFSISLAFQFFLGIDEPNYVAKFATEFENLYSGIYSVPVNFPGSTYHRAMKSATKIRKEIQFLIKDKIEGLSNGKVMDDLLAHIVDAEMSGKYVPKIEISNIIMGLMNSSYISIAITLAFMIKHIGLRHDIYQRVLSEHFEVKRSSKGSGTSVLDWESIQKLKYTWAVAQETMRLYSAAPGAFREAKTDIIYEGFTIPKGWKIFWAFLGTNKNPKCFDEPESFDPSRFEGNNVHAPYSYVPFGAGPRSCPGKDYTRFAILTFIHNLVTKFKWEVITPDEKVSGALIPIPVEGVPIRLYKL >CAK8576917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524350184:524358117:1 gene:gene-LATHSAT_LOCUS29073 transcript:rna-LATHSAT_LOCUS29073 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQDNSNRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATMETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNNLDPSLLDELLVNIATLSSVYHKPPEAFVTRTHSSAQKTEDDDYPDGSESESSVNLANGPGSPPTSSYTIPPSVAPATPPSAAAPVPDLLGDLMGMDNSSIVPVDQPTTPSGPPLPILLPASTGKGLQISAQLTRRDGQVFYNMLFENNCQVPLDGFMIQFNKNTFGLAAAGPLQVPQLQPGASARTLLPMVMYQNMSQGPPNSVLQVALKNNQQPVWYFNDKILFHVFFTEDGRMERATFLETWRSLPDSNEVSKDFPAIVIGGVDATVERLAASNIFFIAKRKNANQDVFYFSAKLPRGVPLLIELTTVVGNPGVKSAIKTPSPEMSTFIFEAIETLLRS >CAK8562810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538833574:538835253:1 gene:gene-LATHSAT_LOCUS16275 transcript:rna-LATHSAT_LOCUS16275-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLPIHGKAVNGKTNPTGDFIRELLGVEPTPRDLRGQKLLMSWLKKIYRELPLTPDSPEILKIRKTRIYIMLLIGLFLCPDTSGSVVHSMYLPLLDDVDKIKQYSWGSATLAHLYHSLCHNSIANTGNWTGCGVLLQSWGWSRITNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQYIPCPPKCIPDKYHNGKVSDQWEYNLWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNNPRQQDSSSRPQQPTQPYFEPPTQPQCQPSTQYYFQPPTQPHFQPPSQPHFQPPHPTLFPTPLTQSQPYEHTPNQFTSFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQSFTPIPPYDQAGYRPDIASSSQPPQTNYEGMGNSFDLDDLTDMDPSAWAEVIQMLDDDTVDPTPP >CAK8562809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538833493:538835253:1 gene:gene-LATHSAT_LOCUS16275 transcript:rna-LATHSAT_LOCUS16275 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKCTKSSTFHLPIGECAVTLEDTYMLLGLPIHGKAVNGKTNPTGDFIRELLGVEPTPRDLRGQKLLMSWLKKIYRELPLTPDSPEILKIRKTRIYIMLLIGLFLCPDTSGSVVHSMYLPLLDDVDKIKQYSWGSATLAHLYHSLCHNSIANTGNWTGCGVLLQSWGWSRITNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQYIPCPPKCIPDKYHNGKVSDQWEYNLWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNNPRQQDSSSRPQQPTQPYFEPPTQPQCQPSTQYYFQPPTQPHFQPPSQPHFQPPHPTLFPTPLTQSQPYEHTPNQFTSFTQTHSQSEYQQHPPQYHTYSQFQTPNQPIPTQSFTPIPPYDQAGYRPDIASSSQPPQTNYEGMGNSFDLDDLTDMDPSAWAEVIQMLDDDTVDPTPP >CAK8562287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466651159:466652894:-1 gene:gene-LATHSAT_LOCUS15795 transcript:rna-LATHSAT_LOCUS15795 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDQGDNEDHTNTFNKYAFACSVVASIVSIVSGYDTGVMSGALIFIKEDLGISDEQQEIIAGILNVCALVGSLTAGRTSDYIGRRYTIFLASMLFMLGAVLMGYGPNYVVLFIGRCVCGLGVGFALMIGPVYSAEISSAASRGFLTSLPEVCIGLGILLGYVSNYFLGRHLSLKLGWRIMLGIAAIPSIVVAFGILAMPESPRWLVMQGQLGKAKKVLLQVSNTTEEAEHRFKDIKIAAGIDENCNDEVVKLTQKSSQGQGVWKELILRPTPPVRWMLIAAVGIHFFEHATGIEAVMLYSPRIFKKAGVTSKDKLLLATIGVGLTKVIFLISALFMLDKVGRRRLLQVSVAGMVVGLTTLGFSLTMVEQAHEKVSWALTLSILATYFYVAFFNMGLAPVTWVYSSEIFPLRLRAQGASICVAVNRSMNALVSMTFISIYKAITIGGSFFMFAGISLIAWFFFYFFLPETKGKALEEMEMLFTKKSKGKNVAMVNDPTQSV >CAK8564946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18049891:18051550:-1 gene:gene-LATHSAT_LOCUS18196 transcript:rna-LATHSAT_LOCUS18196 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYENIHDLPKCSSLKGENNYDDDNDACLSAMLLCCGPMIYTSVLKASIELNLFEIIYKANPPCVSASYVASQLHQTTQHPQLPRRLDRMLCLLASHDLLVCSIRTNEEGGSERVYELSLAGKYFVNDEENGSVALFSTFMNHPKLMDALNNFKEVLADCDKGLYMKVHGMAVYQGIQSDPAWNHVFNRAMGDICTIEMKKILEKYKGFEGISLLVDVGGGIGQSLNMIISKYPSINGINFDLPQVIQHAPFYQGIEHVEGDMFNNVPKGDVILLKAILHNWSDENCLKVLSKCYKALPEHGKVIVVDFIMPQEIQHTKADKLITSFDNLMFLDGGVERTEKEFEKLCKSSGFSSFHVVCLAFSALGVMEFYK >CAK8538210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471301065:471302185:-1 gene:gene-LATHSAT_LOCUS7435 transcript:rna-LATHSAT_LOCUS7435 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKFSFYHLLFSVTLISIFINSSSQDHLKTYIIYTEYMKDEISVVLHYKYLMQQASDSQEPKSVLHSYKPNLGGFVAKLTKAEVDRMEGLDGVVAIFPVKKRALLTTISWDFIGLPMKVKRKSYESDVIIGIIDSGIWPKSESFNDKGFGPPPSKWKGVCQSINFTCNNKLIGARYYGDIDDKFMNSPIDFYGHSINRC >CAK8566637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444617177:444618114:-1 gene:gene-LATHSAT_LOCUS19754 transcript:rna-LATHSAT_LOCUS19754 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKEIVIARPNWVELPRDLTENILHRLETIELLTSACHVCTSWWNICKDPHMWRTISMTHYCISRISEDNLEMFCRNAIERSCGQLESIDIEHFATDDLLAYIADSSGHLRHMRISMCGMLSDKGFIESIKKLPYLESLDISFDYLSKDSLKAVGRYCPLLQTLICNTTDFDNGVLFASGETMPGLLHLKMFGVMPTEDGLFAILNGCPVLESLDLEECFYVSFEYRKSLEKMCREKIKEFRPPLQFSCDNDSYGDSESFYSSLFSFEGFVSIFSKICRENSDGES >CAK8532283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:238808775:238809735:1 gene:gene-LATHSAT_LOCUS2009 transcript:rna-LATHSAT_LOCUS2009 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRKKLVNMGYTLTEATFNYYHGEIRRTNIEASNWIDNIPIEKWARAFDGGKRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSEKVFTYGCNKGMTDEVAKVNTHNVMQFDRERFCFMVQEKINHNDGRPTGTFSVDLRNCLCDCGKFHAFHLPFSHVIVACSSIRQDYTVHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDDTEKEKRRCGICIEIGHMRRKCPNVAGPLNQPHR >CAK8543937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650515658:650517151:1 gene:gene-LATHSAT_LOCUS12659 transcript:rna-LATHSAT_LOCUS12659 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKENITVPHFVLFPFISQGHIIPMIDIAKLLAQHGAIVTIFTTPKNALRFSSVLSRAVSSGLQINLVTLNLQSKQAGLPDGCENSDMVNISKDMWNNFFYAVSLLQEQAQELFDKLPLKPNCIISDFFIPWTSQIAEKHQIPRISFHGFSCFCLHLLFKVHSSKILESVNSDTEYFTVPDIPHKIQVNKEQVPGAILDEGLKETGMKMHEAEMKSYGVIINTFEELEKQYVNDYKKVSSDKVWCVGPVSLCNKNGLDKAERGKIASISEHNCLKFLDLHKPKSVVYVCLGSLCNLVSSQLIELALGLEATKIPFIWVIRDGLDKTEGLEKWFSGEKFEERNQGRGFIIRGWAPQMVILSHPSIGGFLTHCGWNSTLEGISVGVPMVTWPLFADQFLNEKLVTQVLRIGVSLGVEVLMKLGEEEKLGVVVKKEVITKTICNVMDEGDQESKERRERVSELSEIAKKAVEKGGSSYINITLLIQDIMQLQPTTKVET >CAK8534097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:694647986:694649020:-1 gene:gene-LATHSAT_LOCUS3667 transcript:rna-LATHSAT_LOCUS3667 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISFNVRGCCSSIKRRRLTQIIQRGNADIFLIQETKVIKMEDGIVFSMWRNFDLDWSAQNSGGILTMWNTVRISACFSFNGKGFLGLNFVWNNHSLMVINVYAPCGSADKRKLWRDLIKIKNNYPDVGWIVGWDFNAVKNREERKGLSGYNSRDIKELCDFIEEMNLVDLPVFGSCFTWFNSNGKSKSRLDRVLVDDRVISLFTLKNQVAGDRDISDHRPVWLKSNFINWGPKPFRTFNCWFYHKDFITFVMKLWRSYRFTGSFCSILSKKFQALKSDLRIWNLKVFGWLDLNIEDNISKFNKLELELDEESNLQSTDLDREKLRYQEEIWKNIRIKEIMIS >CAK8544965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715098119:715100283:1 gene:gene-LATHSAT_LOCUS13602 transcript:rna-LATHSAT_LOCUS13602 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKVMRFKSTLFFFLFTIHGALSSPPADPIKCSSNNTNCTITNAIGAFPDRTICKAAEAKYPTSEAELISIVASASKNNQKMKVTTRYSHSIPKLVCPDDNGILISTKNFNRVIRIDRESMTITVESGVTLRQIISEAARYEMALPYTPYWWGLTIGGLLGTGAHGSTLWGKGSAVHEYVTHVRIVSPSGSEDGFVKVRNLDESHEDLNAARVSLGVLGVISQVTLQLQPIFKRSLTYLTKNDSDLGDELITFGRKHEFADVSWYPSQKKVVYRIDDRVPLNTSGNGLYDFIGFRSTFSLALQVVRAAEEIQETLSDAIGKCNNAKLISATLAASGYGLTDNGVFLGYPVVGLHNRMQSSGTCLDSLEDLMITACPWDSRIKGEFHHETTFSISLSVVKNFIKDIQELVELEPKALCGLELYNGILMRYVTGSSAYLGKTEDAIDFDITYYRSKDPLAPRLFEDIIEEIEQIGLFKYGGLPHWGKNRNLGFMGAIKKYNKATKFLKVKKEYDSRGLFSSEWTNQILGLKEGVTILKDGCALEGLCICSQDSHCAPKKSYLCRPGRVFKEARVCRHVVKTKEKNDTKNEL >CAK8575434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117806507:117806908:-1 gene:gene-LATHSAT_LOCUS27701 transcript:rna-LATHSAT_LOCUS27701 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCAPGYPTFFDHFEEDDFLWRPYLELEDEDPTESDMWSSTTFIFSFTYVEMNHSDRVKLQFGIKQGIPGPPTCMERYHKSTSNDQWKFDNWRDHNRQER >CAK8540907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31033721:31036399:-1 gene:gene-LATHSAT_LOCUS9865 transcript:rna-LATHSAT_LOCUS9865 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQPSLPSNPNSVDGDGNGNGTPPSSTVNGGEDGKPTARLPRWTRQEILVLIQGKSDAESRFKPGRNGSGFGSSEPKWALVSSYCKKHGVNRGPIQCRKRWSNLAGDYKKIKEWETQVRDETESFWLMRNDLRRERKLPGYFDREVYDILDSPSVAVAAAAAAATTVLPVAVSVSEAVGDEEIHIYDSNRKVSGEDGLFSDFEKDEVLVSSKDVHVPSPVPISEKQFLPLLSRCQGEGNAQGTTNNEKQPAPNPEMGSTSQGERKRKRLPTDEEDESIQSQLIDVLEKNGKMLSEQLEAQNINFQLDRQHQNDTASNIVAVLDKLANALGRIADKL >CAK8578568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638940814:638943417:1 gene:gene-LATHSAT_LOCUS30567 transcript:rna-LATHSAT_LOCUS30567 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMLKTIPNSTTSSLSHNPKNNKSRNRFIFFKQPRTTCLLYSKLNFYSRATVARNVTENQNAKICNFCQMGNLRNAMELLTTSQRHELGLSTYCSVLQLCAEKNSLEDGKRVHSIIISNGVVIDEVLGAKLVFMYVNCGDLVNARGIFDEILNDKVFLWNLMMSEYAKIGYYRESLSLFKKMQKMGVSGDSYTFTCVLKCFAALRKVKECKSVHGYILKLGFGSNTAVANSMIAAYFKFGEVESALNLFDELADRDVVSWNSVINGCAVNGFSKNGVEIFIQMLIMGVNWDLNTLVSVLVASANIGNLSFGRALHAFGVKACFGKEVVFSNTLLDMYSKCGNLNGATEVFVKMGDTTIVSWTSIIAAYVREGLYDDAIGLFDEMQSKGVRPDIYTVTSIVHACACSNSLDKGRDVHSYIIKNGMGSNLPVANALMNMYAKCGRMEEARLVFSQIRAKDIVSWNTMIGGYSQNSLPNEALELFSDMQKQLKPDDITIACVLPACAGLAALDKGREIHGHILRRGYFSDKHVACALVDMYAKCGLLVLAQLLFDMIPQKDLISWTVMIAGYGMHGFGNEAISTFKKMRIAGIEPDESSFAAILNACSHSGLLHEGWRFFNFMRNECGIEPKLEHYACMVDLLSRAGNLSKAYKFIESMPIEPDATIWGALLSGCRIHHDVKLAEKVAEHLFELEPDNTRYYVVLANVYAEAEKWEEVKKLQEKMRKRRFKQNPGCSWIEFGGKFNIFVAGNNKHPQAKRIDALLRKLSLQMKNEDYSTRLRYALINEDDMEKEVIQCGHSEKLAMAFGILNLPPGRTVRVTKNRRVCRDCHEMGKFMSKTTKKEILLRDSNRFHHFKDGLCSCRGFW >CAK8538286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475232845:475234778:-1 gene:gene-LATHSAT_LOCUS7501 transcript:rna-LATHSAT_LOCUS7501 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPTKAEKKQSYDAKLCQLLDEFSQILIVNADNVGSKQLQSIRSGLRGDSVVLMGKNTMMKRSVRMHAEKTGNNAFINLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTDDDLVAKFAIGVSMVTSLSLAISYPTLAAAPHMFVNAYKNVLAIAVATEYSYPEADEVKEYLKDPSKFAAVAAAAAPADIAAAAPAAAKEEKKEEPEEESDDDIGFGLFDE >CAK8576702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507577365:507577667:1 gene:gene-LATHSAT_LOCUS28875 transcript:rna-LATHSAT_LOCUS28875 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSNRFGGIVQAKQKLQRTLSQRIRMASAVGDVPKGHLAVYVGNYHKRFVIPISYLSHPLFRDLLDWAEEEFGFNHPMGGLTIPCTEDYFISLTSSLN >CAK8569495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2980728:2984377:1 gene:gene-LATHSAT_LOCUS22340 transcript:rna-LATHSAT_LOCUS22340 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTIGSSSETFEFDCGSTSSNAAVDQHIFWNNMRTPAENRLPEFLLSPSDMNPSHGNSVNHEWQNLSGWSLGEPSSSNTQNEVNNNELKRELRLSPPINGSAVAGPRLEERHFEPTNAFSLDNVNSGPMYMCGSNPHLMPQNLNLNAALADNVGDNNSYHVEVDHSNAAKSSGQLNEHIPPTIGSGSFLLPSGGRSSVFVGETDGRQGCSLDPNRRLSCKRKAGEGISGQSSDGGSSSYSQHTDGSAWNTLPTQDYAMNNFNRSSPAEQVNARLGLSVGDGSSETIPESTVAGSSESFHRNFRLRVNPASQQISLPQPTFSNGSVMRNSSVPSSSPMLPRFHPIDNSLDLRSLPPVDTLLPQSHPHLSHVPALPRSSQSVRWNGGSSSTNNHSSNSVVDHPAFIPANLRNAVRTASRPSSTANLTVPGNVASSSRTAPNPPALNPSSVSAWVSRPNPQQYPRRLSEYVRRSLFTPGAEGGSSSNSYSTLRGPSTPSESRGPPSATNPGSSPWLERSADSEFGIPYSLRSLATAGEGSSRLVSELRNVLGLMRRGGNLRFEDVMILDHSMFSGIADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLNEETIMKHLKQKKYSVDESGSQSDAEPCSVCQEEYKKEDDIGSLDCGHDFHTECIKQWLMHKNLCPICKTTGLAT >CAK8571638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453679253:453681575:-1 gene:gene-LATHSAT_LOCUS24280 transcript:rna-LATHSAT_LOCUS24280 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTLFRFRFSSPPFNSISPSKSFTFNTNSFPLSSSQPRLLRFTPKANHSNNNNSDEDPFEFFPWSDSDSEIQWVPQDRFTFFTADGLVQIGGSMTPRRTRSSDKQGKSKTAKKYQRYQESNYMDPNQGLCLGALFDIAATNGLDMSRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVIAAEKASRGDLHEKLTMTVAVPLLWGVPPASETLHLAVKSGGGIVDKVYWQWDFL >CAK8579556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707573568:707576123:1 gene:gene-LATHSAT_LOCUS31494 transcript:rna-LATHSAT_LOCUS31494 gene_biotype:protein_coding transcript_biotype:protein_coding MESGESNNGNQRCIITKDSSWFSQFRNASNPWMARYAYALIFLVANLLAWAARDYGHGALTEMERLKGCNGGKDCLGAEGVLRVSLGCFIFYIIMFLSTAGTSKLNQMKDRWHSGWWLVKIPFWVVMTVIPFFLPSGFIQVYGEVAHFGAGVFLLIQLISIISFITWLNDCCASEKYAARCQIHVMLFATTAYVVCLVGIILMYIWYAPEPSCLLNIFFITWTLVLVQLMTSASLHPKVNAGILTPSLMGLYVVFLCWCAIRSEPAGENCIRKSDSATKTDWLSIISFVVAILAIVIATFSTGIDSKCFQFRKDDTPAEDDVPYGYGFFHFVFATGAMYFAMLLVGWNSHHSMRKWTIDVGWTSTWVRIVNEWLAVCVYLWMLAAPMIWKCRHAGSTGES >CAK8569345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695768490:695768777:-1 gene:gene-LATHSAT_LOCUS22206 transcript:rna-LATHSAT_LOCUS22206 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVQSNKRRGSEWKQSWRQKNMASVSTPPFHLLMIFAIVVSLLCLSQYNHFKTQLLNTAFNFQFFIFFLPFLLMFFIVSSYSTTGRFSFHTLGA >CAK8577272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551080524:551081142:1 gene:gene-LATHSAT_LOCUS29393 transcript:rna-LATHSAT_LOCUS29393 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVIFQLCNAIDVEPSTKQPYDAIVKDLLTIKSFAAGITVPKDYIWPVKPDKYLGHPTTLVAAAHKLGLEVYASGFANDLTLSYSYNYDPTAEYLQFFDSKDSVDGAITDFPATTSNAIRCFAQNNTAHKKGPTLIISSNGVSGVYPGSTDLAYEQAITDGTDIIVLVQQ >CAK8534500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736483993:736484691:1 gene:gene-LATHSAT_LOCUS4043 transcript:rna-LATHSAT_LOCUS4043 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTNLDPFLLYEYSLTFTRNELQLQDSIHFTHEFLQSMGISVAKHRLEILKLVNNQNDKVPKNTNKFSLVIKKCIKKCLSKLIFHQEKEKNMNMFSLQQEQSWNQGKWRRALVSEELKGEKGMHRNRRVAFSGPLDGRMYNEKMVITNKNMLKFSGPLDGKVSERKMVYTNRSPVRNRPIEGRSPRFSGPISPIDFHCLYNKTIDDYDFEDSEMWRALFEDLKPT >CAK8569087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669963618:669965806:-1 gene:gene-LATHSAT_LOCUS21977 transcript:rna-LATHSAT_LOCUS21977 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLKGLEAIQKCDKVYMEAYTSLLSFGLSSNGLSNLEKLYGKPIILADREMVEEKANDFLSQAQLSNVAFLVVGDPFGATTHTDLVVRAKEMGVEVKIVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQQNRNLGLHTLCLLDIRVKEPTLESLCRGKKAYEPPRYMTINTAIEQLLEIAQAREDSTYTEDTECVGLARIGSEDQMVLAGTMKQLQLIDFGAPLHCLVITGKTHPLEEEMLNFYRCRM >CAK8536494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946855457:946856098:1 gene:gene-LATHSAT_LOCUS5857 transcript:rna-LATHSAT_LOCUS5857 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLTVLPNSGFSISLTFQHVAGDGRSLHHFMKYWASLSKASANNNDNSLLSIDLPFHERDRVKDTKGLRSIYLQELRDSDSKNMEFAGLVRDSYVNKVRTTLVLNYEQVQNLKNWVTDKCKDSHRTQHLSTFVVTSSLIWFCMVKSEESESKSDQDDCDVVEVHLDTTGSISLSDCRDGGGGIEVGLALERSRMASFINIFQQQLDSICSM >CAK8538182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467347044:467349537:-1 gene:gene-LATHSAT_LOCUS7410 transcript:rna-LATHSAT_LOCUS7410 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISAVKPITTTPLSLNNSRAVASVSSSSFFGSSLKKVISKVSNTKVSSNGRFKIVAAEIDESKQTDKDRWRGLAYDVSDDQQDITRGKGLVDSVFQAPDNSGTHYAVMSSYEYISTGLRQYNLDNMMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQAELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADRIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRHDNVPEEDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWISGVGIEAIGKKLVNSKEGPPTFDQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLSQAALGDANEDAIKSGTFYGKAAQQIKIPVPEGCTDPNAKNFDPTARSDDGTCLYTVE >CAK8534185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702435250:702439165:-1 gene:gene-LATHSAT_LOCUS3747 transcript:rna-LATHSAT_LOCUS3747 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVQASSSPHFSIHSPKPPHSSTWGGLLASSVNVKSSPLISIHSQREQSSLWTCYNKRVILKSSFSARAASVEEVGTSSVSTGTAEDDVLKALSQIIDPDFGTDIVTCGFVKDMKIDKALGEVSFRLELTTPACPIKDVFEQKANEVVAVLPWVKNVNVTMSAQPAKPLYAEQLPAGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPESRLLEMNPEKKTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLSFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSEVVKQFGIPHLFDLPIRPTLSASGDSGMPEVVADPQGDVSKIFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSDEEFFLHPATVRRNDRSAKSVDEWTGEQKLLYSDVLEDIEPEEIKPMGNYAVSITWPDGFSQIAPYEQLQTLERLVGVSAQA >CAK8579056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671042664:671042894:-1 gene:gene-LATHSAT_LOCUS31029 transcript:rna-LATHSAT_LOCUS31029 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGTQKKYVVDVWSGNHQFYLGNRSSNMVNDDQVEKFRKKFGDLSDIMEIPVLKGEIVVPSRRKGIKSGGGKKK >CAK8569031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664803950:664807286:-1 gene:gene-LATHSAT_LOCUS21928 transcript:rna-LATHSAT_LOCUS21928 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIELPNCTYPCEPVTDIPPSAPTPKHSLYLSNLDDQNFLRFSIKYLYIFKKSVNLNNLKSSLSRVLVDYYPLAGRLRRCSSNLDDDIDDDKKLEVDCNGKGAFFVEAFMDITADELVERSKFPNKSWRKFLYKVEAHSFLDVPPLIVQLTSLSCGGMILCTAINHGLCDGIGTSQFLHAWAHLTTSPQTNLTILPFHSRHVLHPRQPPTVNLHNPAYTRSQPHPQINLHKSIQSQPLIPTSFTFNSNHILYLKKQCTPSLKCTTFEVIAAHTWRSWIRSLNLPLPLPSTLNMKLLFSVNFRSVMNLPKGYYGNGFLLACAESKIEDLVGNNNNNNLHHVVKLVQKAKSTVNDEEYIRSTIDLLEDKTVKTDVSTSLVISQWSKLGLEDVDFGEGKALHMGPLTSDVYCLFLPVISGGGDGVRVVVSVPESMVKSFEFQMSGSWEKKMENNGNEVMTGFLF >CAK8577715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584776031:584777370:1 gene:gene-LATHSAT_LOCUS29796 transcript:rna-LATHSAT_LOCUS29796 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQDNDDGPPPGWQPIPTPQRPPPLPSGFAQMVCGSCRRLLSYPSGGKHVKCSCCQMVNIVLEADQVGQVKCGSCALLLMYPYGASQVRCSSCRFVTEIGANNKRPPWSVQQSKPTPPKASC >CAK8544682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699218150:699221581:-1 gene:gene-LATHSAT_LOCUS13339 transcript:rna-LATHSAT_LOCUS13339 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFSVTILALMCAISSLSDSLNSPSPSAQVQVLNINWFQKQPKGNDEISVTLNISADLQSLFTWNTKQVFVFLAAEYDTPKNSLNQISLWDGIIPSKEHAKFLIHTSNKYRFIDQGANLRGKEFNLTLHWHVMPRTGKMLVDKIVMPGYQLPREYRR >CAK8578078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605382088:605383995:1 gene:gene-LATHSAT_LOCUS30120 transcript:rna-LATHSAT_LOCUS30120 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEKAVPFPHDAELRIGNTTLSLNGIGFGETNNTNYGCTESSLGMKFSVAVDDGCKLVLGLGPTPKAYGDDYNDIGFNKKKKPASLFSQSMPSECESILQLGLSGAANEISSIMEYSGSTEINVNISRFSSQTSGEYNYAMIPVVDEGSTSAKKSGGYMPSLLLAPRMDNAEISVQTQELILGTKSHTFPELSSATNHSLGTASGPQETGITSQNRTSNPKRCRFFGCSKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGRRCGYPGGCAKAARGKSGLCIRHGGGKRCTIEGCTRSAEGQAGLCISHGGGRRCQYHECSKGAQGSTMFCKAHGGGKRCSFAGCTKGAEGSTPLCKAHGGGKRCLFNGGGICPKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDCCVRHGGGKRCKFESCGKSAQGSTDFCKAHGGGKRCNWGDGKCEKFARGKSGLCAAHCSLLQESETSKGSLIAPGLFRGLVPSASTICSSFENNSSSGVSVVSDSYDSMETPARRHHLIPKEVLVPLSMKSPSYSNFLAANKPAQDRNLHSITGDCSGATKGTSFDLPEGRVHGGDLMLFFGGNLKNALDGI >CAK8563445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604487792:604488813:-1 gene:gene-LATHSAT_LOCUS16856 transcript:rna-LATHSAT_LOCUS16856-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLSLALSNSHAHNAASKTHFPNNKPSFPQLLEHAAETSSSTNGSDVMLPTLSLLPLTPGHSDHHDLQHCIHTSTSTITKTSNDEDDEEVLVASRNYVKVKMEGVGIARKVNLSRHNSFHTLNQTLLDMFGKSDDDQKYELVYQDKEGDWILAQDVSWRYFVECAQRLKLLKSRG >CAK8563444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604487792:604488897:-1 gene:gene-LATHSAT_LOCUS16856 transcript:rna-LATHSAT_LOCUS16856 gene_biotype:protein_coding transcript_biotype:protein_coding MALILSHQSHPFNKYLVPSFLFYIVSMTMDLHLSLALSNSHAHNAASKTHFPNNKPSFPQLLEHAAETSSSTNGSDVMLPTLSLLPLTPGHSDHHDLQHCIHTSTSTITKTSNDEDDEEVLVASRNYVKVKMEGVGIARKVNLSRHNSFHTLNQTLLDMFGKSDDDQKYELVYQDKEGDWILAQDVSWRYFVECAQRLKLLKSRG >CAK8532186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216843496:216859518:1 gene:gene-LATHSAT_LOCUS1917 transcript:rna-LATHSAT_LOCUS1917 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSEQQPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVEASNKRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTLEGRAKSDAREMQSFYRHYYQKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNQTEAVEVSDEILEAHTRVEEKKQLYAPYNILPLDPESGKEAIMRYPEIQAACSALRNTRGLPWPTNHGNKVNEDILDWLQLMFGFQKGNVENQREHLILLLANVHIRQFPKHDQQPKLDDRALNEVMKKLFRSYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVIKPIYDTISKEAKRSKAGKAKHSHWRNYDDLNEFFWSVDCFRLGWPMRINSEFFSVPLSQAQRGNNKEEESKSYNDERWMGKVNFVEIRSFWHVFRSFDRMWSFYILCLQAMVIIAWNDSGNLSNIFDGEVFKKVLSIFITAAILKLAQALLDIVLSWKSRNVMSLHVKLRYIFKAISAAAWVVILPVTYAFSWKNPSGFAQTIKNWFGNGSGSPSMFILAVFIYLSPNILSALLFLFPFIRRYLERSNYGAVKLMMWWSQPRLFVGRGMQEGPISLFKYSTFWVLLILSKLAFSYYLEIKPLVGPTKAIMRARVSVYSWHEFFPHAKNNIGVVIAIWAPIMLVYFMDTQIWYAIYATIVGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNARLIPLEQTEMKKKKGLKATFSRKFDQVSTDKEKEAARFAQLWNKIITSLREEDLIDNREMDLMLVPYWADRSLNLIQWPPFLLASKIPIAVSMAKDSYGKGQELEKRLSRDKYMKSAVQECYTSFRNIINFLVLGEREKIVMQNIFQRVDELIERGDLLRDLDLKALPDLYDRFVKLIECLLKNEKEVKDQIVILLLDMLEIVTRDIMDGDVEGLQDSSHGGALSKDERMTPLDQQYQFLGTLQFPVTTDTEAWSEKIKRLQLLLTVKESAMDVPSNLDAKRRISFFSNSLFMNMPSAPKVRNMLSFSVLTPYFDEPVLFSLEHLEERNEDGVSILFYLQKIFPDEWTNFLQRVDCKNEDEEKLRGELAEELRLWASYRGQTLTKTVRGMMYFRQALELQAFLDMAKDEELMKGYKAAELESKENPTSERSLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMTKYPSLRVAYIDEVEEPSKDSSRKIDKVYYSALAKAALPTKSIDSSEAVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHGGPRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTMSRDIYRLGHRFDFFRMLSVYYTTIGFYFSTLLTVLTVYVFLYGRLYLSLSGLEEGLNKVKAIRDNKALQVALASQSVVQIGFLLALPMLMEIGLEKGFREAFSEFVLMQIQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYILITITIWFMAGTWLFAPFLFNPSGFEWQKILDDWTDWHKWISNRGGIGVPPEKSWESWWEKEHEHLEHSGMRGIATEIILALRFFIYQYGLVYHLSITDSHKSVLVYAISWMIIFLILGLMKGISVGRRRLSADFQLVFRLIEGSIFITFLAILIILIAVVKMTIKDIIICILAVMPTGWGMLLIAQACKPLIAKSGLWGSVRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKRDRANSKE >CAK8531665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:137115093:137115803:1 gene:gene-LATHSAT_LOCUS1440 transcript:rna-LATHSAT_LOCUS1440 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRDNINSGDRNQKQWFTLVGVFYILLIAAVGTDAAGITRDGLGGPGEQKDVESLCQSDPDKCNKKLGRLFNGTINIKDSIKNALIADAEELQKHINNPVLYKELVKDNKTKQAMRICDEVMDNAVDEVNKSVGELDTFDFNKFSDFVFDLQVWITATLSDQQTCLDAFEEVDTKASERMAQILSNSMKLSDTAMDMINSVSELLKDFGQVPSGLNRRLLSDESEKLVDDFSHR >CAK8562036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:429950364:429950738:-1 gene:gene-LATHSAT_LOCUS15572 transcript:rna-LATHSAT_LOCUS15572 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALAYKCTEVTYIRVIYSSHTSASRDRHELQTALQMTPLGESPSSSASDVDNVNNSTTADKVALSKSVNSPNFAVNHVIAARSRPNFVRTLGYTQDVNFTMEASRKSRNSFAAADASKGVG >CAK8542036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457954991:457956559:-1 gene:gene-LATHSAT_LOCUS10910 transcript:rna-LATHSAT_LOCUS10910 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKCTMATMEHTAAKPSSFWNLLKRLLLSGVFIIAIRFAYVISIAGESCNVGNFCFFSLPETLTIAISGNDPFSGESTSGGVRGNSSISELYSSKEWLDSVHFYSSVFHDLISGGYLSTESESLCVETPTGRDVFALREIGVKNAVGISKKSVKPLVKSGSGDRIPFGENYFDFVFSGEGSFTRSAKPAVFAAEIARTLKPGGFAVFHFTNPKDTYSFNSFLDLFHCFKVVKLHVLEGFDSSMPNIHETVLKNECVNDDVAGKFDSDYYSSEKCYVPGYKKDLVRIAEPLIEKEPLKPWITLKRNIMNIKYLSSMVDISFKNRYLYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKTFHKEYGLKKGLTLIPYAAWVKNQTLSFEIHRNPGEHVEVKGRGMGRIQPLESRGKEFDGEVEKIQGFDFANWLKNTVTKNDFVVMKMDVEGTEFDLVPRLFETGAICLIDEIFLECHYNRWQRCCPGERSSKYEKTYDQCLDLFNSLRKSGILVHQWW >CAK8576408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:471144597:471148461:1 gene:gene-LATHSAT_LOCUS28596 transcript:rna-LATHSAT_LOCUS28596 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTVVLAEFSAVTGNTGAVARRLLEKLPTESDSRLCFSQDRYIFHILRSDGLAFLCMASDTFGRRIPFSYLEDIQMRFMKNYSRVANYAPAYAMNDEFSRVLHQQMEFFSSNPSVDTLNRVRGEVGEIHTIMVDNIEKILERGDRIELLVDKTATMQDSSFHFRKQSKRLRRALWMKNFKLLALMTCLIVLLLYFIIAACCGGITLSSCRS >CAK8535114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814948241:814950746:1 gene:gene-LATHSAT_LOCUS4591 transcript:rna-LATHSAT_LOCUS4591 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGTCITTLKSLSSRALVLHSSLNFQVKLTSRCSPRQIRMEANLSCSTEGNKKEARGALVVLEGLDRSGKSSQCSRLVSYLEGQGISAELWRFPDRTTNVGQMISAYLTNASQLDDHTIHLLFSANRWEKRSLMETKLKSGITLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDLVAYLDISPDKAAERGGYGDERYEKLDFQKKVAEHYKVLHDASWKVVDACQPIDDVEKQLQEIVLACVTECQKGKSLSSLWST >CAK8575514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:148334284:148336207:1 gene:gene-LATHSAT_LOCUS27771 transcript:rna-LATHSAT_LOCUS27771 gene_biotype:protein_coding transcript_biotype:protein_coding METRSSKRKKLALIEQQNDAKRTPTTDQISDLPDAVIHQILLLLPIKCVAQMSVLSKRWKFLWTTFPDLDFTTLNPFPLVSTKNHKKASNFAKSVHPLSSQKLDFITQVLSIRDTKQKDIRVLCFRARVGFSRLNNLIRNAIRHNVRELDIEVETEFDTDDFFNFPRCVIGSESLQVLKLKSGFRLPPSSIMRNGFQSLHTLSLSLIILYNQPSLSDMFNESSFPVLRNLRLDLCFGLTHIRVACRALEDLNLERCYQLQGLDVCGAKIQRIRVTSCFDAFCNKTWVRMNVPKLENLIWKFNAVTDVAVFENSNLLNEASIGFFMLKEGKVNMGRLQSANNFFSGLSHAHSLILENQTIEILSKYNIFIQPFYNLKSLEIHTGLKKRNVQALAFLFKSSPSLNTLILKIVDDDKGERKQWNRDLWDMTNTEEEKYWESQIPSLNSFLQHLKVVKIQGFLDCANEVTLTKFLLKHGKVLEKMILCRGYSNRRDILRRQKIRSQMMGFSWASSNAKVEFQ >CAK8564301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666928227:666930265:-1 gene:gene-LATHSAT_LOCUS17622 transcript:rna-LATHSAT_LOCUS17622 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFEAAADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGSTKDDLKLPTDDALLTQIKDGFAEGKDLVVSVMSAMGEEQICSLKDIGPK >CAK8564302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666928299:666930265:-1 gene:gene-LATHSAT_LOCUS17622 transcript:rna-LATHSAT_LOCUS17622-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFEAAADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGSTKDDLKLPTDDALLTQIKDGFAEGKDLVVSVMSAMGEEQICSLKDIGPK >CAK8541972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445044700:445049401:1 gene:gene-LATHSAT_LOCUS10849 transcript:rna-LATHSAT_LOCUS10849 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINMVSVSPRIFTPTTTTTRTSSLQTRPTLPPFSVSFPKRRLTVRAAETDANEEVKSEAPDTAPAKNGSSFNQLLGIKGASQESNIWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWDFEDVAKSILCMMMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLTSAGILDIWAGHDSPILFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGEETAKWICVGAIDITQLSVAGYLLGAGKPYYALALLALIAPQVIFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >CAK8541971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445044700:445049401:1 gene:gene-LATHSAT_LOCUS10849 transcript:rna-LATHSAT_LOCUS10849-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINMVSVSPRIFTPTTTTTRTSSLQTRPTLPPFSVSFPKRRLTVRAAETDANEVKSEAPDTAPAKNGSSFNQLLGIKGASQESNIWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWDFEDVAKSILCMMMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLTSAGILDIWAGHDSPILFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGEETAKWICVGAIDITQLSVAGYLLGAGKPYYALALLALIAPQVIFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >CAK8562595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514012209:514018069:1 gene:gene-LATHSAT_LOCUS16080 transcript:rna-LATHSAT_LOCUS16080 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRIPGSSSIPFVTTKPHSLPSRSLRKTTVAAAELQTPVVALGRTDFRYPNVEAPSHKVKVHDRERGIVHEFLVPEDQYILHTAESQNITLPFACRHGCCTSCAVRVKSGKIRQPEALGISAELREQGYALLCVSFPCSDLEVETQDEDEVYWLQFGRYFARGAVERDDYALELAMGDE >CAK8535216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826823095:826854754:-1 gene:gene-LATHSAT_LOCUS4689 transcript:rna-LATHSAT_LOCUS4689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISYSLSDNLRSPILSPPSKNKTETEKICIDDMFQKYCGEFGKWQLKHFILTSLAWALQAFHSMVMIFTEREPDWVCVSGMECSPGGSVCSMSPESWEWIGGKAASTVTEWNLICGDKYKVGLVQAVFFAGCTIGAGVFGHLSDSYLGRKRSLTVVCALSAIFGCLTTLSPNYWTYLLLRLLTGFSTGGVGLCAFVLATEPVGPSKRGTAGLSTFYFFSGSIAILSGIAYIFQTWRTLYMVTSIPSLLYIIFVLPFISESPRWYLIQGRIKEATTLMATIASFNGNHLPEGVVLALDEEVTNSKNETNDLDFVDAQGGSIVDVIRHPATRIRLILAVALNFLSSVMWYGLSLNVTNLETNLYMNVLLNAVSELPAFMLMAVLSYRFGRKPLTIGTMWFSGFFCLMGSLMSNIGVWKVIKMVCGVLGIFGIAGTYNLLFIYTTELFPTVVRNTALGSTAQAVQMGAILVPFVVVLGGWLPFGVFAVCGILGGMIAFYLPETLNMPLYDTFKGLEAGLA >CAK8569915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15484430:15485650:-1 gene:gene-LATHSAT_LOCUS22715 transcript:rna-LATHSAT_LOCUS22715 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLKRKSPENGDNLLFDSFSLDDLNEDLFERVLSWLPTSAFFRLTSVCKRWKSAAASVSFKLACSNVPSRDPWFFMVAPSLNQSVIFDSAENSWKRLNHPNLLLEDSNKSCIPVAASNGLVCYRTSLGNFIVSNPVTGSCCELPPLNLNSENQSLNAVVMSASFNDQMSYKIVLVFGELPNLSFKVYNSNSACWEDENDLGRKVDDSSSDCDSTDDNVVYFLSKAGNVVASSMQRSPTKQYSSVITNKDGQEIVYFLSSSGTVVACNLTCKSFMEYPRLLPVFCEYSIDIVECNGEMLVVLLSEFLESTSLRVWKFDEANRCWQQIAAMPASMSHEWYGKKPDINCVGAGSRIFICLNSPELCTYVTCDLATNKWVELPKCCINGEVTEFMSAFSFEPRIEASV >CAK8543925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649613727:649615685:-1 gene:gene-LATHSAT_LOCUS12647 transcript:rna-LATHSAT_LOCUS12647 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHNHHLDADISSSEINSEHYGCSHYKRRCKILAPCCNEIFDCRHCHNESKNSIHLHPQHRHDIPRHQVNKVICSLCSTEQDVQQICIQCGVCMGRYFCSKCKFFDDDVSKNQYHCDECGICRTGGKENFFHCNKCECCYSSSMKDSHHCIEKAMHHNCPVCFEFLFDTTKDITVLPCGHTIHLECVREMQQHFQYSCPVCSKSFCDMSRVWEKMDEEVASTPMPEMYQNKMVWILCNDCGETSEVRYHIVAHKCLRCKSYNTRKTQAASCLSWMEEMVR >CAK8572973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573973787:573974788:1 gene:gene-LATHSAT_LOCUS25471 transcript:rna-LATHSAT_LOCUS25471 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHHLPMAFPIFFLLFICLPFSSAKLDVNYYKKTCPDFEKIVREHIYTKQSSSPATAPGILRLFFHDCITDGCDASILISSNAYNPHAERDAELNLSLSGDAFDIIVKIKNALELACPGIVSCSDIVAQSTRDLVKMVGGPFYRVRLGRKDSLVSDAARTNESLPTATMTMDEIIKKFTAKNFTIKDMVALTGAHTIGFTHCKEFSNRIFNYSKTSEIDPTLHPKLAAGLRKVCQNYTKDSSMAAFNDVRSPGKFDNAFFQNVLKGLGLLTSDYILGVDPRTRPLVELYAKDEQAFFQDFSKAMEKLSVHGVKIGKKGEVRSRCDQFNHIPK >CAK8572974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573973808:573974788:1 gene:gene-LATHSAT_LOCUS25471 transcript:rna-LATHSAT_LOCUS25471-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIFFLLFICLPFSSAKLDVNYYKKTCPDFEKIVREHIYTKQSSSPATAPGILRLFFHDCITDGCDASILISSNAYNPHAERDAELNLSLSGDAFDIIVKIKNALELACPGIVSCSDIVAQSTRDLVKMVGGPFYRVRLGRKDSLVSDAARTNESLPTATMTMDEIIKKFTAKNFTIKDMVALTGAHTIGFTHCKEFSNRIFNYSKTSEIDPTLHPKLAAGLRKVCQNYTKDSSMAAFNDVRSPGKFDNAFFQNVLKGLGLLTSDYILGVDPRTRPLVELYAKDEQAFFQDFSKAMEKLSVHGVKIGKKGEVRSRCDQFNHIPK >CAK8562151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448524153:448524382:-1 gene:gene-LATHSAT_LOCUS15675 transcript:rna-LATHSAT_LOCUS15675 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNGYFDCMQLLLDLDANVSSVTFHFGTSMDLIGAGSSHLHYAASGG >CAK8579032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668317698:668318231:1 gene:gene-LATHSAT_LOCUS31007 transcript:rna-LATHSAT_LOCUS31007 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYEEEQDMPKLSYHQPLHLSSFIRHVNYSCGSCGYELNLNSSNRNTTSLVDSNYGKSIKKRKRSLISFFSVDETRFTHIQQFSLSWISFFNFQRTATTATKLLCRNCGNHLGYARIFPSHSRCNSWDGISDSRTFYIKLNAIQPSS >CAK8562632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517969180:517969737:-1 gene:gene-LATHSAT_LOCUS16116 transcript:rna-LATHSAT_LOCUS16116 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLTYIIIPILFVSQYANGSDLIVQSCREASKNDPKLNYDFCVTSLYEAAGKDKLHPEKLEDLVSMSIQLTKSNGTNIVSIISHELQNQTQGEYVKGCLRDCFDLYNDSLESLDEAMVAFNTSKDLDTTNINVSAALHDSVTCEDQFKERKEKNETSPLAQENHVYFQLNVISLSFIQMIRQRY >CAK8533590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639886890:639895421:1 gene:gene-LATHSAT_LOCUS3209 transcript:rna-LATHSAT_LOCUS3209 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDTQAWKELKDHVEDIKKSHLRDLLSNEERSQSLMVEFDGILLDYSRQQVTLETKEKLFKLAEVASLKQKINQMYNGEHINSTENRAVLHVALRASRDAVIQSDGKNVVPDVWNVLDKIKEFSERVRSGSWVGATGKELKDVVAIGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVAKTITGLNPETTLVVVVSKTFTTAETMLNARTLREWIIAALGPSAVAKHMVAVSTNLALVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVFPLSLQYGFSVVEKFLKGASSIDQHMYSEPFERNIPVLLGLLSVWNVSFLGYPARAILPYTQALEKFAPHIQQVSMESNGKGVSIDGTPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTPEQLQKENVPQHLVSHKTFSGNRPSVSLLLPSLNAYNVGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPIQGFNFSTTAMITRYLQASSDIPADPPTSLPQI >CAK8531872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:168230239:168230874:-1 gene:gene-LATHSAT_LOCUS1634 transcript:rna-LATHSAT_LOCUS1634 gene_biotype:protein_coding transcript_biotype:protein_coding MENSISLENVGQYLQQTYGGRVLPILYIWGIKLLAVGQSSTITGTYAEQFIMGDFLNLRLKKWPRALITRSFAIVPTMIVALWFDTAEDSLIILNEWLNVLQSVQIPFALIPLFCLVSKEHIMGTFKIGTVFKVISWCVAALMTVINDSQLLEFFSSKVNGIIVGAIVCVVTATYAVFIIYLILRATTFSVVLDLAKANSVTANDILSLDS >CAK8534834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:774429152:774432542:-1 gene:gene-LATHSAT_LOCUS4344 transcript:rna-LATHSAT_LOCUS4344 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKYTRPQGLYNHKDVDQKKLRKLILESKLAPCFPGDEETACDREECPICFLYYPSLNRSRCCTKSICTECFLQMKVPNSTRPTQCPFCKTANYAVEYRGVKSKEEKGLEQIEEQRVIEAKIRMRQQELQDEEERMHKRLEVSSSNVNVAVADVEYNSNAVAESSMSAVEHDEIVSSQDSCATPMVRPPPATRANRDDEFDVDLEDIMVMEAIWLSIQENGRQRNSPFTDATSGHYVTDDRYASSPMVQQTGSSSSPSGGLASAIAALAERQQMSGESSVSSNNESTPSFNMPPGSRRFYNRLGRDMVSYSPTENLNEVPPDDAMAMSRSHGQWSIDHGSQVAETATSYTNSVEVEDRGELSSMSQPNDIDESLQSATDPIVPESFEEQMMLAMAVSLAEARAMPSGHSASWQ >CAK8535206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:824924177:824927211:-1 gene:gene-LATHSAT_LOCUS4679 transcript:rna-LATHSAT_LOCUS4679 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQFHHTSGNWWESASRNMRFDQSGGTSQQSSSSAITNNNIVDFGWQNSDMVEMKPRSSMDNSSVVFHDTRKLEQNQDSSNSSDPNLHMMGLGLSSHSMDWNQPSLIRGDEKGTENSFRSILEENLSSTRNNFEQENVMGMCQQVNRNFSLDQNQFSPQYSSGDSNVIQMDSSALYGTPSILQGSSMTSFPYPTNNYGLLNSNNELNMNMPCNNWSNNKAPQFLMRTSPPKQSSTSNQLHFTNNTPFWNASEAPNSIKDVRSSFFPSLQPQFSTPNFDSHSKNISEVNTVVKKSGSEPAPKRTRNETPSTLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTLLSTPYMKSGAPSDIQQNSGKSKKSDGAKQDLRSRGLCLVPISSTFPVTHETTVDFWTPTFGGTSR >CAK8530968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67108015:67114154:-1 gene:gene-LATHSAT_LOCUS791 transcript:rna-LATHSAT_LOCUS791 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSNKELKQLVDEVRSDIIFKVSETGGHLGSNLGVVELTVALHYVFNAPKDKILWDVGHQCYPHKILTGRRNKMHTLRLKDGLSGFTKRSESEFDCFGTGHSSTTMSAGLGMAVGRDLKGENNNVIAVIGDGAMTAGQAFEAMNNAGYLQSDMIVILNDNKQVSLPTADLDGPIPPVGALSRSLSKLQSKQINGALHSGMISGSGSTLFEELGLCYIGPLDGHNIDDLVAILDKVKSTEKTGPVLIHVVTKKGFGYPYAERAADKYHGVAKFDLASGKQLKGKALTPVLTSCFADALIAEAEVDKDIVGIHAAMGGGTGMNHFLRRFPTRCFDVGIAEQHAVTFAAGLACEGFKPFCTIYSSFLQRAYDQVVHDVDLQKLPVRFVIDKAGFVGEDGPTHCGAFDVTYMACLPNMVVMAPSDEAEMIHMVATAVAINDRPSCFRFPRANGIGVELPPGNRGTPLEIGKGRILIEGERVALLGFGTAVQNCVAAASILEQHGLHVTVADARFCKPLDHSLIRSLAKSHDVLITVEEGSVGGFGSHVAHFMALDGLLDGKLKWRPMVIPDVYINHGSPAEQLAVAGLTSSHIAATVFNILGQTRQALEMDTVT >CAK8539067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502787195:502790618:1 gene:gene-LATHSAT_LOCUS8200 transcript:rna-LATHSAT_LOCUS8200 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLKGKYIEGFSQPESDNSNSNSKRDSPKPNHPPLPFNLTSSAMRRTADNQTYYVLGHKTPNIRDLYTLGRKLGQGQFGTTFLALDNSTSIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDSLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFESDPWPLISDSAKDLIRKMLCSRAADRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDSSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVFLEDIIKEVDQDNDGRIDYGEFSAMMQKGNVGVGRRTMRNSLNLSMRDAPSSF >CAK8533889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667740716:667743743:-1 gene:gene-LATHSAT_LOCUS3477 transcript:rna-LATHSAT_LOCUS3477 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRGLSLEEKREKMLQIFYESQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHQKLDSDLHSSGKRHAQLVEQCEALKKGREKSDEREAALADLKVIEQKHKELKSELEKYRDNDPAAFEAMKEAIEVAHTSANRWTDNIFTLKQWCSNNFPQAKEQLENMYKEIGITDDFDYLEMAPLPSKAVAE >CAK8565544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:265551452:265553511:1 gene:gene-LATHSAT_LOCUS18747 transcript:rna-LATHSAT_LOCUS18747 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQPLEDTIMEMRECFMLSPAGDGKPTLRTAHFLKPIANSIRELNFQFNLDPSSSSCSVFEPKECSLKINFNGWRYPQTKWVKWVDQLKPKYESVWKKVGIFEPIMSTKSRIMKNQDLVYGVVEKWCSERNTFVFPFGEATITLEDVIVLGGYSLFGDPVFTPLEDQEMKEVEKKLILARQERSKKGMTSTSVWMDVFIDKSSEIEHEAFLVTWLSIFVFPHKYKLVKSCLFPIAVHLARGNPIALAPAVLASLYKDLSLFKETIVGFKKYSIGGDRLPMVWEVSLQSPFYLVQVWVWERFKNLQPQPKLINKGDHVLLRWHMVKALEIENVRLELDSAIDDFLWRPYVRYADNCGMFYPNDGISVPFKKDLDKQMLSFVICMRVSELVGFETIEQYLPHRVAMQFGMDQDVPGYVSRFNNNEAIAWKNYTRPLSDTSLHFPSRFFESDVTTRYAKWWGKSVLGPQGFNKNVGRRKRNAGSSKCSPEAKLSLDFCQKLVGPVTLGKSCDDVSKTNKGDNIVDGDVPSDFIPEVLTTMSSESSVEDVLKAEKNVDADAPSSLPQKQNITPSPLISVDDCKNVLEEDVEFKDANEGKEARMSSNRISESGTQEESYSNLSEASIAELERRISLLEKVHAKLKTMR >CAK8538918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499515801:499519312:-1 gene:gene-LATHSAT_LOCUS8065 transcript:rna-LATHSAT_LOCUS8065 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRILRSRFSSVASSSSKRFLSINAAPQPPPSSATSPNRWNFLKYAVIGAFTATTVFTGYASYAYSADEIDEKTKLFRQSAKNTPADGATALDKFQGLVYSTAVTVPAKAVELYLDTRRLIEEQIRSYTEPYTDKLLPDLLPQEQHVFTLVLDLNETLIHYIWTRDTGWQTFKRPGVDAFLEHLAQFYEIVVYTDEQNMFVDPVIERLDPKHCIRYRLSRPATKYKDGKHYRDITKLNRDPAKVMYLSGHALESCLQPENCVPIKPWLQTDKDDTALVDFIPFLEFVARSSPADIRPVLQSYQGSDIPSEFIRRSKEHMRKTQGQKRFWK >CAK8565023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:27440389:27443220:1 gene:gene-LATHSAT_LOCUS18264 transcript:rna-LATHSAT_LOCUS18264 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLFSNISAPFFSHHTHTQTNFQHYTHSFFPTRHEILTFFSSKFNHSSVYAPTITPIHLHNFSTAFSAGDGGRFPGSGGGGGDGDGEGDEEEERDRNRKEAMLVLAEAGRSLESFPADLAVAVKAGRVPGSIVGRFFELEKSVVFRWLFKFEGFKERLLADDLFLSKLVMECVVVIFTKAAAELERRKENFTKEMDFVVANVVTGIVTGFVLVWFPAPTVSLKPPPAVTAGLIAKFFYGCPENAFQVALAGTSYTLLQRIGAIVRNGAKLFVVGTGASLVGISITNALINAQKTVNKAFSVENLPVVSTSVAYGIYMVVVSNLRYQVLAGIIEQRILEPLLRRNKLMLTAAYFAVRTANTYWGSLLWVDFARWAGVQKIKG >CAK8534227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707477961:707483205:-1 gene:gene-LATHSAT_LOCUS3787 transcript:rna-LATHSAT_LOCUS3787 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDPSKKVADRYLKREVLGEGTYGVVYKAIDTQTGQTVAIKKIRIGKQKEGVNFTALREIKLLKELNDPNIIELIDCFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPGDIKSYLQMTFKGLAHCHKKWILHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGTKQYGPGVDVWAAACIFAELLLRRPFLQGSSDMDQLGKIFAAFGTPSPSQWSDMVYLPDYVEYQYVPAPPLRSLFPMASDDALDLLSKMFTYDPKERISVQQALEHRYFTCPPPPTDPEKLPRPAPKKEIKESDLNPREGPTVLSPPRKTRRVMPGRDGLEGSSLQGDKVDDTHSNWKQAAGENNTGKNDPAPMSLDFSIFGLKPPNRPTINSADRSHLKRKLDLEFQ >CAK8537185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:125474006:125474728:1 gene:gene-LATHSAT_LOCUS6494 transcript:rna-LATHSAT_LOCUS6494 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLSQPAPDSPKSTIKFLCSYGGKILPRYPDGKLRYLGGHTRVVSVDRSVQFSELLPKLEELCGSSVTHFRCQLPAEDLDALVSITSDEDLVNLIEEYDRTASPQLPLKIKAFISPPRSSNKVSKPPLPTISKTKSVSSSSSASSTSSSSSYCSITGGSSSRKYTTAPPVVNRCVHHMSQAQQTMNHLNMERTSGRNILLQRNCNHWQ >CAK8563294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590751608:590759581:1 gene:gene-LATHSAT_LOCUS16719 transcript:rna-LATHSAT_LOCUS16719 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISVGRDRLFAALGRTYTQEEFEDLCFSFGIELDDVTTEKAIVRKEKHLEEEEGEEDEEIIYKIEIPANRYDLLCLEGLAQALRVFCGLQDIPTYKLSDISKDKMLKMHVKPETSLIRPYVVCAVLRGITFDKARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDKLEGPFTYEALPPSSINFTPLKQERSFRADELMEFYKSDLKLKKFLHIIEDSPVYPVLYDSKRTVLSLPPIINGAHSAITLETKNVFIECTATDLTKAKIVLNTMVTAFAEYCENKFVIEPVEVVSSDGKSHVYPDLSIYNMEVSLSYITGLIGVSLEAEEVTKFLNRMQLHAKQSTSDSKQCNFIVSVPPTRSDVLHPCDVMEDVAIAYGFNAIKDQAIVDNKGSKRLAASLTLLPLNELSDLIRQEVAMIGFTEVLTFILCSKKENFSMLNRKDDKSKAVIIGNPRSSDFEAVRTSLMPGILKTAAHNKDHPKPIKIFEVGDIAILDDNDVGAKNLRQLGALYCGANAGFEIIHGLVDRVMEKNGITFVSPGDKSGYYIERSDEPEFLAGRQARIIYKGKQVGTFGIVHPEVLNNFDIPDPCSFVELNIESFL >CAK8576164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416269000:416269290:-1 gene:gene-LATHSAT_LOCUS28373 transcript:rna-LATHSAT_LOCUS28373 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLGLIPRLMTKGESAWRKVKIEGNRDKFPSRVFRRCNLGNERKRGPRLQLEERPVGSPNPDPASRVLQVRRDPVPNYRLLPELRYRSRARNGR >CAK8538333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477739593:477749714:1 gene:gene-LATHSAT_LOCUS7545 transcript:rna-LATHSAT_LOCUS7545 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPAQTIDVDEPLICLILLKTDSALQRNTDPNVWAIEINSMLHSSAVTLPSVELARRLVYHFFWNNHGPTAWKILDAAASRNIVPPLLLLALLSTRIVHCRRLQPKAYRLYLELVKLHAFTLGAHFYSANKEKIMESIDDVLKLSQVYGKKVSEPGVVLVEFVFSILWQLLEASLDDERLLDHIPEIKPRWLSKSDDMDIDEPVSNNKMDTQRMERLQRGNTMLAIEIIVEFLQNKMTSRLLSLVHRNMPLHWGYFNYQMQLLASNSSILRNSKHNADTLLSFVENIRSNTKFKLESIVGIPSGSHISFAGQSYGSNWFSFWLSIDLILEDALDGGQVAAFSAIEIITGLVKILHSVNDSMWQNTFLGLWSATLRLVQRERDSKPGPIPRLDTCMCLLLSITTHVVANIIEEEESELIEEAERVPTNQGKFEEIRGKRRGELIASLQLLGNSQYLLTPPHTVLMEANQAAVKATKFVSQNPVGSGHLESLTMDELPTNCSGNLLHLIVEACIARNILDTSAYYWPGYVNPRSNQIPSSISNHVDGWSSLMKGSKLTPGLVDVLTETPASR >CAK8574422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681148594:681148758:-1 gene:gene-LATHSAT_LOCUS26771 transcript:rna-LATHSAT_LOCUS26771-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTLPTIPGSSGYLDIHPQREFSMLKNPYILGLTYVASIGGLLYGYDTGTNY >CAK8574421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681148048:681148758:-1 gene:gene-LATHSAT_LOCUS26771 transcript:rna-LATHSAT_LOCUS26771 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTLPTIPGSSGYLDIHPQREFSMLKNPYILGLTYVASIGGLLYGYDTGVISGALLYIKDDFPAVRHSHFLQETIVSTAVAGAIVGAAIGGWMNDSYGRKKATILADVFFILGAILMAAAPDPYILILGRVFAGFGVGVASVTAPVYIAELSPSEIRGALVATNVLMVTSGVFVSYLVNLALAQCCTLNFDPLDHRHHFRIIFS >CAK8537281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:202425467:202426717:1 gene:gene-LATHSAT_LOCUS6580 transcript:rna-LATHSAT_LOCUS6580 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKPSFNIVFTNKFDVEEHEMLLEAYFVQIDGTLNKLSTLREYVDDIEDYINIMLDDKQNHLLQMGVMFTTTTLVVSAFVVVAGVFGINIHIELFDSDRYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8563070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570996461:570999183:-1 gene:gene-LATHSAT_LOCUS16515 transcript:rna-LATHSAT_LOCUS16515-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRGPDDNKSSDDKRRKPPPFCSVVREVMKLQSVRNLMEPILEPLVRRVVKEEVELAIKKHLNNLKQTCGKELNTQESRTLQLQFENSISLPVFTGARIEGEDGSNLRIRLVDSLTGKVVCTGPESSAKVEIVVLEGDFEEESDIWMPEDFKNNIVREREGKKPLLTGEVILYLKDGICMMGEISYTDNSSWTRSRRFRLGARVVDNFEGIRIREAKTDSFIVRDHRGELYKKHHPPSLSDEIWRLEKIGKDGAFHRRLSREKIRTVNDFLTLLNLDPTKLRAILGTGMSAKMWEITVEHARTCVLDTTRHVSSASNSQQPRVVFNAVGQVTGLLSECEYIAVDKLSETEKADAQISVLSALSQGDYTSFEDEASLMDGYSHLTNAHYSPRTEGSSADKLMASQKIAGFNYTQESASSTDIMPSIYSVGGSSGLDDYGLPNFDSIGLRYDQNLGFPLQVPNSLICDTDSIVHAFTDEDHLQFFEADLQSQCQIEADLQSAVDSFMLAPSTSMSNGKARRRWRKVVNVLKWFMVRKRRNQLYR >CAK8563069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570996292:570999183:-1 gene:gene-LATHSAT_LOCUS16515 transcript:rna-LATHSAT_LOCUS16515 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRGPDDNKSSDDKRRKPPPFCSVVREVMKLQSVRNLMEPILEPLVRRVVKEEVELAIKKHLNNLKQTCGKELNTQESRTLQLQFENSISLPVFTGARIEGEDGSNLRIRLVDSLTGKVVCTGPESSAKVEIVVLEGDFEEESDIWMPEDFKNNIVREREGKKPLLTGEVILYLKDGICMMGEISYTDNSSWTRSRRFRLGARVVDNFEGIRIREAKTDSFIVRDHRGELYKKHHPPSLSDEIWRLEKIGKDGAFHRRLSREKIRTVNDFLTLLNLDPTKLRAILGTGMSAKMWEITVEHARTCVLDTTRHVSSASNSQQPRVVFNAVGQVTGLLSECEYIAVDKLSETEKADAQISVLSALSQGDYTSFEDEASLMDGYSHLTNAHYSPRTEGSSADKLMASQKIAGFNYTQESASSTDIMPSIYSVGGSSGLDDYGLPNFDSIGLRYDQNLGFPLQVPNSLICDTDSIVHAFTDEDHLQFFEADLQSQCQIEADLQSAVDSFMLAPSTSMSNGKARRRWRKVVNVLKWFMVRKRRNQLYSLPEVKELFGFSFVI >CAK8530219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8225543:8227047:-1 gene:gene-LATHSAT_LOCUS103 transcript:rna-LATHSAT_LOCUS103-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTSSLFLSTTDYSPHKPLHSPSSSSTSSSHFPVKPLIHHTHTLPISLKWRTNVSFFTSFLKKPKDASTIKEELLEAIASLDRGADATPEDQLRVDQIARKLEAVNPTKQPLKSSLLDGKWELMYTTSQSILQIKRPKFLRSVTNYQAINADTLRAQNMESRPFFNQVTADLTPLNTRKVAVKFDTFKIAGFIPVKAPDTARGELEITYLDEELRVSRGDKGNLFILKMVDPSYRIPA >CAK8530218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8225543:8227053:-1 gene:gene-LATHSAT_LOCUS103 transcript:rna-LATHSAT_LOCUS103 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALSSTSSLFLSTTDYSPHKPLHSPSSSSTSSSHFPVKPLIHHTHTLPISLKWRTNVSFFTSFLKKPKDASTIKEELLEAIASLDRGADATPEDQLRVDQIARKLEAVNPTKQPLKSSLLDGKWELMYTTSQSILQIKRPKFLRSVTNYQAINADTLRAQNMESRPFFNQVTADLTPLNTRKVAVKFDTFKIAGFIPVKAPDTARGELEITYLDEELRVSRGDKGNLFILKMVDPSYRIPA >CAK8575153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41339202:41339444:1 gene:gene-LATHSAT_LOCUS27439 transcript:rna-LATHSAT_LOCUS27439 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGNPQRGSAAATASMRRRKTAGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFREA >CAK8544476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687855713:687858081:-1 gene:gene-LATHSAT_LOCUS13152 transcript:rna-LATHSAT_LOCUS13152 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLILIIIFSFIISVIRSDTLSPLYMLENDVVSVPVSSSQPQPLMVPLTLIQGADSKGAVCLDGTLPGYHLHPGSGSGVNNWIVNLEGGGWCNNIRSCVFRKTTRRGSSKYMQKELPFTGILSNKAEENPDFFNWNRVKVRYCDGASFAGDSQNKASNLQFRGQKIWQAAMEELMSKGMKNAKQALLSGCSAGGLATILHCDEFGSLFPKSTKVKCFSDAGFFLDATDVSGGRTLRKMFAGVVSLQEVQKNLPKSCLDHLDPTSCFFPENLIDHIQTPLFLLNAAYDGWQLYESLAPFTADPHGYWKACKLNQTNCNSTQIEFLQNFRNQMLNDVKGFSTTSQSGLFINSCFTHCQSERQDTWFASDSPLLNNTPIAKAVGNWFLDRQVVKAIDCPYPCDKTCHSLFSK >CAK8540774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20518664:20520516:1 gene:gene-LATHSAT_LOCUS9744 transcript:rna-LATHSAT_LOCUS9744 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTNSSNSEKKFVRVDISSDTVCPFCFVGKKNLDKAIASSKDKYNFEIKWHPYQLNPNAPKEGIDKREFYRSKFGSRSVQMEARMLEVFRGVGLVYSLNGLTGNTLDSHRLIYFSGEQGLDKQHNLVEELFLGYFTQGKYIGDRNFLLESAAKVGIEGAEEFLKNPNNGLKEVEDELKTYSRNISGVPHYVINGTQTISGAQPTEAFLRAFQLATS >CAK8568942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:657969155:657986697:-1 gene:gene-LATHSAT_LOCUS21849 transcript:rna-LATHSAT_LOCUS21849 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTKDQVLSLLAAANNHGDVSVKTSSLKQARDLLLSIDPSLAAELFPYLLDLQSSHESLVRKLLIQIIEEIGFRAAEHSPTLISSLLTLLRDSDVTVVKQCIISGINLFSSCFEEMILQFQQRGKVERWLEEIWMWMLKFKEAVFEIALEGGSIGIKLLALKFLEIFVLLFTSDISYPEKSATEGVSQAVNISWLVDSHPVLDPTVLMTEANRTLGILFKLLQCSGNLPGCLTITVVNCLAAIARKRPQHYGTILSALLDFDPNVQTVKGCHLVSIQYSLRTAFLGFLRCTYSPIIESRERLIRSLRAMSAGDAADQVIRQVDKMIKSGDRFARDTRVGKDNQPSTQLPVSGELSRKRPVPHDNEQLANGHEAIAKRIRSGPDSDLTSPAQINDSGRDLSSINGVSPNVPVLESELTAVEQMIAVIGALIAEGERGAKSLEILISQIHPDLLADIVIANMKHLPKTPPSLARFENSSVTRSVGSQVSQSQVITASASTNSVQSLAVSAEAQFPSTTAISTATTSSPSETSNFSNLPADSKRDPRRDPRRLDPRRGAITPGGAAISVTDDTGATELESEDPVSIIKHPSHHVASTDEESNLTIKVKNEDRIFESPPLPGSDLVSPKTEALEGPGEHRITEANASLNPGVSSTDSRDEVPSSVNLLDDTETDGTDSSSILELDQFSLDVQVSPKSEDTCLELPQLPPYIQLSQEHESKVKHMAISHIIESYKHLHGEDSQQFCMPLLARLVAQIDNDSVITVMLQKHILEDHWRKGNELVLHVLYHLHSSMILDSAGNSSSFAVLYDNFLLGVAKSLLDSFPASDKSFSRLLGEVPFLPESALKILEDLCYSDVIDHDGKIIRDIERVTQGLGAIWSLILGRPQNRQSCLAIALKCAVHPQDEIRAKAIRLVTNKLFQLSYIAEDVVKFATKMLLSAVDHEVSNGVQSGPTEQRTEVENLEISSTSQVSEPENDSTRVAKPTIQSVSSISFSEAQRLISLFFALCTKKPSLLQIVFDNYGQASRIVKQAFHRHIPNLMRALGQSYSELLHIISDPPKGSENLLTLVLQILTQDTTPSSDLISTVKHLYQTKLRDVTILVPLLSSLTKTEVLPIFPRLVDLPLEKFQRALAHILQGSAHTGPALTPVEVLVAIHGIIPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAVDAFPALVDFVMEILLKLVTKQVWRMPKLWVGFLKCVYQTQPRSFHVLLQLPPQQLESALNRHANLRAPLASYANQSTVKSSISRSTLVVLGLANETHVQQHLSASSLHHSEKGASVSGATLT >CAK8576734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510100046:510102556:1 gene:gene-LATHSAT_LOCUS28906 transcript:rna-LATHSAT_LOCUS28906 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNGSVFTDENIGFSFNRTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAVVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTKATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKAIRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8573415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611048336:611049382:1 gene:gene-LATHSAT_LOCUS25862 transcript:rna-LATHSAT_LOCUS25862 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSDKDSYFAVEFDTSFDPSLGDINGNHIGIDLSSVVSFASVDVLSRGVDLKSEKIITAWIEYRDDMKMVRVWVGYSSTRPPTPILASLIDLSERFREFMHVGFSASNGKGSSIHLVHHWQFKTLSYSHSVSPMDNLEEGDCFLCYAGDLKASGDIFQGKKISDFALGIGGITAFVVSALALIVVICVYMKRKKGGGIREGQNCRFQTNKVPMRLSLSEITSATMGFNRNRLVGEGASAKVYKGSLPFGGDVAVKRFEKVDDLDCLHNPFATEFATMVGCLRHKNLVQLKGWCCEENELVLVYEYLPNGSLDKVLHKNLRSSFVLSWKQRVNIILGVRGCTYLSS >CAK8570918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:170801926:170807355:-1 gene:gene-LATHSAT_LOCUS23627 transcript:rna-LATHSAT_LOCUS23627 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMRTSSWGDSVENAANGSSARPLKPAYVPPHLRNRSMAPAEPPSMVVNANERGLGNWGSSSNFNKPDFGAGRQGYGSGGGFNNRGGGGVVREHGGRREVNPFENDVNESFSEQENTGINFEAYDDIPVETSGENVPSPVNTFAEIDLGDALNQNIRRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGILREQYAQRPRVARTAYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGMRQTLLFSATFPKEIQRLASDFLASYIFLAVGRVGSSTDLISQRVEYVLESDKRSHLMDLLHAQRENDVNGKQGLTLVFVETKKGADALEHCLCVNGFPATCIHGDRTQQEREQALRSFKTGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKMGLATAFFNDSNLSMAKPLADLMQEANQEVPAWLTRYAARTPYGGGSRNKRTGGARFGGRDVRKDSSYNKGTDYYGGASGGGGYGVPASYGGGYGQGVTSAWD >CAK8566999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478822957:478823740:1 gene:gene-LATHSAT_LOCUS20092 transcript:rna-LATHSAT_LOCUS20092 gene_biotype:protein_coding transcript_biotype:protein_coding METEVLDAELVLPNYLSFKRVQMYDKYPKGQSRGRHWKHLKQIIQAENYQNYPPHEPNYVNIESPPPMHPCKRICDITGYEAPYYDPKTNLRYANTDVFKTIRSLPNDYVQRYLSLRNAAVVLK >CAK8570430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45631966:45632660:-1 gene:gene-LATHSAT_LOCUS23178 transcript:rna-LATHSAT_LOCUS23178 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPATLPNGLARWTMMPWPRLPIPFPIDNIQTHNVSLCCDIKELDLSNQRGQSLLCGARRQVRYQDEDEDRDDDEYGYNEEISKLEFYSQSARGEALIVHALVDQNEVEVLIFKGFSSSLSYSTSPDPTRSILPARAVIKSIDRIKGPFDPANIEYLQKDVPWEEFKTNLSN >CAK8540558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10802727:10804550:-1 gene:gene-LATHSAT_LOCUS9554 transcript:rna-LATHSAT_LOCUS9554 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGKSFTSKLKNITLLAISRIAILKNHRKARASYAHYDISQLLKLGYHDQALVRVEHWIVEQNMLDAFVMIEDYCNVLREKAHILENNKECPIDLKESTCSLIFASSRCGKFPELHKIQEIMTSKFGKEFADHSIKLHKNNGVNTKMIQKFSSRYITMEVKMNAMKKIASEIGVTLPFEKDTTLTNKEKLNADQGQNKLEAENRSSVEYVDVKHEEDVQYGPNQNLIEDKNLFDVNEEKRRNKNAAEAVLQALELATFEISKYSNHKQKGVVISKRNYSIDLKEETQICQNPRDEMITQENATILVSKENANRESGMVGELSSYKSLDDDDDDNDHKSEFDDARENEDFSEEKTYPSSQAIRWNPHKSQSNIDVNFTVRRHVKKMHTHHEHLDWKMMSVRTR >CAK8561538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:279923328:279927784:-1 gene:gene-LATHSAT_LOCUS15120 transcript:rna-LATHSAT_LOCUS15120 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVVGPPGSGKTTYCNGMSQFLNLIGRKVAIVNLDPANDSLPYDCAVNIEDLVKLSDVMIEHSLGPNGGLVYCMDYLEKNIDWLEVKLKPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLQLTAVHLVDAHLCSDPGKYISALLLTLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHHLDRDPCSAKYRKLTKELCDVIEHFSLVHFTTLDIQEKESVGNLVKLIDKTNGYIFAGIDASAVEFSKIAMGAPDWDYYRVAAVQEKYMKDDESIDDD >CAK8561539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:279924453:279927784:-1 gene:gene-LATHSAT_LOCUS15120 transcript:rna-LATHSAT_LOCUS15120-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVVGPPGSGKTTYCNGMSQFLNLIGRKVAIVNLDPANDSLPYDCAVNIEDLVKLSDVMIEHSLGPNGGLVYCMDYLEKNIDWLEVKLKPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLQLTAVHLVDAHLCSDPGKYISALLLTLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHHLDRDPCSAKYRKLTKELCDVIEHFSLVHFTTLDIQEKESVGNLVKLIDKTNGYIFAGIDASAVEFSKIAMGAPDWDYYRYPFFPFSSYYSD >CAK8532386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:254615656:254616189:1 gene:gene-LATHSAT_LOCUS2097 transcript:rna-LATHSAT_LOCUS2097 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSVEYEHGVMEFLEIAESNAKKNLAPPKSDAEKSLHLVFLCPCVRCANHEPKLNKKEIMDHLIFHGICQSYTQWIWHGEVVAKSSVSQRDNVSAEMDDRLEDMMRDTGQDSFKKAHAYDTLCSDKDKPLYPGCTNFTCLSAVLKLVNLKANNGWADKSLTELLELLK >CAK8537863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442600600:442602234:-1 gene:gene-LATHSAT_LOCUS7116 transcript:rna-LATHSAT_LOCUS7116 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVIFPFALFLFSFFLFKKHKTSSEKPTLPPGPKGLPFIGNLHQLDSSSLGVNFYELSKKYGSLIFLKLGSRPTLVVSSAKMAKQVMKTHDIEFCNRPSLISHMKLSYNGLDQVFAPYREYWRHTKKLSFIQFLSVKRVAMYYSVRKYEVRQMMKMITEQYVPSNKPVNLHDLLACRTSAIVCRTAFGRRFEDEGTEHSMFHDLLKEMQEMTISFFYTDYLPFLGGFVDKFTGMMGRLEKIFQLLDGFFQTVVDEHLDPERKKLQPHEEDVIDALIDLKNDPYCSMDLKSEHIKPLIMNMLFAGTDTISSVVVWAMTALMKNPRVMKKVQEEIRKVFGEKGFIEEEDVQKLPYLKAVIKETMRLYPSLPILLPRETMKECEIEGYRIPNKTLVFVNAWAIHRDPEAWKNPEEFYPERFIGSDIDFKGQDFDLIPFGSGRRVCPGLNMAVATVDLLLANLLYLFDWEMPEGLKREDIDFDGLPGLVQHKKHPLCLVAKTRIACV >CAK8537436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:355398338:355398718:-1 gene:gene-LATHSAT_LOCUS6728 transcript:rna-LATHSAT_LOCUS6728 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDLRWEELKSRNRRQHDDRGSVWVDRSGKANREDEAISSFLFTNYLKDFGAKELFETFKEYGLVHEVYILRKKAKRGKRYGFVLFRKAKDVMRGKLKQGSKTLWVTNMVISITNWTGIKDPVT >CAK8576771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512875490:512876557:-1 gene:gene-LATHSAT_LOCUS28939 transcript:rna-LATHSAT_LOCUS28939 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTDMLQAKRGICQGDPLSPILFVLIIEYMNRLLMKMQRDPNFNFHAKCEKLKITDLTFADDVLLFCRGDEISLHMILHTFRAFSMSTGLIMNLNKCRIFFGGLDKEKRKVLQEMSGFQEGTFPFRYLDIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSYAGRIQLVKSITMTMVQYWLHCLPMPKTVIKKIDSICRSFIWTGKNTVSRKCHVAWKRMSCPTAQGGLNLINLQIWNNVLLLKCLWNLCNKSDTLWVKWIHIHYFKDKQIMNYEIKTQNSWIMRSILKQRDTMDLIRNEWDQLLISHRFKASVFYKVLIDDDTRVPLKNLIRSNKSRQ >CAK8539409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512114192:512116453:1 gene:gene-LATHSAT_LOCUS8509 transcript:rna-LATHSAT_LOCUS8509 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKSFIMKFAQLLLLFLFFLCSIHQTTAVFSARKNQTDDHNLMTYIVHVKKMENVTSIQSQDNWYHSFLLKALEYKERVVFSYHNVASGFAVKLTQEEAEDLREKHGVVSVRPERKLSLHTTHTPTFLGLKLGQGLWTDDNLGKGIIIGLIDSGINPFHPSFSGEGMPPPPAKWKGHCQFNGRRTCNNKLIGARNLVPNATQELPFESMIHGTHTAAIAGGRFVENASLFGNAKGVAAGMAPNAHIAIYKVCDEEIGCPESSVLAAMDKAIEDGVDVLSLSLGFGSFPFFEDPIAVGAFAATQKGIFVSCSAGNYGPNYSTLVNEAPWFLTVGASTHDRKIAASAKLGNGEELEGETLMQPKYFSQQLFPLVYAGALNRNQSLCLPGSLKNMDVRGKVVLCDMQEYLTLPSIVPGQEVLNSGGVGMILANSATLNFTTFVIPHVLPAVEVTYAAGLMIKDYINKTYNPKATLSFKGTEIGDSNAPSVVSFSSRGPSQGSPGILKPDIIGPGLNILAAWPDSLDNSTQRFNIISGTSMSCPHLSGIAAVLKNSHPDWSPAAIKSAIMTTANKVNLEGKAILDQRLLPADLFATGAGHVNPLKANDPGLVYDIETNDYIAYLCGLNYTDKQVGLILQQEVKCSEVKSIPQAQLNYPSFSILLGSTSQFYTRTLTNVGPVNTTYNVVVDVPLAVDMSVSPSEITFNEVKQKVTYFVGFIPEGKENRGDKMIAQGSIKWVSGKYSVSIPVSVVFV >CAK8539410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512114216:512116453:1 gene:gene-LATHSAT_LOCUS8509 transcript:rna-LATHSAT_LOCUS8509-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAQLLLLFLFFLCSIHQTTAVFSARKNQTDDHNLMTYIVHVKKMENVTSIQSQDNWYHSFLLKALEYKERVVFSYHNVASGFAVKLTQEEAEDLREKHGVVSVRPERKLSLHTTHTPTFLGLKLGQGLWTDDNLGKGIIIGLIDSGINPFHPSFSGEGMPPPPAKWKGHCQFNGRRTCNNKLIGARNLVPNATQELPFESMIHGTHTAAIAGGRFVENASLFGNAKGVAAGMAPNAHIAIYKVCDEEIGCPESSVLAAMDKAIEDGVDVLSLSLGFGSFPFFEDPIAVGAFAATQKGIFVSCSAGNYGPNYSTLVNEAPWFLTVGASTHDRKIAASAKLGNGEELEGETLMQPKYFSQQLFPLVYAGALNRNQSLCLPGSLKNMDVRGKVVLCDMQEYLTLPSIVPGQEVLNSGGVGMILANSATLNFTTFVIPHVLPAVEVTYAAGLMIKDYINKTYNPKATLSFKGTEIGDSNAPSVVSFSSRGPSQGSPGILKPDIIGPGLNILAAWPDSLDNSTQRFNIISGTSMSCPHLSGIAAVLKNSHPDWSPAAIKSAIMTTANKVNLEGKAILDQRLLPADLFATGAGHVNPLKANDPGLVYDIETNDYIAYLCGLNYTDKQVGLILQQEVKCSEVKSIPQAQLNYPSFSILLGSTSQFYTRTLTNVGPVNTTYNVVVDVPLAVDMSVSPSEITFNEVKQKVTYFVGFIPEGKENRGDKMIAQGSIKWVSGKYSVSIPVSVVFV >CAK8561386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:182504763:182505994:1 gene:gene-LATHSAT_LOCUS14986 transcript:rna-LATHSAT_LOCUS14986 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALIVEWAVDYLGVSQRVAQQQVRECRGSYYKLEWLYDMFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEAQYISLLIDLDGLSGYSWGAAALVTLYRYLGDASMFSYKQLGGYPTLLQCWIHEYFRTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYMGCLRWGDTIVPYFPDRCMRQFGYRQYVPHPPIDHRMASDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPTPYHDAPAEMPAEMPVPVYEAGPSNPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALHIA >CAK8574369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677979611:677980507:-1 gene:gene-LATHSAT_LOCUS26723 transcript:rna-LATHSAT_LOCUS26723 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSKDVWVVFEPLGVIHNNKLMFFYIASFTTLPLSFLLFTLSITTHTLRSHIYHLEALATLTSTLMEARHVWHESRDNAVYLLRVRSLFFLLCFPLSLAAVVSSVHTTTSSLQGKTVTVNSTVNAVKDNWKRPFVTAIFVYVLLLTFSPVPRVVASVFVTRESRFVVMAIGAGVEVYLMAVMGLGLVVSVAEERSGWSAISVGSGLMRGRRLVFGWLISVLFVMVSGFINGKMEGLLEGSNSGVWDKTVLICSYGLTVVFSYVVTTVFYWESRMPHSVRERETTVQDVDSISLSSL >CAK8561846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:393531543:393538894:-1 gene:gene-LATHSAT_LOCUS15400 transcript:rna-LATHSAT_LOCUS15400 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDMPLSMAQNSRSSRSSFSSTNGNENTLVNNYTAVNIADDSDTDTSNLAPSTPSTLAMAVPAELAGAIPLIDKFQVDGFLKLMHKQIQSAGKRGFFSKRSSDPQAREKFTFEDMLSFQKDPIPTALLKINGDLVSRATKLFQIILKYTGVVDSIDNATPLSLDERVELVEKLYKQSLKRSELRDELFIQISKQTRNNPDRQYLIKSWELMYVCASSMSPSKDIAVYLSEYVHNIAHGVATDSEIQTLALNSLNALKRSVKAGSRHVIPGREEIGALLTGTKLTNVVFFLDETFEEITYDMSTTVADAVEELAGLIKLSTYSSFSLFECRKVVTSSKSSDPGNEEYIGLDDNKYIGDLLAQFKAAKDRNKGDILHCKLIFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGKDDASQLSALQILAEIGSVSAPESCTNWNSLLERFLPRQISMTRARREWEFDILSRYHSLEHLTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIIIGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKTRSSSGGSSLNGDVSNNPKPPSSESYEKRIQELTKLAEESQRNVDQLHIELREKEELEEKLQEQVDGLKESLKANKQNLEAVTSDCERLRILCGKKDLALQVIENDSKKELVETNNQVLQKLKYELKHCKDELHSAEETIETLTSEKNILEQKLSVLEKRNAEESNSLQRKLEQERKAVKSEVHDLERKLEGYRQELKAAKSIISVKDSELTTLHNNLKELEELREMKEDIDRKNEQTAAILKMQGTQLAEMETLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPLSEKEVAEKEREAVTAVDEFTVEFLWKDDKPKQYIYDRVFGGDATQETVFEDTRYLVQSAVDGFNVCIFAYGQTGSGKTFTIYGSEDNPGLTPRAIAELFRILRRDSNKYSFLLKAYMVELYQDTLLDLLLPKNAKHSRLDIKKDSTGMVVVENATVVSISTIEELNNIIHKGSERRHISGTQMNAESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSMGSQLKEAQSINKSLSALGDVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPIESSLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKQQAGRAAENEDLEEIQEERLPKERPDSHGSSRSSLG >CAK8575754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:309263073:309263975:-1 gene:gene-LATHSAT_LOCUS27990 transcript:rna-LATHSAT_LOCUS27990 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSQKSKAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVLATTYAHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLEMDEEYEGNVEATGEDYSVEPAESRRPFRALLDVGLVKTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDAEIHRKYIFGGHVTAYMKTLIEDEPKKYQTHFSQYIKKGIEADEIEELYKKVHAAIRADPSIKKSGKQPPKEHKRYNLKKLTYDERRAKLLSHIKATHTLQQFRNHNWRH >CAK8573253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598279066:598281286:-1 gene:gene-LATHSAT_LOCUS25723 transcript:rna-LATHSAT_LOCUS25723 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTQISERSSSSMDMESSVPPGFRFHPTEEELVGYYLNRKINSLKIDLDVIVEVDLYKIEPWDIQDKCKLGYEQQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAVTSKNRVIGMRKTLVFYKGRAPNGRKTDWIMHEYRHQTSEHGPPQAKGWVVCRAFKKPSPSHRPGYEPWYSNQQQPQYFRNDIQLQSYATTRPLSITDLLHEGTSFSSHPFSNDHHFLSNQNTPFMDNKQQLIELPQLDSPTTIECSQQQHHINGLITNEEFCSEDRSNDNNNNGQVIDWKSLDNLFTSQFTDTDNYFSHQNSLPLMISHSNNQNELQSQNQVNNILGCFPDS >CAK8566286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399871449:399875256:1 gene:gene-LATHSAT_LOCUS19428 transcript:rna-LATHSAT_LOCUS19428 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSEPALVPQWLRNSGSVPSSAHHFASSSNHTDTQTVAHHSRNRSSKTTSDFDSPRSVFLERSFSSNSRRGTTNGSAKNAYSSFNRNHRDKDRDRDKDRSNCLDHWDRKCSEPLADLFSVRSERDPLRRSHSLVSRKQNELSNHRGAVDTKSGGNCNQSNGSDALSGGSISSSYHKAVFDKDFPSLGGDERPGSAEIGRVKSPGLGGTASQSLPVGSSPMIGGDSWTSALVEVPSMMGNSSTGSQTAQQIVTPISGSVVSSTSAGLNMAEALVQTPYRAQSTPQVSVKTQRLEELALKQSRQLIPVTPSLPKALVNNSEKSKPKTALRNADMNMAAKSVPQQPSALHIVNHSVRNGNAKGDAPKTSGKFTDLKSVVWENGVSATAKEASAPTSYSNHKPGNHLAVASAVTSAPLRNPNSLKSPTERRPASLDLKVGSTTDKKQSASQQQSRYDFFNLLKKNTLNSAVLPDSSPVVSPATADKSGEVNMEAVEPPAILQDLGNSTEVTSNGNAHVEEVHRLPDIRWKVSTSAEEEFAFLRSLGWEEEDDSGEDEGLTEEEINNFYQDCLKSDPTTLLKLCPGLLPKLSKFLESYATNMNGASAGSSSSDTGSEV >CAK8573609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624883724:624886201:-1 gene:gene-LATHSAT_LOCUS26039 transcript:rna-LATHSAT_LOCUS26039 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYQITPMEKMSSILTTCFLLSIVFLSTTNSEFTKNTYIIQMENSAKPEVFSSHLDWYSSKVKAVLSKSVEAEIDNNDEERIIYSYSTAFHGMAAKLSAEEAKKLESEDGVVAIFPDTKYELHTTRSPYFLGLEPIQTNNRSCSEKLVSHDVVVGVLDTGIWPESESFNDAGMTPVPSHWKGACETGRGFQKHHCNKKIVGARIFYHGYEAATGKIDEQADYKSPRDQDGHGTHTAATVAGSPVHGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDTAVADGVNVLSISLGGGVSSYYRDSLSVASFGAMEKGVFISCSAGNSGPEPVSLTNVSPWITTVGASTMDRDFPADVSLGNGRKISGTSLYKGRAMLSVRKHYPLVYLGGNSTSPDPRSLCLEGTLDRKIVAGKIVICDRGISPRVQKGQVVKNAGGVGMILTNTEANGEELVADCHLIPAIAVGEKEGKNIKQYVLTNKKPTATLAFLNTRLGIKPSPIVAAFSSRGPNFLTLEILKPDIVAPGVNILAAWSGVTGPSSLPTDHRRVKFNILSGTSMSCPHVSGIAAMIKAKHPEWSPAAIKSAIMTTAYVHDNTIKPLRDASSAEFSTPYDHGAGHINPRKALEPGLVYDIKPQDYFEFLCKQKLSASELAIFSKHSNKTCKHTLANVGDLNYPAISVVFPEKTTGSASTIHRTVTNVGPAVSKYRVIVTPFKGAEVKVEPDTLKFTRKYQKLSYKISFKVTTRQSEPEFGGLVWKDGVHKVRSPIVITYLPPM >CAK8564911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15409599:15412433:1 gene:gene-LATHSAT_LOCUS18162 transcript:rna-LATHSAT_LOCUS18162 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSFVLQQLGQFVLKETFRSVVEERTSVARLEKDLDGIKVKLERIHDYLKDADTRASDHGAGVTTLVKQLRELSFQIQDVLDYYIMYEAERVNHSGLQSPFQVIYGLIKTRNRRSQIVSEIQDIKLSLDEIQQSTGFEFRSESGSGSFRGAKAPRTGEDPRSHPYFIEEKKVVGFELPRDELVGRLVWGNNQLMLVSVVGMGGLGKTTLVKHVFNSELVIKKFPCRCFITVSQSYTIGELLKEMIRKFCKICNELIPQGLQKMEGETLINQVRQYLVSKRYLVIFDDVWNDKFSDEIARALINNNSGSRILVTTRYMHVAKYSIRYFPIHVHQLQPLPPEKAWELFCNKAFRGQCPTDLEGVSEEIVQKCGGLPLAIVAICGLLSTKGQDISEWEKVSENLRMEIHGNVLLNDLVKILSYSYDDLPYHLKSCMLYFAIYPEDYIINRKRLTRQWIAEEFVTHEEGRTLEELSEKNLTELIHRSLVNATKVGFDGKVKSCQVHDTLRDVIIKKMKGLSFCNSCCKDGEQVIVEKTRRFSIAAISNNDLTNTSYSGIRAIFVFDKGEFPNDFIDGLIAKFKLLKVLDFENSLLKSIPDDLGNLFHLRYLNLSHTKVMVLPRSIGKLINLETLDLRQTQVQELPEEINKLTKLRLLPVYYRKYEGHYSMLNFTTGVQMQQGIGCLTSLQKLYFLEADHGGIDLIQELKKLRQLRKLGIRRVRGEYGSALCATIQKMKHLESLNVTVIAEEEILDLDFIAEEEILKLKVLNLKGRLKNLPNWIPNLKCLVKLRLGLSHFEHDPLDSLKNLPSLLRLNLWDDAFAGENLHFKVEGFPKLKELDLTRLNKLSSIIIEKGALLSLEHFRFNNNPQLKVVPQDLRYLENLQFLGFADMPSELVESIDPRRGGECHWIIEHIPLVRIRQKVGSRFHDYELYPIPTISNV >CAK8538176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467008080:467008352:1 gene:gene-LATHSAT_LOCUS7405 transcript:rna-LATHSAT_LOCUS7405 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSMETPQPQPQPQPPLYFDEKWKLSKKEASTRSRSSSSSFIKNTSTQRKCAFARKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >CAK8538688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493030260:493030789:1 gene:gene-LATHSAT_LOCUS7862 transcript:rna-LATHSAT_LOCUS7862 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFNVPNLKNIGDTNNSLSLPFSASNCVGTMATDFPRKSNMATSIRVDESGFLQSSENMEPSSGLLLRPTREVDARISDCPNLPPHLICKRLKYLNATMHADAKQMIPV >CAK8567533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:522536714:522537000:-1 gene:gene-LATHSAT_LOCUS20579 transcript:rna-LATHSAT_LOCUS20579 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRVAVFLWIIYSTLMSLVSSHSIAPAPAPTSDGTTIDQTVACLLMLLALVLTYIIH >CAK8573844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641836705:641837638:-1 gene:gene-LATHSAT_LOCUS26245 transcript:rna-LATHSAT_LOCUS26245 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQLISQEWDFLSGQFTAEEADFMTHFLGGNTNYSYFPANSANIFSTTTNIDSLGEDAKFSPQYLDDSFSNQISYECIDLEWSGLEPGNLVPALGNSLQAKREHEMNFVSESPSGEDRTKNMENPAKRFRSSNDSMELNDGTCPSISPKEHEVSNANLCRKSRARNGPATDSQSIYARRRRERINERLRILQTLVPNGTKVDISTMLEEAVQYVKFLQLQIKILSSDDTWMYAPIAYNGINIGLDLTFS >CAK8539237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507389514:507390068:1 gene:gene-LATHSAT_LOCUS8350 transcript:rna-LATHSAT_LOCUS8350 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGRCESSKEISLSKATKILSKFVSADNGASQVINAYLHRASDAFNELNQLHRELKPSQSRRKKSRSHVTDDSGRVGVSSVTSADVKSEIGIIREKVCGENVDEKLIENDVKLGREINGSVVNGSEKRSKKDKKKKNEFGNKKGDGKLPKRGKMKMNQVKAMKKWRTERNRKRTRRRRTRI >CAK8542344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502606587:502607153:-1 gene:gene-LATHSAT_LOCUS11189 transcript:rna-LATHSAT_LOCUS11189 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCPNFSFLLLFALILTSCISSQAQKCRPNGRIKGKKAPPGQCNKENDSDCCVRGKMYTTYECSPSVSTHTKAYLTLNSFEKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWEALGVPKDQWGGLDITWSDA >CAK8544287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676494428:676495066:-1 gene:gene-LATHSAT_LOCUS12980 transcript:rna-LATHSAT_LOCUS12980 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTSVAVLNRFFYHQHRFAFTKPISRFPLPYSHATLPKVLSMATSHSNQHKFSNRLALEQSPYLLQYAHNPVDCIHGEKKLSPKHASRRTYLFINWVQHLSLVSCYGG >CAK8542596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528640052:528643321:1 gene:gene-LATHSAT_LOCUS11422 transcript:rna-LATHSAT_LOCUS11422 gene_biotype:protein_coding transcript_biotype:protein_coding MILFIILFVLSTLSCPQAVAEVTETEAQALLKWKHSFDNHTQTLLSTWINTTNPCKWQGIQCDKSKSISTINLENFGLKGTLHSLTFSSLPNLMTLNIYSNQFYGTIPPQLGNMSKIITLNFSQNPIEGSIPQEIFTLKSLQKLDFSFCKLSGGISSSIGNLSNLLYLDLGHNDFSGGSIPPEIGKLKKLWFLSIPKSNLIGSIPQEIGLLTNLTYIDLSFNSISGVIPETIGNLSKLNILVLSNNTKLSGPIPHSLWNLTSLNVLYFDKMNLSGSISDSVQNLVNLNELALDLNRLSGSIPSTIGKLENLVTLYLSTNRLSGSIPESVGNLINLEILSLQENNLSGTIPDSIGNLKWLTVFEVATNKLYGRIPSGLYNITDWYSFVVSGNDFVGHLPSQICSGGRLRYLNADYNRFTGPVPTSLKNCSSIERITLEENQIEGDISQDFGAYPKLRYLDMSHNKFHGHISTNWEKSLDLDTFKVSDNNISGVIPLELIGLTKLGRLHLSSNQLSGKLPKELGEMKTLVELKISNNHFTGNIPTEIGLLQRLEELDLGGNELSGTIPEEVARLSRLRKLNLSRNKLEGSIPFQFGSSLGSLDLSGNFLSGKIPTAIGDLVQLSMLNLSHNMLSGTIPQNFERSLVFINISDNQLEGPLPKISVFLNTSFESFKNNKGLCGNITGLDPCPTSRSRKRKNALRSVLIALGALILVVCGVGISMCILCRRKPEKEESQTEEEAQRGVLFSIWSHDGKMMFENILEATENFDDKHLIGVGSQGNVYKAELSSDLIVAVKKLHSVTDETKSYFTSKSFTSEIETLTGIKHRNIIKLHGFCSHSKFSFLVYKFMEGGSLDQILNNDTQATAFDWEKRVNVVKGVANALSYLHHDCLPPIIHRDISSKNVLLNLDYEAHVSDFGTAKFLKPGLPSYTHFAGTFGYAAPELAQTTEVNEKCDVYSFGVFAMEIIMGKHPGDLISLFLSPSTRSMANNMLLKDVLDQRPQQVMKPIDEEVILIARLALACLSQKPRSRPTMEQVSKMLAIGKTPLGNQLHMIRLGQLQ >CAK8571139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:311741542:311741859:-1 gene:gene-LATHSAT_LOCUS23829 transcript:rna-LATHSAT_LOCUS23829-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCEALLAGKQQNISTFMGANSLHGYSFRIFAPTNYNHERDKPTNSNVQQSLPLVNGNPFLDSPSTFPETVPRLRATSYQQEDAFFQLPASRPYVNFLKAAGC >CAK8571138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:311741542:311741874:-1 gene:gene-LATHSAT_LOCUS23829 transcript:rna-LATHSAT_LOCUS23829 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKEMALHCEALLAGKQQNISTFMGANSLHGYSFRIFAPTNYNHERDKPTNSNVQQSLPLVNGNPFLDSPSTFPETVPRLRATSYQQEDAFFQLPASRPYVNFLKAAGC >CAK8579586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:710189256:710191259:1 gene:gene-LATHSAT_LOCUS31520 transcript:rna-LATHSAT_LOCUS31520 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLLDDSNQDKHKRIRTTTTTSFASVIGEVVMVKNMQNLFSGLEPLLRRVVNEEVERAMRKCYPSRSMTKSPSLRLQAMEHPSSFQFIFKKKLSPSIFTGSRLLDMDGNSINVILVDKCNDQNVPTSLPHPIKIEIVVLNGDFPSSEKESSWTSEEFNSNIVKERTGKRPLLTGELNLTMRDGIAPIGDIEFTDNSSWIRSRKFRLGVRVAPGTNQNVRIREGMTEPFVVKDHRGELYKKHHPPNLNDEVWRLEKIGKDGAFHKKLTSAKITTVQEFLKLSVVDPRKLKKILGVGMSEKIWDVTMKHAKTCVMGNKLYVYRGPQFTVHLNAICQMVRAYTINGQTIPNRDINNINKNFIQNYVKEAYNRWNELEEIDEALNDNVALLTQGEGVEQFQNNNHQASYDQNDFFVDKSGCIEWSPFATSSLVNGIAYSFSGAIIDDGALRWH >CAK8536276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924426770:924427621:1 gene:gene-LATHSAT_LOCUS5660 transcript:rna-LATHSAT_LOCUS5660 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFSFSFSLLMFSSLYSPNIAATAPAASPKLAPAPKAASPTSTKPLVPTLPDSPDTSDSTPDDITRILKKAKTFTILTRLLKTTEIMSSINSQLITGKTGGLTILAPDDSAFSNLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGSKAPASAPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGIQGTTLMTIGVAFVAVAMFGCCI >CAK8534258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710656689:710657756:-1 gene:gene-LATHSAT_LOCUS3818 transcript:rna-LATHSAT_LOCUS3818 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLQAELESSGESNASELQEEDKNQTVPGTLLIPCRTAMKGYFPLNGTYFQINEVFVDFASMIKPINVPRRLLWSLTKQITYFGTGTSAITRGMSAEKVREFFNEGYICVRAFETKTGAPRPISPMMHLNTTVKFEKEKVEKEKKAVEKEKNVVPNEIASQDRNHIIDL >CAK8531804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153360109:153365269:1 gene:gene-LATHSAT_LOCUS1569 transcript:rna-LATHSAT_LOCUS1569 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGSSSIYSSSSSRGGGEMDMMNMKPAWLERLMDETFFGDCGVHKNRRKNEKNIFCLHCCLSICPHCLSSHTTHPLLQVRRYVYHNVIRLDDLEKLIDCSNIQPYTINSAKVIFLNQRPQSRSCKVTANACFTCDRILQDPFHFCSLSCKVEHMVYEGQSLSNILHRFDESDFAISQFEGLRVDGSEVIDEDNHQIGPSSSNYSIINEDQATSNNRFLPLPAIVLSLGSRRKGAPQRAPLS >CAK8542131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475873245:475877041:1 gene:gene-LATHSAT_LOCUS10999 transcript:rna-LATHSAT_LOCUS10999 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLNLLLCVLVLFLECCLGRFLVEKNSLRITSPKSLKGSYECAIGNFGVPQYGGTLIGSVVYPNVNQKGCKNFADYDASFQSKPGVFPTFLLVDRGDCYFTLKAWNAQNGGAAAILVADDKEETLITMDTPDEGNVAKDDYVNKINIPSALISKSLGERIKEALLNGEVVHINLDWREALPHPDDRVEYELWTNSNDECGPKCDNQINFVKSFKSAAQLLEKKGFTQFTPHFITWYCPNDFLLSNQCKSQCINNGRYCAPDPENDFNKGYDGKDVVLQNLRQACFFKVANESGRPWQWWDYVTDFSIRCPMREKKYTEECSDQVIKSLGVDLEKINNCVGDPDADVENHVLKAEQDLQIGKESRSDITILPTLVINNRQYRGKLSRPAVLKAICSGFQETTEPSICLTSDMETNECLENNGGCWQDKSANITACRDTFRGRVCDCPIVKNVKFVGDGYTHCEASGALSCEFHNGGCWKQSHGGRLYSACHDDYRKGCECPSGFKGDGVLSCEDIDECKEKLACQCPECKCKNTFGSYECKCNSGWLYSRENDTCIGRFTSSMASIWMIILVAVITLSGGYAFYKYRIQRYMDTEIRAIMAQYMPLDNQPLIIPNQEVHHDI >CAK8573065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580512176:580523660:-1 gene:gene-LATHSAT_LOCUS25556 transcript:rna-LATHSAT_LOCUS25556 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSVRTMATITRPHILLHSRAPSLNLKLNSSSSFLRHQKLPNSFPFTRRRSFCSVNSTSHKSESASIVNDLLDYLNESWTHFHATAEAKRQLLAAGFHLLNENEEWNLKPGGRYFFTRNMSCLVAFAVGEKYEVGNGFYAIAAHTDSPCLKLKPKTASLKSSYMMVNVQTYGGGLWHTWFDRDLSVAGRVILRRSDNSFFHKLVKVNRPILRIPTLAIHLSRTVNQDGFKPNLETHLLPLLSMKLEDTSESKEKTAASSSKASHHPLLMQILSEELKCEVDDIVSIELNVCDTQPSCLGGGNNEFIYSGRLDNLASSYCALRALIDSSGSPSDLASEHAIRMVALFDNEEVGSDSAQGAGAPTMFQAMRRIVASLANNNYVGEGSFERTIRQSFLVSADMAHGVHPNFSDKHEEHHRPELQKGLVIKHNANQRYATSGITSFLFKEVGKIHNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSIREICGKEDIDIAYKHFKAFYQNFSNIDKMLTVDD >CAK8573066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580512176:580523636:-1 gene:gene-LATHSAT_LOCUS25556 transcript:rna-LATHSAT_LOCUS25556-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATITRPHILLHSRAPSLNLKLNSSSSFLRHQKLPNSFPFTRRRSFCSVNSTSHKSESASIVNDLLDYLNESWTHFHATAEAKRQLLAAGFHLLNENEEWNLKPGGRYFFTRNMSCLVAFAVGEKYEVGNGFYAIAAHTDSPCLKLKPKTASLKSSYMMVNVQTYGGGLWHTWFDRDLSVAGRVILRRSDNSFFHKLVKVNRPILRIPTLAIHLSRTVNQDGFKPNLETHLLPLLSMKLEDTSESKEKTAASSSKASHHPLLMQILSEELKCEVDDIVSIELNVCDTQPSCLGGGNNEFIYSGRLDNLASSYCALRALIDSSGSPSDLASEHAIRMVALFDNEEVGSDSAQGAGAPTMFQAMRRIVASLANNNYVGEGSFERTIRQSFLVSADMAHGVHPNFSDKHEEHHRPELQKGLVIKHNANQRYATSGITSFLFKEVGKIHNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSIREICGKEDIDIAYKHFKAFYQNFSNIDKMLTVDD >CAK8569424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:429294:430350:-1 gene:gene-LATHSAT_LOCUS22278 transcript:rna-LATHSAT_LOCUS22278 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITVLAFFCLAFVRTGAIASGEDYWKYVWPNTPLPKAFSDLLLPYGKTNNLPIGVEELNQYSTLFFPHDLYPGKKIVLGNSQSVAKTARPFTEPTQGVTDSIWLENKQRQSLDDFCNSPTAKGEHKHCVSSLESMIDHVISHFRTSKIKAISSTFDKNQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPDFSFERPCAI >CAK8543880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646280649:646280996:-1 gene:gene-LATHSAT_LOCUS12604 transcript:rna-LATHSAT_LOCUS12604 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKKLKLKQLISKVLKSKVYTRRGNYVRVRSIGDDEEETATIVPEGYFVVVAMQGEERKRFVLELEYLRDSRFMKLLEEAKEEYGYEQEGAIAVPCRPQELEKIIENRCNATL >CAK8544812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706904611:706907436:-1 gene:gene-LATHSAT_LOCUS13461 transcript:rna-LATHSAT_LOCUS13461 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGGVHDSGVGGGRREKREVVDKSVEDLTKYAHSPAHLAVARRDHAALRRIVAALPRLAKAGEVNNEAESLAAEVRADEVSAAIDRRDVPGRETPLHLAVRLRDHVSAEILMAVGADWSLQNENGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIVASATRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGLRIQRSDQTFLFLGEGYTSEDGNLTLPHGSLLALSHKEKEVTNALEGAGTQPTEAEVAHEVSLMSQTNMYRPGIDVTQAELIPHLSWRRQEKTEMVGNWKAKVYDMLNVMVSVKSRRVPGAMTDEELFAVEDGESMLNGENNDEYDDVLTAEERMQLDSALRMGNSDAACEDEEHGAFDGQENGSSTSFENSEANGVVKEKKSWFGWNKKSLKSKGDDPEDLKTSKKTSRLGAEGSSQRLGDQQKLASELLKEDSGDTKKGKDKNIKKKKKGAVSESKNESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPAHFQDAKSKESEGSASWISWMKGGRGGQSSDSDSHRYKDEADPFSIPADYKWVDANEKKRRMKAKKAKSKKHKKPPVGKPGDGVHRSTEDIEE >CAK8566693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453167969:453169476:1 gene:gene-LATHSAT_LOCUS19805 transcript:rna-LATHSAT_LOCUS19805 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKLLLIVLSISLVLKISESFDFHEKDLSSDESLWDLYERWRSHHTVSRDLGDKHKRFNVFKTNVMHVHNTNKLDKPYKLKLNKFADLTNHEFRTIYAGSKVNHHRMFRGTARGNGTFMYENFHKAPTSIDWRKKGAVTDVKDQGQCGSCWAFSTVVAVEGINQIKTKKLVPLSEQELIDCDTEQNQGCNGGLMEYAFEYIKQKGGLTTESYYPYTADDGSCDSSKENSPAVSIDGHETVPANDEDALLKAVANQPVSVAIDAGGSDFQFYSEGVFTGDCGKELDHGVAIVGYGVTVDGTNYWIVRNSWGPDWGEQGYIRMERNVSDKEGLCGIAMEASYPIKKSSKNPRGPTSSLKDEL >CAK8541770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392199542:392200386:-1 gene:gene-LATHSAT_LOCUS10665 transcript:rna-LATHSAT_LOCUS10665 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEATSKPINLSDDIVPRVFSYFQQSVVKYVKNSFVWFGPTPRVTITDPEIIKDVLNKNNEIRKNSVSPLVRLLVNGLVNLDGE >CAK8567828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547823557:547824177:-1 gene:gene-LATHSAT_LOCUS20849 transcript:rna-LATHSAT_LOCUS20849 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGMPKKNKASSPSILTTLLQQSFRKDDLERGSIMDTESNSVKSCDEKKETLEVKFSKTPAVVNVESETKENIDVPTPKLWIDIISGNKIPSNGATIEFVSPSIVEGEIEVDIEEADIEFEVKLWDSALIMYVIWKHLSMNAVKQYMIKFRNFVKLPYLYYNEEGYFILKLKSHQEKDFVVMRVLIPYKICLWSLRIGLLILI >CAK8576976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529241187:529242087:1 gene:gene-LATHSAT_LOCUS29127 transcript:rna-LATHSAT_LOCUS29127 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRKKLVNMGYTLAEATFNYYHGEIRRTNIETSNWIDNIPKEKWARAFDGGKHWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRVGSLFGKRGHKWTKMLATGKVFTYGCNKGMTVEVAKANTHNFIQFDREKFCFMVQEKINQNDGRPTGTFSVDLRNRWCGCGKFQAFHVPYSHVIGTCSSIRQDYTIHISEVFTVLNVFKVYKESFLELPHEENWPKYEGFTLCHDDCMRRKKRDVQPIVELELRWTMLKRKREGAGFAEK >CAK8536532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:952906374:952907255:1 gene:gene-LATHSAT_LOCUS5891 transcript:rna-LATHSAT_LOCUS5891 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFPGDTSSSSIDPMFSTFSLQSLLTFNPSFFTDTFHTLTDHPPQLPNLPQSITDQTPFVNNNKTALIVPKTEHPLNLPPLQDYLPLQQQPFNFFPQYYPPFETFHRLPQLHSPEHSNRKRLPHPFAEETTPPPQKQPRFVRGKTPSLIPQSKLARQRRQTLSEKTRCLQKLMPWDKKMDQATLFEEAHKYVKFLQAQISALQSMPSHSTTTTTYRGGGSDGVFGDLKKLSRNQTLHVVVNSPVAQTKLCSQGYCVFSMEQFSQLRKLSERRRQQQQQNLSDNGSSKTFFH >CAK8534589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746276383:746279754:1 gene:gene-LATHSAT_LOCUS4123 transcript:rna-LATHSAT_LOCUS4123 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDMYIRVKRSKTTYFIRCKPSDKVLDIKQKLQELIDQPANDQRLSLPDTGDVLEDSKTLADQKIETDAVVALTLRKDDNEFEEVNIVRPNDFYQSNAEGASW >CAK8534590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746276383:746279676:1 gene:gene-LATHSAT_LOCUS4123 transcript:rna-LATHSAT_LOCUS4123-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDMYIRVKRSKTTYFIRCKPSDKVLDIKQKLQELIDQPANDQRLSLPDTGDVLEDSKTLADQKIETDAVVALTLRKDDNEFEEVNIVRPNDFYQSNAEGASW >CAK8577040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533497264:533497920:-1 gene:gene-LATHSAT_LOCUS29183 transcript:rna-LATHSAT_LOCUS29183 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPKNVKPSNNNATEIHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAKAYDAAARQFRGPKAKTNFPPPSDNKENTVNNNQSPSQSSTVESSTPERALTRSREVPVGGVMDRFPFLSIQQQIMPFPCATAGAVDGMVTTAVHPVFFYDPSGRAEYMNQRFSTRFEPGPIEFNFGGGGGVQSDSDSSSVVDCQPKRALNLDLNLAPPMDV >CAK8577108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537438070:537439161:-1 gene:gene-LATHSAT_LOCUS29241 transcript:rna-LATHSAT_LOCUS29241 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNHSIALLVLSLGLLALEVSCRSLGDKSIYERHQEWMSQYGKVYKDTQEMEKRLKIFTENVNYIEASNNAKSYKLGINEFADLTNEEFTTSRNKFKGHMCSSITRTTSFKYENASVISSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLTTEAQYPYQGVDGTCSANQASTQAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYDVSSDGTKYWLVKNSWGADWGEQGYIRMQRGIDAVEGLCGIAMQASYPTV >CAK8573418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611219841:611225525:1 gene:gene-LATHSAT_LOCUS25865 transcript:rna-LATHSAT_LOCUS25865 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWSVFCGESGCSKPCSDYDVKFLVDPSTCINHFLISCFDVLLLIMLLFVMMIHKLSLKPYQGHIHRERYSNLQLVSAITNGVLGLVHLFYGIWILEEKLRKNQTALPLDLWLLEFFQGLTWLLVGLILSLKIKQLPRAWLMFLSILIFLVSGINCAVSLFYVIGSIHLSFKVGMDVLFFPGAILLLLCTYRESKCSDTDREINEILYAPLNRESNKDNSVSRVTLFAKAGFFSRISFWWLNSLMKSGIEKTLQDEDVPKLREEDRAESCYSMFLEQLNKKNQKDPSSQPSILKTMVLCHWKEIWISGFFAMLKVLALSSGPMLLNSFILVAEGYKSFKYQGFVLVISLFFMKIIESLSQRQWYFRSRLIGLKVKSLLTAAIYKKQLRLSSSARLAHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSFQLCISLVILFRAVGLATIASLVVIVITVLCNTPLVKLQHKFQSKLMVAQDERLKATSEALVNMKVLKLYAWETSFKNSIEKLRNEELKWLSALQLRKAYNVFLYWSSPILVSAATFGACYFLNVPLHVNNVFTFVATLRLVQEPIRILPDVIEAVIQAKVAFARILKFLEEPEQQSENIWKRCSEDNTRGSISIKSAEFSWENFNLSNPTLRNVNLEVRPGQKVAICGEVGSGKSTLLAAILREVPITQGKIDVYGKFAYASQTAWIQTGSIRDNILFGSPMDVQKYQETLHRSSLVKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAQTATNLFNEYIMKGLAGKTVLLVTHQVDFLPAFDFVLLMSDGKILQAASYHHLLTSSKDFQDLVNAHKETAGSDRLVDSSERNSNSTKEIRKTYVEKEKEFEERDQLIKQEERETGDQGLKPYLTYLNQNNGYIYFSAASICHLLFVICQILQNSWMAANVDNPKVSTLRLILVYMLIGVTSTVFLFIRSLFPVALGLQSSKSLFLQLLNSLFRAPMAFYDSTPLGRILSRASSDLSIVDLDVPCSLFFAVGVTINCYASLTVLAVVTWQVLFVSMPMLYFALRLQRYYFASAKELMRMNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFVKNLDLIDINATPLFHSFAANEWLIQRLETFSAVVLASAALCIVVLPPGTFSSGFIGMALSYGLSLNDSLVFSIQNQCNIANYIISVERLNQYMHVPSEAPEVIEGNRPPVNWPVVGKVEIKELKIRYRHDAPLVLRGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPEGGKIIVDGIDICSIGLHDLRSHFGIIPQDPTLFIGTVRYNLDPLFQHSDQVIWEVLGKCQLQEAVQEKEGGLDSSVVEDGANWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMNCTKVLSISDGKLVEYDEPMKLMKKEGSLFGKLVKEYWSHFQSAESH >CAK8578547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:637062858:637068270:-1 gene:gene-LATHSAT_LOCUS30548 transcript:rna-LATHSAT_LOCUS30548 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLQVRNEFGLGVPELYRDVNREDPKAVLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVMITASRSHRLMIRVQNIEASLPPLEKAVLAQTSHIHFAYTAGCEWHPRIKTARNHFIYNDLPPFIMDSYEECRDPPRMHLLDKFDTGGPGSCFRRYSDPTFFKRVSAVSEERYSEKTEKARKSRKSKKRRASRRNSELLRGEQMHGNSGRMQFISPSINGRSSSQAACTIDMTMKSDLEDRSNSFDSKSGAGYIECVFHPSNSMQPDELDCEEPSSSKLTQKTETLKSVSPPMDDNISHDSLEKQVASSSSGVTWDEKEEILESNSQACETDKTPERLVEKQNSDRHVNEAVSVANISYNDILFNEERNLKPVFREIQTNDIDSEPDNDNFMDALNSIESESEVDFDYETKREVQQVASQVTCEMVENGGTQTPSNLFDNDVPNSLQENPSLMSESHATNLGSATTPNILLIEKVTRDTVFSNNEAINDLPDSLQEIPHLTSEPHASELDPASPSDDPCRKETFGNFPDTLPDIPPLTSEPHESNLGCVSPSDVSASKQISKSEADCHSTESHISERDPHIHDNFALDHLAGTHTSIDSPIVNDTVSAPISTDTTFSGSKLPDEKAGKINNIFKYEDTHKESLNDNSVRFWTNGGLLGLEPSKPPDFTMSSPLNQGSLSTENGDSVGSSMQKSNGSTYKDGQESSEKVTQQTLTDDQACISENISRGSQQSNGHTKRNILAEGNVSAPGTVLLAAADTKDCAETDPRNGENSSQVFGLGHRLLIKSFNRKVSFDEKSGPYGSLKSVILEQSEQNGIVRQPHPETTFKEKVSFRYPIDSLPPSPPLEHMKISFQPLSGLETSKLKLQFPDGSNHHESITDMFPSFQLVPESSIPMDDSGSHSDGDDTFCRSSPCASYDCHTPRSDYDSDQWESDETPESSDHGIHDSPHRSSSSESILSTKEHGRMSNNDTDVKDDHTNCVESSLSGPLLDFPCFDNVNPVLEKESNRHHEHSNDVTSHNYAEPTRPPPPPPPVPPTQWRVTKLQLDKSNEIQNSISHDAERIKDQSLPESPILEQPRHTEVEQIQHNQDSHESYDTVIHQLKEKLGPPKLNSQKEVNQLRMGHETDEREDFLYQIRTKSFNLRPTVTGKSNTSTAPTANVQVTAILEKANAIRQVVASDEGEDDDDTWSDT >CAK8538877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498659803:498660108:1 gene:gene-LATHSAT_LOCUS8027 transcript:rna-LATHSAT_LOCUS8027 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSGKTLVQWMLAALILAMLGGNLAVLLCNIESSELNLCHDAVTGANPPNPDTKCCNVVHRCNLTCLCGYKSELPLFGINPANAMALPGKCGLKRPSNC >CAK8571795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:474244902:474246219:-1 gene:gene-LATHSAT_LOCUS24424 transcript:rna-LATHSAT_LOCUS24424 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIMKEEVLLSCDEDSYDRAKEVQEFDETKAGVKGLIDSGIINLPRFLIHPQETLPNYDATSSCLFQVPVIDFTGHEKCRRLEIISEIKKASETWGFFQMVNHGVPVHVMDDMLKVIKEFHEQDKEVKKEWYSRDHKMKVRYFCNGDLLVAKAANWRDTILFDFQDGPLDQQAYPLVCREAVSKYMEHILKLKEILSELLSEALGLKKDYLANIECMKSEIVVCHYYPPCPQPELTFGTTKHSDPSSLTILLQDTIGGLQVLHQNHWIDITPIHGGLVANIGDFMQLISNDKFKSVEHRVLAGSVGPRASAACHMYPDACQKYQPIEEFTSDENPPKYRETDITEYLTHFRSKGLDGHKTLPYFRL >CAK8543610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626428726:626435750:-1 gene:gene-LATHSAT_LOCUS12358 transcript:rna-LATHSAT_LOCUS12358 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLAKFLLLFYTISICNAVTNPSPISDSHRSAALQLFDGSSSSLEEAYEALRVFEILALENKPDVSETTCRKVVENLGPSSSLKDLFYALKVNGILKCKVDRGVFQDIASRLKATVNDASALVDMYYTIGSLVLIKDQAPDVDALLADTDGTFHSIKALSQSDGRWRYSSDIPESSTYAAGLALEALSGVISLASSEIDQSRVNTVKSDISKLFDSIQKYDDGTFYFDEKFGGGREHQGSLSTSSSVVRGITSFAAVTSGKIDLPGDKILGLAKYFLGIGLPGSGKDFFNQIESLALLESKRVPIPLVLSLPATVYSLSKKDQLKVTVNTVLGSAAPPLTVKLVRAFHTDAKDSAVIEGKELQYDQSSGLHIMGFPDYVDVGTYVFVFETALHDSGSENDYAIGGQIHVPIYVTGIVKVSNAEIAVLGSDLGSDETQKMLDLAGTDVVSLSANHLQKLRFSFQLTTPHGHAFKPRQALLKLKHQTKYEHIFVVGNTGKKFEIIFDFLGLVDKFYYLSGRYDIELTVGDAVMENSFLRPLGHLELDLPEAPEKTAHLPPLPVDPYSRYGPKAEIAHLFRAPEKRPPQNLSLAFLIVTLLPFIGFLVGLLRLGVNFKNFPSSALPASYAILFQLGAAAVLLLYVLFWVKLDLFTTLKALGFLGAFLMFVGHRILSHLASTSAKLKSA >CAK8564704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7401699:7407771:-1 gene:gene-LATHSAT_LOCUS17979 transcript:rna-LATHSAT_LOCUS17979 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYEFEERKYEPEEDEDHNHNQNDSSPQPPPAADDDLTDFKSNYDSRDNERESSRSREKELENGRDKERKRDKGRDRERSRDRDGERSRDRDGERSRDRDGERSRDRVRERERSRERGRDRERSKDRERDRDTEKEKVKDRDHHHRDRHRDRSDRRVRDRDDDDQYRSRGYDRRRDYDREDRHRTRRRSRSRSRSRARSEHRSRSRSRSRSKSKRTSGFDMAPPASAMLAGASAVAGQITGASPAIPGMFPNMFPMATSQLQQFNTLPVLPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMATIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLGAVGLSPGSAGGLDGPDRIFVGGVPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQNTNPMQPKPEQESILMHAQQQIALQKLMLQPALVATKVLCLTHAVSPDELKDDEDYEEILDDMRQECSKFGNLVNVVIPRPRPDGELCPGVGKVFLEYADVEGSAKARAGLNGRKFGGNQVVAVYYAENKFAEGDYEG >CAK8569616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6848386:6850968:-1 gene:gene-LATHSAT_LOCUS22448 transcript:rna-LATHSAT_LOCUS22448 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVSSSTFLVLFLAFSFAYGDPFLGVNYGQLADNLPPPSATAKLLQTTAFEKVRLYGTDPAIIKALANTGIGIVIGAANGDIPALSSDPSFAKTWINTNVIPYYPASNIILITVGNEVIDSNDTNLVNQMLPAIQNMQGALEAVSLGGKIKVSTVHTMAVLKNSEPPSAGSFHPEYSTVLQGLLSFNKDTGSPFAINPYPYFAYKSDPGRADNLAFCLFQPNSGRVDSNTKLNYMNMFDAQVDAVRSALDAMGFKEVEIVVAETGWPYKGDPDEAGPSIENAKAYNGNLITHLRSKVGTPLMPGKSVDTYIFALYDEDLKPGAASERAFGLYNPDQSMIYDAGLSKQQSTTPTSSPVAAPINPDVSKSPLNSTPKVSSPTLPSPTLPYNSNIAWCVPKPGLTDVQLQANLDYACGQGIDCSSIQPGGACFEPNTLVNHAAYAMNLFYHTGQNPLTCDFSETATLTSTNPSYSSCVYAGGNA >CAK8537103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:95187284:95212001:-1 gene:gene-LATHSAT_LOCUS6417 transcript:rna-LATHSAT_LOCUS6417 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGTSMAKTLERYQRCSYGALELHHQPEIETQKRYQEYLRLKSKVEGLQQTQRNFLGEELEQLDIKELEQLERQLDSSLKIIRSNKTQHMLDQLTDLQRKEEMLLETNNILRNKLEEINATLQPTWESREQNGPYSCPPHQSEGYYEKACCNSTLQIGYNSSMINESGGAAGSSSQNPNDFMHGWMN >CAK8569823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12711948:12712334:1 gene:gene-LATHSAT_LOCUS22632 transcript:rna-LATHSAT_LOCUS22632 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLVDVQLTITILDLVQQAANYKQLKKGANEATKTLNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRSVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8537806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436779857:436785861:-1 gene:gene-LATHSAT_LOCUS7060 transcript:rna-LATHSAT_LOCUS7060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRTLSKRYFSTTKPLLKHTNTNTHPSLTLLSRFSSATDSTAPVRYAALGPTKPHEKPRVVVLGTGWAGCRFMKGLDSKIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPVAKIQPAISKEPGSFFFLANCTGINADKHEVQCETVTEGTQTLDPWKFTVSYDKLVIALGAQPTTFGIHGVHEHAIFLREVYHAQEIRRKLLLNLMMSEVPGISEEEKKRLLHCVIVGGGPTGVEFSGELSDFIMRDVRQRYAHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVEENKIILNDGTEVPYGLLVWSTGVGPSPIIHSLDLPKSPGGRIGIDEWLRVPSVQDIFSIGDCCGFVESTGKPTLPALAQVAERQGKYLAGLLNKIGKANGGHANNMKDMDLGDQFVYKHLGSMATVGSYKALVDLRQNKEAKGLSLAGFMSWVVWRSAYLTRVISWRNRFYVAINWATTFVFGRDISRI >CAK8572249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524391456:524403558:-1 gene:gene-LATHSAT_LOCUS24834 transcript:rna-LATHSAT_LOCUS24834 gene_biotype:protein_coding transcript_biotype:protein_coding MISVQGDHHLRHHYNHQSQLSGLADDSRSPFTLDRVEPFSVKQEPASLTLLPLRSHDSSEVDEDLHLTLAHQMYKTGSYKKALEHSNTVYERNPLRTDNLLLLGAIYYQLHDFDMCVAKNEEALRIEPHFAECYGNMANAWKEKGNIDLAIRYYLVAIELRPNFADAWSNLASAYMRKGRLTEAAQCCRQALAINPLMVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMESGDFNRALQYYKEAVKLKPSFPDAYLNLGNVYKALGMPQEAIACYQHALQTRPNYGMAYGNLASIHYEQGQLDMAILHYKQAIACDPRFLEAYNNLGNALKDVGRVEEAVQCYNQCLSLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLSVTTGLSAPYNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVTDAIQDYIRAITVRPTMAEAHANLASAYKDSGHVEEAVKSYRQALVLRKDFPEATCNLLHTLQCVCCWEDRDQMFKEVEGIIRRQINMSVLPSVQPFHAIAYPLDPMLALEISRKYAAHCSVIASRFSLPPFSHPTPIPIKQEGGYERLRIGYVSSDFGNHPLSHLMGSVFGMHNSKNVEVFCYALSPNDGTEWRQRIQSEAEHFVDVSAMTSDMIAKLINEDKIQILINLNGYTKGARNEIFAMKPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPLQYAHIYSEQIVHLPHCYFVNDYKQKNQDVLDPNCQPKRSDYGLPEDKFLFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLKFPAAGEMRLRAYAAAQGVQPDQIIFTDVAMKSEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLSTGLGDEMIVNSMKEYEDRAVSLALNRPKLRALTDKLKAVRMTCPLFDTNRWVRNLDRAYFKMWNLHCSGQRPLHFKVTENDIECPYDK >CAK8537252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:179667472:179671631:-1 gene:gene-LATHSAT_LOCUS6555 transcript:rna-LATHSAT_LOCUS6555 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIRDLQRELENKANDLSKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLKEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDSTVQDLEEKQNSKKETILKLQQKMQTLQAGKGKA >CAK8540281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548742975:548746328:1 gene:gene-LATHSAT_LOCUS9300 transcript:rna-LATHSAT_LOCUS9300 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMLKQSISNILQWMNSLKPLANRLSGIDINNIENNLNQINHKVHQQSLSETLLFPLPWLQKVKELVIDLNDLMEDLRYKESTNAATKSLIRSGLNIKNRVKATLQVKKATEELKRLLNEEDAKVEEQEEEQVIISDNNEKRKSAYKMFVENTECVAVGRENEKKEIIDQLLNMNMNNSAVNPDVIVIVGVPGIGKTKLVRLVCEDEQVKAHFGLQLIWIKTFDVESIVKCVTIVDGRRQLLVIDDLQIGIEHDDVLEKLKKKLMKAVGCTHTAILITTRSNHVANNIGARHVLKLQGLNQEESWALFMQIHGPITSTKKEQSNDEHQRTIVRDCGGVPLMIVIIATVIKKHSGGGGGDNDEWIPEVLQMLKFIYYDNLPTYKKLCFAYCSLFPEDYLIDAERIIQFWTAEGFLTIQEQQFGRACFNDFVPLLFHQVEEENNHHRYYGGVRNDMNNCFYRMNRLMHKLARLISVSSDENITVDLMGERVHGGMLRVSFNFALDLLCEIPDSVFEKANKLRTILLPYNTNNPRLPNEVKMTTSTCDNIFNSFKYSLRVLDLHDLGIKMIPSSIGGVKYLRYLDLSHNNVEKLPSCITNLIHLQTLKLSQCHILKELPKDIEDLGCLNHLDIEGCLHLTHMPSGINKLTSLQTLSLFVASKKQVTGGLRSLTDLNNLSGHLEISQLEQVKFSPSKEAAKDEFLKNKQHLEFLTLRWDHEEEEEEEEESDVDKDTKSLECLQPHPNLRLLSVVGYNGQTLSNWLASLQCLVKFTLNNCPNCQSLPPMDKLPHLKVLKLRRLDSLKFIAKNNQVAESSTPIFFPSLKELTISDCPNLKSWWENEIWENDRPTFSCISKLNIQSCPKLACMPLYPGLDEELVLVESNVRSMRDTMHHAENVVSETSNSQSQPFSKLKSMVIERIDHSPPERWLKNFISLEQLHIRDCIIFESLPQGFKYLSSLVSLSIERCEQLDLGIDKSKTELKGLTEWEGLKNLDSLTLRSIPKLESLPWGVEKVKSLKDLRIYDCHALTSLPESIGNLTSLEKLVISECRNLDSLPKGMEKIESLHTLIIMDCPLLLPRCQPDTGDDWPQIAHIKNKLVRETSQDFERLLVMETFF >CAK8543731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635188912:635193607:-1 gene:gene-LATHSAT_LOCUS12471 transcript:rna-LATHSAT_LOCUS12471 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQGLPGDRKPDGSDKKEKKFEPAAPPARVGRKQRKQKGSESASRLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >CAK8539064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502745604:502746023:1 gene:gene-LATHSAT_LOCUS8197 transcript:rna-LATHSAT_LOCUS8197 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDERKPMGHYKVNLINGWYDCGKFQTYRVPCSHVIVAWSNACHKTYALFSNVYKVANLFGVYNTSFSVLSYSEYWPVYEGDQIFHNPRMRRNKKGCPMSTRIRTKMDIVNKMERKYFMCLLPNHTQTQCPNVRTSNS >CAK8541323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:120420633:120420949:1 gene:gene-LATHSAT_LOCUS10252 transcript:rna-LATHSAT_LOCUS10252 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFYHLSLLCILSVAMILLPAASANFQDPPGRTCIHKCKDVTDGVCYKSCYAMGFKAGGDCFSDNPDNSVCCCIKNN >CAK8560979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:66968809:66971203:1 gene:gene-LATHSAT_LOCUS14611 transcript:rna-LATHSAT_LOCUS14611 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIFCDEVPKTSENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFISYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTPTGAQDRPLAEIRLNRVTMHSNPLAG >CAK8564353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669231129:669231514:1 gene:gene-LATHSAT_LOCUS17667 transcript:rna-LATHSAT_LOCUS17667 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSILLLLLHLSSAVDDLSSFPKNFLFGTASSSYQYEGGYNIDGKGQSNWDNFTHGADTSIIVDGSNGDIAVDHYHRYQVGYY >CAK8564352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669231129:669231514:1 gene:gene-LATHSAT_LOCUS17667 transcript:rna-LATHSAT_LOCUS17667-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSILLLLLHLSSAVDDLSSFPKNFLFGTASSSYQYEGGYNIDGKGQSNWDNFTHGDTSIIVDGSNGDIAVDHYHRYQVGYY >CAK8533197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590055686:590057077:1 gene:gene-LATHSAT_LOCUS2842 transcript:rna-LATHSAT_LOCUS2842 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKAEATMKMKKVQWFWTWVIGSVILRLILVYFPKNLNLSSRPEISTPLTSIRRLAEGYWLKQSSMSPYAGSMYHGSPLLLTVLGPLTVAKIEGQPDHLLCSLVFVMADVVTAMLICAAGKKLQVAYGSSLRSVGLCQLSEDSDVFLSGEFAALVYLWNPFTIVACVGLSTSVIENLMVVLTLYGACARVAPLAAFGWVIATHLSLYPAILIIPVILLFGYGLDAPPRKLFRQRKNLEVDDSTSSAVKVFSWRPVVLFLFWALLWSSYVLILCGISVQQHGGLQEMFKRSYGFILTIQDLSPNIGVFWYFFAEVFDFFRSFFLIVFHGNILLLIVPLAIRLNHRPCFLAFVYTVLSSILKSYPSVGDSALYLGLLGLFAYELKDMQYSFFLFFGYVGVSLFSPVMHNLWIWRGTGNANFYFATAIGYAFLQIILVIESVSAMLNHDRMLTKLSTAKLQNVKS >CAK8539895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530531974:530532371:-1 gene:gene-LATHSAT_LOCUS8952 transcript:rna-LATHSAT_LOCUS8952 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNEEHSEDFDVLLYWRFNEKKFPIFSIMAHDVLRIPITTVGSESSFNINGRALTKYRSSTLPEHIQMLICTRSWLHVFFENMNDEEDINTNNEGNYEVTMT >CAK8539896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530531974:530532284:-1 gene:gene-LATHSAT_LOCUS8952 transcript:rna-LATHSAT_LOCUS8952-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDVLRIPITTVGSESSFNINGRALTKYRSSTLPEHIQMLICTRSWLHVFFENMNDEEDINTNNEGNYEVTMT >CAK8574101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662598692:662599408:1 gene:gene-LATHSAT_LOCUS26478 transcript:rna-LATHSAT_LOCUS26478 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDCSKKREVQRAAGVKVSKSFPLSSLASLESLSLPLVQEVVLSADMKCEKCQKRVTDIITKMNVETESIVVNVLEKKVILTFRISSSTHVGKVISHKVTPKVAIIKRIFRSSNG >CAK8564299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666787468:666790686:-1 gene:gene-LATHSAT_LOCUS17620 transcript:rna-LATHSAT_LOCUS17620 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGPRLRSMNVADSEARPVFGPAGNKTGSYSSRKDASKPLRKAEKLGRDVDLAREKKDASPQSHSSSVSSVLRRHEQLLHSNLSMNASCSSDASTDSFHSRASTGRLTRSNSYGFTRKRSVSKPRSVVSDGVLESPPTDGTQSKKRCAWITPNTEPCYATFHDEEWGVPVHDDKKLFEVLVLSSALSELAWPAILSKRHIFREAFADFDPVAVSKLNEKKMLAPGTTASSLLSDLKLRGIIENARQISKVIEEFGSFDNYIWSFVNHKPIVSKFRYPRQVPAKTPKAEVISKDLLRRGFRGVGPTVIYSFMQVAGLTNDHLISCFRFQECVAAADGKEENSIKDDAQPKACDSVMESDLSIAINNLSLYESQ >CAK8576965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528219597:528223097:1 gene:gene-LATHSAT_LOCUS29117 transcript:rna-LATHSAT_LOCUS29117 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHGLPRKELQALCKRNKIPANMTNVAMADALAALPQVEGLGEIVNPMKGGDIATPAVQPRTAGKTTTQRKPVKESESSKISTRPNRGARSGVVEGEAEQENKNANVSDTPAVVPCSRRKAPAVSTRRKKEVIILDDEEEENEVDEKPKDVAAKTPAVVPKSRTRAAGRSVRDKKEVSDGTSLQNAYSTRRSVRVLGKSLSKVSLVETEDKEQTKSEDVSEDGTSLQTESNAVSQNNDEVEASSVNKAGSEAKSADAADVLQAEPEVEGSENVNHVEDANEDPSLNLQNSFENCVDSNEAESELPEPEESGDTDEIENKESCGEEQDQAMEFASPEETPLEVTDQTIGDTAEIENKESFGAKQDQAMWFASPEETPLEVADPIGDTAKIENKESFGEEQDQAVPEDFAAPEETPLEVTDQAVGDTAEIENKESFGAEQDQAMEFASPEETPLEVAGPIGDTAEIENKENFGFEQDQTMKFASPEETPLELADQAIGASTDLVSGDISMEVANQEDVADLSVEASEEAFKGTAEEAIVGLSVEASDEASKEISHQAIASSTDVVSDEAPLEVFHQEDVADLSVEASEEAFKGTAEQAIVGLSVEAPDEASKEISHIAPSTDVVSDDASKEVAEQEDATGVSAEVSEEAFKETEELAIIGLSVESYEEDSKEISPVDVVVPDDANVDNLDGIADMVFEEVENIEGAVSAILAVKNETSGRAENEGVKESDVEKVILKLSKMDLTIKKEADQNNAFQKLEVEAQAEQLATEETAELDSTISDILAEKAENEGEKESNDVEKVTLKLSMMDVSSVKKEADQNNTSQKLEAVVQNNDQKTSIAAESVAFEQIKEEPICSPQLETKEKLKTIDVQDMSMRRLKKLLKSGTKEISNEMQAVTSVESSDMNKQLLNSGTKEISNEIQAITGVESEMNNPNKKKENLKTIDVQNMSMRGLKKLLKEKLDGKLAMTDNEDVQMQGEEKKRTALQALPQNQNQLAEQKAL >CAK8576964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528219597:528223097:1 gene:gene-LATHSAT_LOCUS29117 transcript:rna-LATHSAT_LOCUS29117-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHGLPRKELQALCKRNKIPANMTNVAMADALAALPQVEGLGEIVNPMKGGDIATPAVQPRTAGKTTTQRKPVKESESSKISTRPNRGARSGVVEGEAEQENKNANVSDTPAVVPCSRRKAPAVSTRRKKEVIILDDEEEENEVDEKPKDVAAKTPAVVPKSRTRAAGRSVRDKKEVSDGTSLQNAYSTRRSVRVLGKSLSKVSLVETEDKEQTKSEDVSEDGTSLQTESNAVSQNNDEVEASSVNKAGSEAKSADAADVLQAEPEVEGSENVNHVEDANEDPSLNLQNSFENCVDSNEAESELPEPEESGDTDEIENKESCGEEQDQAMEFASPEETPLEVTDQTIGDTAEIENKESFGAKQDQAMWFASPEETPLEVADPIGDTAKIENKESFGEEQDQAVPEDFAAPEETPLEVTDQAVGDTAEIENKESFGAEQDQAMEFASPEETPLEVAGPIGDTAEIENKENFGFEQDQTMKFASPEETPLELADQAIGASTDLVSGDISMEVANQEDVADLSVEASEEAFKGTAEEAIVGLSVEASDEASKEISHQAIASSTDVVSDEAPLEVFHQEDVADLSVEASEEAFKGTAEQAIVGLSVEAPDEASKEISHIAPSTDVVSDDASKEVAEQEDATGVSAEVSEEAFKETEELAIIGLSVESYEEDSKEISPVDVVVPDDANVDNLDGIADMVFEEVENIEGAVSAILAVKNETSGRAENEGVKESDVEKKLEVEAQAEQLATEETAELDSTISDILAEKAENEGEKESNDVEKVTLKLSMMDVSSVKKEADQNNTSQKLEAVVQNNDQKTSIAAESVAFEQIKEEPICSPQLETKEKLKTIDVQDMSMRRLKKLLKSGTKEISNEMQAVTSVESSDMNKQLLNSGTKEISNEIQAITGVESEMNNPNKKKENLKTIDVQNMSMRGLKKLLKEKLDGKLAMTDNEDVQMQGEEKKRTALQALPQNQNQLAEQKAL >CAK8531171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86598939:86600017:-1 gene:gene-LATHSAT_LOCUS979 transcript:rna-LATHSAT_LOCUS979 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >CAK8538499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485005826:485006620:1 gene:gene-LATHSAT_LOCUS7688 transcript:rna-LATHSAT_LOCUS7688 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSTSKLHFILYLSSTLLLLSSLHAQAESQNLKYCQKDVDYAVKVSSVEILPDPTVRGESFTFRIEAYTDDLIHNGDLIYEILYDGMKGKPAIFYHALSEETPLPVRPGHFLLTHTEFLPPLTPLGTCNVKLTFVDKDGDQLTCIIFPFTIGAKSSISAS >CAK8571278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:359910320:359913097:-1 gene:gene-LATHSAT_LOCUS23955 transcript:rna-LATHSAT_LOCUS23955 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKIFSTPLSTFFKLRRTLTSIPQSLSPHLKIVPSSPPFAPPYDIDNLCHGYPTCYYYSSLGSLRFCRKNLCSKPAEKFQTTCWNCHDVPQSAPFLFCQSCRCIQPVDHSIDYFEIFGTEKKYDVEGINLEGKYKEWQKKLHPDLVHSKSQKEKDFAAEQSARVIDAYRTLSKPLSRAIYMMKLEGVEIDEEQTISDAELLAEIMEIREEVEEATNSEALNHIRSQMQEKLQSWSNAFADAFKRRDFEKAKNVIRRMTYYSRVIEEVVKKL >CAK8568970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:660217975:660219003:-1 gene:gene-LATHSAT_LOCUS21873 transcript:rna-LATHSAT_LOCUS21873 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKHSCLILFFFSVLVLPCLSFSSTIITHQFKEAPEFYNSPECPSITDVSNSNPTVQVAMTLDTTYIRGSMAAILSILQHSSCPQNIFFHFVCSSNASNLNATISTSFPYLKFHLYTFDDTTVSGLISTSIRSALDCPLNYARTYLPNLLPLSVTRVVYLDSDLILVDDIAKLAQTPLGEDSVLAAPEYCNANFTSYFTPSFWSNPSLSLTFANRKPCYFNTGVMVIDLERWREGDYTSKIEDWMELQKRMRIYELGSLPPFLLVFAGNIASVDHRWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFSLDS >CAK8572652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553454783:553455214:-1 gene:gene-LATHSAT_LOCUS25189 transcript:rna-LATHSAT_LOCUS25189 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTTSIFTYSPVNNGSRSFRKPGNSSTQRCCVKAMNIEKPLEELYNVRVERKVSPEKLAQLGVSRWSVWKTGKSHFPWDWQVDQLVYIEEGEVRVVPEGSKRFMQFVAGDLIRYPKWFEADLWFNGPYQERYSFRAYGDD >CAK8533880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666663868:666665611:1 gene:gene-LATHSAT_LOCUS3468 transcript:rna-LATHSAT_LOCUS3468 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFWFLVVSVVVATWIPLSHSKKLAAVARKEDVPYIKCQVCEILAKQLYQQVQNKRAEISPKKISEYQIIEIAENVCNLKKVEADWILRIDIVEKEDRLELVEHDSEGQCDSECKTIERACQDVMGYSDTDIAEYLYTSKHDLDSLFNYLCKDLSKACNTKPPPVPKDRTPGEPFVAKSAKEAEMEKLLKSMEGMPGAPGMKMYSREDLMKKNFGAENEDDEDESDDEDDGLPSNLGNVLRSKEKKEGDWKQKIRKIIVDTSTTLKKHATKVSYRIQRWWKGKKTAASKKSSKSEL >CAK8563061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570312846:570316104:-1 gene:gene-LATHSAT_LOCUS16508 transcript:rna-LATHSAT_LOCUS16508 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDVMKLMMSDYTVETINDGLTEFNVEFNGPKESLYEGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNASDPLNGDAASLMMKDRKLYDQKVKEYCERYAKKENISNNGTADDEDSDEEDISEADTQSSDDEIPGHADL >CAK8531025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70325135:70327735:-1 gene:gene-LATHSAT_LOCUS842 transcript:rna-LATHSAT_LOCUS842 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLCVDEQGDRSIHLEVGELRRLSETTSKTATMFEPRRGLSSIEKRDSCEADQENSNSECSTSVRAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSITESGIYSFKMLRTSSNLILQSIKNLCRPINDAVKKHRRDTVEANVVTPRSSDNRNTRTTTRTWISSDVPLLPYAKWFFLSRHISKVLYWLQLLSATACVVLSLTKLIRHDYGEIAKGDTDKRNRASALNIFYALALAEALLFLTEKAYWEWKISYCELLDEVNKECELGPSGMVSIRRFFYDAYSRCVNGSIFDGLKMDMVSFAMDLLASNSPDEKLIGARIIRQFANSERFSDDTLQKIGISISLVERLVEMLNWTDHKEEELRLSAAEILSKLAGKKQNSLRIAGIPGAMESISSLLQTSRNCMHAADEVGEKKLIFDHPSYGFWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIVDFTHAEESLLKNENVTPSQIQTVKRSLQLVKMLASTTGTYGKHLRKEISEVVFTISNIRDILRHGEKHPLLQKLSIEILTSLALEGEATERIGGTGGVLRELFNIFFRQSIPDNQKDVTMVAGEALAMLALESKYNCHRILKLRVIGRLVEALKIPLIRVSAARILRNLCNYSGSECFNQLKGITAAAPTVLQAIMLQENKLQEVMVGLAANIFTFMSSSESRYVFQETGITEAELAKKLVQILKKHQYPATKVPRIRRFVIELAIWMMKDKKENINNFKDLQMDEVLEDVLETTSELESFNVFSGTVGLNRHNLTIHSLVETALKLLEDK >CAK8573871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643487058:643495597:1 gene:gene-LATHSAT_LOCUS26271 transcript:rna-LATHSAT_LOCUS26271 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDILTLENRYIDSCRRHGVLPNATILSSFFKAEVKKSNQEPCILEILIDDLKDIDFAPLFEICMNIDTSEIEAVDVRNESPCVLSGEYALPLMRAIKQKLRVVELQDVSFAKDFVRDISQRGLTCQVLTLKSWRFRKLSLMGEFMHMHTLNLDFSSSLTGFEEDCFNCMPNLMCLSMCETRISNLWTTVAALSKLPSLVELRFQYWQYCNDEGTSFTSSSGKSDATADFSLLDRVPFIGESCIDTRELTDLNISVEDPLRNFYSFDEEVMNHDVQSMVEDSSDDSEIELTNHHHRNWLSGVFPRWSTQMPLQSENEEESSRGSFTGNSVDVSMKYMSCHASPICQEKHYREFIIASLPKLKSLDNLPIRNIDKERATGIFSQYFEYLPYRWKSKESVLSILQKREIKSGRIKVQSSKRSPSHPSGTSQHFYTRSLSAAKLGSSTWPLLRPLSLSGFELDKGFRPRQFEYHPSDSSLMVFGTLDGEVVVINHESEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDINHIPRKTTGLYGNSGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDQDVKMWDLRQKPIHPCFTASSSRGNVMVCFSPDDQYILASAVDNEVRQFLAVDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEQIVRICCAQTGRRLRDISLEGKTLGSSMFVQSLRGDPFRDFNLSVLAAYMRPGSKSEIVKINLLSSSGHANDDSNDHPVCPSNIMGA >CAK8569360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696278852:696279160:1 gene:gene-LATHSAT_LOCUS22220 transcript:rna-LATHSAT_LOCUS22220 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLAAIRRASFSNSQTLSKVTNVPKGYLAVYVGEEMKRFVIPTSYLNQPSFQNLLSEAEEEFGYDHRMGGLTILCTEHVFLHITCCFNGVQISNCKRLT >CAK8578776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653965281:653966532:-1 gene:gene-LATHSAT_LOCUS30761 transcript:rna-LATHSAT_LOCUS30761 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGYGDTNQRIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKSTIGVEFQTRTLVIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRNHADKNIVIILVGNKSDLENQREVPTEDAKEFAEKEGLFFLETSALQATNVEASFLTVLTEIYNILNKKNLAADESQGNGNSASLLGQKIVIPGPGQEVPAKSSMCCQS >CAK8539453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513005635:513005865:1 gene:gene-LATHSAT_LOCUS8545 transcript:rna-LATHSAT_LOCUS8545 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSGLHPNFATRLQRRASIQEKQIYRKLQGDLVEYIWKRFGHKDDEI >CAK8569533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4310992:4313221:-1 gene:gene-LATHSAT_LOCUS22375 transcript:rna-LATHSAT_LOCUS22375 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDSHSKTNEQTVQEMLSLATKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >CAK8538628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489475234:489477215:1 gene:gene-LATHSAT_LOCUS7808 transcript:rna-LATHSAT_LOCUS7808 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYNFFVFIFQVVKHRKPKKITQHWVQYACGIKVFRLAAQDTKAEGRGRIARIQRRLLVAEAEERIMQYQNYVEQGSERDAKYTFGLILYSLDRLYSVVKRHAEDSGEWQRLRQDIIKLASPRLQTDTKLIVMSNLKILYDCLLPVLKSR >CAK8569121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:673404396:673409431:-1 gene:gene-LATHSAT_LOCUS22005 transcript:rna-LATHSAT_LOCUS22005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYMMKIPNTYSSTRLILLRFIFLLVLSYRTNSLVKLAPNVTVPAFIAFGDSIMDTGNNNYIKTMVKCNFSPYGIDFEGGIPTGRFCNGKNPSDLIVEYFGIKEVLPAYLDPNLKPSDLPTGVCFASGASGYDPLTSKIVSVISMSDQLELFKEYIVKLKSVVGEKRKNFIIAKALFLVVAGSDDLANTYFTIRTPQLHYDVPAYTDLMVNEASKFVKEIYQLGARRIGVFSAAPIGYLPSQRTLSGGVFRNINEEYNEAAKLFNSKLSKQMDYLHSNLPNSRVVYVDIYTPLLDIIVKPQKYGYKVADKGCCGTGNLEVSILCNPLTPTCDDNKEYVFWDSYHPTESVYRTLVAEVLPKYRDRLTR >CAK8571775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471683515:471685145:-1 gene:gene-LATHSAT_LOCUS24407 transcript:rna-LATHSAT_LOCUS24407 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFLSLLSLSLLLFASACLATHSEFDRLNQCQLDNINALEPDHRVESEAGLTETWNPNNPELKCAGVSLIRRTIDPNGLHLPSFSPSPQLIFIIQGKGVLGLSVPGCPETYEEPRSTQSRQESRQQQGDSHQKIRQFRKGDIIAIPSGIPYWTYNHGDEPLVAISLLDTSNIANQLDSTPRVFYLGGNPDTEFPETRRSGQHQQEEESEEQNEGNSVLSGFSSEFLAQTFNIEEDTAKRLRSPRDKRSQIVRVEGGLRIINPRGKEEEEKEQSHSQREEEEDEEEEKQKSERRKNGLEETICSAKIRENIADAARADLYNPRAGRISTANSLTLPVLRHLRLSAEYVRLYRNGIYAPHWNINANSLLYVIRGEGRVRIVNCQGDAVFDNKVRKGQLVVVPQNFVVAEQAGEEEGLEYVVFKTNDRASVSHVQQVFKATPAEVLANTFGLRQRQVTELKRSGNRSPLVHPQSQSQSH >CAK8566511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:430021797:430022432:1 gene:gene-LATHSAT_LOCUS19635 transcript:rna-LATHSAT_LOCUS19635 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFFHNANATTLHSLNLTSSLLFPNNHNLSSKPRFQSSRKTNTPNPKHVPNKKVIILWDLDNKPPRGPPHDAALSLKTLAERFGDVISIYAHTKRHSFFNLPKWNPNQNPNPNSILCRVCGHECKSISDLEIHFRRVHLNRRGKLREKLRSVKLSRSRVGVVRRIHPYNPYNDSFCFYFMLIVHLIDFLVCSTSSVFSIEIRNNINFHI >CAK8566032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369666600:369666845:1 gene:gene-LATHSAT_LOCUS19200 transcript:rna-LATHSAT_LOCUS19200 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSCPYVCAKARLNHGVLLVGFGKGAYAPIRLKEKSYWIIKNSWGQNWGEQGYYKICRGRNVCGVGSMVSTVAAAQSNH >CAK8576557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492488053:492488601:1 gene:gene-LATHSAT_LOCUS28734 transcript:rna-LATHSAT_LOCUS28734 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRVEETSLFKGFKVNEATTYNMVQFADDTLFVGEGNWENLWCFKAFLRGFEMVSGLKVNFLKSSLCGINLKEEFLVVASTFLHCATSSIPFKFLGIMVGDSPLKAKLWNPVLEVFRKRFRVWRGKNLSIGGRVVLINSVLNALLIFTLSFYKAHVVVLKEIIKIQSRFLWGSSSNHKPIH >CAK8578122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608440430:608440884:1 gene:gene-LATHSAT_LOCUS30161 transcript:rna-LATHSAT_LOCUS30161 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNIKGFYKQRKNPTTATANAKKPPIHAATFSSNVAQPPALTSRNGKPDLQDEYNESESVLRQFDMNTAYGPCIGMTRLARWERAVKLGLNPPQEIERLLKSGKVQQESLWDSRI >CAK8542710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539492043:539492402:-1 gene:gene-LATHSAT_LOCUS11524 transcript:rna-LATHSAT_LOCUS11524 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFKVVFYTKDSFVKDERLRYDGGDVYALSEQDSDFWSFFEACDLIKGMDSSFNIDDVKLWWKHEEDCLENNLKPFFNDEDETMLAMFVEKNNYDVEIYTEPKLSRGEETYMKILVEK >CAK8531313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100292102:100295418:-1 gene:gene-LATHSAT_LOCUS1115 transcript:rna-LATHSAT_LOCUS1115 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQSFIQIHPDSHFPIQNLPYGIFKPQPDSSPRPGVAIGDFVLDLSEISSAGLFNGTLLTNSDCFLQPNLNKFVSLGRPAWKEARATLQKLLSSTEPTLRDNEVLRQKALVPLSQVELLLPVAIGDYSDFFSSLHHTKNCGIIFRGPQTPVLENWYHLPVAYHGRASSVVVSGTDIIRPRGQAHPAGNPSPYFGPSLKLDFELEMATIVGPGNELGKPVDINNAEDHIFGLVLLNDWSARDIQAWEYVPLGPFLGKSFATSISPWIVTLDALEPFACEAPKQDPSPLPYLAEKVSKNYDLSLEVHIKPAGHKDSSVVTRSNLKHLYWTLTQQLAHHTINGCNLRPGDILGTGTISGPEPESRGCLLELTWNGQNSLSLNGLDRKFLEDGDEVTLTGYSKGNGYTIGFGTCSGKIVPSAP >CAK8562370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479448944:479449363:-1 gene:gene-LATHSAT_LOCUS15872 transcript:rna-LATHSAT_LOCUS15872 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNEGRPMGHYRVELHKNWCDCGKFQTFRVPCSHVIAACSSARHDPFLQLSEVYKVVNLFGIYNNSFSVVASEDYWPTYHGDKIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLRGICHLPGHTRNNCPNVGTSSR >CAK8533209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591042151:591042387:1 gene:gene-LATHSAT_LOCUS2854 transcript:rna-LATHSAT_LOCUS2854 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSLGDMCKCWEATNGNIKVKSGNIRASFQKSFYEVEHAHTSPFYSNLRGSVSRDALKHIAEEWHRVDMVDTNTQM >CAK8539138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504954605:504956785:1 gene:gene-LATHSAT_LOCUS8262 transcript:rna-LATHSAT_LOCUS8262 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEKQEGHRKESKVRALIERATNSTAPDVDHRLLKAIKMVVRISDSELRLASQSLMDLMKRDHSQVRYLAFQIIDQLFMRSKLFRSLVVEDLDQLMSLSVGFRRSLPLPAPPSVASLLRSKAIESLERWNVSFGVHYRQLRLGYDYLKNTLRLQFPNIQANAERIRQERRERERKSKEILLNKYESLKDIFSSIKGEILSTMDEIDGCLDILHSKQELESNDILDDEELNDFRSLELQQLRREALDEEEKVYESSDNKVVFDALRELYKLLVTKHLVSIQEWISVLVRVEVADNRFRDSTLKEFIDIQNRLKSIKKRCEEAGCSLLNTSKLDGEEDFWEEGNPVSIDTSSCTPDSKKKHPGSSNTPDKKKKHPESSSTPDKKKKHHESSSTPDKKKKVPALASTSHNMSSDNLGSCAKESKSSNTDSPLHGGNESESNPARSNLLTKAPVVRWGSHLDTWDSSKVFMANQRGLDIESHWGRVDDDAIIPSEKIAELSVPAMPYEEKLIEIQPCRAPLRKGGLCQRRDMKSCPFHGPIIPRDDEGRPLKPSPSEDVNVNMKTDLAEQLAKQAVKNVRETDKEASKKREIDKQALKRAKLAKIREHNDAVLRDAALASTSRSDVFGEDDEMTNRDKKASLASMLKKKVTSKDRIAQKLLSSRAR >CAK8535688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874557300:874558187:1 gene:gene-LATHSAT_LOCUS5120 transcript:rna-LATHSAT_LOCUS5120 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVIGNPLRLKSVNHISLICKSVDESINFYQNILGFIPIRRPGSFDFNGAWLFGYGIGIHLLQTENPENIPKKDKINPKDNHISFQCESMGTVQKCLEEMKIEFACALVEENGVKVNQLFFHDPDGFMIEICNCDNLPVIPLAGDLVRSCSSVNHGIIQPQMHVVNHI >CAK8544641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697275181:697275710:1 gene:gene-LATHSAT_LOCUS13299 transcript:rna-LATHSAT_LOCUS13299 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTAAVTTKPAAGRKGGDRKKAVSKSAKAGLQFPVGRVARFLKKGRYSQRLGTGAPIYLTAVLEYLAAEVLELAGNAARDNKKNRINPRHVCLAVRNDDELGKLLQGVTIAHGGVLPNINPVLLPKKTSSAAEKSTASKSPKK >CAK8560254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11993931:11998783:1 gene:gene-LATHSAT_LOCUS13943 transcript:rna-LATHSAT_LOCUS13943 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPPPASQNPIAQPPQPPLHPRGGNNNWGGYGYSGGGDSSTSFPQIPPNSNYQHHHHHHAPPPPPPSNYYPYPPPPPPPPDNSYQPPPPPPSAPMYYPSNNQYNHQQPPPPPPPLSPGSSMPPPPPPPPPTSPPPLSHNNDERAINKGSSGRRDGVSHRQQQKSSHPHPPRKVETDEEKRMRKKREFEKQRQDEKHRQQKKLKESQNSVLQKTQMASSGGTGKVHGSIAGSRMGERRTTPLLSSERVENRLKKPTTFLCKLRFRNELPDPTAQPKLMAFKKDKDQYAKYTITSLEKTYKPKLFVEPDLGIPLDLLDLSVYNPPNVRPPLAPEDEDLLRDDEAVTPLKKDGIKRKERPTDKGVAWLVKTQYISPLSMESAKQSLTEKQAKELRERKGGRNLLENLNNRERQIREIEASFEAAKSRPVHATKKDLYPVEFMPLVPDTKRYKNPFVVAAFDNAPTADSEMYRKLDKSLCDISESRAVMKSYVATSSDPENPEKFLAYMVPTPGELSKDIYDEDEDVSYSWVREYHWDVRGDDAHDPTTFLVAFDDSEARFLPLPTKLVLRKKRATEGRSGDEVEQFPAPSRVTVRKRSNVAAIEGKDSGVYASSKGNSSKSLEMDDDLDDDNRDAEHQDNFQSSGAEDDMSD >CAK8544392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681773075:681777961:1 gene:gene-LATHSAT_LOCUS13078 transcript:rna-LATHSAT_LOCUS13078 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRAKLLFLLCALCYSLNAFAAKSYYDILQVSKGAGDDQIKRAYRKLALKYHPDKNQGNEEANKKFAEINNAYEVLSDSEKRNIYDKYGEEGLKQHAAGGGRGGGMNMQDIFNSFFGGGSMEEEEKIVKGDDVIVDLDATLEDLYMGGSLKVWREKNVVKPAPGKRRCNCRNEVYHRQIGPGMFQQMTEQVCDQCANVKYVREGYFVTVDIEKGMQDGQEVLFYEDGEPIIDGESGDLRFRIRTAPHDLFKREGNDLHTTVTITLVQALVGFEKTVKHLDEHLVDISAKGITNPKQVRKFKGEGMPLHMSTKKGDLYVTFEVLFPTSLTEEQKTSIKTILG >CAK8538951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500208398:500211214:1 gene:gene-LATHSAT_LOCUS8096 transcript:rna-LATHSAT_LOCUS8096 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSKNMSLFLLFINFLFFSCCNSLLDEQGQALLAWKKRLNTNSDALPSWNISNGTPCNWFGVTCNLQGEVEEINLKSLDLEGSTLPSNFQPLKSLKVLVLSSMNITGRIPKEFGDYQELVFIDLSENSLFGEIPEEICRLSKLQSLALHTNNLEENIPFNIGNLSSLVNLTLFDNKLSGEIPKSIGLLRKLQVLRAGGNKNLNGELPSEIGNCTDLVMLGLAETSISGGIPSSIGMLKKLQTMAIYTTKLSGSIPEEIGNCSELQNLYLYENSISGSIPRQIGELGKLQSLLLWQNNLVGTIPEELGRCKDLSVIDLSENLLTGSIPISFGKLSNLQALQLSVNRLSGIIPPEISNCASLTQFEVDNNAISGEIPSVIGNLRSLTLFFAWKNKLTGKIPNSLSECEYLQALDLSYNNLTGTIPKQLFMLKNLTQLMLLSNDLEGFIPPDIGNCTSLRRLRLNRNRLVGIIPSEIANLKSLNFLDLNNNDLVGEIPSTISRCQNLEFIDLSHNKLSGNLDALSNLMNLGSLNVSFNEFSGELPNTPFFRKLPLSDLTGNSISNAKDDTRLAMKIIFFILLSTSAVLTLFLIHVAVRACIANKVNNSWMMTLYDDLYFSADDIVKNLKPSNVIDTGTSGVSYNVKTPEGQGQILAVKKKLPSTDFGAIKSEIQMLDSIKHKNIAELLGWGFDNKNTMLQFYEYLPSLSSVLHGSEKGKLEWDTRYEIILGLAQALAFLHHDCVPSMLHADVKAANVLLGPGYHPYLTCIGLAKITSEKVDDAKSNKVQKPTYSGSSYGYLDPGLNSMQKINEKTEVYSFGVVILEVLTGRHPLDPTLPEGIHLVQWVKNHLASKKDPFEILDSKLRGRTEPTTMHEILQTLAVSILCVNAKAYERPTMKDTLAMLNQFRYFV >CAK8578061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604690456:604691148:1 gene:gene-LATHSAT_LOCUS30105 transcript:rna-LATHSAT_LOCUS30105 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVCPLHLEATLSSFSFMASPCNYNSENKDVESKPNHVEETEENNNIDYSQRAQWLRAALLGANDGLVSITSLMLGVGAVNEDIKTMLLAGFAGLIAGACSMGIGEFVSVYTQLDIIVAQLKRENIIRNKVDEDERVLPNPFQAAIASAIAFSFGATVPLLGAALVREYKIRLVVVVVMASLALFVFGGVGAMLGKTSMKWSCFRVVVGGWMAMAITFGFTKLVGYSSL >CAK8578062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604690510:604691148:1 gene:gene-LATHSAT_LOCUS30105 transcript:rna-LATHSAT_LOCUS30105-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCNYNSENKDVESKPNHVEETEENNNIDYSQRAQWLRAALLGANDGLVSITSLMLGVGAVNEDIKTMLLAGFAGLIAGACSMGIGEFVSVYTQLDIIVAQLKRENIIRNKVDEDERVLPNPFQAAIASAIAFSFGATVPLLGAALVREYKIRLVVVVVMASLALFVFGGVGAMLGKTSMKWSCFRVVVGGWMAMAITFGFTKLVGYSSL >CAK8534175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702175948:702177762:-1 gene:gene-LATHSAT_LOCUS3741 transcript:rna-LATHSAT_LOCUS3741 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKASLHIATPFALISPNQLLNSIALGDKYFGFKYSKDKRIVMTMVATGLGQGGGVLEKPPTIETTSPGRESEFDLRKSRKTSPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDIAVNIMQEAHYNGLAVVIICAQVDAEDHCTQLRGNGLLSSIEPADGGC >CAK8534176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702175948:702177753:-1 gene:gene-LATHSAT_LOCUS3741 transcript:rna-LATHSAT_LOCUS3741-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLHIATPFALISPNQLLNSIALGDKYFGFKYSKDKRIVMTMVATGLGQGGGVLEKPPTIETTSPGRESEFDLRKSRKTSPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDIAVNIMQEAHYNGLAVVIICAQVDAEDHCTQLRGNGLLSSIEPADGGC >CAK8572122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512597957:512598490:1 gene:gene-LATHSAT_LOCUS24721 transcript:rna-LATHSAT_LOCUS24721 gene_biotype:protein_coding transcript_biotype:protein_coding MSETLSNFKEATLSIMSTRHSWTEFLSLSSLSLPSSLSETTTRIGINLTRFLFNYSSILLFILLLTLVYHPLAILLLLIAFSGWYFLFFSRDSSEPFLLFNLISLDERVVVAALSFFSFVAIVVTGVWWNVVVAVVVTAAVVCLHGALRRTDEGGLDDYESPYGPMLSDGAGPYSAV >CAK8539426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512575053:512577221:1 gene:gene-LATHSAT_LOCUS8523 transcript:rna-LATHSAT_LOCUS8523 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPACTDEYEKLLIRMSTPRVVIDNAVCSSATLVKVISARRHGSLLDAIQILIDLNLVIKKAYISSDGKWFMDVFHVVDQNGNKLIDESVLKYIEQSLGSVHNVRTSCSNGLTALELSGTDRVGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLIYVKDCDSGATIDDSQKIKKIEVRLRNVLKGDNDIRTAKTSVSMSVMHSERRLHQMMFADRDYERTPILKSISDDTVVTVQNWAERGYSVVNVQCKDRIKLLFDVVCNLTDMEYVVFHATITTNSNQAYLEFYIRHNDGTPISSEPERQRVIQCLKASVERRASEGVQLELCTEDKKGLLAEVMRTFRENGLNVTRAEISTMGKMATNVFYVTDVIGNQADPKIIESIRQKIGSSSLEVKELPLIYHEKAEREDQSGGIGGAVLWSIGSVVRKNLYSLGLIKSCS >CAK8561164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108824132:108826333:-1 gene:gene-LATHSAT_LOCUS14776 transcript:rna-LATHSAT_LOCUS14776 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIPTSTTIMMWVLTVILAVIPWFLLNKLWFKPKRFEKLLISQGLHGDPYKISLFDNSKQNHMMKLQHEAKSKSIGLFKEAAPSIFSPVHQTVLKYGKNSFLWEGTTPKVVITDPEQVKQVFNMIEDFPKPKLSSIAKYLSNGLIQYEGEKWAKHRKIINPAFHIEKLKGMLPAFTYSCEEMISKWKELLSPDGTCEIDVWPFLQNLTRDVISRTAFGSSYVEGTKIFELLKRQGFLLMTTRYANIPLWWILPTTTKRRMKEIDRDIDDSLVGIIEKRVKSFKNDETTNDDLLGILLQSNQAENQEHGNSKSIGMTTEEVIDECKLFYNAGQETTSVLLVWTMVLLGRNPEWQARAREEVLQVFGNQNPNFGGLSQLKIVTMILYEVLRLYPPAVYFNRAIRKDLKLGNLFLSKGTQVSLPILLIHQDHDIWGDDAKEFKPERFAEGIAKATKGQVCYFPFGWGPRGCIGQNFSLLEAKIVISLLLQNFSFELSPTYVHAPTTVLTLQPKYGATIILHKL >CAK8531006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69332058:69333524:1 gene:gene-LATHSAT_LOCUS827 transcript:rna-LATHSAT_LOCUS827-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKTVFSDEPDSPSHDSDQSDSPPHDPDQAESDHDVSTESTAWSFGGLIQTLASKSESVIANYRHDLEEFGSGLRIETSVIREAASRAVKDLPASLDVGASVAQESLETVGQAIDDIGSSVWKSTAQIISHGRDSLLSPDSDSESSNNGNKRILRSSSSSQGLDLKYSRFDVLVRGLQGDINTYLEEPEDLENFNEWKLGFELDNKEEEIENLIKENSVVDEIYEKVVPSKIDDERFWSRYFYKLHKLNQAEEARAKFVKRAISGDEEEDLSWDFDDDDDDGNGPKGGVSELKEDNSAKVVATVGANDENVKDLKIENDEKGVVAPESITDEGGVVAFESMTDEGDKLEEVNNNYNVASNVPVTVAVGDQDEKLDEKNEASDVKTDNDNGGESCKDSDVSVVSSPPSLPEEEDISWEEIEDVESNDDNKDEVGGSESRIELRKRLSSTTADQDEDLSWDIDDDDDEAVKS >CAK8531005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69332058:69333524:1 gene:gene-LATHSAT_LOCUS827 transcript:rna-LATHSAT_LOCUS827 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKTVFSDEPDSPSHDSDQSDSPPHDPDQAESDHDVSTESTAWSFGGLIQTLASKSESVIANYRHDLEEFGSGLRIETSVIREAASRAVKDLPASLDVGASVAQESLETVGQAIDDIGSSVWKSTAQIISHGRDSLLSPDSDSESSNNGNKRILRSSSSSQGLDLKYSRFDVLVRGLQGDINTYLEEPEDLENFNEWKLGFELDNKEEEIENLIKENSVVDEIYEKVVPSKIDDERFWSRYFYKLHKLNQAEEARAKFVKRAISGDEEEDLSWDFDDDDDDGNGPKGGVSELKEDNSAKVVATVGANDENVKDLKIENDEKGVVAPESITDEGVNVETVKDLKVENDEKGVVAFESMTDEGDKLEEVNNNYNVASNVPVTVAVGDQDEKLDEKNEASDVKTDNDNGGESCKDSDVSVVSSPPSLPEEEDISWEEIEDVESNDDNKDEVGGSESRIELRKRLSSTTADQDEDLSWDIDDDDDEAVKS >CAK8540898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30713532:30714437:1 gene:gene-LATHSAT_LOCUS9856 transcript:rna-LATHSAT_LOCUS9856 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTNVFSSFVNPTTIATLPKSLLTPSSSFTPFTSSNSSLTNSLKINAVPKKSFTCKSQVSDYVNTENAQELFVYELNERDRGSPAYLRLSNKSVNTLGDLVPFSNKLYTGDLQKRIGITTGICILIQHNEERKGDRYEAIYSLHFGDYGHLAVQGPYLTYEDTYLAVTGGSGIFEGVSGQVKLHQIVFPFKILYTFYLKGIKPLPQELLGQHVEPHVGVEASAAAKALQPHAVIAGFKNY >CAK8573770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635768970:635770464:1 gene:gene-LATHSAT_LOCUS26178 transcript:rna-LATHSAT_LOCUS26178 gene_biotype:protein_coding transcript_biotype:protein_coding MPISQLCPNTPPILRIKACNPNNNDTGSKSVTGKPRVSPQLNRWSRARAIRSGRKLDRSSPRTQTLEPSHSPPTLPFAVEPDDAVSDDGSEFRAKSIYIVSDGTGWTAEHSVNAALGQFDYCLVDHGCPVSTHLFSGIDDVERLLEIIKQAVKENALLVYTLADSSLSSAAEKACKLWGVPSTNVLGPITEAIASHLGASPSGLSRGASGVPLSDDYFRRIEAIEFTIKQDDGASPQNLAKADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVMGVDMPKTLSQVDPKKVFGLTINHGVLQEIRRTRAKTLGLSLESRTNYSEMDYIREELEFSRKLFAQNPVWPVIDVTAKAIEETAAVVLRLFHDRKHKCTMSRISKRY >CAK8562717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531207502:531218890:1 gene:gene-LATHSAT_LOCUS16191 transcript:rna-LATHSAT_LOCUS16191 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHLAGEGSAKFPPVLRPYPLPKFDYDESLQGHLRFDSLVDTEVFLGIESNEDNQWIDAYSRVSSGIEFNSTEAETCSISRHNNVWSEATSSESVEMLLKSVGQEEFVPREAVIQESGACDELACLVNQMDPYLKADEKIEFKDSVADTQSPSCIHENLSVSKEDAEMEQSLAGVSQGCEGKPSIDGSLNNMESPDIHRHIDLPESGGIPFTDGKYDETNQMKVETPADAFLHEKTNNNSSASVVTTKMNETPTENIPSTCEVLKIQNMQNQIVAIGDDNQSSLQSQTSKQDLESYVKNKNSDLGTQTLDVSAVEAEANQSDNPLCLIHREDTLEGESVVDGLATGISTSEKSLNTVSNDISNLQKTERESKDACFRDLSQRIANIDTLLIKDPVAGDQSAPNTSNVPKIAIKDDSSSEGEDACFRNLSQGNANKDAFLIKGPLTDYQSAPSTSDTPKIAIEDDSSSEGHKVEVSNSDCGTCPNYLSKIAIEDDSSSEGHKVEVNNSDCGTCPNYQLNMDTIEKTLGESNVSKEKELLNIGNQMDTDDLLSKAEASMFAVVDNKTFVVSEGNSDNRASVFSFNPVVSTKSCILGETTQVCENNKSNKQGEHKFFCQAISVSDQGTEKAPFDSSTIHHDVDPSHLANGGVCSSSLGACSMEASTVSVDIMPINSSDHHELKRMKHVGAASVDEKEDFEAQIVEEADISLPVGFSKLEVDPCPVAGIKNKKKSDSTGHILCETDNICQHNLEISATESIGEPQEILSGMVDHECTKEATVVAVLCESNEKQGDEVTVSFIKDDKVAIQEHHDKPYSKLSGSISSSVSDPHNELHETGGCPANPSYNKCGLSATFGSPLETEKGVNIKPTGNMNTPVFEFVNKDARNTSSSDHDHKGNDVSKDGRSLAPDVDLVTNSSKKDVTDLTPIGAKAGERGPLETENSLNIKPIANLNTPVFEFTNKDERNTSSSDHDHKGNDVSTDGKSVALDVDLVANSSKKDVTDMTPIGAKAGGRGSFPVIAADKESVVVAESPLASELGTPKSNVARFVPHGSPQIPDGDLAQSVSKGTPERKTRRTPNKTAGKESSRKGSKGKTPAKRSEKGDSSTSVPLSPSSGFQLKSNEAHQYRKIDSISTMPFSDLNTSASPSVMFQQPFMDAQQVQLRAQIFVYGALIQGIVPEEAYMLSAFGGADGGRNFWEKAWSSCLERQRSHKSHPINPETPLQSLSGTRTHDLAVKQSELQGKGVSSPLGLASSKGTPTIVNPFIPLSSPLWSLPSPAQALVSLHPNQTPPLRNFLGHNTSRISQSPFRGPWIASSTPTLDNSSYLSASPVTDTIKSSSIKGTSAPSSSSIKNVPPNLQASNVGLQNVFLPTTPLFNTSNAMVSSAHRSCDPKSKKRKKVTTESEDLGHRVMHLQSHLVSPPVVSNHISPANATAIPVVNVPVTTVEKSVESVSPLSLADRLKSGWNVEKRIMSDESLTKIEEARINAEEASALSAAAVNHSLEIWKQLDKQKNSGLASDIEAKLASAAVAVAAAAAVAKAAAAAASVASNAALQAKLMADEALIFSGRESSCGTYFSEGMSNLGKATPASILKGASGINSSSSIIGAAKEASRRRVEAASFARKRAENVDAIVKAAELAAEAVSQAGRIVTMGDLLPLSDLVEAGPEGYWKIFQESSQQVGLLKGMSRGPMNVDNLYRPETSQISNRDTSSMEMGKQIAASEESPFQKVHNETSLDHMRSVDENSSNGSRGRRVSNLVNPIDMLPESVTEIQASLTDRNGHGNLGENYIKEGSYVEVFKDGKGFKAAWFTANLLSLKDGKAYVCYDRLVADEGAGPLKEWVSLEDEGDKPPRIRAAHSLTSFHYEGTRKRQRAAMVDYWSIGDKVDAWIQESWQEGIITERNKKDKTYIVQFPASGETSVVEAWYLRASLTWNDGKWVESPSVGANDSPSNEGDAPPEKRTKLGSPAQELVKGKDKTSKGTYASESANPSELRLHNLTEDHKVFNVGRTIKNEKNPDAPRLARSGLQKEGPKVIFGVPKQGRKKKFMEVSKHYVAGGASRINDGNDSDKIADSLIPHASGSHGWKNSSKKDTKEKIGADCKPISKSGKPRSVLGRVIPSKQKPLSNSRNNDLTSRTERSRDSSSHANSASQRENQMERASYSETPGARQTSNSSRASSTDSHPTKKPLTSRVSKGKQAHADGRWGKVEVEKAMKGNSVRSTSEEVPEPRRSNRKIQPTSRLLEGIQSSLIITKTPSGPHEKGHKNQNRNTPRG >CAK8562718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531207502:531218890:1 gene:gene-LATHSAT_LOCUS16191 transcript:rna-LATHSAT_LOCUS16191-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHLAGEGSAKFPPVLRPYPLPKFDYDESLQGHLRFDSLVDTEVFLGIESNEDNQWIDAYSRVSSGIEFNSTEAETCSISRHNNVWSEATSSESVEMLLKSVGQEEFVPREAVIQESGACDELACLVNQMDPYLKADEKIEFKDSVADTQSPSCIHENLSVSKEDAEMEQSLAGVSQGCEGKPSIDGSLNNMESPDIHRHIDLPESGGIPFTDGKYDETNQMKVETPADAFLHEKTNNNSSASVVTTKMNETPTENIPSTCEVLKIQNMQNQIVAIGDDNQSSLQSQTSKQDLESYVKNKNSDLGTQTLDVSAVEAEANQSDNPLCLIHREDTLEGESVVDGLATGISTSEKSLNTVSNDISNLQKTERESKDACFRDLSQRIANIDTLLIKDPVAGDQSAPNTSNVPKIAIKDDSSSEGEDACFRNLSQGNANKDAFLIKGPLTDYQSAPSTSDTPKIAIEDDSSSEGHKVEVNNSDCGTCPNYQLNMDTIEKTLGESNVSKEKELLNIGNQMDTDDLLSKAEASMFAVVDNKTFVVSEGNSDNRASVFSFNPVVSTKSCILGETTQVCENNKSNKQGEHKFFCQAISVSDQGTEKAPFDSSTIHHDVDPSHLANGGVCSSSLGACSMEASTVSVDIMPINSSDHHELKRMKHVGAASVDEKEDFEAQIVEEADISLPVGFSKLEVDPCPVAGIKNKKKSDSTGHILCETDNICQHNLEISATESIGEPQEILSGMVDHECTKEATVVAVLCESNEKQGDEVTVSFIKDDKVAIQEHHDKPYSKLSGSISSSVSDPHNELHETGGCPANPSYNKCGLSATFGSPLETEKGVNIKPTGNMNTPVFEFVNKDARNTSSSDHDHKGNDVSKDGRSLAPDVDLVTNSSKKDVTDLTPIGAKAGERGPLETENSLNIKPIANLNTPVFEFTNKDERNTSSSDHDHKGNDVSTDGKSVALDVDLVANSSKKDVTDMTPIGAKAGGRGSFPVIAADKESVVVAESPLASELGTPKSNVARFVPHGSPQIPDGDLAQSVSKGTPERKTRRTPNKTAGKESSRKGSKGKTPAKRSEKGDSSTSVPLSPSSGFQLKSNEAHQYRKIDSISTMPFSDLNTSASPSVMFQQPFMDAQQVQLRAQIFVYGALIQGIVPEEAYMLSAFGGADGGRNFWEKAWSSCLERQRSHKSHPINPETPLQSLSGTRTHDLAVKQSELQGKGVSSPLGLASSKGTPTIVNPFIPLSSPLWSLPSPAQALVSLHPNQTPPLRNFLGHNTSRISQSPFRGPWIASSTPTLDNSSYLSASPVTDTIKSSSIKGTSAPSSSSIKNVPPNLQASNVGLQNVFLPTTPLFNTSNAMVSSAHRSCDPKSKKRKKVTTESEDLGHRVMHLQSHLVSPPVVSNHISPANATAIPVVNVPVTTVEKSVESVSPLSLADRLKSGWNVEKRIMSDESLTKIEEARINAEEASALSAAAVNHSLEIWKQLDKQKNSGLASDIEAKLASAAVAVAAAAAVAKAAAAAASVASNAALQAKLMADEALIFSGRESSCGTYFSEGMSNLGKATPASILKGASGINSSSSIIGAAKEASRRRVEAASFARKRAENVDAIVKAAELAAEAVSQAGRIVTMGDLLPLSDLVEAGPEGYWKIFQESSQQVGLLKGMSRGPMNVDNLYRPETSQISNRDTSSMEMGKQIAASEESPFQKVHNETSLDHMRSVDENSSNGSRGRRVSNLVNPIDMLPESVTEIQASLTDRNGHGNLGENYIKEGSYVEVFKDGKGFKAAWFTANLLSLKDGKAYVCYDRLVADEGAGPLKEWVSLEDEGDKPPRIRAAHSLTSFHYEGTRKRQRAAMVDYWSIGDKVDAWIQESWQEGIITERNKKDKTYIVQFPASGETSVVEAWYLRASLTWNDGKWVESPSVGANDSPSNEGDAPPEKRTKLGSPAQELVKGKDKTSKGTYASESANPSELRLHNLTEDHKVFNVGRTIKNEKNPDAPRLARSGLQKEGPKVIFGVPKQGRKKKFMEVSKHYVAGGASRINDGNDSDKIADSLIPHASGSHGWKNSSKKDTKEKIGADCKPISKSGKPRSVLGRVIPSKQKPLSNSRNNDLTSRTERSRDSSSHANSASQRENQMERASYSETPGARQTSNSSRASSTDSHPTKKPLTSRVSKGKQAHADGRWGKVEVEKAMKGNSVRSTSEEVPEPRRSNRKIQPTSRLLEGIQSSLIITKTPSGPHEKGHKNQNRNTPRG >CAK8537941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449258821:449259825:1 gene:gene-LATHSAT_LOCUS7189 transcript:rna-LATHSAT_LOCUS7189 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNFVYLFLFILVALNIWRNLKKKGSTPNLPPGPWKLPIIGHIHHLVTSTPHRKLRDLAKIHGPLMHLQLGEIFAVVVSSPEYAKEVLKVHDIVFASRPKILAAEILTYGCTNISFSPYGNYWRKVRKICTMELLTQKRVSSFHTIREEVFMNLIKRIIDSQKGSGSGSAINITQLVASSTFAIITKAALGDKCKVQEELAALGNGESVAGGFDIGELFPSAKWLQLVSGLRPKLERLHRQVDELLEKVIFEHKEEKLKANQGQGDEVEEDLVNILLNYQGGNDSDYKGGSLEYIPFGAGRRMCPGITFGLMNVELALALLLYHFDWKFLME >CAK8541238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:94264613:94281637:1 gene:gene-LATHSAT_LOCUS10171 transcript:rna-LATHSAT_LOCUS10171 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTHPPLLHHPSGSALAAVPSGRFRQPSSFNPALSSIHRTKAGFSSSQHELASPADFEFEAPLKVLKYPDPKLRKKNKRIATFDDSTKKLVHEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPVGERGEGEEIVLINPKVRKYSMNRTLFNEGCLSFPGINADVKRPESVKIDARDVNGVRFSINMSGLPARIFQHEFDHLEGILFFERMTDEVLDSIRAQLQALEMKYEEMTGFPSPEKIESRRRRKAAIGFGKS >CAK8560692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36098831:36099142:-1 gene:gene-LATHSAT_LOCUS14348 transcript:rna-LATHSAT_LOCUS14348 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKYVALSIVVGEIQISIEDEDVETKLKFWENSLIMYVLGGDLSMNIVKNFMERMWNFIKLPDIHYHEEGYFILKFHSHTDMDTVMLKGPYTIRNMPMLLRE >CAK8563144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577283811:577292739:-1 gene:gene-LATHSAT_LOCUS16581 transcript:rna-LATHSAT_LOCUS16581 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWRPRPIQYQNNLCPNCFIQHFPFCPSPPPNWPPPPPPPNPTITYDADFDRTFKRPRIQDDERRLKLIRDHGFNPPPFQHPHHAYVAPSPPPPPPPPPPQHHCDAFPPPPPPPPHIHNASTPIYHPQQHGEINAPYHDHNFHVQAKQANHHHNNNHNLNARELHHPYPYPYQDHHPSGSNNSFSVNTSQMDASRFFRNMPPLPTSPPPPLPMDPPNNQFKTYFSPPNKPPSLFPVTSSVAHEPHPFPQPYLHSNKPHHPEFSTGFSMEEPSKQYLGDAQPFPLNRLSEEKPKFVDASQLFRKPLRTSRPDHFVIILRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMIEVEKVDDNDGSKSSSSGRNKRPVTKKVMEYCYEPEMEEAYRSSMLKAFKKTVEEGAFTFIIVDDRNLRVADFAQFWATAKRSGYEVYILEATYKDPVGCAARNVHGFTQQDIEKMAEQWEDSPSLYLQLDVKSLFHGDDLKENRIQEVDMDMEDDLDDALLAAQGREADKAVGPPVRDDEGSIKDGKRWDAEEEHSTEVRELGKSKWSEDFGEDDVHQTEGMKGNINALSGLIHQYGKERKSVHWGDQVGKTGFSIGTARKVAALSLVIGPGAGYNLSSNPLPEEESPTHAEPKKHSTFQERIRAERESFKAVFDGRRPRV >CAK8536524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:950107782:950108129:-1 gene:gene-LATHSAT_LOCUS5883 transcript:rna-LATHSAT_LOCUS5883 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDFEDLLPVIANKLGGEGLMKELSNGFELLMDKEKGVITLESLRKNSALMGLEDLKEDEVVSMMRQGDVDGDGVLSEMEFCVLMFRLSPRLMEESWSLLEQALQHELNNQDS >CAK8536925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40042335:40043180:-1 gene:gene-LATHSAT_LOCUS6249 transcript:rna-LATHSAT_LOCUS6249 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRTTDENKRRKMEDSVKPMVTCHWVDSNYRTKSSALSQPKNNDNVVEDNQVSKTRALSQPEVVEDKKMIKNQVFKTSALSQTKNNDNVVEDKKVIKNQVSNRVMPNNIDVENKKIIKNQVSKTEIGFNGGEECGLKKKPMECVKRRQCWLILKRMMVDRDGWDLKDPPKIAMIDKSESKSKGIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYRIAKKFSENFELKWKSLKDAWKLEDRGRSKTHNSTRYL >CAK8541169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79845410:79846537:1 gene:gene-LATHSAT_LOCUS10108 transcript:rna-LATHSAT_LOCUS10108 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPDVNDRFLALSRAGPNDFYFTVASSSLLVLCDVRKPLMPILQWKHNIDEPCYMNVLSLSMLRSHSKVDNFKLASEMGFCIILGSFWNSEFNIFCYGPTFPFRKGSITSKLSKISTTFCAWELPSEINLSSRECHCGICLFREELSKDALPEWIDWQLKKEMVLGFGIVSNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSLDRKLEDCHEANLCMESHLLCPRSVKENKSVELHYLKLDYLCAYANGNLAQVLTTKLDKTYSNDQEEAPFCLEVHELLCKKLNACGLSHSRSSPTITSIFNDVKLPASFHEVALRKLWTDLPLELLQLAFLSYSECREVNGFNQHQTNSHTQNQQSNLPQPYTKME >CAK8538696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493155945:493158589:-1 gene:gene-LATHSAT_LOCUS7869 transcript:rna-LATHSAT_LOCUS7869 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGTLSEVHQTARKLLLKTRDGLERLERLEYSNSSSSSSDLSFAISKDITQIQSLCVEMNRLSRSISVKSQRDLWKRKLEQIAEEAESLKESLEKYNSRNHKRMMEAKERTELLGRANGDSSHVMRIYDEEAQAMQSVRSSARELENANALGETILSSIHGQRERLKSAQRKALDVLNTVGISNRVLRLIERRNRVDQWIKYMGMILTIIFLVAFVLWRR >CAK8533500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:626396001:626396453:-1 gene:gene-LATHSAT_LOCUS3123 transcript:rna-LATHSAT_LOCUS3123 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEMPPCRSTACRCIPVGLVIGYCRNPSGVLRTTDEHPNLCESDADCRKKESGNYCGHYPNPDIEYGWCFESKSEAEDVFSKITPKDLLSTV >CAK8531338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101858036:101859366:-1 gene:gene-LATHSAT_LOCUS1138 transcript:rna-LATHSAT_LOCUS1138 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPIVDMGKLNTEERKSTMELIKDACENWGFFECVNHGISIEMMDTVEKLTKEHYKKCMEQRFKEMVASKGLECVQSEIDDLDWESTFFLRHLPVSNISEIPDLDDDYRKVMKEFAEKLEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPELIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQWIDVPPMRHSIVINLGDQLEVITNGKYKSVMHRVIAQTDGARMSIASFYNPGDDAVISPASTLLKENETSEVYPKFVFDDYMKLYMGLKFQAKEPRFEAMMKAMSSVEVGPVVSI >CAK8537834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440015897:440016580:1 gene:gene-LATHSAT_LOCUS7088 transcript:rna-LATHSAT_LOCUS7088 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQHDSVNSSTKQLSQLAQDMFSDENNNNNIIMLAAIISLFLVILFVLLLHVYAKCFLAQSNSQSNPHRRQPLSDVPIPSNFHHRFNVEAPPFTGLDPTTVSKIPTFVSEHKTEELECVICLSYIENGEIGRKLPKCGHAFHVECIDMWLNSHSNCPICRSLIVHEDSHDNGVEIVIDSPRSYEISESGSVSVSETSLSLFGFSFKRIISKVFLSSHVNELDHGSQ >CAK8533711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:652207721:652208191:-1 gene:gene-LATHSAT_LOCUS3314 transcript:rna-LATHSAT_LOCUS3314 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVTLVLIFVGIVILIIFHASLTEWFSRRGSMIDRSKRRISKIDLEKLPCYDYVAKENTSNTMDCAICLENFTIGDKCRLLPSCKHSFHAQCVDKWLLENSICPICRSRVCSYGGKKVMCNNGGGSIESGSSRNVGIELRENNPTTLEVESQEH >CAK8561543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:284966414:284966728:-1 gene:gene-LATHSAT_LOCUS15124 transcript:rna-LATHSAT_LOCUS15124 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTSSGRTDNVYYPPIQQIPPTTFTQPFQSAPNFTLTDDQLMEWPGFSVTDVDLLDTSHQYENEELTSDSTPSPPRRQPQELGRGKRVKKSKMCGTGGHLLR >CAK8579443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699492807:699495936:-1 gene:gene-LATHSAT_LOCUS31390 transcript:rna-LATHSAT_LOCUS31390 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSKVVVVAVKGSKDISRTALVWALTHVVQPGDCIKLLVIIPAISSRKKVWGISRFTTDCATSSWTSRLGTVSDQKEVITNSCSQLVLQLHDFYDPEKIKIRVKILSGSLCGAVAAEAKRVQSSWVILDRKLKGEQKHCMDELHCNIVIMKHSHPKILRLNLNSSPKKELGRDCSLSLEPNAYRNLKDNFEHSDIIKGPAVTPASSPEQGSPPLTVTDIGTASISSSDPGTSPFFHSDNYERRRRCFPFVVHEGLTNLEDIESDSESEKLSSSSSFQPWISNVICVGGEFSKHEDNVQRCSDSKALVSTYEALLHKLTILDQDPIFGLPTCKIDVNLSKSVREVISLARNAPHGPPPLCSICQHKAPVFGNPPRWFTFAELQLATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQYKLASSQGDKEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLYGRMQSVLDWSARQKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEALVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLLELVTGRKAVDIGRPRGQQCLSEWARPLLEEQAIDKLVDPSIGKCYDDQEVYRMMQCSSMCIRRDPHLRPRVSQVLKMLEGDIVM >CAK8566862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467612315:467613070:1 gene:gene-LATHSAT_LOCUS19963 transcript:rna-LATHSAT_LOCUS19963 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDPNAQHGVKLTIEDYPFANDGLLIWDAIKQWVTDYVNHYYPSSSTIESDQELQAWWTEIRTKGHADKSEEPWWPNLKTQQDLINIVTNIAWSASAHHSAVNFTQYTYGGYFPNRPTIARIKMPTEEPTKEEWEAFMNKPEETLLACFPSQIQATLFMVVLGLLSEHSPDEEYIGQKMEPSWGENPTIKEVFERFHRRLKEIEGIIDSRNEDRNLKNRHGAGIVPYESLKPFSGPGVTGKGVPYSISI >CAK8542370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:505769921:505770265:1 gene:gene-LATHSAT_LOCUS11214 transcript:rna-LATHSAT_LOCUS11214 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNKKAMMKLALMVFLLGFTANVVNARFDSTSFITQVLSNGDDVKSACCDTCLCTKSNPPTCRCVDVGETCHSACNSCICAYSYPPKCQCFDTQKFCYKACHNSEKEVLINN >CAK8532384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:253627867:253628668:-1 gene:gene-LATHSAT_LOCUS2095 transcript:rna-LATHSAT_LOCUS2095 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKTYYLDMILVPLGFLISIGYHVWLWHKTRSEPFSTSIGINAHARRFWVPTMLKDIEKKNILVAQSLRNLIMGSTLMATTSILLSAGLAAIISSTYTIKKPLEDVIYGAHGEFMVALKYVTLLTIFLFSFFCHSLSIRFLNQLSLLICTPQDVMSLVTPEYLVDILERGTTLNTIGNRIFYSALPLLLWIFGPVLVLLCFLAMLIVFYNLDIVCGSGKKEKTVLSDESNYV >CAK8565293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:104798502:104798834:1 gene:gene-LATHSAT_LOCUS18517 transcript:rna-LATHSAT_LOCUS18517 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQNEFQRDKPWANDPHYFKRVKISALALLEMVVHARSGGTIEVMGLMQGWKVGKCCGMVSFSSWLWLLAFWD >CAK8566210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391365378:391366114:-1 gene:gene-LATHSAT_LOCUS19363 transcript:rna-LATHSAT_LOCUS19363 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLKRTESIKDNMSSDESKQSRYHMKRCFAKYLEKGRRIIKVHDLMEEMEQVLNDQRDKNQILEGNLGFLLSCTQEAIVDPPYVAFAVRQDPGVWEYVKVSSENLSVEPITSTDYLKFKERIYDEKWANNENALEADFGAFDFPI >CAK8542301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499561551:499566134:1 gene:gene-LATHSAT_LOCUS11148 transcript:rna-LATHSAT_LOCUS11148 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMISPIQNAAPSPTFLWRFKVTLFLIWGLTCCKIGWDSVMRMDANLRDLFLYEAFLYYNPLLLVTIMVWLWGVNVWVFLQSSVSYPKVFDIDQNHLTHKEIWKCSTWMTILVPTSMTSYLYLYSHGEVSLAASQPVLLYIFVAMLLIFPFDIFYLSSRYFFLKTLLRIAFPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVNKQVATIAWLEADSVCGSHSIAIPIVLVLPYLWRLLQCLRQYKDTKEKNCLLNALKYSTAVPVIFLSALKYHVFPEKWTTLYRPLWLLSSVINSLYSFYWDITRDWDLSGFSRIFKFTKPSLVTNVFYGQHWVYFWVIGSNLVLRGSWTYKLSAHLRHNYLTVFGITLLEMFRRFQWVFFRVENEWNKITRTGVQLAEVPREEEKLLGSNIHDV >CAK8539253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507634647:507636564:1 gene:gene-LATHSAT_LOCUS8365 transcript:rna-LATHSAT_LOCUS8365 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAQPLKTIISSYRDQDKNSRLSPRLSPLSPCRHGSRQWRQIRTGSLAVAIAALLMFTTAWLSLVFSDATTCCFHRIKDWEDRHHFLPWNKCPPLRHSKVIPPPALQFGTTKDQLHNSSSIIEQGGLSVQHIVFGIAGSSQLWKRRKEYIRLWWRPNEMRGHVWLEEQVVEEHGDELLPPTMISGDISYFRYTNPIGHPSGLRISRIIKESFRLGLSDVHWFVLCDDDTIFNVNNLVDVLSKYNSSEMIYIGSPSESHSANTYFSHSMAYGGAGIAISYPLAKALYEILDECIERYPGLYGSDDRLHACITELGIPLTREHGFHQWDIKGDAHGLLSSHPIAPFVSIHHVEAVDPFYPGLSSLDSLKLFTKAMRAEPKSFLQRSICYDHSRHLTFSVSLGYAIEVLPNIVYPRELERSERTYSAWNGISQRNEFDFDARDPHKSVCKKPIRFFLKDTGRDVNASWGSYVRSKDKDDFKRKLFCFPQFPPLYNVQKIEVVAQPLSKNWHLVPRRLCCKQSKSGKDILQISVGQCGNWEGAF >CAK8538656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490691183:490693763:1 gene:gene-LATHSAT_LOCUS7832 transcript:rna-LATHSAT_LOCUS7832 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEMNDRKKIGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGIGFLILMVGWPILGMIIEAYGFIVLFSGFWPTLSVFIQKVPVLGWLVQLPFIRSLFDRNRGKRMPV >CAK8564108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652327810:652330352:-1 gene:gene-LATHSAT_LOCUS17445 transcript:rna-LATHSAT_LOCUS17445 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPERVAPPEIFYDDTEARKYTSSSRIIEIQASLSERALELLALPEDGVPKLLLDIGCGSGLSGETLSEEGHHWIGLDISPSMLNIALEREVEGDLLLNDMGQGLGFRPGVIDGAISISAVQWLCNADRSSHNPRLRLKAFFTSLYKCLSNGAKAVFQVYPENNDQRELIMNAAMHAGFAGGIVVDYPHSSKKRKEFLVLACGQLSSNASLSQGKNEDENQLSNDDSESDDEENQTVCNLDRHRHLKRQKKNKSGKGREWILRKKDQRRRRGKEVPQDTKYTGRKRKGQF >CAK8534870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779491648:779491953:-1 gene:gene-LATHSAT_LOCUS4375 transcript:rna-LATHSAT_LOCUS4375 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLTKSFIPFWMFVSTIFHRKMNEINKDIRYLLKYIINKRKKALKIDKCAMNDLLTVLLEPNHKEIEEN >CAK8575174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:44012597:44016156:-1 gene:gene-LATHSAT_LOCUS27460 transcript:rna-LATHSAT_LOCUS27460 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHVAALHTATNALQALGRGFDVNFDTRLLYCKGVSGSRVVEIDEQYQRDLCLYDDVVVPNVSRDIRAFGESMGRQSSGVCSFQEMVDYFNHKANIPGSNPLGSFNSAFSFTGSKHVDAAATKTLSSDGFYIPLAKVQLQKPNLTLQENVKRAIPLNWDPPSLASFIETFGTHVITSITIGGKDVIYIKQHHTSPFSKLEIKNYIQDIGNQRFSDINSHTSSGQTKSKDKGVEPFSFNSQGVYPQPTTATYSTGKEDVTVIFRRRGGDDLEQNHRVWLTTVKSSPDIIEMAFCPITDLLDEIPFKEHLTRTIGLYLEYKPPIEELRYFLEFQIPRVWAPLHDRIAGQQRKEPVCPSLQFSIMGQKLYVSQEQITVGRRPVTGLRLCLEGCKQNRLSVHLQHLVSLPKILQPYWDSHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDFSGVFIVTGAQLGVWDFGSRNVLYIKLLYSRLPGCTIRRSLWDHIPNKSQKSTTAGNSSNAANTTGSGLRENNNATTNKLAKYVDLSEMSKGPEDPPGHWLVTGGKLGVEKGKIVLRLKYSLLNY >CAK8533295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601038621:601039130:-1 gene:gene-LATHSAT_LOCUS2935 transcript:rna-LATHSAT_LOCUS2935 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSYIDAAFCSSKNNEAYFFINDKYVLLDYAPGTSNDKVLYGPTPIRDGFKSLNQTIFGSYEIDCSFDTENNEAFIFYENFCTLIDHAPHSNKDKIILGPKKIADVFPFFEGTVFKIGIDAAYRSTRGKEVYLFKGDQYARIDYGTNSMVNKEIKSISNGFPCFRNT >CAK8532870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:540091250:540092686:-1 gene:gene-LATHSAT_LOCUS2532 transcript:rna-LATHSAT_LOCUS2532 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKIDFFFKRKERDEENLTSMSEPTRVIENPRIEENVNRVCSNDIGSFLERDPGKRPSMWEYPVNQMDEIQRAYLKWGPYQMNLEKYPSSGKEDHQRRFQHAWFSLFPSWLEYSPPEDAAYCLPCYFFSKRLSGRPGSDVFISIDFRGWKKVRNGKNYAFLKHIGKIPCSPYNNAMKTCQDLLNQDGHIRNIIQAQSSIEIMKNRLRLKTSIDIVHWLTFQARAFRGRDESTESLNQGNFLELIKLLVGYNDEVVKVVLENAPSNSKYTSQLIQKEILHILSSRVKKHIREEIGDSKFCIIVDEACEESKKEQMSLVLRFVDKDGFIQERFFGLARVCDTASLTLKQKVCDILSLHNLDVSNIHGQGYDGARNMRGEWNNLQAIFMKDCPYAYYVHCFAHQLQLALVTASRDIKPIHQFFEKLTLIFNVVCSSTKRHNELQASQLDENEHLLEIGEIVTSKGENHIGTLKRAGDTR >CAK8531471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112890877:112892497:-1 gene:gene-LATHSAT_LOCUS1261 transcript:rna-LATHSAT_LOCUS1261 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIYAKDFSPIEDAETIMNACKGFGTNETALISILANRNAAQRKLVRLAYQEIYHQDLIELLKSELSGNFERAICLWTMDPAEREATLIDAALKKATPDYKVIIEIACTKNSEELLAVKRSYQSLYKHSLEEDVASQTTGDIRKLLIAVISTYKYDGEEFDENVAHSEANILHQLIERKAFNDDEMIRILSTRSKKQLSVTFNIFKDLFGTTINKGLLASTIDEYIGALRTIVRCINDPQRYLAKVVCNALNDLVNEDDALNRVIVTRAEKDLKEIKDHFLKRNNVSIHDSVDRKTWGNYKTFLLHLLGKE >CAK8571369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:392048059:392048415:1 gene:gene-LATHSAT_LOCUS24036 transcript:rna-LATHSAT_LOCUS24036 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFNIWDIPSVTSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFVHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDV >CAK8539824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527433538:527435475:-1 gene:gene-LATHSAT_LOCUS8884 transcript:rna-LATHSAT_LOCUS8884 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFPFIFTLFFFIFITNISIILGQQPYIGLSTTACPRKGDSKSIRGYTCNGQTQTCQAYLTFRSQPIYSSVSTISSLLGSNPSHLAEINSVSLNETFETNQMVIVPVNCSCSGNYYQANTSYVFQNTDTYFLIANNTFEGLSTCQALMHENHNPGDIYPGRELLVPLRCACPTKNQTEKNIKYLLSYLVNWDDSVSLISEKFGVNFKTTLVANTLTLTQATIYPFTTLLVPLFDKPKGSQIQTVSVSPHQEPSPSSTSPSSSSIERKSGKSLVYVVVGVLGGLVVIALMLTLCALIFFKKGKKKDDFSVNVSESTIYSAKEKPVKKEDEKLLEIISGIGQSFKVYDFEEIKVATDDFSPSFLIKGCVYRGVINGDLAAIMKTEGDVSKEIQILNKVNHSNVIRLSGVSFNEGHWYLVYEYAANGLLSDWIFSNKMSDERFLSWTQRMKIALDVATGVEYLHSFTSSPYIHKDLKCSNVLLDNEFKAKVASLRLVRCVEGLDDDDEEFVATRHIVGTRGYMAPEYLENGIVSTKIDVYAFGVLMLEIITGKEVAAILSEDNENLIDFLSGVVDEESGNEKLKELIDSSLQGNYPFELAMFVIEIIESCLKKDPGNRPAMNEIVSALSRTLNSSLSWENSMNVPRY >CAK8539737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523609367:523610086:1 gene:gene-LATHSAT_LOCUS8807 transcript:rna-LATHSAT_LOCUS8807 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNTASSSLQLTVSSPPKKPQPIPWTHQETLNLIRAYQEKWYSLKRGPLRGSQWEEVAVVVAARCGYDYNHPSKTALQCRHKMEKLRQRHRSEKHRLTVTSSAASTRSWQYFRLMDDLERGPLPISVRVLPHENNNYSDGERGNNQNQSARSRSIHNILHQKPDDDEEEEEEGAMATELTAELRNFAERIVGLENMKMEMMKETERFRLEMENKRIRMILESQWRIIDSIGRVFRSS >CAK8537718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:423164509:423165000:-1 gene:gene-LATHSAT_LOCUS6981 transcript:rna-LATHSAT_LOCUS6981 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANSMPSSKFYKLQAAAAAAAIDIAPPGVSSRISSSSTANFLAHTNPWASAFTAANIASASLGLKRSSDALYHPTILSTIGQNEAWYTTNSLAKRPRYEAGSTLSIYPHRPGKKESFSRWKNKVKCWWVLLRFLHCVQGLNGSSWLMLHLKFTWIFRGISF >CAK8572113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511640778:511646409:-1 gene:gene-LATHSAT_LOCUS24712 transcript:rna-LATHSAT_LOCUS24712 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGQSKSELLYQQVSYGNSDGIKALHREGAGLEYIDREGKTPLIVACMNPELYNIAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLESIVKLLLLHGANPLVLNDDCQTPLEVARAKGNSNVVRAMESHLCLFSGWLREFHGPGFLEVVAPNLVSRKVWVVVLPVGSRGLTMPYKLELAVYSTLQDAKPRTIVPLWKANLEEPRLRQSDLSVSITDKTTKTRHKFGPANENDKQQLTWFSNACKGIPQVSPAFLNNNMVTVPPTAPPSAEDPELAMAIHASIQHAIQERPSFPDASSSISGSNAVQGFLGTPNPNPNANEFVHEPVSVDNTQHVQSDGNVSAGHTASGLDVNPSAPPFADEVPFDGPIHYPSIDLSPVNVSASVVGNLPNEEGMTVGGSGSTCVICLDAPAEGACIPCGHVAGCMSCLNEVKTKKWGCPVCRSKIDQIIKLYHV >CAK8575435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:119033660:119036259:1 gene:gene-LATHSAT_LOCUS27702 transcript:rna-LATHSAT_LOCUS27702 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPITTCLLLSFLIILSHFVIEIHGKNKQVEALDNLHKAKYIENSEIDKSEFEVQEIVYDIDAIVDSQKGLKEKDRIKKLPGQPFVKFSQFGGYVTLDKLSGSAFYYYFVEAHQSKETLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLHQNRYSWNYAANVLFLESPVGVGFSYSNKSTEYSSNGDKKTAIDNYLFLVNWLERFPEYKNRDFYISGESYAGHYVPQLAHTILYHNKKANKTIINLKGILIGNAVIHDTTDSTGMYDFLATHAIISDKAAYDVNNVCDFSSDNLTAECNSVADEVNEDIAFIDLYNIYAPVCKNENLTSKPKKNTIVTDPCSENYVYAYLNRQDVQEAIHANVTKLKYEWSPCSGVIRKWVDSSPTVLPLLHEFLNNGLRVWIFSGDTDGRVPVTSTKYSIKKMNLPVKTVWHPWLAYGEVGGYTEVYKGDLTFVTVREAGHQVPSYQPARALTLIKHFLDGTPLPSPKIKA >CAK8576042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:389904641:389905030:1 gene:gene-LATHSAT_LOCUS28260 transcript:rna-LATHSAT_LOCUS28260 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEETVHKLASLFLVFLGSMYIVLFLMGKGSHSHSHNQPMKKMAVASLILVPALSPCTTTLPVFLVVGNLSSMMVLAIIVLLFSTISVMTSLVALSFFGASQLKFHWVERWRACIEGCFPYDRV >CAK8572997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575526055:575536237:1 gene:gene-LATHSAT_LOCUS25493 transcript:rna-LATHSAT_LOCUS25493 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHDSEALPNSKPSTEEDIIDELKLRSKKYLRGEGANLKGLKDKKLRSQLVAREKLYGESAKAAAKAEKWILPSDQGYLEAEGIEKTYRIKQETIAREVDILSSRNQYDITLPELGPYTLDFTSSGRHMAVGGRKGHLAIVDMMNLSLIRELQVRETVRDVAFLHNELYFAAAQKKYPYIYNIDGTELHCLKEHGSVLRLQFLKHHLLLASTNKFGMLLYQDVTKGDLAGKYRTGLGRTNVMEVNPYNSVVSLGHSAGTVTMWKPTSSSPLVKILCHHGPVSALAFHPNGHIMATAGKDKKIKLWDLRKYEAFQTLPGHANSLEFSQKGLLACGNGSYIQVLRDVSGAQNYSRYMTHSMVKGYQIGKLAFRPYEDVLGIGHSMGWSSILIPGAGEPNFDSWVANPFETPKQRREKEVKSCIDKLHPETIMLDPSKIGTVKFTKKEKPTLEEIDADMEAAVEAVKGKKLKKKTKGRSKAGKIMPKKQDAIATAKRPYLEQKIQEEKNISSKKQKINEGVELPKSLQRFAHKKPSS >CAK8569487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2582384:2584515:-1 gene:gene-LATHSAT_LOCUS22333 transcript:rna-LATHSAT_LOCUS22333 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKLKQKRAKESELMNHLMHIHVAIVAALTGCVSTILLISVWRLCHHKKDQKNFIGSNSLNKRETHHEGSNQFDQRRKKKGRKDLFNWVDHPYLASDAVENGWSRFAFTSYKSDYISSKKPTLLGACGGGGEYGREGENEVEVSWEVCQGSNEFMQKIRLNSGLKKCFFHPNNALMNVHSVVRTCLPLPGPSLGNHVFPQEAYFEITILYSCGGDDCEVVGRREGEKTKLLIEDGLDDEGDLKSVEEMKDEGKDGSVMVSLGLSSGGSVPLRVPGSYPRSIGFNSNGSVFLDGMKLVFESDKEQWIGTDTVIGCGFDPRKKKVFFTLNSELVHVIHCQSEEFSTPLCPTIAANIDIMVLVNLGQVAFKYAPANAQRTPNPCFIAPLVHSPGATLGFDDSKELFSMGRIDSPWQNRSAAKGNNNGGNNNNVAFDFDEESEADLFEIVLDGSEKSPYSVSL >CAK8569488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2582384:2584470:-1 gene:gene-LATHSAT_LOCUS22333 transcript:rna-LATHSAT_LOCUS22333-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLMHIHVAIVAALTGCVSTILLISVWRLCHHKKDQKNFIGSNSLNKRETHHEGSNQFDQRRKKKGRKDLFNWVDHPYLASDAVENGWSRFAFTSYKSDYISSKKPTLLGACGGGGEYGREGENEVEVSWEVCQGSNEFMQKIRLNSGLKKCFFHPNNALMNVHSVVRTCLPLPGPSLGNHVFPQEAYFEITILYSCGGDDCEVVGRREGEKTKLLIEDGLDDEGDLKSVEEMKDEGKDGSVMVSLGLSSGGSVPLRVPGSYPRSIGFNSNGSVFLDGMKLVFESDKEQWIGTDTVIGCGFDPRKKKVFFTLNSELVHVIHCQSEEFSTPLCPTIAANIDIMVLVNLGQVAFKYAPANAQRTPNPCFIAPLVHSPGATLGFDDSKELFSMGRIDSPWQNRSAAKGNNNGGNNNNVAFDFDEESEADLFEIVLDGSEKSPYSVSL >CAK8576519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486991054:486993568:-1 gene:gene-LATHSAT_LOCUS28701 transcript:rna-LATHSAT_LOCUS28701 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFCKSASRVASSSFANRSRTFAQKPSIPLLFSSQVPRVSRILSVVGSVESLMPLHSAIADARLTSNIASDSTCWSWLSQGLEKTL >CAK8574091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661786793:661787857:-1 gene:gene-LATHSAT_LOCUS26468 transcript:rna-LATHSAT_LOCUS26468 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRKSRAKPRPQPLKITKKLKIVYDDPDATDSSSEDELQPRRIRRGCLEISLPVIPVVSAIASPQDSFNDGKEKIAARRSCLSVRRAQTRKRSVPSANPTTRRQSSAKFRGVRMRKWGKWAAEIRDPIRGIRIWLGTYNTAEEASQAYESKRLYFERLQFEMDSKAKNNNSCSINNTNNAPALVATNSSADAANASASVSEKFSTTEDSESLFSHTSPSSVLELDTLASNETEKVDAVEDEASEMVACQLEELEIPDLSVLNLPEPPVAAAENPNGTDLNIGFGFDFDRFNMDDFGQDFDDFGELRDIHIHGFDDNEPSELPDFDFGEIGGEDDEFAGWIEEPFFQHNISCV >CAK8542824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551735437:551736698:-1 gene:gene-LATHSAT_LOCUS11628 transcript:rna-LATHSAT_LOCUS11628 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSLSLPKLNLIKASSASTTTTPLSTPEALNEKFGRKGIKFLENNSIPIVELTVRNGSSLNLRLPDAHVTSYKPKVFWKDDGFEEFLYTIPATETGLYKAKGGIGLVLNEVLQPGAKALLPSTLEWTVNDVDYDAIDALQVELISTNRFFDMTYIVTLYPVSMATAVIVKNKAPKPVTLTNAILSHFRFKRRGGAAIKGLQTCSYCSHPPLVSPFQILNPSEAVKSEPSRLISFGAEPELKPGLWTQQAVPITLLENKMSRVFAAPPEERSKAFYNTPPSKYEIVDQGREIFFRVIRMGFEDTYVSSPGSMSEKYGKDYFVCTGPASMLVPVIVNPGEEWRGAQVIEHDNLS >CAK8531010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69367341:69368429:-1 gene:gene-LATHSAT_LOCUS830 transcript:rna-LATHSAT_LOCUS830 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLPLPPFLPEELILEILTKLPIKSLLRFKCVCKSWLHIISNPYFIKKQLYFTTHHRIILSATTAEFHLKSCSITSLFNNPSTVSEVLNYPVKNKFRHDGIVGSCNGFLCFAIKGDCVLLWNPSIRVSKKSPPLGNNWRPGCYTSFGLGYDHVNEDYKVVAVFCDPNEFFSESKVKIFSMNTNSWRKIHDFPHGVSPYQNSSGKFVSGTLNWASNYSHGSSSLWIIVSLDLEKETYREVLPPDYEKEECSTPSLSVLKGCLCMNYDYKKSDFVVWLMKDYGVRESWIKLVTVPYLPNPEDFSYSGPYCVSENGEVLLMFEFDLIVYNPRDHSFRYPRIEGGKGWFDAEVYVESLVSPMKD >CAK8534453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727975810:727976292:-1 gene:gene-LATHSAT_LOCUS3998 transcript:rna-LATHSAT_LOCUS3998 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAHLYQKAAERGGYGDERYEKLDFQKKVAEHYEVLHDASWKVVDACQPIDDVEKQLQEIVLACVTECQKGKSLSSLWST >CAK8560674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35470710:35471900:1 gene:gene-LATHSAT_LOCUS14332 transcript:rna-LATHSAT_LOCUS14332 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVITPKCSSSDSESNSDDHANLWLLLPQDLMFYIFTFVRLNCLINSVRYVCKPWAAAIASSRFAEACEHFHGRSKPGLYVQNRKSKRRSYFLEFKDDVNGQFERIDLATPKKMGHVIGTCDGILLLLSTAKQLFVANPVLKSWLTIPSFPVSQLSVSRQFRYVVRYHVSHHCTIACVPHTAKLKVFYVDVLVFSGVSWYVFYVLRVGIDHSWKEIARKQAPLLSYTLSKPVCNGGNALYWITEREVIVMDVDKEIIVREYPLPARAMSFGMTSKYLWMGDFLSCIVSEISQIPYEIYILDLDSGIWTLYHEMGPFDYMATCGHDLNNTIVSFRLWINNQIIFRVSLDQNHSRKNIHFGYNVKTKQLRKIEDIELGEFVVWLHNNSLVSLPTTPA >CAK8536028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:900161232:900163433:-1 gene:gene-LATHSAT_LOCUS5430 transcript:rna-LATHSAT_LOCUS5430 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLHQQQQPQANPSGLTRYRSAPSSYFNNIIDKEFYEHVFNRPSSPETERVFSRFMNSLGSEEDLLTPKISVESTVKEEEEIVNVNINQQQQEQQQEQEHMIHHHQSNNYEHNPVSSHGFYQTSVMPPLPNQNVVSGLDASFQMKSHGGNNSNLIRHSSSPAGLFSQINIENGYVSMRGMGNLGAVNSSMKDAKFSTGRSLKNSANYSSGIMSTIAEVGDKCNEENNLESEVFGESHGNDYIADYQVVDTWDDTEMMSENVGGLKRFRDSDSKQQFSAGFNAVVVQNETGGHSSSPLAHQLSMPNTSSEIAAIEKFLHFSDSVPMKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQKQAQKLQDCQAKCTCSHKKPQ >CAK8560986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:68611690:68612280:-1 gene:gene-LATHSAT_LOCUS14617 transcript:rna-LATHSAT_LOCUS14617 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHFTTCFPFLNNTKSLFNKPKTQSNNKLCSTSDISISSFIHNELSGQFRQVFKLIDTNNDGKISPTELSEVLSRLGYNTYTAAKEAESMIRVLDFNGDGFVDIDEFMFVMKEENYGKGKECDLDEYLMDAFLVFDNDKNGLISPKELRRVLVNLGCENCSLRDCKLMIKGVDKNGDGFVDFEEFKSMMKIGLQI >CAK8571277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:359906290:359909049:1 gene:gene-LATHSAT_LOCUS23954 transcript:rna-LATHSAT_LOCUS23954-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDICRMVGEVTEIIRGENGYLSLEERLESMGYCELNVEVFDRVLKRCFKMPRLGLRVFNWLKVKDGFSHTTRTYNTMLCLAGEAKEFGLVKKLVEEMGECGVQKDVNTWTILVSQYGKAKKISEALLAFENMQKCGCEPDAVSYKTVIHMLCSSGKGDIAMEFYNDMVRKDIVLDDVRLYKMLMNCMAKSGDVAAVSLLGNDMIRLCLMPESGVLGCMLKSFCISGRMKEALELIRDLKYKDVVLEPEYFETLVRGLCKEGRISDALEIVEIMKRREIVDGNVHGIIINGYLRRNDVRMALDVFQNMNESGYMPTVSTYTELIQHLFRLSRYEEACTLYDEMLGKGIKPDIVAITAMVAGHVSQNRISDAWKIFKSMECQGIKPTWKSYSVFIKELCKASRTDNIIKVLYEMQASKIVIKDEVFHWVITYLENKGELALKEKVQQMHSASKLVPENFESEKQVLFRNEVEEDPGVDQSKSEKVDYSLRQPILKTYSEQAVRDICRILLSSLHWSSIQEQLEKSNIEFTPEFVMETLQICRMHGHTVLNFFSWVGKQPGYRHTVESYNIAIKIAGCGKDFKHMRSLFYEMRRNNYPITPETWTIMILLYGRTGLTEMAMNCFNEMKADGYSPSRSTYKFLIIALCGRKGRKIDDALKIYNEMINSGHVPDKELIETYLGCLCETGRISEARKCIDSLQKIGYTVPLSYSLFIRALCRAGKVEDALKLVEEVGAEKSCVEKLTSGSIIHGLLQKGKLEEALAKVNAMKQEGIKPTIHVYTSLIVHFFKEKQVEKATEIYREMQESGYEPNVVTYSALIRGYMKVGRYNDAWNVFYRMKFKGPFPDFETYSMFLSCLCNVGKSEEAMRLISDMLESGIVPSTINFRTVFYGLNREGKQGLARSVLQQKSEMIRKRKLIA >CAK8571276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:359905912:359909049:1 gene:gene-LATHSAT_LOCUS23954 transcript:rna-LATHSAT_LOCUS23954 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKNKLQFFDSYFLPCSHRVFRLSTSNNSSKVSHPDKITQQFSNSDIDASRSLFNEITEILGSDTVFPYNSPSGFLFPFEIRDTKVGFKEKHDCAERVCENAEESVVVGKDENFPVLEDTRVSKMGEEDICRMVGEVTEIIRGENGYLSLEERLESMGYCELNVEVFDRVLKRCFKMPRLGLRVFNWLKVKDGFSHTTRTYNTMLCLAGEAKEFGLVKKLVEEMGECGVQKDVNTWTILVSQYGKAKKISEALLAFENMQKCGCEPDAVSYKTVIHMLCSSGKGDIAMEFYNDMVRKDIVLDDVRLYKMLMNCMAKSGDVAAVSLLGNDMIRLCLMPESGVLGCMLKSFCISGRMKEALELIRDLKYKDVVLEPEYFETLVRGLCKEGRISDALEIVEIMKRREIVDGNVHGIIINGYLRRNDVRMALDVFQNMNESGYMPTVSTYTELIQHLFRLSRYEEACTLYDEMLGKGIKPDIVAITAMVAGHVSQNRISDAWKIFKSMECQGIKPTWKSYSVFIKELCKASRTDNIIKVLYEMQASKIVIKDEVFHWVITYLENKGELALKEKVQQMHSASKLVPENFESEKQVLFRNEVEEDPGVDQSKSEKVDYSLRQPILKTYSEQAVRDICRILLSSLHWSSIQEQLEKSNIEFTPEFVMETLQICRMHGHTVLNFFSWVGKQPGYRHTVESYNIAIKIAGCGKDFKHMRSLFYEMRRNNYPITPETWTIMILLYGRTGLTEMAMNCFNEMKADGYSPSRSTYKFLIIALCGRKGRKIDDALKIYNEMINSGHVPDKELIETYLGCLCETGRISEARKCIDSLQKIGYTVPLSYSLFIRALCRAGKVEDALKLVEEVGAEKSCVEKLTSGSIIHGLLQKGKLEEALAKVNAMKQEGIKPTIHVYTSLIVHFFKEKQVEKATEIYREMQESGYEPNVVTYSALIRGYMKVGRYNDAWNVFYRMKFKGPFPDFETYSMFLSCLCNVGKSEEAMRLISDMLESGIVPSTINFRTVFYGLNREGKQGLARSVLQQKSEMIRKRKLIA >CAK8565102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:38182934:38183704:-1 gene:gene-LATHSAT_LOCUS18339 transcript:rna-LATHSAT_LOCUS18339 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWVGFDWKWNICFPSFPAGSEMERELVCLNDLLLNVKPSVDKFDSFNWVLMANNIFSVSSCYDCIIQNIPSPKISHVLKNALSTMWASNLPSNIQVFLGKLFRNCVATKDQLVRRRICIVGDSLDCHLCGNALESIHHVFLACDFSVKVWEGVVNWCGLGVDTFVPCIESFVSFGNTSSKFVGTMRGSIFWALTVWHIWQSRNQLIFKGISASVDDTINKVKFFSCRWHNGGEKSSKYLFYDWYVEPLDCISQK >CAK8544829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707657499:707659237:-1 gene:gene-LATHSAT_LOCUS13477 transcript:rna-LATHSAT_LOCUS13477 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKEEKEAKKESFRKYLESSGAVDALTKVLVALYEQNDKPSSALEFIQQKLSCPSISEYEKLQAQFSDLQIRYNDLLTTHHNTCKELEEMKSSHALGMASTKETTDNESPAKDDS >CAK8538073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461535281:461539725:1 gene:gene-LATHSAT_LOCUS7311 transcript:rna-LATHSAT_LOCUS7311 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTSGRPIESLLEKVLCMNIMSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLFKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYYADPKTLWSWFEPYAKDDEEFSPGSNGRMTTMGVYIRDLLLGQYYFDTLFPRIPVPVMRQVVANLEKLKLPTTHCGTTGETTRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPIRRTVPPHEKNGTDDIRRSPSNRRSQSREYPERDRDRERSRSRERERDRDRERDRERDRDRYYDRNRSKDRDAERYRDRDRDRDRDRDHERDRTRRERDRERSYDYDKRSKYAERESSRDYDNIGNGSRHYRSRSRSRSRSRSQSQVDTARYDSRSSPPRDASKKTSASSNLAKLKDLYGDLGDSKGDANLERIPRRNNGGEEVIRLGGSSWKY >CAK8579517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705234222:705238558:-1 gene:gene-LATHSAT_LOCUS31458 transcript:rna-LATHSAT_LOCUS31458 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRPTLSLFNRSPRLSFSHFSTVPQSKSFGLKACRMFRKNGNSVRLMASGNVNVSSSLTASSPENVLEWVKQDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVIELTYNYGVEKYDIGTGFGHFGIAVDDITKTVELIRAKGGKISREPGPVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLNRSIEFYEKAFGMELLRTRDNPENKYTIAMLGYGPEDKTTVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLSTGKVTREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >CAK8579516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705234222:705238558:-1 gene:gene-LATHSAT_LOCUS31458 transcript:rna-LATHSAT_LOCUS31458-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRPTLSLFNRSPRLSFSHFSTVVPQSKSFGLKACRMFRKNGNSVRLMASGNVNVSSSLTASSPENVLEWVKQDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVIELTYNYGVEKYDIGTGFGHFGIAVDDITKTVELIRAKGGKISREPGPVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLNRSIEFYEKAFGMELLRTRDNPENKYTIAMLGYGPEDKTTVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLSTGKVTREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >CAK8570628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75955829:75956083:1 gene:gene-LATHSAT_LOCUS23364 transcript:rna-LATHSAT_LOCUS23364 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWYPPVFGSHWVYLVYRSTPWQQEKKGDLVLYSGDLFPTEARKPPSCPRSHSVNRKGKPTHPSAPLVDRCGFTKRLSTVHSR >CAK8535105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814201310:814201799:-1 gene:gene-LATHSAT_LOCUS4582 transcript:rna-LATHSAT_LOCUS4582 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEHTCAQPVGYLGGPYNTSLLVKYEHRIARHIWFGEKRGTKKELKVAGHGLKLIKRVSLQLPREMEGWVSRYGLSSLQRTNLTKIDTYLVFAFVDRWHLETSSFHMSFGEMMITLDDVSCLLHFPIRGVF >CAK8575647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:229059004:229059444:-1 gene:gene-LATHSAT_LOCUS27890 transcript:rna-LATHSAT_LOCUS27890 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRSCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLADSVLKAIPKIDKIRVSREERHHKNRMKGNKAKVQKEAEKEYEQSIHMVKAPSALQQKKGN >CAK8533868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666289834:666290125:1 gene:gene-LATHSAT_LOCUS3458 transcript:rna-LATHSAT_LOCUS3458 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEYIGASTSATQHVGYLGGPYNTYILVKYEHHIARYIWFTEERGPKTELKVVGHGLKLTQRVLL >CAK8574012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654022757:654023265:1 gene:gene-LATHSAT_LOCUS26404 transcript:rna-LATHSAT_LOCUS26404 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTTKSGGGGGSGGTTRFLVKTYQMVEDSYTDNIVSSSQSNNRFIIKDPDECASNLLSKYFRHNNFSSFVRLLNTYGFHEIKHDQ >CAK8538794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496576168:496578811:1 gene:gene-LATHSAT_LOCUS7953 transcript:rna-LATHSAT_LOCUS7953 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNEESEDEQLYDVFLNFKESDTRRGFTGNLFNALRNKRFKIFMDNDSLKSGNQIPTSIIKVLERSRIVIVVLSENYPSSTFCLDELVKILECRQRINLLVLPIFYDVDPSEVKHQKGIYGKSIALHENRHGKEKLETWRSALYEVSCIRGLHFKDGFLEKCEYEIVQEVVEWVSNNTTHYDVFLSSKEEDTRYSFCGYLYNALNQEGFKIFMNDNGCKEEGAQSSHSLVTTLEKSRLSIIILSKNFAYSSSCLDELVTILAFMKNNQLLVWPIFYKVEPTDIRHQKNSYEKAMSEHEDKYGKDSEKVKMWRSALFEVANLKGWHLKYGYEYELIEKIVETAIKI >CAK8538795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496576171:496578811:1 gene:gene-LATHSAT_LOCUS7953 transcript:rna-LATHSAT_LOCUS7953-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEESEDEQLYDVFLNFKESDTRRGFTGNLFNALRNKRFKIFMDNDSLKSGNQIPTSIIKVLERSRIVIVVLSENYPSSTFCLDELVKILECRQRINLLVLPIFYDVDPSEVKHQKGIYGKSIALHENRHGKEKLETWRSALYEVSCIRGLHFKDGFLEKCEYEIVQEVVEWVSNNTTHYDVFLSSKEEDTRYSFCGYLYNALNQEGFKIFMNDNGCKEEGAQSSHSLVTTLEKSRLSIIILSKNFAYSSSCLDELVTILAFMKNNQLLVWPIFYKVEPTDIRHQKNSYEKAMSEHEDKYGKDSEKVKMWRSALFEVANLKGWHLKYGYEYELIEKIVETAIKI >CAK8530361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16607858:16608826:1 gene:gene-LATHSAT_LOCUS229 transcript:rna-LATHSAT_LOCUS229 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVIERYNKHKEEQHQLMNPASEVKFWQTEAAGLRQQLQYLQESHRQLMGEGLSGLGIKELQNLEHQLEISLKGVRMKKDHILTNEIKELHQKGTLVHQENVELHQKMDFIQKENAELQKKVYEARSTNEENAASNLSRTIRNGYDLHAPISLQLSQPQSQYSEPATKIMKLGYSL >CAK8538970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500554769:500557449:1 gene:gene-LATHSAT_LOCUS8114 transcript:rna-LATHSAT_LOCUS8114 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGVIDNAPLIDHYSSSGEVFVNESFRRTGNVWSAAAHIITGVIGAGVLSLSWSVAQLGWIAGPICILIFAATTFISTYLLSDCYRFQHPQHGSIRCSSYMDAVNLYLGEIKGKVCGVLVHVSLCGAACAYVITSATSIRAILKSNCYHKEGHEAPCEYGDAMYMLLFGLVQVIMSFIPDFHNMALLSVVAAIMSFSYSSIGLGLGITKVIENGRVMGSVTGIPASNIADKLWLVFQALGDIAFAYPFTCILLEIQDTLKSPPSENKTMKKASMIAIFITTFFYLCCACFGYAAFGNQTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPIYSAADKWCSRRYPNSGFVNNFFRLELPFLPAFQLNIFRICFRTGYVISTTGLAIMFPYFNQVLGVLGAIGFWPLTVYFPVEMYFLQNKVEAWSTKWILLRIFSFACFLITLVSLVGSLEGIISQKLS >CAK8533726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:654600960:654605982:1 gene:gene-LATHSAT_LOCUS3328 transcript:rna-LATHSAT_LOCUS3328 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAILADLWRKNHNSAASHAFQSSSSFSASVAAASAASFAAGTTFASRNFFGSPVAYSDAGATLSEDFISNIQSASERIYNYDVEKFSTKTYNVQPKPLFSAFELRSLGMTSIRSFLMHYLPLLEPRVEMEDDDDDDEDFLEELTGAHQERHVDLVVPFKKSVKQIVRETSVVTTRRILERISVHYVSLRMASKLLKDVPKSATRKAARNLPTHVYFFSVSRTTFRGHMLGVAASWVVQVGIDLYRFFRTIFRSNDEDSDIDTTEQVRILGQKVALASIRCSSSLIFASIGAGIGATLVRPSLGQWIGCAAGDLAGPIIVAFCADKAFQVNL >CAK8578700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648098142:648098432:1 gene:gene-LATHSAT_LOCUS30690 transcript:rna-LATHSAT_LOCUS30690 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLAEAMNSILKATRNLPINALVKSTFYRLGSLFRKRGHDWKKLLASSQTFTDNCNKGMTDEASKSSSHNVIKFDRERFCFMVAERINQHNGRP >CAK8564877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14867728:14868678:-1 gene:gene-LATHSAT_LOCUS18133 transcript:rna-LATHSAT_LOCUS18133 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQDNPDSYCSTKNQIDNKTILDFEVFAPVQEKSESRRSTNQQIHKTDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQNEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8540958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35560277:35562310:1 gene:gene-LATHSAT_LOCUS9912 transcript:rna-LATHSAT_LOCUS9912 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWTSSIPLDDEFQKLVIRMNPPKVTVDNTSRRSTTLIKVDSANKRGSLLEVVQVLTDMNLIVRRAYISSDGEWFMDVFHVTDQNGKKCLQEDVADRIQQSLGQRVNSFRSVRRSVGVQAAAEHTTIELTGRDRPGVLSEVFAILSDLKCNVVAADIWTHNSRMASVVYITDDATGLPIDNHDRLAKIKHLLLYVLRGDIDKKDKKNANTSVSFCSIHKERRLHQLMYADRDYDVYDGDYSCATSDRNKLCVTVDDCIDKGYTVVNLRCPDRPKLIFDTVFTITDMQYVVYHGTVIAEGPEAYQEYYIRHVDGYPISSESERQRVIHCLEAAVRRRTSEGVKLELCSEDRAGLLSDVTRIFRENGLSVSRAEVTTRGSQAMNVFYVTDVSGNPVKSETIEAVRKEIGLSILRVKDDEICTNSPSRESGRFSLRELVRSRSEKFLYNLGLMKSCS >CAK8531508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:117122315:117123217:1 gene:gene-LATHSAT_LOCUS1295 transcript:rna-LATHSAT_LOCUS1295 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGRYQDESSNAMIQSIVPSAGSTWGGNRPGIAKLPTASQPNLQCKINMMGIMTDCNFYIPDVTGDPTGMAFRVAYEAATKCIIPNHVNKFIAYVGSMLCSVVPDFRNSVEAALNEIGIRPCFVSLPSQACANKIVDSEVTRLDWSSILFIFSYCVLTLFKIKTSYPSKFSNRDWIDELRSKIGRFPSNCHYIPFHVDKERAIRRMLSTHDLRNSVITFLMNNFNHSDSQICSLCQHLSVILSWSGDMNVLTVIMEMLVETESPVLFYSVVRGELDNFKETCSVVLSHTYPQFFSHMY >CAK8565031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:29227050:29232937:-1 gene:gene-LATHSAT_LOCUS18272 transcript:rna-LATHSAT_LOCUS18272 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASPGNLRGKRVHNHLSYSSDLNQSPNIRVIEPGLGNVAWKERVDGWKMKHDNKNAIPMSTGQATSERGIGDIDASTDVLFDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAFSWILDQFPKWLPVNRETYLDRLSLRYDQEGELSQLAAVDIFVSTVDPLKEPPIVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFSKKYAIEPRAPEWYFSKKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVAKATKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYDPPIKPKHKKPGFVSSLCGGDRKSSKSGKKGSKKKSSKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYINTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDSAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDSQMCGINC >CAK8578380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625599502:625601102:1 gene:gene-LATHSAT_LOCUS30397 transcript:rna-LATHSAT_LOCUS30397 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVEDKGCYSSNHGSVAQDTHKGKDVTTTSASTTATTAAAGARVSNHHRINMGKPTPSKWDDAQKWLVGLSKGGEKSQSKSKPRNSNADDLRLIAPVPQKEDDEDGCREFMTTTSTEYVEEETKKVECEESIWRMNNKDTIHVQSICFRDMGTEMTPIASQEPSRTTTPIRATTPTTRSPIHSGTSTPMRNQNGFLQMEPASGNGSTATRQCGEGSSPCNVVEKNMKIDDQARKMGPLECRAMAWDEAERAKYTARFKREEMKIQAWENHQIRKAEMEMKKMEVRAERMKAVAQEKLTNKMAATRRIAEEKRANAQVKLNDNALKTTEKVEYIRRTGHVPSSFSFTFKLPSICW >CAK8574744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7945981:7946352:1 gene:gene-LATHSAT_LOCUS27058 transcript:rna-LATHSAT_LOCUS27058 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENCNCLGSRNRNIWSSYERIGHDPIVCVNEFMSKIKIAKLKTLWRKIKREKKMKTFRTSSSIFLYDPNSYLQNFDDGYFNDPDHFSVSFSARFAAPRSKMFVKNIEVMNNDEILEISHES >CAK8532153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213642021:213644503:-1 gene:gene-LATHSAT_LOCUS1886 transcript:rna-LATHSAT_LOCUS1886 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNYVGIICVCVVFVMAIEATRVVPRTAPMDQISDNKKVSNKTQLEFQSHSRPIDITFKLPVTTTSWPEGNGFAGGVLDVGGLQVSQVSTFSKVWGANDGGQYDQGASVYDPKDIPEGFSMLGSYSQPNNKPLFGYVLVAKDISSSTTNGTLKPPVDYVLLFDTSTLSSNQDSTLYIWLPSSPDGYKALGHVVTTTPDKPPLNKVMCVRSDLTEQCDFSAWIWGVNDYNIYDVTPSIRGTQGHGIRVGTFVGHYGLGDNKTPSVSCLKNLNSVSKIMPNEKQIEAILQVYSPFLYLHSDEEYLPSSVNWLFSNGALLYKKGDESNPVPIQQNGTNLPQDPNYDDTYWIDLPADDENKDRVKQGNLESAESYVHVKPMFGGTFTDIAMWIYYPFNGPARAKVKFINIKLGKIGQHVGDWEHVTLRINNLDGQLWQMYFSQHSSGSWVDSSQLEFQNDSTKRPIVYASLHGHATYPHGGLVLLGKNGVGIRDDTDKGSNIMDMGKFVLVSADYLGSVKEPSWLNFFREWGPRVDYKLDDELRNLEKLLPGKLKDGFENIIRGLPKEMLGEEGPTGPKVKNTWNGEEA >CAK8571461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:423127006:423127821:-1 gene:gene-LATHSAT_LOCUS24124 transcript:rna-LATHSAT_LOCUS24124 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTHVSLFLSFAFLFYAVAGGKVTFTNRCQYTVWPGTLTGDQKPQLSSTGFELGPGAITSLNLPSPWSGRFWGRTGCSFNNGKFICATADCASGQVGCNGAGAIPPATLVELTVASNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPANINLVCPSDLQVRGSDGSVIACKSACLAFNKDQYCCRGAFNTEQTCPPTNYSTVFKNQCPLAYSYAYDDKTSTFTCSSTPDYAITFCPST >CAK8574714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7229963:7230349:-1 gene:gene-LATHSAT_LOCUS27031 transcript:rna-LATHSAT_LOCUS27031 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDRFLLSDSIIERQGMMGQFIERRDISNRCPIWLKINKDDWGPKPFKTNYSWFENKDFLNYVEKEWNNIKLEGRSNFIIKEKLKILKFSLKSWNKEVFGWYDLKVEEGVEDINMLDNLLSNCDVL >CAK8571054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274483786:274484448:-1 gene:gene-LATHSAT_LOCUS23750 transcript:rna-LATHSAT_LOCUS23750 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQHSLNHRREEEMGSGQGETPPYSPKSMKHSRSLPRSINYLLREQRLLFILVGILIGSTFFIIQPTLSRIGPPEGSSFLPRSAGFVRFDHGGGNGRVGRLPAGIGGRRLRVVVTGGAGFVGSHLVDKLIGRGNDVIVIDNFFTGRKENLVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFLSLFYYDMMPFSFFLS >CAK8576460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480996127:480998798:1 gene:gene-LATHSAT_LOCUS28646 transcript:rna-LATHSAT_LOCUS28646 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSHLYRLPVTRIDVPSPVRFRGGKVFSVRSSADSDFDPKVFRKNLTRSDNYNRKGFGHKEETLKLMNREYTSDIIKTLKENEYEYTWGNVTVMLAEAFGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVQNIPLGEGKKQFEVVNKGDVVILPAFGAAVDEMLTLSEKNVQIVDTTCPWVSKVWNVVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKNMAEAEYVCDYILGGELNGSSSTREAFFEKFKFAISEGFDPDLDLIKLGIANQTTMLKGETEEIGKLVERTMMRKFGVEKATEHFISFNTICDATQERQDAIYKLVEKDMDLMLVIGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNRIAHKLNHGELVETENFLPKGPLRIGVTSGASTPDKAVEDALIRVFDLKREEALQLA >CAK8544865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709580428:709582588:1 gene:gene-LATHSAT_LOCUS13510 transcript:rna-LATHSAT_LOCUS13510-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGECGSVKEDITIWGSTSDGFPTTICCRNSLTLLSDALVSRVRNSTGQVFLSQQQWQSCNKSFHPQQGMSLSSCGFDNIYYGSSKCSNLYLFDAQNLQQFSDALQKCSHFDHSFEESCLDCTSAILSLRDGLYEKVVGKDNYNDTERAICGVAALVSVAFEQQDDPFLADKFLRCFPPPAVSNKKSSMKKLMLGILVVSILALLIIVFLVKCVSKKKPIKKHAQLKQIATWSGLYWFTKTEIENAMNFENEKINLGRGSAGEVYRGVLPSGQVVAIKHLIKSNTSSSDSFTRELAGLSRLRHPNLVCLFGCCMEDGERYLVYEFCANGNLAQHLLRRDSHLTWEARVRILRECSFALKYLHHHIEGCVVHRDIKLTNILLTEKYEAKLSDFGLSRMMGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDVYSFGIVALQILSGQKVIELDLDARDQLTRKARDVSMGKRPLSDFVDSRLKGQVDKTDFGTILQIAVLCVAKSSTGRPSIELVFDELDKVYRDIEARKKITPTPSTTTSTSTSSSN >CAK8544864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709579279:709582588:1 gene:gene-LATHSAT_LOCUS13510 transcript:rna-LATHSAT_LOCUS13510 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAIPFLIFAILINPSLLVSPAPNHTQGTCALDFNASQYEYMPFGECGSVKEDITIWGSTSDGFPTTICCRNSLTLLSDALVSRVRNSTGQVFLSQQQWQSCNKSFHPQQGMSLSSCGFDNIYYGSSKCSNLYLFDAQNLQQFSDALQKCSHFDHSFEESCLDCTSAILSLRDGLYEKVVGKDNYNDTERAICGVAALVSVAFEQQDDPFLADKFLRCFPPPAVSNKKSSMKKLMLGILVVSILALLIIVFLVKCVSKKKPIKKHAQLKQIATWSGLYWFTKTEIENAMNFENEKINLGRGSAGEVYRGVLPSGQVVAIKHLIKSNTSSSDSFTRELAGLSRLRHPNLVCLFGCCMEDGERYLVYEFCANGNLAQHLLRRDSHLTWEARVRILRECSFALKYLHHHIEGCVVHRDIKLTNILLTEKYEAKLSDFGLSRMMGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDVYSFGIVALQILSGQKVIELDLDARDQLTRKARDVSMGKRPLSDFVDSRLKGQVDKTDFGTILQIAVLCVAKSSTGRPSIELVFDELDKVYRDIEARKKITPTPSTTTSTSTSSSN >CAK8577538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572248716:572249339:-1 gene:gene-LATHSAT_LOCUS29636 transcript:rna-LATHSAT_LOCUS29636 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTNCVHVVTLFVIVTTSMFTVTTANKDWPSFGNFNYTDWWSRFGNHNYQINKTQQESKNIIVGGSQNWHFGYNYSDWAIKSGPFYLNDTLVFKYDAPNATSFPHSVYMYSSWRSFMKCDVKKAKMIANHTQGVGEGFKFVLNQWKPYYFSCGEKNGLHCNIGQMKFTIMPMIRPFWPSSP >CAK8535293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834940450:834942153:-1 gene:gene-LATHSAT_LOCUS4764 transcript:rna-LATHSAT_LOCUS4764 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKSSLKSKEFNVKMKLMNKHNTTRIQQDKTCCFFTYYKWFLWFCLSLYFFTSYLITNDPQDTNSKSNVVSHSFSTQNNLGLLKNLKVFIYELPSKYNKDWLLNERCKTHLFASEVAIHTALLKSNVRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARALITSAVELVSTEYPFWNRSRGSDHVFVASHDFGACFHTLEDNAVKDGIPRILKNSIVLQTFGVEYKHPCQEVENVVIPPYVSPEKIWRTLEKAQVNGRRDIWAFFRGKMEVHPKNVSGRFYSKRVRTEIWKKFNADRRFYLQRHRFAGYQMEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSAVKWAEISLTVAEKDVGKLDKILERVAATNLTLIQRNLWDPKTRQALLFNSEIQEGDATWQVLLSLSQKLGRSMVSAQ >CAK8567064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484106382:484107485:1 gene:gene-LATHSAT_LOCUS20151 transcript:rna-LATHSAT_LOCUS20151 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMAARYWCHACSQIVSPIMGMEMKCSVCQSGFIEEMTSATGINDLQNIPENDFGSDRSPSLWAPILLGMMGNPRLRRRLRRIELEEDYDSGNHDGDNGNGGVGHYDRELESILRRRRRSSATIQQLLQGIRAGLTSESHENTDRDRDRDRHRDDRVREREREHVILINPFNQTIIVQGSYDRDQSDNHNPIGSLGDYFVGPGLDLLLQHLSENDPNRYGTPPAPKEAVEALPTVKINENMQCSVCLDDFEVGSDAKEMPCKHRFHSGCILPWLELHSSCPVCRSQLRVDEPKQNSDASRNNRHPRENENIGHANNAEEDSEGRSANGSRRFSLPWPFNGLFSSSSSSSSSSSNANGNNTQPERN >CAK8533574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637854697:637855535:1 gene:gene-LATHSAT_LOCUS3193 transcript:rna-LATHSAT_LOCUS3193 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWLLSFLAGDYWLAIATSDGSGIPSFTFIFVYAAIAVVACIVLIGRTFMYTYLGLKTSQSFFVGMLQSILHAPMSFFDTTPSGRILSRVSTDILWVDITIPMFTNFVMIAYLSLFTILIVTCQNSWETVFLVIPLVWLYNWHRKYNLATSRELTRLDSITKAPVIHHFSETLSGVMKIHSLRKQNEFCDENIDRVNASLRMDFPLQTCYFYKYLNYA >CAK8562701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:528846149:528847488:1 gene:gene-LATHSAT_LOCUS16175 transcript:rna-LATHSAT_LOCUS16175 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQRNGSLGRMHDSDKKSNAMVYLNVYDLTPANNYLYMFGVGVFHSGVEVHGMEYGFGAHEYSTSGVFEVEPKSCPGFIFRRSVLLGSTDMSYSQFRSFIERLSSKYHGDTYHLIAKNCNHFTDEVCQELTGKPIPAWVNRLARVGSFCNCLLPESLQVEAVRHQPDHLAHSDEEETESEDQSASDDSEEEGSNHHLLEPHSGVVSFIKERPEALE >CAK8543541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:618547957:618548430:-1 gene:gene-LATHSAT_LOCUS12292 transcript:rna-LATHSAT_LOCUS12292 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHSLSIPTDSPAPSSKPSSSKESHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDDAARALRGPKAKTNFSYFVAPTILPMPPSLDGGSGRQVVFWGPPVCFTAGAPVRSEYKGYKLENIVASKAKEEEKKKPFLFDLNLPAPLV >CAK8562884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552948858:552952812:1 gene:gene-LATHSAT_LOCUS16346 transcript:rna-LATHSAT_LOCUS16346 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKHNMIITLVVLVATLTCFVFGFAHATGFGEQPLSKIAIHKTVVSLHTSASVTATPFILGTKGEDTQWVTVDFDFTHPSVDDWIGVFSPANFNSSTCPPVNDPKEQIPFICSAPIKYKFVNYSNSHYTKTGKASLRFRLINQRADFSFALFSGGISNPKLVAVSNFIKFANPKAPLYPRLAQGKSWDEMTVTWTSGYGINEATPFVEWGSDGQTPGQSPAGTLTFDRNSMCGSPAQTVGWRDPGFIHTSFLKNLWPNLVYTYRLGHLLSNGSYIWSKKYSFKSSPYPGQDSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDQLIKDLKNIDIVFHIGDISYANGYISQWDQFTAQVEPIASTVPYMIASGNHERDWPDTGSFYNTTDSGGECGVLAETMFYVPAENRAKFWYATDYGMFRFCIADTEHDWREGSEQYKFIEHCLATVDRQKQPWLIFAAHRVLGYSSDFWYGLEGSFEEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNTEKSHYSGIVNGTIHVVVGGAGSHLSNFSQVTPKWSLYRDYDFGFVKLTAFNHSSLLFEYKKSSDGNVYDSFSVSRNYRDVLACVHDGCEPTTLAS >CAK8530355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16324680:16326503:1 gene:gene-LATHSAT_LOCUS224 transcript:rna-LATHSAT_LOCUS224 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRKFLATVVPVSSPLDHIKRLVSKGLYDQTLEFFTQLHFSIHHFSFIPCVLPSVIKACSYTHSHAFGTQLHCLALIRGCTSDPVVSNSIISMYAKFSDIHSARQVFDTMPHRDPITWNSMINAYLQNGLLSEALQTLKDFYFLGFLPKPELLASIVSMCGRETGLGLRIGRHIHGLVVVDGRIQIQHSVFLSTAFVDFYFRCGDSLMARSVFDGMEVKNEVSWTALISGCVGNQDYDVALASFREMQVTGVNPNRVTLIALLAACTRPGFVKYGKEIHAYAFRHGFDSCHSFSSALINMYCECGESLHLAERIFQGSSLRDVVLWSSIIGCYARRGESDKALKLFNKMRTEETEPNYVTLLAVISACTNLSSLQQACAIHGFILKFGFGFSIFVCNALINMYAKCGCLDDSRKIFLETPNRDSVTWSSLISACGLHGCGEEALQLFHEMNERGVKLDSVTFLAVLSACNHAGLVTEAQRVFEQVNEDSEILITVEHYACLIDLLGRSGKLEDALEILRKMPMKPSARIWSSLVSASKLHGRLDIAELLSSQLIRSEPKNAANYTLLNMIYAEKGHWLDIEQVRENMKLQRLQKCYGFSRIEVG >CAK8571765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470800023:470803914:-1 gene:gene-LATHSAT_LOCUS24398 transcript:rna-LATHSAT_LOCUS24398 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTFTWLRYLKSKAQYSVALGFKNHKGGQVKDNELTDFLWKHFCHGKMTFLHWNKGEEMAPTIAGKGATLLVRRLPIPDPRHVYVGDVVVLKNPEKPDDYLVRRLAATGGYEMASTNEKDESFVLEQDQCWVVAENEKLKVKEANDSRTFGPIQMTNIVGRVLYCLRSAVDHGRVQNSPFSMQNDSPVLEVELDVDEMAKSHKA >CAK8541368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:138814150:138825821:-1 gene:gene-LATHSAT_LOCUS10293 transcript:rna-LATHSAT_LOCUS10293 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRHRLATFTRRLCSSYSTTKIPTLYTTDTPDSTTIQLLSWGKGASGQLGGGVEETRLYPSPVTNLLLPKSSFTLFETPGLLPRPEKTNKGVEMGISCGLFHSCLVVDGALWVWGKGDGGRLGLGHENSLFVPTLNPHLDNVRSVALGGLHSVALTSAGEVFTWGYGGFGALGHSVYHRELFPKLVKGSWEGTIKHIATSGAHTAAVTKSGELYTWGREEGDGRLGLGPGRGPDHAGGLSIPCKVKELPFPVAAVSCGGFFTMALTEEGQLWNWGANSNYELGRGDKIGGWKPRPVPSLEKVRIIQIASGGYHSLALTDDGKVLSWGHGGQGQLGHGSIESQKIPTLVEAIAHEHIIYISCGGSFSAAVTDKGKLYSWGNAADSQLGVPGLPAIQSCPVEVNFLMEDDGLGPHKVLSIANGASHAMCLALRERESC >CAK8573654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627016394:627024057:-1 gene:gene-LATHSAT_LOCUS26071 transcript:rna-LATHSAT_LOCUS26071 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSTPRRGSEFSPETVEYLIGTFVGGESFPFSSEFWQKLLELPLNVQWPTERVQQACELLAKNNCHTRHLAKLLFHLACYLQEFMSTSAVSPLVYEKAANAVYISSVFLKHLIESGFQLYLSFDGDEAVLKDVLGDQTIVNLVMRNVLNFIVSVEVSPITFLLHLELLNFMTIAMSTQLLCGPSPGPNDVNPFIDAAMAQDSSLVGLVVRKLLLSFIIRPKVPFNRATYPIFYDESQSSVLQRVGSAAANIVLLPFSYLVSSSSEGSRNPIADSSLDVLLVLIHYHKCAMSEDYSAIENKKSSASDLLLKENIHFSDNPYCKALENAIDCELDRVDVEGNAHSGQHIKLPFASLFDTLGTCLTDETAVLLLYSLLQGNSSFLEYVLVRTDIDTLLMPILEALYNAPMRTANQIYMLLIVCLILSQDSSFNASIHKLILTGVPWYKERLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHIHRLSAYASQRLVSLFDMLSRKYNKLADRRDNKLHNAKGNSIEGNSFVEDVSTELQIYTDFLRLVLEIINAILTYALPRNPEVVYAVMHRQEVFQPYKSHPRFHELLENIYTVLDFFNTRMDAQRVDGDWSVNEVLQVIIINCRSWRGDGMKMFTQLRFMYEQESHPEEFFIPYVWQLVLSHCGFTFNTEAINLFPVDLHSGKLVNGVVESTLLNGDFDKPEYQLDP >CAK8538541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486749551:486750894:1 gene:gene-LATHSAT_LOCUS7729 transcript:rna-LATHSAT_LOCUS7729 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPINRNSFTFPYAKKWSVPGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMSYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLFDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8538542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486749872:486750894:1 gene:gene-LATHSAT_LOCUS7729 transcript:rna-LATHSAT_LOCUS7729-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLFDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8572928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571919636:571920839:1 gene:gene-LATHSAT_LOCUS25432 transcript:rna-LATHSAT_LOCUS25432 gene_biotype:protein_coding transcript_biotype:protein_coding MKETNTQGEVFKSNGNTISVKKYALSSEERNKLISVIHKALNDHNHVIEDDKLQLVINTIMKQYVDVLSVGSAITCESNQWWIGGFRPSQILQVILPQLQHMYTKQQLCDIYNLGQSCQQAEYALAQGLVKLKQNLDKSTTADGKAFQLTYVPKQLCFFKQADHLRQEFVHQFCRLLTISQQAEFVVALEEHLHNPQPRSSL >CAK8572929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:571919636:571920839:1 gene:gene-LATHSAT_LOCUS25432 transcript:rna-LATHSAT_LOCUS25432-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKETNTQGEVFKSNGNTISVKKYALSSEERNKLISVIHKALNDHNHVIEDDKLQLVINTIMKQYVDVLSVGSAITCESNQWWIGGFRPSQILQVILPQLQHMYTKQQLCDIYNLGQSCQQAEYALAQGLADHLRQEFVHQFCRLLTISQQAEFVVALEEHLHNPQPRSSL >CAK8535845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885366573:885371604:1 gene:gene-LATHSAT_LOCUS5265 transcript:rna-LATHSAT_LOCUS5265 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLSVKAQDSSISDASTRRKQNLTVRNSFASVTVGQEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGEKLVLKGLYSGLAGNLAGVLPASALFVGVYEPVKQKLLTMFPENLSAFAHLTAGAIGGIAASFVRVPTEVVKQRMQTGQFTSASNAVRFIAAKEGFKGFYAGYGSFLLRDLPFDAIQFCLYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMIQGPANQYKGIVDCVQTIIKEEGPGAFMKGIGPRVLWIGIGGSIFFGVLESSKRFLAERRPTKAPRVNSEKSKD >CAK8534325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716782262:716784206:1 gene:gene-LATHSAT_LOCUS3877 transcript:rna-LATHSAT_LOCUS3877 gene_biotype:protein_coding transcript_biotype:protein_coding MCMILYAANVAKNVYPHVLSHGGYCLLEEKMINERRSSRDDSTLDDTGSLSPPSRHELWKRARQKKGGEYTSKATQVVAEKIDSLVEEAEKGGFVSDGRNDILTAATGTSDHGGRVRGVGKHHKQNTYFGRSSSRQRQYDIREQFAQFSSELEAKLRANFDQKLAEERKAMEQSFMDTLRSMGLSQQITENNNKCIVQWEVVDGSGKGSCSAAKVSTKEREGEDNVERLMRMVLRMGDDHLEIKLSHCASASNFHMSPKCIRELLVGFNWLDLSTLQVWCTYIHRLCIDTSQSEVYGFIDPAMCSYVDHGSKTQANVKQYIQDKLRDEKRECDLLPFVHGGHWQLIVMCPNDNTVVVFYFLHHELNQTMTKIVTSAFGVHQVANGNRKKATWLRPNTRKQPNSNDCGYYVMKNMLDIVSANITNSWMKVFDDPTNLSPDDLYDLQLSWATCFFELYKG >CAK8541259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98561749:98564429:-1 gene:gene-LATHSAT_LOCUS10191 transcript:rna-LATHSAT_LOCUS10191 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLFLLLKLQIFLIHASQSLPELNTLIAIKESLDPENRVLFSWNTDSDPCSGTFEGVACNEQGLVTNISLQGKGLSGKIPSVIASLKSLTGLYLHFNALNGILPREIAGLSQLSDLYLNVNNLSGTIPREIGNMSNLQVLQLSYNELNGSIPTELGRLERLSVLSLQYNHLRGAIPASLGELESLERLDLSFNTLFGPIPVTLSYAPKLQTLDVRNNSLSGNIPLDLKRLEEGFKYSNNRGLCGTGFANLDSCQIVSNSDPIRPEPYVPTKNSTIDYPTSPEQTAKNCSNADCRRRSESLIIALIFVMIGVIFVSSVTGLFLILRYRRQKQKIGNTGEISNSRRLSTDKIKEVCRKNASRLISLEYSNGWDPLSRNLSGYSQEFLESFMFNLEEVDRATQCFSELNLLTKNNISANYRGILRDGSVVVIKCIAKTSCKSDETEFLKGLKILTSLKHDNLVRLRGFCCSKGRGECFLVYDFVSNGSLSKYLDLKRGSDEVLEWSTRVSIIHGIAKGIGYLHGKKGSKHYSLVHQSISAEKVLLDSRYNSLLADSGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVYAFGVIAFQLLIGKHDIALLSRRWEETGCLKDIIDENLEGKYLESEAEKLARLASVCTNESPDLRPHMEDIMIELSDDKW >CAK8531715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142935258:142935596:-1 gene:gene-LATHSAT_LOCUS1486 transcript:rna-LATHSAT_LOCUS1486 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVDGAEAMEGGRLGSGGRRKVLVHTASNEIITSYAVLERKLSSLGWERYYDDPDLLQFHKRSTVHLISLPMDFNRFKSMHMYDIVVKNKNSFEVRDMMM >CAK8541731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:374623657:374628912:-1 gene:gene-LATHSAT_LOCUS10627 transcript:rna-LATHSAT_LOCUS10627 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAFVKSVKRVVVKVGTAVVTRSDGRLALGRLGALCEQLKELNTRGYEVILVTSGAVGLGRQRLRYRRLANSSFSDLQNPQYELDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDTDFRKQLTDTVHSLLNLRVIPIFNENDAVSTRKAPYELLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQTEITFGDKSRLGRGGMTAKVNAAVCAAYSGTPVIITSGYTNDNIMRVLQGERIGTVFHKDAHVWASIKEVTAHEMAVAARESSRKLQILNSEERRKILLDVAKAIEENESMIRIENGADVADAEEAGYERSLISRLTLRPEKIASLVKSVRKLADMDEPIGQILKRTELADKLILEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLVLKGGKEAKRSNAALHKVITSAIPDKVGDTLIGLVTSREAIPDLLKLDDVIDLVVPRGSNKLVSQIKDSTKIPVLGHADGICHVYVDKAANIDVAKQIVRDAKTDYPAACNAMETLLVHKDLSGNNGLDELVAELQREGVQLYGGPRASALLNIAETKSFHTEYSSLACTIEIVDDVFAAIDHIHENGSSHTDCIVTEDSEVAETFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWLLRGNGQVVDGDRNVSYTHKELPVQA >CAK8573113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586173243:586174300:1 gene:gene-LATHSAT_LOCUS25599 transcript:rna-LATHSAT_LOCUS25599 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFSTVDGFMEISECMADMIKHVANEPSVGLYFIQQHAQNAVPNVVKTDKNIVEKVHETALHTEDLEDSITAVRSMKECGFSIIDEMMGDINKSLEIMKTKKPKKGLIHSSTSTPRSQTERTNFWGNRSFSTLEGDDKKINYFSNVLKTAKEKVSNLKWQQTDDKGPIHSNEEKLQFPDLPLSITSDGISSSLQAAEPDELPVSSQVEEESQHLQTDDSEISIKLLSLSERFDDFKANKEAKLEEWLEGTSNHDDYCDTDDEKRVVENGKKKKVGDSDF >CAK8570558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:60350795:60351501:1 gene:gene-LATHSAT_LOCUS23296 transcript:rna-LATHSAT_LOCUS23296 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQEFSHAQAQGQTQGKAEEWVQSTKESASAAAEHAQVTAGHVADRVHAANQQAHAHAEEAADRAHSTTGQHAQAATGQAADHAHSAAGQAAEHAHAAANTTGQTAEKNKEEAAGFLQQTGEQVKNMAHGAVETVKHTLGMDKK >CAK8538766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495316511:495328232:-1 gene:gene-LATHSAT_LOCUS7929 transcript:rna-LATHSAT_LOCUS7929 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFDGFSIREYTSKMRSIDVFKCWPFTSTSNRQLTRHELHSWLPPISPCPRSNHNNNSLNHHNQPSLQEPSRTDSEELSKSDEFASPPSPLPAVVAAADDEKLEMICPVCREFNAATLTAVNAHIDGCLAESVRVERRHMRRMNSKACKSKSKSKSPKKRSIAEIFKVEDKDQHKEEERPLPNESVTKLLPCGEDIEDDEVSITVRKFRWLSQRLEALRSKPGGDESVKSSVEEEKLEMICPVCRDFNAATVTAVNAHIDNCLAQAVRGERCQTIKTGFNAFHGFKSKPKAPKKRSIAEILNAAPAIEISKSKTNPSIVVEEDEDEDKSSDYSGDDFSASDAAETVVSLTKAKKSTNKNSKKKKTKKLNKKMMTIKKKLGKQSDGNSVLLNNEKKTGVNMKKKKKKKNIFNGEFTRKKESAYKGDVQTAVNSCRKLRGTIGNEMVALQDIEPSVRRKKLRLNGLSVEKKPQFINCDSIGKRQKPNSPVGGTFKNHLKHLSGKISDGCKIQDGAEESHGYDQVLTSDIHVKFSYKDEIVGLKKRTSFDETMFNTSSDALATSFVKDRSSGTDEESSSFEGNRNYGHIALNIDKDKREEVCPITESKQFSSTLEQVTRPDFLKPCINQEKSNQLEQKSELLTKMAVYDNNNSQLFDGGNTTTIHFSPIAGISKPLPAVQQGQMCGKNTQVRESGVFSFGGKFIDYSEDNTFLVDAVNKNASTETFLELSSSYSAPYDKANERPESPSPSSSYYGDNVFHADMTDKSYPFTSWGQGCIRNSCLDPNFFGLPLNSHGELINFSSSGNVVTNQLETSSPLEGSLSGLPSNNALCRSSQENLSINERHAVQKTLQKDGPSSLPHYPPSPRLDVTEICSPREDIHPPNSEIFSSHHSEFQQNQCERGQNHNRNGMVSLKESSDHISLSSSQPTVRLMGKDVPIARRSQETQKFTGDVWTDEESKRRHYADYSALENSLLGRCSKQDWVSGSSLHISTNSVLQSEQTQSTSGLQSTPGFPQQFIDMQSNHVSQNGRLGVGRNASSYINPIAQESTSYAVFNGTPSDSSEQFIAGSKPSGLSSRPQVLPTPCNFNQSACSRNGELNGRNKNPHVTKSAFGFPFLQPAVDEQAKTYWSQGPYRSLPPWLSSSTDDILTGAYSPQFSGLGNQSFPQNRWGNNFATPSFNHSAEFLYPSSPLTSGVPMKTTPLYPASIVQPPQLSVTSSTMNSGCRNINKVVDRVKLDNMAAKDHPPCTNIWKRSAVNLDDSRKPINLSSSEVKDNLQLNKRTAVLDPQVEISRSRCWPNVAQNLNPTSYPVTDSFKPSDTIRSSPVRLGPKRAKHILKSS >CAK8530421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20120955:20121549:-1 gene:gene-LATHSAT_LOCUS287 transcript:rna-LATHSAT_LOCUS287 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRIRIFVVVVVLVLVFQLISGYSSSIQEVLRSHGLPAGLFPESVKSYNLDQKGVLEVKLDTPCIVKYENRVIFETVVRANLSFGQLKDLEGLSQEELFLWLPVKDIIVDDPSSGLILIDIGIAYKQLSLSLFEDPPVCRSQGFSEIVGRERNGFEDQR >CAK8538153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466127704:466129145:-1 gene:gene-LATHSAT_LOCUS7384 transcript:rna-LATHSAT_LOCUS7384 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSIGDMVKCWKTMNDNLKLQLGNIRASFRKSFYEVERAHVSPFYNNLRGSVSRDALRRIAEELKRVNYVGTNKEIYCCTLRTTYRLPCACELIGYKIDGIPIPIDDVHVHWRKLSMEVKLDEDVDDGSEVDMSSVIDELWKRFKSMDVVGKRALKSRVFELVFPTMTSMCPPPEKIKTKGGVKKKDKKSVGYDVYRDPSYHEYIDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKVIASLHGFGEDGWSMVRRDLGLEIIHNERSSLYANLFTDQLAVVKESLMIEEVGPQPPHKWLTLPDTGYVITNCYNVVLVCLGIECWTFFPMTTSFLPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKNFRSPTATSWMLEFARRLQHWQQLTPILPTHYTL >CAK8574165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667024844:667026334:1 gene:gene-LATHSAT_LOCUS26537 transcript:rna-LATHSAT_LOCUS26537 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPLSKSANKYLRKFRKWPHSPYKTSWHHNFGEQQALQIFKQATTAQPQPQNNNNPFLLSTLIDSFKAYNTDPTPKAYFFLIKTLTKNNPLQFYEIPHILNHLEHNEKFETPEFIFLYLIRFYGFSDRVQDAVDLFFRIPRFRCTPTVCSLNLLLSLLCRKRECLKMVPDILLKSQPMKIRLEESSFRVLIKGLCRIKRVDYAVKIMNCMIEDGYGLDDKICSLIISSLWHNNDLTSAEALFVWGNMRKLGFCPGVMDCTNMIRFLVKEGKGKDALEILNQLKEDGIKPDIVCYTIVLSGIVKEGDYVKLDELFDEILVLGLIPDVYTYNVYISGLCKQNNFDEALKIAVSMETLGCKPNVVTYNTLLGGLCMKGDLWKAKRVMKEMRLKSVEMNLHTYRIMLDGLVGKGEIEEACVLLEEMFGKCLYPRSSTFDSIILQMCQKGLVTDAVVLMNRIVEKSFVPGATVWEALVLNSESKVGYSETTFVRLLSTE >CAK8562744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532774208:532776364:-1 gene:gene-LATHSAT_LOCUS16215 transcript:rna-LATHSAT_LOCUS16215 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRKDEEEAAKEEQLKREQSRKRDTEVRLERLRTSRGLAPTIQPRESVPESEPESKPKPEVEAVAEAGDLGHINLFEGIKIFDPIRVPKREYVDEKEEMKKKTKKLKLPKEHGESIRAVGPEDEKYRLGYGVAGKGVQLPWYVQKQKPNDDEGGVNGDNSGDNRKGEKRKKTLEELREERLKREKKEKEREMALRHPKQQSRVEPYGSSRYYRR >CAK8534507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737121847:737122495:-1 gene:gene-LATHSAT_LOCUS4050 transcript:rna-LATHSAT_LOCUS4050 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRDKELRKTVVNMGYALTEATINYYRGEIRRTNRAALGWINSIFREKWSRAFEAMNSVLKPTRNLHITALVKSTFYRLGSLFGKRGHDLTKMFASGQTFTENCNKGMTDETSKSSSHNVIQFDRERFCFMVAESFNQHDDQPLCTFSVDLKRGWCDCGRFQAFHLPCFHVNAACAGIR >CAK8578247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614573335:614575969:-1 gene:gene-LATHSAT_LOCUS30274 transcript:rna-LATHSAT_LOCUS30274 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSATTIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKWEAQVEQDLDKMLEKAKAANERRYIDGDDD >CAK8540884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:28677071:28677554:1 gene:gene-LATHSAT_LOCUS9843 transcript:rna-LATHSAT_LOCUS9843 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKRPRKLKSLETCNWTTAMDEILLDAYLHQQTLGNKNGNSMTTSAMDSILKELKTHFPDKPISKEKIKDHMKHIKIKFNSCYDLFQNGLSGFGWDSTTNMWIAEDEVWNKLIEAKPEAAEL >CAK8563565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616748268:616756180:1 gene:gene-LATHSAT_LOCUS16961 transcript:rna-LATHSAT_LOCUS16961 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVNLLNALTVSRILTRDHFVLANESIPFGSVKWIVYAGICCFLVIFAGIMSGLTLGLMSLSLVDLEILERSGSHSEKKQAAIILPVVKKQHQLLVTLLLCNAVAMEALPIYLDKLFNQFLAIILSVTFVLFFGEVIPQAICSRYGLAVGANFAWLVRILMVICYPVSYPVGKVLDYLLGHDEALFRRAQLKALVSIHGKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVFSGNPKNVIGLLLVKNLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKGKGKETPLIIEEEKFDAKKSVRGDSQLTTPLLQKQDLKSENVVVDIEKPSKLSSITKQTGLPRTDLTLTSPSSENIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSMARAPSIRRMTAGQKGAGGQSKPGQSPKVSVEENGLNSTR >CAK8534980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799258009:799259412:1 gene:gene-LATHSAT_LOCUS4470 transcript:rna-LATHSAT_LOCUS4470 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPLAVTTPSKKLARNFAKVLHLRAFLKSVTISETNPKDETTAINWSESFNKVDEDEELQEQRVATEALLAKVFASVSTVKGAYAELQHFQSPFDPDGIEASDKLLVSELKHLSELKQCYLKKQFDPSPEKAILEAESKETKGVIKTYEVTAKKLESQVRLKDSEIMFLKEKLLEANSHNKLIEKRLNQSGSLSVSSSSLDNNVHISGLSPSHFASILRHTVKSIRSFVRLVVDEMRSAKWDIDAAVDAIEHNVVYFVEDHKCFAIESFVCKEMFDAFHLPNFNLPNESLPDDRTNQQNWFFQNFNELKSAKVKDFLSAKPRSSLAKFCRNKYLRLVHPKMESSFFGNMNHRNFISNGEFPKSDFFASFAEMAKRVYLLHCLAYSFEDQAEIFQVSKGCRFSDVYMESVNDEIFMCANSDKTTTIVEPEEEPVVGFTVVPGFRIGKTFLQCQVYLMQKKSSYFQ >CAK8577415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562603211:562603910:-1 gene:gene-LATHSAT_LOCUS29528 transcript:rna-LATHSAT_LOCUS29528 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYTCDICPRIQLLLEKNKKHAEDWTPTWHGDDDLSIFSVTNGIETYSVDLKQQTYACRKWDLTGIPCSHAISCIWQNKKKPEDCVFEYYRKSFFNNSYSHIIYSTNGAQLWPLLEGQVPIEPPVLRRAIGRPKKLRNKVNDEPRNPHVLPRKLTTLSYHKCGAMGHNKRSCKGKRAAEKVILKGGNKKKGNASKDRKRQKL >CAK8542828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:551934899:551937866:1 gene:gene-LATHSAT_LOCUS11632 transcript:rna-LATHSAT_LOCUS11632 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTPPPIEDGYAAEKLFNSGFSYTYDDLIFLPHYIDFAADAVDLSTRLSRNIPLSTPFVASPMDTVSESSMASAMAALGGIAIVHPNNTSSRQAALIRAAKSRRVPILSEPVFVSPSDVVESDEDFAASPFILVTESGTSTGKFIGFVSKANWSNQNDKSLRVSDYMEPPPSAVPWSSDLAKIEEELEKKKGNIVALVKGEEVVDLVTKEEVERVRGYPRLVSGGSVGADGEWMVGAAIGTREQDKERLEHLVKAGINALVLDSSQGNSIYQLEMIKYVKKVYPELDVIGGNVVTMYQAENLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQGTAVYKVSSIAYKSGVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSLEAPGAYVYQNGQRVKKYRGMGSLEAMTQGSDQRYLGDTAKLKIAQGVVGAVKDKGSVLKFIPYTAQAVRQGFQDIGANSLQSAHDLLRSRVLRLEVRTGAAQVEGGIHGLASYEKKYF >CAK8566275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399199638:399200739:-1 gene:gene-LATHSAT_LOCUS19418 transcript:rna-LATHSAT_LOCUS19418 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDLTRDSSLARAFESKHGHLQLRGNSRSSIEEPGILIFPHEVFKPDGAVHLCLESRPTDRSSYLYCLSNPKILIRGGVLRVGSDPIPAVKVLPDPAHLPLKLECLSRGGYPRNR >CAK8540264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547708561:547711135:-1 gene:gene-LATHSAT_LOCUS9286 transcript:rna-LATHSAT_LOCUS9286 gene_biotype:protein_coding transcript_biotype:protein_coding MFECIRCNRKPTAEDKEDEEVGQSKEAVKSLTAQIKDIVLKFTGASCKRSGSYRKGPRSSPKSVENSEGVRYPYMGGVTSGSTPPWELGGNYSNGNQTPRVQEPVVVEPEAVAEEEIGNKVWVAQVEPGVDVTFVSLPGGGNDLKRIRFNREMFDKWQAQVWWGENYDRIRELYNVQRFNRQALNTPTPSEDEQKDSSNPRNHQTARDSPVAAWLNNDSMPRNQYYSTSGFTTGQGSSSNQEMHAAGSSMEASRASSKDEQFFSNAVGIESEWIEQVEPGVFVTIRQLPDGNKELRRIRFSRERFGDEDARKWWEENRERVQVQYM >CAK8544145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667334797:667338981:1 gene:gene-LATHSAT_LOCUS12848 transcript:rna-LATHSAT_LOCUS12848 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQQTQQATAATISTPTSPPSSPGGPTAEAPPKQVAVAMDKLGEAERIIADIRIGADRLLEALFISAGQPHQGSKPLQVFLKENACMQQHFQELRSLGKELEEAGVLSESARTRKDFWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKKRFFPHLEDGIETNESASKKQCGSEEVVFEPKEDMSFLRLLPDVLKSVEKEVPNVKISTFERLDWLKRASTLASVTNESSQEQNYHGSNKPRLGSIGIVDPEKVAVIELLSSNFRAIISLHPAGSANADAVAFFSPDENGSYVHARGISVNHVYEHITEYATIALQYFLGNQAETSLYSLLHWICSYQSVLSRPCRKCSKLLAMDKQSNLLLLPVHRPYWKFSLSKILSAISSKDQHSDTTMAYHIGCLSEEV >CAK8544146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667334803:667338981:1 gene:gene-LATHSAT_LOCUS12848 transcript:rna-LATHSAT_LOCUS12848-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTQQATAATISTPTSPPSSPGGPTAEAPPKQVAVAMDKLGEAERIIADIRIGADRLLEALFISAGQPHQGSKPLQVFLKENACMQQHFQELRSLGKELEEAGVLSESARTRKDFWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKKRFFPHLEDGIETNESASKKQCGSEEVVFEPKEDMSFLRLLPDVLKSVEKEVPNVKISTFERLDWLKRASTLASVTNESSQEQNYHGSNKPRLGSIGIVDPEKVAVIELLSSNFRAIISLHPAGSANADAVAFFSPDENGSYVHARGISVNHVYEHITEYATIALQYFLGNQAETSLYSLLHWICSYQSVLSRPCRKCSKLLAMDKQSNLLLLPVHRPYWKFSLSKILSAISSKDQHSDTTMAYHIGCLSEEV >CAK8538446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482756437:482761227:1 gene:gene-LATHSAT_LOCUS7638 transcript:rna-LATHSAT_LOCUS7638 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIYTCLLWAILITDVIMVACKSRNNVPAVIVFGDSSVDAGNNNKIATLLKSNFKPYGRDFEGGRPTGRFCNGRVPPDFIAEAFGVKKDIPAYLDPAYTIDDFVTGVCFASAGTGYDNATSAVLNVIPLWKEIELYKEYQAKLRAHVGESKTNEIISEALYLISLGTNDFLENYYILPTRQLHFTVSQYQDFLVDIAESFVRKLHSLGARKLSITGLIPMGCLPLERATNIFGDHGCNKKYNKVALQFNAKLDKMISKVNKELPQLKALSANAYDIIFDVITRPSFYGFEVTEKACCSTGTFEMGYLCSDKNPLTCKDANKYVFWDAFHPTEKTNRIAANYLIPKLLAAYR >CAK8531230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91694106:91701676:-1 gene:gene-LATHSAT_LOCUS1036 transcript:rna-LATHSAT_LOCUS1036 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNPNQNQQQQQQTQFDLQKLFKGTTNQNPLPPISSNLNSSPSFPSPSLSSPPPSSYPTPSSSYPPPTGTYPFHHPHYLPFPNLHHQQQENPLILQHNPQMHAPQRPIFQPPSSSPSSPHLPVSPNPNTTSGARLMALLGTQNPPSNQESSAVSPSASEFSVSPNSASGSNPQSTPTRMLSAKLPKGRHLKGENVVYDIDVKLPGETQPQLEVTPITKYASDPGLVLGRQIAVNRSYICYGLKLGAIRVLNINTALRYLLRGHTQRVSDMAFFAEDVHLLASASTDGRIFIWKINEGPDEEDKPQITGRVILAIQILGESESVHPRVCWHPHKQEILIVAIGNRILKIDTMKAGKGETFSAEEPLKCNIDKLIDGVHLIGKHDDNITELSMCQWMKSRLASASADGTVKIWEERKATPLAVLRPHNGKPVNSVTFLTAPHRPDHIVLVTAGPLNQEVKIWVSGYEEGWLLPSDSESWICVQTLDIRSSSEANPEDAFFNQVVALPRAGLVLLANAKKNTIYAVHIEYGPSPTATRMDYISEFIVTMPILSLIGTSDSLPDGDHLVQIYCVQTQAIQQYGLNLSQCLPPPLDNVELEKTEPSASRAWDGSTDLEAVNTPQVHSSTSENVVNLSSDVHGLPEASVSDTEIKPNDLPSHNGFEHVHAAPPPLPPSPRLSRRLSGSKSSSNILGTSSTTAGDRGSEPTNIDSFAEQRLDSEKDNVANVPALSDNLHESEKVVQNDVSVVSDSPTIFKHPTHLVTPSEIFSKSALSSANSNTSQGMNVQDVAPHSDAEKFEVEVKVVGESETGSNQESAEYDRDRGSLPDVAEKKEKLFHSQASNLGIRMARDTYNIEGVRQADRDSYNIKEVCQADRDTYNIEGDLQADNNNTIEAPDNIEGVLQDTNKEVPANNKESEAVAATLQPPAPSTKGKRQKGKGSQVTGTSSASPSPFNSADSANDQGGNTGGSSMESALPQLSSMQEMMGQLLSMQKEMQKQMNVMVSAPVTKEGKRLEGSLGRSMEKIVKANADALWARIQEENAKQEKLERDHVQQITNLISNYINKDMSSLLEKIIKKEVSSIGTAITRSLSQNIEKAITTAVTESFQKGVGDKALNQLEKSVSSKLEATVARQIQVQFQTTGKQVLQEALKTSVEATLVPAFEKSCKAMFEQIDGTFQNGLLNHTTAIQQQYDSTHSPLAITLRETINSASSITQTLSGQLADGQRKLLEMAAANSKVAADPFVTQINNGLHEMTEDPTKELSRLINERKFEEAFTGALHRSDVSIVSWLCSQAGLTGILTMTPMPLSQGVLLSLLQQLSCDIATDTPRKLQWMTDVAAAIDPEDTRIAAHVRPILDQVYRTLGHHRSLPTNSPSEASTIRLLMHVINSVLLSCKPVQRMS >CAK8568274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589244792:589245091:1 gene:gene-LATHSAT_LOCUS21248 transcript:rna-LATHSAT_LOCUS21248 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSGSLDELEQRLEDRLTHLNVMLSWMRFSRCCVFKQIKLPQCQTSGKALTTIVPLLQTFTAAAFHIRLDMTRFIFVVEFPRFNGKNVRDWLYKCD >CAK8537530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:388693772:388695418:1 gene:gene-LATHSAT_LOCUS6819 transcript:rna-LATHSAT_LOCUS6819 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQSGDRRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8567861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551417660:551421228:1 gene:gene-LATHSAT_LOCUS20878 transcript:rna-LATHSAT_LOCUS20878 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRWLNFVSMKNRIIPSIEHFSAFHSSPCSCHKWKSNPDIRGQQPSKNQIKFVTRQKRADAKKALKNLLYNSGSSRFSFEDKETKQKLDGNSNDRSNSHSRKGQPKSGQRFGGKPQKKTKQKIRRESFCEDVDDHGHPEQIFQATFGNRCYTWSYSNMRGSSSEHSTYGFEWREHSNRTNTNKWKSASDDEYDLNDKDDDDSCFVGSSSDRTILGLPPTGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCLNAYKTLCNALSPA >CAK8569985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19045401:19048154:-1 gene:gene-LATHSAT_LOCUS22777 transcript:rna-LATHSAT_LOCUS22777 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKRSEKAEAAAVPKKDDVAPERPVRTLLGWKNKNENENENEVEAKDNGSSPIFRNKEKVLVTCSRRIVFRYRHLMLNIVSLLPHCKKDNKVESKETKGATLNELVELKNCSSCLFFECRKAKDLYLWMSKCPNGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAHWKLLKEMLLQIFETPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNESDKLPRGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALQKKKKAGTFAKKVKAKTRRKRHEMANPLEPDEFADMWKD >CAK8561399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:194424519:194426213:-1 gene:gene-LATHSAT_LOCUS14997 transcript:rna-LATHSAT_LOCUS14997 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSLSLSTPQFPSNRPIQNLHSNLFLSPSRLHTKPTTTTLSSSIRAMGSSPSSGSNTTGFESGSNTVDYKSLSDVEWKKRLTDEQFYVTRKKGTERAFTGEYWNTKTEGTYHCICCDTPLFESSTKFNSGTGWPSYYQPIGKNVKSKLDLSIIFMPRQEVLCAACDAHLGHIFDDGPPPTGKRYCINSASLKLKPRQ >CAK8540717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16921123:16921533:-1 gene:gene-LATHSAT_LOCUS9694 transcript:rna-LATHSAT_LOCUS9694 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8572128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513602195:513602929:1 gene:gene-LATHSAT_LOCUS24727 transcript:rna-LATHSAT_LOCUS24727 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVAYENDLNMKDTELRLGLPGTEENENEKVLSVKVSNKRSLVDTSKDSAGGSKASESDAAPPSKAKIVGWPPIRSYRKNSLQEADASGIYIKVSLDGAPYLRKIDLRIYGGYAQLLKALENMFKLTIGDYSEKEGYKGSEYEPTYEDKDGDWMLVGDVPWNMFVTSCKRLRIMKGTEARGV >CAK8571899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490857516:490857833:1 gene:gene-LATHSAT_LOCUS24522 transcript:rna-LATHSAT_LOCUS24522 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNLMNYPGENEACSEVQGLEDIVGTIIEKNAEDDDEDDTVSLEPVTRKEALMVSNTLHNFMIQYKNTTPELLNAIRKARDELQIDLNFKGKQITIESYFNRV >CAK8568471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:609379891:609380783:-1 gene:gene-LATHSAT_LOCUS21426 transcript:rna-LATHSAT_LOCUS21426 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYYENEIPNNTLYQQPQLTIDDSASSILSTPQLTLTPNHIHHPILQHYHHHSHSPQHRQQYQHFYQHKYQPSQQQQETYSLGDTDTNVNGYIECEEGSTARLSFWKAFNNTVKYDATAKQQEENQNETCLVSDNNFGELEAVYKNELGRNQDDLKRFARKKMRKKKVVKEELEMMNSFFKRLVKRVVNHQEVLQNKLLEVIDRIERKRIEREENWRREENELYEREAIVKARERDLAKRRESSIVSSIEKITGRKFFFVSESTHQN >CAK8532754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510732020:510732226:1 gene:gene-LATHSAT_LOCUS2428 transcript:rna-LATHSAT_LOCUS2428 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKRSAEAVGCKNESVGERSALERSNRASRVGRSESENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8565554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:274483248:274484177:1 gene:gene-LATHSAT_LOCUS18755 transcript:rna-LATHSAT_LOCUS18755 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSEESDISESEIEEYSEKPYEQLKAGKYKVRIFNGTLRCPYCSGKKKQEFKYKDLLQHATGVGKGSANRSAMQKAKHLALAKYLQTDLVNEAEQVPPPTVTQTAIQPVQQAENYVWPWTGIIVNIKSEFHDSGYWLKEFVKYKPLDVHVFMMDGVAQAVIDFNNDWHGFMNACEFEKSLETKHHGKRDWNPMDLQASSDIYGWLAREDDFYGGGSIGEYLRNKGRLRTISDIVQEASENRSSIVENLANEIDITNENLNKMQYKYNEKTMTLSRMLEEKDKLHNAFVEEPKTIQTRSGNDIFTCKS >CAK8563793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631336267:631336545:-1 gene:gene-LATHSAT_LOCUS17168 transcript:rna-LATHSAT_LOCUS17168 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVCKQNGNSDRDIATFISTGFVGQLRGWWDHYLTDSQKKDILDHKKMIKSEASGVSTTGEEDAVYTLYPSTFCWTNIPIGEKFKLYSKI >CAK8544265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674538839:674539489:-1 gene:gene-LATHSAT_LOCUS12958 transcript:rna-LATHSAT_LOCUS12958 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIIASSKPVTRIPTNSLPTTTPKLPSLHLSLPTISPKLKLHLSKLKSLTLATTSLSFASFAFAPPSLAFEKAALFDFNLTLPIIVVEFLFLMVALDKLYFTPLGNFMDQRDADIRAKLNSVTDTSAEVKQLEDQANAILRASRAEIAVALNQMKKETQAEVEAKIAEGRKKVDEELQEALANLEKQKEETIKALDSQISALSQDIVNKVLPTS >CAK8571148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:314139592:314140730:1 gene:gene-LATHSAT_LOCUS23838 transcript:rna-LATHSAT_LOCUS23838 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYKWLTKKYPKVTKDANINTTPTMEYDNLYLDMNSIIHPCFHPNDDNINSCPPTTFMEVFANMFDYIDHLVTIVKPRKLLYMAINGVAPRAKMNQQRTRRFRTAKDDEMRLRKLFEMEGKQVVPKQECEVSDSNIITPGTEFVHQLSKALKTYISSRISSHSLWKDIIVILSDANVPGEGEHKIMSFIRKQRGLPDYDPKTVHCLYGSDADLIMLGLSSH >CAK8535909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890663518:890665131:1 gene:gene-LATHSAT_LOCUS5327 transcript:rna-LATHSAT_LOCUS5327 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRCLCFKFVMHLSKPKYPLPSMSSLIPLHQSISALSNSPQFDERHILHQISQLLPISTSKARESESELESKSVDGFLSPEDKLRGIFLQKLKGKAAIEQALSSVCIDVNVDVIGRVLNSGNLGGEAMVTFFNWALKQPMVPKDIGTYHVIVKALGRRKFFMFMMQVLDDMRLNCVEADLFMLSIVIDSFVNAGHVSKAIQVFGNLDDLGLNRDTEALNVLLSCLCRRSHVGAAASVFNSMKGKVTFNVATYNVVAGGWCKFGRVDEIERVMKEMEVEGLSPDFSTFAFFLEGLGRAGRMDEAVEVFGSMKEKDTTTYNAMIFNFISIGNFDECMKYYKGMLSDNCEPNVDTYTRIISAFLKIRKVADALLMFDEMLRQGVVPPTGTVTSFIKYLCSYGPPYAAMMVYKKARKLECKISMEAYKILLMRLSKYGKCGTLLSVWQEMQECGYSSDVEVYEYIISGLYNIGQLENAVLVMEEALRKGFCPSRLVYSKLSNKLLASNLTERAYRLFLKIKHARSLKNARSYWRDNGWHF >CAK8566890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470309497:470310162:-1 gene:gene-LATHSAT_LOCUS19989 transcript:rna-LATHSAT_LOCUS19989 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYMVIRPIPIILDGSNYNQWTEAISEFLKGRLLWRYVTGDKKCPMRSFDETLETFINKYEEWDNTNHLIITWFRNTSIPSIHLQFWRFKNAKEVWDHLKQRYTISNLSHQYQLLKDLSNLKQQYGQPIYEFLPQMEVIWNKLASCEPSLKYATDLKSYETHRNRIRLIQFLMALTDDYESVRVSLLNQNPLPTLENALPCLKYEETRLGLVHQQVDNAF >CAK8570328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37110351:37111144:1 gene:gene-LATHSAT_LOCUS23085 transcript:rna-LATHSAT_LOCUS23085 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMSNGDGHFNRTFSSYSSASQRDVRYSCGSCGYELNLSSSNRNTTSIGSKYGKSIKRGIISFFNIDDNRFTQVDEIQCVPHFHKHSWGLFRRRTKLLCRKCGNHIGNAYNGFTSSLPLVSDGAELSPSSKAARSVKYDIRIWSLQPSSSGESGLPVLA >CAK8562565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510629631:510630760:1 gene:gene-LATHSAT_LOCUS16053 transcript:rna-LATHSAT_LOCUS16053 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYPLRNNSVFLMLLLLWILVSGVFVWGRPATFEQDFHVTWSESHIKQIDQGRTIQLILDQGSGCGFASKVKYMFGRVSMKIKLVPGDSAGTVTAFYMNSDTDSVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSILWNHHHIVFYIDEVPIRVYKNNEAKGIPYPKTQAMGVFSTLWEADNWATRGGLEKIDWSKAPFCAYYKDFDIEGCAIPGPSSCASNPRNWWEGAEYEGLNAMEARRYRWVRVNHIIYDYCQDKSRYPITPHECFEGI >CAK8544534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690821613:690823982:-1 gene:gene-LATHSAT_LOCUS13204 transcript:rna-LATHSAT_LOCUS13204 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKAKGKHRLDTWYHLAKESGYRSRASYKLLQINAKFGFLSSARAVLDLCAAPGGWMQVAVKHVPHGNLVIGVDLAPIAPMIRATAIQEDITKPECKSRIKKIMNQNGCTVFDVILHDGSPNIGGAWAQEATSQNALVIDSVKLATCFLAAKGTFVTKVFRSQDYSAVVYCLKQLFEKVEVVKPIASRSESAEIYLVGLKYKAPAKIDPRLLDYKHLFQASAQPQAKVVDVLRDNNKRKRHRDGYEDGNTTLRKVSSAASFIWSDAPLEILGSVTSITFTDPGDLLIKDHKLTTEEVKSLCEDLRVLGKQDFKHLLKWRIHIRKASKKTEPSSTSVVESVHEVDEDDRLLNEMEELTNALDRKKKREKKILARSRAKDKVRMQMDAVEDYVDHELFSLASMKDKKDLVAVDTTDYEGDDSENEENKDGSVHSSSDLDSDEERKRYDEQMEDVFEQAYESFVIKKKGTARQSKLIKKSYDVDSQLLEADENDDMFQSNYDPDEDHGVQEANPLMVPLNDGTTLTQEEVTNAWFNQDVFAEAVEKDDSENEMDIDGPKEKMPVKSASSTEMDYEIVPQSDTDTDSDESSFFDVETNAEILAYGNKMQRKEHREEILDDAYNRHMFDDESWPKWFLDEERKHHQPEKPITKEEVAAMKTKFKAIDARPAKKVAEAKARKKLVAMRKLEKVRKKANVISDQADISERSKSKQIDRLYKKAAAKRPQKEYLVAKKGVQVKTGKGKVLVDRRMKKDARKSGMGKAEKRGSKVKGGEVPKGKRFSKGCAKKGRK >CAK8561171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:111087350:111089422:1 gene:gene-LATHSAT_LOCUS14783 transcript:rna-LATHSAT_LOCUS14783 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNTFHASDSVDKSRIVEVKPLRSLAPVLPKNIQSCFPAKYPSVFPPFYSFQKPQEIPLPKGAEQVPVSVKETTRETPVAAKVTPIRFYKSSLDEELNPQGDGGGNSFSEGLNGDECVDGTRKRGTENIQNCKEFLKRKKNKKTREAAGDGQSSNVGVNPSRNDDGDCESVNHVLMTFDSIRRRLCQLEDSKELTSSLAIKRADLRASNALTCKGVKTNLRRRAGVVPGIEVGDIFFFRMEMCLVGLHGQSMGGIDYMNIKDGSKEDTVALSVVSSGVYGDDTEDNDVLVYSGQGENFNKKDKHVTDQKLQRGNLALDRSAQRHDVVRVIRGLRDATTKSAKIYMYDGLYKIQDSWIEKGKSGGGIFKYKLVRLPGQKSAFATWKLAQKWKASSASRNGFILADLSNGVESIPVSLVNDVDDEKGPAFFTYFTSLKKPKPFGLVQPSFGCNCNKACIPGDLNCSCIQRNEGDFPYTANSILVSRKPLIHECGPMCRCHPNCKNRVSQTGLKQQMEVFKTKDRGWGLRTLDPIRAGSFICEYAGEVIDRAKVCQNGEGDDDEYIFDTSRIYQPFKWNHEPGLLEVSSNVSSEDYTIPSPLIISAKNVGNVGRFMNHSCSPNVFWQPVLYAENNQSFLHIAFFALKHIPPMAELTYDYGFVPAGHAEGGSAQRRKKKCLCGSAKCRGFFG >CAK8533688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649954454:649955625:1 gene:gene-LATHSAT_LOCUS3292 transcript:rna-LATHSAT_LOCUS3292 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSLLPTNYSGFLLQGAPFHQSKFPSRIGYHHRDGSVTPQMICKANRTGKYSNNISTKFVTSATSASRNDQQYISYDDSLEEPVLLTLIKESLWGLRSLFVFLIEQPSQLKYIEWPSFSNTLRTATLTLVIVAFLLVALSSVDSALSYLLNLALRKST >CAK8533713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:652483474:652484668:-1 gene:gene-LATHSAT_LOCUS3316 transcript:rna-LATHSAT_LOCUS3316 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYDEFKEILKIQKLRRSVSFAGFYGFTTLIIYAYVNNTTRAGYSRADQYYASYPAGTELLTDTTKLYKAALGNCFESEEWGSFEFCVMQKHFERQGKSPYAYHAQYMAHLLSHGQVDGSG >CAK8566638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444638222:444639063:-1 gene:gene-LATHSAT_LOCUS19755 transcript:rna-LATHSAT_LOCUS19755 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKTNGESTIKPNWLELPLDLTKNILQRLDTVEIITSARNVCPMWWNICEDPFMWRTIDMSNIKLVPFDFRCLDKICRCAVDLSCGHLEDIAIESFGTDDLLKHVAHRANNLKRLKISCCNGITDEGLIEFVKMFSLLEDLHISFVYLSKYSLEFIGQNCPLLNSLYLEMQSGYCLMYTVFADQVFAIANTMFGLHHLAFSGIFIGDNELLAILEGCPLLDSLDIPHLLWFDISESVKKRCQEEINIYNF >CAK8576925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525395269:525397376:1 gene:gene-LATHSAT_LOCUS29081 transcript:rna-LATHSAT_LOCUS29081 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTLYSSSSFSLPSSPSNPSLSPFTSSFPFASFKTSPFSKCRIRASIAVEQQTRQNKTALIRIGTRGSPLALAQAHETRDKLMASHTELAEEGAIEIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSAASLADLPDGSVIGTASLRRKSQILHRYPSLTVQDNFRGNVQTRLRKLSEGVVKATLLALAGLKRLNMTENVTSTLSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHEETRLAISCERAFLTTLDGSCRTPIAGYASRDKDGNCLFRGLVASPDGTRVLETSRIGSYTYEDMMKIGKDAGEELLSRAGPGFFNS >CAK8569018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663012084:663012647:1 gene:gene-LATHSAT_LOCUS21916 transcript:rna-LATHSAT_LOCUS21916 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDETETSVVFEKLFKFVGNNLKNLVENPSHEGPDSTPGRYCFRLNKNKIYYCSESLVKRATNIARPNLVSLGTCIGKYTHGGNFHLTVQALNLLAANAKHKVWLKTQSEMSFLYGNHVLKSALGRITENIVAGDGVVVFNMADVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDIGEYLRMEDEL >CAK8561761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:375836557:375842751:-1 gene:gene-LATHSAT_LOCUS15321 transcript:rna-LATHSAT_LOCUS15321 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHNKLILAPMVRVGTLPLRLLAAEYGADITYGEEIIDHKILKCDRRINELIGSTDFVEKGTESVVFRTCDQEKDRVVFQIGTSDAVRALTAAQLVCNDVAAIDINMGCPKPFSLSGGMGAALLSKPELISDILTTLRRNLTLPVTCKIRLLKSPHDTVELARRIEKTGVHALAVHGRYVLDRPRDPAKWSGIADVVSALSIPVIANGDVFEYGDFERIKSATGASSVMAARGALWNPSIFSPEGEVSYEVTQKQYIRKCILWDNDIRSTKHTLRDMKSHYTSLEVPEWRAVIKSETTADIAELYGELEYYQLIKSDSHKGHR >CAK8540423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559710680:559712653:1 gene:gene-LATHSAT_LOCUS9428 transcript:rna-LATHSAT_LOCUS9428 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLFSFNLPYRSFSSTPEPPTLYSFLQPCLFSINKPFFDEPQNLPTSPPHSLSLTSHQISSLQTTLHKSLITSQTDQAWKSFKTLTTHRSFPPKPLTNSLLTHLSSIGDIHNLKRAFASTIYLIEKNPNLLDFDTIHTMLVSMKSANTAAPAFAMVKTMFKNRFFIPFDSWGGVVIDIARNNDNLAAFLPVFEENCRVALEEKMEFMKPDVAACNAALEACCCCLESVTDAERVVGIMSNLGVRPDEFSFGFLAYLYALKGLQDKIDELKVLMKGFGYSKNNKCFYSNLISGYVKSGNLASVESSFLSSLNDRDGEDVWSFDRDTFCLVVKEYLQIGNIKGLANLIIQAQKFESSNIKVDESIGFGIVNACVSIGLSDKAHSILDEMNALGGSVGLGVYVPILKAYCKENRTAEATLLVMEISSSGLQLDVETYDTLIETSMSCQDFQSVFSLFRDMREARITDLKGSYLTIMTGLMENNRPELMAAFLDEVVEDPRVEVGTHDWNSIIHAFCKAGRLEDARRTFRRMIFLKFEPNDQTYLSMINGYVSAEKYFDVMMLWNEVKRKLSANGPKGIKFDQNLVDAFLYAMVKGGFFDAVMQVVEKSKEMKIFVDKWRYKQAFMEKHKKLKVARLRKKNFRKMEALIAFKNWAGLNA >CAK8572333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530012234:530012771:-1 gene:gene-LATHSAT_LOCUS24909 transcript:rna-LATHSAT_LOCUS24909 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQRSLSLLVLCFLVMGTMLVSGQSANNVRATYNNYNPQNINWDYNTASVYCATWDANQPLSWRSQYGWTAFCGPAGPTGRDSCGRCLRVTNTATGAQVTVRIVDQCSNGGLDLDVNVFNQIDTNGAGRQAGHIQVNYVFVNC >CAK8568137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576004723:576005187:-1 gene:gene-LATHSAT_LOCUS21128 transcript:rna-LATHSAT_LOCUS21128 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRRPYSKDKDSMFNVNGGRCKRHPKHVQSPGVCSLCLKDKLDQLSSSSSSSSNSQKTNSASCSSSSSSLSSYYSSSSGSSCSSPMHDDGSGFSTIFLFHKHGGGLVKSKSMAVLPRRRNKDTRDQYSKKSGFWFNLFHPNYKNKRMVTVAS >CAK8575589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:188968539:188970725:1 gene:gene-LATHSAT_LOCUS27840 transcript:rna-LATHSAT_LOCUS27840 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKCVRDWIAIAYLLTVERAYNSVAPQTQTSCCTGHARLIDDVPWLGASSLNLVVLFWKFSKAILVLLMQLSQFYYAIHYEDTKALILGWLSAAISFAFLRTLIRNLSTT >CAK8530304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13834995:13836221:1 gene:gene-LATHSAT_LOCUS179 transcript:rna-LATHSAT_LOCUS179 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTNYIVHAHSNGETYISEDSGFGFQNTDVTRLTMSRKSNFLHFKERIESKILSGPISQFFYRSPVFFDNNQVKYFQEKIQDDNNVDQMFDSHEHSGFDYIEVYLLLCQTQHQVGETTNVYEVDVVDEEEEETEAMVDQMVNLFDTGDYTALTPFQDIDEETLPLRHMYCPPQHMTNLQLSGDDTSSNVFYNPSQQIEGVLKVGNQYRTKEECMKAVRKFHMDNFVDFYINRNDSKRYVVVCRNTDCKFRLAASYRKRSDCWVIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGVDPSVKVSTIISHIVARFNYTPSYRKAWIGRIKVVEHVYGNWERSYNQLPQYLLALQKYVPGTVVILESLPTYTPEGTCVDGSRIFSRLFWAFQPCIKGFAFFKPVI >CAK8567440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514058464:514058821:1 gene:gene-LATHSAT_LOCUS20494 transcript:rna-LATHSAT_LOCUS20494 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSASGSWSSKENKAFEKALAVFDKDTPDRWSNVAKAVGGGKTAEDVKRHYELLVRDIRHIESGHVPFPNYNNNATFDAEKRFRNMKLQ >CAK8578813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655838046:655838709:-1 gene:gene-LATHSAT_LOCUS30797 transcript:rna-LATHSAT_LOCUS30797 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLFIAILFLFTLSFTTTVRSDSDDDCVYTVYVRTGSVLKGGTDSKIGIKLYDKFGYYIYIKNLVPWGGLMGSGYNYFERGNLDIFSGRGPCLDGPVCAVNVTSAGDGAHHGWYCNYVEVTTTGPHVSCSQEQFTVEQWLATDTSPYQLWAVKNLCSYKLDQARPRIGSSDHDKIGSEFSILNAGA >CAK8562626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517397030:517398425:1 gene:gene-LATHSAT_LOCUS16110 transcript:rna-LATHSAT_LOCUS16110 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVQDLHPQTTTTTPEERKEQPQHQNQRFRDYKWWFRVSLYIVFLLVGQSAATLLGRLYYDKGGNSKWMATFVQSAGFPILLPLYFNFAHINHEKTKPKFSTLVSLYIFFGLLLAGDNLMYSYGLLYLSLSTYSLLCATQLAFNAVFSFFLNSQKFTAFIFNSVVLLTMSAALLALNSDSDDDSTNLPRDKQILGFIFTLGASAAFSLYLSLVQLSFDRIIKSETFSAILNMQIYPSFVATCACVVGLFVSGEWKGLHKEMREYEKGKVSYIMTLFWTAVAWQVSSIGMIGLVLDVSSLFSNVIGTVVLPLVPILAVIFFHDKVNGLKFIALVLAIWGFLSYIYQHYLDDKKAKANKTRLPEVSRGEVEIC >CAK8530772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48995693:48997547:1 gene:gene-LATHSAT_LOCUS614 transcript:rna-LATHSAT_LOCUS614 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFFDDFFKVEDVDPDGKKYDKVSRIVARSEKHNMYMLLDVNTEIYPINRKERFLLALSPSLILNTKDGPVSIQEKFEYIMYGRLYDITADGLSRSPPEVEVCASFGGLQLMLRGDPSHCVKFAVDQKLFLLIRKLES >CAK8570165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27322904:27324687:-1 gene:gene-LATHSAT_LOCUS22942 transcript:rna-LATHSAT_LOCUS22942 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEQNIKQSPSSSQSKPPKSIPFTSTFDPSNPAAFLEKVFDFIANESDFFEKESAEKVILSGARAVKAKKAKAVAAVKAKIAAEEKAKAEKAAAAEKKDKGVEAKGDEKKEGAAPNKGNGMDLEFYSWTQSLQELNVNVPVPNGTKSKLVICEIKKNHLKVGLKGQPPIIDGDLYKSIKPDECYWSIEDQNTISILLTKHDQMDWWKCLVKGDPEINTQKVEPESSKLGDLDPETRSTVEKMMFDQRQKSMGLPSSEELNKQDMMKKFMSQHPEMDFSRAKLS >CAK8535234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828550768:828552755:1 gene:gene-LATHSAT_LOCUS4707 transcript:rna-LATHSAT_LOCUS4707 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENTNWLFDYALIDDIPVSDGSFAFTWPPSQPLNVCVDMDGSLGDSDGIKDPGSKKRGRSDSSAPSSSKACREKLRRDRLNDKFVELGSILEPGRPPKTDKAAILTDAVRMVSQLRGEAQKLKDSNSSLQEKIKELKVEKNELRDEKQRLKAEKEKLEQQVKSINAQPSFLTHPPAIPAAFAPQGQAHSNKLMPFMSYPGVAMWQFMPPASVDTSQDHVLRPPVA >CAK8575198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:51560917:51561996:1 gene:gene-LATHSAT_LOCUS27482 transcript:rna-LATHSAT_LOCUS27482 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGTNEGILRPGMVLLKHHLSHHEQVEIVKNCCDLGLGPGGFYQPGYADGAKLRLMMMCLGKDWDPQTRKYGYKRGIDSSQPPSIPPYFNKLVTRAIREAHQLYNQKFGISYVEDLLPSMTPDIYIVNFYSTSGRLGLHQDRDEREESLQKGLPVVSFSIGDSAEFLYGDQRDVKKAENILLESGDVLIFGGDSRHVYHGVSSIIPNSAPDELVQDTGLCPGRRNLTFRQY >CAK8535712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875925927:875929084:1 gene:gene-LATHSAT_LOCUS5141 transcript:rna-LATHSAT_LOCUS5141 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILFKGIAARSLTTRLTSSCYYGMKYSTKVSNDPDTHDDFKPANKLEKSGTSLSNIIEQDVKDNPVMLYMKGVPDFPQCGFSSLAVKVLKQYDVPLSARNILEDPELKNAVKAFSHWPTFPQVFIKGEFVGGSDIILNMHQTGELKEKLKDIVSKQ >CAK8562966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562472867:562473292:1 gene:gene-LATHSAT_LOCUS16425 transcript:rna-LATHSAT_LOCUS16425 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQHQHNQDLELLKAVAQAWYNHSGSVKPLSEFDARRRNFKGRPSRFKLETLTNSSSTKDTGTSTYCCDFQHSLWDPYELVTVSRRIETGLALDNPFDDFCVSTSVQLKGKRESKNSLRNLFNNMSSRRFNVPKFSTEK >CAK8566913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472183217:472195402:1 gene:gene-LATHSAT_LOCUS20009 transcript:rna-LATHSAT_LOCUS20009 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPVTVEEQLLHKAINEECPWENLPKRIQATLSTKDEWHRRIIECCIKKRLQWNSCFARKVCKESEYYEDMMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLAGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIESWWGVCLVNFTLEEFKKLSEEEVATIDKICKEEANSFILFDPNVIKGLYRRGLIYFDVPVYPEDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSNENASVAELATTLQADLSQLQAAASFVCRLGWATKVIDPSSILQDTSIPGSPRSAVSDEDVYLASHGFDNIHIDNDIQADASGSSNHGARSAYMRVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASISDLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLQSGGVASDVKVEEGHDKMNMATPSNDEPSSLTAEISLSEKLGDSGVTEAEINNDDLLSLDLEKSAKASVSYEVVPSDGTSSITLEGDGNDILDSSNNENLQNNEKLMVEGSDVGTEMLKRRKKYRVDILRCESLASLSPATLDRLFLRDYDIVVSILPLPHSSVLPGPGGPVHFGPPSYSSMSPWMKLVLYSTVESGPLSVVLMKGQCLRLLPAPLAGCEKALIWSWDGSTVGGLGGKLEGNLVKGSILLHCLNSLLKHSAVLVLPLSKFDLDKSGKLITMDIPLPLKNADGSIAPVEKLLGISEEESSKLKSLLSDLADTMELWTVGYIRLLRLFNDREPEQFSHEEKYDWVPLSVEFGMPLFSPTLCNDICRRVVSSELLQSGSFGEHHHAVQSLRRKLHDISSEYQATGPAAKVLYQKEQVKESSRQLMNYASGRWNPLVDPSSPISGASSEHQRLKLANRQRCRTEVLSFDGSILRSYALAPVYEAATRPIEEGTQANTIKAEPDDNDSKEVILPGVNLLFDGSELHPFDIGACLQARQPISLIAEAAAASASLVIK >CAK8570332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37440280:37445871:-1 gene:gene-LATHSAT_LOCUS23088 transcript:rna-LATHSAT_LOCUS23088 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIFGVILPVLATLLFRSNHPKRRGVPTDNTGDPGVTVRNKRFDSPVETAWEGVSTLAELFEEACRKYGEGLLLGTRLLISKELETSSDGRQFEKVELGGYEWLSYADAFEAVSSFGSGLAALGHGREQRAAIFADTREEWFLALQGCFRRNVTVVTMYASLGEEALCHSLNETEATTVICGKKELKTLVHISGQLDSVKRVICLDDDIPSDTSSVGHGWTVISFADVKRLGKQNPVDADLPLPPDVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVKTIVPKLGKKDIYLAYLPMAHILELAAENLMAAVGAAIGYGSPLTLTDTSSKIKKGTKGDATVLMPTLMASVPAILDRVRDGVFKKVNATGGLPKKLFYLSYERRLQAINGSWFGAWGLEKALWNFLVFKKVRAILGGRIRFILSGGAPLSGDTQQFINICLGAPIGQGYGLTETCAGGTFSDFDDTSVGRVGPPLPCSYIKLIDWPEGGYLINDSPMPRGEIVIGGPNVTLGYFKNEEKTKESYKVDERGMRWFYTGDIGRFHLDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMLHADPFHSYCVALVVASQSTLEEWASKQGIPYSGFSELCSKGESLKEVHASLVKEAKKAGLQKLEIPAKIKLLSDPWTPESGLVTAALKLKREVVRKTFQEELLKLYSS >CAK8576133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:412556250:412557761:1 gene:gene-LATHSAT_LOCUS28346 transcript:rna-LATHSAT_LOCUS28346 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGTYTYKIEARRGIRQRDPLSPLLFVITMEYLSRLLFRMQKNPDFNHHTRCERLQLTHLTFADDLLLFSRGDQSSMEILQRTIKSFLDSTGMKINPSKSKVYFGSVSDSVKNLILQLTSYKEGTFPFRYLGVPVTSKKLFVVHYMSLVDKLMSRITHWSSRLLSYAGRLQLTKSVLYAITSYWMQCIWFPKAVISKINAICRSFLWTGGSTISRKSPIAWEKVCKPTVKGGMNVLDLEVWNSMFMMKLLWNICTKTDDLWVRWIHAYYLKNEDMMHKVVKGSDSTIFKTILLQRDNIGNMQIVWNEMVQSGRFNGRKVYVNLLPATPNVVWAKLILHNRARPRAIYTLWVICHGNLATKARLYRFGMVNNNQCVFCSEVETIDHLFFECSFFRKVWVETLHWSGIQHTPRSWNEEKNWILNCYGGKGWKSELVRLALTETLHELWPFRNDSCFNQRDDNRNCTDRIINNIVYRGWSSPKLRPHIAFLMVQ >CAK8560727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38613392:38614539:1 gene:gene-LATHSAT_LOCUS14381 transcript:rna-LATHSAT_LOCUS14381-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGDIRYTSPPTATNNSSPLLGFEDEGWLWTQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNAFATDPSLSLAAVADLRAYRERDPACISYSHCLLNYKGFLACQAHRVSHLLWRQARRPLALALHSRVADVFAVDIHPAARIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNIKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSKLEDVPGESMDHTSFISEWSDYII >CAK8560726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38613260:38614539:1 gene:gene-LATHSAT_LOCUS14381 transcript:rna-LATHSAT_LOCUS14381 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTTCNLHANSKPYNTKITITLTLSPNHSLKLTPPQTATLRRSMPTGDIRYTSPPTATNNSSPLLGFEDEGWLWTQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNAFATDPSLSLAAVADLRAYRERDPACISYSHCLLNYKGFLACQAHRVSHLLWRQARRPLALALHSRVADVFAVDIHPAARIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNIKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSKLEDVPGESMDHTSFISEWSDYII >CAK8534222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707253799:707254187:1 gene:gene-LATHSAT_LOCUS3782 transcript:rna-LATHSAT_LOCUS3782 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPDMGYVMVNQYDIILVSLGYPSLTFFPMTTSHSPNVSIYYIGFINKNYLVQVNMNEGFSLPPVTLDLKKYCTSDATSWMIRFSGGLQNWQHLTSMVLKYVKL >CAK8543737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635528903:635529484:1 gene:gene-LATHSAT_LOCUS12477 transcript:rna-LATHSAT_LOCUS12477 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSYRKGALKVDDLQPILDELTPADVIWCPLENHRVWHQFDELCLYRGCLRWGDTVVLYLPGIYMRQFGYRQYVPYPPLDHTMIDDGYLEWYYRVSHPRLLPPPYHDAPIEMPVPVYEAGPSDPSWARTSLLIHRYLQQAGAEDDDPQFADLFEALHIARPQ >CAK8568649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:627306002:627306540:-1 gene:gene-LATHSAT_LOCUS21589 transcript:rna-LATHSAT_LOCUS21589 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNQFEFPFAKRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCRPKCIPDKYHNGKVSDQ >CAK8562887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:553283172:553288608:1 gene:gene-LATHSAT_LOCUS16349 transcript:rna-LATHSAT_LOCUS16349 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPSSGASQFLANLPSRGTFTSPSIFSHQGGMRVYVCDHETTPPEGQHIKTNQQNILIRALKLKNVSDNSKKRTAEKALGASAKKPNNQTNSQQEGSNGQTSNRNFQSLTVERLRALLRAKGLPTKGKKEELITRLKDADGSA >CAK8563443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:604313465:604314296:-1 gene:gene-LATHSAT_LOCUS16855 transcript:rna-LATHSAT_LOCUS16855 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLSLALSNSHAYDASCNTHYPNKKRSFSQHAEETPANTNESDVIFPTLSLLPLTPGHSDHHDHDHSCHSSTSTITKRGEDDEEPLMGWPPVYYRRKKLRYNEDHMMSRNYVKVKMEGVGIARKVNLSMHHSFHTLNQTLIHMFGKSDNDQQYELVYQDKEGDWLLAQDVSWRSFIECAQRLKLFKSRG >CAK8576846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519432624:519436774:1 gene:gene-LATHSAT_LOCUS29009 transcript:rna-LATHSAT_LOCUS29009 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVKTLAKSPTFAFARDPRQLQFEVNLNRLFLYTSYNRLGKNASETDAEEIIEMASKASVADQQMLVQENVHAQIKTFCTLMDEVLLPNEKTVNDDYFELLSQQTNVLPRNNEISSANGFPKQRPINQAELSQKLKDELGYMLNVKPSQISHKDAGKGLFLDGVADVGAVIAFYPGVVYSPAYYHHIPGYLDDQNPYLITRHDGTVIDAQPWGRGGDRKELWNARKMVDEKGSQVDNSDDVLERRNPLALAHFANHPSKGMLPNVTICPYDFPLIENDMRAYIPNILFGNATEVNVERFGSSWFKSRVSRNTESHVPTTLKTVVLVATRALQDEELLLNYRLSNTKRMPEWYTPVDEEEIIER >CAK8532190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:218046634:218048930:1 gene:gene-LATHSAT_LOCUS1921 transcript:rna-LATHSAT_LOCUS1921 gene_biotype:protein_coding transcript_biotype:protein_coding MELEYQWVMGKLWWTVGLIVISSVIILVEKVLLKPRRIRTMLEKQGIKGPKPSFPFGNVTEMQQIRPQRPESADITEDWVYSLFPYFQTWKQQYGSLFTYSTGIKQHLYVENGKVIRDLSVHMSPDLGRVEYLNKALLPMLGDGILRANGKSWIFQRNLIIAELFMSKVKTMVGHMEGSTLEIVQKWKRLINESKDKVVEIVIENDLKVLSEDIISKACFGSDYTQGKYIFEKLAGMQNKLSKTSTLLGYLNLSFLPSKESKEIWKLKKEVDVLIMNIIHARQKQNQENNNGEKQNDLLQKIIEGVEKEKLLNASGQGTLKPGHDMNQLIIDICKSIYFAGSESTALSVVWALYLLSVYPEWQQRIRDEISEIFGDDSPPSFTDMSKLQKMKTLTMVVLESMRIYGPAVTNSRETFADLKIGDLVLPKGLYLWMFVPSLHRDVDNWGPDATEFKPERFANGLSGACKYPQAYMPFGYGNRFCLGQNFTLTEIKIVIGLMVHNFDLKLSPNYVHCPASNLLLVPKYGMKLLVSKRNAGK >CAK8535367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844230754:844232532:-1 gene:gene-LATHSAT_LOCUS4832 transcript:rna-LATHSAT_LOCUS4832 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDQYNIVQDKNLYLQTPTFIEYLKPSSSLSSSPSSSLTHQHKLSQETFQFLPLKEDHEMQEEGFEVKEGIKQVTVTLHIGLPNIGSEHDDHDEKNKVFDHVKEEQEMKNNIQGFCFKEEKRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGTQPAAMLRLPCYCCANGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFICRKCSKAFAVKGDWRTHEKNCGKFWYCTCGSDFKHKRSLKDHVRSFGKGHSPHPSLEGFEDEKQCSNTGSDDDEVVHA >CAK8541706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359818647:359819933:1 gene:gene-LATHSAT_LOCUS10603 transcript:rna-LATHSAT_LOCUS10603 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAARLKKPDTVSKLVLEEVIGLTTKNGNGLASNSSSSKCAYSAGCVVVILDVNSGTQSHLIASDRLQPKPLRCVALSRDGRFVAAGETGNQSSVLVRDSSTLSVVSELKGHLNGVTCICFSPNGKHLVSVGVYIYLWDWRSGHLITKLQATSSSSTVSSVSFILDAKFIVTAGRKHLKFWTLESSRKAQQNGGMRKTVKTASLTIHEKISNLPIQKECSFTSVVSSVWTNSSDDNRKQAGQLFSMYTLTDSGILYLIHSGLSVKKSVILKVQKAFALSISGKLIACACNNGTILLYTPESLEYAGCVLYSKAKKFYEEDNTNYHAIFPEQNFQQLPALPDAVACQFSSSEKLVVIYSDHSLYIWDIHDVNQATKCYVLVSHSSCIWDIKNLCCENMHDPSLVCTAKGCLGGISFATCSTDGCRRH >CAK8567862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551421663:551422244:-1 gene:gene-LATHSAT_LOCUS20879 transcript:rna-LATHSAT_LOCUS20879 gene_biotype:protein_coding transcript_biotype:protein_coding MADYENHHHHHHQQQQQQVVSRETAFQALNTIIQLHFEKTLEKKRSIDLQKKELHKLFQIFFIFLTLLFMAQSISSRLQCRHCWIPITLLSMAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLRDMKMRLSGGADYEAIGDEEFEIHYQEPPESYFGKFKRNWALHFGFLILIYGFMISSSVVLLCF >CAK8571048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274042563:274046600:1 gene:gene-LATHSAT_LOCUS23745 transcript:rna-LATHSAT_LOCUS23745 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRISVNGVESDFHMYLDNSGEAYFVKDVDEEKGINLDQDSDNKSGNFLSNAHVLDHCLSDSGVLGLKGEVDSSVVPRIQRTESDGRYYDFHDSFDSSVDLSDYGSNSYENLEGENSVDSKGPHPEMVLVSVDGHILTAPISESEQNEENVQLRNPQFHLGPAEETDICEGNEEFSSGETAWAADYITQLDVSTDDVQSIRRDTNGNDNTSMAPLEVCQREEVSIRQSQETLEVEIQDLHTITNSEGVVASGLKKDSVFKSCLELQDFMQQDLHDSGSLLKVKNSSDEPNASSPLVDENERELVIQSDASSSVVDENKQESIEKSQNINRLSSTTSSTSGGHKSPKSESGLQDQEVEKDASGEVETASSSPSVIDDTERNNEQVPMSVSNGGEDDSRQTTSLENISNSASEVVEPQTGTSSKVDRIHSGLGFEISLCGYELKAGMGLEAAAGVFEAHRISEESFANSAQSIIKNQNLVIKFKEMYLTWEKAAPLVLGTAVFGLNIPVERKDAIPVEQDQQSPTSGRRWRLWPIPFRRVKTLEHNYSNASTEEVFLDSESGALVEPTPTSSSEGSPRKQFIRTNVPTNEQIASLNLKDGQNTVTFSFSTRVLGTQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRNFLLNLKQDGKTLPNGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISQRIGAKSYTSLHTLVNDMFPPTSLVEQEDYNAWNYWRMPLPDVD >CAK8565593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:286916193:286916816:1 gene:gene-LATHSAT_LOCUS18790 transcript:rna-LATHSAT_LOCUS18790 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKYRLVRKHSELWRTLRDGDFEEEEIWDVVKEREDYTCEEVFHHKAKNKELSSFPIPIGSRTIPIPRTSSESSSANSSHETKGFQQSSAPVNIPDWSKIYGGGEKVNKSVKNVSRYGNDNYGYYDDHEGGDDEVLKHGGEGSDEDEDDGENGTRLPPHEIIARRLARSQISSFSVFEGVGRTLKGRDLSKVRNSVLIKTGFLESL >CAK8565255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:83360570:83361232:-1 gene:gene-LATHSAT_LOCUS18483 transcript:rna-LATHSAT_LOCUS18483 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAILRRASSTLSKRAIPAAEALLSSTASAELRQLTVFPARSFHSKSQPLLFRASSASRAGYAAEAFPFEEQSKATGDDGLEIAKLGISQDIVSALEKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIIDKIIQFNAKHGRGRDPLALVLAPTRELSNIDSNNPNTRCQQQQKQYSHCIVFSGATNTTHLPFSATTEPDYSPTYSIWR >CAK8534489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:734789575:734791902:-1 gene:gene-LATHSAT_LOCUS4032 transcript:rna-LATHSAT_LOCUS4032 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKQIGETSEENGNHRTIEDKSSNVYDKDTFVFISMAQELKEEGNKMFQKRDLQGAMVKYEKALKLLPSNHIDVSYVRSNMAACYMQMGLGEYPRAINECNLALEVTPKYSKALLKRARCYEALNRLDLALRDVSAVLVMEPNNIMAVEISDKVKSALEDRGLRVNDAQIELPPDYVEPSFGVSSKKVVKEKKHKKKSKKEKVTASNEIVENQSEEKLEVVKEKKNKKKSKKEKATASNDLVEENQAEEKLEEKKDEDSIAAHETDEGSKSKKKADKEKIDKLKDDVKELNEEKSNGINEAVPKKTVKLVFGDDIRWAQLPANCSLFQLREVVCDRFPGLGAVLVKYRDLEGDLITITCDEELMLAETGSQGSIRLYIVEANPNHDPFYDKLHVKDVEIDNAPKNGCMVKSNKIISPSCVEDWIIQFAQLFKNHVGFESDRYLDFHELGMKLYSEAVEETVTSEEAQGLFDMAGGKFQEMTALALFNWGNVHMSRARKKVYLTEDSSKEHMYEQIKSSYEWVQKEYAKAGEKFDAAIKIKSDFYEGFLALGQQRFEQAKLSWYYALSSNVDLATWPSTEVLHLYNSAEENMEKGMLMWEESQEQETSETSNIELNGLFRNMSSDETAALAANMRSQINLLWGTMLYERSIVEFKLGLPIWHESLEVAIEKFEHAGASPTDTAVMLKNHCSNNTAVDGLGFKIDEIVQAWNEMYEAKKLQKGVSSFRLEAMFRRRASKIYHAFELV >CAK8568992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661886205:661889211:-1 gene:gene-LATHSAT_LOCUS21891 transcript:rna-LATHSAT_LOCUS21891 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSAVSALNSFSSTITAQSSFPPKRDIPLSPPQILSRRNIALLSFLSLSAPASAIDIGISGPKDWLKEQKKKSSKFLLAPVDASRETLRSVYIYLTETDANFTDEDLQKFQQLFRSAARDCVPEDRNSFVAFQANTGVEVCTFRLVVKNAASLLGKKDPVKLEAEALLDNLIRSFTSISGMASETNIDLASDRRKIADAISDTITCLDKFEQGIRDCLEI >CAK8575658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237126250:237126627:1 gene:gene-LATHSAT_LOCUS27901 transcript:rna-LATHSAT_LOCUS27901 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDRTVDSKSLRKGQSIAWLFISPVSFRRKGLRIPNPVRGRTALFAPAWLIASPRNDIPPRSRSVFATAGEAVVEAKPIATPTIKYEIGPLLNDLMEWPSPPKSAYVIWELMAGNNPYGFDIR >CAK8539313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509048744:509051314:1 gene:gene-LATHSAT_LOCUS8422 transcript:rna-LATHSAT_LOCUS8422 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDSKPPNHAFLSICKSLAAGGIAGGVSRTAVAPLERLKILLQVQNPHNVKYNGTVQGLKYIWKTEGFRGMFKGNGTNCARIVPNSAVKFFSYEQASKGILSLYRLQTGNEEAQLTPPLRLGAGACAGIIAMSATYPMDLVRGRITVQTEASPRQYRGIFNALSTVFREEGARALYKGWLPSVIGVIPYVGLNFSVYESLKDWLIQTKPLGIAQDSELSVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMMGWKGAASVVSGDGKGNLEYTGMVDAFSKTIKNEGFGALYKGLVPNSVKVVPSIAIAFVAYETVKDVLGVEMRISD >CAK8564144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654361066:654363858:1 gene:gene-LATHSAT_LOCUS17473 transcript:rna-LATHSAT_LOCUS17473-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPSTLQFSTSYISSSTTTSTIVALCVFFALLCACIIIGHLLEETRWANESITALLLGFFSGLVVLLVTKFRSIDILMFSEDLFFLYLLPPIIFNAGFQVKKKRFFRNFNYILLFGVLGTVISFGLISLGAFLLINRIGSVNLDIKDILALGVILSATDSVCTLQVLSQDETPLLYSIVFGEGVVNDAMSIVLFNSVQSLNFSTITVTALELLGTFLYLFCTSTVLGIGVGLLSAYLIKTFYFGRHSTDREVALMMLMAYFSYMIAELLELSAILTIFFCGIVMSHYTWHNVTGNSRITTKHSFATISFISETFIFLYVGMDALDIDKWRKSKASIGTSIAVSSTFIALVLIGRAALVFPIANIANCFVTRENTRIEFRSQFVIWWAGLMRGAVTMALACNQFSISNSTSTEDSAALMITSTITLVLFSTLVFGSITKPLIEAVQLRHSKQSVSDSTDYHDELRLLFLGSDASISETNNQPFQRRSNLSLMMRYPATTVHYYWRQFDDKFMRTIFGGRDFVPVVPGTPPCENEIS >CAK8564143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654361051:654363858:1 gene:gene-LATHSAT_LOCUS17473 transcript:rna-LATHSAT_LOCUS17473 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKMDVLPSTLQFSTSYISSSTTTSTIVALCVFFALLCACIIIGHLLEETRWANESITALLLGFFSGLVVLLVTKFRSIDILMFSEDLFFLYLLPPIIFNAGFQVKKKRFFRNFNYILLFGVLGTVISFGLISLGAFLLINRIGSVNLDIKDILALGVILSATDSVCTLQVLSQDETPLLYSIVFGEGVVNDAMSIVLFNSVQSLNFSTITVTALELLGTFLYLFCTSTVLGIGVGLLSAYLIKTFYFGRHSTDREVALMMLMAYFSYMIAELLELSAILTIFFCGIVMSHYTWHNVTGNSRITTKHSFATISFISETFIFLYVGMDALDIDKWRKSKASIGTSIAVSSTFIALVLIGRAALVFPIANIANCFVTRENTRIEFRSQFVIWWAGLMRGAVTMALACNQFSISNSTSTEDSAALMITSTITLVLFSTLVFGSITKPLIEAVQLRHSKQSVSDSTDYHDELRLLFLGSDASISETNNQPFQRRSNLSLMMRYPATTVHYYWRQFDDKFMRTIFGGRDFVPVVPGTPPCENEIS >CAK8538598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488528704:488530066:1 gene:gene-LATHSAT_LOCUS7779 transcript:rna-LATHSAT_LOCUS7779 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSSNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEKIITRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8536954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45163656:45165546:1 gene:gene-LATHSAT_LOCUS6277 transcript:rna-LATHSAT_LOCUS6277 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSIAFSLGLATTLALLGVGASFAGKAYGQIGQGLPLGASGLAVVMGLNLLEIIKLQLPSFFDSFDPCSVAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKNPVIGGSLLLTYTTGYISPLLLAASFAGALQSLLSFRKYSAWINPVSGAMLLGGGVYTFLDRLFPATMAM >CAK8533764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657952694:657954700:-1 gene:gene-LATHSAT_LOCUS3363 transcript:rna-LATHSAT_LOCUS3363 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCINAVDSVSEVQNSVSRVPAVPIRHSLPLTSGIPRPSRPPLYRNHHGASSSSASFLEQRHRHSNYRTKNIHHHNSYVVNRKHSYQDRKLCSKQLFDNGSESRESCSVVLESDNCILVTSKRGVVNQPKNYHCRSEISFCHSPWNSLHSATVYSEAKQSFTNTEVSECVSVDDDDDDKSCESREVSNSCDFNESTKTSIYRASMVSDVSDESNTSSLSSALYKPHKANDIRWEAIQAVRARFGVLEMRHFRLMKKLGCGDIGNVYLAELSGTRTCFAMKLMNKTELASRKKLVRAQTEREILQSLDHPFLPTLYTHFETETISCLVMEFCPGGDLYSMRQRQPGKCFSEHAVRFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSNPIVETKRSGYCVQPRCAMQTDCIQPACFSPRFLSGKSKRERKFRPKNDMHHQVTPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFHNVNWALIRCANPPEVPRDTMTMKPTSTENEVGMNPSGNYLDVDFF >CAK8574830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10669729:10672901:-1 gene:gene-LATHSAT_LOCUS27133 transcript:rna-LATHSAT_LOCUS27133 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNIFASMRSLKIMDGCKGSQGYSIHHPSSTAGSGHGGGVGEKLLQQLHDHIKSQTFRSKSVHVFQSPNRTSSDVVVEGSGSLLPYGLPMTDILEPKIDPVLRPVDFVERLTGLYNKIENCSEYDRSGVYLEHCSVFKGLSDAKLFRRSLRSARQHAVDVHTKVVLTSWLRYERREDELVGSSSMDCFGRNIECPKATLVANGYDPELVFDVCSCFDDRDCEDEDGDKDEDFMTFVGQQCSTSNEDDEDWDMSFCIGDDEIRCCRFNMASLSVPFKTMLYGEFAESRREKINFSRNEVSVEVMKAAEVFSRTKSLSKIKPNVVLELLSLANRFCCEEMKRACDVHLASLVSDLEDASLLIEYGFEETAYLLVAACLQIFLRELPGSMQCLSFVKLFCSPEGRDRLAMAGHSSFVLYYFLSQVAMEEEMRSNITVMLLERLVECAKDGWEKQQAFHQLGVVMFERKEYKDAQHWFESAVEAGHVYSLIGVARAKYKRGHTYAAYKLVNSLINDYKPVGWMYQERSLYCIGKEKMMDLISATELDPTLSFPYKYRAVSLLEENRIGPAIAEINKIIGFKVSPDCLELRAWFLIAMEEYEAALRDVRAILTLDPNYMMFYGNMHGNHLVQLLGPVVQQYNQADCWMQLYDRWSSVDDIGSLAVVHQMLENDPGKSLLCFRQSLLLLRLNCQKAAMRSLRQARNHSTSDHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAYFLKAYALADTSLDSESSKYVIHLLEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYMNALNIKHTRAHQGLARVYHLKNNRKAAYDEMTKLIEKAWNSSSAYEKRSEYCDRDMAKSDLSMATQLDPLRTYPYRYRAAVLMDDHKEAEAIAELSRAIEFKPDLQLLHLRAAFYDSMNDFASTIRDCEAALCLDPGHADTLELCNKAREQIKEQE >CAK8560528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23716289:23726965:1 gene:gene-LATHSAT_LOCUS14192 transcript:rna-LATHSAT_LOCUS14192 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRDATKTDIKTSKPASSGSFPLILDIDDFKGDFSFDALFGNLVNELLPSFKLEDLESEGDSLPNGHLRDANKYSQGVTSPLFPEVENLLSLFKDSCKELLELRKQIDGRLHNLKKDVSVQDSKHRRTLAELEKGVDGLFESFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRHGISVPSAVGNATASRGLEVAVANLQDYCNELENRLLSRFDAASQKRELTTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTRLVLGDQPIQSSPNNVARGLASLYKEITDTVRKEAATITAVFPSPSEVMSILVQRVLEQRVTALLDKLLVKPSLVNLPSMEEGGLLFYIRMLAVAYEKTQEIARDLRVVGCGDLDVEGLTESLFSNHKDEYPEYEQASLRQLYKVKMEELRAESQISDSSGTIGRSKGATVASSQQQISVTVVTEFVRWNEEAISRCNLFSSQPATLASHVKAVFTCLLDQVSQYVAEGLERARDGLTEAANLRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRSGSSVAIIQQYFTNSISRLLLPVDGAHAAACEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYKSPDDGMAPDHRPTTACTRVVAYLSRVLESAFTALEGLNKQAFLSELGNRLHKVLQSHWQKYTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDFKSAKLASKLSSLWS >CAK8563346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594136409:594142072:-1 gene:gene-LATHSAT_LOCUS16767 transcript:rna-LATHSAT_LOCUS16767 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPRIILRDHRIGSFNAILKPNYTYLPRRNFVNSHLDVRSDFGRSCAVRSELRSSNSIVAAARFPIDPLSSKRNSQILCSATTNISGDIPESSGGLSQYEKAIETLTTLFPLWVILGAILGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFDDFKRCLRNPWTVGVGFLAQYFVKPLLGFAIAMSLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLANEFFPKFTSKIITVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLILPVLALHAAAFAIGYWISKTSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNTPIPIDDKDDFKE >CAK8538683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492989242:492993195:1 gene:gene-LATHSAT_LOCUS7857 transcript:rna-LATHSAT_LOCUS7857 gene_biotype:protein_coding transcript_biotype:protein_coding MITAILRRASSTLSKRAIPAAEALLSSTASAELRQLTVFTARSFHSKSQPLLFRASSASRAGYAAEAFPFEEQSKATGDDGLEIAKLGISQDIVSALEKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIIDKIIQFNAKHGRGRDPLALVLAPTRELAKQVEKEFYEAAPNLDTICVYGGTPISQQMRQLDYGVDIAVGTPGRIIDLLNRGALNLKEVQFVILDEADQMLQVGFQEDVEKILERLPAKRQTLMFSATMPTWIKQLTRNYLKDPITIDLVGDSDQKLADGISLFSVASDAYVKAGILVPLIKEHAKGGKCIVFTQTKRDADRLSHGMSKSIPCEALHGDISQAQRERTLAGFRNGHFNVLVATDVASRGLDIPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGTAILIYSEDQSRTLRTIERDVGCKFKELPKIAVDSGSVSAFGSMGGGRFGSFGGGSSSQFGGGGFSRGSRSGGYGNSGDRFSGSRPSGGGFSGNSSSENRYGGSSSGRFGSFGSGDSGSRSGGSSSGFNSSRQGGFGGFGGSDRSSGFGNFGSGKSSAFGDRRGRD >CAK8574111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663160055:663160551:-1 gene:gene-LATHSAT_LOCUS26486 transcript:rna-LATHSAT_LOCUS26486 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSEYEDDVFYVEIKRQILLLTSEDNEDFVETRSVNVANDGSNYSFSNSLTTPTRKFYLWETESPPIWLVNLWRNGKGTGVFIPQVSCRENHKPERMNNRRRRKTYRQVVNKK >CAK8530657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38708161:38709718:1 gene:gene-LATHSAT_LOCUS505 transcript:rna-LATHSAT_LOCUS505 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTTNNEVRGSHQRLQTLLHHLQSSSNSNSNSTSTNSFQNISISNSKPNKIAAVLICLFEGQDGNLRVILTQRASSLSTHAGEVALPGGKRDECDADDVQTALREAKEEIGLDPSLVTVITLLEPFHTKYGITIIPVVGILSNKDAFSPVLDSAEVEAIFDVPLEMFLKNDNRRAEERECMGEKYLVHYFDYEVDSKKYVIWAITASILIRAATLLLRRPPDFLEQRPKFWGGVTENDTMILQRSSSQL >CAK8539568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516506010:516508981:1 gene:gene-LATHSAT_LOCUS8651 transcript:rna-LATHSAT_LOCUS8651 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREPPQPPHHPHAHHFQPPSNVVLMGPNPFTNTAPTTMMAPATARFPLFNMNANPANPANPPPHSEPFATTTAAITTVNTTAVNAITTVNTTTVNAATTTTTTTVPPTLVPCVAGSSESFKRKRGRPRKYFPDESTVLGLGSGSGSGSGSGQALAMASPDSSTAKKSKRGRGRPRGSVKKKLGDDGSVFRPHVIMVNHGEDIFKKVMAFSQERAGSDTQMCIVSAEGLIGTVALHQAGSIVVFEGQFEIISLTAQSVESDDGSGCKRMSNLKISVGGPDSRLLGGVVADKLVAASTVKVIMGCFTLDDKKTSSNNQKSGPSSTPPSHFAASGTPTSPTSQGPSSASSGDHENSPFAQGPGIYNNVSQDQNMMMFHHPQMWAHQTQQ >CAK8541224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:91579737:91580646:-1 gene:gene-LATHSAT_LOCUS10157 transcript:rna-LATHSAT_LOCUS10157-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKLQSIYAPHLKTPKKIVSTLNQNNQTNQQASAGKIKRLVLTQEGRTKLNIYQDKEFYAFPRLVTHVDDRFISTLTNLYRERLRADTEILDLMSSWVSHLPNDVKYKRVVGHGMNAQELAKNPRLDNFVVKDLNKDQVFEFESCSFDAVLCTVSVQYLQQPEKVFAEVFRLLKPGGVFIVSFSNRMFYEKAISAWREGTGYSRVQFVVQYFQSVEGFTEPEVIRKLPAPNDEENSPVGWIIKKLFGLFSGSDPFYAVIAYRNFKPIHDN >CAK8541223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:91579737:91580703:-1 gene:gene-LATHSAT_LOCUS10157 transcript:rna-LATHSAT_LOCUS10157 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHSYPFFHTNTYSKSPTMQTKLQSIYAPHLKTPKKIVSTLNQNNQTNQQASAGKIKRLVLTQEGRTKLNIYQDKEFYAFPRLVTHVDDRFISTLTNLYRERLRADTEILDLMSSWVSHLPNDVKYKRVVGHGMNAQELAKNPRLDNFVVKDLNKDQVFEFESCSFDAVLCTVSVQYLQQPEKVFAEVFRLLKPGGVFIVSFSNRMFYEKAISAWREGTGYSRVQFVVQYFQSVEGFTEPEVIRKLPAPNDEENSPVGWIIKKLFGLFSGSDPFYAVIAYRNFKPIHDN >CAK8541264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99441349:99446635:1 gene:gene-LATHSAT_LOCUS10196 transcript:rna-LATHSAT_LOCUS10196 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLQLHCTQRITILALRLSFLLIFYSSFPTCHSYPSRAHITIYASCSQEKYQPNTPFESNLNSFLSSVATSSSEVTYDSFAIENDTSAGGGGVYGLYQCRGDLHPLDCSKCVGRCVNQIGLVCPYSLGASLQFEGCYVRYEHSGDFLGKLDTGIRYKKCSKGVSSDVEFFRRRDDVLEDLQTANGFRVSSSGLVQGFAQCLGDLSVSDCSSCLVNAVGKLKSLCGSAAAADVFLGQCYARYWASGYYDERDSHNDDQVGKSVAIIVGVFGGLAVLVVLLSICKKAAG >CAK8532882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:542718693:542720516:1 gene:gene-LATHSAT_LOCUS2544 transcript:rna-LATHSAT_LOCUS2544 gene_biotype:protein_coding transcript_biotype:protein_coding MFTISSSTFTNTISSSFPSSISPSIFSQNPIFHTTLFTKPFLFHNPLSISLRKPITAIVFCKSSEASEESEEPSVPEDEWLQKLPEKTKPLYSHSLPCIEAWLKSLGFNQSKDDRALWFVNNPDWHAHLSLDATDIYIRYLKSGPGNLEKDMERRFSYALSREDIENAVLGGP >CAK8539238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507390146:507390520:1 gene:gene-LATHSAT_LOCUS8351 transcript:rna-LATHSAT_LOCUS8351 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNEIELSKGGEGGTEEGKKQKKEKKKKEEKNLDGENAEEQKQQNDIEKKMSNNVKVENGGLVVPHDIEIRSKKRHEAGSENKLHAEEIKTEQRKKKRKNEDVEDRSEEQSKKKMKRKHEGQA >CAK8538773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495979725:495980534:-1 gene:gene-LATHSAT_LOCUS7935 transcript:rna-LATHSAT_LOCUS7935-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEDDEEEYQRAVLEQVFGPSISDEDDCDGGRLDSDSDSDMAEQYQRTVLEQVFGHSSSDEDDNGRSDSDSDNCKEKKNWESIEEVKGLWILRNFLSVHQQSRLLSSIESEQWFTQPSINQAMRFGYQNLPHWAIKLSNSILRSCSSSSSLFPPNLLQREPLFDQMITNFYLPGEGITPHVDLLRFEDAIAIVSLESSCVMHFTSESQSVPVLLTPGSLVFMFGDARYNWKHEINRKPGFQSWKGELLNQTTRTSVTLRKLCSHAAPI >CAK8538772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495979725:495980540:-1 gene:gene-LATHSAT_LOCUS7935 transcript:rna-LATHSAT_LOCUS7935 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGDEDDEEEYQRAVLEQVFGPSISDEDDCDGGRLDSDSDSDMAEQYQRTVLEQVFGHSSSDEDDNGRSDSDSDNCKEKKNWESIEEVKGLWILRNFLSVHQQSRLLSSIESEQWFTQPSINQAMRFGYQNLPHWAIKLSNSILRSCSSSSSLFPPNLLQREPLFDQMITNFYLPGEGITPHVDLLRFEDAIAIVSLESSCVMHFTSESQSVPVLLTPGSLVFMFGDARYNWKHEINRKPGFQSWKGELLNQTTRTSVTLRKLCSHAAPI >CAK8533845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664889275:664891107:-1 gene:gene-LATHSAT_LOCUS3436 transcript:rna-LATHSAT_LOCUS3436 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTCISLLKICKSLRQLKQIHSLIFSNGLQQDRDTLNKLMAVSIQHFHYALSIFNHTQHPSLFTYNLLIKAFVKRGSFTTSLSLFHQLRQHGLWPDNYTYPYVLKAIGCMGDSAQGEKLHAFVIKTGLEFDAYVCNSLMEMYAQLGRLCCVKQLFEEMPHRDNVSWNIMISGCVRCMRFQEAVEVFQRMRMESNEKPSEATVVSTLTACAALRNVEVGKEIHSYIEKELDFTIIMGNALLDMYCKCGYVSVAREIFDGMAEKNVNCWTSMVTGYVNCGQLDQARDLFDKSPIRDVVLWTAMINGYVQFNCFDEAIALFGEMQVRGVKPDKFIVVALLTCCAQLGTLEHGRWIHDYVHENRIMVDAVVGTSLIEMYAKCGCVEKSLEVFNGLKEKDTASWTSIICGLAMNGKTNKALELFEEMKTFGAKPDDVTFIVLLNACSHAGLVEEGRKLFHSMSCIYGIEPNLEHYGCFIDLLGRAGQLHEAEELIRKLPDQKNEIIAAIYGSLLSACRTYGNIDMGERLAITLAKVKSSDSSLHSLLASIYASADRWEDASKTRCKMKILHIKKVPGCSAIEVDSTGNRGGVGNLSHFQTKIGLPTQDNQQFKI >CAK8573532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618114739:618115821:1 gene:gene-LATHSAT_LOCUS25968 transcript:rna-LATHSAT_LOCUS25968 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTIVGRASDGLPLAQGLRYVNEENSHLSFYKQQAELILQEISKGALSHSMMTILIDHYCFNFLVENGVVYIVLCEFPYPRKLAFLYLQDIQKEFDKFDKTLIGKITRSYSFVKLDGIIAKFSRQYIDTRTQANLSKLNLKRKQDLEIVTEEMSNILERRRNSETIRRLQVTPEPASSIWCSPSLEVIAMKWTPIMIMVITSIALLWASLVLTNDYVI >CAK8533706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651551541:651556674:-1 gene:gene-LATHSAT_LOCUS3309 transcript:rna-LATHSAT_LOCUS3309 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVIHKNTIKEESWKHVLVLAYQSLGVVYGDLSTSPLYVYKSAFAEDIEHSDTNEEIFGVLSFVFWTLTLIPLFKYVFIVLRADDNGEGGTFALYSLLCRHARVSLMPNTQLADEDLTQYTMDGTAAINKRNVGSGLKSLLEKHRVLQRVLLVLALIGTCMVIGDGVLTPAISVFSAISGLELSMSKEQHKYVEVPVACIILIFLFALQHYGTHRIGSLFAPVVLTWLICISSIGIYNIIHWNPHVYQALSPYYMFKFLKKTQSGGWKSLGGILLCITGSEAMFADLGHFSQLSIQIAFTFLVYPSLILAYMGQAAYLSKHHSLETDYRIGFYVSVPVKLRWPVLAIAILQAVVGSQAIITGTFSIIKQCSSLGCFPKVKIVHTSSKIHGQIYIPEINWSLMLLCLAVTIGFRDTKRLGNAAGLAVITVMLVTTCLMSLVIVLCWHKSAWVAICFLVFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIIMYVWHYGTVVKYEFDVQNKVPINWLLGVGPTLGIVRVKGIGLIHTELVAGIPAIFSHFVTNLPAFHQVVIFLCIKSVPVPHVAPRERFLVGRIGPKEYRLYRCIARYGYRDVHKDDMEFENDLICSIAEFIRSDISEYSSGLGSFEDDTKMTVVGTSSSNSEGVKISEDNQDNNSQIEGTSSELKEVKSPKKVKKRVRFVVPDSPRMDMDTRAELVELMEAKEAGMAFIMSHSYVRAKRGSSWIKKVVINYGYDFLRRNSRGPAYALSLPHASTLEVGMIYHV >CAK8575817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330402058:330402210:-1 gene:gene-LATHSAT_LOCUS28051 transcript:rna-LATHSAT_LOCUS28051 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIFLRIIIIPSFLYIETLYFSFLICFLLYCLVPNIKIKIKLKPLLLLL >CAK8562148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:447206263:447207496:-1 gene:gene-LATHSAT_LOCUS15672 transcript:rna-LATHSAT_LOCUS15672 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKTPAYLAQKSTLDSTFIRDKDQRPKVAYNQFSNEIPVISLAGIDDVDGLRTQVCDKIVEACENWGIFQVVDHGVDSNLISEMTRLSKMFFDLSPEEKLQFDMSGGKRGGFNVYSHYQGEPVKDWREIMIYFSYPINQRDYSRWPNKPEGWKTVIEEYSEKLMSLSCKLLEVLSEAMGLEKEALTKACVDMDQMVVVNYYPKCPQPDLTLGLKQHTDPSTIALLVQDQVGGLQATRDNGKTWVTVKPVEGAFVVNIGDHGYYLSNGRFKNADHQAVVNSNYSRLSIVTFQNPAQDATVYPLKIREGEKSVMEEPITFSEMYERKISKAIETARMKKLGKEEK >CAK8543165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584634069:584635604:-1 gene:gene-LATHSAT_LOCUS11941 transcript:rna-LATHSAT_LOCUS11941 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPNKKANQNDRISDLPGNVIDAILANLRIRDQVRTSILSTKWRYMWTSAPHLCFDDDFCQRFLDLDDPSTVISEIITDVLMIHNGPIHKFSIYLSIHYDFMFSMENLNTWIPFMSKDIKHLELVAPYANREDEMADILFSCKELTYLKFSFFNLLIPNNFRSFKKLLELHLNSIEFDSSSLESFMSGCPLLEKLSIAYCYDHSCDYLVISSPSLKVLMLESTMMNLICLKGAKNLIDFTLKGFLNIGFIKSLPEIKRFSLTNWGKYDVKIPPMLATSSFSSLEYLKLDDLDLNDKGDILYLVRVLKSAPSLIELVIKQSYNYDDTTQVSDYSEELECLSCNLKLQTVDVYFRANSQYAMSLIQFILVNSPLLKILTLDYSSIELDAAMFRISQDLLLMERALARINLLPCDSS >CAK8535263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:831447633:831453170:1 gene:gene-LATHSAT_LOCUS4736 transcript:rna-LATHSAT_LOCUS4736 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRADDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLNIDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENAARWLKELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKSFAERESLYFMETSALEATNVENAFSEVLSQIYHIVSKKAVEAGESGSSSAVPSIGQTINVKEDSSVFKRFGCCSN >CAK8573940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648817520:648819100:-1 gene:gene-LATHSAT_LOCUS26334 transcript:rna-LATHSAT_LOCUS26334 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRLFRKFPNFVQLSKSSYPISSTPSPSSNFDPHSSKPTNQFIQNPSGSLKFIIFSSFHTSRVNVNKPNTTNPDAETICKILSTAPDSPVHVSLRNFPAEVSPELVVDVLNKLSNAGILALSFFRWAEKQKGFKHSTESFHALIEALGKIKQFKMIWNLVDEMNQLKLINGDTFALIARRYIRARIVNEALKTFERMKRYGLKPQISDFNKLIDVLCWSQQQNLLKVNEVCREMKDEGFEPDVVTYGIIINAYCKAKKYDEAIGFYHEMQLRNVSPSPHIYCTLIIGLGNGNRLDEALEFFEKSKASGFPPEAPTYNAVVGAYCWAMRTDDAYRIVGEMKELGIGPNSRTYDIILVHLIKGGRIKEAYSVFKRMSSEMGCEPSANTYAIVVRMFCNENQLDMAMVVWDEMKDKGILPGIHMFSTMIISLCRENKLDEACKYFHKMLDVGIRPTANMFSAFKSALMDAGMENTVKHFALKVDKLRNTPLIA >CAK8540242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546742801:546761707:-1 gene:gene-LATHSAT_LOCUS9267 transcript:rna-LATHSAT_LOCUS9267-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDSDSPSRDEDDEEEYEESGKGNGLFGFMFGNVDNSGDLDADYLDEDAKEHLSALADKLGPSLTDIDLSGKSPHTPHGIVEQDCGEKADDAVDYEDIDEEYDGPETETANEEDYLLPKKEFFAAEASIEALEHKTSVFDDENYDEESEKEQDLESNDAKVDNISLAVEQEESFVDASKGGNALEHDLQVGSPQTEELDTDVQIPEEGPEALKRSIAAPLPVLYVDDGKVVLRFSEIFGIQEPPRKGEKKERRHSIPRDRYKSLDLSDDIVEEDEEEFLKGFSQSLTLSEQVCIVDTDVSENNNVDLEFPKFGFLHGDASLTAKDDRQPKDSCLSGEPMKGDFADELSWKDHPLMLANFYPLDQRDWEDEILWGNSPVASDNDNNIGSCEISGPELRASGDGEMEIESGIQNIQSDPHKIPEKRDHNVFICCSPVSLDPFDSRDSNEAKTNSISESPFHPQLLRLEVDGSHLADDREADISENHNQSGQVKRLTKVMSQNRDLMDDSWINKIMWEELDRPKIKPKLIFDLQDNQMHFEVLDSKDGTHLRLHAGAMILARSLNSTNVDSSELPGVGGQYGWRYVANDKHYSNRKTSQQLKSNSKKRSAHGVKIFHSQPALKLQTMKLKLSNKDIANFHRPRALWYPHDNEVAVKQQGQLLTKGPMKIIMKSLGGKGCKLHVNAEETISSVKAKASKKLDFKASEAVKIFYLGRELEDHISLIAQNVPPNCVLHLVRTKIHLWPRAQRVPGEDKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGARLCTYYQKSSPEDQSGSLLRNTDSSLGHVISLDPADKSPFLGDLKPGSSQSSLETNMYRAPIFTHKVPPTDYLLVRSSKGKLSLRRIDKVNVVGQQEPLMEVFSPGSKNLQTFMMNRLLVHMCREFQAAEKQHLSPYIRIDYFLSQFPFLSEASFRKRIKEYANLQRGANGQSIFVKKRNFRMWSEDELRKMVTPELVCAFESMQAGLYRLKHLGITETHPNNISSAMSRLPDEAIALAAASHIERELQITPWNLSSNFVACTTQGKENIERMEITGVGDPSGRGLGFSYARAPPKAPVSSAVVKKKAAAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPEEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTIGKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLAALNGDDNESDSEGNSDLDSFAGDLENLLDAEEFEEGEEGTNDLKRDKGDGVKGLKMRRRTTLAQAEEEIEDEAAEATELCRLLMDDDGAYRKKKKNAKVMVDARRLIPKLQPKFVLDSTEQVKQITNTTLQLDGTNHFKDDAITDHREDDKFSAKKSKSVKVNKAKKSDISPISISNKKIKLNMGEGIKNQVFKEKKPSRETFVCGACGQLGHMRTNKNCPKYGEDLEAQLEPMDMEKLTGRSSFVDSSSQSQHKLSSKKSISKIVTKIAQVENSTKIPLKFKCSSAEKSSDRPAIETLQSSDKPVTSDSETAKSAKISKIIIPNKVKSDDTQAESLKHAIVIRPPTDPGRGQVESHKFPIKIRPPAEIDREQTHKKIVIKRTKDVADLELDSPGGNTGLEYRKTKRIVELANFEKHRKQETMYSTESMGKWNAKEDKKRWEEQEKRRNEARLKENNAKKFGTEERRMRKERERLDELKRYEQERLDELKRYEEDIRREREEEERQKAKKKKKKRKPDIKDDYLDDPRERRYGKRMLERERSGKRRSVVETGKISGDFMPQTKRRRGGGGEVGLANILESIVDAIVKDRHDLSYLFVKPVPKKEAPDYLNVIETPMDLSKIRQRVRNMEYKSREDFRHDVWQITFNAHKYNDRRNPGIPPVADMLLEYCDYLLNENDDNLTAAEAGIESKDS >CAK8540241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546742801:546761707:-1 gene:gene-LATHSAT_LOCUS9267 transcript:rna-LATHSAT_LOCUS9267 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDSDSPSRDEDDEEEYEESGKGNGLFGFMFGNVDNSGDLDADYLDEDAKEHLSALADKLGPSLTDIDLSGKSPHTPHGIVEQDCGEKADDAVDYEDIDEEYDGPETETANEEDYLLPKKEFFAAEASIEALEHKTSVFDDENYDEESEKEQDLESNDAKVDNISLAVEQEESFVDASKGGNALEHDLQVGSPQTEELDTDVQIPEEGPEALKRSIAAPLPVLYVDDGKVVLRFSEIFGIQEPPRKGEKKERRHSIPRDRYKSLDLSDDIVEEDEEEFLKGFSQSLTLSEQVCIVDTDVSENNNVDLEFPKFGFLHGDASLTAKDDRQPKDSCLSGEPMKGDFADELSWKDHPLMLANFYPLDQRDWEDEILWGNSPVASDNDNNIGSCEISGPELRASGDGEMEIESGIQNIQSDPHKIPEKRDHNVFICCSPVSLDPFDSRDSNEAKTNSISESPFHPQLLRLEVDGSHLADDREADISENHNQSGQVKRLTKVMSQNRDLMDDSWINKIMWEELDRPKIKPKLIFDLQDNQMHFEVLDSKDGTHLRLHAGAMILARSLNSTNVDSSELPGVGGQYGWRYVANDKHYSNRKTSQQLKSNSKKRSAHGVKIFHSQPALKLQTMKLKLSNKDIANFHRPRALWYPHDNEVAVKQQGQLLTKGPMKIIMKSLGGKGCKLHVNAEETISSVKAKASKKLDFKASEAVKIFYLGRELEDHISLIAQNVPPNCVLHLVRTKIHLWPRAQRVPGEDKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGARLCTYYQKSSPEDQSGSLLRNTDSSLGHVISLDPADKSPFLGDLKPGSSQSSLETNMYRAPIFTHKVPPTDYLLVRSSKGKLSLRRIDKVNVVGQQEPLMEVFSPGSKNLQTFMMNRLLVHMCREFQAAEKQHLSPYIRIDYFLSQFPFLSEASFRKRIKEYANLQRGANGQSIFVKKRNFRMWSEDELRKMVTPELVCAFESMQAGLYRLKHLGITETHPNNISSAMSRLPDEAIALAAASHIERELQITPWNLSSNFVACTTQGKENIERMEITGVGDPSGRGLGFSYARAPPKAPVSSAVVKKKAAAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPEEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTIGKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLAALNGDDNESDSEGNSDLDSFAGDLENLLDAEEFEEGEEGTNDLKRDKGDGVKGLKMRRRTTLAQAEEEIEDEAAEATELCRLLMDDDGAYRKKKKNAKVMVDARRLIPKLQPKFVLDSTEQVKQITNTTLQLDGTNHFKDDAITDHREDDKFSAKKSKSVKVNKAKKSDISPISISNKKIKLNMGEGIKVFKEKKPSRETFVCGACGQLGHMRTNKNCPKYGEDLEAQLEPMDMEKLTGRSSFVDSSSQSQHKLSSKKSISKIVTKIAQVENSTKIPLKFKCSSAEKSSDRPAIETLQSSDKPVTSDSETAKSAKISKIIIPNKVKSDDTQAESLKHAIVIRPPTDPGRGQVESHKFPIKIRPPAEIDREQTHKKIVIKRTKDVADLELDSPGGNTGLEYRKTKRIVELANFEKHRKQETMYSTESMGKWNAKEDKKRWEEQEKRRNEARLKENNAKKFGTEERRMRKERERLDELKRYEQERLDELKRYEEDIRREREEEERQKAKKKKKKRKPDIKDDYLDDPRERRYGKRMLERERSGKRRSVVETGKISGDFMPQTKRRRGGGGEVGLANILESIVDAIVKDRHDLSYLFVKPVPKKEAPDYLNVIETPMDLSKIRQRVRNMEYKSREDFRHDVWQITFNAHKYNDRRNPGIPPVADMLLEYCDYLLNENDDNLTAAEAGIESKDS >CAK8536164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913566695:913567006:-1 gene:gene-LATHSAT_LOCUS5558 transcript:rna-LATHSAT_LOCUS5558 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8542959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562425828:562426657:1 gene:gene-LATHSAT_LOCUS11754 transcript:rna-LATHSAT_LOCUS11754 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVIHFNLENTSFDLRCETVLHPGFNSTVRIEKNRSKVRHRQKKFGNIAQNNVVYKCHFCSHQNIKRGTPKGHLKKICPAKDKPSLESMPTAKPIVHKSLKLEKHMVSKDEGGEIHTVGSEVVVKDVTPGKGLETPFSTSTPTLLEAKKRSRNNSASKNTIETPSMSARVNTQSTASKRRKKSWTSLKEIAKSKERDNSEVTNLTIPYFS >CAK8574434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681655129:681663617:-1 gene:gene-LATHSAT_LOCUS26782 transcript:rna-LATHSAT_LOCUS26782 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVRKLRRVGIVLGISNALVFLIGALLISQTYLLCDLRSLLPFAAVSFAAAIRIIVMFQTAVAQQSAATFILDDDSSSTTDRLLLRYHQRGRYRNWLWWSWCTVALTVIQFLCATYLVSKSAVYFAKDTAPAVCLLELGWNLHWRNHALLSIFMVLVCLVALAQCFAGSDVLRWRSFYESHHNAWKSHYREVFDNGLRETLCCLGRVKYLTAKEEDEVYSVARLLGDLVAYRASGTGHMELLAALALLQHNQKSSESSEGSMDAPETRVKEAATLHKFAEAAYTGPLLDVGRNPLMFLCLWLYRQGVLSPWARNRRPALEGDNWWRGHASAFLKYTNLPPEALRHGRVNQAKCEAAYFIVVLHHLQTVLITIRGTETPEDLITDGLCKECTLSADDLSGLINSNYIHHETHKNVTSTFPHYGHSGIVEAAREVYMQIEGNPGEHDTESYGLLSKLLGFGCECFGYNVRIVGHSLGGAIAALLGIKLYNRYPNLHVYSYGPLPCVDLVVANACSSFITSIIYGNEFSSRLSIGSMMRLRAAAITLLAQDPKADSAMIFRLARRFLFISQYERNNQEADHEVLCDIADKGSNNQVVQDASLWTEASKRDLLVTADHGDDDDEHDEISLWADSRASDHIVEINNAEFTNSFASDVHSRDDPVSQFIDSVPTSENQSADDPPEMYLPGFVIHIVPDKKKPQTDRKRFWRTMERDRCYRAYIANRESFNDIIVSPSMFLDHLPWRCHKALEKILKDQTAKDQITECHLI >CAK8565153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:49195283:49196118:-1 gene:gene-LATHSAT_LOCUS18388 transcript:rna-LATHSAT_LOCUS18388 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDLEKHFAFYGSYHSNPINIIIHVFLVWPIVFTALLFLYFTPPILSPSQTLLNFLPSVLIFNFGFFFAVFYALFYVALDVKAGSFVAVLTLLCWAASSFVANLIGFELAWKVVLGAQLFCWSGQIFGHLVFEKRAPAFLDNLSQAFVMAPFFVILEILQTTIGYEPYPGFETKVKARIDANIKEWKAKQQKKLA >CAK8537053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:67541820:67543415:-1 gene:gene-LATHSAT_LOCUS6370 transcript:rna-LATHSAT_LOCUS6370 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMDTCFPVFIRMELARPDDQILGGNHQLYNVLITTHAFLMIFFMVMSAMIGGSGNWSVLILIGAPEMAFPRLNNISFWLLPPSLLLLLSSALVEVGRGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMHGPGMTMHRSPLFVWSVPVTTFPLLLSLLVLAGAITMLLTDRNFNTTFSDPVGGGDPIVYQHLFRFFGHPEVYIPLLPGSDIISHIVSTFSGKSVFGYLGIAYAMISIGVLGFLVWANHMFIVGLDIDTRAYFTAFTMIIAVPTRIKIFTWIVTMRGGGGGGSIQYKTPMLFVVGFIFLFTIGGLTRIVSANSGLDIALHDTYYVVAHFHYVLSKGAVFALFARVHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLLGMPRCIPDYPYAYAGWNALSSFGSYISVVRIRRFFVVITITSSSGNNITRANIPWAVEQNSTTLEWLVQSPPSFHTFGELPAIKETKSYVK >CAK8579060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671084566:671085067:-1 gene:gene-LATHSAT_LOCUS31033 transcript:rna-LATHSAT_LOCUS31033 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVTNTFLQGKPFLPLPTKNFQQQQGKKVGNFGVWCKKKDIHPEFYEDAKVYCNNELVMTTGGTQKKYVVDVWSGNHQFYLGNRSSNMVNDDQVEKFRKKFGDLSDIMEIPVLKGEIVVPSRRKGIKSGGGKKK >CAK8530790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50249680:50250099:-1 gene:gene-LATHSAT_LOCUS631 transcript:rna-LATHSAT_LOCUS631 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSDKFRMTDIYLAICSNAPKVMWKNILRNNVARPRALITMWFACHGRLATKQRLFRFGMITDDRCCLCTKEEETINHVLFCCPETVHIWTKVLDWIQIPHVPRLWDEEMDWVCKSTSGKGWRASLLKLAITETVYGI >CAK8539678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521475293:521475727:-1 gene:gene-LATHSAT_LOCUS8756 transcript:rna-LATHSAT_LOCUS8756 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSFYLSLKGSFSFNLCRRNDILEKKGLKPPNYLKTGTTIVGFVFQVADLLVFVTSARSSSEETASHYIDMFGNQCLSVFKSLGFEMFAITNFLLSNYFIKPKVELIQWCKIE >CAK8561443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:216218319:216225881:1 gene:gene-LATHSAT_LOCUS15035 transcript:rna-LATHSAT_LOCUS15035 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSAAGVDALGASNNQNLNLLENVPQDGALFDASQYAFFGKDVAEEVELGGLGDENDYIPSVEFNEEEFFLNAEEAEDVKSSSEIDDLTTTFLKLNKTVSGPRNAGVIGDRVSRENSSASEWSQRDDVPYWVDYHTCDSEGSQDGKRWSSHPHSSVTHLQEPKPLCRTLSYPEQQRQLQYHLEHRSSEPVPNWFDQHFYDSETTGDEKRWSSHPHSSISNTEEPRPLYRTSSYPDKRQELPRFSSEPVLVPKSSFTSYPPPGGRSQQSSSNYSTGHLNIPYAGGAHMALSSQNPSHLFNSALHLGGSKYEPHFSGNLAQFNTGSPLNNQIQNQWVNQTGLYPGDNSNLLNMLQQQLYHHSGSVSPHLRTQLQQQPHRLPHPVQQSAALMSGLQPHLFNRHLSPGSSISRKYEHVHGFGDVREHRQKSTRRHRISQQGSNASSQKSDSCSIQFRSKYMTSEEIESILKMQLAVTHSNDPYIDDYYHQACLAKQPSGAKFKFSFCPAKIKDISSRSRANSESYRFLQVDTLGRVSYLPIRQPHPLLEDDPPNSSASGGTERNISEKPLEGEPLFAARVTIEDGLCLLLDVDDIDRFLKCNLLQDGGTQFLRRRSVLLEGLATSLHLVDPLGKNGHKAGLAAKDDLVFLRLASLTKGRKLLAKYLRLLVPGSELMRIACMAIFRHLRFLFGSIPSDSASAETTSDLAMVVCQCVQGMDLGSLGACLAAVVCSSEQPPLRPIGSSAGDGASLVLVSVLEGATKLLTDHHTASNNKLGNRPFWQASFDEFFDLLTKYCMNKYQSIMQSLLAQGAQNLPVIGSDAAKSVSKEMPVELLRASLPHTNDRQRKLLLDFAQRSSPAVGFNKYAGSSTSRVNSETVIS >CAK8566621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443132547:443133155:1 gene:gene-LATHSAT_LOCUS19739 transcript:rna-LATHSAT_LOCUS19739 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVLTVVILAHVWLLMATTSIAQIVIDTSGDAVEDDEEYFIRPAITGNGGGSTLITGNAPCPLQVGLVTTDLGHGLPVVFTPFVSRDDEDDILLDRDLRVTFVASSTCAQSTSWRVGEKDATSGRRLIITGRDDSTVGSYGNFFRIVPTQTRGIYNIQWCPAEVCPSCKFECGTVGVIRENGKILLALDGGALPLVFQKE >CAK8543350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602346769:602347385:-1 gene:gene-LATHSAT_LOCUS12112 transcript:rna-LATHSAT_LOCUS12112 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEILLPHDCLHERIRVPPTSFSRRRTYGNFHNQYNHYGNSFYGVTNSGRINVNWRPVNRPEQRKRVAVLERRPSYGDLKVTTERRPSYDDLKMAKGSELVMEKVMILRRGESLDSKMNKEGLRRKTGCEVYAGFTFSMSLSSSALQIPSFQKKFLSMAVDDSATRDLRRLLRIA >CAK8540187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543729987:543730695:1 gene:gene-LATHSAT_LOCUS9216 transcript:rna-LATHSAT_LOCUS9216 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLEDVNKIKTYSWGSATLAHLYRSLCNNSIANSGNWIGCGVLLQAWGWSRMTNLAPIQQNNFEFPFAKRWSSLGMNYDNCPHYSITQYRNLIDHLGQDDFIWRPYLGLEAFHEVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPEKYHTGKVSDQWE >CAK8574743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7912329:7912700:-1 gene:gene-LATHSAT_LOCUS27057 transcript:rna-LATHSAT_LOCUS27057 gene_biotype:protein_coding transcript_biotype:protein_coding MDIENCNCLGSRNRNIWSSYERIGHDPIVCVNEFMSKIKIARLKTLWRKIKRDKKRRIIRSSSPNFVYDPCSYLQNFDDGYINDHDHFSRSFSARFAARCSKMIVKNIEVMNDEEILEINQES >CAK8562775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:535727802:535731572:1 gene:gene-LATHSAT_LOCUS16244 transcript:rna-LATHSAT_LOCUS16244 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLQTPLHASISSSPASSSSIHTTRSLSFLRSTPPQTLLFNFKNSFLRIRASSADTVITELDAVSAFSEIVPDTVIFDDFQKFPPTAATVSSSLILGICGLPDTIFRNAVDMALADSECYGLEIPNARLSCFATKALVNVGSDMAKLVPGRVSTEVDARLAYDTHGIIRKVHDLLKLYDDSNVPHERLLFKIPSTWQGIEAARLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRVRDWARTHSDDEEIEAAKLRGEDPGLALVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVASPEEKYSFVRRLSPQSARRYMFSDEELIKWDQMSLANAMGPAAVQLLTSGLDGYADQAKRVEDLFGKIWPPPNV >CAK8566301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404512330:404514334:-1 gene:gene-LATHSAT_LOCUS19440 transcript:rna-LATHSAT_LOCUS19440 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKTLCKPHTVFSFINCRHQFRFKASLWNPNSKPLLQSPWLYSSWLVTSGSSRPMPRFRLNQKRTVAKATNWDQQKTPYETLELEGDEDDEKIKVAYRRLAKFYHPDVYDGRGTLEEGETAEARFIKIQAAYELLIDAERRKQYDMDNRDNPIKASQAWTEWLMKKRKAFNQRGDMAIAAWAEQQQRELNVRVRQLSRSKVDPEETRKILAREKKASAEHFSSTLKRQTLVLKKRDLMRKKADEEMKKTISQLLAAEGLELDDSDEES >CAK8534765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765507227:765507919:-1 gene:gene-LATHSAT_LOCUS4280 transcript:rna-LATHSAT_LOCUS4280 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLAEAINSVLKATRNLPITALIKSTFYRLGSVFEKRGHDWTKLLVSSQTFTDNCNKGMAEEASKSSSHNVIQFDRERFCFMVAERINQHYGRPLGTFSVDLKRGWCDCGRFQAFHLPCSHVIATCASIRQNHNMHIPDVFKILSVFKVYRESFLGLPHHENWPTYEGFTLCHDETMRRNKKRRPNSTRIRTEMDDLEKEKRRCEICQEIGHIRRKCPKVAGLSNRPV >CAK8543801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640513621:640518001:1 gene:gene-LATHSAT_LOCUS12535 transcript:rna-LATHSAT_LOCUS12535 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLLFFFFFTSSLFLLLPFSSSQIMQGFISLDCGGAESYTDEIGLDWTPDNKLTYGEIATISVANETRKQYTTLRYFPADSRKYCYTLDVISRTRYLLRATFLYGNFDKNNVYPKFDISVGATHWSTIVISDATSIEMRELIFLASSSTVSVCLSNATTGQPFLSTLELRQFNGSIYFTEFEKQFYLSVSARINFGAESDAPIRYPDDPFDRIWMSDSIKKANYLVDVAAGTEKISTNVPVFVNRDEIPPMKVMQTAVVGTNGSLTYRLNLDGFPATAWAVTYFAEIEDLRPTESRKFRLVLPGQPEISKIIVNIDENALGKYRLYEPGFVNLTLPFVLSFKFGKTSDSSKGPLLNAMEINKYLEKNNGSPDVEAISGVLSRYSSANWTQEGGDPCLPVSWSWIHCSSDPQPRIISILLSSKNLTGNIPSDIIKLVGLVELWLDGNMLTGPIPDFTGCVDLKIIHLENNQFTGVLPASLVNLPSLRELYIQNNMLSGAVPPELLSKNLVLNYSGNINLHRGSKTKSRIYIIIGSAVGASVLLLATIISCLVMHKGKKKYYEKDHIVSVPTQRPASWKSDDPAESAHCFSLAEIESATNNFEKRIGSGGFGIVYYGKLKEGKEIAVKVLRNNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCREEDNSILVYEFMHNGTLKEHLYGPLVHGQSISWIKRLEIAEDSAKGIEYLHTGCVPVVIHRDLKSSNILLDTHMRAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNESFGIHCRNIVQWAKLHIESGDIQGIIDPLLGNNYDLQSMWKIAEKALMCVQPHGDMRPSISEVLKEIQDAISIERETETLRECNSDEVSRNSFHSSMNIGSMDLGRVGSFLSIDETIAQPTAR >CAK8534290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713238940:713239311:-1 gene:gene-LATHSAT_LOCUS3845 transcript:rna-LATHSAT_LOCUS3845 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAINCSLVTSIHKSPEAKTIKDMRHISCCSTIYKIIFKILTTRLGKVLNKLIEDIQSAFVSGRTIHDNIMLAQELVRGYNRKHVFPRCMVQMEIQKAYDIVEWQALAQVMIKLGFHQVFNY >CAK8570099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23727173:23727502:-1 gene:gene-LATHSAT_LOCUS22882 transcript:rna-LATHSAT_LOCUS22882 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVFRLVENPQAEASEGRHGKRKMLVYLPTGEVVNSYVFLERILTGLGWERYYDGDPDLYQFHKHSSIDLISLPKDFSKFNSINMYDIVVKTPNVFHVRDK >CAK8542940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561376225:561378459:1 gene:gene-LATHSAT_LOCUS11737 transcript:rna-LATHSAT_LOCUS11737 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKKSLLQHQNTSEEEEPLTKRVWQESKKMWIIAGPAIFNRFSTFGISVVSQSFIGHIGPKELAAYAIVMTVLVRFANGILLGMASALETLCGQAYGAKQYDMLGVYLQRSWIVIFGASILLLPIYIFTIPILEALGQDKHIAVIAGSISLWSIGIVFAFSVSFTCQMFLQAQSKNKIIAYLAAVSISIHVFLSWLLTVKFKFGLNGAMTSILLAYWIPNLGQLFYIMKKCPNTWKGFSLLAFSDLWSVTKLSLSSGAMVCLEIWYTTILILLTGHMKNAEIAIDALSICMNINGWEMMISLGFMAAASVRVSNELGRGSSKGAKFSIVIIVLTSFAIGFVLFFIFLFLRERLAYIFTPNPDVAKAVGDLSPLLSFSILMNSVQPVLSGVSVGAGWQKVVAYVNIGSYYLIGIPFGLLLGNYLHLQVKGVWIGMLFGIFIQTLVLITITCKTDWDNQVVVARNRVNRWAIVEKDETIGASSLSS >CAK8576536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489298081:489298914:1 gene:gene-LATHSAT_LOCUS28715 transcript:rna-LATHSAT_LOCUS28715-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFSITLTTKIPKFASSTHHKSHNSQITHLRFKPSPIRTLLHSTRKPINLRTNAVSVQCLFTGLVEEIGTVKQIGASPDGGFDLKVNATTVLDGVNLGDSIAVNGTCLTVTEFDTETSDFTVGLAPETLRKTSLSELEAGSQVNLERAVTPVTRMGGHFVQGHVDGTGEIVSMVPEGDSLWVKVRVEKELLKYIVPKGFIAVDGTSLTVVDVFDDEVCFNFMLVEYTQEKIVLPLKKVGQKVNLEVDILGKYVERLLSSGFVSSIATKVDALKR >CAK8576535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489298081:489299107:1 gene:gene-LATHSAT_LOCUS28715 transcript:rna-LATHSAT_LOCUS28715 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFSITLTTKIPKFASSTHHKSHNSQITHLRFKPSPIRTLLHSTRKPINLRTNAVSVQCLFTGLVEEIGTVKQIGASPDGGFDLKVNATTVLDGVNLGDSIAVNGTCLTVTEFDTETSDFTVGLAPETLRKTSLSELEAGSQVNLERAVTPVTRMGGHFVQGHVDGTGEIVSMVPEGDSLWVKVRVEKELLKYIVPKGFIAVDGTSLTVVDVFDDEVCFNFMLVEYTQEKIVLPLKKVGQKVNLEVDILGKYVERLLSSGFVSSIATKLLV >CAK8567711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538312450:538314930:-1 gene:gene-LATHSAT_LOCUS20736 transcript:rna-LATHSAT_LOCUS20736 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGNVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVLQMTYKNAVCFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVVNPSIIPYEDVEDGDGEEENEAQVDDLYTTLFEEGIEVNIDDQCVPLENVFIPPAHMTTLPLSIEGTSFDWPRNPRFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVLDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNSNIFPVAFALVEGETAEAWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWQDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8566072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:376816501:376816965:-1 gene:gene-LATHSAT_LOCUS19239 transcript:rna-LATHSAT_LOCUS19239 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTSSSMSLPPPINSSIRNVRNKKVAKNALGQRQDVAWKHGTPVNDGSRNIKCNYCHSEYSGGAFRFKHHLAGTNSNVESCVSVPDEVRKQMWTIVHRLQSKLIKKRTLSEDIEVVDVEDGKRKKVESSGLANIFKRAITSQSTINDAFKKK >CAK8537087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78507393:78508556:1 gene:gene-LATHSAT_LOCUS6403 transcript:rna-LATHSAT_LOCUS6403 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPQDIAFEIFSWLPAKSICKLTSTCTSISNFSKETFFKTKQALNLLETDDSCIFIQPNQVSQRYEKRVELFSLPKERPSSGVPYNVLAFLSNSVCVLDSSNGLLLCHTINDHSIELFICNPITKSCFFIPTPKSLQKNRNFCSINLMLDCSQRSSNDDYSVFFFEFTLDWWPTHYICNVYHGKEGVWKTMEHNFSPGERNMKFDMPVFHKGALHFISDNGPYISKSSTFYKPYIMSYNLENGNSKMLKLPWKAIQGCHDSNMGIFNWGKVSSSNQSICLVTLKKFAFTVWCLRDYELGIWQKILKIRVKALGLKEEDPNVTGFMVMNGHLLVFATEENVYSCDVGDEECMMVEEIGQHKCGLHPRFISYSNTLRPCGTNARDMSY >CAK8531629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132682094:132683519:-1 gene:gene-LATHSAT_LOCUS1405 transcript:rna-LATHSAT_LOCUS1405 gene_biotype:protein_coding transcript_biotype:protein_coding MIICWNVIGINNAGKSREVFARINNLNPALSILVGTRVKHHKAQSIRNKLGTKRKYLDNYEKHYNGKIWVLQDETRLKVKKLNSSHHFIHLEMENIHDGKKKWCTTIYGLNTLDMRKRLLRRDIENLPSNSYWFIIGDFNNVCSALDRISGKDVVEGEYTDLTNMMENMGLYEKDSVGDHFTWFNNHDHEAIYSIIDRVIGNMIWIQNNMNTPFRITEAGVANHALLCLEGLSVNQPKKPMFKFQNVVINLEGFHEAVRLNWNHQVEGTAMFIMWKKLLNLKNTIRDLSKPLNGVKEQLESARNRLKEAQILLERDMLNSRLIEETKTLTEDVIILNNIEEPLIYQREKIN >CAK8571357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390188250:390202821:-1 gene:gene-LATHSAT_LOCUS24024 transcript:rna-LATHSAT_LOCUS24024 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSHSTNNFLSLSSSNCRLSNGSYCVGRKVSDLHCLLFSKWSRTRKGCLIQHDLLSLSNGHGSAGCKKYYLTFSKAGRSLHLFPFATSDDGMAVNGSPLADTNANLEKMRVKLDSSLEDENFYDGLVQALNDAARVFELAVKEHKSYSRVSWFSTAWLGVDQTAWMKALSCQAAVYSLLYAASEISSRGDSRDRNVNVFVQRSLLRLSAPLESLIRENLSAKQPEVYEWFWSEQVPAVVTSFVNKFEGDGRFTSAIALSGKTKGLSSASDVSLLLLALTCIAAIAKLGTAKVSCSQFFSMSTEITGSLMDMLVGLIPISQAYNSITDVGLHREFLVHFGPRAAAFRAKDEWGSEEVVFWVNLVQRQLQQAIDKEKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTRTFLLANGFDTLDDPIEDFIRYLIGGSVLYYPPLSSISSYQMYVEVVCEELDWLPFYPGITSITKQLHGHDKPEGPPNAEAVTQAFDVCSHWMQSFIRYSTWLESPSNVKAAEFLSTGNNKLMECMEELGMIKDKTLESDTKKIVDRQSSTIQSTIKGSGSFDEALKSVEESVIKLEKLLQELHVSSSSSGKEHLKAACSDLEKIRKLKKEAEFLEASFRAKADSLQEGVSSAQTITPVGEEDKLIKGKSRKNDNVRVDRNKRQIGKSRGFWSIFVPPVTRKPDLEADVDAYENFIEQPAPNVAVEDQESNEIHRLELLRDELMQLEKRVQRSAYQSENNEDLMIIDNGARYSGDAGDGQIARVQKKENIIQKSFDKLKEAGTDVWQGTQLLAIDAGAATGFVRRTLIGDELTEKERKALKRTLTDMASVVPIGVLMLLPVTAFGHAAMLAAIQRYVPALIPSTYAPERLDLLRQLEKMKQMTINDVDSDEEVDEVK >CAK8573749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634754060:634755669:1 gene:gene-LATHSAT_LOCUS26157 transcript:rna-LATHSAT_LOCUS26157 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFKGIRKSFKYITQIFVVKEREMEIGYPTDVKHVAHVGWDGPSGNGPSWMDDFKKVPDFSTSIGNLGEISDSDPTAVTSLWSSLESQQSSSIYNGLSSVAGNPSIREKPKQKKLKSPSSSRSPSSSSRRSRASKSKASFNNEREATPIALV >CAK8533150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585467213:585471426:1 gene:gene-LATHSAT_LOCUS2797 transcript:rna-LATHSAT_LOCUS2797 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPSFPQPSKRRRINHVYSMATITEMSERFGREIRVFETFSLSPTQNDAANKEEETDEFYEFTAADYYKLLGTKKEDKYLKTRKLREADEAARRSRITKAVIRVRFPDNHTLEATFHPSDTIQSLIDLLNKVIAQPEKPFYLYTTPPKKVIKDFSQDFYTVGFCPGAIVYFSYDVSKGNSSDDGPYLLEEVMSLKGAIDQGEPSEPLQSEPVSAEPVAQAPAVEERKPAEKKIVKPKWLKM >CAK8576612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497648285:497654056:-1 gene:gene-LATHSAT_LOCUS28786 transcript:rna-LATHSAT_LOCUS28786 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIPSTLLPRSLHLPPRQNPNLSHFSTASYRHLPLHFFTPPLPPYLTLSTPALHRTRLLTARAVQGQELTGDGLSIPAEHEGVLEKAEEETVTKSREKELAEQGIWIQMKEIIKFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMSYVFMFLSVATSNMVATALAKQDREEVQHHISVLLFIGLACGFLMLLFTWLFGATTLTAFTGSKNAHVVPAANTYVQIRGLAWPALLVGWVAQSASLGMKDSWGPLKALAAASVINGIGDIVLCTYLGYGIAGAAWATMASQVVAAYMMMRALNMKGYNAFALSIPSGRELLTILGLAAPVFITMMSKVAFYSLLIYFAASMGTYTMAAHQVMLQTFCMCTVWGEPLSQTAQSFMPELLYGANRNLSKARMLLRSLAIIGATLGLLLGVVGTSVPFLFPYIFTHDQMVIREMHKVLIPYFIALAVTPPTHSLEGTLLAGRDLRFISLSMSGCLCGGALVLLILCSRYGLQGCWVSLALFQWARFSMALLRLLSPKGILYSEDIDHNRIQKHRTA >CAK8575247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:58707251:58708489:-1 gene:gene-LATHSAT_LOCUS27526 transcript:rna-LATHSAT_LOCUS27526 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGRRITVSPRPCCGRRVIAKKTGRGGTGDGFVNSVKKLQRREISSKCDRAFSMTDAQERFRNISLQEEYDTHDPKGPSALILSFLRKRSKIIEIVAAQDIVFALAQSCLCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRGKHDSGFPLFESESLKWPGFVEFDDVNGKVLTYSALDSVYKVFDLKNYSMLYSISDKNVQEIKISPGIMLLIFAKASSHVPLKILSIEDGTVLKSFNHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELREVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTFFEDHLLWHPDCNTNNIYITSEPDLTDSADDFVVNICRHFAMIFHVDSSFSKGPAYGAECCT >CAK8531074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78366158:78367342:-1 gene:gene-LATHSAT_LOCUS889 transcript:rna-LATHSAT_LOCUS889 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRHAFRHYSTILSPNSSTPLTSKEKTRSALRLLKSETNPETIIEICRAASLTPESHLDRLALSRAASKLTAAKHFDALRQFIDELLQTRSDLRNERFVSHAIVLYGQANMINQALGTFNFMRENLNIVPTVKSLNALILASLIAKNHKEVTRIYLEFPRIYSIQPNVDTYNLVIKSFAESGSTSSVFSILDEMDRNSVRPNATTINNSIGGFYNEKKFEEVGKLLNLMEERYKLYPGLSTYNVRIQSLCKLKRSSEAKALFQGMITRGRKPNSVSYSHLINGFCREGDLEEAKRLFADMKKRGFRVDGECYFTLVYFLCESGEFESALEIAKECIGKGWVPNFTTMKKLVNGLVGVSKVDDAKEVIKEIKEKFAENSEQWSEIEEGLPQE >CAK8538459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483253795:483254448:-1 gene:gene-LATHSAT_LOCUS7651 transcript:rna-LATHSAT_LOCUS7651 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKGAPRVDRSPVAGPNPPAAPEIRYRGVRKRPWGRYAAEIRDPWKKARVWLGTFDSAIQAARAYDAAAISFRGAKAKTNFPIPPEALAALLPLPPPPPVNRRPKPAVLAKEDNTVYVNYPTSSGMSSTVESFSGPRVGSSSTSRVPVFVPINPVVAAEDCHSDCDSSSSVVDDDEDCVVVYSPACRPQPRNIDLNLPAPMNYNDEEIRATALHL >CAK8561464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:235260478:235260972:-1 gene:gene-LATHSAT_LOCUS15053 transcript:rna-LATHSAT_LOCUS15053 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVQSINLGAKELALYGQSALVPFQGLFDPIKKQRPRPKVDLDEETDRVWNLLLLDINHDGVDGTDEDKAKWWEGEGNVFRGRAESFIARMHLVQGDRRFSRWKGSIRDSVVGVFLTQNVTNHLSRYIFF >CAK8578726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650049314:650058202:1 gene:gene-LATHSAT_LOCUS30712 transcript:rna-LATHSAT_LOCUS30712 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLAACIIFFPFLLGLNLTLNQIRKLTTWIFNTYLRSEKNLLKAYGSWALITGATDGIGKALSHQLAQRGLNLILVSRNSKKLETVRNEIQTKHSHVQIKTVTIDFSGEISAGLVEIEALARVLDLGVVINNVGITYPKAMFFHEAEEETWMKMVRVNIESTTRITKAILGGMMERKKGTIVNIGSGAAVVVPSHPLFTIYAATKAYVDQFSRSLHMEYKQYGIHVQCQVPLYVATNMVSRVASIGRDSLFIPTPEGYARAAIRKIGYEPRCTPYWAHSIQWAFARFIPDQLLDYWRMSIGLRRRNRKD >CAK8572456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538202330:538203385:1 gene:gene-LATHSAT_LOCUS25022 transcript:rna-LATHSAT_LOCUS25022 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKFAFLYGKLYLSLSGFKAAIVKFARRKGEDTLMVEIASQSIVQIGLLTTLPMVIEIGLERGFRTALGDFIIMQLQLAPVFFTFSLGTKMHYFGCTLLHGGAKYRATGMMYYQRALKLQAFLDMANEKEILDGYKVVTVPSEEDKKSHRSLYASLEAAADMKFTYIATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAFIDEVEEREGGKVQKVYYSVLVKAVDNHDQ >CAK8541796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:397775057:397775963:-1 gene:gene-LATHSAT_LOCUS10691 transcript:rna-LATHSAT_LOCUS10691 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDRKVGVAIDFSKNSKNALKWAIVNMADKGDTFYLIHINSNSSDESRNKLFAKTGSPLIPLEELKEAEVMKKYGVQTDVEVIDLLEIAATQKQVSVVAKLYWGDARQKLMDSIEDLKLDALVLGSRGLSTIKRILLGSVSNFVMVHSPCPVTIVKDYSSSSSSSE >CAK8570381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41643762:41668807:-1 gene:gene-LATHSAT_LOCUS23132 transcript:rna-LATHSAT_LOCUS23132-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSLNLHNNSSMAFSSALRLLTLSPFTPATRLSFLRRTTPDIRFLSASSRRRPSTSIKAASSGVGRRDEPGQDGNGSLAVVDSNGSDVVKKSEGRIVLTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPFKKCARVVGEVLGKFHPHGDSAVYDSMVRMAQDFSLRSPLVNGHGNFGSIDADPPAAMRYTECRLEELAEAMLLADLDQDTVNFVPNFDNSQKEPSVLPARLPTLLLNGSSGIAVGMATNIPPHNLGEVVDVLCAIIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGRGRVVVRGKTDVELLDSKSKRSAIIIKEIPYQTNKSALVEKIADLVENKSLDGISDIRDESDRSGMRVVIELKRGSDPLIVLNNLYRLTSLQSAFSCNMVGILNGQPKQMGLKEILQAFLDFRCSVVERRARFQLSKAQQRRHIVEGILVGFNNLDAVIHIIREASSNSIAAAGLRNEFNLSEKQAEALLDMSLRRLTLRESDNFVAENKSLTEQISKLEELLSTRKNILELIEQEAIDLKNKFASPRRSILEDTDDGQLEDIDVIPNEEMLLTLSGKGYLKRMKPNTFNLQHRGTIGKSVGKLKMNDSMSDFIVCRAHDYVLYFSDRGTVYSARAYKIPECSRTASGTPLVQILSLSDGEKITSIIPVSEFTEDQFLLMLTVQGYVKRVPLNSFSSIRSTGIIATQLVPGDELKWVRCCTNDDFVAMASHNGLVILSLCSKIRTQGRNTRGAQAMRLKKGDKMASVDIIPAAMWNNLETTSKLPGSNGKSHDGPWLLFISESGYGKRVPLSRFRMSSLNRVGLIGYKFSDEDCLAAVFVVGFALAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLDHAGKIQSASLISATEYEPEEVLDIAQV >CAK8570382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:41643762:41668807:-1 gene:gene-LATHSAT_LOCUS23132 transcript:rna-LATHSAT_LOCUS23132 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSLNLHNNSSMAFSSALRLLTLSPFTPATRLSFLRRTTPDIRFLSASSRRRPSTSIKAASSGVGRRDEPGQDGNGSLAVVDSNGSDVVKKSEGRIVLTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPFKKCARVVGEVLGKFHPHGDSAVYDSMVRMAQDFSLRSPLVNGHGNFGSIDADPPAAMRYTECRLEELAEAMLLADLDQDTVNFVPNFDNSQKEPSVLPARLPTLLLNGSSGIAVGMATNIPPHNLGEVVDVLCAIIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGRGRVVVRGKTDVELLDSKSKRSAIIIKEIPYQTNKSALVEKIADLVENKSLDGISDIRDESDRSGMRVVIELKRGSDPLIVLNNLYRLTSLQSAFSCNMVGILNGQPKQMGLKEILQAFLDFRCSVVERRARFQLSKAQQRRHIVEGILVGFNNLDAVIHIIREASSNSIAAAGLRNEFNLSEKQAEALLDMSLRRLTLRESDNFVAENKSLTEQISKLEELLSTRKNILELIEQEAIDLKNKFASPRRSILEDTDDGQLEDIDVIPNEEMLLTLSGKGYLKRMKPNTFNLQHRGTIGKSVGKLKMNDSMSDFIVCRAHDYVLYFSDRGTVYSARAYKIPECSRTASGTPLVQILSLSDGEKITSIIPVSEFTEDQFLLMLTVQGYVKRVPLNSFSSIRSTGIIATQLVPGDELKWVRCCTNDDFVAMASHNGLIRTQGRNTRGAQAMRLKKGDKMASVDIIPAAMWNNLETTSKLPGSNGKSHDGPWLLFISESGYGKRVPLSRFRMSSLNRVGLIGYKFSDEDCLAAVFVVGFALAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLDHAGKIQSASLISATEYEPEEVLDIAQV >CAK8571195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335608857:335609087:-1 gene:gene-LATHSAT_LOCUS23882 transcript:rna-LATHSAT_LOCUS23882 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQRQQELARRRSNYRQKKDKGKQVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISSPG >CAK8560393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17150395:17151444:-1 gene:gene-LATHSAT_LOCUS14069 transcript:rna-LATHSAT_LOCUS14069 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTVDEEESEGEIHVPAEIDWKMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAQSQVSCIKTAFSLIKGEGFRALYRGFGTSLMGTIPARALYMAALEVTKSNVGTATIGFGLAEPTAAAIANAVAGLTAALAAQLVWTPVDVVSQRLMVQGGCNSSDPKVSSVRYVNGIDAFRKIVKTDGPRGLYRGFGISVLTYAPSNAVWWASYSVAQRMVWGGVGYYLSSKKGGEGNDSNNGTNALRPDTKTIMAVQGVSAAMAGGMSALITMPLDTIKTRLQVMDGDENGRRGPNVMQTVRGLVKEGGWTACYRGLGPRWASMSVSATTMITTYELLKRLSTKSQDVLT >CAK8541688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:355027537:355028071:-1 gene:gene-LATHSAT_LOCUS10587 transcript:rna-LATHSAT_LOCUS10587 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMIPLQTILPTVTISNNHKLSFGGTTSLSCNKIHNNHKNRSSSIVVSAVGDVSSDSTIFLVTGAIGIALLGTAFPIIFSRKDTCPECDGAGFVRKSGVTLRANAARKDQTQIVCARCDGLGKLNQVDK >CAK8567310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502770657:502771256:-1 gene:gene-LATHSAT_LOCUS20374 transcript:rna-LATHSAT_LOCUS20374 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHIHAYANFSCELRIIQARNIEFIKSTKNLFARFYLPIGNNKRIQLNSKNVSANFGPFWDESFIIDCSCPQEFLENLNQQSLVLELRQKKMWGSQLIGKGEIPWKVILQSQNMELKKWLKMDLVSGSECKEVMLTTPEVEVEVKVRVSSVAEMEKQNKRRFDNWNECGCKERHDHNTWCNADDCDIFALGAALEAF >CAK8540197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544473220:544473924:1 gene:gene-LATHSAT_LOCUS9225 transcript:rna-LATHSAT_LOCUS9225 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQNIHQQDHHDQAHHHDHDHHHNHPQPHHRKPKKRIVTHQNGNTNFFIWLAAILCTIIAIGVVIGGVVVFVGYIVIHPRVPTISISNAHLDLFRNDIAGLLQTQLSIRVMAQNGNFKAHATFSNIKFKLSYQGQGIAYMVADTFDVPKNNSRFLDYVVQSSSIPLTPDQLEVVDESWKRNIVGFDLKGDARTQWRIGPFGSFKLGCKLECLLRFHPLNGSYIASRCTSQSK >CAK8533250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597239884:597242182:-1 gene:gene-LATHSAT_LOCUS2894 transcript:rna-LATHSAT_LOCUS2894 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPVVRFPIFATVRAIGVLIILLLLTWVLHFRGGLALFSDNKDLIFNVHPVLMVIGLVLINGEGMLAYKTISGTKNFKKSVHLALQLIALILSIIGLWAAWKFHNDKGIDNFYSLHSWLGLACLLLFFIQLAAGFATFWYPGGSRNSRVALMPWHVFFGTYIYALAIATTTTGLLEKATFLQTNNVISRYSNEAILVNFLGILVVALGGFVILGLVTPTFNKADVLRGNE >CAK8568753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639180512:639181636:1 gene:gene-LATHSAT_LOCUS21684 transcript:rna-LATHSAT_LOCUS21684 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQDGNNNVFPIAFALVDGETAFAWSFFLKNIRTHVAPQANLCLILDRHAAIKIAYNNHDNGWHNPPFTHVYCIRHIAQNFRRAIKDKNLRKKVVNAAYALTQPTFQYYLNEIRMSNADVGSWLDNIPLEKWTRAFDGGCRWSHMTTNLVESMNGVFKGIRNLPITALVRSTYYRLASLFATRGERWSTVLTSSRVFSESIMKLMKEDTIRASTHVVTVFDCHSQTFSVQKIMDHSEGRPNFSYVVRLNRCWCDYGKYQAFRVPCSHVIAACAHARQDAYGYLSDVYKAINVMNVYNEGFAVLPMEDYWSPYQGNIVWHNDDMRRNKKGRPNNKRIRIEMDTTDKMIRLCSICRQPGHNRKKCLNVGGTSAS >CAK8568754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639180974:639181636:1 gene:gene-LATHSAT_LOCUS21684 transcript:rna-LATHSAT_LOCUS21684-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVFKGIRNLPITALVRSTYYRLASLFATRGERWSTVLTSSRVFSESIMKLMKEDTIRASTHVVTVFDCHSQTFSVQKIMDHSEGRPNFSYVVRLNRCWCDYGKYQAFRVPCSHVIAACAHARQDAYGYLSDVYKAINVMNVYNEGFAVLPMEDYWSPYQGNIVWHNDDMRRNKKGRPNNKRIRIEMDTTDKMIRLCSICRQPGHNRKKCLNVGGTSAS >CAK8569140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675207665:675208351:1 gene:gene-LATHSAT_LOCUS22024 transcript:rna-LATHSAT_LOCUS22024 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLKLKNKILKILPKTVSAITLTFQNHPFSPSKDHKSKPRGGVKGFSGPIVTVKPKNVGNDYEEPTSPKISCMGQIKHKKNKNKKAKDIEVKKRVTTFQKMLFHVGKTKPDERRKSDACVALEERTRTTHNVSQMKRFASGRGTFDNFDWKAHVGEEEINNYYSDEERIESDDEDEEFMIPFSAPILVGGGGGGDHGLDLKPRKEINLWKRRTMAPPRPLQLDPVN >CAK8575309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:75257284:75269203:1 gene:gene-LATHSAT_LOCUS27582 transcript:rna-LATHSAT_LOCUS27582 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNDILLEGDVVDGAVDYHGQAAIRSKSGYWRSAWFILGVEVAETISFYGIQGNLISYLTGPLKQNTATAAKNVNVWFGTASLLPLLGGFVADSFLGRYRTIVLASLIYILGLGLLTLSAILPSLTKCSPQSQVVLLFISLYLVAIGQGGHKPCVQAFGADQFNEQHPKEHRDRSSFFNWLCFTTAAGCILTLPILNYIQDNYSWVLGFGVPFVVMIIALIVFLMGTMTYRFNIKDNDKSPFIRIGRVFFAAIRKWKYALPYIVIKEECDGMLPLQRSQQFNFLNKALLTPKGSKEENNCSISEVEEAKATLRLIPIWATSLVYGIFHAQISTFFTKQGKSMDITVFPGFDIPPATLQMISGISVVLFSLIYDRIFVPITRSITGKPSGITMLQRIGTGIFISICTMVIAVFVEIKRLKTAKEYGLVDDPNAIVPMSIWWLVPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGIGSFLSGFLISLIENLSGKDGDESWFCDNINKAHFDYFYWLLAGLSAVGFTLFVYFAKSYTYIHKGTITHA >CAK8576349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:465674093:465678339:-1 gene:gene-LATHSAT_LOCUS28544 transcript:rna-LATHSAT_LOCUS28544 gene_biotype:protein_coding transcript_biotype:protein_coding MENFGSIAQFLQDKNVLVLGAAGFLAKIFVEKILRVQPNVKKVYLLLRATDFESATKRLHNEILKKDLFKLMKENHGEKFNSFISEKVTVVAGDISQEELNLKDSNLVKELYNETNVIVNLAATTNFDERYDAALELNTFGVKHVLNFAKNCINLRVLVHVSTAYVCGEKGGLITEEPYKMGVSLNGVTGLDINVEKKVVEDKLKMLQQQGASENDIKFAMKDLGIKRAKMYGWPNTYVFTKAMGEMLVGTMKENLSIVIVRPTMITSTYKEPFPGWVEGVRTIDSLIVAYGKGKLTCFLADIEAIFDVIPADIVVNAMMVAMVAHANQPSDNNIYHVGSSVGNPVKYRNLKDYTFRYFTAKPSLDKEGKPIKVGTVTVLENMNSFQRYMYIRYILPLKALEIANTALCHFFDGTYFDINKKVQTMMRLVDLYKPYLFFDGLFDNTNVEKLQKEAMQSGVEMNLFYFDPKMIDWDDYFMNVHIPGIVKYCMK >CAK8568500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611743015:611743365:1 gene:gene-LATHSAT_LOCUS21450 transcript:rna-LATHSAT_LOCUS21450 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFKCVVHHSGEFSREFANFTKSGYQGLNTIIDFDLDYWGYFEILGMLKDLGYPTIDRLWYYDEMNACDIVLLEDDNGTKRMHTIAVLTGECHLYVKRPYLIVVYLYGDPFSIA >CAK8562083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439106390:439107023:1 gene:gene-LATHSAT_LOCUS15610 transcript:rna-LATHSAT_LOCUS15610 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQAVKSNLVDLSSDRKMIGSRNYDQPICPKPIRLSPSIPDFLQPINCSKHSQQNIDERNGVLNMIIEKNGDGMESICNGCLPCCYSGSPPRRTQNPLVHDVEFLHQMQVVSPLLSRTKFSDKFSITSASTM >CAK8539394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511261195:511266552:-1 gene:gene-LATHSAT_LOCUS8494 transcript:rna-LATHSAT_LOCUS8494 gene_biotype:protein_coding transcript_biotype:protein_coding MERQNSAYQVLVDRCRSLEEKQVKLTEQFNELMQEKVKDNEDYLAGGIFSGFFFSISPYANVLKCMGHAVHIHRVSSGEIVYWNDSAETLYGWKKHEIIGQRVADFLVSEEYFVSLRKILEQLITGVPWSGQFPFKKRSGEIFMAMVTKTPLYENGELVGVITASSDASVFNSKDFNDRRTCQSRANDQPGIQRLKRIQWPPSPEIASSVPNMASKSLPLRHTADTVCKNTSTDADDEKLGKHSIYEIDSRSRSHQKENTTVQEAKKDKSTAKFSQPSKIAARVFAKLRIRRSGERRKDNRSIKDNCTTDDNSGSNRMNNGNDLSGSSVALTLHQDISNAEDKEGNLQKCNSLFVVNITDAKISTHGTSSVFKDSSAKPFSKDCCECSGSLFPHDPLLRLRCPFNPKKLEPEAMNMVIKDEVQKQRQGFPLGSSKESIGDDASSSSREKSESNSVVECEIHWEDLQLRKEIGQGSYAVVYHGIWNASDVAVKVYFGNGYAEETLRDYKKEVDIMKRLRHPNVLLFMGAIYSQERHAIVTELLPRGSLFRTLHKNNQTLDITRHLRMALDVAKGMNYLHHRNPPIVHRDLKSSNLLVDKNWNVKVGDFGLSKLKESTLLTTKSGRGTPQWMAPEVLRSEPSNEKSDVFSYGVVLWEIMTQSIPWKNLNSLQVVGVVGFMNRRLDLPEGLDPHVASIINDCWQSDPEQRPSFEELVQRMILILDRVTTLSLKRIAEF >CAK8575259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:64591434:64593684:-1 gene:gene-LATHSAT_LOCUS27538 transcript:rna-LATHSAT_LOCUS27538 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPRRSPRNPFLFALISLQLQFLSGLADNNPSDSKSGNKGVSEHVSKGSKGLKILIVFLGVIAVIASGVFLFKLWQRKKREEQHARLLKLFEDDDELEVELGMRD >CAK8544236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673633981:673639261:-1 gene:gene-LATHSAT_LOCUS12935 transcript:rna-LATHSAT_LOCUS12935 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRIRSRDGLERVKLENPNGTKVSELKSIIEQQLRIPTHNQTLSTNQNLLLAKSREDLLRFTDMSNPNTPLTALNLSHGSIVFLAYEGERRVQGPAAFSPAGSFGKKMTMDDLIAKQMRVGRQENPHCEIVSFDRDCANAFQHYVNETLAFAVKRGGFMFGTVSEEGKVEVNFIYEPPQQGLEENLMFFRDPEEEKYVEAIAAGLGMRRVGFIFTQSVSQAKKDYTLSYREVLQAAEYHAESGLKEWVTAVVKLEVNEEAGGADVHFEAFQMSDVCIRLFKESWFDTEVKEDHDPKLSIMKKDVVVGVKDTKEVDNDFFLVVVKIADHQGPLSSTFPVENRNTQVTAKALKNHLERTKNLPFVKRISDFHLLLILSRVLDVNADVPALTECVLTQSAVAEGYQILIESMASAA >CAK8541654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:336749547:336750692:1 gene:gene-LATHSAT_LOCUS10558 transcript:rna-LATHSAT_LOCUS10558 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAARLKKPDTVSKLVLEEVIGLTTKNGNGLASNSSSSKCAYSAGCVVVILDVNSGTQSHLIASDRLQPKPLRCVALSRDGRFVAAGETGNQSSVLVRDSSTLSVVSELKGHLNGVTCICFSPNGKHLVSVGVYIYLWDWRSGHLITKLQATSSSSTVSSVSFSLDAKFIVTAGRKHLKFWTLESSRKAQQNGGMRKTVKTASLTIHEKISNLPIQKECSFTSVVSSVWTNSSDDNRKQAGHLFSMYTLTDSGILYLIHSGLSVKKSVILKVQKAFALSISGKLIACACNNGTVLLYTPESLEYAGCVLYSKAKKFYEEDNTNYHAIFPEQNFQQLDARAAVVIAYSTKLLFFQQKNSKSSSSSYCHLWQTHDELQQQH >CAK8560749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39526306:39527385:1 gene:gene-LATHSAT_LOCUS14400 transcript:rna-LATHSAT_LOCUS14400 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLLTNGVPSKSHLPHPHLPKTHFPHFPTLCGIREVRDRINSVKNTQKITEAMKLVAAARICCAQEAVINDRPFSEAFAEVLQSINQQLQLDDVEVPLTAVRPVKNVALVVITGDRGLCSGFNNSVAKKAEDRVDELKILGIGCVVISVGKKGNSYFKRRSFVEVDRFIENRGFPTTKDAQIIVDDVFSLFVSEEVDKVELVYTKFVSLVRFNPVIQTLLPLSKKGEVFDVNGNCVDATGDEFFRLTSKDGKLALKRDVFKKKSKDGCVPIMEFEQDPAQILDAMMPLYLNSQVLKALQESLASELAARMGVMSSTTDNAVELTKSLSVVYNRERRAKIIGEIMEIVAGAEAFKPID >CAK8570176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27702998:27703900:1 gene:gene-LATHSAT_LOCUS22951 transcript:rna-LATHSAT_LOCUS22951 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNKNELISHYNTPHKLSLPRPCFSSDAPTPPPRTTAISVPFKWEEAPGKPKPRPCDRGSEPKERETNVVRALELPPRLLSSLESNINAPSPTTVLEGPYVGRAVSFTTSYRDNNKESVSFGSSRWGGLKKNNRIDREGSFDFSSWSVEGGDKVKITRVRRKGSFLNFSHGSSHFLASIYGSFKQVVPWRRKQEKQHRPNNV >CAK8574973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18931375:18932629:1 gene:gene-LATHSAT_LOCUS27267 transcript:rna-LATHSAT_LOCUS27267 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDSSTYEYDVYLSFRGEDTRHSFTGNLYRALDQRGIRTFADDGMLLKGDGPSRSLLKSIHQSRIVIVIFSNNYAFSTWCLEELTAILDCYEHDKKNGRVVLPVFYEMDPSDVRHGRASYGEALAKHEKRFVKDGVQKVQKWRMALHNAANLAGFHIEKGGYEYKFIESIVKEVHSMISRVFSRASLRVADYPIGLESRVGKVKSLLSLESDDLVQMVGIHGIGGIGKTTIALGVYNSIGGQFEGLCFLEDVRENSMKFGLVHLQEIVLSDMWRWGY >CAK8563053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569764699:569765954:-1 gene:gene-LATHSAT_LOCUS16500 transcript:rna-LATHSAT_LOCUS16500 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDIIRTVIGIIGNAISFCLFMSPLPTFIKIWKAKSVQDFKPDPYVVTILNCAMWTFYGMPFITESNTLVITINGFGFFLEIVYTSIFFAYSNGSKRKKILLALLVEVIFLAVVIVIVMHFINNQKDRRLVVGFICIIFNILMYFSPLTVMRQVIRTKSVKYMPFLLSLANFLNGGVWTTYALLKWDPFIAVPNGLGALSGLAQLILYGVYYRTTKWDEDAPSNINMV >CAK8536285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:925635584:925637421:-1 gene:gene-LATHSAT_LOCUS5669 transcript:rna-LATHSAT_LOCUS5669 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRATLLIMLLILCHGVSMTMGLWRTETENKENGPHFRHEKLFLMRNSKRLVKTDAGEMSVFRSYGGRISETPLHIGFITMEPSSLFVPQYLDSTLVLFVRSGEAKVGFMSDDELAESELKKGDVYQIPAGSTFYLSNVGDEQTLQIICSIDPSESLGVGIFQSFYIGGGSNPVSVLSGFHPRILEAAFNVSGVELGRFFTRRHDGPIVHVGDSHAKASSLWTKFLQLKEDEKLHHMKRMLQDQDEDKENDEDEVKQKTSWSWRKLLVSVFSNEMQKKKADHDSHKSPHSCNLYDRKPDFENSYGSSVALGGSDYSPLKSSGVGLYHVNLKPGSMMTPHVNPRATEYGIVMKGSGRIQILFPNGSNAMDTEIKEGDVFFVPRYFPFCQIASSIEPLDFFGFTTSSKKNKPQFLVGSSSLMKTMMGPELAASFGVSEDTMQKILNAQHEAVILPTPWTKPEQQS >CAK8566800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463991782:463992615:1 gene:gene-LATHSAT_LOCUS19907 transcript:rna-LATHSAT_LOCUS19907 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDAATLVIQHPSGKIERFYSSMSASQVMKMNPGHCVALLISTTMYPNKDIQNCPENNNGAKINMDPVRLTRIKLLKPNETLLLGRVYRLITTQEVMKGIREKKQAKMRQNMSESGHMPDLVKEKKPIKFDTKDNKETKHERARGRTSSSSNNAASVTVTAKTRFWQPTLQSISEMAS >CAK8578932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662683616:662684302:-1 gene:gene-LATHSAT_LOCUS30910 transcript:rna-LATHSAT_LOCUS30910 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQLLHELLVESHTRRLLFQNPVDHQSLKIISHVFTNNHNSTNSYFGARGFDSNVVIIMVVLLCALICSLVLNSIIRCALRFTNAAINNNESSSSLSSDVSPQLANKGVTKKTLKKFPTMTYSAELKLSGLDTECAICLSEFTNGEKVRILPKCNHGFHVLCIDKWLKEHSSCPKCRQCLLQTCRKIGGSQVQPIVLPVLETIVRIQPLQQEALERNYREI >CAK8567142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490373660:490375859:1 gene:gene-LATHSAT_LOCUS20219 transcript:rna-LATHSAT_LOCUS20219 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVMLSTSFSPTYHPSLFRPSSSIPFSKPKLSSHSSSNSPCWNTKPLSLHHTFNFSFITRSLTKDENSSTFVGEDSAVFDLTKQKISSWIYFTAILGVVLFVLNVAWIDNSTGFSKAFVDAVSGLSDSHEVVMLILFLIFAVFHSGMASLRDAGEKLIGERAFRVIFAGISLPLAVTTVVYFINHRYDGVQLWQLQSFPGIHPFLWLSNFISFFFLYPSTFNLLEVAAVDKPKVHLWETGIIRITRHPQLVGQVIWCLAHTIWIGNSVAVAASIGLISHHLFGAWNGDRRLAIRYGEDFEIVKRRTSIVPFAAILDGRQRLPKDFYKEFIRLPYLAITVVTLGAYFAHPLMQTASFNLHW >CAK8539498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514205845:514206606:1 gene:gene-LATHSAT_LOCUS8585 transcript:rna-LATHSAT_LOCUS8585 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDRRQETVHFDKITARLKKLSYGLSTEHCDLVLVSQKVCVGVYRGVTTSQLDELAAETAAGMTANHPDYASLAARIAVSNLHKNTKKSFSETVKIMYNHFNTRSGKKAPLIVDDVYEIIMENATQLDSEIIYDRDFDYDYFGSKTLERSYLLKVDGTVVERPRHMIMRVSVGIHKHDIESAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSYFLICMKDDSIEGIYDTLKECVVISKSAAGIFKTDD >CAK8533473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620949800:620950924:-1 gene:gene-LATHSAT_LOCUS3096 transcript:rna-LATHSAT_LOCUS3096 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLMVPKLPLLVLKNHRLNSHFPTKTASYRVIRSEKTSSFNYRTTVNVPLNELPGASFDQYMDDKVRVFRAVFPDKGKTKQLNEEEWRVKMPPIQCLFLNVEPTADVRLRFKSNGEDYPPHIPNHITKVLELHFMRWELRGLNSFYNDPYHFSLDVRGSIYPERRGKRSWLKNQMEMKISFIVSPAMIFVPEHVLQDALEMVFQTMWNEMKKEFHGKLLADYNSFKKYKSEKNFSLN >CAK8539082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503304772:503345418:-1 gene:gene-LATHSAT_LOCUS8214 transcript:rna-LATHSAT_LOCUS8214 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKALASAAAAAALEEANATECIIRNLRLEKLNWSAAEGKAKVIGTLIGTSGAVLLTFYKGAEINIGSSNINLLHAHHNQIGDAKPQHVDFSNKLLGVLCAIGSSCSFSLWFIIQAKMHKEYPSHHSSTALMSTMGAIQATVFALCVDRDWIQWKLGYNIRLLTAASSGIVTFGIATIVVAWCIKMRGPLFAAVFYPLQLIIVAVSAYLLLDEKLYLGSILGAVLIVCGLYVVLWSKNEEMKEKAQLMSRFTLLFQAPPTVVVHPRAPPSHPQTTTDHFSNSLLFYILLFH >CAK8578266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615805848:615808172:-1 gene:gene-LATHSAT_LOCUS30293 transcript:rna-LATHSAT_LOCUS30293 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEEPIRFLLEDSPLQSEESVCARDGSANMKGELAIKRETGKWKSCPFVLGTFFCERLAYYGIATNLVTYLTTKLHQGLVSAAKNVTTFQGTCYLTPLVGSFFADAYLGRYWTIAVFYGIYLIGICILIISATIPALTPMECVNSVCPSATLAQSAVFFFGLFLIALGTGGIKPCIWPFGADQFDDTDHRERASKGSFFNWNYFTSNIGALLSVTILVWTEENVGWGLGYGISASFIGIAIVIFFLGTPVYRFQRPVGSPLTRICQVISAALFKWKLEVPPDICLLFEREMKNSSIERSHRLEHSDGLRFLDKAAVISDEEKERAEMTSPWRLCTVTKVEELKILIRIFPIWASGIIFCAVYAQMSSLFVEQGKMMDTTIASLKIPAASLSTFDIIAVIIWVPIYDRGIVPIARKVTDKVRGFSELQRMGIGLFLSVICMSSAALVESKRLQMAKEFGLVDENVPVPISILWQIPQYFLLGAAEVFTFVGQHEFFYEQAPDTMRSFCSALALLTNSLGNYLSSLIIIIVDYITTEDGNCGWITDNLNEGHLDYFFWLLAGLSFLNMLVYIVYARQYKQKKACHMSLP >CAK8576181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:419257081:419260976:1 gene:gene-LATHSAT_LOCUS28388 transcript:rna-LATHSAT_LOCUS28388 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRLNTPTTFEMPLEVFGHELQFAQDPNSKHHGTTVWDASLVFAKFLERNCRKGRFSPAKLKGKRVIELGAGCGVSGFGMALLGCNVIVTDQEEVLPLLQRNMDRNTSRVMQKNPESFGSIKVSVLQWGDESHIKDVGPPFDYIIGTDVVYAEHLLEPLLQTILALSGPRTTVVLGYEIRSTSVHEKMLQMWKKHFDVKTVSKSKMDETFQHPSIQLYILGLKHSAESRESSGQAASEKVDVETGVKDKNTEENIVVEGSCLVEENTEVDSNSIPPHANLSEWEARRYGSMAARILRDVKIS >CAK8560740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39068796:39069962:-1 gene:gene-LATHSAT_LOCUS14392 transcript:rna-LATHSAT_LOCUS14392 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSKIGATSIVHLPDDCLTIIFHALDCRTDRESFGLTCRRWLHIQNFNRRSLQFECSFSILNPSSLSRKGLDVHTVHLHRLLRRFQQLESLSLCGCTELNDSGLTRLLSYGSNLRKLNLDCCLKVTDYGLSLVASGCPSLTSISLYRCLNVTDDGLVTLATACLSLKCINLSYCSQISDKGLKALTQMCRQLQAVNISHCESIRGVGFKGCSKSLAHVEAWSCKLSPEGVTGIISGGGIEYLDVSCLCWSPLGDPLLGIRFSSNLKVLNFRMCRSVSDTSIVAIAMGCTLLEEWNLALCHEVRISGWQAVGLYCQNLKRLHVNRCRNLNDNGLQALRDGCRSLSVLYLNGCVHVTPFALELFKSHRANVCIKEEEVMCINPYSPFR >CAK8566743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:458064311:458067047:-1 gene:gene-LATHSAT_LOCUS19854 transcript:rna-LATHSAT_LOCUS19854 gene_biotype:protein_coding transcript_biotype:protein_coding MREVIMVATAATLGNLLFGWDSSTIAGGMRYIKQEFHLETDPSLEGLIVSMSFLTGTIVTIFSGTVSDMFGRRPMLITSSLMYFFSGLVMLWAPNVPVILFSRILSGIAIALAMTITPLYISEIAPPDIRGLLNTLPQLSCSMGMFLAYLLVFAISLMNTPSWRGMLSIVSVHSVAYFFLAVFYLPESPPWLVSKGRISEAKRVLQRIRCVEDVSGELALLAEGLNPGGESIMVEEYIVTPGSELISSKEAGRDCIKLYGPNQGQVSMIAQQVTGQGSVLTPEGSMFSAASSNIKDNIVNIFESMHESISKMSSMSHMGDHDSYNLHAALLPPQGSSGEITKNTDIGGGWQLAYKSIEAANGEGGLQRVYLHADSRAVSLQGSAATSCHDLHADQGGETFQAAALICDSILSNKDTKIKAEVIPKRRSWEDLLEPGVKRALIVGIGLQVLQQISGISGFVYYAPQILDQAGVGALLSDLGISAASSSIFVNVITTFCMLPCIALSMSLMDITGRRSILLYTIPIIILSLMTLILKDLFHLSSTLNAALTAICAIVYESIFCMGYGVIPNIICSEIFPTSVRGSCISICSLTYWISTLIITSLFPFLLQFLGITGVFGLFVAGCIASWIFIYLKVPETKGMPLEVIVEFFAIGSKPETH >CAK8562268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463740160:463740954:1 gene:gene-LATHSAT_LOCUS15780 transcript:rna-LATHSAT_LOCUS15780 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYLKNKMEDEDDEINPFTSLLTDEDDKPIVFTTIQDDSPKQELFQKHFLRSIQSAVTIRQLPSEGISFQLWPTASSLVSLLDNHRINPTNSPLSTAFTTLNANGPLRILELGSGTGIVGIVAAATLGSNVTLTDLPHVVPNLKFNAEANAAVVGSSGGTVTFAPLRWGHADDVEIIGREFDVIVASDVVYHDHLYEPLIETLRLLLVGKKIVFVMAHTKRWKKESVFFNKARKHFFIDVLHVDTPCHGSRVGVVVYRFVGKS >CAK8562269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463740184:463740954:1 gene:gene-LATHSAT_LOCUS15780 transcript:rna-LATHSAT_LOCUS15780-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDDEINPFTSLLTDEDDKPIVFTTIQDDSPKQELFQKHFLRSIQSAVTIRQLPSEGISFQLWPTASSLVSLLDNHRINPTNSPLSTAFTTLNANGPLRILELGSGTGIVGIVAAATLGSNVTLTDLPHVVPNLKFNAEANAAVVGSSGGTVTFAPLRWGHADDVEIIGREFDVIVASDVVYHDHLYEPLIETLRLLLVGKKIVFVMAHTKRWKKESVFFNKARKHFFIDVLHVDTPCHGSRVGVVVYRFVGKS >CAK8538483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484532137:484534479:1 gene:gene-LATHSAT_LOCUS7674 transcript:rna-LATHSAT_LOCUS7674 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAPTPNADEDKKPNDIAHINLKVKGQDGNEVFFRIKRNTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGTLSV >CAK8543935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650436944:650438437:1 gene:gene-LATHSAT_LOCUS12657 transcript:rna-LATHSAT_LOCUS12657 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQANINVPHFVLFPLIAQGHIIPMIDIAKLLAQHGVIVTIFTTPKNASRFSSVLSRAVSSGLQLNLVTLHFPSTQAGLPDGCENFDMVNISKDIIYSLFHAVSLLQKPAQELFDKLSPKPNCIISDFCIPWTSQLAEKHQIPRISFHGFSCFCLHLAFKVHSSKILESVNSNTEYFIVPGIPHKIQANKEQVPGSIMEENLKETSLKMREAEMKSYGVIVNSFEELEKEYVNDYKKVKNGKVWCVGPVSLCNKDGLDKAERGNIASISEHNCLKFLDLHKPKSVVYVCLGSLCNLVSSQLIELALGLEETKMPFIWVIRNGVDKTEAMEKWISDEDFEERNKGKGLIIRGWAPQMVILSHPSIGGFLTHCGWNSTLEGISFGVPMVTWPLFADQFLNEKLVTHVLRIGVSLGVEVLMKWGEEEKLGVVVKKEVIKEAICNVMDEGDQESKERRERVSQLSEQAKKAVEKGGSSYINITLLIQDIMQLQSTTKVET >CAK8569732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9430655:9431884:-1 gene:gene-LATHSAT_LOCUS22549 transcript:rna-LATHSAT_LOCUS22549 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLLILVSLIGLCCFTHVSYAFSPSAWTKGHATFYGGSDASGTMGGACGYGDLYSTGYGTRTAALSTALFNDGASCGECYKLICDYLTDPRWCIKGRSITVTATNFCPPNYDLPNDDGGWCNPPLKHFDMAQPAWQKIGIYRGGIIPVLFQRVPCKKHGGVRFSVNGRDYFELVLISNLGGAGSIESVMIKGSKTGWMPMSRNWGANWQSNSYLNGQPLSFQVKTTDGVTRTFENIVPSNWEFGQTFSSKLQF >CAK8575302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:73833879:73839610:1 gene:gene-LATHSAT_LOCUS27576 transcript:rna-LATHSAT_LOCUS27576 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNSVLLEADLVDGAVNYNGEPAVRSKSGYWRSAWFIIGVEVAERISFYGIQGNLISYLTGPLKQTTATAAKNVNVWSGTASLLPLLGAFVADSFLGRYRTIIIASLIYILGLGLLALSAMLPSLTKSKCQVDTKFILCSQHSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEQHPKEHRARSSFFNWWYFTVIAGCTLILSILTYIQDNYSWVLGFGIPCVVMTIGLLVFLLGSMTYRFNIKNNDKSPFLRIGRVFVMAIRNWRNTLSNTSIEEECGGMLLRRSSEQFSFLNKALLPPKGSKEGNTCSLVEVEEAKAVLRLVPIWTTCLVYGIVFAQVFTFFTKQGKSMERTIFPGFAIPPASLQSINGIAIILFSPIYDRIFVPIARAITGKPSGITMLQRIGTGIFISIFIVVFAAFVEIKLTKNSTRVWSC >CAK8543264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593479351:593482021:-1 gene:gene-LATHSAT_LOCUS12033 transcript:rna-LATHSAT_LOCUS12033 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPNTSTRHVSDETREDEQFTEIREIHALTPPRLPPPQTNSGHNHRSSSLSIASSTDSENFTTISREFNALVLAGSTIDHNNITPQPHPHDQYETTTSNNNSSNNNNNNNNLGRIREEDMMEETNPLAIVLDNNPLDPVQSPTTRRVVAGGSSGGGRGTRVGGEEQHVSVDKVKKEEVDAKISAWQNAKVAKINNRFKREDAVINGWEGEQVQKATTWLKKVERKLEEKRAKALEKTQNKIAKAHRKAEERKASSEAKRGTKVARVLEIANLMRAVGRPPAKKSFF >CAK8533547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:635074093:635119797:-1 gene:gene-LATHSAT_LOCUS3168 transcript:rna-LATHSAT_LOCUS3168 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEKIKIGVCVMEKKVVSAPMGQIFDRLQAFGEFEVIHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAALRKPYLINELEPQHLLHDRRNVYEHLERFGIPVPRYALVIREVPYQELDYFIEEEDYVEVHGMRFWKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHSEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPNGKEVRYPVLLTPAEKEMARKVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDSACVLRKMLLDVKAPHLSSAIPPTLPWKVNELVPPSEPLTRQGSGIIGDFGQSEELRSVIAVIRHGDRTPKQKVKLKVTEEKLLNLIMKYNGGRPRSETKLKTAVQLQDLLDATRMLVPRTRPDLESDNEAEDVEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVTKSNGEVEEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNKIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAGFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEQAKARLNEIITSSANTVDRNGSQEYHWMVDGNGIPPNASELLPELVKLTKKVTEQVRLLAQGEDEQLTERSLYDIIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGINPKQKLKIGSKVARRLLGKILIDVRNTREEAISVAELKNNQDNSLSSMKVENEDTEGKSKRIHENEEIQKCSTMSETSMDQEDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVSHNGLERLCQTKELDYMSYIVLRMFENTEVAAEDPKRYRIELTFSRGADLSPLQKKDSEATSLRQEHTLPIMGPERLQEVGSYLTLEMMEKMIRPFAMPAEDFPPATPAGFSGYFTKSMLERLVNLWPFHKH >CAK8533548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:635102469:635119797:-1 gene:gene-LATHSAT_LOCUS3168 transcript:rna-LATHSAT_LOCUS3168-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEKIKIGVCVMEKKVVSAPMGQIFDRLQAFGEFEVIHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAALRKPYLINELEPQHLLHDRRNVYEHLERFGIPVPRYALVIREVPYQELDYFIEEEDYVEVHGMRFWKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHSEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPNGKEVRYPVLLTPAEKEMARKVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDSACVLRKMLLDVKAPHLSSAIPPTLPWKVNELVPPSEPLTRQGSGIIGDFGQSEELRSVIAVIRHGDRTPKQKVKLKVTEEKLLNLIMKYNGGRPRSETKLKTAVQLQDLLDATRMLVPRTRPDLESDNEAEDVEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVTKSNGEVEEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNKIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAGFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEQAKARLNEIITSSANTVDRNGSQEYHWMVDGNGIPPNASELLPELVKLTKKVTEQVRLLAQGEDEQLTERSLYDIIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCK >CAK8571442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:419136865:419154448:1 gene:gene-LATHSAT_LOCUS24108 transcript:rna-LATHSAT_LOCUS24108 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKLLQNLLILVTILILSTTWTIADEVDDESEFDYIKGSKKGPLHWGEKKEWATCKNGIMQSPIDMSSNIVKVVPKLGELKKNYKPQNAIIRNRGHDIQLKWVGDAGSININGTEFFLHQLHWHSPSEHTINGQRYDMELHMVHETLRINGKSKIAVVGLLYKIGGPDSFLTKLSKYIETIEDSKAGRGVGVIDPSEVKLGCEKYYRYMGSLTIPPCDEGVIWTINKEIRSVSKAQLELLEEVVPDHAEMNARPVQLLNGREIQLYD >CAK8562857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548733945:548735481:-1 gene:gene-LATHSAT_LOCUS16320 transcript:rna-LATHSAT_LOCUS16320 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSNGSDEKSLKFLIYGRTGWIGGLLGKLCTAQGIQFEYGSGRLENRSSLESDIAEVKPTHVFNAAGVTGRPNVDWCETHKVETIRANVVGTLTLADVCRERGLVVINFATGCIFEYDSGHTLGSGVGFKEEDTPNFIGSFYSKTKAMVEDLLRNYDNVCTLRVRMPISSDLSNPRNFITKISRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILQMYKDYIDSDFTWKNFTLEEQAKVIVAPRSNNELDASKLKKEFPELLSIKDSLIKNVFKPNQKVKA >CAK8530187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4807543:4808751:-1 gene:gene-LATHSAT_LOCUS75 transcript:rna-LATHSAT_LOCUS75 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSNYIPNDLIISILSKLPLKSLKRFECVQKSWTLLLKDSHFVSVFRKKFMSKTLFGFDDTSYLMRYYMFGPPNVRSYFYFLFGERLENKVKMDYSLPFVDCGQDFIVVGSCSINGILGIVSQNEGFALWNPTIDEYKVIPPSPAESVPYRNFSWLIHGFGYDHVKNDYKVLWRIDFYQLNRHDCECLGLDEENVPWKDVSYEPVWEIYNLRSDSWRKLNINIPMTIPYIFLIPNNDDGIDRCYTKGMCHSLYKVSEYIFQTCLMSFDVCNEVVFTTPMPSYMNDNMDPEWNYKHLMILIKGFIALSSHHGETNTIHISILNEIGVKESWTKVFILGPLACVAYPFTGGKNGDLFLRKENGELACFDLDTQMINELGVEAYKSHIIVYNKSLLSIRTIHD >CAK8577331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555699943:555700332:1 gene:gene-LATHSAT_LOCUS29446 transcript:rna-LATHSAT_LOCUS29446 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIQEGITEDQNNKVKEQCSQQMSMENLKNFFQIKYPNKSEDEIMVRILDHMKNQFFSTFSTKASKDEDSSMKTSSSIGSMDSHNFDGLAGIGQADERTAEDFWDAMISSMKDIRKAKN >CAK8577432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563625263:563626436:1 gene:gene-LATHSAT_LOCUS29541 transcript:rna-LATHSAT_LOCUS29541 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDEIKKMEEGDLKAQKTQKDSGFFSSPEVVHTIQKLIAEVIGTYFLIFAGCCSVVLNKVGESEGTITFPGICVVWGLSVMILVYSLGHISGAHFNPAVTISFAVYRHFPLKQVPMYIVAQLVGSVLASGTLCLLFDLDEKSFFGTVPAGSNAQSLVFEILASFLLMFVVSAVSTDNRAIGELAGIAVGMTIIVDVFMAGPISGASMNPARSLGPAFVMHIYKGIWVYIIGPIIGTFLGSSAYNLIRFTDKPLSELSRNSSFLKSVSRS >CAK8573081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581912685:581915184:1 gene:gene-LATHSAT_LOCUS25571 transcript:rna-LATHSAT_LOCUS25571 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPTTLASKNRVLIIGATGFMGKFLTEASLSSAHPTYLLIRPGGPLISQKSTTIKTFQEKGAIIIYGGVDNKEFMEKILKKYEIDIVISAIGAESLLDQITLVEAMKTIKTVKRFLPSEFGHDVDRADPVEPGLGMYKQKRLVRRVIEESGVPYTYICCNSIASWPYYNNCHPSSLPPPLDQLHVYGNGNVKAYFVDGFDIGKFTMKIVDDHRTINKNIHFRPSINCYSMNELASLWENKIARKVPRLVVSENDLLAIAAENIIPESIVASLTHDIFINGCQVSYKIDGVHDVEISALYPGESFRSMEDCFESFVVMAADKIHKEENGVAGGAKSIVEPVIITASC >CAK8573763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635486319:635486691:1 gene:gene-LATHSAT_LOCUS26171 transcript:rna-LATHSAT_LOCUS26171 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPVNRFKRWVKRSGWWTEKDELELRSSVRKQLMHAIQVAEKAQKPPLEDMFTDVYDKLSSNLEEQERVLRKTIEKHPKDYPSDVPL >CAK8538719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493724529:493728385:-1 gene:gene-LATHSAT_LOCUS7890 transcript:rna-LATHSAT_LOCUS7890 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAMENTENSLDKIKRQLASASGRNLLQGPLLKRSETLRKWNERWVILDPTTGRMEYKLRRNEPTVKGTIVFDANSTITVSPVNFNGQPKYDGCCIYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSTKLGTVATVVAAANSTASECSKEIEAAMQISLRTALGMMPNRTTDGPMDDLAIMKETLRVKDEELQNLARDLRARDSTIKEIADKLSETAEAAEAAASAAYTMDDHRRIACAEIDRLRKESEKQQEAYAQKVKEYEEKISGLSKEREQLISQREAAIQEAHMWRTELGKAREHGVILEATVVRAEEKVRVAEANAEARIKDAVQRESAATKEKQELLAYVNMLKAQLQRQHIDATQVVEKTESCSDTKHVDPTEENVDKACLSVSRANPIPAENVVHMATDQVNSIQPVGDNEWSDIQATEARIADVREVAAPETDGSSLDIPVVSQPGINHHHEQGSNSFHQP >CAK8535102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814198435:814198863:1 gene:gene-LATHSAT_LOCUS4579 transcript:rna-LATHSAT_LOCUS4579 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSIGDMVECWEAMNDNLKLKLGKIRASFQKSFYEVEHAHVSPFYNNLRGLVSRAALRRIAKELTRVDYVGTNKGICRCTLRTTYGLPCACELTRYRIGGIPIPIDVVHVHWRKLSMEVKLEEDVDDGSEVDMSSAIDDL >CAK8579116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:676813661:676817196:1 gene:gene-LATHSAT_LOCUS31084 transcript:rna-LATHSAT_LOCUS31084 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEELVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDYPKGKTVVPKSANEVKLISSGKILENNKTVGQCKAPFSDIAGGVIIMHVVVQPSLSKSKAEKKIDDSSKKVVCSCSIL >CAK8565761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:324997202:325001661:-1 gene:gene-LATHSAT_LOCUS18946 transcript:rna-LATHSAT_LOCUS18946 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEYSPLFETKKGRGRLPYRLFATSIFVAICFIWFYRFNHIISQQEEDGRKWVWLGLLASELWFGFYWILTQALRWNLVFRQPFKNRLSQRYEHMLPAVDIFVCTADAEIEPPIMVINTVLSVMAYDYPTEKLSVYLSDDGGSEIIFYALLEASEFAKHWLPFCKRFKVEPRSPAAYFNNITDTNLNNDLVAIKKLYTEMENRIENVTKLKRVPKEVHLKHKGFSQWDSYSSRRDHDTILQILLHKKDPNNSKDIDGFILPTLVYLAREKRPQYHHNFKAGAMNSLIRVSSIISNGKVILNVDCDMYSNNSESIKDALCFFMDEKKGHEIAFVQSPQNFENVTKNDLYGSALLAISEVEFHGADGFGGPLYIGTGCFHKRESLCGMKFNDEYKHNWRSEDDLFKEANLQEMEEKSKGLASCSYEENTQWGKEMGLKYGCPVEDVITGLSIQSKGWKSVYYNPPRKAFLGVAPTTLLQALIQHKRWSEGDFQILFSKYSPAWYAFGKISFGLQMGYCSYCLWPPNCLPTLYYSIIPSLYLLKGIPLFPKVSNLWFIPYAYVIAGETIYSLLEFLWCGGTFKGWWNELRIWLYKRTTSYLYAFSDTILKLFGFSNSVFIITTKVSEEEVSKRHEKEMMEFGTSSPMFTILVTLALFNLFCFLNVLKDAILRGGDFRAYEKIGLQIILCGFLVLINVPLYQGIFLRKDSGKLPSSLAMKSTILALTLVLSFSYV >CAK8540205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544990200:544991967:1 gene:gene-LATHSAT_LOCUS9233 transcript:rna-LATHSAT_LOCUS9233 gene_biotype:protein_coding transcript_biotype:protein_coding MESWIVRKKRTITRRTPKSFFNKLPTELQSNIFSKLSLEEQSNSMCVSHQWRNIILNTTLPKEGPLLPFVVAHNFLPNPCRELQNIFRWCSLVMHCETRPKKLLDTCNGLYLFCHNNGRAPNIIHDVYYYYVINPKTKQCVAVPKSIGQKSGSYSYAVLAYDPKESRFFKIVRFQGHRHINIFSSMTGLWTTLTINLPQHINKSKWLQKSIYIKGSIYRLSRSGHLVRIKVDLQEDVSKQTEIIPLHSDCHLDGCGWEISSKDDKLMLVLSRGLNFWLFELIECVTRNSTSYTWDMIFHIENEEFLPLNTYGELLSYHPYHDLVFFKRGYHVYFYLDEFTGNYYTNFGIVEYNKTVYDYVRNCGQPLLDCSVPFACILDKKDPGDFLRLPVPH >CAK8577385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559286620:559290529:-1 gene:gene-LATHSAT_LOCUS29498 transcript:rna-LATHSAT_LOCUS29498 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDKHNNAGGSDPDFGAIFMSNTATKRECLRSGLFGLPASYIPFVEKIKAGTTLFLFEYEKKLLHGVFMANCDGGVNIVPKAFASTGMKYPAQVKFDPVWECKPIPEKIFRHAIIENYYANNKFSFGLSENQVEKLLYLFNMNKVEPKVPRRHMSMKSERYPMGEVGKSVGRGMLGGSVQDDPGDSAHFNGFSADDILASKRRRSLELGVDYTSGDVRDYLRSKDESRLAAHNNKGGRSVARGMLDKSVQDDHVLGDNNLPNLMHNYPRESACYNGFSADEILVNKRRRSSELGVDYTSEDAREYLQSKDESRLAARNNEDYIDNYNYAAKLPLDTASGCVGDYSAIRDYSRLSSHENKDYMDTHLRPYTIGGYSKVPSDKIRVHGDGRSSVSGRLMGEDLRKTDERMIFSDDIPSLRGSSVDLPVYRKPNLEQNSLGENHLRSTSAMIHPFHLNTSYAPQGSESSILYNSEAPGFSSFGIDNGSESMRPPGDENSILYDSEAPGLNFKRLSSFGINNDSEQMREGFSPSTNCRRNLVSRERQLMHTEPKDSHRWNSADDCFSNSVLYGSDRDCMPFNEPRNSDQLASGSALYEARNTIPSFKSSPAPIPSDIGNSGRTHEPYSSLFREHNSYLDNNVHPVALQNYPSHELPKNNETPNPYVDSLPLDHGYDIGCYSDSQNSNYGHPKRKSSVFSRLSVVQDVKKQGKGNNAQNEEYDFITSVDEVMEMVRQTKRKPKPSQQNNAESLRGKTQISSQRKKIVCFESALEHNKDESLRNKTQMSSPRKMRDCFEDALEHNEDESLRNKTHISSLKKKKKGDCFENALEDLNMDSTTLTGSSPKTKAEKAHFVDFKRRSMVRKHNDEIERSTNDSKKSENLGLVQQKKRKLIRPSFNKSAYFEDKEDFGASRRNLHLTLPNGICNHKDVNESCSTLLNQRGYDVKAEAEVQNVIDPTHSEGKNSSHATEFICSREGEKSKVQNVVSSASCNEESSHTKINPCMIDNVKSASVEMECLNAISQEKANVGILCSLNDRSEYVDNKNHQKGLSSVSSKEEGSHAKEGSCLMDNKKPASLQMESLHAISHEKANFGTLLVLNDASECVDNKNHQKVFSPASCKEESSHTKEGSHMMGIVKSASLETPHAICPEKESIGTLLPLNDGSECLDDKNYLKVLSSASCKEESYHTKEGSCMMDSKKSASLEKESLNSICQENNADKFICADRGIDPDSEMPKDCGFFH >CAK8565566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:279722212:279722517:1 gene:gene-LATHSAT_LOCUS18766 transcript:rna-LATHSAT_LOCUS18766 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVRGVPGGSKMHTSNLLSSGALKDIMSFVKFSKEVPFSKPIPSKNPEVTTDSGTSTCCLEEAKPKGLHIPSWLLDFPKESLYKTSEGGLVKGRKVKHTS >CAK8574870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12828230:12830347:-1 gene:gene-LATHSAT_LOCUS27169 transcript:rna-LATHSAT_LOCUS27169 gene_biotype:protein_coding transcript_biotype:protein_coding MERWNFLVKLLETCCTKLSISQLHSHCYKVGLVHDSFIVTKLNVLYARYASIHHAHKLFEETPHKTVYLWNALLRSYCCEGEWVETLSLFRRMNYISSMSIEDSLRPDSYSVSIALKSCAGLQKLFLGEMIHGFLKKVRIEGDMFVGSALIDLYCKCGQMNDAVKVFMEYPKPDVVLWTSIVSGYEQSGSPELALDFFSRMVVLERVSPDPVTLVSVASACARLSDFKLGRSVHGFVKRKGLDTKLCLTNSLLNLYGKTGSIKSAANLFKEMPDKDIISWSSMVACYADNGAETNALDLFNEMLDKRIKPNWVTMVSALRACAYTSNLEKGMKIHELAVNYGFEMEMTVSTTLIDMYMKCFSPEKAVDLFNRMPMKDVIAWAVLFSGYADIGMVHESMGVFRDMLSSGTRPDAVALVKILTAVSELGILQQAVCFHAFVIKNGFENNQFIGASLIELYAKCSSIEDANKIFKGMAYKDVVTWSSIIAAYGFHGQGEEALKLFYQMANHSDTKPNNVTFISILSACSHSGLIKEGIKMFDIMISKYQLVPNSEHYAIMVDLLGRMGELDMALDVINNMPMQAGPDIWGALLGACRIHQNIKMGEVAAKNLFALEPNHAGYYILLSNIYCVDENWHNATKLRSMVKENRLKKIIGQSMVELKNEVRCFVASDKFHDEYDQIYEILRKLHAKMREEGYDPQFPIEEML >CAK8564205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657556336:657557396:-1 gene:gene-LATHSAT_LOCUS17528 transcript:rna-LATHSAT_LOCUS17528 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKLLLLIPLLFINAAANFNQDFQITWGDGRAKILNNANLLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGGAWDEIDFEFLGNLSGDPYIVHTNVFSQGKGNREQQFHLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNLERIGVPFPKNQAMRIYSSLWNADDWATRGGLIKTDWSKAPFTASYRNFNANNACIWSSGKSSCKSSPTSASWLSQELDSTGLQRMRWVQKNYMIYNYCTDKKRFPQGLPLECTHS >CAK8540837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24430178:24430555:-1 gene:gene-LATHSAT_LOCUS9803 transcript:rna-LATHSAT_LOCUS9803 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFKQIWGEGCSSSESGWTMYIDSPMQEEDARCSNENDGYNHNHHEVYGEKNRRKKKVDEEESDDSMASDASSGPMNYQQHRGGEGRNGTSVSKKDKQDHGSKSCSKKNGSKQEKKRVDSRSKK >CAK8540377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555635243:555647512:-1 gene:gene-LATHSAT_LOCUS9385 transcript:rna-LATHSAT_LOCUS9385 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDSALTSNPSWFTPKRLLGIFCVINLINYVDRGAIASNGVNGSLGTCTDSGVCTSGTGIQGEFNLNNFQDGVLSSAFLVGLLIASPIFASLAKSHNPFRLIGIGLSVWTFAIAGCGSSFDFWSIAICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLATFYMCIPAGTALGYVYGGLVGSQFNWRVAFWGEAVFMLPFPILGFLIKPLQLKGFAPMESKKTLTSNGANVSENGDDGMLAEDQAFIRGSSLTSNLRNQFTRFSKDMQELLHDRVYVINVLGYISYNFVIGAYSYWGPKAGYSIYHMSNADLLFGGITIVCGIFGTLAGGLILDKITSTISNAFKLLSGATFLGAIFCLIAFLFKGLSGFIVFFSVGELLIFATQAPVNYVSLRCVKPSLRPLSMAISTVSIHIFGDVPSAPLVGVLQDHINDWRKTALCLTSIFFLAAGIWFIGTFLKSKDMLNEDDEDQSTTTLIGERKPLLEASSSSQA >CAK8568776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639946451:639952010:-1 gene:gene-LATHSAT_LOCUS21701 transcript:rna-LATHSAT_LOCUS21701 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDFNAPHSMGTTIIGVTYNGGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYNNKNNLQTGLIVGGWDKYEGGQIYGVPLGGTIVQQPFSIGGSGSSYLYGFFDQAWKEGMTKDEAEELVKKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDQLPIWHDELEAHDSLLDIIGAPEPMTT >CAK8575569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:173920511:173921024:-1 gene:gene-LATHSAT_LOCUS27822 transcript:rna-LATHSAT_LOCUS27822 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEISGEKPMYYSHLVKLKNHNIFLKSIIDEEKCQLGCGQPTQRWYMTMRLGLQNAYGTKKAYVRLTPDYDALDVARNIVCDGYETILIQQVINHADSGHC >CAK8576430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:475414634:475414954:-1 gene:gene-LATHSAT_LOCUS28618 transcript:rna-LATHSAT_LOCUS28618 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTYRGRGRGYGRGGRGSNNMLPQPESSIPLIEDWTTVYKGRKMQQLPASSSKKEDITSPSSNKNTSYKKVAVNNPSQEQMDYFENPVTEKIMYIQVLMENLGLT >CAK8576887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522440894:522441993:1 gene:gene-LATHSAT_LOCUS29047 transcript:rna-LATHSAT_LOCUS29047-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGSDQKQIVATKVLPANWKKGKIYDSDIQITEIAQEECPICADEKWE >CAK8576885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522440894:522443250:1 gene:gene-LATHSAT_LOCUS29047 transcript:rna-LATHSAT_LOCUS29047-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQVVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8576886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522440894:522443250:1 gene:gene-LATHSAT_LOCUS29047 transcript:rna-LATHSAT_LOCUS29047 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQVVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8537500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:377493406:377493822:1 gene:gene-LATHSAT_LOCUS6789 transcript:rna-LATHSAT_LOCUS6789 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGKGMICEWAPKVEILAHKAIGRFVSHCGWNSILKSLWFGVLILTWPIYAEQQHNAFRMVKKLELVVELRVDYRIGSKEVMAKEIEKGLKDLMDRDNIVQKKVQEIKEKARNVVASGGSSFIYVGKLIDNILGSN >CAK8577635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579923169:579924452:1 gene:gene-LATHSAT_LOCUS29725 transcript:rna-LATHSAT_LOCUS29725 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTNGSEDSELYHAQVHLYKHVYNFVSSMALKSAMELGIADAIHNHGKPMTLSELASSLKLHPSKVNILYRFLRLLTHNGFFAKTTLKSNEGEEGGETAYVLTPSSKLLVTSKSTCLSSLVKGALHPISLDLWSASKKWFHEDKEQTLFKCATGENYWDFLNKDSDYLNLFQDAMAADSRLFRLALQENKPVFEGLGSLVDVAGGTGGVSKLIHEAFPHIKCTVLDQPQVVGNLTGNENLNFVGGDMFKSVPSADAVLLKWVLHDWNDELSLKILKNSKEAISHKGKDGKVIIIDISIDENSDDHGLTELQLEYDLVMLTMFLGKERTKKEWEKLIYDAGFSSYKVTPICGFKSLIEVYP >CAK8561521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:269205521:269207061:1 gene:gene-LATHSAT_LOCUS15105 transcript:rna-LATHSAT_LOCUS15105 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLSLFLIPSSSSIPPLCLSQTTAKCKQRFSFPSLNTNLTTLPFSSTKRFITRVSEEEEEEEEVEEEEEEEEYKFHNFPDPIPEFADSETEKLKSHLLKKLPQKDIFEDSVEEVVGVCTEIFRTFLHSEYGGPGTLLVYPFADMADTVTERGLPGGPQAARSALNWAHANVDKDWNEWRGGK >CAK8534490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:734889902:734890573:1 gene:gene-LATHSAT_LOCUS4033 transcript:rna-LATHSAT_LOCUS4033 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLKATRNLPITTLVQSTYYRMGSLFGKRGHKWTKMLATWKIFTDGCNKGMDDEVAKANTHNVMQFDRERFCFMVQEKINQNDGHPTGTFSVDLRNRWCDCGKFQAFHFSCSHVIATCFSIRQDYTIHIPDVFTVLNVFKVYKESFLGLPHEENWPKYEGFTPCHDDSMRRNKKGRLTNSRIRTEMDDAEKEKKRCGICREIGHMRRKCPNVVGPSNRPSR >CAK8536757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14187104:14187856:1 gene:gene-LATHSAT_LOCUS6090 transcript:rna-LATHSAT_LOCUS6090 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVIATRVNQFFIEVGAQETVVEIKRKIEQIHGVPVAYQILTVSGWELVDGLDMEDYPIVTEGTKVDLAIKPGESHIINCNSKIQITVKFPAKRTNIEVDQTDTVHSLKEKIHIIDSAPIKRMSLFFLGKELDDDFRNLNEYGICEFSEIIVFLKTMNRSKEPASTKVSFVVQTSSSLLNAATIPLEMRDISTINDLKQLLLCRKILPVDDYLFVHRQRIMRDSCSLRWHGVENGDYLYVFKGTVSRSA >CAK8540366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554730433:554731674:1 gene:gene-LATHSAT_LOCUS9375 transcript:rna-LATHSAT_LOCUS9375-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIQRVPVAVLLVLLALGVCSARRALLNLDAGGGYGLGHGIVGGGGGGGSGGSGGYGGVGGGSGGGGGGGAGYGGEHGVGYGGGGGNGGGGGYGIGGEHGGGYGGGAGGGGGAGGGGEHGIGYGGGGGSGGGGGAGYGAGGAQGGGYGIGGGGGSGAGVEHGGGYGGGQGGGAGGGYGGGGEHGGASGYGGGEGGGAGGGYGGGGEHGASGHGGGAGGGAGGGYNGGGEHGASGYGGGEGGGAGGGYSGGGEHGASGYGGGEGGGAGGGYGGGAEHGAGYGGGEGGGSGGGYGAGGEHGIGYGAGGGSGGGGGAGYGAGGVHGGGYGSGGGAGGGAGGGAYGGAGGGGHGGGAGYGEGGAHGGYAP >CAK8540365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554730433:554731674:1 gene:gene-LATHSAT_LOCUS9375 transcript:rna-LATHSAT_LOCUS9375 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIQRVPVAVLLVLLALGVCSARRALLNLDAGGGYGLGHGIVGGGGGGGSGGSGGYGGVGGGSGGGGGGGAGYGGEHGVGYGGGGGNGGGGGYGIGGEHGGGYGGGAGGGGGAGGGGEHGIGYGGGGGSGGGGGAGYGAGGAQGGGYGIGGGGGSGAGVEHGGGYGGGQGGGAGGGYGGGGEHGGASGYGGGEGGGAGGGYGGGGEHGASGHGGGAGGGAGGGYNGGGEHGASGYGGGEGGGAGGGYSGGGEHGASGYGGGEGGGAGGGYGGGGEHGASGYGGGEGGGAGGGYGGGGEHGASGYGGGEGGGAGGGYGGGAEHGAGYGGGEGGGSGGGYGAGGEHGIGYGAGGGSGGGGGAGYGAGGVHGGGYGSGGGAGGGAGGGAYGGAGGGGHGGGAGYGEGGAHGGYAP >CAK8537851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441696184:441698635:-1 gene:gene-LATHSAT_LOCUS7104 transcript:rna-LATHSAT_LOCUS7104 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCWLVGALIVVIYGTLLVVQGYPVEDLVVKLPGQPKVEFSQYSGYVDIDVNHGRSLFYYFVEAEYEPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRKNSMSWNRASNLLFLESPAGVGWSYSNTTSDYNIGDASTANDALLFLLKWYEKFPIYKSRELFLTGESYAGHYIPQLANAILDYNARSTGYKFKLKGVAIGNPLLNLDRDTQATYDYFWSHGMISDEVGLAIMKDCDFDDYIFASPHNVSESCSNAISDANKIVGDYINNYDVLLDVCYPSIVEQELRLKKMATKISLGIDVCMSYERRFYLNLPEVQKALHANRTNLPYPWSMCSRVLNYSNTDPNTNMLPTLKRIVENHIPVWVFSGDQDSVVPLLGSRTLIRELAHDLKFEITVPYGAWFHKGQVGGWATEYGNLLTFATVRGAAHMVPYAQPSRALHLFSSFVSGRRLPNTTRPSIE >CAK8574098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662439937:662444861:1 gene:gene-LATHSAT_LOCUS26475 transcript:rna-LATHSAT_LOCUS26475 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIEVTPQDTISEVKKNIETVQGVDVYPAAQQMLIHQGKVLKDDTTLEENKVAENSFLVIMLSKNKTKSGEGSTASNTPPAKAPLTSSAPTSTPTVSVSPQVPAAVATQPASVAAPSPAPAPTPAPISSATATEGSDVYGQAASNLVAGSNLEEIVQQILDMGGGSWDRDTVIRALRAAFNNPERAVEYLYTGIPEQAEVPAVSQVPAIAQPANPAAAAAAPQAAQPAPVTSSGPNANPLDLFPQGLPNIGAGAAGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIRDHQADFLRLINEPMEGAEGNLMGQMAGGMPQAVTVTPEERQAIERLEAMGFDRAIVLEVYFACNKNEELAANYLLDHMHEFDEQ >CAK8566304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404627197:404630352:1 gene:gene-LATHSAT_LOCUS19442 transcript:rna-LATHSAT_LOCUS19442 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQHLLEKKEAGSKAIEKLQADTSAIQIEGPDKEAKAQNTDHDGSELDNKQDNVSDAIKNVNNGKSKADTDEKVNDKVIGDSGLAQTKSETEVNNDGQEYSGDDKVKTNRDSGPKSEETNLDDNSGQNDLAKEADDNGDIESTHMNQKADEIPSEDSILDPVFDGTEVPGMEINRSTSTRKLDGDQDSSGVVEKAVALKNFVREKSAVAVSTMLRRLSGKSDEASVGNFDDESKDVSDNSEVNESKLVSQKSVEKSAWNPLNYIKKSSDVDVDYTVPPIAMKGRIILYTKLGCQESKIFRLFLRTKRLRFVEINIDVYPSRKMELEKISGSTSVPKVFFNEILIGGLSELKNLDESGRLDEKIDFLIAEAPLFETPSPPFSGEDDNSSSGAVDELALIVHKMKESITVKDRLYKMRRFTNCFLGSDALDFLSEDQYLEKPEAVEFAQKLASKLFFRHVLDENLFEDGNHVYRFLNDDPTVVAQCHNISRGIITFKPKPISEIASRLRVLASAMFEAYAFEDGCRVDYTSLHGSEEFARYLRIVEELQRVEIFDLSREEKLAFFINLYNMMAIHAILILGQPDGALERRKIFGEFKYVIGGSTYSLSAIQNGILRGNQRPPYNLKKPFGAKDKRSKVALPYPEPLIHFALVCGTRSGPALRCYSPGKIDEELLDAARNFLRSVGLLIDLTAKTAYASKILKWYSIDFGKSEVEVIKHVSNYLDPADSEVLLDLLASSELKVTYQPYDWSLNC >CAK8577090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:536324784:536325951:1 gene:gene-LATHSAT_LOCUS29228 transcript:rna-LATHSAT_LOCUS29228 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAQTVAFSWYLPLFLLLILSPFCGGSGGGDATKQELWCVAKNNAEDAALQSALDWACGAGGADCRPIQNGGPCYDVNSVQNTASFAFNDYFLKNGLTDNSCNFSNNAAVTSLDPSHDKCKFPSGLAAINVSSTGSTSSASAGLGSSGNVSGCRKASWRWWFWLSGITHLLLMVSVYV >CAK8566078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:377401740:377402572:-1 gene:gene-LATHSAT_LOCUS19244 transcript:rna-LATHSAT_LOCUS19244 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKVKLQYIINQSNRRTTFKKRKTNLIKKVREISTLCGIEACVIIYGENSVQPEVWPLGPGTLNAICKFRGVPEFERSKRMMDLEDFLKKSIEKSQEQLRKQILENKKKRFTNFIDKALMNQYNNTDPVNFNELNDFANMNELNDLAEFIDNNIKKVEKELNSMHVEAQEHVGNEIEAMTGIEQQENVGNAQTMVNGGNVQADVHGLDRNMGYDIQRDYQYLPWDYSVLPYHDYNMDIDGL >CAK8537793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434709637:434710896:-1 gene:gene-LATHSAT_LOCUS7047 transcript:rna-LATHSAT_LOCUS7047 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSSSSPSSSSTFSFDPTFCSSKTIAVGCFATIFHRIREFHSKFTSEKVQKFKTNTTINSTIASSSSSSSSSSGIVARLMGLDSMAESESTQSSKAHYVSQGFQLLENENFLVFSFESGGESRKLKSKGRRKEKGCVDLKKRREERNEVKKNKREMVNDGEVQFENSLFKEVGNGEKVKKRKKGKTCFVENKVESECGSEDSSPVSVFDFERDVSGTEEDLCDVAIGWRRKLSPVLENDQLYIQHCDSNLMNEEEMEVEEIENNMHEGSKKKERQKSQNNECVDIWSKICRLVEDELPDVKRKQSDFESLSADLESEILDDMLDELIDQFVTSCL >CAK8541658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:337734264:337734944:1 gene:gene-LATHSAT_LOCUS10562 transcript:rna-LATHSAT_LOCUS10562 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLALNIQSGEEVAVKLESVKTRRPQLHYESKLYMLLQGGTGILHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFTLKSVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKTKIR >CAK8567755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541668858:541671073:1 gene:gene-LATHSAT_LOCUS20778 transcript:rna-LATHSAT_LOCUS20778 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKFSNAALVLLIASLSLFSLIVAASDLNSDQQALLEFASSVPHAPRLNWNDSSSICTSWTGVTCNSNRTRVVGIHLPGIGLTGSIPENTIGKLDSLRVLSLHSNGLRGNLPSNILSIPSLQFAHLQKNNFSGLIPSSVSPKLVVFDISFNSFSGIIPSEFQNLRRLTWFNLQNNSISGPIPDFNLPSLRYLNLSNNNLNGSIPNSIKTFPSTSFLGNSLLCGPPLLSNCSSISPSPSPSPALTQNQKDTTARKKSFGIAAILALVIGGIALLSLLVLVFFVCFLKKKNNKRSGILKGKASSCAGKTEVSKSFGSGVQAAEKNKLFFFEGSSYTFDLEDLLKASAEVLGKGSYGTAYKAVLEEGVTMVVKRLKEVVVGKKEFEQQLDIVGRFGRHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNKGAGRTPFDWDSRVKVALGTAKGIAFIHSEGGSKFTHGNIKSTNVLITQELDSCISDVGLPPLMNAPATMSRANGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVKSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVGKTPDMRPRMDEAVRMIEEIKHPEFKNRTSSESEYSNLQTP >CAK8573260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598592134:598600004:1 gene:gene-LATHSAT_LOCUS25730 transcript:rna-LATHSAT_LOCUS25730 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQSGTERLGVRLGSHDLHITNGSGDHVAVGIRGGVSHKQLRFRRSARSDRVAHFSVFAILIFLFVVLVVTFLAFSYISKEEISNNGGGDTDDIKGDSDFLTNVPRIQKKVLDFGHSSGGHGRDSRYWDKDDRRRDGDYDEDMKEQISRDLGDVITEDDAPGKTNHDIKFPQDGSHMGVKRKGDGLYNEAGRHELKRYEAEYEASLKNARHSTEGDGKLSQNVDMKKKNTVVDIDDDYDDFFDSDTPSLDTEVQKESHDSLDVGDNGDIASEEDNDGESSNNKTNSRGGKTNSRPAGIINEKTNRKSRPETKRKGKHRKYSGSCEMKLLNSTSLLVEPLESRKFARFSLQYVEKEEKPLEVEQWKPKFAGHQSLEERENSFLARDQNIKCGFVKGPEGSPSTGFDMSEDDESYISRCHIAVISCIFGNSDRLRIPATKTITRLSRKNVCFVMFTDEVTVRTLSSEGHAPDRMGFIGFWKLVVVKNLPYDDMRRVGKIPKLLAHRLFPFARYSIWLDSKLRLQLDPLLILEYFLWRKGYEFAISNHYDRHCVWEEVAQNKKLNKYNHTVIDQQFAFYRADGLQRFNASDPKKLLPSNVPEGSFIIRAHTPMSNLFNCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFHLNMFKDCERRHMAKLFHHRMDEKRITHLKATE >CAK8532644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:339213035:339213961:-1 gene:gene-LATHSAT_LOCUS2327 transcript:rna-LATHSAT_LOCUS2327 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEANVSALTNFEVLDFIRAKGASKDPTRVIAKVAMSEYKVYDYLVNTAAGSQTRESVKEYLTSIKKHELSQAEVLNVLNIRPAAEVELFHIIEDCTVRFPDEEIAEIVELVEKTLPSPSNKESSKEISEGEEETETQKHESSNEISQDQTEDGEQMDTS >CAK8537199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:131547902:131548204:1 gene:gene-LATHSAT_LOCUS6505 transcript:rna-LATHSAT_LOCUS6505 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKDMKVQISSRNEVMQGSGVMEYNGSHSQGGLLRQPSMNKNNCLCSPTTHAGSFRCRLHRTPSLTRTKSMESSSSSSHDQASLIHASVVVANGDANKN >CAK8562297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468557588:468558715:1 gene:gene-LATHSAT_LOCUS15804 transcript:rna-LATHSAT_LOCUS15804 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSKMMIDANDCTKMGSHSLYAADLISYEAACVKDPNLQSFDESIKQRTNRVISSLATGVEVRSLSFDSLREVTDSLLEMNHEVVKVILDCKKDIWGNKDLFSLVDDYFNNSMQTLEFCNSLEKCLRRARENQVIVKSVITYFEEEVQNGLEGGTCVKTLQELKNFKDAGDPFTEEFYLLFQSVYTQQATMLKKLQIRKRKLDKELKSLKSWKRVSNAIFLAAFVSVLIFSVVAASIAAPPVVTALAAALVVPLGSVGKWCNSLFKGYEKALKGQREVVDSMQLGTYISLKDLDNIRVLTYKLELQLESLLQNADFALKNEDVIKLAIDEIKKNIETFSETLETLSANADKCSRQIRKARAVVIQNIIKRPG >CAK8567685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536086788:536089552:-1 gene:gene-LATHSAT_LOCUS20714 transcript:rna-LATHSAT_LOCUS20714 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADNVSANLDNNTNTNNVVSSDSTEVEKSKPRSDQDVTINNNGVFNHQLSNGGNYSFKAHQLGQMHANGVQNQQFVMNNDGYVMNGENEGESFKREMRDLEELLSKLNPMAEEFVPPSLANNHGYLAGPAAGFGYPNNYILLNNYANANGQTNRRRKTGYSTNGKRRVNHKVDMEKREEMIRRTVYVSDIDQQVTEEQLASLFLNCGQVVDCRVCGDPNSILRFAFIEFTDEESARAAVSLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVQRLRLLGDYHHSTRIAFVEFTVADSAIAALSCSGVILGALPIRVSPSKTPVRARSPRSP >CAK8534905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789117700:789118143:-1 gene:gene-LATHSAT_LOCUS4406 transcript:rna-LATHSAT_LOCUS4406 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSNNQTSQHHHPLGWIARAEKCFKKQMHAKTIELEMQRGLHQNLKFELQQLKTALNVLNIFEDDDKEEEVDLEFFNKFSALENDLTKKKELIEELETLNQVLTVKRTVR >CAK8565610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:292759014:292763308:-1 gene:gene-LATHSAT_LOCUS18807 transcript:rna-LATHSAT_LOCUS18807 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHVDNSFASKARTVFHSAAAKAERVLMDFKLDIEFDKQLRDDYGRRRCPGDESAKENESKFLNELKQIKWRPRHIGTKQDWKDKINNIRKGRKEMENTDKVGDASMADIPLPDENLSILHMKNDLDAKASERFPSVESLTAMAERPIPPSSVLKQLAIAVKAGRKANSMKDFIASSGGSLPSMERAGLSLSAVKALVLLEKHDKLSSEFCSDEKVLYLINSLFNPDGEFLRRKINSNSDEIDLTSFIRDIHGAPPESLVVKLAEVMGSFKTLREMEFFWCRVVAEMRKLWYEEKHLPGIPLDDIPDLKSCLLYQHIQVINCCISRKKRHIIATESLDSMMMKANSNRLKSTNFCDGTSASRLLYARLSTGEPVLRLGAHCPYGNVTLLETGEPAYSPITQEVPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWYSPPDWTENEANTEDSYSSDDSSSESFSTRGQLSQRMRKEGNLWHELWETSKPVPAVKQTPLFEEDLAVEGILDAFEDIQPVELFGQLFVSLLGLGFTIAEPLLCRNIVFSKLFNDCKEYVVTICESSRFSEKIDELVQVYETVERMLVDPEEVLKMMKHSEESTVNTGSETQGRFKKLISSGKEKLSRSFRKDQIDNEENATPQSFSSFFDSKSSLFSKKSMKGGKLWPAPAPPSFEDDWIVN >CAK8570897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:159106538:159106861:1 gene:gene-LATHSAT_LOCUS23608 transcript:rna-LATHSAT_LOCUS23608 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSS >CAK8568151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577081143:577085161:-1 gene:gene-LATHSAT_LOCUS21142 transcript:rna-LATHSAT_LOCUS21142 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDRDNDINDTLVQSLLSNEVSEEEERFGKKLWIETKKLWLIVGPAIFSRIASFTMNVVSQAFAGHLGEVELASITIANTVIVGFNFGLLLGMASALETLCGQAFGAKRHNMLGIYLQRSWIVLFVCCFLLLPFYVFATPFLKLIGQPDDVAESSGIVAIWLIPLHFSFAFQFPLARFLQCQLKTGVIAWVSLLGLVVNVVTSWLLVYVWDFGLIGAAIALDVSWWVLVFGMFGYTVCGGCPLTWNGFSMEAFNGLWDFFKLSLASGVMLCLENWYYRILLLMTGQLENATVAVDALSVCMTINGWEMMIPFAFFAGTGVRVANELGAGKGKSAKFAMQVSVIQSTVIGLIFCVLIMIFQRQFAYIFTSSPSVLQAVSDMSILLGVTVLLNSVQPVLSGVAVGSGWQGFVAYVNIGCYYLIGLPLGIIMGWVFNTGVEGIWGGMIFGGTTIQTLILIIITVRCDWEKEAKKAGSRVNKWSVTKPNDQLQITD >CAK8577678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583124449:583124925:-1 gene:gene-LATHSAT_LOCUS29763 transcript:rna-LATHSAT_LOCUS29763 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNVYDPFSLDVWDPFKDFPFPGSSLSASFPRENSAFVNTRVDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDEWHRVERSSGKFLRRFKLPENAKMDQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8565879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346442651:346443115:1 gene:gene-LATHSAT_LOCUS19056 transcript:rna-LATHSAT_LOCUS19056 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAACASYVNTWEKIMLEIKGVNEEAFKHLIKIPPRFRSKSRFQPSSGCDTWVNNMSETFNSIFVAARSKSIMTMLEEIRVYIMKRWESNRQKITKYDGIILPNIKKRMEKESQKTNHWIVR >CAK8532447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264257344:264258075:-1 gene:gene-LATHSAT_LOCUS2151 transcript:rna-LATHSAT_LOCUS2151-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAQATIYALHQTWWTESPHFDSSTKPTREQTLLLATKEKVSREALLLDDANPKRRSTLLYMQLDTPTVRRQERKWQKPRSEEVSRTLCHFENFPSKKMSRVTLSDAIMGDRKHSGWEGRIEATRAESHWIVAARPLCHFQYPILYLSHLQRILLAAQWELRFKVSRKAHPSYGVHQQHVPLGDQGPLLLVGKRTAGARITSSPDSDLEAFSHNPTHSSFAPLAFQPSAMTNCANQRFLSY >CAK8532446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264257344:264258195:-1 gene:gene-LATHSAT_LOCUS2151 transcript:rna-LATHSAT_LOCUS2151 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSSTFLGLCVQDADTTEPSPRASHFEMCFCRCLSSQHMADGAQATIYALHQTWWTESPHFDSSTKPTREQTLLLATKEKVSREALLLDDANPKRRSTLLYMQLDTPTVRRQERKWQKPRSEEVSRTLCHFENFPSKKMSRVTLSDAIMGDRKHSGWEGRIEATRAESHWIVAARPLCHFQYPILYLSHLQRILLAAQWELRFKVSRKAHPSYGVHQQHVPLGDQGPLLLVGKRTAGARITSSPDSDLEAFSHNPTHSSFAPLAFQPSAMTNCANQRFLSY >CAK8531752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147131427:147131720:-1 gene:gene-LATHSAT_LOCUS1518 transcript:rna-LATHSAT_LOCUS1518 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLAEVPDYWFCETCQSKNCTTSPCEVKQDIGLQVSTRKQYFRTGPIGKVKYLHEEEVIKLSSCNISTKATPVSSTLLMTKNASSKPPTLLGSFA >CAK8577352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556546300:556546611:1 gene:gene-LATHSAT_LOCUS29466 transcript:rna-LATHSAT_LOCUS29466 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRENIWGITKPLIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMNVVYTLKRQGRTLYGFGG >CAK8575832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332782102:332784821:1 gene:gene-LATHSAT_LOCUS28063 transcript:rna-LATHSAT_LOCUS28063-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALIALGPSLSLFVSVISKKPFLILTVLSSTLLWLISLIVLSGIWRGFLPLNTTAVWPYGILILSSVAFQEGLRLFFWKIFKRLEDILDAFADRVSKPHLFLTDKMLIALAGGLGHGVAHAVFFCISLLTPAFGPATYFVDKCSKIPFFLLSAVIALAFVTIHTFSMVIAFNGYAEGNKVDCYFVPIVHVVAGMLTMVNLVPGGCAVGIPLLYFTAILTLIHCGRMVWRRLTENPIRSIHS >CAK8575831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332782102:332785063:1 gene:gene-LATHSAT_LOCUS28063 transcript:rna-LATHSAT_LOCUS28063 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALIALGPSLSLFVSVISKKPFLILTVLSSTLLWLISLIVLSGIWRGFLPLNTTAVWPYGILILSSVAFQEGLRLFFWKIFKRLEDILDAFADRVSKPHLFLTDKMLIALAGGLGHGVAHAVFFCISLLTPAFGPATYFVDKCSKIPFFLLSAVIALAFVTIHTFSMVIAFNGYAEGNKVDCYFVPIVHVVAGMLTMVNLVPGGCAVGIPLLYFTAILTLIHCGRMVWRRLTENPIRSIHS >CAK8576695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507220339:507220620:-1 gene:gene-LATHSAT_LOCUS28868 transcript:rna-LATHSAT_LOCUS28868 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPSIIRSTSFSTKRGVLKGVDVPKGYLAVYVGEKQTRHVIPVSYLNQPLFQDLLSQAEEEFGYIHPMGGLTIPCTEDVFQHTTSSLNGL >CAK8544511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689578589:689582020:1 gene:gene-LATHSAT_LOCUS13183 transcript:rna-LATHSAT_LOCUS13183-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRWTKGKHETVGRDRKQFMKDRAYEDSLTGDFRMPINQRPTENVDLDNVEQASLDIHINSSNIGFKLLQKMGWKGKGLGKDEQGIVEPIKSGIRDPRLGVGKQEEDDFFTAEENVQRKKLDIELEETEENVRKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGSSSRDDRQKREQQRQEKELAKFAQIADAQKQQRLQLQQESGSTPVSVSSESRTATALTDQEQRNTLKFGFSSKGFASKNTVGAKKQNVSKKQNVPVASIFGNDSDEE >CAK8544512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689578589:689582020:1 gene:gene-LATHSAT_LOCUS13183 transcript:rna-LATHSAT_LOCUS13183 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRWTKGKHETVGRDRKQFMKDRAYEDSLTGDFRMPINQRPTENVDLDNVEQASLDIHINSSNIGFKLLQKMGWKGKGLGKDEQGIVEPIKSGIRDPRLGVGKQEEDDFFTAEENVQRKKLDIELEETEENVRKREKAAYNLYACLFTGLVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGSSSRDDRQKREQQRQEKELAKFAQIADAQKQQRLQLQQESGSTPVSVSSESRTATALTDQEQRNTLKFGFSSKGFASKNTVGAKKQNVSKKQNVPVASIFGNDSDEE >CAK8533242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:595962730:595964724:1 gene:gene-LATHSAT_LOCUS2887 transcript:rna-LATHSAT_LOCUS2887 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKSLPGDQ >CAK8531439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110537135:110537479:1 gene:gene-LATHSAT_LOCUS1232 transcript:rna-LATHSAT_LOCUS1232 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLCTSCSSTSLSRDDDTGGLFCASCGAVQPFDQYESFTGGINGPQGTFVHIGTSGSGNFYSYKDRKLLSAHNSIEEFTNRLGLCSKTIEIKSMISDITDVNSVKGTGFKF >CAK8576725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509425710:509426009:1 gene:gene-LATHSAT_LOCUS28898 transcript:rna-LATHSAT_LOCUS28898 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRSIKDDPPNWFEFGLVKKVETCLQASFWKDHWIGSIPLKTRFQRLFPIFQRQDDIVGEIGSWVDGRISWNLGWRRPFFVYEESMVSDFFSRSCRS >CAK8569259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688155891:688157721:-1 gene:gene-LATHSAT_LOCUS22134 transcript:rna-LATHSAT_LOCUS22134 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMQIKDEKKEDNDDQHEQVDSASALQQYLDHIPITSISGIYNSLVLEIKVGDTVRDAIHMLYENKTFGAAIVDVLDTETSGVRFSNRYIGFILFPNIVLWCLEEYENMKEDANGRHLKDIENDDLFSILDQIPQIGQTKVGELAKSFLWEPFFPVRLDDTILHALLLLSKHSLHVLPVIQQPDAAALIGFVTQNALVELLLQSSELEWFDNIANKNLLDFRFEAQEHPRCVFGNQTVADALKLLWQNQICTVAVVDRQTKKLIGNVRNSDIYNLVKNKDLLRNRRIVTVEEFLRTETDTTDAEPITENDHGADQTTGSLHLKNSFKSRMDLPVTNKENDTLKQVMEQMTKTNSCFSFLINDNKQVTGLITVRDIILQFAPPCVNSSIGGGGFFELALEQSRCHISNGTIIRNH >CAK8579786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722618733:722619886:-1 gene:gene-LATHSAT_LOCUS31702 transcript:rna-LATHSAT_LOCUS31702 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEDLIKRLTSELTGKLEDAVLLWMHDPAGRDAEILRKYLTVTKVLDTKALEAATEVICSRTPAQLQYLKQIYHTKFGTFLDHDIEINTSGDHKKLLLAYLNTPRHEGPETNRDMAENDAVVLYRAGEKKLGIDEKIFVQIFSGHSAAQLALINQCYNTKYGHSLKKVIKKETSGHFAHALSTIVQCAENPARYFAKVLRKAMKGLGTDDTKLIRVIVTRCEIDLHYIKAEYLKKYKKTLNDAVHSETSGHYRSFLLALLNHKAIN >CAK8544170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670372223:670375052:1 gene:gene-LATHSAT_LOCUS12872 transcript:rna-LATHSAT_LOCUS12872 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKNIVQYREGLDKTLASFGLTNDQKLKSLVESQVRCSSQSETELEGYAEKLEIKTAELSNFLDMVRSASADENGGSSTSDTGWKLKQDNEEFRVMYRVGPEGTPYHTLLVEGYVDGPVDVSLCLSWESTLYKKWWPQFTIPSFKVLASDCLQKVQTGEQIALVRMKPPWPLSTREAIVHYYLFEYFQDDLIVVLLKSVTEPERVNETIDGFNNDVIPEANGVVRIDLVGGYVIQKVTSERSYFRTIANLDIKVDFMPPSLVNFISRQLIGSGFRLYQKAVASMMNNDREFSKALTDSLYVRIREALYSTSESNAMVEEELMQVASILPAEELIQSKQDSEKDISRDSYNGEILDAGSEETVQSEKDINKVHEIPIEESGSPFVLKSNTNCEIVDADSEEIVIEANIEEIVQIENNTNKEHDISIEYDDTRGVQKRKSNVYINSNVKQALETLERAISAVRKYGFHSRRFSFTFANEAAASKEKGDEVDQYSAKLFQPSAKNDIGIPRESSDDLYEIQTIRHAGTDYNKVVLALPNQNLLRPIEASQVDSYSLKTETTLDQLLCDNKEYTEPDMSSGDPIKSSRQNKINSLVTEGISSDVPKQLNKRKNYRYCCFSY >CAK8544171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670374918:670375052:1 gene:gene-LATHSAT_LOCUS12872 transcript:rna-LATHSAT_LOCUS12872-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDPIKSSRQNKINSLVTEGISSDVPKQLNKRKNYRYCCFSY >CAK8531953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184245345:184245851:-1 gene:gene-LATHSAT_LOCUS1706 transcript:rna-LATHSAT_LOCUS1706 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFNYFLISEGLVDLWRMKGKSIGNQEVSDNVPIWLKVNLLNWGKNLFIFKDKLKQLKASLKAWNLEVLGRQEMGFEEAILYLISLDDLVGNYVEPLSSILFRWISLTQSLVWEQLHRRKSMLRKKSRCKWLKAED >CAK8539751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523932104:523933938:-1 gene:gene-LATHSAT_LOCUS8819 transcript:rna-LATHSAT_LOCUS8819 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPVNFITVLSIDGGGVRGIIPGVILTYLESQLQEIDGADARLADYFDVIAGTSTGGLITAMLTAPNPNNRPLFAAREIVPFYLKNLPLIFPQKSGIFAPLMNIGTALTGPKYDGKYLQKTIREMTGNTLLSQTVTNIVIPSFDVEKLQPTIFSSYQIDAEPALDVKLSDICIATSAAPTYLPAHYFEKKDEQGKVIQEYNLIDGGVCANNPTMVAIREVTKDMIRQPQRSGNNVGIGYDRFLVISIGTGSNKSERKYNAKMVAKWGALTWLFNSGATPVLDCFNEASTDMVDYHNSVLFTALQSQDNYLRIQDDTLEGELASVDISTKDNLNNLVKVGENLLKKKFTRVNLDSGIYETVPDKGTIQEELKRFAGLLSEIRKAKKCKHQNGK >CAK8572376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532928283:532929127:-1 gene:gene-LATHSAT_LOCUS24947 transcript:rna-LATHSAT_LOCUS24947 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKPKRDEGIKYGDEFNVQGDIERKTVAPEDAVMMQKDGGVGITETDVPGRHAISEPIGGQAVEQFSQNAAMNTMTPLSLVERMGVGAGGGSTPGITIGEALEATVLTAGKKPVGWSDAAAIQAAEVRASGRTNILPGGVAAAAQSAATLNARLTKDDDKTKLADVLADATTKLPGDRAATRRDAEGVTGAEMRNDPSLTTHPGGVAASVAAAARLNQKK >CAK8564312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667534507:667543635:1 gene:gene-LATHSAT_LOCUS17631 transcript:rna-LATHSAT_LOCUS17631 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRKLFFRKPPDGLLEICERVYVFDCCFTTEAWNVEKYKVYVDGIVGELRENVPDASIMVFNFREERTKSLMAKVMSEYDITIMDYPRHYEGCPVLKMELVHHFLRSSESWLSLGQHNVLLMHCERGGWPVLAFMLAALLIFRKVYTGEHRTLDMVYRQSPHELLHLLTPLNPIPSQLRYLQYVSRRNVALDWPPLDRALMLDCIILRFFPNFDGKGGCHPLFRIYGQDPFSSDKRSKMLYSMPKRNKNIRAYKQGECELIKVDINCHIQGDVVIEGINLKDDTEHEMMMFRVMFNTAFVRSNILMLNRDEIDVLWDAKDHFPKDFRAEILFSEMDAAAAVIADGKSCFEEKEGLPIEAFAKVQEIFSHVDWMNPKADAALNVLQHISTSAIMNDKLDKVSDQHVETATLLRETDPKTPQRNSYEAIQSLSSTKRSPSNDMSGKEDKTNKVDAIPQRDDASDIICQETICSPERSLKSSKCPTGSTNLGIKLQAPNLSSPDSVDSSLSPETPPLRPPSTARAKEIHDSPPHTESPPHHILPLQSGHQSQGRSYSPTSTSTPGNQLLSAPHNKSPPAAVVSPPSLSAASSTQPPPLLSSKTPSNDIPPVKTRPESSPSQPLTPPTPPLKDHKVVRAGPPPPPPSQPPPKKELHVETGPPLVPSPINEKPRDGISPPPTPPLPPLKVEQPVRFNPPPPPPPPSLSREVASPTPPSISRDAASPTTAPPAPPPPRHSREAASPTIGPPAPPPPPSATLSSSNPNPSLQKCPPVPPPPIPFVKEGLKAGGGFPMSLSVGGDANNVSGTSGHQSSSLTGSKGRVLSHTIGSKNNSKKLKPLHWMKLSRAVQGSLWDETQKSGEASKAPEIDMSELESLFSAAAPSSGLAKKSNAQSSVRPKSEKVQLIDHRRACNCEIMLSKVKVPLHDLMSSVLALEESLDVDQVENLIKFCPTKEEMEIIKSYNGEKEKLGKCEQFFMELMKVPRVESKLRVFSFKIQFHSQVSDLKNSLNAVNASSEEIRSSVKLKRIMQTILTLGNALNQGTARGSAIGFRLDSLLKLTETRARNNKMTLMHYLCKVLDDKLPEVSDFSKDLANLEPAAKVQLKFLAEEMQAINKGLEKIVQELSTSENDGPISEKFRKKLKDFLCSAEAEVRALASMYSGVGRNVDALILYFGEDPSRCPFEQVVTTLLNFTQMFNKAHEENCKQLELEMKKTAESEKKKCESERILPKAIRTGNVK >CAK8564311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667534507:667543635:1 gene:gene-LATHSAT_LOCUS17631 transcript:rna-LATHSAT_LOCUS17631-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRKLFFRKPPDGLLEICERVYVFDCCFTTEAWNVEKYKVYVDGIVGELRENVPDASIMVFNFREERTKSLMAKVMSEYDITIMDYPRHYEGCPVLKMELVHHFLRSSESWLSLGQHNVLLMHCERGGWPVLAFMLAALLIFRKVYTGEHRTLDMVYRQSPHELLHLLTPLNPIPSQLRYLQYVSRRNVALDWPPLDRALMLDCIILRFFPNFDGKGGCHPLFRIYGQDPFSSDKRSKMLYSMPKRNKNIRAYKQGECELIKVDINCHIQGDVVIEGINLKDDTEHEMMMFRVMFNTAFVRSNILMLNRDEIDVLWDAKDHFPKDFRAEILFSEMDAAAAVIADGKSCFEEKEGLPIEAFAKVQEIFSHVDWMNPKADAALNVLQHISTSAIMNDKLDKVSDQHVETATLLRETDPKTPQRNSYEAIQSLSSTKRSPSNDMSGKEDKTNKVDAIPQRDDASDIICQETICSPERSLKSSKCPTGSTNLGIKLQAPNLSSPDSVDSSLSPETPPLRPPSTARAKEIHDSPPHTESPPHHILPLQSGHQSQGRSYSPTSTSTPGNQLLSAPHNKSPPAAVVSPPSLSAASSTQPPPLLSSKTPSNDIPPVKTRPESSPSQPLTPPTPPLKDHKVVRAGPPPPPPSQPPPKKELHVETGPPLVPSPINEKPRDGISPPPTPPLPPLKVEQPVRFNPPPPPPPPSLSREVASPTPPRPPAPPPPPSATLSSSNPNPSLQKCPPVPPPPIPFVKEGLKAGGGFPMSLSVGGDANNVSGTSGHQSSSLTGSKGRVLSHTIGSKNNSKKLKPLHWMKLSRAVQGSLWDETQKSGEASKAPEIDMSELESLFSAAAPSSGLAKKSNAQSSVRPKSEKVQLIDHRRACNCEIMLSKVKVPLHDLMSSVLALEESLDVDQVENLIKFCPTKEEMEIIKSYNGEKEKLGKCEQFFMELMKVPRVESKLRVFSFKIQFHSQVSDLKNSLNAVNASSEEIRSSVKLKRIMQTILTLGNALNQGTARGSAIGFRLDSLLKLTETRARNNKMTLMHYLCKVLDDKLPEVSDFSKDLANLEPAAKVQLKFLAEEMQAINKGLEKIVQELSTSENDGPISEKFRKKLKDFLCSAEAEVRALASMYSGVGRNVDALILYFGEDPSRCPFEQVVTTLLNFTQMFNKAHEENCKQLELEMKKTAESEKKKCESERILPKAIRTGNVK >CAK8536277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924463673:924464539:1 gene:gene-LATHSAT_LOCUS5661 transcript:rna-LATHSAT_LOCUS5661 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIQKQLSLLCISLTLLFSLTLATLSPATSPVQTQTQTPTSSPTKPLIPTLPPNDNNTPQTSTTDIVQILKQANSFNIFIRLMKTTQLINQLNSQLITIKSGGLTILAPEDSAFSELKPGFLNTLSNGQKLELLQFHVVPDFVSSSNFDTLTNPVRTLAGNKPGKVELNVISFNGNVNISTGEVNTTVNGVIYTDKHLAIYRVGKVLIPSEFYPKKKIVVAPALAPAPVIDEAKAPKAEKEKPVSSEDSSSQVVPTLTSGGMRIDVCGTWVVTVVGIVLGGFYNMKY >CAK8537382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:317585102:317589460:-1 gene:gene-LATHSAT_LOCUS6675 transcript:rna-LATHSAT_LOCUS6675 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGPVVPANSPHLRKSGSRAVVYDFDEYEGEDGADESLLHSGEVNDTRGGTTPMSATAMMPSPILLWRFKVLLFLIWGCICCKIGWDSVMRMSADKRDLFIYEAFLYFNPLLLATLMVWLWGINLWFFAQGGVSYSKIFDLDQNHLTHAEIWKCAMWMTVIVPTSMTAYIYLYSRGEVAYAASQPVLLYAAIVMVLIFPFDIFYFSSRYFFLRTLWRIIFPLQAISFADFFLADILTSMVKVFSDLERSVCRMVHRQVATVAWLEADSICGSHSVAIPLVLVLPYLFRLNQCLRQYKDTGEKSALLNGKSSWLCYN >CAK8569648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7217851:7219530:-1 gene:gene-LATHSAT_LOCUS22476 transcript:rna-LATHSAT_LOCUS22476 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSETVCVTGAAGFIGSWLVMRLMEHGYTVRATVRDPDNMKKVKHLLELPGANSKLTLWKADLAEEGSFDEAIKGCTGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACLKAKTVRRMVFTSSAGSLNVTENQKLLWDESDWSDVEFCRRVKMTGWMYFVSKTLAEQEAWKFAKENNMDLITIIPPLVVGPFLSPSMPPSLITALSPITGNDAHYSIIKQGQFVHLDDLCEAHIFLFEHLEVEGRYICNACEANIHDIAKLINAKYPEYNVPTKFEKIPNELEHIRFSSKKIEDLGFEFKYGLEDMYTQAIDSCREKGLLPKAIKAINSGREKGLLPKAIEAIDSCREEEVLPKNIEVLKMNGVTQK >CAK8562326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473860669:473861049:1 gene:gene-LATHSAT_LOCUS15832 transcript:rna-LATHSAT_LOCUS15832 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGEGVNNMVTISEAFNSVIIESRAKPLVTMLEEIRGCILERWAANRVKSSQLNDGDVLPNIRWKVEKTSSFTHYWIIRMSCEFIFEVRHIKNQGDILLST >CAK8564810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11084555:11085966:-1 gene:gene-LATHSAT_LOCUS18075 transcript:rna-LATHSAT_LOCUS18075 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKYTIFIFLFTIHGGVSTPPADPIKCSSNNTNCTITNANGMFPDRTICKAAEAKYPTSEAELISIVASASKNNQKMKVTTRYSHSIPKLVCPDDNGILISTKNLNRVIRIDRESMRISVESGVTLRQIISEAARYEMALPYTPYWWGLTIGGLLGTGAHASTLWGKGSVVHEYVRHVKIVSPSGSEDGFVKVRNLDESQEDLNAARVSLGVLGVISQVTLQLQPIFKRSLTYLTKNDSDLGDELITFGRKHEFADVSWYPSQKKVVYRIDDRVPLNTSGNGLYDFTGFRSTLSLALAVVRGTEEIQETGNDANGKCISAQLISTTLAASGYGLTDNGVFIGYPIVGLHNRMQSSGTCLDSLEDLMITACP >CAK8541008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:42430670:42431371:-1 gene:gene-LATHSAT_LOCUS9961 transcript:rna-LATHSAT_LOCUS9961 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLEKNGNIFILTLTGDGEHRLNPTLLNSIKSALHRVRQQATTSSALITTAHGKFFSNGYDIDWAQSFADRLVLIDDLLRSVVSDLLSLPMPTIAAVTGHASAAGYILALAHDYVLMRSDRGFLYMSEIDINRVIPAWFVATVDAKVGDAAARRRIVMQAGKVTAKEAVRLGIVDSAHDSAEETVKAAVGLGGDLVKRGWDGHVYAANRKRFLGNVIRAVEDKSERKVESKL >CAK8570782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:113849956:113850630:1 gene:gene-LATHSAT_LOCUS23505 transcript:rna-LATHSAT_LOCUS23505 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKETSNAVTTDPEPTNQTAITVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSSLSEHKPFLSPSPFILGKRLRSDHDDVSIAAPTAPPALWRPDFAQLWSFAAAAQPPPDVLSISPNQHQHSSLFHHQQHQQQQQQQHQQQGSMGEASAARLGNYLPGHLNLLASLSGGNGNSGRGDEEDR >CAK8536309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929880302:929881997:-1 gene:gene-LATHSAT_LOCUS5690 transcript:rna-LATHSAT_LOCUS5690 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQLNLNQPLLSVRRFTSTIASESDNTDNSSAKVPYLPYKSEFSSVPVRNAGAVPFQWEKTPGKPKDISVIEREKEGNEEKGGFDSDDGDESFQDERDTISRTKSFFTRSSMSSDDHEVQVRPNGGFSSDEHARECMIDRFLPAAKAMIREKPQCAPKKFRSSMKSSLLNQYKPKLVRFREGGLVESDDSENFTTNTTACGLFPQFRVLNPMAGGRMENKVQSNADCSSTVLPFETRKEERARASYQRGCGESLVCESPPVEKTLYVDFIHRTRFETDHNGDDFEASKRDSDIYENLSVDSLLKNNQGLDVVNVKTALEAKFSQTLDSPFPVCSENPNSGMQVGSEKLGIQGSDLGQDSVSSSSPKMVECEQIDYEKLIKDFDFDVKRQPAAKLIDREQTVEYDRKIDLESQCGLTLGHQELTGATSFFEIPLILPSLKAPSESWLTRTLPAISTENTCSKPKPCCKYVFKTASLYPKSSNVQHGVMQFHEELVKPIAED >CAK8530278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11403994:11407185:-1 gene:gene-LATHSAT_LOCUS156 transcript:rna-LATHSAT_LOCUS156 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFSDGVVARTTEEINSNPSSRRHAESILPHRHKVTSPPRQTLFQEFKHNFNETFFSDDPFGKFKNQKGSRKFILGLESIFPIFEWGRGYNLKSFKGDLIAGLTIASLCIPQDIAYAKLAFLDPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTLLTDEIPNFNSHEYLRLAFTATFFAGVTQMALGLLRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGFLGIKDFTKKTDIISVMRSVFSAAHHGWNWETILIGVSFLAFLLTTKYIAKKKKNLFWVAAISPMICVIVSTFSVYITRADKKGVAIVKHIEDGLNPISAGEIFFSGKYLSAGIRIGLISGMVALTEAVAIGRTFAAMKDYSLDGNREMVALGTMNVIGSLTSCYVATGSFSRSAVNYMAGCRTAVSNIVMSIVLLLTLLVITPLFKYTPNAVLASIIIAAVMSLIDIKAAVLLWKVDKFDFLACMGAFFGVIFKSVEIGLLIAVAISFAKILLQVTRPKTVVLGKLPGTTVYRNVLQYPKAAQIPGMLIVRVDSAIYFSNSNYIKDRILKWLTDEEAQRTASEFASIQYLIVEMSPVTDIDTSGIHALEDLLKSLKKKSVQLLVANPGPIVIEKLHASELSSLIGEDKIFLTVGDAVATFGPKGVGS >CAK8537039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:65452923:65455806:-1 gene:gene-LATHSAT_LOCUS6356 transcript:rna-LATHSAT_LOCUS6356-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESYDETIASLTKLLQEKAELSSIAAVKIRELTAELEATAAKPFNPDERIRSGFVQFKTEKFEKNPDLYGELAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPFDKTKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIENWVQICNPAKSKVKTDSSLSFSEQCTNCEKEAVNVSIGNLLTYPFVREAVVKKTLALKGAHYNFLNGTFELWDLNFNVLPSVTV >CAK8537038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:65452923:65460867:-1 gene:gene-LATHSAT_LOCUS6356 transcript:rna-LATHSAT_LOCUS6356 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFKKCMMLCCTSKIVSKEDMAAESYDETIASLTKLLQEKAELSSIAAVKIRELTAELEATAAKPFNPDERIRSGFVQFKTEKFEKNPDLYGELAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPFDKTKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIENWVQICNPAKSKVKTDSSLSFSEQCTNCEKEAVNVSIGNLLTYPFVREAVVKKTLALKGAHYNFLNGTFELWDLNFNVLPSVTV >CAK8569715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9146123:9148846:-1 gene:gene-LATHSAT_LOCUS22535 transcript:rna-LATHSAT_LOCUS22535 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRTLLRSHVRSFASRASHSHHNNHRENHKFLSPSSFVGSWQAPKDPKEAEAMLAQLRRDYAKQVKEVRKEYIREMEALALEKQRKDEARRESLRVANEERKKLKAQAAELRAQERNIAQQQFRETLLKERAEKLENWRMKAKKHEEKKAEKKELLHKQSSLWVDEAELEKEIMNVVTATTYL >CAK8569716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9146123:9148843:-1 gene:gene-LATHSAT_LOCUS22535 transcript:rna-LATHSAT_LOCUS22535-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTLLRSHVRSFASRASHSHHNNHRENHKFLSPSSFVGSWQAPKDPKEAEAMLAQLRRDYAKQVKEVRKEYIREMEALALEKQRKDEARRESLRVANEERKKLKAQAAELRAQERNIAQQQFRETLLKERAEKLENWRMKAKKHEEKKAEKKELLHKQSSLWVDEAELEKEIMNVVTATTYL >CAK8560982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:67041909:67042985:-1 gene:gene-LATHSAT_LOCUS14613 transcript:rna-LATHSAT_LOCUS14613 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQMSSSTVVRTSIEDVRSLRFITAIKTPYLPDGKIDLEAYDNLVNILIANGVEGILVAGSTGECQLMTWDEQIMLIAHTVNCFGDKVKVIGNAGSNCTKEAIEATERGFAVGMDAALHINPYYGKTSMKGLVAHYNSLLSIGPVIVYNVPSRSGQDIPPSVVEILAENPNFVGVKECVGNERVKMYTDKGIVVWVIDGMSHEGRWDCGAVGVQSIASNLVPGLMRELMFEGKNSLLNSKLVTLFDWLFIEPSPIALSTALAQLGVIKPVFRLPYVPLNMEQRVGFVNLVKELGRENFVGEKDVQVLEDDDFIIVSRY >CAK8566798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463672023:463679176:-1 gene:gene-LATHSAT_LOCUS19905 transcript:rna-LATHSAT_LOCUS19905 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLKRGESSLKVDVSSLSLSSWPAKSFSKDGRIISVGDCALFKSSQDRPPFIGVIRSLSLGKESKLKLSVNWLYRSIEVKLSKAEPLEAAPNEVFYSLHKSEIDAELLIHPCKVAFLPKDVKLPAGISSFVCRRVYDTSNKCLRWLDDQEYLNECQVHKLVYKTCVEMNATIQPGGRSPKPMSSPTSTSQAKSVSDSVQNSTSSFPSHVKGRKRDRVEQGSEPIKRERSIKVEDGHSSNFRHDNILKTEISKITEKGGLVDAEGVEKFVQLIVRDRNEKKIDLASRSLLAAVIAATNRLDCLSQFVQQRGLPVFDEWLQEVHKGKNGDGTGSRDGDKSVEEFLTVLLRALDKVPVNLQALKMCNIGKSVNHLRTHKNFEIQRKARGLVDTWKKRVEAEMNIKDGKSGSGPSSPCPAKSRVADVGQGGNRHSGVSSDVAMKSSVTQISTSKTSSLKIVQGENTPKSASTSAFPGHAKPVPSPVSAITNLKDGQPQVAAVNGSSDIPIANAKDEKSSSSSQSHNNSQSFNNSQSCSSDHARTGGLSGKEDARSSTAMSANKLSGGSSRHRKSINGLLGSTSSKGQRESRSSRKSSLHKSLTSERKSQSGLIEKALDKISHEGNTPKLIVKIPNQGRSPAQRASAGSFDEPTIMNSRVASPVLSEQHDQFDHGLKEKSDFDRANIGSDVNTESWQSNDFKDVLTGSDEGDGSPAAVTDEEHCRTGNDCKKTEDVSKIASLSSGNGQKAGNLQDASYSSINALIEGVKYSEADDVGMNLLASVAAGEMLKSELSTPTESPERKTAAVEQLCTGNAAVKSSEENIVRDECHSNNGLDAENKKHGSISGEMNNGNDFDCPASEGKAVGEPDKPVNAHSKDLQQVTETIQTGLERKGISFEKPVPASLRAVPKLQEARDGNCSKHPREEVVRGVNAGETLGMEISSVAGVEAEAIDKLLHTSVEVDVNGDCRAERSGVDRLMTQNSPTVHRQSDSARGTDDDVLLSRGVDKVPEDFNEREHGKNGDIAAENHANEDKMLRTECEHVVLAMPAKSGLCSSATDFAAERVEENSDIKEVHDQDAGQRLHKASSSFHSQEMDKKLDSKGSKLNAIEAEEAEECTSTTADASSMSAAAAVSDADAKVEFDLNEGFGADEGKRCELNSIATSGSAPSVRLISPVPFSASSLSYSIPASITVAAAAKGPFMLPENLLRSKGELGWKGSAATSAFRPAEPRKVMETPLVTLTTPNPDTAAGKQSRLPLDIDLNVADERILDDISSPSCARYMNIASLEANDYNPVCSKMASPIRCSGGLGLDLNLMDEASDIGNCSASSSYKIDVPLMQVKTSLSGRSNREVSAHRDFDLNNGPSVDEITTEPLLLSQHARSSVLAQPSVSGLRMSTAELGNFSSWLPSSGNTYSAVTISSIMPDRGDQPFSIVAPNGSQRLLSPATSGNPFGTDIYRGPVLSSSRAMAYPSAPFEYPVFPFNSSFPLPSSSFSAGSTTFVYPTSGSRPCFPAVNSQIMGPASTVSSHYPRPYAVGLPEGSSSTSVEISRKWAKQGLDLNAGPGGLDIEGRDEISPLGSRQLSVASLQVLAEEHARIHLAGSVLKRKEPDGGWDGHTKHSSWQ >CAK8576723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:509420215:509422491:1 gene:gene-LATHSAT_LOCUS28896 transcript:rna-LATHSAT_LOCUS28896 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPSRIYQGVTEERKDIRHYIELEPLPKIRTETKPITIQSKCFDDDGRVKRTGTFWTATAHIITAVIGSGVLSLSWAIAQLGWGVGPVVMLLFAVINLYTSSLLTQCYRTDDSVAGPRNYTYTDAVKSILGGKKFKICGLIQYVNLFGIAIGYTIAASVSMMAIKRSNCYHESHGNDPCHMSSNVYMIAFGIAEVIFSQIPDFDQVWWLSIVAAIMSFTYSAVGLGLGVAKVAENRTFHGRLMGISIGTMTPAGTVTATQKIWRSLQALGAMAFAYSFSVILIEIQDTLKSPPAEHKTMKKATMLSITITTVFYLLCGSMGYAAFGDHVPGNLLTGFGFYDPYWLLDIANFAIVVHLIGAYQVFSQPFFAFVEKWSAHKWPKNKFVTAEYDIPIPCIGVYKLNFFRLIWRTIFVLLTTIIAMLLPFFNDVVGLLGAFGFWPLTVYFPIDMYISQKKIGKWTNRWLGLQILSGCCLIISILAAVGSIAGVVLDLKTYKPFKTSY >CAK8574509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1190303:1196790:1 gene:gene-LATHSAT_LOCUS26850 transcript:rna-LATHSAT_LOCUS26850 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRSVSSSSKWRYCNPAYYLKRPKRLALLFIVFVSVSFVVWDRQTLVSEHQVEISELRKEMSDLQNLLEELNKVHGGAVRRGDSEGATNKSTKEVLDDPIDIERREKVKEAMLHAWSSYEKYAWGQDELMPQSKSGVNSFGGLGATLIDSLDTLYIMGLDEQFQKAREWVANSLDFNKDYDASVFETTIRVVGGLLSTYDLSGDKIFLDKARDIADRLLPAWNTPSGIPYNIINLSHGRAHNPGWTGGSSILADSGTEQLEFIALSQRTGDPKYQQKVENVITVLNKTFPDDGLLPIYINPNSGTTSYSPITFGAMGDSFYEYLLKVWVQGNKTSAVKLYRDMWEKSMKGLLSLVRKSTPSSFTYICEKNGGSLSDKMDELACFAPGMVALGSSGYGPEDSQKFMSLAEELAWTCYNFYQSTPTKLAGENYFFHSGEDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNNGVKDDMMQSFFLAETLKYLYLLFAPTSVIPLDEWVFNTEAHPLKIVTRDEGKPVKNSNEMQKPPRIGGRKEGRAG >CAK8534634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751142665:751179563:-1 gene:gene-LATHSAT_LOCUS4165 transcript:rna-LATHSAT_LOCUS4165 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFITDEDFSRLSGDTTAVAAKADTFIRGLLNELDTVRAKADASDINAEQNCSLVEQKYLSLSAEFSKLESNASNLQSSLDQKLRDLSDAQAQNHQIHLQLVEKDREIERLKTEVSELHKSKRQLIELNEQKDLELSEKNTTIRSYLDKIVHSTENAAQKEARLSEVEAEMGRCRAACTRLEQEKEIVERQNAWLNEELTTKTSSFLELRRKHTESESDTSSKLADVERQLSECSKSLQWNKDRVRELEMKLKSMQEELISAKDTAAANEEQLSAELSTVNKLNELYKESSEEWSRKAADLEGAIKAMESHLKQVEDDYKDRLEKEFSVRKQFEKEAADLKEKLEKCEAEIETGKKMNELSILPLRTFSTEPWLTSVVADNTDEDNNAIVPKLPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHEQLGRKESEAILQRVLYELEEKAEAIEDERVEHEKMADAYSLMNQKLQHSLNENSYLEKTILELKADLKRHERDYNLAQKEIDDLRKQVTVLLKECQDIQVRCGSFGNNINANATNIASRTSSDTDAENIIPENLLTFKDINGLVEQNVQLRSLVRSLSGQLENQEVEFKEKLEMELKRHTEEAASKVAAVLQRAEEQGQMIESLHASVAMYKRLYEEEHSLHLSHTYSSDAIAAAAEVGRNNIKTSIESSQEAAKKTLEKAAERVRCLEDDLAKSRSEIIVLRSERDKMELEANFVRERLDSFMKEFDFQKAETKGILARNVEFSQLVVDYQRKLRESSESLNAAEELSRRLSMELSALKNEKEVLLNAEKRASDEVRNLSERVYRLQATLGTIQSAEEVREEARVAERVKQEEHSKQLEREWAEAKKELQEERENVRKLALDRDQTMKNSLRQVEDMSKELTNALGALASAESRAAVAEAKLSSIQKQMGSTDGKLVNMNSMSGPSILSNDEVTAELQTAKEEIEKLKEEVHANKAHMLQYKSIAEVNEDALKQIESTHEDYKIEVDNAKKALEAELHSLREKVSELEKESSLKSEEAVSATAGKEEALTSALAEITNLKEEILAKASQISEMEIQMSGLKEHLDKEHQKWRSAQTNYERQVVLQSETIQELTKTSELLASLQEETSKLRKLTDAQKIENNELKAKWEEEKAGLEKSKYDAEKKYNEINEQNKILHSQLEAVHIQRAEKERNAAGISSGNIGDTFGDAGLQNVVNYLRRSKEIAETEVSLLKQEKLRLQSQLESALKAAESAHASLEAQREKSRSFMFTEEEFKSLQLQVREMNLLRESNMQLREENKHNFEECQKLRELAEKARAEIQNLENHLREREIELEGHKNEIETLKAEKEHLNHKVSELLERCKNVDAEDYDRVKKLVKDLQDKLKDRDSQIEETGKIISEKQDSLSRLEQELSNCKSELVEKEKRINEILKIEANLKQDVERNRKLLAHFKKKTDLLSREKEDLGKENQQLTRQLDEIKQGKRPTSDTTGEQAMNQEKDTRIQILEKTLERVRAELNKEKEDKSVEKNKRLKNEKAIMDSYTNVELEKKQFTNDLERHKDALKRLFDEVEKLKIIVGNLPEGTNVAQLLSASNVDDFSAPYISAVENFEKEAHAVFVEFGGRVPLGDASTAMDTSAAATGSLVHAQPPSVVPSAAPVTSSLPPKATGESEKRVALTKSSIETRKTARRLVRPRLVKPDEPQGDTEMSDVEGLGGNKPGPSSDTETQSNFSSSQPVARKRVAPISASELREESVAPGEKSSDVVAHVLKKSKGSESPEDSSEEQPATIPEFTSSHPVAEESFESGELPQGQNEDVSEAQNDDETAVGKDEESKDPLHLDGTSQEELQVDKTGISVENLDPPAQTKMMSDEVQKDHTEIDNQQSTLPLSSETEEGELLPEAGDPEGACDAPNIENQESREATPELSPSRGDDDALEAGEINSPEVSGDDKNDEGDLVEDTADGSDKLADANEQISVESDPVAEPAPVASESNLQSSVAESSSSKSPVPKHGAASIPSETEEVKPTSPISGTTTTTTINLQERARERAQLRQAAQFSTTTRARGRAPRGRVVRGRGRRPPSSDA >CAK8569588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6105499:6107397:-1 gene:gene-LATHSAT_LOCUS22425 transcript:rna-LATHSAT_LOCUS22425 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVVFNFIFFILSVFLSSKTKAQQNYSGNLIFTCKNSDATGPSSAFLYTCNGFNKSCMAFLSFRSKPPYNSVNAISNLTSSNPKELARINGATLLTVFPPGKEVIVPVNCSCLTNDYYQAETKYILGQNPTYFIVANDTFQGLTTCDSLMRANPYGELDLYPRMELQVPLRCACPTYHQKTNGTKYLLTYSVTWGDNISNIATRFNVTEGNLVDANGFSTQTQVLYPFTTVLIPFPSEPRSPTAIVANDPPTPGGCSFKKCRSKKKTLPIALTTSVLVLCAFLFVLVLFLLRKRSVRLFKRPAQGKKKTVVFSEEIREEIAIIEHLSKMYRFEEIKEATENFSSKNRIEGSLFRGVFNNGKEVLAVKRMRGDVSKEVNLLKRINHFNLIKLQGYCENKDCIYLVYEYMENGSLREWLSKNSSIEHRSWGRRIQIAVDIANGLQYLHNFTEPCYVHKDINSGNILLNKDLRAKIAKFALVEESGRMVTSDCPTSHVVGSAGYLAPEYLEARVVSTKMDVFAFGVVLLELITGKDSITLQDGREVMLYEIIESIIGKENEEEKVSLFIDPCLIECCRKSSALQLVKLGLTCLIQEPESRPNIEEVVSSLLKIQANDMQQSISPIVNNSLSLER >CAK8564139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653922584:653925493:-1 gene:gene-LATHSAT_LOCUS17469 transcript:rna-LATHSAT_LOCUS17469 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGNFTGNLGEILIRCNNVLYLRGVPEDEEIEDAAED >CAK8577885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595751521:595751975:-1 gene:gene-LATHSAT_LOCUS29952 transcript:rna-LATHSAT_LOCUS29952 gene_biotype:protein_coding transcript_biotype:protein_coding MITGIRDCSWFIHALVKIHGNHSYRARPAHAIRPRCSCCYKDGGQLAQRYSSCDGFGRKLLLPGRGY >CAK8566198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390390387:390396867:-1 gene:gene-LATHSAT_LOCUS19352 transcript:rna-LATHSAT_LOCUS19352 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLTSANADKLSNLQSATAALSQISENEKIGFINLVARYLSGEAQHVEWSKIQTPTDEVVVPYDSLAPTPEGSSDVKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNSKYGSTVPLLLMNSFNTHDDTQKIVEKYTNSNVEIHTFNQSQYPRLVVDDFLPLPSKGQTGKDGWYPPGHGDVFPSLMNSGKLDALLSQGKEYVFVANSDNLGALVDLKILSHLIQNKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVSEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIKFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLQDGFVVRNQARANPENPSIELGPEYKKVSSFLERFKSIPSIVELDSLKVAGDVWFGAGVTLKGKVSIIAKPGIKLEIPDGTVLANKEINGPEDL >CAK8532172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214936290:214937003:1 gene:gene-LATHSAT_LOCUS1903 transcript:rna-LATHSAT_LOCUS1903 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPPPTPPPLELKTYWCHECDMSVSLTSSPSPSPLLCPQCHTHFLELMDSPFSQNDADSFLPSSSLFDVLFQDALLLLSPPKTRTETIIPILTVTPTLLSLLDPNGVVLCAVCKDAISVDEEAKQLPCDHLYHADCITPWLRLRSSCPLCRFRISEQEEEDENDEEDDDEDVNGADMMREMMTRMAELSEDDFYGLRITLNHIASRHALLHSNASGGGDNHDASSEVGGDVDGES >CAK8535414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846398067:846398620:-1 gene:gene-LATHSAT_LOCUS4879 transcript:rna-LATHSAT_LOCUS4879 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKVKKGAGGRKGGGPRKKSVTRSVRAGLQFPVSRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESASSSAKEPKSPSKAKKTPTKKA >CAK8542465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518194291:518196606:-1 gene:gene-LATHSAT_LOCUS11304 transcript:rna-LATHSAT_LOCUS11304 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNFVSSFLFITPWILLTFLTNAETSTYIIHMNKSIFPKVFTTHHDWFRSTIHSIKSKALVLDDHDHDQQLYKQSQKKLVYAYDNAMYGFSAVLSSNELENLNNMDGFVSAYQDRTATLDTTHTFEFLSLDSPSRLWDASNFGEDIIVGVIDSGVWPESQSFKDDGMTKKIPSKWKGACEAGQEFNASMCNFKLIGARYFNKGVIASNPNVKIIMNSARDSVGHGTHTSSTVAGNYVNGASYFGYAKGVARGIAPKARLAIYKVNWEEGLLASDVLAGMDQAIVDGVDVISISMGFDDVSLYEDPIAIASFAAMEKGIVVSSSAGNLGPNLGTLHNGIPWLITVAAGTIDRTFGTLVLGNGINIIGWTLFASNATLVENLPLVYNKNLSSCNSVRLLSRVNKQVVILCDDKSMSNSKSVSEQINVVAETGVLGAVFISDNPDLIDSRHLYSPIIVIKPKDAKSVINYARRNENPTASIKFQQTYVGIKPAPVAAHYTSRGPSNSFPWILKPDIMAPGSRVLAAYVPNKPSARIGTNVFLSSDYNFMTGTSMACPHASGVAALLKSAHPEWSAAAIRSALITTANPMDNTQNPIRDSAYPSQHASPLAIGAGEIDPNRAMNPGLIYDATPQDYVNFLCGLKFTKNQILTITRSSSYDCENPSLDLNYPSFIDFYSSKTRSKVRKFKRTVTNVGDGAATYRAKVTHPKGCLVTVLPDILTFSYKNEKQSYYITIKYLMYKKEKVSFGDLVWAEDGGTHTVRNPIVVASSEMI >CAK8533305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601334398:601335093:-1 gene:gene-LATHSAT_LOCUS2945 transcript:rna-LATHSAT_LOCUS2945 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGYINAAFRSSKNNEAYFFINEKYLLIDYAPGTTNDKVLYGPTPVRDGFKSLSQTIFGSYGIDCSFDTENNEAFIFYENFCALIDYAPHSNRDKIILGPKKIADVFPFFKGTAFETGIDAAFRSTLGKEVYLFKGDHYARIDYGSNSMVNKEIKSISNGFPCFSNTIFESGTDAAFASHLTDEVYFFKDDYYACVKVTPGRTDDKLLGGVRKIVDYWPSLRGIIPLEN >CAK8536969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:46053267:46053789:1 gene:gene-LATHSAT_LOCUS6290 transcript:rna-LATHSAT_LOCUS6290 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKVLRKILVNMGYALTEATFNYYCGEIRRTNTEALNWIDNIPREKWARALGRGQRWGHMTSNLAEAINFVLKATRNLHITALVQSAYYRMGSLFGKRGHKWTKMLSSGKVFINGCNKGMAEEVAKANTHNVMQFDRERFCFMV >CAK8563718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626776882:626779454:1 gene:gene-LATHSAT_LOCUS17097 transcript:rna-LATHSAT_LOCUS17097 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGKSNPQKSSPSVSLNNFNFDLDLGIGSNRSKSLKDQKNPNPSHSNSYSTPSYSSAQPKKTAWTHQPTQTPAGLPGAPPSMVGDIFGKSWGSTQPSGPSIGIVNKNPNLFGDLVTSALGQGSSSSNVPLKNATPVSNKSSFSMSNMASSLPKNSNTPQSGSTWGSSSSGSFNLNANQKPNLGVGVAASGSRIGIGIGTGTGTGISSSNKDPFSSLGSFGSKTSSSLNSAAKPQKVDSEDDGFGDFQNASKPSSNAFPSSGGSVGIDVDFTGSSAFSNRSPPVQASSGGGDPMDMFFSSSTASSGGGGATASAAASSEIDDWGTEFGGGNHDVGGTTTELDGLPPPPAGISGSTAKSKGMDNYKQGQFADAIKWLSWALILLEKAGDGAGTVEVLSCRASCYKEVGEYKKAVADCTQVIGNNGKNVAVLVQRALLYESMEKYKLGAEDLRTVLKIDPTNRVARSTVHRLAKMAE >CAK8579730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719518533:719520635:1 gene:gene-LATHSAT_LOCUS31654 transcript:rna-LATHSAT_LOCUS31654 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSFDLPPPPPTTVPFSLEPNFTSSATHPPYAEMIYKAIESLKEKDGSSKRAIGKFIEQNYGNVLPQQHATLLTQHLNHLKSAGLLITFKKSYKLPSSLPPPPTVTRSDSESHLPFTPLTQKARGRPPKPKPELNSQPELTAQPVFVSLDPPQDEPLPPPTTTVGPTLNPTGSPTTLSAKRGRGRPPGTFRSKSLKSTSRPPKPKSVSNGLKRRPGRPPKGQSQPTVIPFSAPAAVPFPSVVTDGVTVPVVRSTRPRGRPKKYADEVMSGAPTMRQGRPPKLAVIGGPKNPFRRPVGRPKGAKGVKKYANEDLRKKLEHFQSKVKESLEVLKPYFDHQSPDTAVAAIQELENLATLDLKAPLRDETQQQQPEQFLEQPQPQQQLPPQQQVPLQQLPPQVQQIYEQQYLQIPLQQQIQQLFQPHNLAPS >CAK8572403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534354548:534355246:-1 gene:gene-LATHSAT_LOCUS24974 transcript:rna-LATHSAT_LOCUS24974 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLIGLSEMDSIGDYYTWSNKQSTGTIYSRIDKVLGNVAWFQNNHYKQLKILPPSVSDHAMLCVEEKVQIKANNRRFKFYNCIMDMIGFEDIVRASWNKPIGRILMYILWQKLQRLKPDLVKFDKSMSNVKQQVEKSRLDLEKAQNDLLQNRMDITIIDKVKTCIENVIRWNEVEESIFMQRAKFDWLRMGDGNNAYYYATIKTKHHNRSMSMLQNVDGEILMRSRIFIMK >CAK8563013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566417833:566421407:1 gene:gene-LATHSAT_LOCUS16463 transcript:rna-LATHSAT_LOCUS16463 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSTGDGSESVFLANASDVSHFGYFQRSSVKEFIVFVGRTVANRTPQGQRQSVQHEEYKVHAYNRNGLCAVGFMDDHYPVRSAFSLLNKVLDEYQKSFGESWRTIQEDSTQTWPYLNDALTKFQDPAEADKLMKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >CAK8578168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610818804:610819271:-1 gene:gene-LATHSAT_LOCUS30206 transcript:rna-LATHSAT_LOCUS30206 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKREIKLVKNKEARNATFSKRRHGLYKKASDISILCGARVGLLGFSPGGNPFAFGSPSFQVVIDEYLHEGGDVPLENGEINNLNQELRTLKKEIEVEEKKMKDIEKDKVHIVPTDLSLEKLIKVKTSLKELQGEIEVASSLLLLAKKPVFIV >CAK8563570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617204821:617207176:1 gene:gene-LATHSAT_LOCUS16966 transcript:rna-LATHSAT_LOCUS16966 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECCSNPPTLNPSAGSGHVDKVGALHAYIAGSPNSNAAVLFISDVFGYEAPNLRKLADKVAAAGYYVVVPDFLHGEPYNPENPNRPLPLWIKDHGMDKGFEDAKLIIEAIKSKGVASVGAAGFCWGGKVVTELAKSKLIEAVVLLHPSFVSVDDIKGVDIPISILGAEIDRLSPPELVKQFEEILTANSVTSFVKIFPKVSHGWTVRYKPEDAEEVKAAEESHQDLLDWFTKHLK >CAK8569451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1312576:1313689:-1 gene:gene-LATHSAT_LOCUS22300 transcript:rna-LATHSAT_LOCUS22300 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACTKTVYLVDKLTADNRIFHKACFRCHHCKGTLKLSNYNSFEGVLYCKPHFDQLFKRTGSLEKSFEGTPKIAKPERSSDNEKPAAVKASSMFGGTRDKCSGCQKTVYPTEKVTVNGTPYHKSCFKCCHGGCVISPSNYIAHEGKLYCKHHHVQLIKEKGNLSQLEGDHDRNAKINGEQVAAET >CAK8541342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:129376072:129376314:1 gene:gene-LATHSAT_LOCUS10271 transcript:rna-LATHSAT_LOCUS10271 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFPSTPKKLAMTIACSLSGAVLLAVGMHLSYVNVEPQRARTMARDKFVLDTLKKKYGYVPPSEARSMARSDSPKENS >CAK8572300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527598962:527609691:-1 gene:gene-LATHSAT_LOCUS24879 transcript:rna-LATHSAT_LOCUS24879 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDPRATKGYDATNDSRAMIESRAVKAYDAMKVLGISKEEVKPVLRNLLKVYDKSWELIEDDNYRTLIDAYFESKEDKTEEHKRKAPINSQDGERPKQRLHVADRDNQVPSAVKSIEVLPVEDNDKPPKTLKQKSMKSFQTSSSHKLLSQRKLSDDERISSLPSMAARGQKSYPASSAGLYSEEPSHPSIQRKNMSSDHHQKKIKTSGTEMPKFRVGTASTSYNGSLGASNGNLSIKSPSKVLKSGGYVDDITKGSENVKVSLLDETKSEEFPKFNYIPCNVIYQCANVNISLARIADEGCCADCSGDCLSLSFPCACSQETGGEFAYSSNGLLKEKFLTDCINEPQAHHYVYCKECPIERSKNESKPEPCRGHLVRKFIKECWRKCGCDMQCGNRVVQRGLSRKLQVFLTDQGKGWGVRSLEDLPKGSFVCEYAGEILTNTELYDRIVMSTGNDRHTYPVTLDADWGSEVGLKDEEALCLDATHNGNVARFINHRCADSNLIDIPVEVETPDRHYYHLALFTKRNVSAYEELTWDYGIDFDDHTHPIKAFKCRCGSAHCRDKKQKGTRSAKTKKLKHTNTH >CAK8572301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527598962:527609634:-1 gene:gene-LATHSAT_LOCUS24879 transcript:rna-LATHSAT_LOCUS24879-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESRAVKAYDAMKVLGISKEEVKPVLRNLLKVYDKSWELIEDDNYRTLIDAYFESKEDKTEEHKRKAPINSQDGERPKQRLHVADRDNQVPSAVKSIEVLPVEDNDKPPKTLKQKSMKSFQTSSSHKLLSQRKLSDDERISSLPSMAARGQKSYPASSAGLYSEEPSHPSIQRKNMSSDHHQKKIKTSGTEMPKFRVGTASTSYNGSLGASNGNLSIKSPSKVLKSGGYVDDITKGSENVKVSLLDETKSEEFPKFNYIPCNVIYQCANVNISLARIADEGCCADCSGDCLSLSFPCACSQETGGEFAYSSNGLLKEKFLTDCINEPQAHHYVYCKECPIERSKNESKPEPCRGHLVRKFIKECWRKCGCDMQCGNRVVQRGLSRKLQVFLTDQGKGWGVRSLEDLPKGSFVCEYAGEILTNTELYDRIVMSTGNDRHTYPVTLDADWGSEVGLKDEEALCLDATHNGNVARFINHRCADSNLIDIPVEVETPDRHYYHLALFTKRNVSAYEELTWDYGIDFDDHTHPIKAFKCRCGSAHCRDKKQKGTRSAKTKKLKHTNTH >CAK8542189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487166420:487171148:1 gene:gene-LATHSAT_LOCUS11050 transcript:rna-LATHSAT_LOCUS11050 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDMFRGSPVAGSSSASSSSMSSRRYGFPSAASIIQAPLSALLEYSGILPPRSNQHQPNPDSVPNEGEVSIRIIGATEQDNHREEEVSPLVVNVNDGSAAAAGMTSTPSHGDVEGVSRSGSGNVDAEAGSGGGDGVGANGRDSSYQRYDIQHAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVLFKSNDILRKQTALKGERKMPVLIGISVAFALHVVGVYWWYQNDDLLYPLVMLPPKEIPPFWHAIFIIMVNDTLARQAAMIFKCILLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAAVKALSRKEVHYGAHATSEQVVAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >CAK8567374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509292648:509296069:1 gene:gene-LATHSAT_LOCUS20434 transcript:rna-LATHSAT_LOCUS20434 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVEEFPVVTLCRERKQFLKNASDQRYALAVAHVAYMQSLKDIGEALRKFSDRDLFLPTSSTSSSSSFSSPVLTLPSREGKSKDGSSHRHISSSSPSHDGDDSHLHLSSGSEVSSPSPDHIHHHRTPEPQYEPEPEPEPEPEQPSSSNGYNYPFPYPYPYPYQQDWTQTQPGVNNYAYYMKKSAPRGKSMIYREPENRVAESGQWTGSPYGYGYAGMNGNAAGYYGFPAGPLSSPTPPPPPPAPPSPPRVSTWDFLNFFEAVDNGYSGYGNYRSGLGLASSASSPDSKEVREREGIPELEDETEQEMVKEDSYEKKKKVAEKEEKEEVDKGRDFGEGTSNSKEVPLQQVSSSEGSSKTVRFHISESSGDSSSSHEKKSPDSVVSKGSPRKKGVSFEIEGDEAATVTTVEIEGEGSILSSLTALSPHGTRDLKEVVREIRDEFETASSHGKEVAFLLEACKPPYQSRVAAFRVILSRILQMLAPSGPPSHPPSGPPIHFSSRTLKLAKAYCGEPEKELISNPVNLSSTLEKLYAWEKKLYKEVKDEERLRAIYEKQCKRLKALDDRGAESTKIDATETSIRKLLTKINICIRSVEKISARIHKLRDEELEPQLAALITGLIRMWKFMFQCHQKQFQAIMESKTQSLKLNTGLQRDEGLKAIMELERQLLKWCTQFNNWVGTQKSYVENLNGWLIRCLHDEPEETADGVVPFSPSRIGAPPAFIICNDWQQAMNRISEKEVAEAMGEFAQKLHELWERQDEEQRRKIKAEYLTEDFEKQLKALRTEMGSSKQKHCEISGKTKLSKFTSDSGVSPLDDLKVDLDSMKKRLHEERARHKEAIRLVRDAASNSLQTGLIPIFKTLESFTSEVVKAHEQVRLQNTVAS >CAK8544804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706421966:706423228:1 gene:gene-LATHSAT_LOCUS13454 transcript:rna-LATHSAT_LOCUS13454 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKKKREKTSPNDIDNTSVYDVLNCDDIMHEILLRVPPSTLSKLIIVSKRWLSVVCSSLFRRRYLTQWGQDFRHLGFFVCNFLYLGRPRNGVCRPSWEPALPFLSTCKEGDDFMRSGILKKLGYFIDSCNGIILSGVHPKIYYVYDTIAKKRYQLPEPQQFYKTLCMALIVEEYLEGDICYKVIRAKCESKLKERNTVSIETYSLKTGKWKQSTLMCSTSFALVPRTVGMVVGGVVHWLAIWGKLAIYDPRLGDRNVALVKLPTGVLSQEHEESVLGESSDGLLQYGQSNNLGLEIWILEKEPGDGPSTYCNCTHLKYKWVLRWRLNFKAIWKQIPTFSLYFKETQILSFLPQNSTSVFIRLGWNIFLCDLETKTAEVVNYQGRGASISWESSKVVPYFLPAWPHSSSVSGQNGT >CAK8567307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502393431:502397316:1 gene:gene-LATHSAT_LOCUS20371 transcript:rna-LATHSAT_LOCUS20371 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVVDNSISKRARIIELSRRLKHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTIIVTVNGEIYNHKKLKQKLSSHQFRTGSDCEVIAHLYEEHGEEFVDMLDGMFSFVLLDTKDKSFIAARDAIGITPLYLGWGHDGSIWFASEMKALVDDCERLISFPPGHIYSSKQGGLRRWYNPTWFSEQIPSTPYDPIVLRETFERAVVKRLMTDVPFGVLLSGGLDSSLVAAVANRYLAQSDAARQWGSQLHTFCIGLKGSPDLKAAKEVADYLGTRHHELHFTVQEGIDALEEVIYHTETYDVTTVRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKQELHEETCRKIKALHLYDCLRANKSTSAWGIEARVPFLDKDFISTAMAIDPEWKMIKPDLKRIEKWIVRNAFDDDEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASAQVTDAMLKHANFVYPENTPTTKEGYHYRTIFEKLFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHSDAYKDAADTKIAESENGSL >CAK8543539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:617573790:617579394:-1 gene:gene-LATHSAT_LOCUS12290 transcript:rna-LATHSAT_LOCUS12290 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVGKSGKVLVSRQFVDMSRIRIEGLLAAFPKLIGTGKQHTYIETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSYSLDEEGICRHAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMKRKANEIDKSKIEKNRGDKGGFGPLSSMGSGRIENSFSDLSISSTGSGFGSSTDVDSFSTKPKGRPTASAAAPPKGLGMKLGKSQKTNQFLESLKAEGEVILEDVQPRLSQSRTAAPPLTDPVTLTVEEKLNVTLKRDGGVGSFDVQGTLSLQILNQEDGHIQVQVQTGDNQAISFKTHPNMNKELFAHDYILGLKDPNRPFPTGQASDAAGVGLLKWRMQSTDESLVPLTINCWPSSSGNKTYVNIEYEASSMFDLRNVVISVPLPALREAPSVSQIDGEWRYDSKNSILEWSVLLIDNSNRSGLMEFVVPQADSSAFFPISVRFAATETFSDLKVTNIIPLKGGNPPKFAQRTQLITENYQVV >CAK8560851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50040532:50046213:1 gene:gene-LATHSAT_LOCUS14495 transcript:rna-LATHSAT_LOCUS14495 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSDGTSLGQRVSEKVISLYNSLPKKGKPQGREVTVLAAFLLSSPSNELKVVALGTGTKCIGRSLLRPCGDVVHDSHAEVIARRALIRFFYTQIQYLSETSTKSALSNGGKRFKFDDDNLAFELDRGCLEKRKYTLRSGWKLHMYISQLPCGDASLNSHDTPLQSVPLGENDSNSSLLNISKQIGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPHISPEIFSLEDNLERALHDRIRHLENELTLPFLVNQPQFQSAPVPPKDFLQSESSANNLTCGYSIGWNECGLHEVSLGTTGRKQGTSAKGALYPSTESSLCKKRLLEVFLSTRKECSAMSVDNEITYRELKDGAEEYQLASKIFKAKPPFSNWFVKPLDCEKFPISK >CAK8532749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510630309:510630863:1 gene:gene-LATHSAT_LOCUS2423 transcript:rna-LATHSAT_LOCUS2423 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKKRIDAWNECITKILGIPWGFLIGAELTIAQSRISLVNKIQNFYRSQGVHIDNRHIEIIVRQITSKVMVSEDGMSNVFLPGELIGLLRAERTGRALEEAICYRDLLLGVTKTSLNTQSFISEASFQETARVLAKVALRGRIDWLRGLKENVVLGGMIPVGTGFKRIMHRSRSRQHNKISRN >CAK8572032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503268763:503269011:-1 gene:gene-LATHSAT_LOCUS24641 transcript:rna-LATHSAT_LOCUS24641 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFFLVLSRDNSTSSTNLEALKTQHSSERIKVVNYELLEIDLEIRLPISSYHLDIQNEVKEACLRIGRHQAPHDFVYTCPV >CAK8577775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587908204:587908872:-1 gene:gene-LATHSAT_LOCUS29849 transcript:rna-LATHSAT_LOCUS29849 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGIVLVLLIMSMLLTVTTSVSFSRSISVTKPSPLSTKPSPLSIIPSPSSTKPSPSSTKPSPPSTKPSPSANTSPPSTRPSPSSTKPSPFAKTSPPSIKPSPSSAKPSPSAGNNNNGDGSGNGNGGSFGFGPGGFGIPGFDNTIPGGGYGVGYGGPSGGNSINGVIGPSVVCKDLGPCYQKKVTCPAGCFSSFSHSSKGYSGGGGGGSCTIDCKKKCTASC >CAK8532086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200982288:200982768:-1 gene:gene-LATHSAT_LOCUS1823 transcript:rna-LATHSAT_LOCUS1823 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8567322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:504055824:504056485:-1 gene:gene-LATHSAT_LOCUS20386 transcript:rna-LATHSAT_LOCUS20386 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIHLFTLLFLLSFFFAGTVTSEEDCVYTVYLRTGSIFKAGTDSNIILTLYNAKGYGIKINNLEAWGGLMGPGYNYFERGNLDIFSGRAPCLEGPVCKMNLTSDGSGDHHGWYVNYVEVTTTGVHSRCAQKLFTVEQWLATDKSPYELSFVKDNCPYTLGQAEAKLKTVDAVGSRSGSDFSILGSTVRV >CAK8567525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:521358387:521360336:1 gene:gene-LATHSAT_LOCUS20571 transcript:rna-LATHSAT_LOCUS20571 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNLFLWLIFSVVLVSVEAEPVEDKQALLDFLHNMSHSPHVNWDENSSVCQTWRGVTCNADRSRVIAIRLPGAGLSGPIPPNTLSRLAALETVSLRSNGITGFFPDGFSELKNLTSLYLQSNKFSGPLPLDFSVWNNLTVVNLSNNFFNGSIPFSVSNLTHLSSLVLANNSLSGEVPDIDIHSLQELNLANNNLSGVVPKSLLRFPSWAFSGNNLTSVNHSHPPALPMHPPYTFPPKKTKELSETALLGIIIGVCALGFAVIAVVMVLCCYDFAAAGVKESVKSKKKDISMKAETSVSRDKNKIVFFEDCNLAFDLEDLLRASAEILGKGSFGTTYKAALEDASTVVVKRLKEVTVGKKDFEQQMEVVGKLKHENVDSIRAYYYSKDEKLVVSDYYQQGSVSSILHGNRGEGRISLDWDSRLRIAIGTSRGIAHIHVQQGGKLVHGNIKASNIFVNEQGYGCVSDIGLATLMSSIPSPGTRASGYRAPEVTDTRKATHSSDVYSFGVLLLELLTGKSPIYSAEGEQIVHLVRWVNSVVREEWTAEVFDVELLRYSNIEEEMVEMLQIGMACAARMPDQRPKMSEVVRMMEGIRPENRPSSTESRSEVSTPTVYAT >CAK8568366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599041447:599046367:1 gene:gene-LATHSAT_LOCUS21328 transcript:rna-LATHSAT_LOCUS21328 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGDVKAKNSSEEALQRWRKLCWVVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLSLSSEYRVPEEVKAAGFEICADEAGSIVDGRDVKKLKIHGGVEGITGKLNSSLNDGVSTSEPFLNRRKEIYGINKFTESPARGFWVFVWEALQDTTLMILAVCAFVSLVVGIIMEGWPKGAQDGIGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGYRQKISIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLINESSLTGESEPVNVGDLNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFSVLVQGLFSRKLQEGSQWTWSGDDAMEIVEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACVCGKIKEVKRSIDSSDFSSDLPDSAMAILLESIFNNTGGEVVKNENGKIEILGSPTETAILEFGLSLGGDFLKERQASKLVKVEPFNSIKKRMGVVLQLPGGGYRAHCKGASEIILAECDKYVDSNGEVVPLDVDSISHLNDTIEKFANEALRTLCLAYIDIHDEFLVGSSIPINGYTCIGIVGIKDPVRPGVRESVAICKAAGITVRMVTGDNINTAKAIARECGILTDGIAIEGPEFREMSEEELLDIIPKIQVMARSSPMDKHTLVKHLRTTFEEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFTSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRPPVGRKGNFISNVMWRNIVGQSIYQFVIIWLLQTRGKTTFHLDGPDGDLILNTLIFNSFVFCQVFNEISSRDMERINVFEGILQNYVFTAVLTCTTIFQIVIVEFLGTYANTSPLSLKLWFVSVFFGILGMPIGAAIKMIPV >CAK8538266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474026546:474027780:-1 gene:gene-LATHSAT_LOCUS7482 transcript:rna-LATHSAT_LOCUS7482 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHHRVDPKIWQACVGDSFTVPKLHSKVYYFPQGHLQHVCPNTPNTQKNHGFRPMSLCTVSAVDLFADSETHEVFAKLLLTPVIDGSVVPLETRNEEDGDQIVSFAKTLTNADVESRDKLYVPTACANLILPALPRLESRKQSLFLDLFLTDVCGVVWKFRHVHCKCHQHLFTTGWSRFVDKMKLVGGDTIVFIKNSSGSISLGIRRKTRFAAAAAKITEKEVNIAIELAEKNAAFEAVYYPTVGGCDFVVGTKTVEDAMKVNWICGMRVTHTAKNDDTSKGCFIFNGIISAISHPSTRPWRMLQVEWDEPYVPENLKHLSPWQVEIIDCNTAIPDIQFPPTKKLRGAQRSIMLFGQRIQPIESDLNDSDINGDDG >CAK8540664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15437776:15438396:1 gene:gene-LATHSAT_LOCUS9648 transcript:rna-LATHSAT_LOCUS9648 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSHFLLLLLSSILFLSFTLGFGRVAMMETDGDEDSSKQLMDGDRKMSEVYDEIMDYSEPEPNTNPKNGYNLSPPPAAIVSPPPQA >CAK8533342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605190117:605190859:-1 gene:gene-LATHSAT_LOCUS2979 transcript:rna-LATHSAT_LOCUS2979 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDDMIVSNSNFDTNLGIPFGSVLNDIVRFSYAAHLVLVFPVVFYGLRVNIDGLIFTSSRRPLVADNFRFASITIGLVVSIFLGANFIPSIWDIFQFTGATAAACVGFICPAAITLRDKYNIATKTDRILAVLMIILAVLSNAVAIYSDVIALTKKNKT >CAK8541901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:428003605:428003910:-1 gene:gene-LATHSAT_LOCUS10790 transcript:rna-LATHSAT_LOCUS10790 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGELNVGFITTSDKLISKSIKKGEVFVFPKGLVHYQKSSGDIASSVISAFNSQLPGAFSTASALFGSTTVVPDDVLAQAFQIDTKQVDEIKTKLAPKKT >CAK8578753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651225270:651227628:1 gene:gene-LATHSAT_LOCUS30739 transcript:rna-LATHSAT_LOCUS30739 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKRKPNESMKFIVIFFFGGFLGLFIGLSLPTFSATKLNLPSSLLPSIDLSCIRGSNAWSFMENDDSASSENHNPSKIWVPTNPRGAERLPPGIVNAQSDFFLRRLWGLPSEDLTSKPKYLVTFTVGYQQKNNIDAAVKKFSKDFTILLFHYDGRTTEWDEFEWSKRAVHVSVHKQTKWWYAKRFLHPDIVASYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPALEPQKGLIWNMTKRRNDSEVHKEAQEKPGKCKYPLLPPCAAFIEIMAPVFSRDAWRCVWHMIQNEFVHGWGLDFAFRKCVEPAHEKIGVVDAQWIVHQGIPSLGDQGEAQTGKPAWRAVKERCGMEWRMFQGRLTNAEKGYYKSKGIDFSNLLVHN >CAK8536054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:903361785:903364983:1 gene:gene-LATHSAT_LOCUS5456 transcript:rna-LATHSAT_LOCUS5456 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKESLFSSTSDWMRIPVLTFFKDVRLVFKSDSLGREILSIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTLSGANSQQVEDNGCLEAATHLDAETKEFLPQKNSDVKSFNLVKDVEHKRRHIPSASSALFIGGILGVVQAILLISAAKPLLGFMGVTSDSPMLHPALQYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFIFVFRMGVTGAAIAHVISQYLISVILLWSLVKEVDLIPPSVKHLQFDRFLKNGFLLLMRVIAVTFCVTLAASLAARHGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDYEKATATASRVLQMGLVLGAALAFILGTGLHFGAKVFTKDANVLHLIRIGIPFVALTQPLNSLAFVFDGVNFGASDFAYSAFSMVIVAIISIICLLILSSSGGFIGIWIALTIYMSLRAFAGFLRIGTGSGPWEFLRSQPH >CAK8535589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865057782:865059959:-1 gene:gene-LATHSAT_LOCUS5033 transcript:rna-LATHSAT_LOCUS5033 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQFPSTSSNLFSKPKFLFIALTISASVVIVFSILYFLYHLWHSLVHRAKTIPFDASAPLKLQRFSYKDLKLASNGFDTANVIGKGGSGTVFKGVLKDGKFIAIKCLDSLSLQSEREFQNELQILGGLRSPFLVTLLGYCVEKNKRVLVYEYMPNASLQESLFGDECFGLSWERRFCVIMDVARALEFLHLGCDPPVIHGDIKPSNVLLDSEFRGKISDFGLSRIKVEGEFGVDLFSQDLGKSQDLWKSQDLSGNLTVETPVIGTPVESVSEVDFALALQASSSSKNSRTCLNGKALNLNSLNYNANIVGESESRSLDAKGKEVSSFDMFVPYDDEFCSNDYSKDLVVNNACLVDDEKENGKQWGKDWWWRQDGSGELCSKDYVKEWIGSQICPSNADWDDDCIVNTKNINNSREKSELENSSPIDKGNDTIVTGTRLHVSTTEDMDNGVVDMKEVKGKKNQKNKNKKNKNRKMQEWWKEEHLDELSKKKRNKLRNLQTKWKKGLKVPRFGLGRRFYLCESNKNYGGEEGPNECEQNGEFSFRRGWRKKSSRSIGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGFLMEKADIYSFGVLILVIISGRRPLHVLASPMKLEKANLISWCRHLAQASGSNILELVDEKLRDDYNKEQASLCINLALICLQKIPELRPDIGDIVKILKGEMELPPLPFEFSPSPPSRLYSRSRRKQKGNAEV >CAK8540450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561488674:561492346:1 gene:gene-LATHSAT_LOCUS9453 transcript:rna-LATHSAT_LOCUS9453 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSPFISSDKTSFLTLEEWNGSSPTKLSKTFTIKASSSSFSIQRSGARFTHVWRRFLQAFVPEGFPSSVTPDYVPFQIWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLISPLFPSAFVFIVCLGSISRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMALGMLVARITIGHPLAIWFSFLSLTLFHMYANYRAVRCLALNSLNPERSSILLQHFTETGQVLSPKQVSSLEHVLPIKKANSLDTKIRLGTRISSFDEMEIKEHLLSVASYYTKAKYLLVEKKGIVNVIVHKDSNGADVLKSFIHALVLANNAYKSKSLHSDSQTWMENQYEVFIQKVKSLGWKTERLLSSPIIWRANWIHQPAAEKND >CAK8535495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854198415:854201420:-1 gene:gene-LATHSAT_LOCUS4952 transcript:rna-LATHSAT_LOCUS4952 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNSLPLYEKIWIKHTFSRVMDSLILLLLLLLLGYRVSSHVNHHTFPWLVAFLCESWFTITWITTMSTKWTPARTKTFLDRLSHRISESELPALDLFVTTADPVLEPPIITVNTVLSLLALDYPSNKLACYVSDDGCSPHTFYALQEATKFAEFWVPFCKKYNVQCRAPFRYFCDEAVDNKDLPEFKQDWLKMKEEYEQLSSRIENAAEKSVPCQLMGEFSVFSETQVKNHPTIIKVIRENKGRSDTMPRIVYISREKSSKHSHHHKAGAMNVLTRISGLMTNAPFILNLDCDMYVNNPKIVLHALCILLDSKGEKEVAFAQCPQRFYDAVKDDAYGNQLVALPMYIGGGFAGLQGIIYAGTNCFHRRKVIYGLSPNHDIQNANKDHGFTKGTLLSEKETVQIFGTSKGFNASATHILKGTAFDLYKSLDFEDASKVASCDYEYNTAWGKQVGWLYGSTSEDVLTGLKFHTKGWRSELCSPDPIAFMGCSPQDNLGQMAQHKRWSSGLFDIFLSKHCPIFGTLFGKLQFRECLAYIWITNWALRSLPEICYALLPAYCIITNSSFLPNKELSMWIPVTLFAIYNISTLSEHIKSGLSFRTWWNNQRMGRITTMNSCFLGFLTIILKQLRISDTVFEITKKEQLPSNNDGSNENIGRFIFNESLIFLPGTTILFVQLSALFMSCLGWKLIKSGEGFGVGEVFCSAYVVLCYLPFLKGLFGKGKYGIPFSTIWKSMVLAFLFVHLCSGTFAN >CAK8572343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531139366:531140555:-1 gene:gene-LATHSAT_LOCUS24919 transcript:rna-LATHSAT_LOCUS24919 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKLLGLIAMILLLLLPLVTKGDTNDDPNDDFLDRVCEEVDCGKGRCVVNTSLPLSFACECESGWKRTQDEDDDLYATSFLPCVIPECSLNYGCQQAPSPVREKSIPNNISAFDPCYWAYCGEGRCTRNRTHTLMYMCECKRNYYNLLDVSVFPCYSECTLGSDCSNLGIRVSNSTVGFDRDASSTIFGGRFHWIIVLLISTGMVMWS >CAK8573293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601197971:601198414:-1 gene:gene-LATHSAT_LOCUS25760 transcript:rna-LATHSAT_LOCUS25760 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRHDHNMHIPDVFKVLNVFKVYSESFLGLPHQQNWPTYEGFTLCHDETMRRNKKERPNSTRITTEMDDFEKEKRRCGICQEIGHMRRKCLNVADPSNRSI >CAK8565818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:338692371:338693558:1 gene:gene-LATHSAT_LOCUS18999 transcript:rna-LATHSAT_LOCUS18999 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVTVIATKKTSKVRKQLHIHHDIALSILSKLSIKPLKRFECVCKSWSFLSDNPYFMSHYRNSFLTKYHSYYDDASFLPLQRFPIFHNQRFELHSLYEERFPSNVKIDWPYLHCFPRMVGCGSVHGILCFSIVTQNDIILCNPSTKDYKAIPLDRNHHECYQRGYSNSGFGYDCVEDDYKVMCIYHLDNDNEPMEDLYLDPFIWEIFSLKNNTWKKLEVDIKCNPTFWNDEQLYIDGFSHRVCQIEEYDYKTYVLSFDWHREVFTTTLIPFNIEDILDFLYHWTNLVLLNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLEHPIGMGKKSDMLFRKKDGGLVCFDLITQKTTDLSITNKACSNIVIHKQNPISLLAYVGKSI >CAK8560270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12431648:12434632:-1 gene:gene-LATHSAT_LOCUS13958 transcript:rna-LATHSAT_LOCUS13958 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGGMLDGGVPTAQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNEQVRMRSLHPLDPSQLTKMTGIEYVLSEVMEPHLFIIRKQKRDSPDKVTPMLSYYILDGSIYQSPQLSNVFAARVGRALYYIQKAFTTAASKLEKIGYVDSEKETTLQEPKAAKETIDLKEIKRVDHILASLQRKLPPAPPPPPFPEGYVPPSTAETEKAPETQEATESQAPTVDPILDQGPAKRMKF >CAK8563758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629424880:629426705:-1 gene:gene-LATHSAT_LOCUS17135 transcript:rna-LATHSAT_LOCUS17135 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKKPLLNSSELQRTLQHPLLRPRTHILTVPFFLLSLFLLSASYTTNSTHENNTTNIPSPRFPFSLSRVLAHAPFTSHTVSESQSNTFSSVTAAERMRGSLTNRDSQDLNSCDIFDGSWIQDDSHEPIYQQGSCPSLDDAFNCFKNGRSDYEFLKYRWKPHGCQIPRFDGLKMLHILRGKRVVFVGDSLNRNMWQSLVCALRASLKDKSRIYEVSGRREFRIQGFFSFKFKDYGCSIDFVKSPYLVQEWKVLRNDRVPSRDTLRLDMIQASKSQYYNADIIIFNTGHWWNHDKTKNGKNYFQEGDHVYERLEVSEALKKALKTWAKWVDSTVDSTRTRVFFTGFSASHYRGGQWNSGGKCDGERRPITNESYLAAYPWTMGIVEDVIAEMKTPVLYLNITKMTDYRKDGHPSIYREPGFYENKGQRMVQDCSHWCLPGIPDSWNEILYSILLTAHTNFMASANY >CAK8573961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650456139:650459300:-1 gene:gene-LATHSAT_LOCUS26354 transcript:rna-LATHSAT_LOCUS26354 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSFTPLHPITLHSHAHISPSPFPMSILRRFNLNFTNHRLRRRFATVSAAVRQDTTVWTPAPLSEIEPAAESLFHVTIDVSDAPELATSHTRAGQYLQLKVANSPKPSFLAIASPPKLAISRGVFEFLVKSVAGSTAEALCALKKGDVVEITQAMGNGFDISRIDPPEKFGTVLVFATGSGISPIRSLIESGFGASKRSDVRIYYGARNLQRMAYQDRFKDWESSGVKIVPVLSQPDDTWTGESGFVQAAFKKAKEISNPLSTGAVLCGQKEMTEEVTSILVADGVSAEKILKNF >CAK8569378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697342242:697343648:1 gene:gene-LATHSAT_LOCUS22235 transcript:rna-LATHSAT_LOCUS22235 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYIQFLHQCQVTKNLSSIKKLHGHLLTNGNLFVLHDLHTQLIATYTSFLPNNNLQTLTNTFFKCINSTNPLHFNLIISHFSRKGFPFLALTSFSFMHTNGVSLDTYALCSTLTASSKLKDFNFGKQIHAHVGKSGWFSSVFVGSALIDFYSKSLNVEDAALVFDEIPEKNTVCANALLSGYCEAGLWVKGVELVRKMPVLKLKYDHFTLSAALRACTGLSSVEMGRQLHSYLLRTMPDIESDVFLQSALVEMYGKCGMVEKARLVFKLDGMEIRNERSRDVVLWTSMLGVYGKSGRYKEVIDLFSEMLQEGIKPDGIAFLTVISACGHTGQVHSGVKYFESMTNDFKLNPGPEHYSCLVDLLCRAGELHKAWELLNETHYKDIGNCSVSMWGALLSACAESGNIELAKVAAQRALELDPQNGGICVMLSNLYARFGMWDEIGRLRVSIKQRGLRKDVGCSWVQVTM >CAK8560300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13442541:13443849:-1 gene:gene-LATHSAT_LOCUS13984 transcript:rna-LATHSAT_LOCUS13984 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVIVFDFDKTIIDCDSDNWVVDELGFTDLFNQLLPTMPWNSLMDRMMKELHSHGITIQEIENVLHRIPIHPRIIPAIKSAHALGCDLRIVSDANVFFIETILKHLGISECFTEINTNPGYVNQQGRLTILPYHDFNKASHGCNLCPPNMCKGLIIDRIQNTVCEVDNKKFIYLGDGAGDYCPSLRLRERDFVMPRKNFPVWDLICKDPSLVKAEIHDWCDGEELEQVLMKLINKIMIEENAKFISSDCKLQTLSIPVFETLPKPLSVRP >CAK8572093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508969691:508970162:1 gene:gene-LATHSAT_LOCUS24695 transcript:rna-LATHSAT_LOCUS24695 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEIMNSDGGSSIRRRSLILSNHGSHHTDNDVDCESVSEAGDIGDRALPSRRFSESNSFHSENGSTVITKSSVRPLPQELSFTPHLSTDATEPPKGLPQLLDYVSRMAHLVGFGILGVITCRIQLDDS >CAK8572094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508969700:508970162:1 gene:gene-LATHSAT_LOCUS24695 transcript:rna-LATHSAT_LOCUS24695-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNSDGGSSIRRRSLILSNHGSHHTDNDVDCESVSEAGDIGDRALPSRRFSESNSFHSENGSTVITKSSVRPLPQELSFTPHLSTDATEPPKGLPQLLDYVSRMAHLVGFGILGVITCRIQLDDS >CAK8533310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601848418:601850562:1 gene:gene-LATHSAT_LOCUS2950 transcript:rna-LATHSAT_LOCUS2950 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNIGSQPGVQPPPNTQPNPFGSAFQVAGSGLIRGGLGAYGGKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGLSLGLHGKFSPEALNLLFIKGLLGWFMQAALLKVTLLSLGSGEAPLLDIIAYAGYTFTGICLAVLGRIISGYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALVQFPLFTWLGNITINWLL >CAK8532232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:226637480:226642684:-1 gene:gene-LATHSAT_LOCUS1962 transcript:rna-LATHSAT_LOCUS1962 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQIPDLSLQISLPNSDLSSICATTNEVDDLDSPFDIWKNVHEGFKSHSDGFSSSFKKNGDSFQRTDDTELSLTTTTVPSEAESLWKRKSFVRLRPFNGIPCNPSILEKDSNFSLHPSCSSGNSVFEGVKQVSRFNGITMESLRAHKFQYLNLNQHQILQQQQQRQQQKYNQFGNSEFGNGQEKYNQFGNSEFGNGFVRSRMMMPRQQSNKRNTRAPRMRWTSSLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAASSDGDENFMSLTPPHTQNNLSLDHDISFISNNLWGNSSSSKGTWTQGNSRDFDEHSTEETLSSQHLENLLQGNNYTQSRSFKDQNIDCQKNPNLEFTLGRSN >CAK8560522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23247027:23249477:-1 gene:gene-LATHSAT_LOCUS14186 transcript:rna-LATHSAT_LOCUS14186 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVPSMENPKISAYYQTRLEHFGVVSTEWLAQAQSATKPITSSSTDARSDKDANFSVIDEFNRWRNHPDLAEAVAAIRALAAVISSSKASTMMQLEIELKNASDTLKAWDTTSISLTAASDLFMRYVTRTSALEFEDFNSAKARLIERADKFGEISYKARKVIGMLSQDFIFDGCTILVHGFSRVVFEVLKLAAHNKKRFRVFCTEGRPDRTGLRLSNELAKLDVPVKLVIDSAVAYTMDDVDMVFVGADGVVESGGIINMMGTYQIALVAKSMNKPVYVAAESYKFARHYPLDQKDLAPALRPVDFGVPIPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >CAK8568030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565670916:565673177:1 gene:gene-LATHSAT_LOCUS21030 transcript:rna-LATHSAT_LOCUS21030 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNNPPPPKPTWVLPYVTENLRDLYTLGRKLGQGQFGTTYLCTHNPTGRTYACKSIPKKKLLCKEDYDDVWREIQIMHHLSEHPNVVRIHGTYEDSFSVHLVMELCEGGELFDRIVKKGHYSEREAAMLIRTIVEVVENCHSLGVMHRDLKPENFLFDTVDEDAVLKTTDFGLSAFYKPGEIFSDVVGSPYYVAPEVLHKHYGPEADVWSAGVILYILLSGVPPFWAETEQGIFRQILQGRLDFQSEPWPGISDSAKDLIRKMLDRNPKNRFTAHQVLCHPWIVDDNIAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMLDADSSGTITLDELKEGLKRVGSELMESEIKDLMDAADIDNNGTLDYGEFIAATVHLNKLEREENLLSAFSYFDKDGSGYITIDEIQVACKEFGLDDIHIDEMVKEIDQDNDGQIDYGEFAAMMRKGNGGMGRRTMTSRLNFRNALGIIGNGSS >CAK8570012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20655873:20657672:1 gene:gene-LATHSAT_LOCUS22803 transcript:rna-LATHSAT_LOCUS22803 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKVVSGAKDTLIEEITTQLSIADKKEQEENNLCNSSIEISIACFRKKLIVLDINGLLADIVSSPPKHVKPDAIIGYKALFKRPFYLEFLNFCFERFEVAVWSSRLKRNVDNVIDHLLGDLKQKLVFCWDLSHCTETSFKTLENKHKPLVFKDLRKIWENYDPNVPLEKGYYNESNTLLLDDSPYKALLNHPYNSIFPHTYSYKNQNDNSLAVGGDLRRYLEGLASTENMMKYVEEHPFGQERITETDESWDFYLNVINSVSVC >CAK8540434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560047346:560047983:-1 gene:gene-LATHSAT_LOCUS9439 transcript:rna-LATHSAT_LOCUS9439 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIQEALSTPIGAATDFNEDELEAELKELESAELEEQLLQPATTALPATSYIPAGRQPTRPVPAKPTPEEDELAVLQAEMTL >CAK8535056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808932030:808934974:-1 gene:gene-LATHSAT_LOCUS4539 transcript:rna-LATHSAT_LOCUS4539 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFHCHHRLHFSNNLPFPLSPTHHHNHKPSLLPFLPKKPTSFSISAIPSSTWLADITTRITIDGADGPIEIPSYSPPSLLSTTDDPSFIQIASSILLTGAISVLLFRSFRRRAKRLKQTQFRSSGEKSVKEEALETLKAMGSATIETSTGPPSPLQTFLGAISAGVISLIMYKFATIIEAGLNRQTISEDFSARQITITVRTIINGLSYLATFIFGLNSIGLFLYSGQLALKSFTGDAAEKETENKSPDQSSLSNVSVETRTNDIELSNRNEEQSSNDA >CAK8564371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:670666680:670667084:-1 gene:gene-LATHSAT_LOCUS17683 transcript:rna-LATHSAT_LOCUS17683 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCMAASSMESMKQPKPCSSSSSNKVFDEDVYLDHHHQNKENDALGKLRASCDANGKVTFKISKSELAELLGTIQQNNNKNHQRPQPLKKKKELASAEQILFRLMKARHHEIEKKHRGSGNWKPMLETILEC >CAK8532765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510853676:510858712:-1 gene:gene-LATHSAT_LOCUS2438 transcript:rna-LATHSAT_LOCUS2438 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLENWHEEFLKQANPPDPRTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDYNVDAAFLCIAKTALANERDQDIYFQPIPEAAAPENEQRGGCAC >CAK8541027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:45937691:45938119:-1 gene:gene-LATHSAT_LOCUS9980 transcript:rna-LATHSAT_LOCUS9980 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSYGKSLQDSTTVFITGFPDHFRAKDLYKVLFDYGAIDEVAILSKKDKRGRKYGFVRFFEVADVRRLMLKMDNLFIEGRKLHADLSRFSRVVERMFSREKGVNHSGSKEDPRGLQTNLGAGTDACIMEWGQVTPLDFSF >CAK8576302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:450655371:450656676:1 gene:gene-LATHSAT_LOCUS28501 transcript:rna-LATHSAT_LOCUS28501 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMIPFILIQTLFCIGYSNDLPVNTTLPQSPTLFLPPFHIYHQTSNSYSSNEPLEESKRRSPPRRSPPPRRSPPPPPRRSSPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPRRSPPPPPPRRSPPPPPRRSPPPPPKIRSPPPPPSSASPPPPPHSPSPPPPLSFDHFVLSQTWPPTYCKIKNDKCVSPEPLKFVIHGLWPIENDTLIQFCDNEMIDVNDFEPLLEELNEDWPALVKTDNQTEANIRLWVGQWYGHGTCSRELFEFIPYFEETLNVYHRNSIIDILIEDDIHPGEKIPKETILNAIHTHTKFMPQLQCERINDTDYLYEIRLCLTASVELEYEDCDTPYSGCHDEEVYF >CAK8578297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618156325:618157903:-1 gene:gene-LATHSAT_LOCUS30322 transcript:rna-LATHSAT_LOCUS30322 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQMGFLFFCSVLFLSASSSASSRNLPIIAFDEGYTPLFGDNNVFVHKDGKSVHLSLDERTGSGFVSHDLYLHGFFSASIKLPADYTAGVVVAFYMSNGDMYEKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTGIGREERFGLWFDPAEDFHQYSILWTDSHIIFYVDNIPIREVMRTESMGGDFPSKPMTLYATIWDASDWATNGGKYRVNYKYAPYIAKFSDLVLHGCAVDPIEHVANCDTAQTSKSIPSGVTPLQRLKMDSFRKKHMTYSYCYDKIRYKAPPSECAINPQEAERLRRFDPVTFGSGRHRHGKRHHSSRSSQTETVSF >CAK8573714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632599212:632601592:-1 gene:gene-LATHSAT_LOCUS26123 transcript:rna-LATHSAT_LOCUS26123 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELTELAQPSFDDFQRQTSLMTSCTLLWKELSDHFSSLEQDLLSKSEALNRKICSLDNQTNESLNVLRHRESTLDDALQIALRDIDKRTEAALAALSRVKEDVAEGDADGEVDNGEGLMLKLKSFCLNMDALGFWGFLIGKKKELEGLRAEMPDALEECIDPAKFVLEAISEVFPVDKRGDKGGNDLGWACVLVLESLVPVMVDPVLKSRMLVTPSVKELAKDIAEKWKVSLEERGGVENVKTPDVHTFLQHLVTFGIVNSDDLQLYRKLVIASAWRKHMPKLALSLGLSNQMPDMIEELISKGQQLDAVHFTFEVGLVDKFPPVPLLKSFLKDAKKVAASILEDPNNAGRAAYLAARKEQSALKAVIKCIEEYKLEAEFPAESLKKRLEQLEKVKPEKRKQVVVPANKRTRASNSNGGHMPPAKAGRLTNAYVSSFPAAAPTFVRSPSHGQYPAALPPYPSPPHMYGSRSPSYAYSPEPAPAIAASYPTPPMNYPAYGGYGNVLAPTYQQAYYR >CAK8536369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935278362:935281462:-1 gene:gene-LATHSAT_LOCUS5745 transcript:rna-LATHSAT_LOCUS5745 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPLLLLVVLFSLSLFSPTFSLNQEGLFLLQAKLHLSDPSNSLSNWNHRDTTPCNWTGILCNNLTAAVTSINLPDSDLSGPFPTSLCRLTSLSFLSLPNNNLNSTLPSAISTCTTLRHLNLSLNLFSGPLPDTLSTLPFLQILDLSFNNFSGNIPHTFSRFQQLQTLSLINNLFTGIVPSSLGNISSLKELHLAYNSFLAQGPIPSEFGSLINLETLWLSGCNFVGPIPVSLRKLVNLKNLDLSRNMLNGNIPETVISGLTSIVQIELYQNSFSGELPRVGVSNLTQLERFDASDNNLTGTIPDELCRLKNLGSLNLYNNRLEGSLPESLASSESLYGLLLFNNTLSGNLPSGLGSKSRLQMIDVSFNRFTGEIPASLCRQGTLQELLMIHNSFSGEIPESLGNCLSLTRVRLGNNNLSGVVPSGLWGLPHVNLLELVENSLSGSISNAISRANNLSILLISGNRFNGSIPDSIGSLNNLGEFVASRNSLTGRIPISMVKLSQLGRLVLRDNQFSGEIPHGVGDWKKLNELDLANNIFVGNIPSELGTLPGLNFLDLSGNFLNGEIPMELQNLKLNFLNLSNNQLSGKIPPLYANENFKDSFVGNTGLCSDISGMCPNSGEKSKNKNFVCVFWFIFVLAGVVLIVGVAWFYFKFRNFKKTKKGFYLSKWRSFHKIGFSKFEIVKLMSEDNVIGSGSSGKVYRVALSNGETVAVKKLWGAKKMKNGNVDDPEKDGFEVEVETLGKIRHKNIVRLWCCYNNGDSKLLVYEYMPNGSIADFLHSSKKKFLDWSTRLKIAIDAAEGLSYLHHDCAIPIVHRDVKSSNILLDEEFGAKIADFGVAKFVRGVSNGTEEPMSMIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKQPIDQEYGEKDLVKWVSSKLNEEELDQVIDPTLDYSKYKEEINKVLKLGILCTSSLPINRPSMRSVVKMLQEVASVAKSRSGRFSPYYQEVVSDNDS >CAK8574164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667017259:667018364:-1 gene:gene-LATHSAT_LOCUS26536 transcript:rna-LATHSAT_LOCUS26536 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYCTSIFLTLHCYYRGCLLVRQNFFHNDPKSFAHVGGGVLGCRGFHSSFRATQSSLSLNIDVTTTMIIQLGPVVDFLISNQNARDPFKIYWAKAKRTLKNLRVKTHPCNQEGKICGLSDVPCKELIFTLKKRDGDGTEEITVLDYFVNVRKIDLRYSADLPCINIGRPTRPTYIPIKLCELVSLQRYTKALSTLQRASLVEKFRQKPQERMRILSDVSCFA >CAK8544933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713209170:713210354:-1 gene:gene-LATHSAT_LOCUS13573 transcript:rna-LATHSAT_LOCUS13573 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRGRGRPKSTVPPSQETLTNLKTLEPESSNTTISVQKPGNVSGTIAGEDKATTNALARENSETLTEPSKTQTEERKPWVDVINDNRNPARGMSIEYVAPKLINGMIEIDIEQEDIETEIQFWDNALILYVVRDDLSINTVKNFMQRMWNFVKMPDLYYHDDEYFLIRFSSQEDKEAVMMKGPYTIQNMPRDIEGMANWFQLKKGSAKNLTYLGQTSPTSPHLWGAKRLSKIGSAIGKPLVTDECTANKLRVSYARLLIEVDITQPLIDEITIRNVEGDIIMQPASYEWKQKFCDTCQKIGHKCEERGKKQQWKPKLKPPKMSTNITPGKPPEREETTGIRSDSWTRARKSVRDKGKIIAIDTNNNINCNNGFEALEVLNDHQVTISLEPC >CAK8533187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588973692:588974162:-1 gene:gene-LATHSAT_LOCUS2834 transcript:rna-LATHSAT_LOCUS2834 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGYKLLKPDSTRPGFEFSAGFISSPPSDVVFCGKVISRKTEASQKTQMEGESTSDRINVFVAGLRSPSGRGNLWWRSNSDRKSYTGIFGTVKFPLQMELSDMKTRQERREPMPLPKFTTKDDGGESYWELVRPIRRRGSIMRTLMSSFSCISIA >CAK8567472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516430080:516431097:1 gene:gene-LATHSAT_LOCUS20520 transcript:rna-LATHSAT_LOCUS20520 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPTRKHHNPNNSFDLYDETRHETWESANSNNMWPLKQPQLCMDDDDEPEQEPRWGMNSPHYRSLSPVSRTEAIIRGQKELMEMVRNMPESNYELSLKDLVEHHHRAVSTTTEENNNNSDEKNKNKNKKVDDDKKVPVKRNGKVDRGGFYLKVALPFIFLGSNKEEKKKKNESKVSPKPSICDGSVKEKEWWKKSTPLVYKESDDSAAESSIVSNGSLKSTISSSSKSSSSRSRRIRSRREKSGGGCWSLFGKTKNQKKSTV >CAK8532725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:502729788:502733084:-1 gene:gene-LATHSAT_LOCUS2403 transcript:rna-LATHSAT_LOCUS2403 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRRLFGGKKHHNPPPRTTAKKDKRIWSLAKNSTRDKTNSLPLNNHKNTDPSTSFAENYDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSNTDSGHSAVRPQTPKTGRRCSPAEYDAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLKRMQTLVRLQSRARASRMHVSDNMHSFKSSLSRNPVPDDYEHRLHVYRTKFDGSSILKRCSSNANFRDMNLERARFGSNWLDGWMEENTWSQIGDTSSKTIHLDDEKSDKILEVDTWKPHLNSHHSTSTSFQHHHSSCDYNNENFTQHDSPRKRSFKPHNSSFSSMKHQKDKEEVASSRTTIDNTPQALSASSRLENGLRRGPFTPTKSETSWSFFNGYSDCPSYMAYTQSSRAKVRSQSAPRQRLEFERSSVSTTRSIQGL >CAK8537396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:329739152:329742794:-1 gene:gene-LATHSAT_LOCUS6689 transcript:rna-LATHSAT_LOCUS6689 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASEMLQGV >CAK8575218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55899327:55899848:1 gene:gene-LATHSAT_LOCUS27502 transcript:rna-LATHSAT_LOCUS27502 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYVRKIQKELDDEEDEKIESLIKDGFLDDACKIANHINDVEWKTYITEANILGENGLYIPKDVSRKCFGNKHDSVKMVDLEAGKGYKCVIHRDGRDEYEKKIGRGWYKYARENRIHTGDLLFFTMTPMSDVMHVQLVKG >CAK8543865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645271299:645271881:-1 gene:gene-LATHSAT_LOCUS12590 transcript:rna-LATHSAT_LOCUS12590 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVIFILSISMVLLSSVTMATDHIVGDDKGWTVDFNYTQWAQKIVFRVGDNLVFNYNPSFHNVFKVNGTLFQNCTFPPENEALSTGKDIIPLKTEGRKWYVCGKGDHCAARQMKFVITVQAEGAPTPSSPPPSSTGHYVVSSVFGVVMVAMVAIITIFA >CAK8563005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565962718:565963371:1 gene:gene-LATHSAT_LOCUS16455 transcript:rna-LATHSAT_LOCUS16455 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRKNISRFFIARLSGDMIYEARHTSLIGEKFTIDLKRLKCSCRSWMLTGISCYHAISCIQNRSQDPDEHIPPCYRKETYQACYKPFIYPTNGEILWELTQYPDILPPHSRRAPERQKRRRNKDADEKRKDSTNFSRNGLPNKCSICGISSYNKSSCPTKPREAQNATTVQSQTVTNVQPQTTNTDQS >CAK8537228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:168552257:168553721:1 gene:gene-LATHSAT_LOCUS6533 transcript:rna-LATHSAT_LOCUS6533 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGTRLPGFCLNRIRPHARVRSPTIQTKKEKTDDTKTDEKTENSSCSVCEEKFVVDDGVKQGSVTGRKIMIVIDSSFEAKSALQWALTHTVQNHDTIILLHVMKSSRQATDDEASRKETDPRAYELASSFKNMCNAKMPEVQIEIAATEGKEKGAKIVEEAKKHGVGLLVLGQKKRSTTWRLLMMWAGNRVTGGVVEYCIQNAHCMAIAVRRKSKKIGGYMITTKRHKDFWLLA >CAK8571569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:441445421:441450070:1 gene:gene-LATHSAT_LOCUS24217 transcript:rna-LATHSAT_LOCUS24217 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDLLTRVDSICKKYDKYDLDKNKDANVTGDDAFVRLYASVDSDIENLLQKAETASKERGKASAVAINAEIRRTKSRLLEEVPKLQRLAVKKVKGLSSQEFAARNDLVLALPERIQAIPDGTPVAPKQTGGWAASSASRAEIKFDSDGRFDDEYFKSTEESSQFRQEYEMRRIKQDQGLDMIAEGLDTLKDMAHDMNEELDRQVPLMDEIDTKVDRASSDLKNTNVRLKDTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLK >CAK8542766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544657269:544659228:1 gene:gene-LATHSAT_LOCUS11575 transcript:rna-LATHSAT_LOCUS11575 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNVHMLQSARGFQKCNLSFSRHGNPRCGYRTNHLAFASMHTSDPKVDSLLDSVKWDDKGLAVAIAQNVDTGAILMQGFANREAVATTISSRKATFYSRSRSSLWTKGETSNNFINVLDVFLDCDRDSIIYLGKPDGPTCHTGAETCYYTPVFDLLKEEETEGNKLALTSLYALESTISQRKAELVEEENGKPSWTKRLLLNDKLLCSKIREEANELCQTLENNEDKSRTASEMADVLYHAMVLLALKDVKVEDVLQVLRQRFSKSGIEEKRSRTTQKSVEN >CAK8537629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:411065607:411068067:-1 gene:gene-LATHSAT_LOCUS6898 transcript:rna-LATHSAT_LOCUS6898 gene_biotype:protein_coding transcript_biotype:protein_coding MTESGNLAVVVVAVDGSEESMNGLRWALENLKLRSPTPDSSNAGSFIIFHVQSPPSIATGLNPGSIPFGGPSDLEVPAFAAAIEAHQKRITDSILNHALGICSKFNHTKVRTHVVVGEPKEKICETVQDLRADVLVMGSRAFGPIKRMFLGSVSNFCAHHAHCPVIIIKGKDSFNKGN >CAK8539164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505788294:505791547:1 gene:gene-LATHSAT_LOCUS8284 transcript:rna-LATHSAT_LOCUS8284 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERSGIANDVTELIGKTPLVYLNKLTDGCVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGLITPGQSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRIILLAFGAELVLTDPAKGMKGAVQKAEELLAKTPNAYILQQFENPANPKVHYETTGPEIWKGTDGKVDAFVSGIGTGGTITGAGKYLKEQNSNIKLIGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLDTGLIDEVIQVSSEEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRPENAGKLIVVIFPSFGERYLSSVLFESVRRQAETMIFEP >CAK8572631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552165812:552166027:-1 gene:gene-LATHSAT_LOCUS25173 transcript:rna-LATHSAT_LOCUS25173 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNVRGLNKSGKLREISFRLLTLKPEIIVLIETRVKLPKANSARNNLKMQRKFIDNYSHHENGRIWIN >CAK8576511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486488199:486491095:-1 gene:gene-LATHSAT_LOCUS28693 transcript:rna-LATHSAT_LOCUS28693 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNPSFLLFLSIIAIALCFADSQSFVGVNYGQVADNLPAPDATANLLKSTSIGKVRLYGADPAIIKSLANSGIGITIGAANGDIPNLASNPNSATQWVNSNVLPYYPASNITLITVGNEVMTSGDTGLISQLFPAIQNVQNALNSVSLGGKIKVSTVHSMAVLTQSNPPSSGSFDPALQSTLKQLLAFQKDNKSPFTINPYPFFAYQSDPRSETLAFCLFQPNSGRVDSGNGKLYTNMFDAQVDAVHSALSGMGFQDIEIVVAETGWPTRGDTNEVGPSLENAKAYNGNLITHLRSLVGTPLMPGKSIDTYIFALYDEDLKPGPASERAFGLYKTDLTLAYDVGLSKSTQKSPPMKTTQWCVPKGGVSDVELQVNLDYVCSREGIDCGPIQEGGACYEPDTTLSHATFAMNLYYQKFGRNPWNCDFSQTATLTSQNPSYNACIYPSGST >CAK8538268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474135416:474138334:-1 gene:gene-LATHSAT_LOCUS7484 transcript:rna-LATHSAT_LOCUS7484 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQKKANLLDHHSVKHILDESVSEVVKGRGYVEDVRLSNVRLLIGSVIIVIALFAQFYKKKFPENRDFLLACIALYVIFNGLLQLIIYTKEKNAILFTYPLSGSFTSTGLVVSSKLPRFSDIYTLTVESADPKSISANEPVNLTKSVTEWFTKDGVLVEGLLWKDVETLIAQYTKEPKKSK >CAK8571742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466686744:466688123:-1 gene:gene-LATHSAT_LOCUS24376 transcript:rna-LATHSAT_LOCUS24376 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSTFSQTLLYAFFFFFFISFSFSQDQPPIDPLEQKALYAVLNSLNPTIPWTTDYPDDLCLSAPHGVVCDYNPSNQQQKAHIVELNFGYVSDETPNPPCSPNATLNPLLFTSFPYLQKLFFYKCFNNTRNPLHLTSLPSLPPSLQELVFIQNPSIVSPIEPFLRNLTSLRRLVLIGNAFHGEFPFNIGDYTNLEELTLSRNNLSGMIPPSLGMLKKLKILDLSQNGFTGCVPEQLGNLTSLLKLDLSYNGFGCKIPESFIHLQNMKFLDLSFNLFGNFGVPLFLGETTSLKEVYLSGNLLSGKIPEIWEKLGGVEKIGFSKMGLLGKIPVSMGIYLKNLSYLGLDNNQLDGSVPEEFGLLEFANEINLENNNLSGRISLPSRVEQKLKLAGNIGLCLGNNASCSSQNGESLGQLNPYKITDILDHDDVLFNGDSLLHFDSLMLLVLVSVGWFLILDG >CAK8542738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541785537:541791461:-1 gene:gene-LATHSAT_LOCUS11549 transcript:rna-LATHSAT_LOCUS11549 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKFIFSLTLLGILFYGGDSKFMVYNTSQGIVSGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVYALLADKNRKFIYVEMAFFQRWWRDQSEAIQNIVKQFVSSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRTKRKKEKSLEVIWQGSKSLGSSAQIFAGAFPKNYEPPSGFYFEVNDDSEIVQDNMDLFDYNVQDRVDDFVAAALSQANITRTNHIMWTMGTDFKYQFAHTWYRQLDKLIHYVNKDGRVNALYSTPSIYTDAKYAANESWPIKTGDFFPYADRANGFWTGYFTSRPALKRYVRLMSGYYLAARQLEYFRGRKNSGPNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLAIGYKEAEELVSSSLACLAESTLFTGCQNPVTKFQQCPLLNITYCPATEVELVQGKSLVIVVYNSLGWKRNEVIRIPVIDGDVTVHDSNGVEIESQILPLAESFVNLRNYYVKAYLGETPSKTPKYWLAFTVSVPPFGFSTYTVSTAKKTGSTRSSVYTHQSHEKSSIGQGNLKLTFSTDQEKRTNYVNARNMVEEQVELSYLYYSGYNGTDQKDPQNAGAYIFRPNGTHHINHEKQVPVTVLHGPIIDEVHQQINPWIYQITRQFKGKEHVEVEFIVGPIPIDDGIGKEVATRISTTMETNKTFYTDSNGRDFIERVRDYRTDWDLEVNQPVAGNYYPINLGIYIKDNKTEFSVLVDRAIGGSSLEDGQIELMLHRRLLLDDSRGVAEALNETDCVADNCRGLTVQGKYYYRIDPLGEGAKWRRTFGQEMYSPLLLAFSEKDDKDAWTNSRVTTFSGFDSSYTLPENIAIITLQELDHGTVLLRLAHLYEIEEDKDLSVKTSVELKRLFSGKKIKEVKEMSLSANQERKEMEKKRLVWKAEGSSGNEGVSRGGAVDPKELIVELAPMEIRSFMIYFDYSNHLFDAL >CAK8538112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464216133:464216429:-1 gene:gene-LATHSAT_LOCUS7347 transcript:rna-LATHSAT_LOCUS7347 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALICAQNWLKPTLSQFKDLNINEDFDVSFTTVSEFGGSSVSGSTSGCDSNVVGRGKEPVAGSSQSHT >CAK8539950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532221935:532225783:1 gene:gene-LATHSAT_LOCUS8998 transcript:rna-LATHSAT_LOCUS8998 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRKHRDTLRFLSRFHHSHQQNPSLLPSASPSSQFTSLTGFHENSPQLQRNAVPGENKALSFFNLLRNSLKNEQLGGGNPFGIHQKCYYGSMGGVVQRSNRFSELNDDDVKYFQEILGKKNVVQDEDKLSAANIDWMHKYKGSSKILLQPCNTDQVSQILKYCNSRNLAVVPQGGNTGLVGGSVPVFDEVIVSLSSMNKIVSFDKVSGILVCEAGCILENLMSFLDNEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGSVLGVEAVLANGTVLDMLKTLRKDNTGYDLKHLFIGSEGSLGIVTKVSVLTPPKLSSVNVALLACKDYSCCQKLLQEAKRKLGEILSAFEFLDSQSMDLVTSHLDGARNPFPDSQHDFYVLIETTGSDESSDKQKLEAFLLGSMENELIADGVLAQDINQASTFWTIREGISEALMKAGAVYKYDLSIPLENLYTIVEEMRSRLGDAANVIGYGHLGDGNLHLNVSVPQYDDKILSQIEPFVYEWTSKHRGSISAEHGVGLMKANEIFYSKSRETVQVMTSIKNLMDPNHILNPYKVLPHSITS >CAK8541612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:300818982:300819525:-1 gene:gene-LATHSAT_LOCUS10520 transcript:rna-LATHSAT_LOCUS10520 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAV >CAK8530468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23388439:23390291:-1 gene:gene-LATHSAT_LOCUS331 transcript:rna-LATHSAT_LOCUS331 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQLSPAQITVYGSAFCVMLSMHFTLQLLSQHLFYWKNPKEQKAIIIIILMAPIYAITSFVGLLDIRGSREFFTLLESVKECYEALVIAKFLALMYSYLNISISRNIVPDEIKGREIHHSFPMTLFQPHSVRLNHHNLKLLKYWTWQFVFTRPVCSILMITFQLLGIYPNWLSWIFTIILNISVSLALYSLVIFYHLFAKELEPHKPLAKFLCIKGIVFFCFWQGMVLDGLVAAGVIQSRHLKLDVEHIEEAMQNILVCIEMVVFSVLQQYAYHASPYSGEVEKMLKQNKKDE >CAK8562814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:540083930:540084892:1 gene:gene-LATHSAT_LOCUS16279 transcript:rna-LATHSAT_LOCUS16279 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPNILPAESWVTHAAYFVATDGNVFKTNLMTKDKIGIKFFPYCIVWTKVIGSNLPNKYIVVGMDVYSAAIKLQILPTGIKFKREFKPYSGILKLYSLSKVHAGYEEIKSNLLRLCPDSHEKFHHPKPLWKNKDFFVQLPFKLNEDVNPTKATHPGMSPSDYVLDREECNQLLKQGLIEPTKSEWACQAFYVEKRSEKLKGKKRLIIDYKPLNHFLKDDKFPIPKASSLNVFIKDAHIYSKFDLKSGFWQLGIDHGDRYKTAFCIPNAQYQWTVLPFGLKVAPSLFQKAMTRIFEPILNSTLIYIDDVLLFSKDEKTHK >CAK8560947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61975836:61976792:1 gene:gene-LATHSAT_LOCUS14582 transcript:rna-LATHSAT_LOCUS14582 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRLGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLVLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNISLSDKNLLDAMQLTVHTNGYNFKPGSEIVAICYRIYYKVLTTLNPKAKHLSFLGTTTLVQTNLLTSNVATNRLIKWDEINFSETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLAARHSVSEFYTAPSQLPRPSTSQIREEIEAVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFHL >CAK8539519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514830939:514833459:1 gene:gene-LATHSAT_LOCUS8605 transcript:rna-LATHSAT_LOCUS8605 gene_biotype:protein_coding transcript_biotype:protein_coding METKNEGNAVRIRIENPFAFKVGQVFTGFGIGCGVGIGVGRPLNLAAIPMLNQVMSATRGATDAFSGVSRHVNTSLRKFGAKNIEVGVGCGVGFGHGFGAGLAVKPGVLNQIQSCLVVTMTKMMMKFGLTPSLPFSPGAFPSSLQSVTSTVSTGSMMPLVTKSTDQLSQGLAGSQPMNIGLAFDKTAIKDTAVDTTYGSRTEKVLNNFLQNPLLKGEGGASGEVAGRLITENKILQMVLKHQQIIEELVEENEKLRQILVEELKVPSSKLESGSSGRIRNKLPCTDCFDCRRKQRRK >CAK8534200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704034622:704035545:1 gene:gene-LATHSAT_LOCUS3761 transcript:rna-LATHSAT_LOCUS3761 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTETTFNYYRGELRRTDRTTLEWIDNILIEKWSRAFDGGQRWGHMTTNLVVAMNSVLKATRNLPITALVKSMYYRLGSLFGKRGHDWTKLLASGQTFTKNYNKGMADEAIKSSSHNVIQFDRERFCFMVAENINQRDGRALSTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQKNWPTYEGFTLCHDETMRRNMKGRPNSIRITTEMDDFEKEKRRCGICREIGHMRGKCPNVAGPSNRPV >CAK8544231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673392665:673399168:-1 gene:gene-LATHSAT_LOCUS12930 transcript:rna-LATHSAT_LOCUS12930 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVLETAPLDGHFLRYKWYRVQNDKKVAVCSVHPSEQATLQCLGCLKGKIPVSKSYHCSPKCFSDAWQHHRALHDRAASAVNENGNEEEEVFGRFNNSGPGSINTSLSSTASSANLSNGSATVYPATVTQRNGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAETKLTVGHTNTILTSRVIPAPSPIPRQLVPVDGMGSLDMDGRITSSGTFTVLSYNILSDSYASNDLYSYCPSWALSWPYRRQNLLREIVGYRADIICLQEVQSDHYDEFFAPELDKHGYYGLYKKKTNEVYNGNINTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAMIPTTQKKTALNRLVKDNVALIVVLEAKVNNQPVDNPGKRQLLCVANTHVNVHQELKDVKLWQVHTLLKGLEKIAISADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLAVDPLNILRPHSKLVHQLPLVSAYSSFARTVGLGYEQHKRRLDSSTNEPLFTKVTRDFIGSLDYIFYTADSLVVESLLELLDEESLRKDTALPSPEWSSDHTALLAEFRCCKSISRR >CAK8578092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:606004681:606005397:-1 gene:gene-LATHSAT_LOCUS30133 transcript:rna-LATHSAT_LOCUS30133 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTICDSESLNHVVTTPNPTKEIDGKKIIQVTENNKNNNADINYWQRAQWLRAAVLGANDGLVSVASLMMGVGAVKKDITAMLVAGFAGLVAGACGMGIGEFVSVYTQYEVEVGQMMREIGTCDGSEKKLENELEKRKSLPNPMQAASASAFSFSIGGLVPLLCGSFISDYKIRVIVMVAVSSFALVVFGRVGAVLGKTPKMKASIRFLLGGWMAMAITFGLTKLLAHCSGLDLDI >CAK8573158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589960201:589961675:-1 gene:gene-LATHSAT_LOCUS25637 transcript:rna-LATHSAT_LOCUS25637 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQSQGGKASAFKRWGRKGPFLRYGLPMISLTVFGALGLGHLLQGSKDIARVKDDKEWEIIEARKTLFRTGPVNAYKPKKISLEDELKDLQQKVDINDYEYKTIPKPNEDRRI >CAK8578190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611690800:611693374:1 gene:gene-LATHSAT_LOCUS30224 transcript:rna-LATHSAT_LOCUS30224 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPIDGNLGPLPEAQLTQQEVEERNEKSSVEEVATDAPTSVATHTRTIGIIHPPPDIRTIVDKTSQFVAKNGIDFEKRIVANNAGNAKFNFLNSSDPYHAYYQHRLAEFRAQNQSSTQLPGDSPLPESATPAPATDSNSNDVVMAEKPDISAQFRPVRKVLDPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFMKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSVPDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERIQMAMIDWHDFVVVESIDFADDEDEELPPPMTLEEVIRRSKMTPMEEDIVEPGKEVEMEMDEEEAQLVEEGMRAASLEENDEVKKNGVRVTEDPEPPMRIVKNWKRPEDRLPADKDSTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKNDEQPKQVIWDGHSGSIGRTANQAMSQNIGMEDQNDASNNELKNLPGPAAPPPRPGMPSIRPLPPPPGLALNLPRGPMNNMQYSNPNNSGLPMPPPRPPGMHMMQSLRPAPPPPMQMSSGQHSMMGGQPHPMHPSIPMNNQGIPIPPPPGSQFAPIPVPRPYVPLSHPPSGMPMMHPPPPPQGLPPPPPPEEAPPPLPEEPEPKRQKHDDSALIPEDKFLAQHPGPARISISVPNVDEGNLKGQVLEITVQSLSETVGGLKEKIAGEIQLPANKQKLSGKPGFLKDNLSLAHYNLSGGETLSLALRERGGRKR >CAK8533146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:584627692:584627961:-1 gene:gene-LATHSAT_LOCUS2793 transcript:rna-LATHSAT_LOCUS2793 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGINEDVEKDDINDEIQEDDVDDRFQEDVAGDEFQEDDIDVDDEFQEDDIDSEFQEDEVDEEFLEDDICDEFQEDDMDDEFQEDKIE >CAK8533275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:599663643:599670541:-1 gene:gene-LATHSAT_LOCUS2916 transcript:rna-LATHSAT_LOCUS2916 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEHMHMHDVPSSVGISASEAENNSVKNMEIGDFVDEGENLEAEKSVQSEQECDNSLKEKKDLELQVDLELHETVVKSVEGVRETLHAAETVSLSLKDESPNGSFEIGRRGVKRARITVDDEQQPSVHFIYKSLTRASKKKLEELLQQWSHWHAKHVSPSNDPSEMLESGEETFFPALCVGHESTSSVSFWMENQTMNGINTDVSPIDDNSVPLYDRGYALGLTSADGSTIADSGLEIIDVPSRCFNCGSYSHALRECPRPRDHAAVNNARTKLKSRRNQNSSSRNPTRYYQNSPAGKFDGLRPGALDDTTRQLLGLGELDPPPWLNRMRELGYPPGYLDADDEDQPSGITIFTDKDMEEQEDGEIVGADSSKPKQKMSVEFPGINAPIPEKADERLWAARAVVPSISSDISRNWSQKRSASFGSRGHHREQRVGDLRDDGPPGDPGLSSSQFSFQPRFGSGHVSPNTMSEWSSRRHPLHEEESPKPFSFHSQYYSTPDRYVSSLERDSGSRHGSRSSGSLHDRDRDRDHSSRFNDRRPEDRHYHSRR >CAK8570702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:90208252:90209724:-1 gene:gene-LATHSAT_LOCUS23435 transcript:rna-LATHSAT_LOCUS23435 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFNKSVAKSPEGLQSPESNSVSSLKDDFLGQHFASLHPSSVNLNLASSAFLAYSLHNNNPLLPRLFAVMDDIFCLFQGHVDNVANLKQQYGLNKTANEVTIVIEAYRTLRDRGPYPADQVVRDFQGKFAFILFDSSSQTAFVAADADGSVPFFWGIDADESLVLSDEIDIVRKSCGKSYAPFPKGCFFTTSGGLRSFEHPLNELKPIPRIDSSGQVCGSTFKVDADSKKEAIGMPRVGSAANWSSNY >CAK8563237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584681854:584683560:1 gene:gene-LATHSAT_LOCUS16668 transcript:rna-LATHSAT_LOCUS16668 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFALALLLFSFTAIPSSANWCVCKDGSDAVLQKTLDYACGAGADCNPLHQNAPCFQPNTVRAHCSYAVNSYFQKKGQAPGTCDFAGTATPVASDPSVSGCIFPSSASGAGTSTTTPSSGTTTPSMGTGTTPSTSTGTSTGTGTSSGMGTGTGSTSTGSTGAGTTPYNTSPGVLGGIGTGMGPSGAGGMNDDSHGGIRLLDTSFLSIPLFSIFIMFW >CAK8564422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673354305:673361798:-1 gene:gene-LATHSAT_LOCUS17728 transcript:rna-LATHSAT_LOCUS17728 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFALDGGEDFNRVAPPPSVTGRFASDYSDVQNSRIFHTLPLPSVLKSFFKIVDGPQSSSAGNPDEIAKLFPNLFGQPSAMLVPSGSDTVLPNQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSILYGFRGGPAGIMKCKYIELNSDFIHPYRNQGGFDMIRSGRDKIETPEQFKQAEETVSKLDLDGLVVIGGDDSNTNACLLAEYFRSKDLKTSVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDIISKRAEADYNYGVILIPEGLIDFIPEVQHLISELNEILAHDTVDEGELWKKKLTDQSLKLLEFLPQTIQEQLMLERDPHGNVQVAKIETEKMFIQMVETELEKRKQEGTYKGGFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLQSGKTGLISSVGNLCAPVEEWTVSGTALTSLMDVERRHGKFKPVIKKAMVELEEAPFKKFASLRDEWALKNCYISPGPIQFTGPGSDAISHTLLLELGAHA >CAK8575820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:331109693:331126816:-1 gene:gene-LATHSAT_LOCUS28054 transcript:rna-LATHSAT_LOCUS28054 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSNKKKRGGGGRRNKSRTPSKDHTSQFGNDDDNEQLSEEITALCSIFQEDCEIIPGSPAQIVVKLRPYSNDMGYEDVDVSAVLVVRCMPGYPFKCPKLQVTPETGISQTDADKLLSLLVDQANLNAREGRVMIFNLVEAAQEFLSRIEPIAKPTESKFLHSTREGIEELCPKDMASSYKNRSFVYGFVDLFSGYGESWNWGFGIDETAVKSSSIPSSKLDASTLQIETREKKSDCKENLFILQELPVKLDTVGEVSEESNNCLSLTQSSRSLVGDSIGDDNEGEKEDLMVDKYTTEDSNGISDSESTKSLSSVSLPHHQASQIIEKDLIMVHLLRLSLASQGTLADSWPQLVTELYNSGIVSDSTRDMASKPLSLFNKTFDHIVKKQPALSKILQLGTPSSDLGSSNTVRPSSRYLNDFEELHPLGHGGFGHVVLCKNKLDGRQYAMKKIRLKDKTMTNRILREVATLSRLQHQHVVRYYQAWFETGVTDSYGDLAGDSRTPASSTFSYKGATSNDAIGRENQLESTYLYIQMEYCPMTLRQMFESYSHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQVDQDPAHLADTIGVSIDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVIFFELWHPFGTAMERHVVLSDLKQKAGLPPAWVAEFPEQEFLLRQLMSIGPSDRPSATELLKNAFPPRMESEFFDDMLRTMLKSEDRSIYDKVLNAIFDEEMLSSKHIHQVGRLGSVGENNSSIQHTDFVTQVRDDILDVTKDIFRQHCAQHLEISPIRLLDDCPQFNRNAVKLLTHGGDMLELSHELRLPFVNWIISNQKSSFKRYEISHVYRRAVGHSAPNSYLQGDFDIIGGTSVLTEAEVIKVTKDIITCFFHEDSCDIHLNHADILGAIWSWTGVKVEHRLKVAELLSMMSSLRPQSSERKSKWVVIRRQLLQELGLVEAMVNRLQTVGLRFCGSADQALPRLRGALPSDKHTLKALDELSELVRLLRIWRIDKNVYIDALMPPNESYHRDLFFQVYLRKENSSGSLSEGVLLAVGGRYDYLLHQLRSSDYKGNPPTGVGTSLALETIIQNCSVPNRNEVSINILMGSRGGGILVCSRGGGGLMVERMELVSELWQENFKADFVPIPDPSLTEQYEYANEHDIKCLVIITDADFSLTDTVKVRHLELKKEKNVERENLVKFLLDAMTTQFRNPSIWI >CAK8578261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615615397:615617656:1 gene:gene-LATHSAT_LOCUS30288 transcript:rna-LATHSAT_LOCUS30288 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIDDDSSSLAEESLLQDEEIKKYTGDGSVDFKGRPVLKQSTGNWKACPFILGNECCERLAYYGIAKNLVTYLTRKLHQGNVSAARNVTTWQGTCYLTPLIGAILADSYWGRYWTIAVFSTVYFIGMCTLTLSASVPALKPADCFGSVCPPATPVQYAAFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPQERIKKGSFFNWFYFSINIGAFVSSTFIVWTQENAGWGIGFGIPALFMGLAIGSFFLGTPLYRFQKPGGSPITRMFQVVVASFRKRRLVLPEDSSLLYETPDKRSAILGSRKLEHSDELRCLDRAAIVSDAERESGDNSNLWRLCTVTQVEELKTLIRMFPIWAAGIVFNSVYAQLSTMFVEQGTMMDTSIGSFKIPPASLSCFDVISVIFWVPVYDKIIVPIARKFTGKERGFSELQRMGIGLFISIFSMLAAAFVEIKRLQLARELDLVDKPVAVPISVLWQIPQYFLFGAAEVFTNVGQLEFFYDQSPDAMRSLCAAFSLLTTSFGNYLSSFILTLVTYITTQGGKPGWIPDNLNIGHLDYFFWLLAGLSFLNLLAYIVSAKKYKKKKAS >CAK8540473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8482038:8482445:-1 gene:gene-LATHSAT_LOCUS9476 transcript:rna-LATHSAT_LOCUS9476 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGTASEILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIVDQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHDFCVPLVPQRLLVVLLAHTTVGSSTGVKS >CAK8537600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407188507:407189752:-1 gene:gene-LATHSAT_LOCUS6877 transcript:rna-LATHSAT_LOCUS6877 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGHGRHSVLRIFKGRQGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSAQARAPGFAATAAPSYSSGPGPCPNGRVSAQLGTVTQLPVHPASPILLTKNGPLGALDSMAWLSRVATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHKRSGSVGGATHKRIPPISFLAPYGFTRPLTRTHVRLLGPCFKTGRMGSPQADASSAQVPKHAES >CAK8562539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:507226878:507227381:1 gene:gene-LATHSAT_LOCUS16029 transcript:rna-LATHSAT_LOCUS16029 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSISDSSSNKSSYMSQNTKKPNESMKHDKYPFQSKYSLLHSVRKSPSKTWKKAPLVPTPIKVYKVDPINFKQLVQQLTCAPQFMPPQPDHHNILQSTDHSTRTAANIDSVPSNNWYQYFQAEYFGKNFDQEEEAMTPDLLTMNVFSPTSFGNFCFVPPIMSPTI >CAK8574536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1765085:1767755:-1 gene:gene-LATHSAT_LOCUS26875 transcript:rna-LATHSAT_LOCUS26875 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTKSIFPSPLISSSSTSESRSSKVSNLSFLSPKNINNIKLSCQKRHFVVKSVLKTVEQTKQTTQTISNNNVGNEKPTILVSEKLGEAGLQVLRKLGNVVCAYDLSPEELCAKISTCDALIVRSGTKVTRKVFEAGKGKLKVVGRAGVGIDNVDLQAATEYGCLVVNAPTANTIAAAEHGIALLAAMARNVAQADASLKAGKWLRSKYVGVSMVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAVGVELVSFDQAITTADFISLHMPLTPTTNKVFNDNTFAKMKKGVRIINVARGGVIDEDALLKALDSGIVAQAALDVFTEEPPAKDSKLVQHENVIATPHLGASTKEAQEGVAIEIAEAVVGALNGELSATAVNAPMVAPEVLSELAPYVVLAEKLGRLAVQLVSGGSGIQSIKVVYRSARGPDNLDTRLLRAMITKGIIEPISNTIVNLVNADYIAKQRGLRISEEKVTVDSSLEQPVESIQIQISNVESKFASAVSDGQISIDGKVKYGTPHLTCVGSFGVDVSLEGNLILCRQTDQPGMIGHVGNILGQQNVNVSFMSVGRTSRRQKAVMAICVDEEPNKEALDNIGAVPAIEEFVFLKL >CAK8538158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466242848:466246509:1 gene:gene-LATHSAT_LOCUS7388 transcript:rna-LATHSAT_LOCUS7388 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMLHHCSIPLARVVVRPSPRTRAAISFATSSSPSPIPPSQVLLGLSESDLQKLAIDFGQQGFRGKQLYHLIYKRKVRDIQEFVQVPLAFRNELEEAGWKVGRSPIFNKVVATDGTIKLLLKLEDNRLIETVGIPVEDDKGSVRLTACVSSQVGCPLRCSFCATGKGGFSRNLRSHEIVEQVLAIEEVFKRRVSNVVFMGMGEPMLNLKAVLEAHHCLNKDIQIGQRMMTISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLDALMKDCKEYFQETNRRVSFEYALLAGINDSVDNAVELAKLLHEYGSGYHVNLIPFNPIEGSEFRRPYRKAVQAFVNALESSKITTSVRQTRGLDANAACGQLRNNFQKNPLVTDSDNLESQLPNMDLAVTG >CAK8566345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410883344:410888058:1 gene:gene-LATHSAT_LOCUS19480 transcript:rna-LATHSAT_LOCUS19480 gene_biotype:protein_coding transcript_biotype:protein_coding MALLETQIYNQQQHHSHSLPIFFNPNSEFVSGGLFLEPSIPDSFARFISLRLPAVASSQPNLHRRQRKRVPVVGCFLSVSLPSSTALPVDPKPYVLQNGEHVSDKETTAADGAVSPKKVRARERGAINNTTKHLWSGAISAMVSRTCVAPLERLKLEYMVRGEKRNIFEVIKSIATSQGLRGFWKGNLLNILRTAPFKAVNFSAYDTYRKQLLRFSGNEETTNFERFIAGAAAGVTATIICLPLDTIRTKIVAPGGETLGGVIGAFQHMIRTEGIFSLYKGLVPSLISMAPSSAVFYGVYDMLKSSYLHSPEGLQRIQNLHKQGQELSAFDQLELGPVRTLLYGAIAGACAEAATYPFEVVRRQLQLQVQSTKLSSLATFVKIVEHGGVSALYAGLVPSLLQVLPSASISYFVYEFMKIVLKVE >CAK8568100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573602890:573609688:1 gene:gene-LATHSAT_LOCUS21095 transcript:rna-LATHSAT_LOCUS21095 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKDANSNPGRVDDSDSELELYTIPSSTKWFAWDEIHETEKTAFKEYFDGTSISRTPKIYKEYRDFIINKYREEPSRRLTFTEVRKSLVGDVTFLYKVFLFLESWGLINYGAPSGGDGGEAEKEHEEERCEVKVEEGAPSGIRVVATPNSLKPLLLPRDVKVGTGGDNGRGVGIKMPPLASYSDVYGDLIRRKEVNCGNCDDKCGSGHYRSSKDNLIICTKCFKNGNYGEKGTMEDFILNESSEISAKHSAVWTEGETLLLLESVLKHGDDWELVVQSVQTKTKLDCISKLIELPFGELMLGSAHRNGNSSSATCIMNNEKQVQSSSSDPLETSKTQETATAKVQSSEPKNENEQNGDAVYESPSKKQRVAPLSDSSCSLMKQVGLLSTVVDPHITAAAADAAISALCDENLLPRDIFDVEEDSASSARTLEGEDLEMVSTQSEVKDGIPLTIRIRAAIGTALGATAARAKLLADQEEKEIEHLVATIIEAQIEKLQQKVKHFDELELLMEKEHAEMEELKDSILTERIDVMRRTFKSGIARWKDYPCAKS >CAK8540246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546885603:546886020:1 gene:gene-LATHSAT_LOCUS9271 transcript:rna-LATHSAT_LOCUS9271 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKTCDLVAKSEPALPLGYLPLRKWISGYGYRRGSVNRGAAIDTEVVVTEVPKQVPLLPFVKVV >CAK8574653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5686449:5687862:1 gene:gene-LATHSAT_LOCUS26978 transcript:rna-LATHSAT_LOCUS26978 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWYHDGSNLEDACLRMDGAEVVWPSWRSKNLAVQPLIQPLPAALLQDRLIAMSQIARDQEHPDVTIPLQPAELRSCSATSASLSLMHGMLHDEIDSFCKQVASENMARRPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGMALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPQDVITSSAPMLNSLKEEPQCTTGEHDSKSVRVDISFRSSSHTGLQTTGMVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNYGSLLVDFLYFFGNVFDPRQMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYIVFENELTLLNGDGKSCSKPSYRRFPSIFKSHLLKINSLY >CAK8578459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630398190:630400007:1 gene:gene-LATHSAT_LOCUS30470 transcript:rna-LATHSAT_LOCUS30470-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRISSLSRFRLLHHMGTTTSLSSLSFPLHCHCTKATNFDHEFDSHSNRTQFLNSLRNQFQSGFIVRMKHYTAAISLVKQMYSSLGIKPDTFTLNVVINSLCHLKLVAFGFSVLGTMFKLGIEPSAVTFTSLVNGFCVRGDVGRAVELVERMEKMGCRSDVKTYGVLINGLCKMGETSEAVGWLRKMEERKWNPNVVVYSIIMDGLCKDGFVSEALNLCLEMSSKGIRPNLVTYTCLIQGLCNFSRWREAGLLLEEMMRLGTMPDLQTLNILVDALCKEGKVMQAKSVIGFMIMLGEAPDVFTYNSLIDRYCLQNQMNEATRVFELMVNRGCLPDIVAYTSLIHGWCKSKNIYKAMHLLDEMIKVGFTPDVVTWTTLIGGFCQVGKPLAAKELFLNMHKYGQVPNLQTCAVILDGLCKCQLLSEALPLFRALEKSNLDLNIVIYSIILDGMCDAGKLNAAWDLFSCLPAKDLQINVYTYTIMINGFSKQGMLDKAEELLRNMEENGCMPNSCTYNVFVQGLVGKRETARSIKYLTVMRDKGFSVDATTTEMIINYLSANEGDNGFREFLFPK >CAK8578460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630398190:630400007:1 gene:gene-LATHSAT_LOCUS30470 transcript:rna-LATHSAT_LOCUS30470 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRISSLSRFRLLHHMGTTTSLSSLSFPLHCHCTKATNFDHEFDSHSNRTQFLNSLRNQFQSGKLKNIHQALNFFHIMAGMNPLPSVLDFTLLLGFIVRMKHYTAAISLVKQMYSSLGIKPDTFTLNVVINSLCHLKLVAFGFSVLGTMFKLGIEPSAVTFTSLVNGFCVRGDVGRAVELVERMEKMGCRSDVKTYGVLINGLCKMGETSEAVGWLRKMEERKWNPNVVVYSIIMDGLCKDGFVSEALNLCLEMSSKGIRPNLVTYTCLIQGLCNFSRWREAGLLLEEMMRLGTMPDLQTLNILVDALCKEGKVMQAKSVIGFMIMLGEAPDVFTYNSLIDRYCLQNQMNEATRVFELMVNRGCLPDIVAYTSLIHGWCKSKNIYKAMHLLDEMIKVGFTPDVVTWTTLIGGFCQVGKPLAAKELFLNMHKYGQVPNLQTCAVILDGLCKCQLLSEALPLFRALEKSNLDLNIVIYSIILDGMCDAGKLNAAWDLFSCLPAKDLQINVYTYTIMINGFSKQGMLDKAEELLRNMEENGCMPNSCTYNVFVQGLVGKRETARSIKYLTVMRDKGFSVDATTTEMIINYLSANEGDNGFREFLFPK >CAK8544247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673807384:673813195:-1 gene:gene-LATHSAT_LOCUS12944 transcript:rna-LATHSAT_LOCUS12944 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEESKSNEESVKLFVGQVPKHMTEDELLTMFKEFALVEEVNIIKDKATRASRGCCFVICPSRDEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEVEVSELFSKYGTIKDLQLLRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKALSQASNVAHADSQHPSLFGAMPMGYVPPYNGYGYQAPASYGLMPYRMPPMQNQAGYHNMMPHMNQGNTLRPDLGPNMNPRNYPVPPASYVGSYPAVPGLQPPMPYPAGMISPRPMNSPPGSVSPSGGNGNSATSSGSSKNSGGGQIEGPPGANLFIYHIPQEFGDQELANAFQPFGRVLSAKVFVDKATGVSKCFGFVSYDSPDHAQSAISMMNGCQLGGKKLKVQHKRDNKPGKPY >CAK8544246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673807384:673813195:-1 gene:gene-LATHSAT_LOCUS12944 transcript:rna-LATHSAT_LOCUS12944-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEESKSNEESVKLFVGQVPKHMTEDELLTMFKEFALVEEVNIIKDKATRASRGCCFVICPSRDEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEVEVSELFSKYGTIKDLQLLRGSQQTSKVGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKALSQASNVAHADSQHPSLFGAMPMGYVPPYNGYGYQAPASYGLMPYRMPPMQNQAGYHNMMPHMNQGNTLRPDLGPNMNPRNYPVPPASYVGSYPAVPGLQPPMPYPAGMISPRPMNSPPGSVSPSGGNGNSATSSGSSKNSGGGQIEGPPGANLFIYHIPQEFGDQELANAFQPFGRVLSAKVFVDKATGVSKCFGFVSYDSPDHAQSAISMMNGCQLGGKKLKVQHKRDNKPGKPY >CAK8565664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:301135909:301140558:1 gene:gene-LATHSAT_LOCUS18855 transcript:rna-LATHSAT_LOCUS18855 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIPKESIEVIAQTVGIPNLSPDVALAVTPDLEYRIREIMQESIKCMRHSMRTFLTGDDVDSALALRNLEPIYRFASNDNLLFKRVPGHNDFFYIDDYDVDIKDFVEEALPKAPLDTSITSHWLAIEGVQPAIPENAPTEATPEIRKTEYKEDGLPVDVKLPVKHIITTELQLYYEKIIELILNKPGSIPFRRALVTLATDSGLHPLVPYFTRFVADEVARNLHNLNNLFASMRLVRSLLQNPHIHIELYLHQLMPPIITCIVAKRLGNRLSDNHWELRDFSANLVALICKRFGHMYHNLQPRVTRTFLHSFLDPTKALPQHYGAIKGIAALGSRMVRLLIIPNLEPYLHLLVPEMQLEKQKNEIKRQEAWQVYGALLYAVGQNMHEKVKGISSLLSPPTLAASSGNGKAMIAMPGVTGVVVPMNSMSVDNMQGSASGFPPMMVGPSSKESSSILAQAWKDDIDAGLLLPPVFELFGESLLSFLPKPEASIFL >CAK8578691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647240033:647247427:1 gene:gene-LATHSAT_LOCUS30681 transcript:rna-LATHSAT_LOCUS30681 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLTPKTAQPFLEVDGGGYYIWLSSQVPVLAKTNVGAGQLVLEPRGFALPHYADSSKVGYVVEGTDGVVGMVLPKTGKEVVLKLKQGDVIPVPIGAISWWFNDGHSNLNIIFLGETSTAHVPGQFTYFFLTGLQGLLGSFSDELISKIYNFNKDEVDQLTQSQKGVVIIKLEKDQPMPKPQLNLTKDFVYDIDTKVPEIEVHNGGLVTTLTEKDFPFIKDVGLSVIRVKLEPNAIKAPSNLITYGIQLIYIAKGSGKIEIVGLSGKRVLDAQVKAGHLIVVPHFFVTAQIAGDEGMESYSIVTTTEPLFEELAGKTSVWGALSPLVQQVSFNVDSKFQNLFISKATEDTNLIPPTI >CAK8560327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14503246:14503654:-1 gene:gene-LATHSAT_LOCUS14011 transcript:rna-LATHSAT_LOCUS14011 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKAYKACVPIAYSPNLYITLVRGIPGTRKQHRRTLEALRLGKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYKGRKQKEENHRALRPPLVINHQPAPAEGAL >CAK8577270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551055135:551056088:1 gene:gene-LATHSAT_LOCUS29391 transcript:rna-LATHSAT_LOCUS29391 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKRKVRECERREENSEEENTELIQISEEAASVAAALLRVRRAKNRFIGVRQRPSGRWVAEIKDTIQNIRVWLGTYDTGEEAARAYDEAARLLRGANTRTNFFLCQSSHYVPALPPKIVKLLLLRLKARNIASSCVPTSNTTSFPTNHYDEQETNAAPEPHIFHQIEENFLESYSGACYGSTIDCGSGISEEDFNQEGGREDFRSGYHYANSVDDGGNNQIVGCNEEFEDSDAGVIDFQFLDTVGSLSHSYSSPFEIAEEMVGPMVEEKFDVDDSLLLRETFRMKYERKFSACLYTLIGVSECLRLQVGEENGNEI >CAK8538516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485755389:485759310:-1 gene:gene-LATHSAT_LOCUS7705 transcript:rna-LATHSAT_LOCUS7705 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDIGFAAAINILTAIAFLLAFAILRIQPINDRVYFPKWYLKGLRSSPLQGGAFVSKFVNIDFRSYIRFLNWMPAALKMPEPELIEHAGLDSAVYLRIYLLGLKIFVPISLLAFSVMVPVNWTNKTLERSNVVYTSVDKLSISNIPMGSNRFWTHLVMAYAFTFWTCYILKREYQIVAAMRLSFLASERRRPDQFTVIVRNVPPDPDESVSELVEHFFLVNHPDNYLTHQVVYDAKKLSSLVAQKKKKQNWLDYYELKHSRNQSIRPTIKTGFLGLCGSKVDAVDFYNAAVERLSRDIELEKEKVMKNSKSIMPAAFVSFRTRWGAAVCAQTQQTRNPTTWLTEWAPEPRDVYWDNMAIPYVSLTIRRLVIAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKAFIEIKVIKSLIQGLLPGIALKIFLIFLPSILMMMSKFEGFISKSALERRAATRYYIFQFINVFLGSIITGTAFQQLDKFLHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFYHLKNFLLVKTEKDREEAMDPGTFGFNTGEPQIQLYFLLGLVYAVVTPFLLPYIIVFFGLAYLVYRHQIINVYNQEYESAGAFWPDIHGRIVFALVISQLLLMGLLSTKEAANSTPLLIALPVLTIWFHRFCKGSYEPAFTTHPLQEAMVKDTLERTKEPNFNLKEFLQSAYIHPVFKDDDDSDSDAMSQEYKEEPVVVQTKRQSRKNTPAPSKHSGSLLSSMHDTADV >CAK8570457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47876282:47879705:1 gene:gene-LATHSAT_LOCUS23204 transcript:rna-LATHSAT_LOCUS23204 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMASSPKRGHNWPPMAMALAILFISTTVVSAAADSYVYSSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPSYVYKSPPPPSPSPPPPYVYMSPPPPSPSPPPPYVYKSPPPPPYEHKAPSYEYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPYIHKDPPYYYKSPPPPTPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPTPHTPYYYKSPPPPKVLPPPYYYNSPPPPVAYPHPHPYHHSLIVKVIGKVYSFRCYDWEYPEKSHDKKHLKGAVVEVTCKAGSKIIKAYGKTKINGKYSITVEDFDYVKYGATVCKAALYAPPKGSPFNIPTKLNEGTKLYLYSKDKYEVVLKAKPFAYASKKHFKECEKPKPSPTPYYYKSPPPPTPVYKYKSPPPPVHYYSPPYYYKSPPPPVKSPPTPYYYKSPPPPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVYKYKSPPPPVHYPSPIYKYNSPPPPVHYYSPPYSYKSPPPPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYKSPPPPVHYPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYNSPPPPVHYYSPPYYYKSPPPPSPVYKYNSPPPPVHHDSPPYYYKSPPPPSPVYKYNSPPPPVYKYNSPPPPVHYPSPIYKYNSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKEISNPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYQSPPPPSPISHPPYYYKSPPPPSPSPPPPYLYVSPPPPVKSPPPPAYIYASPPPPIYN >CAK8531455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111839501:111841343:-1 gene:gene-LATHSAT_LOCUS1246 transcript:rna-LATHSAT_LOCUS1246 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETMAQEETTQKVEVAVEEGKVVDVEAKETLDESKPAKTVEKCSSYKEESNFLSDLKEFEKKALIEFKSKVEEAILGNSLFEKKEEEEKKVEALPEGGEEGEKVVKEEENVEGENKKGVEEEEEKDLSLWGVSLLPSKGDEGIDVVLLKFLRARDFKVSEAFEMLKKTLKWRKEMKIDSILDEDFGSDLVSAAYMNGVDREGHSVCYNIYGVFAGEEIYQKSFGSEEKRREFLRWRCYVTEKWIQKLDLKPGGVSSLLQINDLKNCPGPSKKEIRIATNQIVSILQDNYPEMVAKNIFINVPFWYYALNALLSPFLTQRTKSKFVVARPGKVTETLIKYISIEEIPVNYGGFKRENDSEFFGQDATVSELTLKAGSTATIEIPALEAGNTLCWDIAVLGWEVSYKEEFVPNDEGSYTVIVSKVKKIGSQEGAIRNTFKNNEAGKVILTVNNSSNKKKRVMYRYQINKNSP >CAK8544827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707503678:707506075:-1 gene:gene-LATHSAT_LOCUS13475 transcript:rna-LATHSAT_LOCUS13475 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFSSLFLLLLFLLLLIGSSLVISVYGEYIHCNCDDDDDNGIWSVQSILICQKVSDFFIAIAYFSIPLELLYFVSYSNVPFKLVFLQFVAFIVLCGLTHLLNAYTYYGPHSFQLFLALTIAKFFTALVSCATAITFPTLIPLLLKIKVRELFLRQNVLELGQEVGIMLKQKEASWHVRMLTREIRKSLDKHTILYTTLVELSKALDLHNCAVWMPDEDIRVMHLTHELKPNLGRNFHSSISVSDLDVLEIRKSKRVLVLRLDSELGAASSGGSEDSGAVAAIRMPILHVSNFKGGTPEFVETSYAILVLVLPKSNSRVWTSPEMEIVEVVADQVAVALSHASVLEESQIMRQKLAEQNRALQQAQKYAMMASQARSSFEKVMSHGMRRPMHSILGLLSMFQEDNIRSEQKIVVDTILKVSNSLSRLINDVMEISANDKGSFQLELKHFHLHSMMREASCIAKCLCVYKGFRLEIDVQRSLPDMVIGDEARSFQIILHMIGYLLNLYDRGTLVFQVSLESDTGVDMDDRSSGIWRSSMQNGNVYIKFNFQITGTSSHSNESNLTSKMHHGNEPKEGLSFSICKTLVQMMQGNIWISPNSLGLAQGMTVLLKFQLGSSHGRFVLANKDFSNLPLRGLNVILADDDNVNRTVTKKLLERLGCQVTAVSSGFDCLAAITASSMSFKIILLDLHMPEMDGFEVARKIRKFQSRNWPLIIALTASAEERIKERCLQVGMNGLIRKPIILHEIAEEIRTVLLRAGEKF >CAK8535752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879459387:879460418:-1 gene:gene-LATHSAT_LOCUS5179 transcript:rna-LATHSAT_LOCUS5179 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVESEPEPPPSNVVNKFNPNEIMRDPGHRKQINEYTPDIQDQVRRAYILKGPMQPELSSFPRTPFGSVKRAFSKSWYKSYTWLEYSEIKDATYCFYCFLFKKPGRTENFGFEVFTKNGYKDWKHASQGLKDHVGSHNSFHNSCVKHYDDYNNQRQSVASKFVKATKESEEFYKIRLTCSVDCSRYLIAQGMSFRGHDESSISLNKGNFREMVDWVKVKNEQVQDAFDRGGKSCTIISGDIQKELAMCCAHEVTKVILGELGDRQFSVLIDESRDISVKEQMAVMLRFVNDKGNVVERFIALHHVKDTTSES >CAK8573826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640606306:640606659:-1 gene:gene-LATHSAT_LOCUS26229 transcript:rna-LATHSAT_LOCUS26229 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFDVLMIPTLLTATFVFIIAFIVAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYEPFSS >CAK8566929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473234101:473235627:-1 gene:gene-LATHSAT_LOCUS20024 transcript:rna-LATHSAT_LOCUS20024-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWYYILLVLPILLFLFQRCITRIRNTPIHTLPSYPIIGSFVSFYKNRHRLLDFYIHYLSRSPTQTIVVNRLGARRTILTANPLNVEYMLKTNFKNYPKGKPFTEVIYDLLGSGIFIVDGKLWSDQRKQLSHEFTTRSLKDFVAKSLEDEVQHRLIPLLELASNDNLVIDMQDILTRLTFEIVCKVSLGYDPCCLDLSKPLPPLLSAFDKATEICAMRAASPIPLVWKIKRMFNVGSEKSLKEAVKVVRESMMEIIRNKKKEMNEKKYVSGSDLLTKLLEAGHDEIMVRDMITSIVLAGRDTTSAAMTWLFWLLTRNRSKEELIVKGVREVFGGKNNKNDFELIKSFDYDDLKEMKYLKACLCESMRLYPPVAWDSKYAADDDVLPDGTTVGKGDKVTYFAYGMGRMEALWGKDWNEFKPDRWFDEAVKEGDTNGVLKHVSSYKFPVFHAGPRICLGKEMAFIQMEYVVASILNRFEIRPVSDDQPVYLPYLTAHMKGGFKVRVHKRV >CAK8566928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473234101:473235699:-1 gene:gene-LATHSAT_LOCUS20024 transcript:rna-LATHSAT_LOCUS20024 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHKVKPYFPSTQKLIPSQLAAMWWYYILLVLPILLFLFQRCITRIRNTPIHTLPSYPIIGSFVSFYKNRHRLLDFYIHYLSRSPTQTIVVNRLGARRTILTANPLNVEYMLKTNFKNYPKGKPFTEVIYDLLGSGIFIVDGKLWSDQRKQLSHEFTTRSLKDFVAKSLEDEVQHRLIPLLELASNDNLVIDMQDILTRLTFEIVCKVSLGYDPCCLDLSKPLPPLLSAFDKATEICAMRAASPIPLVWKIKRMFNVGSEKSLKEAVKVVRESMMEIIRNKKKEMNEKKYVSGSDLLTKLLEAGHDEIMVRDMITSIVLAGRDTTSAAMTWLFWLLTRNRSKEELIVKGVREVFGGKNNKNDFELIKSFDYDDLKEMKYLKACLCESMRLYPPVAWDSKYAADDDVLPDGTTVGKGDKVTYFAYGMGRMEALWGKDWNEFKPDRWFDEAVKEGDTNGVLKHVSSYKFPVFHAGPRICLGKEMAFIQMEYVVASILNRFEIRPVSDDQPVYLPYLTAHMKGGFKVRVHKRV >CAK8535395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845920568:845921860:-1 gene:gene-LATHSAT_LOCUS4860 transcript:rna-LATHSAT_LOCUS4860 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVSGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGIEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8540387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:556982569:556982922:-1 gene:gene-LATHSAT_LOCUS9393 transcript:rna-LATHSAT_LOCUS9393 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTPPIGSIPPSSSNDQSVASSAKQHKLTSDIGWKFNNLKDLNNKRMVTYDFCNETSTRGISRAKQHQLGIKGNVKSCTQTPVDVKEILHEHEDEKLVANKSMSGEVHEDDDEGS >CAK8543176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585374463:585374837:-1 gene:gene-LATHSAT_LOCUS11952 transcript:rna-LATHSAT_LOCUS11952 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQHFYSRLLFLGDQIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMTIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKHLAFPHAWRQ >CAK8540991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39606377:39617933:1 gene:gene-LATHSAT_LOCUS9945 transcript:rna-LATHSAT_LOCUS9945 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGWSPPHVQPLTPVSEVSEPPESPSPYLDPGAENSTSQQVDVEEEMEEEEEMEPPPAAVPFSKLFACADKFDWFLMAVGSLAAAAHGTALVVYLHYFAKIIHVLRMDDQPAGSQERFERFTELALTIVYIAAGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMAIFFSGLVIGLINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYVRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVIHGKAHGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSTVNHDGTSPDSVQGNIVFRNVYFSYLSRPEIPILSGFFLTVPSKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLNLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDVTIDQIEEAAKMAHAHTFISSLEKGYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVHEALDMLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLDLNGLYAELLRCEEAAKLPKRMPARNYKENAALQIEKVSSASHSFNEPSSPKMTKSPSLQRISNVSHSRPSDVIYNFQESPKDLSPPPEMMLENGHALDAADKEPSIRRQDSFEMRLPELPKIDIQSLHRQKSNGSGPESPISPLLTSDPKKERSHSQSFSRPHSHSDDASVALREEREVQQRKPPSLRKLAELSSAEWLYAVLGSIGAAAFGSFNPLLAYVIGLVVAAYYRIDNQHHLEQEIDKWCLIIGGMGIVTVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVGLLIGAVLHWRLALVACATLPILCISAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFLHGMGIGFAFGFSQFLLFACNALLLWYTAICIKNGYINPSTALREYMVFSFATFALVEPFGLAPYILKRRKSLISVFDIIDREPKIDPDDNKALKPPNVYGSIELKNIDFCYPSRPEVLVLSNFSLKMNGGQTVAVVGVSGSGKSTIISLMQRFYDPVAGQILLDGRDLKLYNLRWLRSHLGLIQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVIQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLVAKNGLYVRLMQPHFGKAMRQHRLS >CAK8564551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680920152:680920769:-1 gene:gene-LATHSAT_LOCUS17849 transcript:rna-LATHSAT_LOCUS17849 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLDTTIGIRSNFHGNCKKGMTDEASKSSSHNVIQFDRERFCFMVAESINQRDGRPLGTFSDDLRRGWCDCGRFQAFHLPCSHVIVACASIRQDHNMHILDVFKVLSVFKVYNKSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRIRTEMDDLEKEKRRCGICREIGHMRRKCPNVADPSKLFYLFFKLCSEFLIFK >CAK8542979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563810935:563812422:-1 gene:gene-LATHSAT_LOCUS11772 transcript:rna-LATHSAT_LOCUS11772 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRKLVAKSSQPEEPPLKQHHSQPQPDPEPEPYIPTEEVEEEYEEVEEEYEEVEEVEEEEEEEEEEEEEDGGEQAQGGEYLEEDDEPIKDLVEPFTKEQIVNLLCEAASKHRDVADRIRKIADGDASHRKIFVHGLGWDTTSATLINAFSQYGEIEDCKAVTDKVSGKSKGYGFILFKKRSGARNALKEPQKKIGNRMTACQLASIGPVQQTPQPTAQLVPQGSEYTQRKIYISNVGPELDPQKLFAYFSRFGEIEEGPLGLDKTTGKPKGFCLFVYKSAESARRALEEPHKEFEGHILHCQRAIDGPKAGKTQHQQPQQQQHVNSLSSLNQLNQLNPLTQRTQFQRNDNVGYAGGSSVAVSQPGHLMAPAGPTIGYNQATASAAQGLNPVLTPALGQALTALLASQGATLGLSDLLGSLGTSNALNHGGVPAAGHGVQSGYSAQPSISPSVMGAYGNSVPQVGLQVQYPNQQIGQGGSGRGQYGGAAPYMGH >CAK8574138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:664763981:664765831:-1 gene:gene-LATHSAT_LOCUS26511 transcript:rna-LATHSAT_LOCUS26511 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSQKLYNSTSSVHFTNFSILSLPNSNLDNVNADSTRVSNLQRHLQLCAKTNSSMSGRTCHAQIIRVGFQTDTLTSNMLINMYSKCSLVSDARKVFDEMPIKSVVSWNTMIGALTKVSEEKQALMLFIQMLREGTLFNEFTISSVLCECAFKCAILECMQLHAFSIKVSVDSNCFVGTALLHVYGRCSLVKDAGKIFESMPETNAVTWSSMLAGYVQNGLHEEALLLFRDYQMMGFEQDVFMISSAVSACAGLATLIEGKQVHAVSCKSGFGSNVYVSSSFIDMYAKCGCIREAYFVFQGVEVRSVVLWNAMISGFARHACALEAMVLFEKMQQRGFVPDDVTYVSVLNACSHMGLHEHGRKYFDLMVRQHNLQPSVLHYSCMVDILGRAGLVHKAYELIERMPFKATSSVWGSLLASCRNHSNIEFAEIAAKHLFEMEPDNAGNHVLLANIYAANEKWEEVAKARKLLRESELKKERGTSWIEIKNKIHTFTVGERNHPQIKDIYAKLDDLVEELEKLNYKVDTNNDLHDVEESKKQMLLRHHSEKLAITFGLMCLPSDIPIRIMKNLRICGDCHNFMKLVCKFTNREIIVRDTNRFHHFKYGLCSCGDFW >CAK8541705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:359752092:359754104:-1 gene:gene-LATHSAT_LOCUS10602 transcript:rna-LATHSAT_LOCUS10602 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLLLVLFFLVTFVASKDVSFIYNNGFQSSHLYLDGIAELTSNGILRLTNDTKQEKAHAFYPNPIVFKNTSNGSVSSFSTTFVFAIRPQYATLSGHGIVFVVSPTKGLPNSLQSQYLGLFGKSNNGNSGNHVFGVELDTIQSSEFNDINDNHVGIDINDLKSAESAPAGYYDSNGQKKNLTLYSGYSMQVWVEYDGEKKKIDVTLAPIDVVKPKQPLLSFVKDLSPILNNSMYVGFSSATGSVFTSHYILGWSFKVNGQAQNLVISKLPKLPKFGEKKQSKFLTVGLPLLLLSLVFMITLGVIYYIKRKKKFEELLEDWEHEYGPHRFKFKDLYFATKGFREKGLLGVGGFGRVYKGVMPGSKIEVAVKRVSHESRQGMREFVSEIVSIGRLRHRNLVPLLGYCRRNGELLLVYDYMSNGSLDNYLYNQPRVRLNWSQRFRIIKGVASGLFYLHEGWEQVVIHRDIKASNVLLDSELNGRLGDFGLARLYDHGADPHTTHLVGTVGYLAPEHTRTGKATKFSDVFAFGAFLLEVVCGRRPIDHVGENESVILVDYVFECWKRGDILEAKDVNLGIYYVSQEVELVLKLGMMCSHSEPVARPSMRQVVQYLEMDIPLPDLSLLSLSTSGLTFGYQEHFEDFPMSYPSSMDKTMSHSSMSIAESHLSGGR >CAK8537018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:58500516:58502727:-1 gene:gene-LATHSAT_LOCUS6338 transcript:rna-LATHSAT_LOCUS6338 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHLTPLAVTHLLQHTLRSLCIHDNSQWVYAVFWRILPRNYPPPKWEGQGAYDRSRGNRRNWILVWEDGFCNFAASAAAPEINSAGDCPNSSSSVYGNCELIQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFLSGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPYGVPTDQWHNFQGSIAVAPQHQQQHGDQLYDHFMNNNNNNLPMKVTPSMSSLEALLSKLPSVVPPQHQQTQTQHVLAPLEFMGGMQKVAKQELDEEEQEEVYRPEQLDVGESSSSMSGYHHHQQHQHHFHQNINKGSNNINGYN >CAK8534240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709290782:709292098:-1 gene:gene-LATHSAT_LOCUS3800 transcript:rna-LATHSAT_LOCUS3800 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPYNKHLLAKQALVAVIKDSPFDTLSSSSSSNLTWTPDAVIQLLRSISRFSFQSHRSLGRQNNLFRHRSLPLSNLKPYSNPYLTNLGLRKAQEFLHWIHSHFNFLHTQSTSLEMAILITKSNNTKTLWTFLKQISSSSLNTASNNLVTTASITCLIKLLGEQGLAKEAVLTFYRMRQFGCKPDVQAYNALINAMCSVGDFTKARHLLQQMELPGFHSPPDVFTYTVMISSYCRYGVKISGCRKAVRRRLYEANRLFRVMVFKGIVPDVVAYNALIDGCCKTYRVGRALELFEDMKKRGCVPNRVTYNSFIRYFSAVNEIDKAVEFLRDMQRLSHDGGNGIVGSCSSYTPIIHALCEAGRVVDAWSFLVELVDRGSVPREFTYKLVCDALRLKGEDGLLSGEVHQRIKDGVLERYRRTMKVKPVMTRKGYPELELFS >CAK8536304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:929830054:929830497:1 gene:gene-LATHSAT_LOCUS5687 transcript:rna-LATHSAT_LOCUS5687 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGYGMNYEKTLHHCAPGYRTFFDHFKEDDFLWRLYLELEDEDPTESDMWSSTTFIFCFTYVEMHHSDRVKLHFGIQQDIPGPPTCMERYHNSTTNDQ >CAK8568906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653238634:653239163:-1 gene:gene-LATHSAT_LOCUS21814 transcript:rna-LATHSAT_LOCUS21814 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSELRDMWVANKTRSLLIASPAEEQKMLRTNQCTSEGVRAGFKAAGIGCVASTVPTMVAVRMIPWAKANLNYTAQALIISAVSCASFFVVADKTILACARKQSLLLEESLKQER >CAK8534065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690306526:690307961:1 gene:gene-LATHSAT_LOCUS3638 transcript:rna-LATHSAT_LOCUS3638 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCWEAMNDNLKLQLSNIRASFQKSFYEVEHAHVSPFYNNLRGSVSREALRRIAEELKRVDYVGTNKEICRCTLRTTYELPCACELTGYRIDGLPIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDDFGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKKPVGYDVYRDPSYHESYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEVGPHPSHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSLNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHYQRILNYKLCYSFLILKQKS >CAK8566014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367771705:367774311:1 gene:gene-LATHSAT_LOCUS19182 transcript:rna-LATHSAT_LOCUS19182 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTKDTTFSTYEILCQVQPDTHPSGIFYGDNPLTHAFSLLLFHLILVSAITRIARFILKPLKQPNIVSQIIGGVIVGPSFLGRSKWYHRYLKPEAAQFLMSNLGVMGFMFFVFVYGVKMDPSLMRKSGKMHISIALTSITIPTIAVFIIGVYLRKMMDKELGSISSIGVLAGYLGITAFHVLYHILKELNLLNSDVGQFALATALISDAFGILSVIVFEASKQGETKSENALWYLMSIVVIFTILLGCFRPIMLWINHKTPEGQQVDQSLVVGILLGVFVMAFITDMFGIAIVNGPLWLGLAIPDGPGLGATLVQKCETIMNEFLMPFSFILIGHHTDFFALASFDWKNLKPLFLMVITGYLLKFFVTWMATMYWRMPFRDGLTFSLIMSLRGQIEYILFVHLMDKKILNVPEFTMLVTVTTALTATLTPLVSILYDPTRPYILNQRRNLQHNPPSEELRIMLCILDIESTNGLIHLLDVTNPTSTNPISVSCLRLFELSGRATPLLIDHTIQEAPQIYKWTPIINALRSYQQIKQEFMKIQFFTGVAPKQSMFQTICELSLEQEASLIILPFINRGFYYHGVRRTLNSQVLDNAPCSIAILVDKGLLGTSVPTTGNSMRHSRHRFAVLFFGGADAREALVYADRMVANEEVSLMVIRFLSYNNVGDNEMEKKLDDGIVTSFWVKNERNQRVAYKEVVVKNGEETIGAIHDMNDGSFDLLIVGRKHGINPNILTGLSEWSESDELGLIGDYVSSHDFIGSASVLVVQQQVLRG >CAK8562554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509118118:509122679:1 gene:gene-LATHSAT_LOCUS16042 transcript:rna-LATHSAT_LOCUS16042 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILLRAASRGGSLRATLTRRFSTDALVEVKPGEIGLVSGIPQEHLRRRVLIYSPARTAGQQGSGNVGRWRINFLSTQKWENPLMGWTSTGDPYAHVGDSALDFDTEEAAKEFAARHGWEYVVKKHHTPLLKVKLYADNFKWKGPPKAAEQ >CAK8531090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80016364:80018891:1 gene:gene-LATHSAT_LOCUS904 transcript:rna-LATHSAT_LOCUS904 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSNILLVFLVLPIFLLNMISCENDVVKTTLIQFLTQLKGQQNNNSTLNWKPDTDPCKDHWNGVSCDAQMSIKRLDLYRFNLSGTLNVSFLCNTQPLAASLTFLSLDDNNISGGISSEIGNCKQLTRLHVSGNHLAGDLPASLAMLNNLKRLDLSNNKFSGPLPNLARISGLNMFLAQNNNLGGNIPAFYFPNFDRFNVSFNNFSGQIPDVQGHFFADSFLGNPELCGYPLQKNCTSQIQNSTVSKTSEEEESKAPSKEQILIYSGYAALGVIIILFVFLKICRSKKSGKKVKASPNDDIEKPSYVSSESKAEDASKSGFSMSSECGMVSQQSLIVLSKPVVNELKLEELLRAPAEMIGRGNNGSLYKVMLTNGIVVVVKRIKDWSISSDEFKKRMQLLNQAKHSHVLPPLAFYCNKQEKLLVYEYQHNGSLFKLLHGTSKKTFDWSSRLGIASTIAEALAFMHQELSQQGIIHGNMKSSNILINKNMEPCISEYGTMPKDDQQSSTLAFKEDVYGFGVILLELLTGKLVKNNGIDLTDWVQSVVREEWTGEVFDRCLLAEYASEERMVNMLQVAIRCVNSSLEARPSMNQVALMINTIKDEEEKSLIYQV >CAK8577780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588199944:588202321:-1 gene:gene-LATHSAT_LOCUS29854 transcript:rna-LATHSAT_LOCUS29854 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSNLRRSGVAFGSNAYQKDMFNERSHTTNSSLPSSHLDGTFSYISKIKEHNSLNFSMRGISGTPYYRTPSSNTQRVVEESESELEDDRQRYAGLEATKPGEKPRVVVLGTGWAACRFLKGLDTRIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPIGSIQDALSKNPNSHFFLASCTNIDTNKHEVYCETVANGGLSREPYQFKVAYDKLVIASGAEPSTFGIKGVKEHAFFLREVNHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFISKDVRERYTHVKDYIHVTLIEANEILSSFDVSLRQYAMKHLTKSGVHFVRGVVKEVHPQKIVLSDGAEVPYGLLVWSTGVGPSEFVKKLNLPVSPGGRIGVDGWMRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAERQGKFLVELFNKIGKQDGGKALSTNGIPFGNPFVYKHLGSMASVGAYKALVDLRQSKDAKGLSLAGFVSWLVWRSAYLTRVLSWRNRFYVAVNWGTTFVFGRDNSRIG >CAK8566469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426528147:426529752:-1 gene:gene-LATHSAT_LOCUS19598 transcript:rna-LATHSAT_LOCUS19598 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDAVREAISGIMGDSKDKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLESMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNVSMDEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSSMGKSYRVF >CAK8575263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:65580972:65584362:1 gene:gene-LATHSAT_LOCUS27541 transcript:rna-LATHSAT_LOCUS27541-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVKKFQSKFLKVREEINQWDDLQSRLISQFRNASHILDRLQVLQKSSNYGTLNCVAGVKDGLLEKQIGSLNTILISMKRTLEEFHRIVLSLEKISRDGRQLVKGSSSRPTMKQLQQRVGVKPRLIDCLDGLLFLHEIYYSEYLLKTSVVSAISSIALMPSASDLGALQQLLIDQPNILTEEVQFLMDTIFAEDLC >CAK8575262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:65580972:65584362:1 gene:gene-LATHSAT_LOCUS27541 transcript:rna-LATHSAT_LOCUS27541 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVKKFQSKFLKVREEINQWDDLQSRLISQFRNASHILDRLQVLQKSSNYGTLNCVAGVKDGLLEKQIGSLNTILISMKRTLEEFHRIVLSLEKISRDGRQLVKGSSSRPTMKQLQQRVGVKPRLIDCLDGLLFLHEIYYSEYLLKTSVVSAISSIALMPSSASDLGALQQLLIDQPNILTEEVQFLMDTIFAEDLC >CAK8536108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908204139:908205247:1 gene:gene-LATHSAT_LOCUS5508 transcript:rna-LATHSAT_LOCUS5508 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSQEDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKIRVPVKLIALTVNIL >CAK8578323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620369316:620371936:-1 gene:gene-LATHSAT_LOCUS30344 transcript:rna-LATHSAT_LOCUS30344 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCRRCSPTSFTVRAYGSSSLSPTSCGSMNWVQRKIHLYNVTFGLYMLDWWERCTFNILVIVLMCFVVRYITQFVKRYVFLW >CAK8541463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:188809239:188809702:-1 gene:gene-LATHSAT_LOCUS10383 transcript:rna-LATHSAT_LOCUS10383 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFFLVGSSNTHIYLWEFNKDKAIATYGVLLAANVPPPYDLASISALQFDHFGHRFANAALDGTVCTWQLEVGGRSNVRPTESSLFFNGQASYVNFVL >CAK8539141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504989200:504995413:1 gene:gene-LATHSAT_LOCUS8264 transcript:rna-LATHSAT_LOCUS8264 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTIVTVLLLLMLLLILIFLFFLFKPWRFFFSSRFRSSIQQGSVGELERPLVIDDGANANDNASLNNELPRDYDLEGACYPNEVNFRSPRTHGQGLVHKQRLHNLSISHNFHQGGPGGGDTLIVDVISDHSEDAVAADVGQIFKLPPPPLLAQIPPKLSYQNDRLQDFVQKDITEQRSCLTLEVISGPSCGQRWSVQSTNPSRFPLTLGRVSPSDLLIKDSEVSGKHALINWNLDNLKWELVDMGSLNGTLLNSKSINHPDTGSRHWGDPMNLANGDVITLGTTSKIIVHITSQNHHHIPFGVGMTSDPMSLRRGGKKLPMEDVCYYHWPLPGLDQFGIFGICDGHGGDGAAKAASKLFPEVIASILSDSLKRERVFSLRDASDILRDAFSQTEARINNFYEGCTATLLLVWADCDDNFYAQCANVGDSACIMSVNGQQIKMTEDHKISNYSERRRIEESGEPLKDGEKRLYGINLARMLGDKFLKQQDSRFSSQPYISEAVHIHQASKAFAVLASDGLWDVISMKKTIQLVLQMKDRYNTEGDNTAEKIASLLLNEARTLKTKDNTSIIFLDFDTFNRFSCKVES >CAK8539140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504989200:504995413:1 gene:gene-LATHSAT_LOCUS8264 transcript:rna-LATHSAT_LOCUS8264-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTIVTVLLLLMLLLILIFLFFLFKPWRFFFSSRFRSSIQQGSVGELERPLVIDDGANANDNASLNNELPRDYDLEGGPGGGDTLIVDVISDHSEDAVAADVGQIFKLPPPPLLAQIPPKLSYQNDRLQDFVQKDITEQRSCLTLEVISGPSCGQRWSVQSTNPSRFPLTLGRVSPSDLLIKDSEVSGKHALINWNLDNLKWELVDMGSLNGTLLNSKSINHPDTGSRHWGDPMNLANGDVITLGTTSKIIVHITSQNHHHIPFGVGMTSDPMSLRRGGKKLPMEDVCYYHWPLPGLDQFGIFGICDGHGGDGAAKAASKLFPEVIASILSDSLKRERVFSLRDASDILRDAFSQTEARINNFYEGCTATLLLVWADCDDNFYAQCANVGDSACIMSVNGQQIKMTEDHKISNYSERRRIEESGEPLKDGEKRLYGINLARMLGDKFLKQQDSRFSSQPYISEAVHIHQASKAFAVLASDGLWDVISMKKTIQLVLQMKDRYNTEGDNTAEKIASLLLNEARTLKTKDNTSIIFLDFDTFNRFSCKVES >CAK8536127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909684420:909686471:-1 gene:gene-LATHSAT_LOCUS5526 transcript:rna-LATHSAT_LOCUS5526 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRGTKKRRKTENNNNNVRASSDSSDKEGVVVDWWNDLSIKMNGHKKSPPKISRKTFEYICSLVKDDITTKSAHFTFTNGKPMSLFDQVAVSLRRLGTGDSLVTIADSFGLNHSTVSQVTWRFVVSMEERALHHLQWPSTKEEMNIIKSKFEKIQGFPNCCGAIDVTHITLCLPASEHSSNSWLDHKKNHSMVLQAIVDPDMKFRDIVAGWPGKMMDWLVFESSNFNKLCNKGERLNGKKLKISQGSEIREYIIGDSGYPLLPYLIVPYEEKEALESEQKAKFNRLHLETRLVAQRALARLKEMWRIIHGNMWRPDKHRLPRIILVCCLLHNIVIDMQDEVKDELLCLYHHNHDSGYHQLICEGVEEKGVAMRKSLCHYMNGRLHP >CAK8568104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574053780:574054496:-1 gene:gene-LATHSAT_LOCUS21099 transcript:rna-LATHSAT_LOCUS21099 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERSIGYSFSVYTTHGLRLKSQQHRPIPVASDFFNFQVTAYLRDFSSPPMTVSVMFRTSIRINCNEFFMHDRNFLWLYVQEFSSPYFNSENLSQMTLSLIPKVKRLFFPFDIHELAAPVSNHIIQEIPLVLTLIVEERRTVEEIMMMGERAAMEESMQCEKTIPASNEAILSLKAYSLPRNCCVCMERFHDELEGGDSDDVKISTMACGHTFHYNCIVKWLQRSHVCPLCRYAMPT >CAK8539113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504073913:504078183:1 gene:gene-LATHSAT_LOCUS8241 transcript:rna-LATHSAT_LOCUS8241 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNGEISDGEEDEEKMVVGYALTSKKKKSFLKPNFIALARNKGISFVAIDPKKPMLEQGPFDVVLHKLPGKEWREIIEDYRHKHPEVTILDPPYAIQHLLNRQSMLQYVAELNLSDCHGKVGVPQQLVITKNPSTIPYEVTKAGMKLPLVAKPLVVDGSAKSHELCLAYDELSLSKLEPPLVLQEFVNHGGLLFKIYIVGEAIKVVRRFSLPNVSKNELLDVDGLFRFPRVSCAAASADDADLDPNIAEHPPRPLLERLARDLRCKLGLRLFNIDMIREHGTKDVFYVIDINYFPGYGKMPEYEHIFINFLLSLGKNKCKKKDDT >CAK8541019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44603557:44604024:-1 gene:gene-LATHSAT_LOCUS9972 transcript:rna-LATHSAT_LOCUS9972 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSIMRDIFSYVMSIFILPDSIMKDIEKMLNSFWWGVGNNNKGIRWLAWDKFTCPKNEGSVSFRDFKSFNMAMLAKQGWHIIMQPDTLVARVFKVKYFPRSSLFEYSLGNNPSYVWRILWKVREVLILGCKWRIRDKSKINVMFDPWLRIKGKR >CAK8579648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714649998:714652118:1 gene:gene-LATHSAT_LOCUS31578 transcript:rna-LATHSAT_LOCUS31578 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTQHMVIGILRKNSNKTVSVSTRHAKQLHAHVLKIKGISHEDNILVLSLYSNLNLLHYSTNLFNSLPSPPPPLAWSSLIKCYTSHSLLHLSFSSFNSMRALSVPPNRHVFPSLIKASTLLKHAKLAYSLHASTVRLGLDSDLYVANALINMYAKFHSFSVNANAGNVFDILPLRGKSEIHSVRKVFDMMPVRDVVSWNTVIAGYAQNGMFVESLDMVREMGKNGNLKPDSFTLSSILPVFAEHVDVCRGKEIHGYAVRNGFDGDVYIGSSLVDMYAKCNRLENSLCAFYLLPRKDAISWNSIIAGCVQNGEFDCGLGFFRQMLAENVRPMPVSFSSVIPACAHLTALNLGKQLHGCIVRIGFDDNEFIASSLVDMYAKCGNIKMARCVFDRTEKRDMVAWTAIIMGCAMHGHALDAVSLFENMLEDGVRPCYVAFMAVLTACSHAGLVDESWRYFNSMERDFGIAPGLEHYAAVADLLGRAGRLEEAYDFISNMGVQPTGSVWSTLLAACRAHKSVELAEKVVDRLLLIDPENMGAYVLMSNIYSAARRWKDAARLRIQMRKKGLKKTPACSWIEVGKEVHTFMAGDKSHPYYEKINEALNVLLEQMEKEGYVLDTNEVLHDVDEEHKRELLHNHSERLAITFGIISTTAGTTIRVIKNIRVCTDCHTAIKFIAKIVGREIVVRDNSRFHHFKNGSCSCGDYW >CAK8534501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736498157:736498738:-1 gene:gene-LATHSAT_LOCUS4044 transcript:rna-LATHSAT_LOCUS4044 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNYQNPDPQNSQIPPVPTNPAIFFLSPNNPNMYPIPQMNSNSMEFSTQVPPFSTQVPPFSTQVGIEKEERVVVKKRSQEQFTREDDILLIQLRLNVSKDPIVGVDQKAESFWLRIAASYNQYREQLREKLGGQLKCRCHRINGIVQKFVGCYKIAIKGKKSGTSETDVMADAHAIFA >CAK8563664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623335715:623336098:1 gene:gene-LATHSAT_LOCUS17049 transcript:rna-LATHSAT_LOCUS17049 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNNIGGSSFDNENGGIKEQDRLLPIANVGRIMKQILPQNAKISKESKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALATLGFDDYAEPMRRYLHRYRELEVDRTPTANNILQDRAN >CAK8535033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805369827:805370795:-1 gene:gene-LATHSAT_LOCUS4517 transcript:rna-LATHSAT_LOCUS4517 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVKSIAYAMAQYWMHCLPLPQCMIKKVDAIFRSFIWIGKDTGSRKCPVAWKTACSPTTQGGMNILNLHTWNNVLLLKCLWNLCNKTDTLWVKWIHMHYLKGNEVMTYVTKTHNSWIIWGILKQREYMNKVRHEWNQAMTEQKFKFVAFYKILIDNGTRVPSRKLIRFNKGQPRAVQCLWQACHGNLATKEILKHFGMIEDNICNLCKAEEETMNHLFSHCPRTRHIWKEILEWFNIQHEPQQWEAELIWITDLTKGKGWKAGILKMLTVESVYSIWKYRNSIIFENIVENTNMVTKIIDNVIYRGWQNSRIRKHLISFMM >CAK8535034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805369827:805370411:-1 gene:gene-LATHSAT_LOCUS4517 transcript:rna-LATHSAT_LOCUS4517-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVRHEWNQAMTEQKFKFVAFYKILIDNGTRVPSRKLIRFNKGQPRAVQCLWQACHGNLATKEILKHFGMIEDNICNLCKAEEETMNHLFSHCPRTRHIWKEILEWFNIQHEPQQWEAELIWITDLTKGKGWKAGILKMLTVESVYSIWKYRNSIIFENIVENTNMVTKIIDNVIYRGWQNSRIRKHLISFMM >CAK8560960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64193560:64193997:1 gene:gene-LATHSAT_LOCUS14595 transcript:rna-LATHSAT_LOCUS14595 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMESSVNGGFSQHLQSFGDSSEEELSILPHHTKVVVTGNNHTKSVLVGIHGVVKKAVRLGDWHWLVLTNGIEVKLQSNALSVTELPAGNEVDDDLEYSVQSGGCYSKPIQRETGRFCSRSISCRSKWMSSKLFEDLPKLQKG >CAK8575334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:84479260:84479649:1 gene:gene-LATHSAT_LOCUS27605 transcript:rna-LATHSAT_LOCUS27605 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRALVLQSYLESRSLGVDNYSQNNFITSQDFESSRESRAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLLQRYQNRVLIYQELLVSRLAV >CAK8538156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466185620:466197972:1 gene:gene-LATHSAT_LOCUS7386 transcript:rna-LATHSAT_LOCUS7386 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTALELVKNGATLLFLDVPQYTLVAIDTQMFSVGPAFKGIKMIPPGTHFVYYSSSTRDGKEFSPTIGFFIDVGSSEVIIRKWDQQEERLVKVSEEEDGRYSHAVRNMEFDRQLGPYKLSHCEDWKRLSNFITKSIIERLEPIGGEISVECENDVFRNTLKTPMEEALDKKLNVGNSEASVGKFQRKGCYYTSIPRVVKCKGISGQELTSLNLDKTHLLETLLASDYGGSEDLLLGELQFAFIAFLMGQSLEAFLQWKSLVSLLFGCTEAPFHTRTRLFTKFIKVIYYQLKYGLQKDRKDDTGPPLLDDSWLSTDSFLHHLCKDFFSLMLDGSVVDGDLLKWTRKFKDLLESNLGWEFQQSSAVDGLYFDENDEFAPVVEMLDDEAPAV >CAK8574078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:658855041:658855535:-1 gene:gene-LATHSAT_LOCUS26455 transcript:rna-LATHSAT_LOCUS26455 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKMLASSQTFTQNCNKGMADEAIKSSSHNVIQFDREKFCFMVAKSINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMDILDVFKVLSVFKVYSQSFLGLPHQQN >CAK8579588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:710212647:710213407:-1 gene:gene-LATHSAT_LOCUS31522 transcript:rna-LATHSAT_LOCUS31522 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLLDDSDQDKHKRIRTTATPSFASVIGEVVMVKNMQNLFSGLEPLLRRVVNEEVERAMRQCYPSRSITKSPSLRLQAMEHPSSFQFIFKKKLSPSIFTGSRLLDMDGNSINVILVDKSNDQIVPSSLPHPIKIEIVVLDGDFPSTEKESSWTSEEFNSNIVKERTGSGHCLPEN >CAK8530629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36340587:36341003:1 gene:gene-LATHSAT_LOCUS480 transcript:rna-LATHSAT_LOCUS480 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFFLFFYPCGFPNLSPDRHAAIRSRCGSSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSIIPTSLAYIVPSTRGCSPWRPDAIISTTEHGRHSVLRIFKGRQGRTGHHATCGALPVAGPYLRLSRFQGGQAVKQKR >CAK8560745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39342178:39342924:1 gene:gene-LATHSAT_LOCUS14396 transcript:rna-LATHSAT_LOCUS14396 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSKLKPLFHSTNSSLSSSPHQLLQRCSVSRTAKGKGKAGQILKRSKVTVKKTGSEPKPGIPTGSREKQEKERLYKQCIDAPTPLRHLKPKQREREVEREKLGLISKDRQREIDMTKKKNQKFRVSEKPSIVGTPGLDYITLGLVDAEKLPKYELTAEDGKRLAKEYSRLLMRKHRARQAAETKLLSLKKEAIEALPEKLKEAALVPDLSPFPVNRFMATLTPPIEGYIEKIREAANRISGKEKIR >CAK8568674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631151850:631154846:-1 gene:gene-LATHSAT_LOCUS21612 transcript:rna-LATHSAT_LOCUS21612 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGFRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVANAGDCRAVLCRSGKAIEMSRDHKPVCMKEQRRIEASGGYVYDGYLNGQLSVTRAIGDWHMEGMKGKDGGPLSAEPELMTTKLTAEDEFLIIGCDGIWDVFRSQNAVDFARRRLQDHNDPAVCSKDLVDEALKRKSGDNLAAVVVCFQQQPPPNLVAPRARVHRSFSAEGLKELQSFLDSLGK >CAK8542119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474876782:474907631:1 gene:gene-LATHSAT_LOCUS10989 transcript:rna-LATHSAT_LOCUS10989 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATDSPRFPFLNSHPTPSTRTQLNPIFLSPFSPKPSKTTYANNIVSAALSSRFNGRPGPPSKGHSFYKELQFDNTTENDFGLELELERNLLDKGSSKETDGSIPLDENDDKGSGKSENELREDDLIRVRGDGEGDDGVDLRKDDKVEKFGGNIRLRKVKQVIRRSNLLAKQVISIQSALSLGFVSQLWVDTTSWIVLFVEVRSNLLSGDSEKFLLEDITQVGDVVLVPDERVMDSEYRMIGLETLVGYKVVTPSLRNIGKVRGYNFSINSGAVEELEIDSFGLSIIPSSLVSTYSLMVEDVLEVVSDAVVVHEAAALRIQRLSKGILGNQNVGISVDDVDDYESEQSATYGGASRRRKSFGRKKPNPRDWDRNEDNWELPMDYL >CAK8542120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474876782:474907631:1 gene:gene-LATHSAT_LOCUS10989 transcript:rna-LATHSAT_LOCUS10989-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATDSPRFPFLNSHPTPSTRTQLNPIFLSPFSPKPSKTTYANNIVSAALSSRFNGRPGPPSKGHSFYKELQFDNTTENDFGLELELERNLLDKGSSKETDGSIPLDENDDKGSGKSENELREDDLIRVRGDGEGDDGVDLRKDDKVEKFGGNIRLRKVKQVIRRSNLLAKQVISIQSALSLGFVSQLWVDTTSWIVLFVEVRSNLLSGDSEKFLLEDITQVGDVVLVPDERVMDSEYRMIGLETLVRGYNFSINSGAVEELEIDSFGLSIIPSSLVSTYSLMVEDVLEVVSDAVVVHEAAALRIQRLSKGILGNQNVGISVDDVDDYESEQSATYGGASRRRKSFGRKKPNPRDWDRNEDNWELPMDYL >CAK8536845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:27895905:27896127:1 gene:gene-LATHSAT_LOCUS6172 transcript:rna-LATHSAT_LOCUS6172 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIFFTHHPTSIYELLKVFWANYGGISANDLDEELKWDIDLMLEQ >CAK8575215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55314378:55315061:1 gene:gene-LATHSAT_LOCUS27499 transcript:rna-LATHSAT_LOCUS27499 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVATDVAATEIHVVGLPPLGKKRKPNANGPRKSSPAWDHFIKLPNETEPIAACKHCHKKYLCDPKSHGTSNMLAHTKICTKRPQNDPTQTALSFASGEGGGLVAASQRFNLAACRKAIALFVILDEHSFRVVEGEGFKLLCKQLQPQLTIPSRRTVARDCFQLFVDEKVRLKGYFKSDCNRVALTTDCWTSIQNLSYMTPTAHFINNDWKYV >CAK8562399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:484053724:484054412:-1 gene:gene-LATHSAT_LOCUS15898 transcript:rna-LATHSAT_LOCUS15898 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMYNSEHKGHHHNQYHNHSLSVSPRISFSNDFVDTQQVSNQEKTTTKSDGPVSTDFEFSVSNYSMMSADELFFKGRLLPFKDSSKKITTLREELLVDDDEVCERQGFSLRPPKGSSSSTTRWKGFLGLRKSHIGSKKVEKSENVESRRSGLVNESARLNIATPQEILIEGGSNCSDFEFGI >CAK8563657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622995217:623002280:1 gene:gene-LATHSAT_LOCUS17042 transcript:rna-LATHSAT_LOCUS17042 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSERKTIDLEQGWDFMHRGIMKLKNILEGLPEPQFSPEDYMMLYTTIYNMCTQKPPHDYSQHLYDKYKEAFEEYIVSTVLPSLREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLACFRDLVYKELHGKMRDAIISLIDQEREGEQIDRALLKNVLDIFVEIGMGKMDQYESDFEADMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLRREKDRVAHYLHSSSEPKLLEKVQNELLSVYASQLLEKEHSGCHALLRDDKCEDLSRMFRLFSKIPRGLDPVSSIFKQHVTTEGMALVKHAEDAASNKKADKKDIVSTQDQVFVRKVIELHDKYLAYVNSCFQNHTLFHKALKEAFEVFCNKGVAGNSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLNNNPNADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNISGKFDPKTVELVVTTYQASALLLFNSSDRLSYSEIMTQLNLLDEDVIRLLHSLSCAKYKILIKEPNTKTITPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQNLVMECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKENPNMFKYLA >CAK8560064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6303558:6306554:1 gene:gene-LATHSAT_LOCUS13777 transcript:rna-LATHSAT_LOCUS13777 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPTQPQPHKRKLNLKKTVKIGRPGYRVTKQFDPDTKQRSLLFQIEYPEIEDLAKPRHRFMSSYEQRVQPFDKRYQYLLFAAEPYETISFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKIKPPEVTKPQPPASTPNGTTAPGVPPRPMPPPSQAPLPPPPPPPQGLPPSAPLGNPPRAPPPPMSGSMPPPPPMAANGPRPVPGAMPPPAPLGTRPPSMPPPQGFPGQ >CAK8573596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623890443:623891012:1 gene:gene-LATHSAT_LOCUS26027 transcript:rna-LATHSAT_LOCUS26027 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKRGRGRPKATVPLSPENLTSLKTPERESSNTIIGGQTSENTSRTTIGEDKAMTNTLVKENKETLTEPIQPQHEERKPWVDVINDNRNPARGMDIEYVAPNLINGVFEINIEQEDIETEIQFWDNALILYVVGDDLSMNTVKNFMQRMWNFVKMPDLYYYDDGYFLIRFSSQEDKEAYMMKGPYTI >CAK8579171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681471850:681472116:1 gene:gene-LATHSAT_LOCUS31137 transcript:rna-LATHSAT_LOCUS31137 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQDWMEIRREKNKNTERTCKRSIWTARVDKDNMEEGSKSDLFFFTEFSDDHEEKDMFHIFKEFGLVLEVIISTRRDMRGKQFGFV >CAK8566091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:378786689:378797772:1 gene:gene-LATHSAT_LOCUS19254 transcript:rna-LATHSAT_LOCUS19254 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVALINVMIACMLISSSYGKATLTCEQVTVWLTPCIPYGTLGGSVLPLCCQGIHSLNAAYKNRDDRRLTCHCVQDRAALIPLINYTRINQIGELCGSKCPIKVYPSTNCDKFGSRGILVILRKLYQFLEDGLLLKL >CAK8569629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6984916:6985287:1 gene:gene-LATHSAT_LOCUS22459 transcript:rna-LATHSAT_LOCUS22459 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMKVFDHLSVLNGIVSELETIGVKIYDEDKTLRLIWSLPSSYEHIKPILIYGKETLSIEEVARKIISEERRLKGEENTSSNSVLVVRGSSYMKKNNETGVRHMKCGKLGHIKYKFHNGQS >CAK8579165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680827523:680828134:-1 gene:gene-LATHSAT_LOCUS31131 transcript:rna-LATHSAT_LOCUS31131 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVYPQEQESTTSSESHVQCLSNKRERYTVWMKSIVLHSNGCTVYDSNGNIVYRVDNYNRKGKREVNLMDLHGNVLCTIKKRLLAFGCWEGHKYHGSNSDSTSHEEQPWFQVKRCLRGKTACEIKAGSQILSIERMSNGKSFGFRIVNKNGEIIAEAKQKESSSGIVLSNDVLTLDLEAGTDHSLIMALITVYGLMCDLM >CAK8565233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78068469:78070018:-1 gene:gene-LATHSAT_LOCUS18464 transcript:rna-LATHSAT_LOCUS18464 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCTKPVAHVSSSNISGSKKSQSTTNSSQQKASLQTSQESVDPSISSKLKSFSLIDLKEATKNFRRENLIGEGGFGRIFKGWIDENTYIPTKPGSGIVVAVKNLKPESFQGHKEWLAEVNYLGQLHHENLVKLIGYCLQGKNRLLVYEFMQKGSLENHLFRKNVQPIAWATRVNISVGVAKGLAFLHSLNANVIFRDLKASNILLDSDFNAKLSDFGLARDGPTGDNTHVSTRVIGTQGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGKRAVEDTRSGLSEETLVEWAMPFLSDSRRVLRIMDTRLGGQYSKKGAQAAAALALQCLNSDPKFRPPMVDVVATLEGLQSSNTFQRTPKYANATKHSSHSLT >CAK8561646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:335821070:335821288:-1 gene:gene-LATHSAT_LOCUS15218 transcript:rna-LATHSAT_LOCUS15218 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGCKDLTKMKLRRRRRQTAVSRKMKKLQQIIPGGDGLKADGLFLRTAEHILQLKLQLNALQVLTKIFNV >CAK8575978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:379025895:379026683:1 gene:gene-LATHSAT_LOCUS28199 transcript:rna-LATHSAT_LOCUS28199 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFTLTSFSLKNIIVLHLSVLSINLRYIKTEENHAPQLTFFMHDILGGSNPSERIMNGIIVNTQQTTNLPFSKPNNRILPTKGSIPIFYTSISTNGFPSSTPMIKNIDKNKEVIDTSTKSNSLPYVIRNRIPLGATLGNLLFGRITVIDDEITKGYEYLNSDVIGKVQGFHLVCSLDGSSQTMAFVALFGDEGHEDDDAISFFGVHRMATHESYIAVVGGTGKYENARGHAKIETLQLHYDQHNSISNGMETVFQITVFL >CAK8560521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23245466:23246432:1 gene:gene-LATHSAT_LOCUS14185 transcript:rna-LATHSAT_LOCUS14185 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIASNHLLYLRKQSYAAVAKSIRVQMAKTNVEVVKVNVGNESTSVGNKDGTIFWMKDPKTGNWIPENHFGEVDAADLRNMFLPKKSQN >CAK8563229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584371115:584372125:1 gene:gene-LATHSAT_LOCUS16660 transcript:rna-LATHSAT_LOCUS16660 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQEKENDVVEPTRQHNFQYNVSDLYDSFEFKQMTIQLNKAFENSSASSPACQEMKHKLNRTIHASGASSPDLYDSFEFKQMTVQLNKAIENSSASSPACQEMKHRLIKTIHGTGASLPDLYDSFEYEEVKHKLNRTIHASGASSPELYDSFEFKEMTVQLNKAIENSSASSPACQEMKHKLIKTVHGSGASSPDLYDSFESEEVKHKLIKTIHGSGASSPDLYDSFEYKQMTTQLNKAIENSSASSPACQERKHKLNQTIHGSGASSPAYVFHLNSPFYRHHLNRIYKESNKTPRMISCPRVADKQASGRGIREKGFVNRLWLKVKKGLLWRKQ >CAK8577355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556725044:556728920:1 gene:gene-LATHSAT_LOCUS29469 transcript:rna-LATHSAT_LOCUS29469 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGYRNTTGNRGSGGNGRGRGGNGRGRGREFHQEQQPSAGCGGRGRGREFYHHQETSSDTGHGRGRGRGRAREFYQHQETSSDNGGGRGRAREFYQYQETNSGNGGGRGRSRDFYQHQETSTGDGHGRGRARDFYQHQETSTGDGHGRGRARDFYQHQETSTGDGHGRGRARDFYQHQETSTGDGHGRGRARDFYQHQETSTGDGHGRGRARDFYQHQETSTGDGHGRGRAREFYRNQETSADNCGGRGRGREFYQRQETSAGGGDRGRELHQQEQTGAGGGGGCGSGGLQPQPQTCWSRRPNISPSFTNTNSSSTPPLPMSKHTNLQPQVQTHPLPDIGVLNVKEQPGESPTTRPIHRPDKGGTAYIQRCKLHVNHFPVTYNPERTIMHYNVDVKATLPLRNASSPPKKISKFELSLIRDKLLTERPTLLMTAYDGGTNIYSAGELPEETFTVEVSRGDDESAVSYTVTIKLVNKLELRKLRDYIKGGVYSIPRDILQGMDLVVKENPTRHTVALGQRFFPTNPTMKQMDLTHGIIAVGGFHHSLKTTSQGLSLCLDYSVLPFSKKMPVLDFLGGRINNLNLDQFEKFRKVVEKELIGLKVNVTHRVTKQKYTIAGLTREKTRDITFTYLDQEGQNPPSSKYLTDYFEEKHTCNIKHKDIPCLIFSGSKTNYMPMEVCVLVEGQRYPTDSLDLSASTKLKNMSVASPKDRKSSIELMMMSAVGPHGRDILQDFGMEVIPSMTNVTGRVIQPPDLKLGDPNGRGGTMKLRVEKCHWNLVEKSMVDGKTVEHWGILDFTSKGSRHKFNHYQFVTDLKAKYKKLAIDMKDPVLYEESEMRILGDYNSLSRLLERINCKQRLQFLLCVMDRRDEGYKCLKWIAETKVGIVTQCCLSPNANKSIRNKGQDQYLTNLALKINAKIGGSNVELLNRIPHFEKDRHVMFIGADVNHPGSQDKESPSIVAVVATVNWPAANRYAARVLVQEHRMENILNFGEVCIDLVTHYAKLNKSRPEKIVIFRDGVGESQFHMVLTEELKDLKTAFERINYSPSITLIVAQKRHQTRLFPVDAKGVCTGNVFPGTVVDTDVVHPFEFDFYLCSHTGNLGTSKPTHYHVLWDEHKFSSDDLQQLIYNLCFTFARCTKSVSLVPPVYYADLAAYRGRLYYEARKSAKRNDEVFAKLHPNTENIMFFV >CAK8531691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140080529:140082286:1 gene:gene-LATHSAT_LOCUS1464 transcript:rna-LATHSAT_LOCUS1464 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSYPYKEIENGMLWEVEGKWVVKGAVDVNIGANPSAEGGEDEGVDDTAVKVVDIVDVFRLQEQPPFDKKQFLGFVKKYIKLLTPKLDAEQQEHFKKNIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGAADPTFLYFAHALKEIKC >CAK8571896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490812026:490812792:1 gene:gene-LATHSAT_LOCUS24519 transcript:rna-LATHSAT_LOCUS24519 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRSRAVTKQSLMGEPQSPSQNFTNTIPSLFGSSKKTESLISPTSILDTKALTHFENPFSVRNKVDSKTLGLGLVGVLKDEYEQPFHRNSEKLRNRKVVLGTDQLRVKIPFESESEFGCKMNDSSSSSEKGVLSLSEMEVYEEYTCVISHGANPKTTHIFDNCVVGSYCSVPNSLNFLSFCYTCKIHLQHTKDIFIYRGEKAFCSQECRNQEMMLDEGEN >CAK8537180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124419709:124428682:1 gene:gene-LATHSAT_LOCUS6489 transcript:rna-LATHSAT_LOCUS6489 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDANPTQPLLTTMTPPSTAAVFTAAAPDMDPITSPRDFFKQFVLESKMLWYLAGPAIFSFVSKYSLGAVTQIFAGHVSTVDLAAVSVENSLIAGFSFGLMLGMGSALETLCGQAVGAGKLDMLGVYMQRSWVILFSMTFPLCFLYIFAGTILKFIGQTTQISEAAGTFAIWMIPQLFAYALNFPVAKFLQAQSKVIVIAVISGVAMVLHPVFSWLLMVKLGWGLVGAAVVLNGSWWFIVVAQLGYVFSGKCGVAWNGFSFEAFGNLWGFFRLSVASAVMLWSVYSSFHYVILLKYLFSSV >CAK8567384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509902664:509910211:1 gene:gene-LATHSAT_LOCUS20444 transcript:rna-LATHSAT_LOCUS20444 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWWRRCLLVITVSLTAVHGGNVTYDRTSLIINGQHKIFFSGSIHYPRSTPQMWPNLIAKAKEGGLDVIQTYVFWNLHEPQQAQYEFSGRLDLVGFIKEIQAQGLYVTLRIGPYIESECTYGGLPLWLHDIPGIVFRSDNDQFKFHMQRFTATIVNMMKSANLYASQGGPIILSQIENEYGNIERAFQENGLAYIHWAAQMAVGLQTGVPWVMCKQDNAPDPVINTCNGMQCGTTFKGPNSPNKPSLWTENWTSFYQAFGGKPYLRSASDIAYNVALFIAKKGSYVNYYMYHGGTNFDRLASAFITTAYYDEAPLDEYGLIKQPKWGHLKDLHAAVKSCSQPLLYGTQTILSLGSQQQAYVFKSSSECAAFLENSGPGDVTVQFQNIPYQLPGKSISILPGCKNVVFNTGKVSIQNNARVIKTQIQFNSAEKWKVYTEVIPNFDDTSLRANTLLDQISTAKDTSDYMWYTFRLNDNSPNAQSVLSIYSEGHVLHSFINGVLKGSAHGNHDNLNVAMKESVDLINGMNNISILSATVGLPNSGAFLERRVAGLRKVNVQGRDLTSYAWGYQVGLLGEKLQIYTVNGLSKVQWENFQSSTKPLTWYQTTFDAPAGNDPVVLNLGSMGKGLAWVNDQGIGRYWVSFHTSNGTPSQQWYHIPRSFLKSTGNLLVILEEETGNPLGITIDTVYITS >CAK8534916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789581448:789582603:-1 gene:gene-LATHSAT_LOCUS4415 transcript:rna-LATHSAT_LOCUS4415-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLPYIYSLMNCLICLWYGTPLISHDNILVTTVNSIGAVFQFVYIILFMMCAEKEKKVKMLAWLMVVLGVFAIILIGSLRIDDIVMRRLFVGVLSCASLISMFASPLFIIKLVIQTKSVEFMPFHLSLCTFLMSTSFLVYGLLSDDIFIYVPNGIGTILGTIQLILYFHYEKKSRIDVEEPLIVSYA >CAK8534915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789581448:789582908:-1 gene:gene-LATHSAT_LOCUS4415 transcript:rna-LATHSAT_LOCUS4415 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSSLAIYKVAKDAAGIAGNIFAFGLFVSPIPTFRRIIRNGSTEMFSGLPYIYSLMNCLICLWYGTPLISHDNILVTTVNSIGAVFQFVYIILFMMCAEKEKKVKMLAWLMVVLGVFAIILIGSLRIDDIVMRRLFVGVLSCASLISMFASPLFIIKLVIQTKSVEFMPFHLSLCTFLMSTSFLVYGLLSDDIFIYVPNGIGTILGTIQLILYFHYEKKSRIDVEEPLIVSYA >CAK8563868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636250840:636257883:1 gene:gene-LATHSAT_LOCUS17233 transcript:rna-LATHSAT_LOCUS17233 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSHASDFPTRHHFLSKTTTTTTITTTHQRLLSLFFPTRTARVTGLRCSSPRACAVELPGGEKMVIKLVGAFSDLTERMKVLSTSSSGLLFKSLKLSIPVLQGSPLTPDGRSPLSKALSIAVLLADLQMDAEVISAGILRQVMEVRGLSLHEIRNQIGSSTANLVHESLRVNNFASRVDILDDENAATLRKFCLTYYDIRALILDLVLKLDMMRHIGHLPRYQQQILSLQAMKIYAPLAYAVGTNYISLELEDLSFRYLFPYSYLYVDTWLRSQETGGISVIDVYMDKLLQSLNADPLLAELVEDISVKGRYKSRYSTMKKLLKDGRRPEDVNDVLGLRVVLNPKPGSNAIEDGERACYRAHKIIQSMWKEIPSRTKDYIARPKGNGYKSLHMAVDVSDIGRTRPLMEIQIRTTEMDRLAVGGVASHSLYKAGLTDPEEAKRLKAIMVAAAELTALRLRDFPSANHKGIGFDQRDRVFRLLDKNGDGKISIEELTEVIEELGAPGEDAHDMMLLLDSNSDGSLSSDEFHTFQKQVEMVRNLEDRDDEYKKILDEKLHMADESGLIQVFNKEFGNRLVSQ >CAK8537840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440979788:440980666:-1 gene:gene-LATHSAT_LOCUS7094 transcript:rna-LATHSAT_LOCUS7094 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPWGKSTARIHISSSSSSSSLPSSPFSCSSFKDIQTLCLDEPPQQSLPAPTPKYPIFQRVRLANSLLRAWSNHLQQQPHRLPRTRSHPEPDSEPKPEPDQEPEQEPSRNEPTHAPPLLSPPPSLPPPIYFPGTEERVVIYFTSLRVVRPIFEDCKSALAILRSFRVHLDERDVSMDSSFLTELNRLMGRTGLKLPRVFINGKYVGGGEEIRTMLEIGELKKLLEDLPVVDPTECHVCAGHRFVLCNVCNGSKKVYTDKAGFKICIVCNENGLLRCPSCFSFDLINNEKIE >CAK8536064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904154329:904154895:1 gene:gene-LATHSAT_LOCUS5465 transcript:rna-LATHSAT_LOCUS5465 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFASSPQIATFLSKSPSFHFHTRFYSLPTTSSFSPIITTSTKSILLHNKSKLLPLPVAAAAVEDAAVDATEQLVTTPVDGGSVIVSVLFFLAFIGLSAITIGVIYLAVTDFLTKREKDKFEKEEAKSGKTKTKKKKRKVIRAGPKGFGQKTVEVDDDE >CAK8562014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:425889071:425893708:1 gene:gene-LATHSAT_LOCUS15551 transcript:rna-LATHSAT_LOCUS15551 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKLIKEVGDGTFGSVWRAINKQSGEVVAIKKMKKKYYSWEECVNLSEVKSLRKMNHSNVVKLKEVIRECDILYLVFEYMECNLYQLMKKREKLFSEDEVRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKNIIKVSDFGLVREISSQPPYTEYVSTRWYRAPEVLLQSFLYSSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVIGSPTAESWADGLKLAKDINYQFPQLATTDLSLLIPSRSDDAINLIKSLCSWDPCKRPAAAEALQHPFFKSCFYIPPSLRTRAVTRTPPSAGIRGSVDRQGVKKYSGALPNSKLGNNFSSMKLHPSVAPGVQRKLDMANEDGIKNKKSMKTTTPQSKYQPPGKGSPSSLNKGRTVRCVSETADKLANMSISTRRHSLSQTRPPPMKAGVNWSSESGNILLRSSQPIPTGRTLTKKVAG >CAK8576025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385979512:385980054:-1 gene:gene-LATHSAT_LOCUS28243 transcript:rna-LATHSAT_LOCUS28243 gene_biotype:protein_coding transcript_biotype:protein_coding MTDECTTNRYRISYARVLVEIDITQDLLSEITITYERGEKMQQRVEYEWRPPYCTKCQRIGHKCEEMHLKNPTKQWIPKEKKHQDDIVAVKEVETLKTPTQKVTRQEHTISGSIVRMTNSKQTDTDEGMAWTEVRRKDKVKANGFDTGSSPKNKKPMKNDRNLYATLGDDPASVFLDDVP >CAK8540404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558213797:558214968:-1 gene:gene-LATHSAT_LOCUS9409 transcript:rna-LATHSAT_LOCUS9409 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEKVTMDLVPPSDQHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLTTRPPNSKTQTVDHTLTLQGIYSCKKGQPSSSSSSSPTTSPESLSPKPPPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPQIPHREAFSAAAKNWARFIPNSPTSSLSATKRNTE >CAK8531827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159660096:159660989:-1 gene:gene-LATHSAT_LOCUS1592 transcript:rna-LATHSAT_LOCUS1592 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTDITVKPMFFREYIGVKSYPDNLTAFPVEIINSRIPEFYFILAFATEDYDNNGRGTGIFKRTWNNDFFSPENINTLKETYKNINVVISIGGRGQDKSFNPSDKDKWSDNAIKSITKILDEYIEGCGCHYLIDGIDINYEYIFATTDIEFACCIGKVITTLRKVFLFNVMSIAPSNRNYSSYKTLYQRFEDYIDLVDYQFYNQTVLDKDEFVNLYLQLTKDYDASKLLAGFSTDPYDSGKISQEVFIEGCTDLINRSLLSGVSIWNGNDSAVPKSNDDTPFLFEKKMQNLLTEYY >CAK8542201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:488064997:488070893:1 gene:gene-LATHSAT_LOCUS11060 transcript:rna-LATHSAT_LOCUS11060-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRRGGCRRRIVATKSDTTNGDKNPNLNTNSVIKKLQSREISSKPHRAFVAATAPHRFQNMRLTHQFDTHDAKHRSSPKPFLPFLMKRTKVVEIVAAKNVVFALAHSGLCAAFSRETNERICFMNVSPDEVIRSLFYNKNNESLITVSVYASENFSSLKCRSTRIEYIRRAEPDAGFPLFQSESLKWPGFVEFDDVNAKVLTYSAQDSIYKIFDLKNYTLLYSISDRNVQEIKISPGIMLLILTRASGHIPLKIISIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRSSEVMEVNKTQFMTPSAFIFLYENQLFLTFRNRTVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSEDQWMEPNAGSINVSHILTGKCVAKINAANCSMKVDDCNNTCSCRHIDSSQITSSVAEALEDITALFYDEDRNEIYTGNRHGLVHVWSN >CAK8542200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:488064985:488070893:1 gene:gene-LATHSAT_LOCUS11060 transcript:rna-LATHSAT_LOCUS11060 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRMDERRRGGCRRRIVATKSDTTNGDKNPNLNTNSVIKKLQSREISSKPHRAFVAATAPHRFQNMRLTHQFDTHDAKHRSSPKPFLPFLMKRTKVVEIVAAKNVVFALAHSGLCAAFSRETNERICFMNVSPDEVIRSLFYNKNNESLITVSVYASENFSSLKCRSTRIEYIRRAEPDAGFPLFQSESLKWPGFVEFDDVNAKVLTYSAQDSIYKIFDLKNYTLLYSISDRNVQEIKISPGIMLLILTRASGHIPLKIISIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRSSEVMEVNKTQFMTPSAFIFLYENQLFLTFRNRTVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSEDQWMEPNAGSINVSHILTGKCVAKINAANCSMKVDDCNNTCSCRHIDSSQITSSVAEALEDITALFYDEDRNEIYTGNRHGLVHVWSN >CAK8541381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:146160473:146162440:1 gene:gene-LATHSAT_LOCUS10306 transcript:rna-LATHSAT_LOCUS10306 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTLLDKPRKSLPKSFWLILSLAAIISSSALIASHLNKPISFFHLSSAPNLCDHAVDTESCLTHVSEVVQGQTLANKKDQIFSTLLSLLTKSTTNIQKAIDMVDAIKRRINGPREETALKDCEQLMELSIDRVWDSMLTLTKNNIDSQQDAHTWLSSVLTNHATCLDGLEGTSRAVMESDLQNLISRARSSLAVFLAVFPQKGHDQFVDETLNGEFPSWITSKDRRLLESSVGDIKANVVVAADGSGKFKTVAEAVASAPDNGKTTYVIYVKKGTYKENIEIGAKKTNVMLVGDGMDATIITGNLNFIDGTTTFKSATVAAVGDSFIAQDIWFQNSAGAAKHQAVALRVGSDKSVINRCRIDAFQDTLYAHSNRQFYRDSVITGTIDFIFGNAAVVFQKCKLVARKPMSNQNNMFTAQGREDPGQNTGTSIQQCDLTPSADLKPVVGSIKTFLGRPWKKFSRTVVLQSFLDSHIDPTGWAEWDAASKDFLQTLYYGEYMNNGPGAGTSKRVNWPGYHVITSAAEASKFTVAQLIQGNVWLKNTGVAFTEGL >CAK8576781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:513102538:513104367:-1 gene:gene-LATHSAT_LOCUS28949 transcript:rna-LATHSAT_LOCUS28949 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVPLRSAILSLSNKHQNLKQWKQIQSIIITSGLYSFQDAIFLTKLIQCAPFTITQTSSLRFLLNTIHIPNTRLFNKVITSFSISSHPETTLLCYAKMREKGVEPDKHTFPLLLKMFSKSVIVQGPFMVYAQIFKLGFDLDRFVGNALISAFGYSGFMKSACRVFDERPEKDIIAWTALINGFVKNGLPGEALKCFVEMRLNGAVIDGVTVASILRAAAMIGDDYFGKCVHGFYVAAGRVSLDGSVYCALVDMYLKCGRCEDACKVFDEMPYRDVIAWTVLVAGFVQCNKYQDALSLFQSMLLDNVMPNEFTLTSVLSACAHAGALDQGRLVHRYIDCNKVKLNVVLGTALVDMYAKCGCIDKALRVFENLQVKNVQTWTAMINGLAVHGDALGALNVFSRMLEGGIQPNEVTFLGVLGACSHGGFVEEGKRLFELMMHTYHLKPNMEHYGCMVDLLGRAGYLEEAKQIIDTMPMKPSPGVLVALLGACVSHKDFLMGKHIGNVLVNLQPNDSSGYALLTNLYSMCHNWKAAAQIRKLMKGMQVEKTPGYSWIEVAGSVHEFKAFDHSHSELSDVHFMLENLILQLKPVDLDPWNGDSDLAFNSDVG >CAK8563841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634275764:634277272:-1 gene:gene-LATHSAT_LOCUS17210 transcript:rna-LATHSAT_LOCUS17210 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRPNNNNNNNLMSSEDDEMDLRRGPWTVDEDLTLMNYIATHGEGRWNTLANSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHTRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKLAKQLKCDINSKKFKDTMRYLWMPRLVERIQAASATASTTTITAVNNTKSNYTNYNNEIKVTMGSTPTISINNELVSSQPHITQSYTLENSNTTTSSDSFENYKVSTILDFGDNNNNNNNNNYYYYYTNVDNKDNPNSNLDYSQLSHQLSFSDCIKNPSELFSQELTDFQFMEPNTPWIQNEDSSDIFWNDETMLFLEQQLMNDTM >CAK8544657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697878923:697882570:-1 gene:gene-LATHSAT_LOCUS13315 transcript:rna-LATHSAT_LOCUS13315 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKIVNVLGSHDENKKKMRGKVVLMKKNVLDFNDFSDSIIDNIHELLGKKVSLQLVSEVKLDHGNGMRGKLGKPAYLEDWITTNTSLTAGESTFKVTFDSDDVDDIGTPGAFLIKNNHHSEFYLKSLTLENVPGQGVIHFICNSWVYPAQKYQKDRVFFANKTYLLSETPEGLVKYREEELETLRGNGSNVQLEEWDRVYDYAYYNDLADPDKGSEYVRPVLGGSSEYPYPRRGRTSRPPTKSDANSESRLKLAMSLDIYVPRDERFGHIKLADFLAYALKAIAQVIQPELESLFDKTPNEFDTFEDVLKLYEGGIKVPEGVLKGIRDKIPAEMLKEILRTDGEGLLKYPVPQVIKEDKSAWRTDEEFAREMLAGVNPVIIRCLQEFPPTSNLVPKIYGDQSSTIKKEHIESKLDGLTIDEAIAQKKLFILDHHDALMPYLRRINSTSTKTYASRTILFLQNNGTLKPLVIELSLPHPDGDQQGAISEVYVPAENGVESSIWQLAKAYVAVNDSGYHQLISHWLHTHAAIEPFVISANRQLSVLHPIHKLLHPHFRDTMNINAIARQILINAGGILEATVFPAKYSMEMSSALYKDWTFPEQALPVDLIKRGMAVEDSSSPHGLRLLIKDYPYAVDGLEIWFAINTWVHDYCSFYYKTDETVKNDVELQSWWKELVEKGHGDKKDEPWWPKMQTVKELIDTCTIIIWIASALHAAVNFGQYPYAGYLPDRPTISRKFMPVKGTEDYEELVANPDKAFLKTITAQLQTLVGISLIEILSRHSSDEVYLGERDGENWTNDAEALEAFEKFGKKLKEIEERIVAMNGDVSLKNRVGQVKVPYTLLYPTGENGLSGKGIPNSVSI >CAK8574519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1505753:1507229:1 gene:gene-LATHSAT_LOCUS26859 transcript:rna-LATHSAT_LOCUS26859 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYILEVCYLKVMMTLLTNSSKNFQLSAFHIFKILVANPNKPRDVRNILGKNQEKLLSLLHNLSPGKVGDSSEYQNTISELVNFLDSLLDAALSDPDNEHKENSAFEALPEIYQYIYSPSLDQTLNIRSTYHERSLRARAM >CAK8576750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510666720:510669791:1 gene:gene-LATHSAT_LOCUS28919 transcript:rna-LATHSAT_LOCUS28919-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKVVETVIVGNYEEMENEGKAQDIKSKLSNFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVNFRSHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLTIAAILHGQMEGVKHSGPNKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLMATLYVLTLTLPSAVAVYWAFGDMLLNHSNAFALLPKSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAYIFTFKSSSARQNAVEQPPKFVGRWVGTFVINVFIVVWVLIVGFGFGGWASMVNFIHQIDTFGLFTKCYQCLPPPSSLPTMPPPHQVNATAPSPHHHHHH >CAK8576749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510666714:510669791:1 gene:gene-LATHSAT_LOCUS28919 transcript:rna-LATHSAT_LOCUS28919 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASDKVVETVIVGNYEEMENEGKAQDIKSKLSNFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVNFRSHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLTIAAILHGQMEGVKHSGPNKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLMATLYVLTLTLPSAVAVYWAFGDMLLNHSNAFALLPKSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAYIFTFKSSSARQNAVEQPPKFVGRWVGTFVINVFIVVWVLIVGFGFGGWASMVNFIHQIDTFGLFTKCYQCLPPPSSLPTMPPPHQVNATAPSPHHHHHH >CAK8575984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380088991:380091971:1 gene:gene-LATHSAT_LOCUS28205 transcript:rna-LATHSAT_LOCUS28205 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQNKGFWTVKGSGHVSDREPVFDNPSKTEPKRPHQWLIDATEGDFLPNKKQAIEDANERSSSGFSNVNFTPWENNHNFSSVPNQFIDRLFGSETRPVNFSEKNTYVSADDSNMRSKMISNHYGDDASFGLSISHSAEDSEPCMTFGGIKKVKVNQVKDFDIVQAPEGHDFDRQSKDDLHQAYNGEIEMRSGSTGQAFDKDGNVALLGLTYSRGDAHIRSFGTPFGKVDNTVLSIGESYNKEDKNIISFGGFLDERGAISMGRATTDYEQLYNQSSVHVSATVHEKELDASNSGVAESTPSVATIKPESVTKNKQDIKRKESPNTFPTNVRSLISTGMLDGVPVKYVSVAREELRGIIKGSTYLCGCQSCNYSKGLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRNSPESSLFDTIQTIFGAPINQKAFRIWKESFQAATRELQRIYGNERRNL >CAK8539391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511190648:511191918:1 gene:gene-LATHSAT_LOCUS8491 transcript:rna-LATHSAT_LOCUS8491 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPVEQTGESPTTELQRSIPTPFLTKTYQLVDDPSVDDLISWNEDGTSFIVWLPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDGFRRGEKNLLRDIQRRKILSAAGTPIVTTVAAATNVVTVAMAAPVRMVSPTTSGDEQVVSSNSSPIVAHNSATVHRSTSCTTSPELFEENERLKKENMQLSNELSQLKSLCNSILSMMSNYASGFSRQLESSTSAATVRAALVTEGGKALDLLPTRNVSLAEEAVAANVGGGVAGGGGASGEMANMAGNAGGGGAWCETANLAEPEHGNGAQVPKLFGVSIGLKRCRRECEVEPEREEREQHQMPMQMQTQSSQEHDRESDVKSEPHDGNDDSADQEHRWDLMK >CAK8564216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:658486440:658492405:1 gene:gene-LATHSAT_LOCUS17539 transcript:rna-LATHSAT_LOCUS17539 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLLYALCFAIVIAIVHFKFNLTPPLFGSILVGFWIIKMKFHRRFRIGITCFKNPKPNSKAEIDVDAKPLEVPPHLVIMVNGIVGSSADWRFAAEQFLEKLPDKVIVHRSECNSSKLTFDGVDTMGERLAEEVLSVASRWPGLQKISFVAHSLGGLVARYAIARLFEYSSTLESEVTICNCKEETECTKNCIEQNYEARIAGLKPMNFITFATPHLGSRGHKQLPFLCGLAFLERRASQTAHLIVGRTGKHLFLMDNDDGKPPLLLRMVENSDDLKFMSALRAFKRRVAYANANFDHMVGWRTSSIRRQHELPTFDLQLIDEKYPHIVQAKVGTVDDVSAKVSTIARDQTIDTEEEMIRGLTQVPWERVDVSFQKSKQRYVAHSTIQVKIYWLHSDGADVIFHMIDNFLI >CAK8579498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704134134:704138340:-1 gene:gene-LATHSAT_LOCUS31442 transcript:rna-LATHSAT_LOCUS31442 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDDSEEQLERVDSDQLTLTSSSSGISSVFSTDDFRNFNGSGDISSISSGSGEIPIFTVNRVILAPSLIAEKNEGDSNLNKADVTAVREKCVGRNNKGVSWGYTSVIGRRREMEDAVAVIPGFLSRTCNHVGGCTAPGSRSSGEIGPVHFFGVYDGHGGSQVAKFCATRMHDIIAEEWDREIAGGAEWQKRWEAVFANGFERTDSEIESEAVAPEMVGSTASVVVLSGCQIITSNCGDSRVVLCRRTQTLPLTVDQKPDREDELLRIEGEGGKVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEITFTARTEEDECLILASDGLWDVMTNDEVGEVARHLLRRRRRSLSMEEISPTQVVADRLTEIAISRNSKDNISIIVIDLKSNRKRLQRRTSISQELG >CAK8539149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505424420:505424650:-1 gene:gene-LATHSAT_LOCUS8270 transcript:rna-LATHSAT_LOCUS8270 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSGPHLNLATKLQRRASIQEKQVHRKLQGDMIEYIWERFGHEDEEI >CAK8565118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:41678706:41678993:-1 gene:gene-LATHSAT_LOCUS18355 transcript:rna-LATHSAT_LOCUS18355 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKNFFQRKYPNETEDEIMVRILDHTKNQLFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPTTKDFWDAMISSMKDIKKAKN >CAK8579236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686729572:686731377:-1 gene:gene-LATHSAT_LOCUS31199 transcript:rna-LATHSAT_LOCUS31199 gene_biotype:protein_coding transcript_biotype:protein_coding MATKESSSSASASASADTKIKRVLTHGGKYAHYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSDTHEQVAIKKIGNAFDNIVDAKRTLREIKLLRHMDHQNIIAIKDIIRPPKKEAFNDVYIVYDLMDTNLHHIIHSDQPLCEEHCQYFLYQLLRGLKYVHSVNVLHRDLKPSNLLVNTNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCIFGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYFRQFQQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNTNEEPVCPRPFTFDFDQPTCTEDHIKELIWKESVKFNPDPPCQ >CAK8567879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553794253:553795653:1 gene:gene-LATHSAT_LOCUS20894 transcript:rna-LATHSAT_LOCUS20894 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRRLLLQLRIERREDRFGDLPNPLLEHIVSFLPTKDAIATSVLSKRWKPIWHSQINIYLDDRRFPDTFSFNQFLDSFITMRDNTLPILSLHLKSCRHRLHCNHDFVYAVITRGIETLIIDLLQPTTLPSIVLSTKTLSVFKLKMIKLNEDFQSVDLPSLKVLHLEYVTFKFILYLHKILSGCPILQELKCKDLRMEMPTMMPPLGIAIPNLVRASVSRKTFIGLEWLHNVEHLHMYVARMPPTIRGVFHNLTHLELIFGFEDPLDASYKWTWLKNLLQNTPNLQTLIIHDVYMVYGYAQCLSIKEWNDPEIVPECLLSHLTTCSLRNYRLINSEVRFAKYIMQNSRLLNTITIQTAKFLDRNTKLQVLLELSSCPRISSTSKLLFI >CAK8533198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590058056:590062807:-1 gene:gene-LATHSAT_LOCUS2843 transcript:rna-LATHSAT_LOCUS2843 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSGKEKALNLDIKDKLYLQYTSKAPPSPKQPFFIGVAGGTASGKTTVCNLINSQLHDQRIVLINQDSFYHSLSDDILQNVNDYNFDHPDAFDIELMLSSMEKLKHGQTASIPNYNISTRKRIEPPRQVHPADIIVLEGILVLHDSRVRDLLNMKIFVDEDSDIRLARRIQRLTIEHGRNIQNVLDQYCRFVKPSFEDFVLPTKKYSDIIIPGGGDNDVAIDLIIQNIRTKLGQHDLCKIYPNIFVIFSTFQIKGMHTLIRDVGTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVTTPTGSVYSGVIFCSRLCGVSVIRSGESMENALRACCKGIKIGKILILGQGTDGRQLIYEKLPSDIASRHVLLLDPVLATGSSAVKAISLLLKKGVLESNIIFLNLIAAPQGINAVCERFPLMKLVTSEIDATLSESSRVIPGLGEFGDRYFATDD >CAK8539321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509382705:509384148:1 gene:gene-LATHSAT_LOCUS8430 transcript:rna-LATHSAT_LOCUS8430 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIESKDSSLILIKQGAEARVFESSFVGRRSVVKERFSKKYRHPTLDSKLTLKRLNAEARCTTKARRLGVCTPVLYAVDPVSHTLTFEFVDGPSVKDVFLEFGSSGVNEERLGKIASQIGDVIAKLHDGGLVHGDLTTSNMLLKNDTDQLVLIDFGLSFTSTLPEDKAVDLYVLERALVSMHSSCGNIIDRILAAYRKSSKQWSSTMNKLADVRQRGRKRTMVG >CAK8537071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:76804043:76804738:-1 gene:gene-LATHSAT_LOCUS6387 transcript:rna-LATHSAT_LOCUS6387 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNITLEEMLKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKTARFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTEMRLRKFRDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVIDTNCDPDLADLPIPANDDTMASIGFILNKLVFAICEGRSS >CAK8544174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670430781:670432453:1 gene:gene-LATHSAT_LOCUS12875 transcript:rna-LATHSAT_LOCUS12875 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWSDDNDDDSQRNISSGSDEHSSTRKIVKSQCRTEEVEPGKFVRKCEKTEELLRTCAGRPAEVLQSNKEYTEEDITNEVLRGRSTTFDSSNSSPDHGMFFPGLRNDIENMERNFFFGGINRLFEAAEEMKNGLFDVIAKAESSSSSPTRRGIPIEEYGRQETRPRSMDMESGDTDFTGLAKDV >CAK8564999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:22490898:22494984:1 gene:gene-LATHSAT_LOCUS18241 transcript:rna-LATHSAT_LOCUS18241 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSSDDDDVPEPTEEQRNEKEEPEPQRHSPQPQPQPQPRPQSLTKSGSSSNQQLKDRPHSSSPTVEKLPDASLLLSSPAISSNLMNASDHSSRVAAALAENALRKRDFNGKTSSAVRSKVPRGNPPHSKNIPETAGGLLVPPQLSGRKNVVTEDISKLFVRKHQEHPSA >CAK8535606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:866868173:866875954:1 gene:gene-LATHSAT_LOCUS5049 transcript:rna-LATHSAT_LOCUS5049 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGSSGSGQPQFISSTGNRSLSNAPLIDTTNTDQIIVPDRTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYRYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRAEYSRVSNFILWFIAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLMLLALQQYGVRKLEFLIALLVFTIAACFWAELGYAKPDAKEVVKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESAFALMVAFLINVSVISVSGAVCNSSNLNEEDQMNCRDLDLNKASFLLRNVLGKWSSKLFGVALLASGQSSTITGTYAGQYVMQGFLDLRLTPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSQTKMGAHVNSTMISAITWIIGSLIMIINIYYLITGFIKLLLHSDIEIVAKVFLGILGFSGMAVYLAGITYLVLRKNKEGTHLLALTAPENQQMTNEQVNGSSLPREDIVNMQLPQRSSPEELD >CAK8565139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44915105:44915547:1 gene:gene-LATHSAT_LOCUS18375 transcript:rna-LATHSAT_LOCUS18375 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKAGFPLPPVAVDWKKFRSPAATSWMIGFVGRLEHWQQLTPILPTHFEL >CAK8563878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636721059:636725835:1 gene:gene-LATHSAT_LOCUS17241 transcript:rna-LATHSAT_LOCUS17241 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKASSDGDIASTEITGSTSHNPPIPADSEYVQEVAADTCKEPKKNKVKDQRIKTVPFYKLFSFADSWDYFLMIVGTISAVGNGVSMPLMTIIIGDTIDAFGGNVNTKQLVHQVSKVSLKFAIMGACTFFAVFLQVACWMITGERQAARIRALYLKAILRQDISFFDKETNNGEIVGRMSGDTVLIQEAMGEKVGKFIQYVSGFLGSLVVAFIKGWLLSLVLLSSLPFLVLSGSMMSFAFAKMASRGQTAYSEAATVVEKILGSIRTVASFTGEKQAIAQYNQSLTKAYKIGVQEGLAIGLGLGSVRLFVYCTYALAVWFGGKMVLEKGYTGGEVVSIFFAVLTGSLSLGQAIPCLSAFAAGQAASFKMFETIKRKPEIDAYDTTGRKLDDIRGDIELREVWFSYPTRPDELIFNAFSISIPSGTTAALVGQSGSGKSTVISLIERFYDPQGGEILIDGINLRQFQLKWIRQKIGLVSQEPVLFSCSIKENIAYGKDAATDEEIRAAAELANATNFIDKFPRRLETMVGEHGAQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQETLDKIMINRTTIIVAHRLSTISNADIIAVIHQGKVVEKGTHAELTKDPDGTYSQLIRLQEIKRDSSEQCGANDSDSSRNSFVVSNSMPTTQVKGSEKVLSEVASHENKTPDITFLRLAYLNKPEIPMLLIGTLAAALTGAVLPILGLLVSKMINTFFEPADELRKDSKFWALIFVSLSVASFAFHPLRSYFFAVAGSKLIKRIRLMCFEKIVHMEVGWFDKAENSSGALGARLSTDAALIRTLVGDAFGLLVQDISTVITALVISFEANWQLSLIILVLLPLLLVNGHLQIKSMQGFSTDAKKLYEEASQIANNAAGNIRTVSAFCAEEKVMELYQKKCIVPIQTGKRQGVVSGIGLGLAIFFLFSVYACSFYAGAQLVENGKTSISEVFQVFYSLTLAAAAISQSAFLAPGASKAKGSAASIFAILDQKSEIDPSDESGMTLKDVKGEIEFHHVTFKYPTRPDVHVFKNLSLTIHSGQTVALVGESGSGKSTLISLLQRFYDPDSGLIKLDGTDIQKLQLKWFRQQMGLVSQEPVLFNDTIRANIAYGKGGNASEAEIITASELANAHKFISSLQKGYDTIVGERGIQLSGGQKQRVAIARAIVNSPRILLLDEATSALDAESEKMVQDALDRVRMDRTTIVVAHRLSTIKGANSIAVVKNGVIEEKGKHDALINKGGAYASLVALHTNVNASSL >CAK8540908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31061998:31065251:1 gene:gene-LATHSAT_LOCUS9866 transcript:rna-LATHSAT_LOCUS9866 gene_biotype:protein_coding transcript_biotype:protein_coding MPAETMDSKLWKSDELSEGNVNIVSSRDEITLFSSSLSDLFSRKLQLSANNALRAHSVDAIASRYEEERLCDSLEELETRIIVSLLPDEDDLLSGVTDDLVIPDRTRDEVDELDLFNSGGGFELEDDGNSSSREKKFEIIGGDSNNNPVVGENCSGEHVSRRLFVKNIDRDVENSVLKPLFEQFGDIHSFDRACKHQGNAMITYYDVRDAQNAMRALQNRRFGCRKFDIHYSIPKIHPEFERKECNLCLHQNCPPLNPTTGFQGREGLHHGISPSVPKTSSSLIIHKSVGNQCEISESSSSGQLNFDPQPEIAFQPPSFSEHHRNGVRHCPLEVAANVNLETQERIDNMQFFQVNSNRPFMDFEACVSKSSGNGCSSFPLPGHHENWSNSYPPQTMLQNSPSFFNGACAAPTLQRLNQIPILPSHMLTTVLPTNNHLVQSPPLWHRRYSYPAESITPHRVDFVPQNMLPHFALNFHNQRGMVFPERNHMINSVDTTYKRVRSRRNVGTSNSADLKRFELDIDCIKRGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFLYLPIDFRNRCNVGYAFINMTDPSFIVPFYKVFNEKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILINTDGPNAGDQVPFPIGINVGNKNGRDRSNTHEDNTPNLGNLQPSSDKDSISKESD >CAK8567892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555202957:555205119:1 gene:gene-LATHSAT_LOCUS20907 transcript:rna-LATHSAT_LOCUS20907 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAPRQLSQKEADIQMMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAVENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKEQEEDEVPVPEYAIADFNAAVPSDGQWPAAIDQSWPDAAPQPIPAVPAVNWTAPEAVAGEWGEAVPPPQQIPTPGIESVAATGWE >CAK8567893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555202957:555205119:1 gene:gene-LATHSAT_LOCUS20907 transcript:rna-LATHSAT_LOCUS20907-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAPRQLSQKEADIQMMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAVENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKEQEEDEVPVPEYAIADFNAAVPSDGQWPAAIDQSWPDAAPQPIPAVPAVNWTAPEAGEWGEAVPPPQQIPTPGIESVAATGWE >CAK8543493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611527756:611531800:1 gene:gene-LATHSAT_LOCUS12248 transcript:rna-LATHSAT_LOCUS12248 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRTRRSAHQEMELISKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANSVNFSEEKLCKWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCVYEMAAHRPAFKAFDIQALIHKINKSVVAPLPTVYSSAFRGLVKSMLRKNPELRPTAGELLNHPHLQPYILKVHQKLNSPRRSTFPFQWSESNYARRTRFVEPESVSTLSDQDRYLSLNNHRALNPSISGTEQSSQYSTQRAQGLSNCSEEKLYELSARGVRDYCNTNKTKVIKSSTGERTPRLRVAKDSAGTRRQTMAPPKISATGSKRESLPVPRAPSGKSTIPTRRTSLPLPTRGRNTTNLYTNASYVNSPDVSVDAPQIDKIAEFPMASYEDPFFHVIRRPSSPSAKRFSTSAGSADCTITKDKCTILVDKVTVPTSITNECSNNDTTGVSSHSSPESRQHRFDTSSYQQRAEALEGLLEFSARLLQQHRFDELGVLLKPFGIEKVSPRETAIWLAKSFKQNSDLRFSNTLA >CAK8543252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:592849974:592854243:-1 gene:gene-LATHSAT_LOCUS12022 transcript:rna-LATHSAT_LOCUS12022 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKISVNDGNLVVHGKTILKGVPENVVLTPGSGNGLVTGGAFVGATASNSKSLHVFPIGILEGLRFVCCFRFKLWWMTQRMGTCGRDIPLETQFMLIESKDSEVEEENSPVIYTVLLPLLEGPFRSVLQGNEKSEIEICFESGDHAVETNQGLHMVYMHAGTNPFEVINQAVKAVEKHMQTFHHREKKRLPSFLDMFGWCTWDAFYTDVTAEGVEQGLKSLSEGGTPPRFLIIDDGWQQIESEAKDPGCVVQEGAQFATMLTGIKENAKFQKNKNGEHSEPTSGLKHLVDGVKKHHNVKNVYVWHALAGYWGGVKPAATGMEHYDTALAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYHHALEASVARNFSDNGCIACMCHNTDGLYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNSLFLGEFMQPDWDMFHSLHPAAEYHAAARAIGGCPIYVSDKPGNHNFDLLKKLVLSDGSVLRAQLPGRPTRDSLFVDPARDRTSLLKIWNMNKCTGVVGVFNCQGAGWCKVEKKTRIHDISPDTLTTSVCASDVDLINQVAGAEWHGETIVYAYRSGEVIRLPKGVSIPVTLKVLEFELFHFSPIHEIACGISFAAVGLMDMFNTGGAVDEVEIHKETDNKQELFDREAVSSKLVTSLGPNRTTTATISLKVRGSGKFGVYSSQHPIKCMVDGTSTDFNYNSETGLTTFFIPVPQEELYMYKWLIEIQV >CAK8537865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442787042:442788681:-1 gene:gene-LATHSAT_LOCUS7118 transcript:rna-LATHSAT_LOCUS7118 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVIFPFALFLFSFFLFKKHKTSSEKPTLPPGPKGLPFIGNLHQLDSSSLGVNFYELSKKYGSLIFLKLGSRPTLVVSSAKMAKQVMKTHDIEFCNRPSLISHMKLSYNGLDQVFAPYREYWRHTKKLSFIQFLSVKRVTMYSSVRKYEVSQMMKKITEQYVPSNKPVNLHDLLTCLTSAIVCRTAFGRRFEDEGTERSMFHDLLKEAQEMTISFFYTDYLPFLGGFVDKFTGMMGRLEKIFEILDGFFQSIVDEHLDPERKKLQPHEEDVIDALIDLKNDPYCSMDLKSEHIKPLIMNMVLAGTDTIASAVVWAMTALMKDPRVMKKVQEEIRKVFGGKGFIEEEDVQKLPYLKAVIKETMRLYPSLPILLPRETMKECEIEGYRIPNKTLVFVNAWAIHRDPEAWKNPEEFYPERFIGSDIDFKGQDFELIPFGSGRRVCPGLNMAVATVDLLLANLLYLFDWEMPEGLKREDIDFDGLPGLVQHKKHPLCLVAKTRIACV >CAK8576183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:419862314:419863856:1 gene:gene-LATHSAT_LOCUS28390 transcript:rna-LATHSAT_LOCUS28390 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDSKLKDHIEKHGTGGNWISLPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDEEDRIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMALHLPRKPSFPPSSSFFEYFTQTPTTSFTDLQTISLPSNNYYPNTSFNPFYQNQDSMNPHIMQYNNNYPIIKDNNNNNMFMFGSEGSCSSSDGSCKEIKQEEIGYHHHQMSSATRFDEFSNNSNNFMINCNNNESVVVGGGENMNQYEEKSNGVGYSYNNISQSQTLTPLLDYGLEDIKHLISANNKGFNVDEIHKNEENGVYYYHY >CAK8532171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214699620:214701182:1 gene:gene-LATHSAT_LOCUS1902 transcript:rna-LATHSAT_LOCUS1902 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSQMWSLLGLLTVLQNVLPSQLLSVLHSVYESLQDLLSPYSYFEIPEFNGYCGVELNDLYRHVHLYLNAVNHSTASACRRLTLSRSPSSNRISFAVSPNHTVHDTFNNHRVSWTHHVDAVQDSLEEKRSFTLRFPKRHRHALLSSYLSHITSRAEDFERVSRERRLFTNNNGTGSFESGWVSVPFRHPSTFETLALEPDLKKQIKDDLTAFASGKEFYHRVGRAWKRGYLLHGPPGSGKSSLIAAMANFLCYDVYDLELTKVSDNSELRSLLIQTTNRSIIVIEDIDCSVDLTADRTAKKNSAKSKKHKTTSFSGSGSGCDDRSRVTLSGLLNFTDGLWSCCGEERLVVFTTNYRDSVDPALVRCGRMDVHVSLSTCGVHAFRELARNYLGVESHAMFEAVEGCIRSGGSLTPAHVGEILLRNRKDADVAMREVLSVMQGRMVVVAAVAGGDQTDNEEMGVVGVRSPESVLMMGSPENWDGLSGKKRKEQQHGSSNLDKKVKFFVRLRSLTKSDSGR >CAK8574656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5767285:5771056:1 gene:gene-LATHSAT_LOCUS26981 transcript:rna-LATHSAT_LOCUS26981 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLISSQIKTLTSSSSPLRSPSPAVAVSLLSRHSSTQSDDSTAVKKKVEDIVPIATGHEREELQAQIEGRDILEIDHPEGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKDKPHECPVCAQYFVLEVVGPGGSPYGHGDDHHH >CAK8533564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637022484:637024894:1 gene:gene-LATHSAT_LOCUS3184 transcript:rna-LATHSAT_LOCUS3184 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLLKPTSFYKPSTPFSSFHLTTRGSSFSFNPISSFPQKSNLSLKVQAAAIGSVPIKKRIDGSENLTLDHIRHSLIRQEDSIIFSLLERAQYCYNKETYDPDAFSMDGFHGSLVEYMVKETEKLHAMVGRYKSPDEHPFFPDGLPEPLLPPLQYPQVLHPIAESININGNVWSVYFRNLIPQLVKEGDDGNYGSSAVCDVMCLQALSKRIHYGKFVAEAKFQAASDSYKAAIIAQDKEKLMEMLTYPEVEEAIKRRVEMKAKTYGQEVIINMEEQKSEPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRKLD >CAK8563834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633656389:633656901:1 gene:gene-LATHSAT_LOCUS17203 transcript:rna-LATHSAT_LOCUS17203 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDLKPENFLFANKKETATLKAIDFGLPVFFKPGERFNEIVESPYYMAPEVLKRNYGPEVDIWSAGVILYILLWCASILGRN >CAK8534262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711217755:711221994:1 gene:gene-LATHSAT_LOCUS3821 transcript:rna-LATHSAT_LOCUS3821-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHLKLSHPNRIYRPSELLEGKIIVETHSSISHYGIRITIKGSVNLQVRGGSAGVVESFYGVIKPISIVKRTVEVKPSGKIGSGMTEIPFSLNLRQEENLERFYETFHGADISIQYLATVDISRGYLHKSLSATTEFIIESDKVSGDLLQRPISPETVIFYITQDTQRHPLLPELKSGGFRVTGKICTQCSLSSPINGELTVEASAVPIQSIDIQLFRVESILVGEKIVTETSLIQTTQIADGNVCRNLTMPIYVILPRLLTCPTIFAGPFSIEFKVAIVISFQSELSKLHKKSDSRTPRLWLAMETLPLELVRTM >CAK8534261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711217698:711221994:1 gene:gene-LATHSAT_LOCUS3821 transcript:rna-LATHSAT_LOCUS3821 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSFHAIDNRQSLKLSVAMSVHLKLSHPNRIYRPSELLEGKIIVETHSSISHYGIRITIKGSVNLQVRGGSAGVVESFYGVIKPISIVKRTVEVKPSGKIGSGMTEIPFSLNLRQEENLERFYETFHGADISIQYLATVDISRGYLHKSLSATTEFIIESDKVSGDLLQRPISPETVIFYITQDTQRHPLLPELKSGGFRVTGKICTQCSLSSPINGELTVEASAVPIQSIDIQLFRVESILVGEKIVTETSLIQTTQIADGNVCRNLTMPIYVILPRLLTCPTIFAGPFSIEFKVAIVISFQSELSKLHKKSDSRTPRLWLAMETLPLELVRTM >CAK8534263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711217755:711221994:1 gene:gene-LATHSAT_LOCUS3821 transcript:rna-LATHSAT_LOCUS3821-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHLKLSHPNRIYRPSELLEGKIIVETHSSISHYGIRITIKGSVNLQVRGGSAGVVESFYGVIKPISIVKRTVEVKPSGKIGSGMTEIPFSLNLRQEENLERFYETFHGADISIQYLATVDISRGYLHKSLSATTEFIIESDKGDLLQRPISPETVIFYITQDTQRHPLLPELKSGGFRVTGKICTQCSLSSPINGELTVEASAVPIQSIDIQLFRVESILVGEKIVTETSLIQTTQIADGNVCRNLTMPIYVILPRLLTCPTIFAGPFSIEFKVAIVISFQSELSKLHKKSDSRTPRLWLAMETLPLELVRTM >CAK8535949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893499672:893500238:-1 gene:gene-LATHSAT_LOCUS5363 transcript:rna-LATHSAT_LOCUS5363 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQTPNHESGSDSNNQKRLYNPYKDLEVPIRNLYQLPTSPEHLFVEEAARKHRSWGETLTFLTGCGYLGGSVAGAGVGLVEGVKSFESGDTMKLRVNRILNSSGHTGRTWGNRLGVIGLLYAGIESGITAARDTDDVLNSIAAGLGTGVLYRAARGVRSAAVAGAVGGVVVGVAVTAKQALKRYVPI >CAK8544442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684614970:684615851:1 gene:gene-LATHSAT_LOCUS13120 transcript:rna-LATHSAT_LOCUS13120-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRLEGKVAIVTGGASGIGEDAVKTFVENGAFVVIADINDELGHQLAASIGLDKVSYHHCDVRDEKQVEQTVSFTLEKYGTLDIMFSNAGIVGPMSSILEFDLNEFDNTMAVNIRGVAATIKHAARVMVDRKIRGSIICTASVAATIAGGGGHDYVTSKHGLIGLVRSTCSELGAYGIRVNSISPYLVATPLVCRTLGKEQGELEANGNSIANLKGVALKGKHIAEAALFLASDESAYISGHNLVVDGGYSVIKTSFPSIIKN >CAK8544443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684614970:684615851:1 gene:gene-LATHSAT_LOCUS13120 transcript:rna-LATHSAT_LOCUS13120 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRYGAIFYIWLSIFQNPQFYFVIVLINLHRLEGKVAIVTGGASGIGEDAVKTFVENGAFVVIADINDELGHQLAASIGLDKVSYHHCDVRDEKQVEQTVSFTLEKYGTLDIMFSNAGIVGPMSSILEFDLNEFDNTMAVNIRGVAATIKHAARVMVDRKIRGSIICTASVAATIAGGGGHDYVTSKHGLIGLVRSTCSELGAYGIRVNSISPYLVATPLVCRTLGKEQGELEANGNSIANLKGVALKGKHIAEAALFLASDESAYISGHNLVVDGGYSVIKTSFPSIIKN >CAK8576825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517122195:517134979:-1 gene:gene-LATHSAT_LOCUS28989 transcript:rna-LATHSAT_LOCUS28989-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSIQCADRRWALMLQPSKYLFRPKFSDHLCFKSLSPKTIVREYSSRGIIKRDLLGLDSRSHLSSRYRSTQIQAFSSEGDGSSASENKQVHVNGGGDLDKGKNQLGKSGGDVKRSYTHAQLGEQDQEEWLHNEKLAVESKRRESPFLTRRDKFKNEFLRRITPWENINVSWDTFPYHINERTKNLLTECAASRLRHNKLASSFGTRLASSSGRILLQSIPGTELYLERLVRALAQDLQVPLLVFDSSILAPYDIIDDDQSSDHESNDDNAESGDEGGLESDNEDDNDASNEEEWTSSAEAKSDLSDNEDALASAEAALKKVKAAVQKLIPYNVDEFEKIVTGDERPESSNSDDAKSTDKSGSQLRKGDRVKYIGPSIKFTNDDRIKLGKIPTSDGPTNAYTILPERVSTLNNGQRGEVYDVNGDQAAVILDDNVEKANANEVENPNNDRPNASVYWINVKDIENDLDAQQQDCYIAVEALCEVLNAKQPLIVYFPDSSQWLHKSLPKSSQNEFFQKVEEMFDRLSGPVVLICGHNKVHSGTKEKKKFTMIIRRVSDLPLSLNHLGDGFKGGKTSEEDDDINKLFSNVLSFHPPKEENLQVVFKKQLEEDRKIVISRSNLNELRKVLEENQLSCADLLQVNTDDIILTKQKAEKVVGWAKNHYLSSCLLPSVKGERLCIPCESLEIAISRMKGMETMLRKPSQNLKSLAKDAYESNFVSSVVPPGEIGVKFDDIGALEDVKKALQELVILPMRRPELFTRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDSEKLSKALFSFASRLAPVIIFVDEVDSLLGARGGASEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRKKILRIFLAKENLYSDFQYDELANLTEGYSGSDLKNLCVAAAYRPVQELLEEERKRDNDTKNSVLRPLKLEDFVHAKSKVGPSVAYDATSMNELRKWNEMYGEGGSRTKSPFGFGS >CAK8576826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517122195:517134979:-1 gene:gene-LATHSAT_LOCUS28989 transcript:rna-LATHSAT_LOCUS28989 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSIQCADRRWALMLQPSKYLFRPKFSDHLCFKSLSPKTIVREYSSRGIIKRDLLGLDSRSHLSSRYRSTQIQAFSSEGDGSSASENKQVHVNGGGDLDKGKNQLGKSGGDVKRSYTHAQLGEQDQEEWLHNEKLAVESKRRESPFLTRRDKFKNEFLRRITPWENINVSWDTFPYHINERTKNLLTECAASRLRHNKLASSFGTRLASSSGRILLQSIPGTELYLERLVRALAQDLQVPLLVFDSSILAPYDIIDDDQSSDHESNDDNAESGDEGGLESDNEDDNDASNEEEWTSSAEAKSDLSDNEDALASAEAALKKVKAAVQKLIPYNVDEFEKIVTGDERPESSNSDDAKSTDKSGSQLRKGDRVKYIGPSIKFTNDDRVSTLNNGQRGEVYDVNGDQAAVILDDNVEKANANEVENPNNDRPNASVYWINVKDIENDLDAQQQDCYIAVEALCEVLNAKQPLIVYFPDSSQWLHKSLPKSSQNEFFQKVEEMFDRLSGPVVLICGHNKVHSGTKEKKKFTMIIRRVSDLPLSLNHLGDGFKGGKTSEEDDDINKLFSNVLSFHPPKEENLQVVFKKQLEEDRKIVISRSNLNELRKVLEENQLSCADLLQVNTDDIILTKQKAEKVVGWAKNHYLSSCLLPSVKGERLCIPCESLEIAISRMKGMETMLRKPSQNLKSLAKDAYESNFVSSVVPPGEIGVKFDDIGALEDVKKALQELVILPMRRPELFTRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDSEKLSKALFSFASRLAPVIIFVDEVDSLLGARGGASEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRKKILRIFLAKENLYSDFQYDELANLTEGYSGSDLKNLCVAAAYRPVQELLEEERKRDNDTKNSVLRPLKLEDFVHAKSKVGPSVAYDATSMNELRKWNEMYGEGGSRTKSPFGFGS >CAK8574595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3238554:3239195:1 gene:gene-LATHSAT_LOCUS26925 transcript:rna-LATHSAT_LOCUS26925 gene_biotype:protein_coding transcript_biotype:protein_coding MNIENFSYNPTTTTTTTLNLSKNNPYFSFHQTSLEGIAAVVGEQILFGPHHTKTTPSNTVSHPKNTTTEQTKTNTIPLNQSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFKIPSVLPIPLSPNSNESCSSSETNSKKKSARNSVRKCCVVSSVGELFSGVPEVRRERDGNGNVVEFGGRNSAGMVV >CAK8541975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445385089:445386153:-1 gene:gene-LATHSAT_LOCUS10852 transcript:rna-LATHSAT_LOCUS10852 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQTFLARKGRLSTVWIAAHLQHRLKKSQYTSTDIPSTVQHIMDPGVPISLRMSAHLLLGVVRIYSKKVDYLLNDCNIVRTVLYKVFAVVSNHTLPEDGMQAPLHTITMPATFDLDALNLSYGMDVNGYEDHHMKSLEDITLADENPTVLENYVTIRFDEDTTFSSPNTQLLPDSDARPIEEDIIPQSPLTIGADFQDVGPSSHTESHATDHTVENSVPSFMDPITEQAIPVENNLRYATNDYATNYYDAIENLQNSGHNDIELTLITITLLISIVVPEDQLPQPESLPNCDPLPCSEQLEDIRIRDVKPMHHDHLARYDTVPNNISGVCLRSARHSLHLISLIDHPSTKGK >CAK8534184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702414821:702417496:-1 gene:gene-LATHSAT_LOCUS3746 transcript:rna-LATHSAT_LOCUS3746-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQHENLLSTHLNARILGSGTETIVFAHGYGTDQSIWDKITPYFSEKSYKIVLFDWAFSGRIKDENLYDPLKYSSLEAFADDLISLLDEMELKDVSFVGHSMSGMIGCLASIKRPQLFKRLILLGASPRYINTDDYEGGFAGSDIENLLKNIESNYENWIFGFSLNVVDPNDEASVNIFRESLKRMKNEVSLSVAKTVFCSDYRDILEKVETPCTIIQTSSDMAVPYSVALYMEKKIKGKVTLEVIDTFGHFPQLTAPLQLVDVLKGVLGFQLSHV >CAK8534183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702414821:702417532:-1 gene:gene-LATHSAT_LOCUS3746 transcript:rna-LATHSAT_LOCUS3746 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLPNTKPNNMIEQHENLLSTHLNARILGSGTETIVFAHGYGTDQSIWDKITPYFSEKSYKIVLFDWAFSGRIKDENLYDPLKYSSLEAFADDLISLLDEMELKDVSFVGHSMSGMIGCLASIKRPQLFKRLILLGASPRYINTDDYEGGFAGSDIENLLKNIESNYENWIFGFSLNVVDPNDEASVNIFRESLKRMKNEVSLSVAKTVFCSDYRDILEKVETPCTIIQTSSDMAVPYSVALYMEKKIKGKVTLEVIDTFGHFPQLTAPLQLVDVLKGVLGFQLSHV >CAK8561140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:102745775:102746721:-1 gene:gene-LATHSAT_LOCUS14754 transcript:rna-LATHSAT_LOCUS14754 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGDPGLSPGKPDWKKVVIIFYFLGLLILHLTVQVQFFWQVSEPEKWGYSTDWKKVVVYFFGTQQM >CAK8531987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186435001:186436158:-1 gene:gene-LATHSAT_LOCUS1733 transcript:rna-LATHSAT_LOCUS1733 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCDISQQNLNNLVYSQRLTGGSLEAFRDCALQDIYLGEYAGVDDSWMDVISSQGSSLLSVDLSGSDITNFGLTYLKDCQSLISLNLNYCDQISDHGLDYISGLSNLTCFSFRRNDSISSKGMSAFSGICSGFLTFLLSSFMHLYHKHLSYKC >CAK8568213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582291347:582293455:-1 gene:gene-LATHSAT_LOCUS21193 transcript:rna-LATHSAT_LOCUS21193 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSLNSTTSRTFVSTSTLRRRLPIVGAFCMLTFGLTNLYSPLYPSALKTGSKLGSRFLTKSSNFIQMEGNTSNTTVPSIVVYVTVPNKEAGKKLSESIVKEKLAACVNRVPGIESVYLWEGKIQTDSEELLIIKTRQSLLEALTEHVKSNHEYDVPEVISLPITGGNLKYLEWLKESTRE >CAK8568212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582291347:582293455:-1 gene:gene-LATHSAT_LOCUS21193 transcript:rna-LATHSAT_LOCUS21193-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSLNSTTSRTFVSTSTLRRRLPIVGAFCMLTFGLTNLYSPLYPSALKTGCVQSLLRSKLGSRFLTKSSNFIQMEGNTSNTTVPSIVVYVTVPNKEAGKKLSESIVKEKLAACVNRVPGIESVYLWEGKIQTDSEELLIIKTRQSLLEALTEHVKSNHEYDVPEVISLPITGGNLKYLEWLKESTRE >CAK8577881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595478277:595479437:-1 gene:gene-LATHSAT_LOCUS29948 transcript:rna-LATHSAT_LOCUS29948 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLNISYPFLSINVLKFNTSCPNTTLTHLNCLTSPQPSPSSLHFDSTPSLVSYLIHNFDFSPQSASKLCSTHRLAFNTTQKPDSLLNLFTNYGFSNSQLRDIIAKAPWLLSCNLFKRVLPKFQFFLSKGASNPDIVNLVIKDPKVLCPSLENHIAPTYELIYTFLQSDKYLIASAIQNPNLLCDYFVPRNITLLIQNGVSDSNIARILRTWSMTLSARDMVSLLEELKDLGFNPSKTSFSVALMAKTTVTKTKWKEKVDAFKNWGWSDEDVIETFKKQPQCMLTSIEKINFLMNFWVNQLGWDALALTKHPLLFGFSLEKRIIPRASVVQFLLNNGLRSKSASLASPFTVPENMFLDRFIKRFEKESSYLLKLYEEKLKLACHD >CAK8562304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469225884:469226444:1 gene:gene-LATHSAT_LOCUS15811 transcript:rna-LATHSAT_LOCUS15811 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQAVGASLRKQAEDNLKQFQEQNLPNVLSSLAGKLANDEKPSERRRLAELILKNALDSKEQHKKIEFVQRWLAMDPTFKAPVKAFLLRTLSFPSPDARSTTSQVIAKIAGIELPHKKWPELIGFLLSDAHQLLAPTRPATLEPLGYICKEVSPDVVEQDHVIRYSLRNELYRRKQ >CAK8561392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:184920856:184921813:-1 gene:gene-LATHSAT_LOCUS14991 transcript:rna-LATHSAT_LOCUS14991 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWDLVDKLKISTLGAILLLACACCALLFLCIILVLKRKGSSNNNKIVNQEGVIEENETSSTITTTTTTSTTTTTNATITTTASTNCGWISVKRVLMESMVWSRARKLEENIGWQRERGSPLLGSLERNGVESGWKSVSHDSASAVWQRPILRGEKCELPSFSGLILYDEKGKLLNDDFVNETQCMEISKQEEIDIITVRTTLKDLL >CAK8539992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534293790:534294104:-1 gene:gene-LATHSAT_LOCUS9035 transcript:rna-LATHSAT_LOCUS9035 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKSNKLPQAEVIKQILKRCSSFGKKHGYNEEDLPEDVPKGHFAVYVGENRTRYIIPISWLSHPQFQSLLQRAEEEFGFNHDMGLTIPCDELVFESLTSLIR >CAK8540182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543314081:543314699:-1 gene:gene-LATHSAT_LOCUS9212 transcript:rna-LATHSAT_LOCUS9212 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGAAGRRSMSTVSKAVAEIVGVGKTKHRKATSELCTFMGIPHHSRSEIASIISKFIKLYSFRSPGIKKDKLWEQNLQTLLRGRNSVGFPEIAKILSPEFSQGAINIKDTNMDSSTDNTKGKGSQKKGKKK >CAK8534054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689480829:689481680:1 gene:gene-LATHSAT_LOCUS3628 transcript:rna-LATHSAT_LOCUS3628 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSKVIIGATLVMVVTLAFVIALILVLLAELYCSLLLHRHKLKNNNTQTLTNAKTTLTNVSPPSHSHSHSQSHSPQNSPQPPQNITNIYSQGVLQPPRNFRFPCMENISKEQLNKLHQVINIQTHESLISSTTLSMSPFLSRLPPQQKNTTHQSNIGEASSCLEEKKELVYISNPIYENEEGKESGVKVDTPFETPNTSPSHLEKSDSSCEDDDGGDVVAAEIEVYTPPLTPMKKLDAEACSVSLRDVRSLGTNGSDSLISRSVNGLSSSSSDSPSTSPSW >CAK8568605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:623374179:623381628:1 gene:gene-LATHSAT_LOCUS21547 transcript:rna-LATHSAT_LOCUS21547 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSPPKHRHDGTSPLPLGMDWSPAPRKWNGRETEWPHNHRTGWSYCVIIPSWVFVPKSRNSDPIVFYRLQVGIQSPEGITNIHGVLRRFNDFIKFYVDLKKEFPGKNIPPAPPKGLLRLKSRALLEERRRSLEEWITKLLSDIDISRCAPVASFLELETAARSSFQDASQQNSVTDPDSNNRDYSVQPSLLSSLSLAAGSSSVASDYGSDTPYDVSEVGTPRIGRDDNSEVGTDDLTLDEDMTNPIERLVKYGISNIDEGLFMGQTILEQLEGLPRHKVNARHMNNVTGKDRSNGNSYDSSLLSNNTMERFSEPGHAKAFGHIRKLSNESVGSDGSSIRGSDMSNFGIPNSSGDGSVDLPGCVSVSREADIASHKLKSTGDAQLVLPLDQRNKLNRILSTMQRRLVTAKTDMEDLIVRLNQEIAAKDFLTTKVKDVEVELETTKQKNKENLQQAIMIERERFTQMQWDMEELRRKSLEMEMKLKSESDGNAGQNLTKSIVHQNDVLLQDLNAAREQLEILSKQYVELEAKSKADIKVLVKEVKSLRSSQTELKKELSESIKEKREAEKLLLHEREKREQAEIASRELLEKCGLLFKKLQECNVDLPYNDEDRTVLHSSTSTDAFNLLKTSDDQIDILLAEVENLEKDYGNAAFIVDKSNDIQDGVICGDEMRKIIAELFVDNVRLRKQTNKITRHALKLDMTTSDDSPSNVTNS >CAK8539363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510195507:510196647:-1 gene:gene-LATHSAT_LOCUS8466 transcript:rna-LATHSAT_LOCUS8466 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDVKIGANKFSEKNALGTGAQSDSKDYKEAPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVNRSTSKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGFEGNARFELFKGGANVVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKTRG >CAK8564501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678506371:678508434:1 gene:gene-LATHSAT_LOCUS17802 transcript:rna-LATHSAT_LOCUS17802 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTKSDTFYTEQATRTLTSDIASDLVIQINDVSYLLHRFALLPKCGLLQRLCYESSDAESVSVELHDIPGGEDAFELCAKFCYGISINISAHNFVSAFCAAKFLRMNESVEKGNFVGKLESFFNSCILESWKDPIATLQSTATLPEWSENLGVVRKCIDSIIEKILTPSQQVKWSFTYTRPGYSKKQHHSVPKDWWTEDVSDLDIDLFRCIIMAIRSTYVLPPQLIGEALHVYACRWLPGITKLNKSSGSSASQTEESKLKNRKILETIVSMIPADRGSVSVGFLFRLLSISIHLNASSVIKTELIRRASLQFEEATVSDLLYPSKSSCDQNYYDIELVLAVLETFLKLWKRMSPGAVDNSYFLRSIRNVGKLIDSYLQVVARDDNMQVSKFVSLAETVPSIARVDHNDLYKAIDIYLKVHPDLSKAEKKRLCGILDCQKLTPEVRGHAVKNELLPLRTVVQLLYFEQEKESMANKNQKVLKQHEIIVGAKQRTTTKDSQSKQSLGGEKDEQRNKRMDGSLALEMEKKMVIRGREIEEKDESSSSYKLEVDPKNRIRRARSKSEHSMKRADREK >CAK8539538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515302616:515304948:1 gene:gene-LATHSAT_LOCUS8622 transcript:rna-LATHSAT_LOCUS8622 gene_biotype:protein_coding transcript_biotype:protein_coding MHRERGGVGSKAEVASVDRKRINDVLDKQLERSSPSTSRTINGKDRSSSSSLLTAKDHRDPRSGSATIPKNPNASDDALAAEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGMIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQNYVPRVFGFKLHKP >CAK8539539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515302616:515304948:1 gene:gene-LATHSAT_LOCUS8622 transcript:rna-LATHSAT_LOCUS8622-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRERGGVGSKAEVASVDRKRINDVLDKQLERSSPSTSRTINGKDRSSSSSLLTAKDHRDPRSGSATIPKNPNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGMIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQNYVPRVFGFKLHKP >CAK8576321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:458979795:458984921:1 gene:gene-LATHSAT_LOCUS28519 transcript:rna-LATHSAT_LOCUS28519 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTRPCSSLRLLHDHSFHSTTKFVVVRCNRSSVSEIEEKAENVESLRNSLSFPPLKAAKRVILVRHGQSTWNAEGRIQGSSDFSVLTKKGESQAAISRQMLADDKFDACFASPLARSKKTAEIIWGPRQQPIIPEFDLREIDLYSFQGLLKAEGKARFGSAFHQWQVDPVNFVIDDHYPVRELWDRARNCWTKILAHDSRSVLVVAHNAVNQALVATAIGLEAEYFRTLLQSNCGVSVLDFTPRSDGGSPHICLNRLNQTPGSPVAGGKSGGRDASKQIILVCNGSTQGNTEDGFIFSGDQPLNMLGVIQSQKSAELLLDLKVSSIISSLNKSCIETAVAISQVQEAADCLGADTVPRYVEMKQMENLNIETIFKQSKTDVSSFPPFQPGWLNKIEDDSRTTLWDQSGKAWQSLLDEISDESKSGEIVVAVCHPAIHIGLMAHCLKLTMEWLGSFHLDAGSVSILDFPDGPKGRGVIRCINYTAHLGRWSIPITRPTEDVQEF >CAK8531517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118461572:118485154:-1 gene:gene-LATHSAT_LOCUS1303 transcript:rna-LATHSAT_LOCUS1303 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSNRKRVDLGGRSSKERDRKNLLEQTRLERNRRLWLRQQNSAALRIQKCFRGKRVFRAEQSKLRQKFLKTYGKNLLNVDRKAFGPDSDFLRQFLYFFNAENHDDFLVLVQIGRLLLQFVQESGDAVRLFAGEDYSSICAFVNYRVKKLAYNCIRAVHHNRNQLKDQLLWTPKESNAAAIPLLEVLVLLIDLKLPWSCKIVGYLSQNNGFNLLREIVLTGKSNAEKCIGSSLERVLTGVMCHIGQKPCICSDTDPRYSFSLQILTIPFLWHVFPNLRQVFSRQGMSQHYIHQMATFVPNLISFLPKDISDEFPTYACLLGNILETGGVALSQPDCSFNMAIDLAAVTTFLLEAHPSLTRSDGRENSMTAEDDVTGDDDVMEVVLDRKLDQQICNSIDTRFLLQLTNILFRDISSANGADDMEVAAVGAVCGFLYVIFNTLPLERIMTVLAYRTDLVPMLWKFMKRCHENKKWSSFSEQLSYLSGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSQKDISSLIILLKQALWQLLWLNHTSSANSARSILVRTSSKKLSIEAVQQRVSIVVSELLSQLQDWNNRRQFTSPSDFHADGVNDFFISQAVIENARANEILKQAAFLIPFTSRVKIFTSQLAAARQRHGSQAVFTRNRFRIRRDHILEDAYNQMSQLSEDDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRASFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDLPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKRFEGDISELELYFVILNNEYGEQTEEELLPGGKNLRVTNENVITFIHLVANHRLNSQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRQHTNYAGSYHSEHDVIEMFWEVLKGFSMENQKKFLKFVTGCSRGPLLGFRYLEPLFCIQRAGGNASEDALERLPTAATCMNLLKLPPYRSKDQLESKLLYAISADAGFDLS >CAK8562138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:445831643:445832120:1 gene:gene-LATHSAT_LOCUS15662 transcript:rna-LATHSAT_LOCUS15662 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRTFTASSLLLSLILFNIVEAHQTNTYGATSPSPLPQTIDCKVACEGRCKVSSRPNLCNRACGSCCAVCKCVPPGTAGNFDSCACYAKLTTRNQIRKCP >CAK8576205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425618704:425619138:-1 gene:gene-LATHSAT_LOCUS28411 transcript:rna-LATHSAT_LOCUS28411 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGGDMLIKLWDLDGHVIFIFEGHEAPVHSVLPHAKENIQFILSTLIDGKIRAWVYYGKNFQLEYNTPGQYCTKLMYNADGNR >CAK8542408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510294062:510294595:1 gene:gene-LATHSAT_LOCUS11249 transcript:rna-LATHSAT_LOCUS11249 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPISYPEVSVPNLFLHLLSLLAFLRSLAISFLSLLHLSNLLDTGFSSATLTELHSNRPTLSATLIREFLPVVSFRELAGDSKAVGCAVCLEEFSDEEEIRCMANCRHIFHRTCVDRWIDHDQKTCPLCRTHFVPYQKMEDYNQRLWAACESECEDDDVSLFSQQDDYHYIATASI >CAK8543024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567605995:567607903:-1 gene:gene-LATHSAT_LOCUS11811 transcript:rna-LATHSAT_LOCUS11811 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKCCSSLFQRCKPYIAMISLQFGFAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFAIVLERKIRPRISFLMFMQMFVLGLLGPVIDQNLYYAGLKFTSPTYSCAISNILPAMTFVMAVIFRMEKLDIKKLRCQVKVIGTVITVGGAMVMTLYKGQVIQILSSQYMHHPRDYVPENNTDSGEKDWVKGSIFLIIATFSWSSFFILQAVTLRKYSAQLSLTAIVCFLGTLQSIAVTFVMETKPNVWNIGWDMNLLAAAYAGIISSGLTYYVQGIVMQKKGPVFVTAFSPLMMIIVAIMGTFILAEKLYLGGVIGAILIVIGLYSVLWGKNKENKEIEAERITEEIKCCADKGVLETVIEGVEINDIEMQKDDEPTKVLSVTIISAPKSLNL >CAK8568441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606395428:606395763:-1 gene:gene-LATHSAT_LOCUS21397 transcript:rna-LATHSAT_LOCUS21397 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQRSKSIANGPQMMQLENYYGPPKPYDLRSYSSSYVQSSKDLKLKKGKSFSSGSSFSKSLSFVNDPELQRKKRVASYKMYSVEGKVKGSFRKSFRWLKNKYSEVVYGW >CAK8544832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707789982:707792568:1 gene:gene-LATHSAT_LOCUS13480 transcript:rna-LATHSAT_LOCUS13480 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISRMLPSSSSRNHLFEVLSSRKIEVRDFSTATNNYSAKGYSSDRVFAPYSVYKGKAAFSLTPCLPTFTKLDSGALVVDRHGSIMMSFVPAVGERRYDWEKRQRFALSATEVGSLIAIGPQDSCEFFHDPSMKSSNAGQIRKSLSIKPHSSGYFVSLTVVNSVLNTKDNFSVPVTTAEFSVMKTACSFALPHIMGWDRLTNQKSSETGSFQPNQQSGGKVSFQPNRQSGGTVGFQPKTSPQILDLEWEK >CAK8534026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681880459:681886348:-1 gene:gene-LATHSAT_LOCUS3602 transcript:rna-LATHSAT_LOCUS3602 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGGDGGRKNAPLVSEFVVAASPTAAAKAWLDAPAKKLARQLDFNATMEQSKPQLQTTSVTVQKPAGALPLPPMGLHSSVRVGKPESPKPRSRPNFEVKEGTPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCANCFNNVDNEAARREAVEATLERNPNAFRPKIASSPQGARNSREEAGEGVILVKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALCHGDQNNNTYIQQAANAAITGAIGSYGYSSPPVSKKRKGQELFLWPTAKDPSIGKPGQQVNHVKNSAPSSSLSPVSSGRVANATLGQSPSKLKYRSLLADIVQPHHLKELCSVLVLVSGQAAKTLADQKSLVEKRTEDETQTCLASSTQEQLLPSKKEVDAEKAVEDDCSSANQTDKISPDNSCSDGADVSKGRPMSPGTLALMCDEQDTMFMPTASPIGPMTQACDTSSRFPSGQGVTEVYAEQERVVLTQFRDFLNRVITMGEINETKCSSLARSELESQKDPINNRIGNTSTEIVHLQEATSNGDAKPVVPPMATTSTPVATTSTPVVVPGATIAENGETKLNKEKEV >CAK8575327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:80370761:80371126:-1 gene:gene-LATHSAT_LOCUS27599 transcript:rna-LATHSAT_LOCUS27599 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFTSIKARQIFDNHGNPTVEVDITVFDGTFARVVVPSGASTGIYEALEIRDGGSDYLGKGVSKDVDNMNTVISPASIGKDSTKQTVDFLEGTWRFRRAVRPMLPLSFYFMQPSLLLVDG >CAK8544919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712152453:712153877:1 gene:gene-LATHSAT_LOCUS13561 transcript:rna-LATHSAT_LOCUS13561 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNYYNKKTLLFLLLLAISFTLSSSTTTLFQTQTLSIHSLPNPSTLSWPEESEQEFLSDSEPFSLQLHHIDSLSSNKTPQQLFHLRLQRDAARVQSFNLAISPNHSRPLRSGTGFSSSIVSGLSQGSGEYFTRIGVGTPAKYVYMVLDTGSDVVWLQCAPCRKCYSQADPVFDPTKSRTFAGIPCGAPLCRRLDSAGCNNKNKVCQYQVSYGDGSFTFGDFSTETLTFRKTRVARVALGCGHDNEGLFVGAAGLLGLGRGRLSFPVQTGRRFNQKFSYCLVDRSASAKPSSMVFGDSAISRTARFTPLLRNPKLDTFYYIELLGISVGGASVRGVSASLFKLDPAGNGGVIIDSGTSVTRLTRPAYIALRDAFRLGASHLKRAPEFSLFDTCFDLSGLTEVKVPTVVLHFRGADVSLPATNYLIPVDNSGSFCFAFAGTMSGLSIIGNIQQQGFRVVYDLAGSRVGFAPRGCV >CAK8576166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416272540:416273058:-1 gene:gene-LATHSAT_LOCUS28375 transcript:rna-LATHSAT_LOCUS28375 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGELVEFASGVKGIALNLENENVGIVVFGSDTSIKEGDLVKRTGSIMDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIKRKSVHKPMQTGLKAVDSLVPIGRGQRELIIGD >CAK8575867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:343934897:343935364:-1 gene:gene-LATHSAT_LOCUS28095 transcript:rna-LATHSAT_LOCUS28095 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHGGHDGMTMAPNSSSSTNGTMMTGQKMHDMMHMTFYWGKDALILFDQWPAGNSGMYALALIIVFFMSVFIELLSHTRIIKPGSNLVVAGLVQTLLHVLRVGLAYLVMLALMSFNGGVFLVAVLGHALGFFFSGSGFRKQHHDEPYDLPPMSC >CAK8565949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357626323:357629922:-1 gene:gene-LATHSAT_LOCUS19122 transcript:rna-LATHSAT_LOCUS19122 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRILELSRRLKHRGPDWSGLHQHGDNYLAHQRLAIVDPASGDQPLFNEDKSIIVTVNGEIYNHEELRKQLPDHKFFTQCDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYIGWGLDGSVWIASELKGLNDECEHFEVFPPGHLYSSKEREFRRWYNPPWFNEAVIPSTPYDPLVLRNAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTARYLAGTKAAKQWGAKLHSFCVGLKGAPDLKAGKEVADFLGTVHHEFEFTIQDGIDAIEDVIYHTETYDVTTIRAATPMFLMSRKIKSSGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHRYDCLRANKSTYAWGLEARVPFLDKDFIKVAMDIDPEFKMIKHDEGRIEKWILRKAFDDEENPYLPKHILYRQKEQFSDGVGYGWIDGIKDHAAKHVTDKMMFNASHIFPFNTPNTKEAYYYRMIFERFFPQNSARLTVPGGPSVACSTAKAIEWDASWSNNLDPSGRAAFGVHVSAYENQINPVTKGVEPEKIIPKIGVSPLGVAIQT >CAK8533885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667643719:667645056:1 gene:gene-LATHSAT_LOCUS3473 transcript:rna-LATHSAT_LOCUS3473 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKNQAHYHNPVILQSEDLTNYILETAVYPREPEILKELRKASEIHPWGFIATLPEAGSLISVLLKLLNPKKTIEVGVLTGYSLLLTALNIPHDGKITAIDIDRKAYEIGLPVIRKAGMEHKIDFIESPALPILDKLLEDASNEGSFEYAYIDADKDNYVNYHERLIKLVKVGGLLIYDNTLWGGRVAWAEEKVPAYAKEGTTAAIEFNKTIRDDSRVDFSLTSVGDGLNICRRIV >CAK8577005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530640481:530646003:-1 gene:gene-LATHSAT_LOCUS29152 transcript:rna-LATHSAT_LOCUS29152 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLCRNFQRGSCSYGERCRFLHQQPNQQQRKSNAFGGQTNKNPFGFGSASASAPNQQQKNNPFGFGSQNTSQSNGAPRSDSKPNQFQPFENKWSRTSSKPQNGTQRPSDNNSQTVNHKCTDPEICKRQIAEDFEQEKPLWILTCYGHCKGAPCDIIGDISYEELRASAYEDAKNGMSLPLIVEKERNILKSKLAEFDKLLSEPYKMPLNSSLDIQKYQSNGANANAFSPATQNNGPLSVSSFSQLGASLNTGFERPSAPPAIAPAQPNSFGSGGNSFTSNTGNLFGSGVSGAQNNNPFSTPAELTMFPGSTSQFQQPSIALNNTSSATMLQTASSDVQLNTSQVENASVDDSIWLKEKWNPGEIPEEAPPDRFIR >CAK8577006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530640481:530646003:-1 gene:gene-LATHSAT_LOCUS29152 transcript:rna-LATHSAT_LOCUS29152-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLCRNFQRGSCSYGERCRFLHQQPNQQQRKSNAFGGQTNKNPFGFGSASASAPNQQQKNNPFGFGSQNTSQSNGAPRSDSKPNQFQPFENKWSRTSSKPQNGTQRPSDNNSQTVNHKCTDPEICKRQIAEDFEQEKPLWILTCYGHCKGAPCDIIGDISYEELRASAYEDAKNGMSLPLIVEKERNILKSKLAEFDKLLSEPYKMPLNSSLDIQKYQSNGANANAFSPATQNNGPLSVSSFSQLGASLNTGFERPSAPPAIAPAQPNSFGSGGNFFTSNTGNLFGSGISGSQNNTGNSFTSNTGNLFGSGVSGAQNNTGNSFTSNTGNLFGSGVSGAQNNNPFSTPAELTMFPGSTSQFQQPSIALNNTSSATMLQTASSDVQLNTSQVENASVDDSIWLKEKWNPGEIPEEAPPDRFIR >CAK8577004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530640481:530646003:-1 gene:gene-LATHSAT_LOCUS29152 transcript:rna-LATHSAT_LOCUS29152-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLCRNFQRGSCSYGERCRFLHQQPNQQQRKSNAFGGQTNKNPFGFGSASASAPNQQQKNNPFGFGSQNTSQSNGAPRSDSKPNQFQPFENKWSRTSSKPQNGTQRPSDNNSQTVNHKCTDPEICKRQIAEDFEQEKPLWILTCYGHCKGAPCDIIGDISYEELRASAYEDAKNGMSLPLIVEKERNILKSKLAEFDKLLSEPYKMPLNSSLDIQKYQSNGANANAFSPATQNNGPLSVSSFSQLGASLNTGFERPSAPPAIAPAQPNSFGSGGNFFTSNTGNLFGSGISGAQNNNPFSTPAELTMFPGSTSQFQQPSIALNNTSSATMLQTASSDVQLNTSQVENASVDDSIWLKEKWNPGEIPEEAPPDRFIR >CAK8541633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:319825569:319826522:1 gene:gene-LATHSAT_LOCUS10538 transcript:rna-LATHSAT_LOCUS10538 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMHEIPAAVSAIQFYENAQPSMRGRNVYVQFSSHQELTTVDQQSQGREDEPNRILLVTVHQMLYPITVDVLQQVFSPHGYVEKVVTFQKSAGLQALIQYDTRQSAITARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTEQKGRPSQSGYGDAGMYGVQGSGPRSAGFSQMGNAAAVAAAFGGDFPPGITGTNERFTILVTNLNPDRIDEDKLFNLFSIYGNIVRIKILRNKPDHALDGRWFPIRIGSVFSEGIHAI >CAK8535956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894075746:894076112:1 gene:gene-LATHSAT_LOCUS5369 transcript:rna-LATHSAT_LOCUS5369 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEKSRTVEDASDRTKPWQLSEILDHVQCRSVTLPDNTDSFSKVVRLLYTNSAVGVLALGSNGVQKLWKWARNEKNPTGEALEMGSQ >CAK8535874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887961560:887963153:1 gene:gene-LATHSAT_LOCUS5293 transcript:rna-LATHSAT_LOCUS5293 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDKGVKPILLNIALALGFSFAGFLCSRLRINQGHEIESNGSSSGNSPIDLRSNTKQNGDRDEFLLPEFDELVKEVEFEVESRKLEVRSSRAFAGPDKDDYEQEILQLKNMVKLLQDKEQNLEVQLLEYYGLREQETVVIELQNRLKITNMQVEMFNLKAKNLQSENRRLREQVADHGKVLAELDAAKEKIELLNKEIRREAEQNKERIVSLQERVAKLQDQVCKDAACDQDIKIKLQKLEALESEVEELRKSNSRLQIENSELTRRFDSMQILANDANQESERLRKENEDLMKQIEQLQSDRRSDIEELVYMRWVNARLQQELQNHRPLHNKTVAKDSEKKAKKLILEDTDTNGLGSTMDFDLNQRSSSQSSSITDSGEYDHFFSRTNAPSQNKFFSKLRRLILGKDGHHRHSQVSSRYQEDINPQRRSTSTNTDGRTSFDRRNSSFDGRTSFDRRYSSFDGEGSFSDFLGIEKSDLEKYAEALEDSSANDKHQRQGRSTSFS >CAK8562684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:525083424:525086020:1 gene:gene-LATHSAT_LOCUS16159 transcript:rna-LATHSAT_LOCUS16159 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPPPGIKLFRGKEWNLQTYRYIVLLITFIAYVCYHASRKPTGIVKSVLCPDANKKAKYSGWAPFNGPNGVSKLGEIDLAFLACYSLGMYVAGHLGDSLDLRLFLTTGMIGSGIFVGLFGMGYFMNVHEFWFYLLMQMFAGLFQATGWPSVVAVIGNWFGKRKRGLIMGIWNAHTSVGNISGSLLAASVLDYGWGWSFVVLGLLIVFGGFFVFLFLPAYPEDVGFCYIHGDEEEQRVKTVDGGAVEGERERERENQRTGDGRDGRGSSIGLYEACMIPGVITFAMCLFFAKLVAYTFLYWLPFYLTQTEIGGKYMSVKSAGNLSTLFDVGGIFGGILAGYMSDKLSARAITAASFMYAAIPAMLLYRTYGSVSMHANIGLMMVTGLLVNGPYSLITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSVGAAIGPLLTGFLSTRGWDEVFMMLVLGAFVAGLLLSPLILAEIAEKTGKTRPNGQQIPRDAASQPLLQEER >CAK8571392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:396293358:396294938:1 gene:gene-LATHSAT_LOCUS24059 transcript:rna-LATHSAT_LOCUS24059 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAMAMAAEKIGTAVRRQAVSLTDAAASRIRVLLQQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTPNTGAAKQGNS >CAK8536842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:27040879:27042288:-1 gene:gene-LATHSAT_LOCUS6169 transcript:rna-LATHSAT_LOCUS6169 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGRGRPPKNTVPPPVISPPLSSTNQQVEVQNQHENATLAAISKQSETGKPSHEDASEEGQKLWVDVLKDNRNPMKGRAMKYIAPQVVNGNLEVVIEDDDIISEVKFWESSLILYTMGVDLSMNAVKNFMTKTWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDELMGTLPIWMKLPQLPIILWGDTSLNKIGSALGNPIMTDECTTNRLRVSYARILVEMDITKELPKTITIGDNEGEKIQQPIEYEWKPQFCSKFQKIGHRCDKPNVTQQWKPKPQPVGKPVMASSADMIIGSAGHNNIIGNNVNSPTVSAPDKNVVNSPAVTTNENRSSLAGTPPDKNPSQGIEGNIIEQVEAVMEKWIEVIRSGKDRGKPKVNPNCGTTIVCDNGFEALEISKDLLESQNIG >CAK8578112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607556601:607558566:-1 gene:gene-LATHSAT_LOCUS30151 transcript:rna-LATHSAT_LOCUS30151 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLRQKQTECIARMLNLNQPINATGTANEEAYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPIQSNVQRIIADASRSLYQTFHLNFSTSIPRPLLEDLASGTLSSDSIQRISKVHDQYLEFVTLEDNLFSLAQQSCFLQLNDPSAGDREIEDIVEKVVSGLFCVLATLGVVPVIRCPRGGAAEMVATALDQRIRDHLLSKNNLFTEGGNFVSSFQRPVLCIFDRNFELPVAIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMRSYELDSADPFWVANGGLEFPEVAVEIETQLTKYKNDVDEVNKRTGGNHGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIERSELLSVLRGKGTKMDKLRFAIMYLISSETINPTEVEAVETVLRESEVDTAAFQYMKKIKSLNVTMASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALARTVEALIEGRPNPETDIYLAFDPRAPKSGSGTSGSHLKGPFKEAIVFMIGGGNYVEYCSLQELAQNQQPPKHVIYGTTELLTGVDFVEQLTLLGKKMGLGSVGPTPAQ >CAK8533739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655887013:655890256:1 gene:gene-LATHSAT_LOCUS3340 transcript:rna-LATHSAT_LOCUS3340 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEELRKKLAPLFDAEKGFSSSSSLDPNDSYTFSDGGTVNLLSRSYGVYNINELGLQKCSTSRSVDDEIDDGEKTYRCGSHEMRIFGAIGSGASSVVQRAMHIPTHRVLALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMNGGSLADILRRHRMIPEPILSSMFQKLLHGLSYLHGVRYLVHRDIKPANLLVNLKGEPKITDFGISAGLENSVAMCATFVGTVTYMSPERIRNESYSYPADVWSLGLALLECGTGEFPYTANEGPVNLMLQILDDPSPSPSKEKFSPEFCSFVDACLQKDPDKRPTAEQLLLHPFITKYQTANVDLGEFVRCVFDPKQRMTDLADILTIHYYLLFDGPDDLWQHTKNLYNKNSIFSFSGKQHIGPNNIFTTLSSIRTTLIGDWPPEKLVHVVEKLQCRAHGEDGVAIRVSGSFIIGNQFLICGDGIQVEGLPNFKDLGIDIPSKRMGTFHEQFIVEPTTQIGCYTIVKQELYINQ >CAK8542045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460140713:460145499:-1 gene:gene-LATHSAT_LOCUS10919 transcript:rna-LATHSAT_LOCUS10919 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQSHNQLHVIFLPFPTPGHMNPMIDTTRLFAKHAVNVTIITTHANASTFQKTIDSDFNSGYSIKTQLIQFPSVQVGLPDGVENVKDGTSLEMLGKISRGIWMLQNPIEILFCDLQPDCIVTDMMYAWTVEAAAKLGVPRIYYYSSSYFSNCAAHLIMKYRPHDNLVSDTHKFTIPGLPHTIEMTPLQIPDWLREKNDVTAYFELVFKSEEISYGTLHNSFHELESDYEKLSQTTMGIKSWSVGPVSAWANKDDERKTIGKETELLNWLNSHQNESVLYVSFGSLTRLFHAQIVELAQGLENSGHNFIWVVRKNDEDDSENIFLQDFEERMKESNKGYIIWNWAPQLLILDHPATGGITHCCWNSILESLSVGLPMITWPMFAEQFYNEKLLVDVLKIGVPVGAKENRLWNSFSEKTVVKREEIVNAVQILMGNSQEGKEMRTRARNLGDAAKRSIEEGGDSYNNLIQLIDELKSLKKSKAVGEKAD >CAK8542832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552216532:552217071:-1 gene:gene-LATHSAT_LOCUS11636 transcript:rna-LATHSAT_LOCUS11636 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFSVPIKLILFSILFFITINIANGQAQPNQSTLVFYLQDVGKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPVTLSPNSFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNVQYAGSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8541391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:148205513:148210012:-1 gene:gene-LATHSAT_LOCUS10316 transcript:rna-LATHSAT_LOCUS10316 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHAHGIIYHYSPFPSNSSSSLTNRSISYKYKLPATGNRMTRSSSSDQAFDVVIVGAGIIGLTVARQFLMDSELSVAIVDKGVPCSGATGAGQGYLWMTHKTPGTAAWDLSMRSHQLWMMMAENLQQQGLDPMVELGWKKTGSLLVGRTCEESDTLKGRVKQLSEAGLKAEYLCSMDLSKREPDLLVDKDTAAAFLPDDCQLDAHRAVAYLEKANRNFASKGRYAEFYDDPVKCFIRSDSNGVEAVQTSKNTLYSKKAVIVAAGCWTGSLMQDLFRNWGMEFHVPVRPRKGHLLALQNFNSLQLNHGLMEAGYVDHPSISGLESSDHAQNLSVSMTATIDAAGNLLIGSSREFVGFNTDSDESVISLIWKRVAEFFPKLKMLSLSDLSASRKVRIGLRPYMPDGKPMIGPVPGLSNVYLAAGHEGGGLSMALGTAEMVVDVVLGRTGKVDSAPFAVDRVLE >CAK8566173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:387264627:387265612:-1 gene:gene-LATHSAT_LOCUS19331 transcript:rna-LATHSAT_LOCUS19331 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSNKIDRKQLKPGDHIYSLRQAYLYAHHGIYVREGMVINFTRGTSGTQTLFDRLFTSSFPALNARIPCQKCVDANQTKSNGVIMSCLDCFLSGGELYLFKYGVSCALFLAQARGGTCTLASSDPAEEVICRAIYLLENGFGDYNVFKNNCEDFAIYCKTGLLVTGNISVAGGSGQAASCSAAASSIATLPLRFVTSSFCGITLASCGTYCIKRLVSDIKFRHEILNDAKKVPVEKIHEIATKNKCLFSCVFTQSFRFPRQKCHENKEAYM >CAK8565485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:234447368:234448421:1 gene:gene-LATHSAT_LOCUS18699 transcript:rna-LATHSAT_LOCUS18699 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPLPYAAAQGLIEIPYIAVQTLVFGLITYFMVNFEKTAGKFFLYLLFMFLTFTNCTFYGMMAVSFTASQQLAAVISSAFYSLWNLLSGFLIPKANIPGWWIWFYYICPVQWTLRGIITSQLGDVETTVVGPRFKGTVKEYISATLGYDQKMNRISSVGLSVIVLIAFNILFFGSFATSVKVFNFQKR >CAK8569156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677229399:677232677:1 gene:gene-LATHSAT_LOCUS22040 transcript:rna-LATHSAT_LOCUS22040 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTTSLLSTNFLGARNIPTPKTTKPSISLPLFFKTKFSNSLKPNNDNKNSEPLKSAAVSALILSSISLNLTPAALAVDNSTLPPPQPPVLEAQPNQLNPTNSSSPFSPNISLTAPKPQAQSSSDLPDGSQWRYSEFLNAVKKGKVERVRFSKDGAVLQLTAVDGRRANVIVPNDPDLIDILAMNGVDISVSEGEQGNGLFNFVGSLLLPFLAFAGLFLIFRRGQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGTPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFQQVSRVARQMVERFGFSKKVGQVAIGGGGGNPFLGQQMSSQKDYSMATADIVDKEVRELVEKAYVRASEIINTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVS >CAK8565702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:309803146:309804632:-1 gene:gene-LATHSAT_LOCUS18892 transcript:rna-LATHSAT_LOCUS18892 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIPNPSDKDSEPFVETDPTGRYGRYNELLGSGAVKKVYRAFDLGEGIEVAWNQVKLRNFSKDPAMVDRLYSEVRLLRSLTNKNIIALYSVWRDENDNTLNFITEVCTSGNLREYRKKHKHVSVRALKKWSKQILEGLNYLHVHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKTHSAHSVLGTPEFMAPELYEESYTEMVDIYSFGMLVLEMVTLEIPYSECDSVAKIYKKVSSGVRPQSLNKIKDAEVKAFIEKCLAQPRARPSAQELLKDPFLDVLDDDENDDYNSDTL >CAK8565926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354477096:354478220:-1 gene:gene-LATHSAT_LOCUS19099 transcript:rna-LATHSAT_LOCUS19099 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKEKKSVAIIFGVTGLVGRELAKKLIFQSCWKVYGIARNQDTLPNTLLSPYYKFISCDLLNPLETQKKLSLLQDVTHVFWVTWASEFPLDTQESYDQNKAMMSNALDSLLSNAKNLKHVSLQTGTKHYVSLETPFDEQKKLYYYNEEFPRMSRTHNFYYALEDLLMEKLNGKIYWSVHRPGLLFGNSIRSFYNFMASLCVYGSICKKLNLPFLFGGTKKCWEEPYIDGSDVRLVADQHIWSATKNVMVSTNGQAFNSINGSSFTWKEIWPIVGKKLGVIVPQEMFDGNFWYSKAMIEKEQVWEEIVEENELVKTKVENLVNWEFLDALFRFPFKLMGSRDKVDEYGFGVRYKTLNSILYWIDCMRDEKVIP >CAK8579787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722619917:722620134:-1 gene:gene-LATHSAT_LOCUS31703 transcript:rna-LATHSAT_LOCUS31703 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVLPPVPPSPKDDVVQLHSAFKGFGCDASVVINILAHRDAA >CAK8561189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:116028762:116029367:1 gene:gene-LATHSAT_LOCUS14801 transcript:rna-LATHSAT_LOCUS14801 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPLSIVDDVAIFDLRQQHHRHNMLPVYVLFDSRTMSHNHPARTPPWSVIDQARIAHMFHNHNNVLSRLTSGTVSVVDETVLYDSAMGNVPRRSRVHPRRSMNHQTGHRHHHHSGTRASPPVIRVQNTRPSPVVIRTQDASQHLATSTTDAESICCICLVHLSSGSSTPIRLRCSHVFHSDCIQKWNNIKKTCPLCRANV >CAK8544081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661761440:661762702:1 gene:gene-LATHSAT_LOCUS12788 transcript:rna-LATHSAT_LOCUS12788 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKLIKKDINEENGYLNHVVSLTSSTYGALSLDKNNNIVNNSNDSSDSNVSQVSETEPETVSKPNPSPSPSPTQKNPETETVININAWELMEGLEEGVPVSSIPKKSPKSAPFLRGFLASDTRSPLKFLNQFGSPKTTLKKSSGKENKIQVTNMVRGGVRRLDYSYSPKGILKSAANSSPKNSSFSVKGSPFSAKRNSFGNERKSTGSVLVSSPLFEPELVESYEKEVSEEEEQIKRIVFATPKKTRRARKSLDSITLLNVYENKCPPRGENSVVIYTTTLRGIRKTFEDCNKVRSIIESYCVCLRERDVSMDSGFKEELKKLMGMKQVQVPVVFVKGRFIGGVDEVVKLEDEEKLGVLLEGIPEALGVCEGCGGMRFVMCKECNGSCKVLDEKMKKSVKCGYCNENGIIRCSICC >CAK8531387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106788899:106792960:1 gene:gene-LATHSAT_LOCUS1183 transcript:rna-LATHSAT_LOCUS1183 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPHCLASLCLSSLLLTLVLFASDITCSSNNVTKIGNGYSLISIQETSDGALVGILQINKKTKIYGPDIPLLRFYAKHETDNRLRVHITDANKQRWEVPYNLILREQPPSLTQTIGKFKKVDPIEVSEYSGSELLFSYISNPFSFSVKRKSNGETLFNSTSTFSDPFSSLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPSDPYTLYTTDISAINLNADLYGSHPMYMDLRNNGGQASAHAVLLLNSNGMDVFYRGNSLTYKVIGGVFDFYFFSGPTPLNVVDQYTSLIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVDSYKKAQIPLDVIWNDDDHMDGHKDFTLNPNNYPRPKLLNFLNKIHSIGMKYIVIIDPGIGVNSSYGVYQRGLANDVFIKYEGEPFLAQVWPGAVNFPDFLNPKTVSWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKICPSGTGPGWICCLDCKNITKTRWDDPPYKINASGIQAPIGYKTIATSATHYNGVLEYDAHSIYGFSQSVATHKGLLAIEGKRPFILSRSTYVGSGKYAAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDSVAQSARNALGIRYKILPYLYTLNYEAHVSGSPIARPLFFTFPTYTECYGLSTQFLLGSSLMISPVLEQGKTQVKALFPPGSWYSLLDWTHTITSKDGTYVTLDAPLHVVNVHLYQNTILPMQQGGLISKEARTTPFTLIVTFPGGATEGEAKGTLFIDDDERPEIKLGNGYSSFINLYASVKQGGVKVWSEVQEGKFALDKGLIIDSISVLGLDGNVGAVASLELDGKPLIGKSGLDVTTSEHVDLNGEGNGESKTVMVALRGLSIPVGKNFAMTWKMG >CAK8534601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746884952:746885284:-1 gene:gene-LATHSAT_LOCUS4134 transcript:rna-LATHSAT_LOCUS4134 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTDIFNIFWDNKGKLKHVFCKDINVLVALFEASQLSIEEDYLDSAGQFCNDYLNEWSSTFQDHVQDYTIILPSFPNHVLTSYNSGLAVILNTRISYNHQTNSTKLCII >CAK8534704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756975951:756977282:1 gene:gene-LATHSAT_LOCUS4224 transcript:rna-LATHSAT_LOCUS4224 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVFIILVICSLSSTPFIESINIQTSFSVDLIHRDSPISPFHNPSTSQQDLINKAAFRSNSRLNRFSLSLLSNESPESIILPNNGDYLMRIFIGTPPIEKLVIADTGSDLTWVQCSPCLSCFPQNTPFYDRTKSSTFSNVQCDSQSCTLLPKTQQLCGKSNECFYSYHYGDRSFSIGELGFDSITFGSSNNTLHGANSDANVNITFPKSIFGCGYYNIFTSDSSGKASGLVGLGAGPLSLVSQLGDSIGKKFSYCLVPFASNSTSKLRFGNEAIIMGEGVMSTPLIIKSSQPTFYYVNLEGVMVGQKMFKTGQTDGNIIIDSGTTLTYLEPPIFNDFITSVKEEIGIEQVEDRSSPFNYCFKYQPDINFPHIALHFTGANVTLEPKNMLLLYENDLLCLAVVPSNIEGISILGNVAQIDFQVEYDLGEKKLSFVPRDCAKN >CAK8576208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425666490:425667210:-1 gene:gene-LATHSAT_LOCUS28414 transcript:rna-LATHSAT_LOCUS28414 gene_biotype:protein_coding transcript_biotype:protein_coding MWITEDEVWNKLIEAKPEAAEWKNKSILFYDKLANFFRKDRATGEHEGTTAEMRAKKDANVEKSHGTTIEEIDHLVETNEVILEGFDDDEHHSNNSPTRPSIINSQDVSSSRTKKRVKKVIEDDTSMIEISKTFKKMIDVFEMNFMELVKQSKNANGGDIWDELVKIGVEPSSLPLVYMYLVKNVDTLKAFNEIPIDKRKEILHLIIPDYPF >CAK8542083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471267000:471268601:-1 gene:gene-LATHSAT_LOCUS10954 transcript:rna-LATHSAT_LOCUS10954 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSTESLVIERLDIPATAQRALPSNETHSSSWMKTIESWNMMNYHQSRLRGRWEPWYYDFYNYLKDGFISEYATRGQRRAWRRTCPTICDLGRCPLQKVLQGCTLHRKSRRLKDPPLNKPLAEGRFESFLSRVIRQSLDWKRFNPLLDRLLRCCLGLLLCPVTSKASHRHLL >CAK8569288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691780906:691782197:-1 gene:gene-LATHSAT_LOCUS22161 transcript:rna-LATHSAT_LOCUS22161 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAEASMFCPSDEAALCHGCDFTIHHANKLATKHTRFSLVHLNSKDYPLCDICQERRGYLFCQEDRAILCRECDVPIHRANEHTQKHNRFLLSGVKLSSNSLDTDSSSTTTHGSRYSKPNIIPRSVSNENASSSSKFEDNMASDTGSVSTSSISEYLIETIPGYCFEDFLDASFPPNGFCKNHYSTFQYQDLHVSKLVDGVKETQQVYGCAVPGTGATLLKESRHCL >CAK8569289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691781045:691782197:-1 gene:gene-LATHSAT_LOCUS22161 transcript:rna-LATHSAT_LOCUS22161-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAEASMFCPSDEAALCHGCDFTIHHANKLATKHTRFSLVHLNSKDYPLCDICQERRGYLFCQEDRAILCRECDVPIHRANEHTQKHNRFLLSGVKLSSNSLDTDSSSTTTHGSRYSKPNIIPRSVSNENASSSSKFEDNMASDTGSVSTSSISEYLIETIPGYCFEDFLDASFPPNGF >CAK8573472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615093397:615101215:1 gene:gene-LATHSAT_LOCUS25918 transcript:rna-LATHSAT_LOCUS25918 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEKRDEEDWEFTDKRKQKSRKHVNGDEAEGEVDGEGSDGGGRRKRSAKGDADDYDSRLKAAKKRFEENTLEKLSSFYEDGELDGGEKGRNAHRVKEDFRLSEKSESGRELREKSRGSSEQVKSSRRKWDEVDTVSVKKVQDSVSEKSDLKSSKVADGKRSESRERSGSGRNEHGESKVSGSDSKVVKSGGKDDRRSDSERSKSKVKLETPDERVEKPRHHRTPTGFDVAETGEKLVNADEDGNARVRDKTVKETGNSTRSRTPEKSGKRHHDSEGSEMDYEKSGSFKKKELESDSYKDDRSKGKDEAWSDRRKDRESSKDNWKRRQQGNTDRDSKNEDGAFDHGREWELPRHGYDRMDNERPHGRLGGRKDVLRGEAVKTTTNFGISNDNYDVIEIQPKFVDYGKTDSVSNLSKRTEANQQYNSKSGGNHEERSRHPEERARKSDLSGSGTPGEDQKERYVDDDYDSYGGRGRGQKSVATNRSTGGSQSQYGNLDSGSFNRGGPQGIKGNRVGRGGRIRPPGRDNQQVGMPLPMMGSPYGPLGMPPPGPMQTLAHGMSPGPPMSPGVFMSPFNPAVWPGPRGVDMNIMGVPPAVSPVPPGPRFNTANMGNPPNSAMYYNQSGHGRGIPPSISSPGFNHTGPMGRGAPPDKTQGGWAPPKSSGAMGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVEKSASTPMYYKCNLKEFELAPEFFGTKFDVILVDPPWEEYAHRAPGVAEHTECWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSTATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIVEHFALGRRRLELFGEDHNIRSGWLTLGKELSSSNFSKEAYVKNFGDKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQMQQQQQSVSISLTPGNTSNRRPGNSPQNPTALGVNQDASSSNPSTPAPWASSPMEGFKGREGSVMPSDDKLFDMYGGFNGPPPPGYLDFESFRQMNML >CAK8570733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:100218823:100224906:-1 gene:gene-LATHSAT_LOCUS23463 transcript:rna-LATHSAT_LOCUS23463-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEASGKLNMSHEFDDDDDGPVVFKRSSVSKSNQLHSEVRKSTSHGHDGRPHKKTSDLPSSNGQSSSSQNGKVVPSSKAFGAESSVSVTKASPSFLKTYVRSPSANKIPSFGNKKESLLEKKIPIQVKEEKNSTKHLKEDKCEDSEDEVDNMPLSARMKMNNDNAKKATPVVVKKSHDDSDDDDTPLSARMSQNTNLGKSSSNYNAADQKKPFPKVMKGHQYGSNASIKQDRPSTLPVKRPLDKIDSSHFSVKKSKLSDPTPSINAKQTSLKSEPKVEDDDDDDDIPLSVKRPLDKIDSLHSSGKKSKLSDPTPSINAKQTSVKSEPKVKDDDDDDDDIPLSQRMNKLATPVDKPTSLKKVTNVTKVNKVSAPSFKKKANFKKSGNKSEQFKSTKLTPSSGDGQKKWTTLVHNGIIFPPLYKPHGIKILYKGKPVTLTPEQEEVATLFAVMRDTDYMQKEKFKENFWTDWRKLLGKNHVIQNLNDCDFTPIYDWYQIEKEKKKQLSTEEKKALKEEKMKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKVKRRITPNDVIINIGKDAPIPECPIPGESWGEIRHDNTVTWLAYWNDPINPKLFKYVFLAASSSWKGQSDKEKYEKARMLKSYIGNIRAAYTKDFTNKDITKQQIAVATYFIDKLALRAGNEKDDEEADTVGCCTLKVENVTAEGDNKLKFDFLGKDSIKYENTVEVEPPVYNAILKFQKDKRPGDDLFDKLDTSILNAHLKELMPNLTAKVFRTFNASFTLDDMLNKETKDGDLAEKIVVYQHANKQVAIICNHQRSVSKSHTAQISKLNEKIDELQALMMELKTDLDRARKGKPPLKSSDGKNKRNLTPEAIEKKMDQTSAKIDKMQRDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFSKTLLEKFAWAMDVDPDFRF >CAK8570732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:100218823:100224906:-1 gene:gene-LATHSAT_LOCUS23463 transcript:rna-LATHSAT_LOCUS23463 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEASGKLNMSHEFDDDDDGPVVFKRSSVSKSNQLHSEVRKSTSHGHDGRPHKKTSDLPSSNGQSSSSQNGKVVPSSKAFGAESSVSVTKASPSFLKTYVRSPSANKIPSFGNKKESLLEKKIPIQVKEEKNSTKHLKEDKCEDSEDEVDNMPLSARMKMNNDNAKKATPVVVKKSHDDSDDDDTPLSARMSQNTNLGKSSSNYNAADQKKPFPKVMKGHQYGSNASIKQDRPSTLPVKRPLDKIDSSHFSVKKSKLSDPTPSINAKQTSLKSEPKVKDDDDDDDDIPLSQRMNKLATPVDKPTSLKKVTNVTKVNKVSAPSFKKKANFKKSGNKSEQFKSTKLTPSSGDGQKKWTTLVHNGIIFPPLYKPHGIKILYKGKPVTLTPEQEEVATLFAVMRDTDYMQKEKFKENFWTDWRKLLGKNHVIQNLNDCDFTPIYDWYQIEKEKKKQLSTEEKKALKEEKMKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKVKRRITPNDVIINIGKDAPIPECPIPGESWGEIRHDNTVTWLAYWNDPINPKLFKYVFLAASSSWKGQSDKEKYEKARMLKSYIGNIRAAYTKDFTNKDITKQQIAVATYFIDKLALRAGNEKDDEEADTVGCCTLKVENVTAEGDNKLKFDFLGKDSIKYENTVEVEPPVYNAILKFQKDKRPGDDLFDKLDTSILNAHLKELMPNLTAKVFRTFNASFTLDDMLNKETKDGDLAEKIVVYQHANKQVAIICNHQRSVSKSHTAQISKLNEKIDELQALMMELKTDLDRARKGKPPLKSSDGKNKRNLTPEAIEKKMDQTSAKIDKMQRDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFSKTLLEKFAWAMDVDPDFRF >CAK8561389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:183778505:183779589:-1 gene:gene-LATHSAT_LOCUS14989 transcript:rna-LATHSAT_LOCUS14989 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGYVKVNIEVAIVPNAQLPISVEGGDVSMVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDSVWSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEESIFGEEFIEHLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSKEDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFEK >CAK8565037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:30698291:30698512:1 gene:gene-LATHSAT_LOCUS18278 transcript:rna-LATHSAT_LOCUS18278 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWVEIMDQGARIVLRSYSNCPQTGRKFYHPPPHSDIGENHAHGGGAAVNGGGGKSGLGYGGSGFDVILYSV >CAK8572801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564282205:564285695:1 gene:gene-LATHSAT_LOCUS25323 transcript:rna-LATHSAT_LOCUS25323 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQEYMRGQEQLPVVGFKKFKKIMKTCRRSSPYHSSCSDQCTVCDGTFFPSLLNEMSEIVGCFNERAQKLLELHLVSGFRKYIILLKGKSKRYHSTLVHEGKDLVVYALINAVAIRKILKKYDKIHYSKQGQLFKSQAQTMHKEILQSPWLIELMALHINLRETKVKSRKSSALFDECYLTIKDGKPSLACELFDSIKIDIDLTCSICLDTVFDPVSLSCGHIFCYSCACSSASVTIVDGLKEVHSKEKCPLCRSAGVYEGAVHLEELNILLGRSCKEYWEERLQMERVERVKQAKEHWETQCRAFMGI >CAK8536123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909401457:909401982:1 gene:gene-LATHSAT_LOCUS5522 transcript:rna-LATHSAT_LOCUS5522 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESATTAPKSPSKARKSPKKA >CAK8532874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:541054743:541055105:-1 gene:gene-LATHSAT_LOCUS2536 transcript:rna-LATHSAT_LOCUS2536 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLKVNLFKSSLSGVNLKNDFLEAVVVFLHCTVNLLPIKFLGIMVGVSPRKVKTWKSILDVVRSRLIVWRGKHLSIGGRVVMINYMLNVLPIYTLSFYKVPVNILKELINIQSRFLWG >CAK8542523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:522949430:522952646:-1 gene:gene-LATHSAT_LOCUS11358 transcript:rna-LATHSAT_LOCUS11358 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSAESRSPHPTSPSSPSFRKSRKNSKKKHGSRGSSFEYWRNEPLHRIPDRIFLNGSSEFASLFTQQGKKGTNQDAMVVWENFCSREDTIFCGVFDGHGPYGHMVAKKVRDSLPLKLNTHWELNVSGGEVLKEISNNATGGTNSEDVAFISADEESRVSIDTEEIEKFPEIFQTLKESFLKAFKVMDRELKTHQTIDCYCSGTTAVTLVKQGHDLVIGNIGDSRAVLGTREKDGSLAAVQLTVDLKPNLPAEAERIHKCKGRVFALRDEPDVCRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEVSHRRLTEKDEFVVMATDGIWDVLSNKEVVDIIAAAPRRATAARSLVESAVRAWRYKYPTSKVDDCAVICLFLDSGMQKISTASHANNSKEHPRSSGIQVSDNGDNEGVSEPNTLVRSGTCRESNNNNNNEEEEEIEIDAEAEKEWSALEGVSRVNTLLNLPRFVPDKVDKTAAAAGTRKLK >CAK8571632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453019276:453020959:1 gene:gene-LATHSAT_LOCUS24274 transcript:rna-LATHSAT_LOCUS24274 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMQYYIQLFFLWLLSTIAVRILLTRKKNKNRLTPPSPPSLPIIGHFHLISKLPHQSFHKLSSHYGPIMQIFLGSKRCIVTSSPEIAKEFLKTNEIYFSNRFRSAAVHYLSYGSKGFLFAPYGEYWKFMKKMCMSELLGGRTLDQFLPLRQQETLRFLRLLQKKGRAGVAVDIGGELLTLTNSTISRMTMRKICSENDSDVEDIRKMVRDVSELAGKFNVSDYIWFCKNLDLQGMNKRLKEIMERFDRMMEKVIREHQDERKKRKENGEDEHVRDLLDILLEVHENDKSEIKLSMENVKAFILDIFMAGTDTSAITIEWALVELINNPDVMQKARQEIDSITQKSRLIQESDLPKLPYLQAIVKETLRIHPTAPIIVRQTSGSCVAYGYEVPAETILILNLWSMGRDPKLWEKPLEFKPERFMSEDVKFDVRGQNFQFMPFGSGRRVCPGTSLALQVVPTNLAAMIQCFEWKVGGDGKVNMEEKPGMTLPRAHPLMCVPIPRFNCFSFGE >CAK8531164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86044517:86046194:-1 gene:gene-LATHSAT_LOCUS973 transcript:rna-LATHSAT_LOCUS973 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTSPMNLNSIDNSFEVDKLTYEIFSILENKFLFGYTDTENPKNSLQNQTQFLSKDVKQVKHAAGKVRILCIDGAGYTDGILAAKSLAHLESCLKRKSGNPNAHVAGFFDAVAGSGVGGVLAALLFTRGKDGLPMFTADEALRFLINNRSRISRRSGILRRVLQSETKSEKLFRKTFGESTLKDTLKPVLIPCYDLVTRAPFVFSRADALETDGYDYKMRDVCAATSADPVVPIEMKSIDGKTKIIAVDGGIAMNNPTATAVTHVLNNKHEFPFCNGVSDLLVLSLGNGELDFNAVKSPSGFVRIAGEGASDMVDQAVSMAFGECRVNNYVRIQSNGVMANATKGKTAKTSSDLLAVSEEMLAQKNVESVLFKGRKIVENTNLDKLELFGGELIKEQERRKTSILPTVVLKNASPSPRTSSATTLSTLSSNS >CAK8564754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8163910:8164257:-1 gene:gene-LATHSAT_LOCUS18025 transcript:rna-LATHSAT_LOCUS18025 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNIVFKKIEKNIMVVKCIEGCPFYIRFNMQTSNQFWQLVSLTDQDSCHMRAKNRHTKTDWLARKSVYTIRHIPEMKIKGLITEAIKKWRVKLSKDQAYRAKKKRNRIYPMC >CAK8577797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589116398:589120438:1 gene:gene-LATHSAT_LOCUS29868 transcript:rna-LATHSAT_LOCUS29868 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEIVQLEPNEVPEYSWPVLRFDVSPHGTYHFHRQFITPTNPNNFPKAVKWSPDGSSFLTTSDDNTLRLFTLPGSDSEVPINTSYDEDSYAASLVMSEGESIHDFCWYPYMSGADPVTNVFATTTRDHPIHLWDASSGQLRCTYRAYDAMDEITAAFSVGFNPAGTKIFAGYNKCIRIFDLHRPGRDFALHSTVKKDKKEGQTGIISALAFSPSHTGMLALGSYSQTTAIYTEDNMELLYVLHGQEGGVTHVQFSRDGNYLYTGGRKDPYILCWDVRNTVDCVYKLYRSAENTNQRILFDIDPSGQHLGTGGQDGLVHIYDLQTGQWVSSFEAAQDTVNGFSFHPFLPHAVSSSGHRRFVMPDDGDGDGDLCLSGRENCVSVWSFSYDSKTEDFRDDDSFNNQSGSGSLD >CAK8570746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106691985:106693634:-1 gene:gene-LATHSAT_LOCUS23476 transcript:rna-LATHSAT_LOCUS23476 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLRTLFNTKQHYKKTLTHISSFSSSTQQLQQLLSQCTNLTHLQQTHSFMLTRALDHNDINLSRFIHKSASLGFPNYSYSIFTFNHNRPFNVFVYNNMISALSSTNPTRSVSLFNFVRNLGLSFDSYSLPYVLKAVVSLKDVVLGRQVHCVGVVTGLDSFLSTVCSVIQMYSSCGNDVSSARKVFDEFVLLFGENGSVWNAMIAAYAKVGDVCNARKLFDDMPQRDKDVFSWTALISGYTQTHNPSEAIKLFRRMQLENVKPDEIAILAVLSACADLGALRMGEWIHNYIEKHKLSKIVPLYNSLIDMYAKSGNISKALQLFENMKHKTIITWTTMISGLALHGLGKEALHVFSCMEKEGRVKPNEVTFIAILSACSHVGLVELGRDYFTSMRSRYGIEPKIEHYGCMIDLLGRAGHLQEAKKLLLQMPFEANAAIWGSLLAASTRCGDADLAEEALRHLMVLEPHHCGNYSLLSNTYASLGRWSESWMVRKDMRNAGVEKVPGISFIELNNIVYEFIAGDKSSIYFVDIFDVLHSLDGQLRLEDP >CAK8570521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55150214:55152939:-1 gene:gene-LATHSAT_LOCUS23263 transcript:rna-LATHSAT_LOCUS23263 gene_biotype:protein_coding transcript_biotype:protein_coding MEMANYACYNVSFSASNNIWMTDDVMVKRVPLLCLQIAYDIFVSRLFYFVLKPLRVPLIIAQVLAGFTLSPTLLGNFKWVFSLFYGQYGILAVETFANLGIMYYVFLSGLEMNADTILRSRKKGTSIAIAGIVTPMLFGVWFLALQQKLIDKNDVFAQTPKENHGEAYLFWCLTLSVTSFPVLARILANLKLLYTKLGKDALTVAMLTDTYGWVMFTLLIPYSSRGGKPYLSVISTLLFIVFCFVVVRPILTPIVEHKTSTNTWRKSLLLDVLTGVFICSYITDCLGTHPIVGAFVFGLILPRGKFADMVLEMSADFVSGILCPIYFAGFGFRLNLPLVLKHKNTGLMLLIMLLLSIPKVLSSLVVTFFFGMPARDGVAIGLLLNTKGIMAVILLNVAWDKRILDPYSFMVMMLAIIVMTVMVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRIVACVHNVKHANNMIHVIEATNATRLSPIHVSVTHLVQLTRHGTAILVSQMDNSNSTIGGTEATNYGSQLEFESITNAFEKLVEQYNGVRFDMSSVVSSYTTIHEDIYNVAEEKRASLILLPFHKEFSTVEDALEIIHSEHCEINKNVLQQAPCSVGILVDRGLRSLLKTKLRIIMIFIGGPDDREALSIAWRMAGHPGTQLHVVRINLLGNAAEETKQKMEKSKSRHEMLSTVIDNVMQKELDEECIISFRHKAVNNNDSIVYSEKEVHSNTGEEIPTLLNDIDKPGYDLYIIGQGSGKNSVIFSRLLEWCDHPELGVIGDILASNSFGTQSSVLIVQQYLVGRKRVVKKCHEVKSGTENL >CAK8575950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:370726237:370728489:-1 gene:gene-LATHSAT_LOCUS28174 transcript:rna-LATHSAT_LOCUS28174 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPKSEGITFTKEPYIEDTGPRKIAGISFSTLSDTEIMKIGEVQVWKDAYYDPFKKPVPGGLLDSRLGPANKSLSCATCHGQYADCQGHYGYLPLVRPVFNVGYLSTIVKILKCICKRCACVFLDENSRKKHLVKMRNPKLDGLQKMQLLESIIKKYKTVKAIACPRCGYINGTFYALF >CAK8578269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615832898:615834106:1 gene:gene-LATHSAT_LOCUS30295 transcript:rna-LATHSAT_LOCUS30295 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSVLKVEEIQGRGRGIVASQPLKAGQIILKDSPILLYPTSPFSQHSSSFCDHCFKYCSSSSLVSCPSCNRYRFCDSTCLSKALNSSHSTFVCQSLSKLESNLLLLQQAVECQLQARYLVAAYNLAVNNSSNFQILLSLQGSFDNDGAAQFLHPIISSLFSHPHNELFSLQLTSSLLAKDKLNAFGIMHPFSENDEHRSVRAYGIYPYASFFNHDCLPNACRFDYVDVNPPYHADGLNNTDFVIRMIHDVPQGREICLSYFPVNENYSSRQKRLLEDYGFACNCDRCNVESNWSDNESVEENAEEDKQEVMVMDEEEEDEQCENMEPSDTDNNDFPHAYFFLKYMCDRTNCWGTLAPLPPKGDALSNVMECNVCGKLKSDDDDINVDDKGQDGEVPMED >CAK8565510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:249385298:249390347:1 gene:gene-LATHSAT_LOCUS18719 transcript:rna-LATHSAT_LOCUS18719 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSTTESRVHDAARSRLATLTAHLLPSSTTTAEGLIQPLHLLASSGISPPPNVKGSLTVVDERTGKKYSIEVSPDGTVKANDFKKISTGKNDKGLKLYDPGYLNTAPVRSTISYIDGDEGILRYRGYPIEELAEKSTFPEVAYLILYGNLPSARQLQDWEFAVSQHSAVPQGVLDLIQSMPQDAHPMGVLVNALSALSVYHPDANPALRGLDIYNSKQVRDKQIVRVIGKITTIAAAINLRLAGRPPVLPSNKLSYTENFLYMLDSLGNPSYKPNPRLTRALDIIFILHAEHEMNCSTSTVRHLASSGVDVYTAIAGGVGALYGPLHGGANEAVLKMLSEIGNVDNIPEFIDGVKARKRKMSGFGHRVYKNYDPRAKVLKKLTEEVFSIVGRDPLIEVAVALEKVALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEFFTILFAIPRMAGYLSHWRESLDDPDTKIMRPQQVYVGEWLRHYAPSKERSVSTDSNTDKLGQLSVSNASKRRLAGSGI >CAK8568637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625791996:625795808:1 gene:gene-LATHSAT_LOCUS21577 transcript:rna-LATHSAT_LOCUS21577 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKDEAYEEELLDYEEEEDKAPDTNGAKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLTDLKVAVFYGGVNIKVHKDLLKNECPQIVVGTPGRILALARDKDLSLKNVRHFVLDECDKMLESLDMRKDVQNIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDRLLIECNFPSICIHSGMSQEERLKRYRGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNVQSRFEIDIKQLPEQIDTSTYMPS >CAK8569872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14057232:14057699:1 gene:gene-LATHSAT_LOCUS22677 transcript:rna-LATHSAT_LOCUS22677 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLVGLDAPVLHALRQMMDLSDDNSSHNAPTRSYVRDAKAMAATPADVKEYPNSYVFLIDMPGLKSGDIKVQVEDDNVLVISGERKREEEKEGAKYLRMERRVGKLMRKFVLPENANTDAVSAVCQDGVLSVTVEKLPPPQPKKPRTIEVKIA >CAK8571122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:307298389:307299156:1 gene:gene-LATHSAT_LOCUS23813 transcript:rna-LATHSAT_LOCUS23813 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEHANWSPYDNNGGSCVAVVGSDYRVIAADTRMSFGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQFLSDTLYYKRSFPYYSFNVLGGLDSEGKGRVFTYDVVGSYERVGYSSQSSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSETEAVDLVKTVFAPASERDIYTGDRVEIVILNASGIHREFLERLTFMFMIDLYLNLRDGAKVLSRKRSLVAHYLKRSS >CAK8531217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91009941:91011419:1 gene:gene-LATHSAT_LOCUS1023 transcript:rna-LATHSAT_LOCUS1023 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWFLLFTAHLAIASSLVEFEDSLLTHKHGGVHLKLHHVRSLKSSPKSKSFLFSDMFMKDQERVRYLHSRLSTDSDSKNKVPKPKLVSIPLKSGLLIGSGNYVIKIGLGSPTRYYSMLVDTGSSFSWLQCQPCTIYCHAQDDPIFNPSSSNTYKTLPCSSPECSSLKSSTLNEPSCSKVSNACVYKASYGDSSLSLGYLSQDVLTITPSEKLPNFVYGCGQDNQGLFGRSSGIIGMANDKLSMLAQLSGKYGNAFSYCLPTSFSAPNSTKEGFLSIGTSSLTPSPYKFTPLLKNPKVPSLYFIDLNAITVGGKPLGIAASSYKVPTIIDSGTVITRLPSPVYTALKNALVAILSKKYKQAPGISLLDTCFTGSVKEISEAVPVIALIFGGGAELPLTGHNTLLELEKGVTCLAIAGNSETSSIAIIGNYQQQTFKVVYDVANSKLGFTPGGCQ >CAK8566604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:441416505:441419251:-1 gene:gene-LATHSAT_LOCUS19724 transcript:rna-LATHSAT_LOCUS19724 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKRANNGFTGFQVPVIPRAPRSARRRGPLKKTVDDGQVCAFEILASIAGKLLEEGESSASSNASGGNSQGVIVENRQDEVKPSITEGIHQGSCTESIFTTEVASQNRDQKPILHAKSDFSLEKGEKANVKTETVESDDKYMSYTNRLVVEAPENFRESYNRKIKKGFRSDKFGLKDRLELYMSPSLVDSKVNVKYPFHRKPFPTNSFTKDGNDIKLDFRDDDENFIRCTKVCAKSKTFRSPRRMAHRRIKNLLSSKYWNVAPKLKDCELSRSDLEVPLYRKRKTSNGSDKSRHNTIVKRRKFFDRVSGVTSDGGFSSESVSNSPEKGNDGHKPSSSAKIQVTEESRVKFSIKSFRIPELYIEVPETATVGSLKRTVMEAVMAIIEGGMHVGVLLQGEAIGDDNRTLEQTGLSCNENLDTLSFMLEPSSILASPVSCIGDPSSQCDTSEPTRSPETLALDSGITDTIHDSNLPIVPGNLVENNHDSAYSPVDTIVDKITPDSKAIVALPANNEALAVVPLSQKIKRSEFAHRRTRRPFSVTEVEALVHAVEELGTGRWRDVKLRAFDNADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLGAHAYWSQHQIKQHGKHQSGTLKITEASAERVSIQV >CAK8536741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12379885:12380546:-1 gene:gene-LATHSAT_LOCUS6075 transcript:rna-LATHSAT_LOCUS6075 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSFKKGPGRPRRLRFRELYENGSRTRRPGVSYRRTKCNKIGHNSRKCQSKEENPAALKRKRKTPRVKPANTNGKVDELAVESAVDENAIDEPAVDYFHGEIDASIEAMVASIEAKYQENQSSQIVNLTPNTTEVVADTMSPKKKQRMASKKKSVSTKKKKNMLYNMLRLKLSMMFVMHIMCLV >CAK8562060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:433008037:433015652:1 gene:gene-LATHSAT_LOCUS15592 transcript:rna-LATHSAT_LOCUS15592-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVSAIDQQALVSSFLEVAQGQTTATARQFLQATSWKLEEALQLFLIGSESGVVLPPAPSSFTPPLENIESYTDQSLSSEQINPRGGLTDGDEVRAPLPVIRETLYDNAMLYGASRLGHISQEPSPLIAFRNFEQETRRPGVWEPEQGAASTAESPRENLASLYRPPFHLMFNGSFDKAKSAAAMQDKWLLVNIQSTKEFSSHMLNRDTWANDAVSQTISTNFIFWQVYDDTTEGKKVCTYYRLDSIPVVLIIDPITGQKMRSWGGMVQPESLLEGLLTFLDAGPKDHHSNLSNKRPRGSSSPPKTKDSNASKEEDEEVQRALAASLENMKEPSAATLGDNKDANVADNSEETALPKRPAYPTLPEEPKAERNLLCRVGVRLPDGRRVQRNFLRSEPIQLLWSFIAVQLGEDETKAFKLTHAIPGASKNLDYESNSSFEESGLANSMISVTWD >CAK8562059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:433008037:433015652:1 gene:gene-LATHSAT_LOCUS15592 transcript:rna-LATHSAT_LOCUS15592 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVSAIDQQALVSSFLEVAQGQTTATARQFLQATSWKLEEALQLFLIGSESGVVLPPAPSSFTPPLENIESYTDQSLSSEQINPRGGLTDGDEVRAPLPVIRETLYDNAMLYGASRLGHISQEPSPLIAFRNFEQETRRPGVWEPEQGAASTAESPRENLASLYRPPFHLMFNGSFDKAKSAAAMQDKWLLVNIQSTKEFSSHMLNRDTWANDAVSQTISTNFIFWQVYDDTTEGKKVCTYYRLDSIPVVLIIDPITGQKMRSWGGMVQPESLLEGLLTFLDAGPKDHHSNLSNKRPRGSSSPPKTKAVVDSNASKEEDEEVQRALAASLENMKEPSAATLGDNKDANVADNSEETALPKRPAYPTLPEEPKAERNLLCRVGVRLPDGRRVQRNFLRSEPIQLLWSFIAVQLGEDETKAFKLTHAIPGASKNLDYESNSSFEESGLANSMISVTWD >CAK8571491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:430233102:430240153:1 gene:gene-LATHSAT_LOCUS24152 transcript:rna-LATHSAT_LOCUS24152 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSKSRKPRRKRKSDDSASESTSSQSDDSDRSQRSGHRSDRRSRRRRRSLPDSGSDSSSDGSGSDKGGRKRKSSRKITEEEIAQYLAKKAQRKAMKVAKKLKTRTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVGQGVPIDEFSVKAEKKRQRERMAEIEKVKKRREERALEKARHEEEMALLARERARAEFQDWEKREEEFHFDQSKFRSEIRLREGRAKPIDILTKHLDGDDLDIEINEPYTVFKGLTVNEMEELRDDIKMHLDLDRATPTHVEYWEALLLVSDWELAEARKKDALDRARVRGEEPPAEVLAEQRGLHCSVEPDVKKLLQGKTRVELEALQAHIESEMRTGAAKLVEYWETVLKHLRIYKAKACLKEIHAKMLRKHLHRLEELSEGEEDKLEDAPVMKSEEDIEDYVEFQPTNRSLSPEPITREEGQEVEDEAGSFSPELFHGDENEEAIDPEEDRALLEQKRLAVKEEQQRRIQEAMASKPAPSEDNFEMKAMKAMGAMEEGDVMFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLVDKIKAPTYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >CAK8575167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:43357138:43357600:1 gene:gene-LATHSAT_LOCUS27453 transcript:rna-LATHSAT_LOCUS27453 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMKLACIVLVMCMVVIAPMAEGALTCGTVTSDMSPCLGYLQAPNNASPSPSCCAGVRRLLNAATTTPDRQAACNCLKAAARAMSKLNANNAAALPGKCGVSIPYKISTSTNCNAVKF >CAK8534683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754940963:754941796:-1 gene:gene-LATHSAT_LOCUS4206 transcript:rna-LATHSAT_LOCUS4206 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVFGAEYLRKPNNTDVEHLLQMGESCGFPSMLGSIDCMHWEWKNYHVAWKGQFCQGDHGKPTIMLEAVASQDLWIWHAFFGIAGSNNDVNVLNQSNVFNDILEGHAPTVNYTTNGTSYNMGYYLADGIYPEWATFVKTILMPQEEKRKLFAQHQESARKDVKRAFGVLQSRFAIIRGPVRGWHMKTLKHTIYACIILHNMIVEDERHTYEGDFDYSYDNVDDMYSTTEIFNGPHSNIATRLQRRASLREKQVHRQLQGDLIEHIWERFGHEDDEN >CAK8534684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754940963:754941193:-1 gene:gene-LATHSAT_LOCUS4206 transcript:rna-LATHSAT_LOCUS4206-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYEGDFDYSYDNVDDMYSTTEIFNGPHSNIATRLQRRASLREKQVHRQLQGDLIEHIWERFGHEDDEN >CAK8561649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:336785042:336785782:-1 gene:gene-LATHSAT_LOCUS15220 transcript:rna-LATHSAT_LOCUS15220 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTDILQAKRGIRQGDPLSPMLFVLIMEYMNRLLMKMQRDPNFNYHAKCEKLKITNLTFTNDVLLLCRGDDISMQMILNSFKDFSNSAGLIMNPNKCKIYFGGLDNESRKTLEESINHFMPLVDKIVARIHHWSSRILSYAGRIHLVKSISAAMVQYWMQYLPMPKSVIRKIDSIFRSFIWTSKDTISRKCLVAWKCTCCPTAQGGDESAESSGMEQCAAPEMFMEYVQQN >CAK8567625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:530513298:530514208:-1 gene:gene-LATHSAT_LOCUS20662 transcript:rna-LATHSAT_LOCUS20662 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTPDAQSQWDFSCDLEVDCGSEENASIVYATIIVDKELQPGKVKRLMTVSNGKLIVHFEAIEARFLRASFSAFMDVVTLATKTIEEFGQGMEL >CAK8535340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:840351276:840351684:-1 gene:gene-LATHSAT_LOCUS4806 transcript:rna-LATHSAT_LOCUS4806 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFIFQLLFLFFLLFSTILSSRPLTSEQGENFFLELEGGESLKLMEMENCNIEDEECMKRRVTLEAHLDYIYTQHHKP >CAK8542711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539926075:539926635:-1 gene:gene-LATHSAT_LOCUS11525 transcript:rna-LATHSAT_LOCUS11525 gene_biotype:protein_coding transcript_biotype:protein_coding MADPETDNHEEPTFPSKRKPDQKDTHDFPNKNPKITTTLNDNNSQESEVLTTTNSSSDDVPVKEFGGDAEEDDNEPENDTDDDDDDEDDEEDNSEGERVVDRKGKGILRDDKGKGKLIEEDDDDDEDDDSDDSDDSDDDSDGNVSGSDSDFSDDPLAEVDLDNILPSRTRRRPAYSGVNISGGAGQ >CAK8575219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55918582:55926366:1 gene:gene-LATHSAT_LOCUS27503 transcript:rna-LATHSAT_LOCUS27503 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSQQRLSGADRKKLKRTIRDKFPRASDSDLDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSVEALKAGLRGKALRITHYYRDLLWESVEGRYVPNEGFLEDVVFGHPSLLSPPSHDTDLAEASGESSNGQQNITRSDEADGSLNVNELPADSSHTSTRPNSDENTADEITAGMGDLKLPDSGSPNEPNDQHTLSTSDVDLLLDKCLLQALHTTLKDKDLPIPGSTLWSNHVLPCRPSGMTLDIKKSSYKKLSKWLQAKSSAGLISVKEDKHKKEVVLLSVNRKHADYSSFKPEKRPVEKIEQSSVQSVNEVRSSKTLEVAEIYKPSVHVNPIFSSVGADTGSLFTASEATDIVFAYVEKENLVKPTNKSLVVLDAMLCDALFKGAIKKGTTYPTEIHKKDLGQTFVNRMQPHHVVTIGNESVVRKGALKTIQILTERRQGNKKVTKLSGMESFLIDAEALASELQKKFACSTTVGELPGKKGLEVLVQGGVIDDLGRHLIEQYGVPKRFIEVLDKTKR >CAK8535911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890742140:890746074:-1 gene:gene-LATHSAT_LOCUS5329 transcript:rna-LATHSAT_LOCUS5329 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSKKEGSVSKSMTVEDRYSQWKSLVPVLYDWLANHNLVWPSQSCRWGSLIDHATYKNRHRLYLSEQTDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKHKTIVHPGEVNRIREFPMDMNIIATHTDSPHVLIWNVESQPNRNAVLGAPTSVPDLVLTGHKDNAEFALAMCSTEPFVLSGGRDKHVVLWSIHDHIATLATEAGSDGSNVGGSGEKTAESLSVGPRGIYRGHKDTVEDVQFCPSSAQEFCSVGDDSCLILWDARVGTTPAVKVEKAHDGDVHCVDWNTHDINLILTGSADNSVRMFDRRKLNNSGVGSPIYKFEGHDAPVLCVQWCPAKSSVFGSGAEDGIINIWDHDKVGKTSGFADPTVSQASPGLFFRHAGHRDKVVDFHWNAADPWTIVSVSDDCASTGGGGTLQIWRMMDLIYRPEEEVMAELNSFKSHILGCETDNQNK >CAK8534069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690939007:690941973:1 gene:gene-LATHSAT_LOCUS3641 transcript:rna-LATHSAT_LOCUS3641-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNIGAAFSFIQPPRPDPKHETRTISSHFHCRRDSPPFPAKVHWEKRNVKPQSICTADELHRVTVPNSDWKLALWRYLPSPKAPLRNHPLLLLSGVATNAIGYDLSPESSFARYMSAQGFDTWTLEVRGAGLSTYGDSLEKDEECLTDSPEIVSALNDSKSSAFERALEFKNQGASFESEAKFEESRPTARFVEVLTGMSERLLSFLNSNLSEGRRNSAIVSQIKDFNRRLQTMIEGQQIFPPQILELPDRFTTTLEEFQKQFELIVKYDWDFDHYLEEDVPAAMEYIKAQCQPMDGKLLAIGHSMGGILLYAMLSRCCFDGKDSAFASVVTLASSLDYTPSRSSLKWLLPLAKPVQALNVPVIPVGPLIASAYPLAINPPYILSWLNSQISAQDMMDQKLFEKLVLNNFCTVPSKLLLQLKTVLQNGGLRDRSGTFFYKDHLCKSKVPVLAIAGDQDLICPPEAVYETVKLIPEELVTYKVFGGFGGPHYAHYDLVGGRSAADQLYPCITEFLIHHDIA >CAK8534068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690939007:690941973:1 gene:gene-LATHSAT_LOCUS3641 transcript:rna-LATHSAT_LOCUS3641-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNIGAAFSFIQPPRPDPKHETRTISSHFHCRRDSPPFPAKVHWEKRNVKPQSICTADELHRVTVPNSDWKLALWRYLPSPKAPLRNHPLLLLSGVATNAIGYDLSPESSFARYMSAQGFDTWTLEVRGAGLSTYGDSLEKDEECLTDSPEIVSALNDSKSSAFERALEFKNQGASFESEAKFEESRPTARFVEVLTGMSERLLSFLNSNLSEGRRNSAIVSQIKDFNRRLQTMIEGQQIFPPQILELPDRFTTTLEEFQKQFELIVKYDWDFDHYLEEDVPAAMEYIKAQCQPMDGKLLAIGHSMGGILLYAMLSRCCFDGKDSAFASVVTLASSLDYTPSRSSLKWLLPLLEPVQALNVPVIPVGPLIASAYPLAINPPYILSWLNSQISAQDMMDQKLFEKLVLNNFCTVPSKLLLQLKTVLQNGGLRDRSGTFFYKDHLCKSKVPVLAIAGDQDLICPPEAVYETVKLIPEELVTYKVFGGFGGPHYAHYDLVGGRSAADQLYPCITEFLIHHDIA >CAK8534070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690939007:690941973:1 gene:gene-LATHSAT_LOCUS3641 transcript:rna-LATHSAT_LOCUS3641 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNIGAAFSFIQPPRPDPKHETRTISSHFHCRRDSPPFPAKVHWEKRNVKPQSICTADELHRVTVPNSDWKLALWRYLPSPKAPLRNHPLLLLSGVATNAIGYDLSPESSFARYMSAQGFDTWTLEVRGAGLSTYGDSLEKDEECLTDSPEIVSALNDSKSSAFERALEFKNQGASFESEAKFEESRPTARFVEVLTGMSERLLSFLNSNLSEGRRNSAIVSQIKDFNRRLQTMIEGQQIFPPQILELPDRFTTTLEEFQKQFELIVKYDWDFDHYLEEDVPAAMEYIKAQCQPMDGKLLAIGHSMGGILLYAMLSRCCFDGKDSAFASVVTLASSLDYTPSRSSLKWLLPLAKPVQALNVPVIPVGPLIASAYPLAINPPYILSWLNSQISAQDMMDQKLFEKLVLNNFCTVPSKLLLQLKTVLQNGGLRDRSGTFFYKDHLCKSKVPVLAIAGDQDLICPPEAVYAETVKLIPEELVTYKVFGGFGGPHYAHYDLVGGRSAADQLYPCITEFLIHHDIA >CAK8567238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496903711:496905293:1 gene:gene-LATHSAT_LOCUS20307 transcript:rna-LATHSAT_LOCUS20307 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTTLLFHLLLLVQVFIHSSSLQDPESVVQQVQKSIIENEKRRKLGYFSCGTNNPIDDCWRCDSNWENNRKRLSECAIGFGRHAIGGKDGKYYMVIDSSDDPINPKPGTLRHAVIQQEPLWIIFKHDMVINLKMDLLVNSYKTIDGRGANVHIAEGPCIRIQQKTNIIIHGIHIHHCVRGGSGYVSDSPNHRVMKTRSDGDGITIFGSEHIWVDHCSLSNCFDGLIDVVHGSTGVTISNNYMTHHNKVMLFGHSDSYEEDKNMQATIAFNHFGEGLGGRMPRCRFGYFHVVNNDYTHWQQYAIGGSSSPTIFSQGNRFLAPDDDDHKEITKHFDSSEGEWKNWNWRSEGDLMLNGAYFTPSGAGASSSTYAKASSMSARPPMLVASMTAGAGVLSCKKGYQCY >CAK8570304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35132315:35136701:-1 gene:gene-LATHSAT_LOCUS23066 transcript:rna-LATHSAT_LOCUS23066 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVDMAFSSLLSPPYLSFFKPAPFLSNTRFPGSGSSSGVGPGFEFQRKRTRIRRHLKLLIGAQLSNSFSFTFGLDSPNLKSFQSHDLSKLSWRGPVPGDIAEVEAYCRIFRNSERLHSALMDALCNPLTGECSVSYEVLSDEKPQLEDKIVSVLGCMVSLVNKGRDEVLTGRSLIMNPFHDGDDSAVEDKLPPLAVFRSEMKRCSESLHVALENYLVPDDDRSLNVWRKLQRLKNVCYDSGFPRREGYPCHTLFSNWTPVYFSTSKDETESEDLETAFWTGGQVTEEGLKWLLDKGFKTIIDIRAETVRDNFYQLAVNDAISSGKIELVKIPVEVMTAPTMEQVVRFASYVSDSSKRPVYLHSKEGVWRSSAMVSRWRQYMTRSSSQNVSSPAITPSSMSHSTNSSGKVQDSSVTIARSSIENDNTLLQESFDSKSDISIPENNYDEKTQINAALNGISPDNTISETDVNAANKEGSFPSFISQVNPLKAQIPPCDIFSKTVMSKFLGSRKISPPDYVDYRIKRAKCLPQFKNMAIRRIQGDMIVSNGAIVGPDSLNGSADVDYSSGEPEVGVGSNGKLVNGNTSSSGRTTVNRFGQGKLHYTTNANVSGALNNDKITTKSQIVTDGTVKAALSLHDEELRTIEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKNVLLLKKLGDELLEEAKMVATFLHHQEKMNVIVEPDVHDVFARISGFGFVQTFYSHDTSDLHERVDFVACLGGDGVILHASNLFRDAVPPIVSFNLGSLGFLTSHSFDDFKQDLRQVIHGNTSRDGVYITLRMRLRCEIFRKGKAMPGKVFDILNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCILFTPICPHSLSFRPVILPDSAQLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRIHMSEHPLPTVNKFDQTGDWFRSLIRCLNWNERLDQKAL >CAK8565147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:47389557:47391414:-1 gene:gene-LATHSAT_LOCUS18382 transcript:rna-LATHSAT_LOCUS18382-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFPAALKSLNLPPSNPFHFRSKLSLFRQTINLFNFKPSISSSPSSPRLFPLSFSSLTNGSATAAAVEISKEGTLPHGVGEGVNEASHPKILQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVVVKIHDSWEGFRDYFLQQEGEKRLLAFTKRGTKIHSDFSYKKGDYLLFGAETTGLPPEALLDCKTEPFGGGTIKIPMVETYVRCLNLSVSVGIALYEASRQLNYESLQIPSESCIDTEEESFITEDIFG >CAK8565146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:47388823:47391414:-1 gene:gene-LATHSAT_LOCUS18382 transcript:rna-LATHSAT_LOCUS18382 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFPAALKSLNLPPSNPFHFRSKLSLFRQTINLFNFKPSISSSPSSPRLFPLSFSSLTNGSATAAAVEISKEGTLPHGVGEGVNEASHPKILQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVVVKIHDSWEGFRDYFLQQEGEKRLLAFTKRGTKIHSDFSYKKGDYLLFGAETTGLPPEALLDCKTEPFGGGTIKIPMVETYVRCLNLSVFLKIHL >CAK8530169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3989449:3990080:-1 gene:gene-LATHSAT_LOCUS58 transcript:rna-LATHSAT_LOCUS58 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVQSINLGAKELALYGQSALVPFQGSFDPIKKQRPQPKVDLNEDTDRVWKLLLLDINHDGVDGTNEDKAKWWEGERNVFRGRAELFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVTDHLSSSAFMSLAARFPKKNQAAYAEKARA >CAK8530457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22567052:22567697:-1 gene:gene-LATHSAT_LOCUS320 transcript:rna-LATHSAT_LOCUS320 gene_biotype:protein_coding transcript_biotype:protein_coding METGFSKSLGRKRVVVSENMESFSSFTPLKRVYSGRFNFNSDISLLESLPQDILLHVLCGVDHDDLKQLFHVSRITREATLIVKESHFQFTTPKKRSYNSSDKFLEIEAPKTPLKKSKESKFSSEELSDISTALFCVTDCTIDEDYELNCKIDYKG >CAK8531034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:71913480:71915692:1 gene:gene-LATHSAT_LOCUS851 transcript:rna-LATHSAT_LOCUS851 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCLSCCASLTCGLCTSVASGISQKSARIGYCFLFGASLIVSWIIREVGAPLLEKIPWIDSSGTHTKEWYQAQAVLRVSLGNFLFFGILALIMIGVKDQNDTRDSWHHGGWTGKIVIWLLLIVLAFFIPDSVMLVYGFISKFGAGLFLLIQVLILLDCTHNWNDAWVEKDEQKWYIALLVVSLGCYIAAYAVSGILFIWFNPGGYDCGLNVFFLSMTMILCFVFAVVALHPKVNGSLLPASVISLYCAYVCYTGLSSEPRGYECNGLNKSRAVSTSTLILGMLTTVLSVLYSALRAGSSTTFLSPPSSPRAGESKPLLEDAEEGKNKKEEKEARPVSYSYSFFHLIFALASMYSAMLLSGWTSSSENSDLIDVGWTSVWVRIGTEWVTAGLYLWTLLAPLLFPDREFA >CAK8538310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476179822:476182877:1 gene:gene-LATHSAT_LOCUS7523 transcript:rna-LATHSAT_LOCUS7523 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYSVCLCWRRRFKLALSEAPQEIKTLFDQYSENELMTPSNLKTFLVEVQKQEHATEEEAQAIIDSFKHFHRRGAGLNLETFFKFLFSDSNLSILPSIGVHHDMTLPLSHYFIYTGHNSYLTGNQLSSDCSDVPIVNALKRGVRVIELDIWPNASKDNVDVLHGRTLTTPVELIRCLRSIKEHAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFVPGSESLKEFPSPESLKKRIIISTKPPKEYLETKEVKEKEDDSLHGKASGDDEAWGKEVPSFKCVSISDYKGVNLDKEDIHDEEDPDESDKSHHHVAPEYKGLIAIHAGKSKGGIEAWLKVDPEKARRISLSEQQLEKAVITHGKEIVRFTQKNILRVFPKGTRIDSSNYNPLIGWVHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDFLLTTDPDNKVFDPKAKLPMKTTLKVTVYMGEGWYYDFKHTHFDQYSPPDFYARVGIAGVPNDSIMKKTRAIEDNWLPTWNEVFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVSELRSGIRAVSLHSQKGDKYNSVKLLMRFEFH >CAK8566912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472180316:472180537:1 gene:gene-LATHSAT_LOCUS20008 transcript:rna-LATHSAT_LOCUS20008 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFYRNLMRSKANSLKHIDIEAMKEGHQFTIDQGKILTAKVFDEEIVKALQGIGGLKSPRIDGYRAKFFKAS >CAK8578006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601678640:601682528:-1 gene:gene-LATHSAT_LOCUS30057 transcript:rna-LATHSAT_LOCUS30057 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFYMFSLPMTIGMVIFTLRYFSGPDVPKYVFFTVAYAWFCSLSIIILVPADIWTTLNSNYNGVISFLWSLSYWSTFLLTWAVVPLLQGYEDAGDFTVKARLRTSLHGNLVFYLSLGSVALSGLILLIALNKFWTGSIMGFAMACSNTFGLVTGAFLLGFGMSEIPKNIWLNANWTIQQKFLSHKVAKMAVKLDDAHQDFSNAIVITQATSKQMSKRDSLRPYMSIIDKMMLQMLKEDPSFKPQGGTLGEKDMDYDTDEKSMAALRRRLRRARVQYYRYRSEYTKSVLEALELEDTVKNYDSRDATRWKYTSCLRPERKGKVGAVLDTIEFLWRCILKKQVEKSLAVILGFMSFAILLAEATMLPSGVDLSLFSILVHAAGQKEVLVQLAAFVPLMYMCVCTYYSLFKIGMLMVYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLINIGGGRKTIFEKKMGNIDDAVPFFGKGFNKIYPLIMVIYTSLIAGNFFNRIINYCGNWRIFKVNNEDAEDMDGFDPSGVIILQKERYLLQQGHNVGELVFPLARSFSINVDVESVNRTKAALDESTSGEDKTNIIVETTNEENHSDISKRTGGKKYSALRTNPKEEGSSKDFAAEREPSPLTNDANDSLQDVSSAAPSSVVATKWESMMNGIKSLKSNIDSKRFLPLTNSTQTSYLNSQSSFESLDDIFERLKRPPAEHRDSGGG >CAK8534272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711753131:711754755:-1 gene:gene-LATHSAT_LOCUS3830 transcript:rna-LATHSAT_LOCUS3830 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSKTSKIFLIFLLINLSSFFNLCLCDETKVSDLDQLLPGFGQSAVLQNAQCMQKLLPCQPFLKSPNNPPPACCTPLSEMAKEESDCLCSFLNNPKFFVSLDVTKDELMKLPNACGIDVDATKCDASAENGTTSSTAAAAAAAGGEDTTGEDTTDSSSSTKMITPYGITYFGVSGFVTLLIALVFSAY >CAK8560879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51793174:51794038:-1 gene:gene-LATHSAT_LOCUS14519 transcript:rna-LATHSAT_LOCUS14519 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVVLISAKGLEDNDFLSSIDPYVILTYRAQEHKSNVQEGAGSNPQWNETFLFTVSDSASELNLRVMEKDKFSSDDNLGEAIIPLDAVFEEGSVSESVYKLVKEEEYCGEIKVALTFTPERNYEQGYNEEEESCGGWKESAREY >CAK8531554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124648003:124648282:-1 gene:gene-LATHSAT_LOCUS1338 transcript:rna-LATHSAT_LOCUS1338 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGEIASDAE >CAK8570844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:127144773:127146011:-1 gene:gene-LATHSAT_LOCUS23559 transcript:rna-LATHSAT_LOCUS23559 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHHITSKNFINYGKGSDFHEIFDVFGVGIFNLDSNEWKQERALLHSLLKSKSFEIFHQNNIQKKLENCLLPLLELSCKGVQVIDLHDIIERLSFDITCAFLFGFDPNSLPYNFNEFSDDAYVKAISVLDDTHLLRHCIPKCIWKVQKWLQIGKERKRKVAQESIHQFLYKCITYSEGVDEYHPCLLKELMKRGMVEKHYLRDTALNLLAAGDGTISSGLSWFFWLVSTHPIVEAKIIQEIKDNYLGQEENSITNLSIEHLDKLVYLHGAICEALRLYPPVPFEHKCAVKSDVLPSGHHVSPNTKLIYSLYAMGRTEEIWGDDCLEFKPERWISDKGQIIHVPSYKFIAFNAGPRSCIGKGISLLQMKMVAAAMLWRFHIHAVESQSVTPTISTVLRMEHGFKVKVSKRCI >CAK8578477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631633771:631635493:1 gene:gene-LATHSAT_LOCUS30484 transcript:rna-LATHSAT_LOCUS30484 gene_biotype:protein_coding transcript_biotype:protein_coding MGISIDNVTGLVLAVSSSIFIGSSFIVKKMGLKKSNTPGRSASSGGHAYLYEPWWWIGMISMIVGEIANFAAYAFAPALVVTPLGALSIIVSAILAHFILEEKLHIFGVLGCALCMVGSTTIVLHAPHERIIHSVKEVWHLATEPGFIMYTCATVILVCVLIFYCVPRYGERHLVVYIGICSLTGSLTVMGVKAVGIAIKLTFEGTNQFTYFQTWFFTLVVVGCCLMQINYLNKALDTFNTAVVSPVYYVMFTSFTIFASIIMFKDWDSQNASQIATELCGFVTILSGTFLLHKTKDMGNKSSPFSSPNQANTNDNNNNRNNNNDNNNSNTQNT >CAK8542361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504588584:504588916:1 gene:gene-LATHSAT_LOCUS11205 transcript:rna-LATHSAT_LOCUS11205 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNKKAMMKLALMLFLLGFTATVVDARFDSTSFITQVLFNGDAGYTIKSTTTACCDFCLCTRSFPPQCRCTDIGETCHSACKRCYCTKSIPPQCHCADITNFCYEKCN >CAK8532217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221557488:221559677:-1 gene:gene-LATHSAT_LOCUS1948 transcript:rna-LATHSAT_LOCUS1948 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNGKQHQSGKFRALKLVAFVALCFILISPAEAFDPLDPTGNVTIRWDIMSWTSDGYMATVTLFNFQLYRNIMNPGWTLGWTWAKKEIIWAMMGAQATEQGDCAKFKIKIPHSCKRSPQVVDLLPGASFNMQYTNCCKGGVLTSWGQDPSGAVAAFQMGVGLSGRSNKTVKLPTDFKLLGPGPGYSCGPAKRVPSTVILTDDRRRKAQALMSWNVTCTYSQFLAYKNPSCCVSLSTFYNDQVTACPTCACGCQNNATCVTKESKILKEVDGNNKTRKSDITPKPLLQCTRHLCPIRVHWHIKDNYKDYWRVKIAIINFNYRLNYTQWGLVVQHPNLNNVTQVYSFEYMPLLPYQAINDTGMFYGLKYYNDLLMEAGAKGNVQSEVLMKKDKNTFTLQQGWAFPRRVYFNGDECMLPPPDSYPFLPNSAYRLPRTTYVTISIAIFVIFASFFM >CAK8537613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407378617:407379106:1 gene:gene-LATHSAT_LOCUS6885 transcript:rna-LATHSAT_LOCUS6885 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWSFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPLLLPIEWSGEVFGLRRRGRFASRDVVRSPLNLII >CAK8537614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407378617:407378760:1 gene:gene-LATHSAT_LOCUS6885 transcript:rna-LATHSAT_LOCUS6885-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWSFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8561525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:271008620:271009351:1 gene:gene-LATHSAT_LOCUS15107 transcript:rna-LATHSAT_LOCUS15107 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCNFCGDQRSLVYCRSDAASLCLSCDRNVHSANALSKRHSRTLVCEKCNLQPAYVRCVEEKVSFCQNCDWSAHGTDPSSSTHKRQSINCYSGCPSASELSSIWPFFSDIPSTGEACEQKLGLMSINENCDNKARVSPESKNVSGSAQVADLPSKDKSAAGKSSVTESHAEPRILDQPPQPSDECMPKLQCLGSMASALCEDDNLYDGFNIDDMDLELENYKEVFSYALNNSEEFFRKGQY >CAK8563536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:613998623:613999198:-1 gene:gene-LATHSAT_LOCUS16935 transcript:rna-LATHSAT_LOCUS16935 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAILRSHDCLQRQRRYLPNDSLSSSSSPIRSRKNCSPNPNYKSNVNQNRRRNRSPVSAFPAAKQHDRRKSGERTFDNVAPVNLVMGKVKILKRGEKLTPEISYDSGLVVKAMDLKLDQPDLVLGSTDRFGPEPLAMQKQIRVSDSNLKDAIYAGSTIFSSPPPSSVPVPLFLRKNGVATSDLRRLLRLD >CAK8572372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532664413:532666379:1 gene:gene-LATHSAT_LOCUS24944 transcript:rna-LATHSAT_LOCUS24944 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKKDATELIGNTPLVYLNNITEGCVARIAAKLEYLQSCCSVKDRISLSMIEDAENKGLITPGKTVLVEPTSGNTGIGLASIAALRGYKLLVTMPSYASLERKIILRAFGADVYLTDPAKGVDGVFEKADELLAKTPNSFMLNQFENPANPKIHYETTGPEIWRDSGGKIDALVAGIGTGGTVTGTGKFLKEKNSEIKVYGVEPAESAVLNGGKPGKHQIQGIGAGMVPPILEFDFLDEVIQVSSEEAMETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVTIFPSFGERYLSSPLFESIRHEAEQMTFD >CAK8571540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:436910836:436914961:1 gene:gene-LATHSAT_LOCUS24191 transcript:rna-LATHSAT_LOCUS24191 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQSKADVAKKQKVVEDKTFGLKNKNKSKAVQKYVQNLKSSVQPKTDPKVDAKKKREEEKAKEKELNDLFKIAVSQPKVPAGVDPKSILCEFFKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDDDTMEEWDQATLEKVVESKQNEYNQNKPTDIVCKHFLDAVERKQYGWFWSCPNGGKNCIYRHALPPGYILKSQMKALLEEESDKMPIEEEIEKQRAEVKTTTPMSTELFLQWKKKKMDEREASLAAQQAERAKNDRMSGRELFLSDASLFVDDAEAYDKYHRQPESDETEQNGTGSAANNGPSTSATGGADDELPDDIDDDDDELDLDELDELEASLAKTSIQIKEAEA >CAK8534816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771568510:771578275:-1 gene:gene-LATHSAT_LOCUS4328 transcript:rna-LATHSAT_LOCUS4328 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYVVIKVLKLKPLISVDPQDRWKRIFPMSFAFCINIVLGNVSLRYIPVSFMQTIKSFTPTTIVWRKYFDWRIWASLIPIVGGILLTSITELSFNMLGFCAALFGCLATSTKTILAEALLHGYKFDSINTVYYMAPFATLIMVLPAMLLEGTKFLTG >CAK8566733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456882711:456883430:-1 gene:gene-LATHSAT_LOCUS19845 transcript:rna-LATHSAT_LOCUS19845 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHCKQANIKEALVLFNKMIKSGVQPDIHSYTTLIAVFCRENRMKESEMCFEEAVRIGVIPTNKTYTSMICGYFREGNLTLAMKLFRRMSDHGCVPDSITYGAIISGLCKQSKLDEARGLYDSMIKKGLTPCEVTRVTLAYEYCNVDDCLSAMVILERLEKKHWIQTTNTLVRKLCSVKKVGMVALFFNKLLDMDVHVNRVSLVAFMTVCYESNNYALVSDLSARIHKEKCLEIKATK >CAK8576274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:442184800:442190068:1 gene:gene-LATHSAT_LOCUS28476 transcript:rna-LATHSAT_LOCUS28476 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLHSVSMLDSSLLRDSQSQASRRRGEGRRGSTRSSSLLHMWREIEDEHAVSQVQGRPGEILLEQRNNGLITDLSLEDAPDSPEIGQRNGLEDAVFGENESETWSQSQSQNESHDGHEDLNNSSCESSSVFGEVERERVRRIFREWMNSGSRDCGPTISRRSSSPRREWLGETEQERVRVIREWVQMSSQQRNVSSVESREQPCAEIGTQIERVRDGFVVNHGGGQSEHILRGMRKIRGRQVMLDMLKKAERERQREIQELLGHQAVSRFPHRNRIQALLRGRFLRNDRSTDHNRSASVAESELGLLRRKQTVSGLREGFFSRLDSTGSSQATSNLSDTLSDIDIDFNINEQAGESSSCIVVEANNRIGNSAGISGGHFLEGTTCESFDWQESTAHADGNQLQCLQIESIDGQSSSVSVVCERRDNTGQNVDVVAIRDTTNELIQQSLQIHNSQHINNQESSEVHIEQSELGDIRFDENDSSNHTGYPEGIVVDNVNYGSNALEEPLEDIVETEGNGWHQSNTEWRNNTDESVDDNQLSNTANEWPENIWANEDGENPHLPEASEVWQEDGGFQEAVENWLGGPSNHETAPAGGRVHNFYFPDDDNVYSGELRELHSRRPVSNLLGSSFRESLDQLIQSYIERQGHANMEWDMEEATPSAALVEQNLEHQRRDQIVDQETTTNSSPDLPSLHTPPTPPLWDQHPHDDNWPHNDELEVINDLRLDMARLHQRMNSMQKMLETCMDMQLELQRSIRQEVSAALNRSGDSPGMHDCDSQDDSSKWECVRKGLCCICGEVNIDSLLYRCGHLCTCSKCANELLQSRRKCPMCQAPVLEVIRTYSIL >CAK8560917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57661379:57664856:1 gene:gene-LATHSAT_LOCUS14556 transcript:rna-LATHSAT_LOCUS14556 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRQNKEYVNSLESLANGLTWLLPERFSESEIGPEAVTTVLGIITAINEHIIDSTPNQNITGSVEPNSFPYPLCLSALKDLETLVEVVAQQYYGDDKKWNFLAVTEATKVLVRLSLFRKSGYKMVLHGGETSNDDSTSQQQMAVNPKGRYNPGTNPWNLEGRALSALSQFGEKARVVSDPVWLRRVQHQQAIVEPAASTIVKPTLSTILSKKGFRGALFLIGEVLFIIRPLIYVLFIRKYGTRSWTPWFLSLAVDTISNGILSLVTTTVAGENPEKDEVKRRKLLFVLYLMRDPFFCKYTRRKLESTEKVLEPVPIIGFLTAKIVELTIGAQTRYTYMSGS >CAK8573521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617843794:617846973:-1 gene:gene-LATHSAT_LOCUS25962 transcript:rna-LATHSAT_LOCUS25962-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVQSKVVENKDEQNLDPQQVVTHDAEEHNSIEPEKKSVMSRVKARARKIKNSITGHGQQTPDQTSGHNTEIQHTPEDDEDEDDDDDDGDLDEGQENVQEPLRYAPSSKVVGEEPHHDPLNKGVSSKTETNQSIDTDKAKPFDLEEKPDQFKADFERPRVSKEDSQEQRSRTEAYDLPSYQTRDTDPNLEVYDRESVKSATPKFGSEQDETLGNSGTDFEGGRVKGEEPRRDSLAEGVSSRTTETNQNIAKPFSEEDKPDQFKANLAKLGFSKEDFEDQVNRTEAYTPPKHQTNDNYPSEDVKNATPPPEYKQDENFGNSGTGFEGAKVKGEEPLRNSLFEGAKVKGEEPLRDSLFEGVPSTTTETNQNIAKPFAEEEKPEQFKADLERPGFSEDFEEQGSRIEAYTLPKYQTSDTYPNEEGKLKDIKPLEESLERLNVHDDEPKIQPHAADTEYPPSAGSHDQFVPHFSDATETKSEYPRETVSTDINRDQEFSESTDTNRDQEFSEKDSQDQGSRTEAYTIPGYQTRDTDPSGARSDEIEDFTPIEESLEKLNVHDDESKPITEQKIKPSVADTEYPASAGSHDIRQPVPHFFDASKSHNEFSQETVSKNSNKNHEIPSETEGTFNTVPNTAENQPGYEDSVETQPKHKKSYADENEFSSATTADKTLPRENDEVYNLGNDGTSTGAGSNTNDGTETKGGDKGAAVRDYFNEKSRPGEEDKALAEVISEALHKGKDEPLKSEDAKLDSEVEKPEKVYEESNVASPGKGMVDRVKGVVGSWFAKSEESPSPHDAGIGTEDISKNKDSVPEVKHDGKVVDEGRIQE >CAK8573522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617843794:617846973:-1 gene:gene-LATHSAT_LOCUS25962 transcript:rna-LATHSAT_LOCUS25962-5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVQSKVVENKDEQNLDPQQVVTHDAEEHNSIEPEKKSVMSRVKARARKIKNSITGHGQQTPDQTSGHNTEIQHTPEDDEDEDDDDDDGDLDEGQENVQEPLRYAPNYGSRDVKSATTPTTEYEKVENLRNLVFNLEGSKVVGEEPHHDPLNKGVSSKTETNQSIDTDKAKPFDLEEKPDQFKADFERPRVSKEDSQEQRSRTEAYDLPSYQTRDTDPNLEVYDRESVKSATPKFGSEQDETLGNSGTDFEGGRVKGEEPRRDSLAEGVSSRTTETNQNIAKPFSEEDKPDQFKANLAKLGFSKEDFEDQVNRTEAYTPPKHQTNDNYPSEDVKNATPPPEYKQDENFGNSGTGFEGAKVKGEEPLRNSLFEGAKVKGEEPLRDSLFEGVPSTTTETNQNIAKPFAEEEKPEQFKADLERPGFSEDFEEQGSRIEAYTLPKYQTSDTYPNEEGKLKDIKPLEESLERLNVHDDEPKIQPHAADTEYPPSAGSHDQFVPHFSDATETKSEYPRETVSTDINRDQEFSESTDTNRDQEFSEKDSQDQGSRTEAYTIPGYQTRDTDPSGARSDEIEDFTPIEESLEKLNVHDDESKPITEQKIKPSVADTEYPASAGSHDIRQPVPHFFDASKSHNEFSQETVSKNSNKNHEIPSETEGTFNTVPNTAENQPGYEDSVETQPKHKKSYADENEFSSATTADKTLPRENDEVYNLGNDGTSTGAGSNTNDGTETKGGDKGAAVRDYFNEKSRPGEEDKALAEVISEALHKGKDEPLKSEDAKLDSEVEKPEKVYEESNVASPGKGMVDRVKGVVGSWFAKSEESPSPHDAGIGTEDISKNKDSVPEVKHDGKVVDEGRIQE >CAK8573523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617843794:617846973:-1 gene:gene-LATHSAT_LOCUS25962 transcript:rna-LATHSAT_LOCUS25962-4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVQSKVVENKDEQNLDPQQVVTHDAEEHNSIEPEKKSVMSRVKARARKIKNSITGHGQQTPDQTSGHNTEIQHTPEDDEDEDDDDDDGDLDEGQENVQEPLRYAPNYGSRDVKSATTPTTEYEKVENLRNLVFNLEGSKVVGEEPHHDPLNKGVSSKTETNQSIDTDKAKPFDLEEKPDQFKADFERPRVSKEDSQEQRSRTEAYDLPSYQTRDTDPNLEVYDRESVKSATPKFGSEQDETLGNSGTDFEGGRVKGEEPRRDSLAEGVSSRTTETNQNIAKPFSEEDKPDQFKANLAKLGFSKEDFEDQVNRTEAYTPPKHQTNDNYPSEDVKNATPPPEYKQDENFGNSGTGFEGAKVKGEEPLRDSLFEGVPSTTTETNQNIAKPFAEEEKPEQFKADLERPGFSEDFEEQGSRIEAYTLPKYQTSDTYPNEEGKLKDIKPLEESLERLNVHDDEPKIQPHAADTEYPPSAGSHDQFVPHFSDATETKSEYPRETVSTDINRDQEFSESTDTNRDQEFSEKDSQDQGSRTEAYTIPGYQTRDTDPSGARSDEIEDFTPIEESLEKLNVHDDESKPITEQKIKPSVADTEYPASAGSHDIRQPVPHFFDASKSHNEFSQETVSKNSNKNHEIPSETEGTFNTVPNTAENQPGYEDSVETQPKHKKSYADENEFSSATTADKTLPRENDEVYNLGNDGTSTGAGSNTNDGTETKGGDKGAAVRDYFNEKSRPGEEDKALAEVISEALHKGKDEPLKSEDAKLDSEVEKPEKVYEESNVASPGKGMVDRVKGVVGSWFAKSEESPSPHDAGIGTEDISKNKDSVPEVKHDGKVVDEGRIQE >CAK8573524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617843794:617846973:-1 gene:gene-LATHSAT_LOCUS25962 transcript:rna-LATHSAT_LOCUS25962 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVQSKVVENKDEQNLDPQQVVTHDAEEHNSIEPEKKSVMSRVKARARKIKNSITGHGQQTPDQTSGHNTEIQHTPEDDEDEDDDDDDGDLDEGQENVQEPLRYAPIVGEEPHHDPLNKGVSSKTETNQSIDTDKAKPFDLEEKPDQFKADFERPRVSKEDSQEQRSRTEAYDLPSYQTRDTDPNLEVYDRESVKSATPKFGSEQDETLGNSGTDFEGGRVKGEEPRRDSLAEGVSSRTTETNQNIAKPFSEEDKPDQFKANLAKLGFSKEDFEDQVNRTEAYTPPKHQTNDNYPSEDVKNATPPPEYKQDENFGNSGTGFEGAKVKGEEPLRDSLFEGVPSTTTETNQNIAKPFAEEEKPEQFKADLERPGFSEDFEEQGSRIEAYTLPKYQTSDTYPNEEGKLKDIKPLEESLERLNVHDDEPKIQPHAADTEYPPSAGSHDQFVPHFSDATETKSEYPRETVSTDINRDQEFSESTDTNRDQEFSEKDSQDQGSRTEAYTIPGYQTRDTDPSGARSDEIEDFTPIEESLEKLNVHDDESKPITEQKIKPSVADTEYPASAGSHDIRQPVPHFFDASKSHNEFSQETVSKNSNKNHEIPSETEGTFNTVPNTAENQPGYEDSVETQPKHKKSYADENEFSSATTADKTLPRENDEVYNLGNDGTSTGAGSNTNDGTETKGGDKGAAVRDYFNEKSRPGEEDKALAEVISEALHKGKDEPLKSEDAKLDSEVEKPEKVYEESNVASPGKGMVDRVKGVVGSWFAKSEESPSPHDAGIGTEDISKNKDSVPEVKHDGKVVDEGRIQE >CAK8573525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617843794:617846973:-1 gene:gene-LATHSAT_LOCUS25962 transcript:rna-LATHSAT_LOCUS25962-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVQSKVVENKDEQNLDPQQVVTHDAEEHNSIEPEKKSVMSRVKARARKIKNSITGHGQQTPDQTSGHNTEIQHTPEDDEDEDDDDDDGDLDEGQENVQEPLRYAPSSKVVGEEPHHDPLNKGVSSKTETNQSIDTDKAKPFDLEEKPDQFKADFERPRVSKEDSQEQRSRTEAYDLPSYQTRDTDPNLEVYDRESVKSATPKFGSEQDETLGNSGTDFEGGRVKGEEPRRDSLAEGVSSRTTETNQNIAKPFSEEDKPDQFKANLAKLGFSKEDFEDQVNRTEAYTPPKHQTNDNYPSEDVKNATPPPEYKQDENFGNSGTGFEGAKVKGEEPLRDSLFEGVPSTTTETNQNIAKPFAEEEKPEQFKADLERPGFSEDFEEQGSRIEAYTLPKYQTSDTYPNEEGKLKDIKPLEESLERLNVHDDEPKIQPHAADTEYPPSAGSHDQFVPHFSDATETKSEYPRETVSTDINRDQEFSESTDTNRDQEFSEKDSQDQGSRTEAYTIPGYQTRDTDPSGARSDEIEDFTPIEESLEKLNVHDDESKPITEQKIKPSVADTEYPASAGSHDIRQPVPHFFDASKSHNEFSQETVSKNSNKNHEIPSETEGTFNTVPNTAENQPGYEDSVETQPKHKKSYADENEFSSATTADKTLPRENDEVYNLGNDGTSTGAGSNTNDGTETKGGDKGAAVRDYFNEKSRPGEEDKALAEVISEALHKGKDEPLKSEDAKLDSEVEKPEKVYEESNVASPGKGMVDRVKGVVGSWFAKSEESPSPHDAGIGTEDISKNKDSVPEVKHDGKVVDEGRIQE >CAK8535178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820900185:820905018:-1 gene:gene-LATHSAT_LOCUS4652 transcript:rna-LATHSAT_LOCUS4652 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHALFQAGQIIPMNSHISPKTLSPKTVPKLPLLRNRRRFLVIRSSSVSPADVDSEASSTSSFLERCFAAPSAPPASGNVNPVMKGSKYGAFGAVTLEKSKVEFTQQQTNKSSPELATGGGGGDIGKIISYGGGDGGDDDGDDDDYFDDFDEGDEGDEGGLFRRRIILQELFDRKFVDAVLSEWQRTIMDLPAGFRQAYEMGLVSSAQMVKYLAMNARPTANRLISRKLPQGLSRAFIGRLLADPAFMYRFLLEEVATIGCSVWWEIKNRKDRIKQEWDLALINVLTAAACNAVVVWSLAPCRSYGNTFQFDLQNTLQKLPNNIFEMSYPLREFDLQKRIQAFLFKAAELCMVGLGTGAVQGALSSTLAKKKEGRLSVTVPTVSSNALGYGAFLGIYANLRYQLLCGFDRAMVSHFDVIGVALFFSTAFRVLNVQLGETSKRAWLGVEADPLAQSDELLKVYNRTSENVEKPSSSKWFISKNAVVSGLGLLGIKQSNGSGAESSAPKARRKRIVRKKVAAGSA >CAK8560460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20590646:20591902:-1 gene:gene-LATHSAT_LOCUS14129 transcript:rna-LATHSAT_LOCUS14129 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVTRSQRLKLLNKCSNDDSNSNSNSSERCEVISEVLLSQDLMLHILTLLPLKCLVNSARYVCKPWATIIQTSQFAQACLRHSKPGLLVQCFYHTYFLGIKDYVNGQFEFEKSDMGTKSGRVIDTCNGILVLVEYDKSLDEAVAFLFNPITKYCFRIPPLHLSQKPKKPCSLFYSQMTLVCVPHTAKFKIFFIDVLEVSGAFYYVFYVLTIGIDNSWREIDRKKASLSWLRFWEKTLYDGGNHLYWMTQEHGITLVDVDKEIVVGKFSPPPVPIYCAVEDVRRFFPRFLWMGNQLSCIVTKRRVIHDTFQIYLLDFDSGKWFHYHDVGHLDYVAAFGHELTIFEISFDCWIHDQIIFEIFFKEKRPQAIVRNMNFSYSVQTRKLTKIEGIKNENIFVGDHVMLHTNSLISLPSTPT >CAK8531396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107388271:107389746:1 gene:gene-LATHSAT_LOCUS1192 transcript:rna-LATHSAT_LOCUS1192 gene_biotype:protein_coding transcript_biotype:protein_coding MTINPPPSDTEVSALENKNLGRITQIIGPILDIVFPPGKMPYIYNALIVQGRDTVGQQIRVTCEVQRLLGNNRARAVAMSATDGLKRGMEVIDTGAALSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPAFIQLDTQLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDMISILGLDEVSEEDRLTVARARKMERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEATAKATNLT >CAK8532928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551551854:551554939:1 gene:gene-LATHSAT_LOCUS2588 transcript:rna-LATHSAT_LOCUS2588 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEVPSFSLGFDLDLQDSPSHSPNPDPHLIVPDSESDPETRPDPPRRIFKRLRRGLSTSSVHHKTEPPPCTDIDDDDIEEFSDQDEPVQVSARPSVRNQSICRSSKVSLKSIGVLTPHRDKKRKPGLEIPHSVELETSHCGSMFRKLMASPLRRFQLIESDDDDDMVGEDVNGGSKLGPSSSTGSMCNRNTPVISLERDGKKQFDDVNRNKKDLPIHLSPVKNFLIPRDKQASVGLETGQSGSVFPNGKLAASPLCRFPLIESDDDDDDDDDMVGEDVNGGNKPGPASSTGPVCNRNTPVVSLEQDSKKQFDDVNQNKGDLPIHFSPVKNFPIPSDKQASAGLETGQSGSMFPNGKLAASHLRRFQLLDDDDDDDDVMVCEVKVGPSSLTGNRNRPPSSLKQDKKVRFVEANQNQKHLSPVKKSFSIPTPAFRDVCEEYFHSAKNTQMPKSNEPYRGANSECQKNEQMWGAAGPLPPAHRYFFHDNPKIQQLVRNRLCNFSPLGDNTVNQQENIDYIGQFDNGGSTSRRSKSKNLNGSEGWVDPKIISSSTRKKATKRNSTKKNNETSKLNSSNDSASWVEPKDAGQRRVQASGEPAGHWYTGSDGRKVYVNKSGKESTGRNAYRNYRKESGAASQKSKKKTTAKKGK >CAK8532929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:551551854:551554939:1 gene:gene-LATHSAT_LOCUS2588 transcript:rna-LATHSAT_LOCUS2588-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEVPSFSLGFDLDLQDSPSHSPNPDPHLIVPDSESDPETRPDPPRRIFKRLRRGLSTSSVHHKTEPPPCTDIDDDDIEEFSDQDEPVQVSARPSVRNQSICRSSKVSLKSIGVLTPHRDKKRKPGLEIPHSVELETSHCGSMFRKLMASPLRRFPLIESDDDDDDDDDMVGEDVNGGNKPGPASSTGPVCNRNTPVVSLEQDSKKQFDDVNQNKGDLPIHFSPVKNFPIPSDKQASAGLETGQSGSMFPNGKLAASHLRRFQLLDDDDDDDDVMVCEVKVGPSSLTGNRNRPPSSLKQDKKVRFVEANQNQKHLSPVKKSFSIPTPAFRDVCEEYFHSAKNTQMPKSNEPYRGANSECQKNEQMWGAAGPLPPAHRYFFHDNPKIQQLVRNRLCNFSPLGDNTVNQQENIDYIGQFDNGGSTSRRSKSKNLNGSEGWVDPKIISSSTRKKATKRNSTKKNNETSKLNSSNDSASWVEPKDAGQRRVQASGEPAGHWYTGSDGRKVYVNKSGKESTGRNAYRNYRKESGAASQKSKKKTTAKKGK >CAK8544360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679720919:679721491:1 gene:gene-LATHSAT_LOCUS13047 transcript:rna-LATHSAT_LOCUS13047 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPVLEVDDAVIRKDMIGSSILFFIIFFVSIRIYFYLRHLRRQRLISSDSRTAPTFAGERLDTSVLKSLPITTYSSSAARRTLHDCAICLSEYTDGDECRTLPNCNHVFHSHCIDAWFTSHSNCPLCRTPVQPVTAESQIEPGSISSSKESDEGSSYFPEPIGCPRRPFRVMVELPPEVFRIESHTRG >CAK8538144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465700840:465701193:-1 gene:gene-LATHSAT_LOCUS7376 transcript:rna-LATHSAT_LOCUS7376 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANASVSPAIHIARALGVLSIEASLATSLACSLRWSTSCLTSAEELAGSPICVASASCCNSGMLGGLCVRQSHHIAHPRYSAGKIKLRTSVTCCPCPDPACECSVAPGVPVALWS >CAK8539351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509926406:509927875:1 gene:gene-LATHSAT_LOCUS8454 transcript:rna-LATHSAT_LOCUS8454 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTPIRSKSTPFSNSILKSKSVFLHEWWLVKPQNHCNGFAISGITSIGRGERVFVSTVIVKVHETNVVETQDGIIVGFRGFINSSRSFQNGFSSQVCQRFSIGFPHSWKKLSARLENECDYVDRVNDFGVSNTPCHKEITDETLQEAIEVEGNKNIITSLRLSQPQVDVVYNGENGFSNVDDSNASLCQKTADKCLQEAEGNDDIASHKLPHPQVEVAYNGESKVSDFDDLNASFLKKTADVTSNEAKEAEDDDTVGSLRMPQLQVDVINTGENGVSSVAAAESNQSKMGVFEFDPVLEQSSVKSPLHPKKLKLSSDCKENNERIKQTIVEDPGSLCRRVVTRSIAKNSLIKDRKVEAKCFTSPVRRSSRGKKL >CAK8560711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37467462:37469717:-1 gene:gene-LATHSAT_LOCUS14366 transcript:rna-LATHSAT_LOCUS14366 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRETSEKNLTPFSLQNHNLVCVKFAASFFFVALAFRLLFWDSFSLSSFVQFQKPQPQTVAKTESIVFQSPHKAPESDAFQFNNQNQTSFNGSGKCNLFVGDWVSDLSEPMYTNESCHVIEPHQNCMKNGKPDLGYLYWRWTPKECELPKFNANKFLNRMRNKAMGFIGDSISRNHVQSLLCILSQVEQAVEVYHDKEYRSKIWKFPSHNLTLSVIWTPFLVKAAIYEDMNGVSSSMVQLYLDTLDEQWTKQFNDFDYVVIGGGKWFLKSAVYHENNTVAGCHYCPGKNLTDLGFDYAYRKALQLVFNFFAKNSSHNVTVLFRTTTPDHFENGEWFSGGYCNRTVPFKAGEISMVDVDSIMRGVEVEEFEKAITSVGSENGVKLKLLDTTFLSLLRPDGHPGPYREFQPFTKDKNGKVQNDCLHWCLPGPIDSWNDIVMEMLVNG >CAK8531551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124549421:124555250:-1 gene:gene-LATHSAT_LOCUS1335 transcript:rna-LATHSAT_LOCUS1335 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLMNLLSPCWKPFGHGDDSSAGIVGREGKDGLLWFRDIGRYGSGEFSMAVVQANQILEDQSQIESGPLGTFVGVYDGHGGPDAARYVCDHLFRNFQAASSESQGVVTAETIQTAFRSTEEGFTAMVADLWNTRPQVATTGTCCLVGVIFQQTLFVANLGDSRVVLGKKVGNTGGVAAIQLSTEHNANLEAVRHELKELHPHDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHAQFNREPINPKFRLPEPMNMPILSANPSILSHPLQPNDSFLIFASDGLWEHLNNDQAVEIVHRSPRAGSAKRLIKAALQEAARKREMRYSDLRSIDKKVRRHFHDDITVIVLFLNSDLITRGTVQSPPLSIRSALNH >CAK8542537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524197071:524198604:-1 gene:gene-LATHSAT_LOCUS11371 transcript:rna-LATHSAT_LOCUS11371 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTGLPLMKYLRVQVDTLPLNHQSPLRLLPNFFLRHFSEEVRGSFLDKSEVTDRVVSCVKNFQKVDPSKVTPSAHFQNDLGLDSLDSVEIVMALEEEFGFEIPDNEADKINSINLAIDFIASHPQAK >CAK8542860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554024333:554029668:-1 gene:gene-LATHSAT_LOCUS11662 transcript:rna-LATHSAT_LOCUS11662 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANCPHVQKQNLQASCRKVNSGSQKDLWLVVRDGTLNDVESALASLKKSSGNINLRNTFGLTPLHVAAWRNHIPIVKRLLAAGADPDARDGESGWSSLHRALHFGHLAVASILLQHGASISLEDSKSRIPIDLLSGNVFQVFGNEHDSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLNGSIIKLISAAKFHSVALTDRGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYTSVDTQPTARRVSSLKSKIVAVAAANKHTAVVSDLGEVFTWGGNREGQLGYGTSNSASNYTPHVVESLKGKILTGVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVITRNLKKSGSTPLKFHRKERLHVVSIAAGMVHSMALTEDGALFYWVSSDPDLRCQQLYAMCGRNMVSISAGKYWTAAATATGDVYMWDGKKGKDKPLVATRMPGVKKATSVSVGETHLLIVASLYHPVYPINMIDDSQKLKSSNTNSMEEFDEDILFEDTDSHNTIYTAQNDNLRQRSTPSLKSLCEKAAAECLLEPRNAIQLLEIADSLGADDLKKYCEDIVMRNLDYILSVSTHAVASASLDILASLEGLLDQRSSEAWSYRRLPTPTATLPVIIDSEEDDNEIECQKTYDKPMKISALKLEKAQRSDSFLQPKDDSDSEISKVVRAIRKKLQQIEMLETKQSKGHILDDQQMAKLQSKSALESSLAELGVPAETSQNKESSSTQTEGKGSKKSKSSKKQRRKSGNKSSIEQTEIESVYSKSEMIPESENLLDMPSPNSKVEEDICKYSTADQGEKDLGFVVQKKDASELLKGKGQSPKVSKKKNKKGGLSMFLSGALDDLPEEVAPPPPPPTPKNDGPAWGGAKFGKGSTSLREIQDEQSKIVGNKPPGVKDKVDDLSDFGSCGKIKLSSFLHSSPIPVATSRIPQASDGDKNTPPWAAPGTPPQPFKLSLRDIQMQQVKKQALSSSPKTKTAGFTIATGLGSPSEATGMNRWFKPEVDTPSSIRSIQIEEKAMKDLRRFYTSVKIVKKQC >CAK8539629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518733504:518735901:1 gene:gene-LATHSAT_LOCUS8707 transcript:rna-LATHSAT_LOCUS8707 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTNQRLLFLLLLLFLISLPLKCLASDSDSPLHRRTFNRPDPLRHFKDYNGDFDVRNKHYLASAAFTGVHGYSFAGVWLLCGLALGIFITVKCLSGATPSLSCLDHYSIHILFLLLMLTSLALIASSFVLATSQKTLRRTEKLKETVVGIGEEALGAIGRVMRTTKQIQYLLLPYNPQISTSLNSTTEDLRTNSRVIRRFIDRSEQSFNKATHTSHTAHIVVLGLNLVTLVASLVLMLLYWRPGFIIIILCLWMLTSLCWFLTGFDYFLHTFANDACSALEDFEKNPQNSSLGSMLPCINDSFSGKLIAQIGSTIHSFIVELNSNVSVLYELLGIGQENEELIGVMKICNPFSGAPNYTYIPQKCPRDAIRIGDLPKFLARFTCNREDTKEKCRKNGRFVPQTSYNMAHAYSRSIQDMLDIYPDLQKLSKCTIVKNKAAEILLHQCKPIRFSTKLLWASMMSLSIIMVVLVLAWVVEALRCWKKPVSTWFRI >CAK8574828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10666433:10667563:1 gene:gene-LATHSAT_LOCUS27131 transcript:rna-LATHSAT_LOCUS27131 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEMVSPTSFCFLSLLPLVLIIINPSQSHSSELKNGANDVVHVSLYYESLCPYSKDFILKSLQNFIQLDVMSVVSLHMVPYGNAATSQNGTVSCQHGPDECYYNIIEACAIEAWSTKLSLPFIFCVENGLFTSRTPNLWQSCSNRLRLDPKPIQNCYSSGHGNELHIRNGKETNGLNPPLTHTPWLVVNGQNVVANDDLAIYVCNAYKGPIKFKACQK >CAK8539171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505942361:505947981:-1 gene:gene-LATHSAT_LOCUS8291 transcript:rna-LATHSAT_LOCUS8291 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALFDLEQLLISKKEKLTPQEEGILQSCKGKAVRNFTASSLLGGAAVWAATGKLGKAFRVNLSAGAGAFFGLWIFSRSLFSCADHILTLDGSILQKELANIMVTKYQNDPSLMKLISKHFYSERIYDDSTSNTPKLRWRYRNFFSDNAINGKRTHDHGSYSTSQGNSDNDSYDKSQGYSDSFEKSQGKSENVADSRKTTRGTKQISQGKSENITDSQKTTRGTKQTFINPGSDIMSEVDPLDCLFGGVPVEEILHPNTTNKPSATHHRSHRRYHRRRRMRDPDDLSKSVDAAAV >CAK8544586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693813497:693814704:1 gene:gene-LATHSAT_LOCUS13247 transcript:rna-LATHSAT_LOCUS13247 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPEDCFAHILSFTSPIDVCRVSLISSVLKSMADSDHIWGKFLPHNYEEIISRLVGPPLSFSSNKELFAALCKPLLLDDGNKIFCIEKRRGKICYMLSARELSITFGNTPLYWSWKHVQGSKFAEAAELRTIWWLEIKGTINIEILSPKTTYKAYLKVKIADRAYGLDLLPSEVSIEVGNYKSCEKVYIHSLCKRNGKGSCDCECDGEWLEIELGSFYSESVQVQEVRMCLKEVEGVHLKGGLIIDGIELRPVC >CAK8576957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528063041:528064345:-1 gene:gene-LATHSAT_LOCUS29111 transcript:rna-LATHSAT_LOCUS29111 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSNASSGSPEETQNPIPDGNAPSESDLVLDNLAQKVQESLSLDQRHKFWETQPVGQFKDIGNPALPEGPIEPPTALSEVKQEPYNLPSLYEWVTCDIHDEQMCDEIYTLLANNYVEDDENMFRFNYSKEFLRWALQPPGYFTSWHIGVRVKTSKKMVAFITGVPARIRVRDEVVNMAEINFLCVHKKLRTKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPVATCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMEIHDVPAVTRLIRNYLSQFIVAPDFDENDVEHWLLPRENVVDSYLVESPETHEVTDFCSFYTLPSSILGNANYSNLKAAYSFYNVSTATPLLQLMNDALIVAKQKDFDVFNALDVMQNEIFLKELKFGPGDGKLHYYLYNYRVRQALKSSELGLVLL >CAK8576367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467058395:467061239:1 gene:gene-LATHSAT_LOCUS28560 transcript:rna-LATHSAT_LOCUS28560 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGMMQNFLKGKTILVTGTTGFLAKVFVEKILRIQPEIQKLYLLVRASNTDMASNRLKNEVFRTDLFRVLREKLGGDFNSFISKKVVAVAGDVAIENFGIKDEKLKNVMFQEIDIIVNSAATTNFDERFDTSMGVNTMGALHVLNFAKKCHKIKLLVHISTAYVCGEAKEGESVFSEKAFKMGQSLKGTSKLDIQTEIDLLEKKLQEFRAMNVDENTIKYALKDFGIERANLHGWPNTYVFTKAMGEMLLVHHRDNVPLVIIRPTMVTSTIEDPFPGWIQGQRTVDSMICAFGKGKLPCFLGHPKTVLDIMPVDLVINCVIAAIVINSNQAPKNFIYNVSSSLRNPLKISDVHNICHQYFMKTPCINKNGKLIVISKGIALKSLAAFNIYTEIQYVLPLKVLNLVNKMICHSYQDVYDDNFKKIRMVKRLAKLYKPYVFFKAVFDDANTENLRRETKGYNMKDEKLEFDPSSINWKDYMMKTHIPGLVKYAMK >CAK8576368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467058395:467061239:1 gene:gene-LATHSAT_LOCUS28560 transcript:rna-LATHSAT_LOCUS28560-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGMMQNFLKGKTILVTGTTGFLAKVFVEKILRIQPEIQKLYLLVRASNTDMASNRLKNEVFRTDLFRVLREKLGGDFNSFISKKVVAVAGDVAIENFGIKDEKLKNVMFQEIDIIVNSAATTNFDERFDTSMGVNTMGALHVLNFAKKCHKIKLLVHISTAYVCGEAKEGESVFSEKAFKMGQSLKGTSKLDIQTEIDLLEKKLQEFRAMNVDENTIKYALKDFGIERANLHGWPNTYVFTKAMGEMLLVHHRDNVPLVIIRPTMVTSTIEDPFPGWIQGQRTVDSMICAFGKGKLPCFLGHPKTVLDIMPVDLVINCVIAAIVINSNQAPKNFIYNVSSSLRNPLKISDVHNICHQYFMKTPCINKNGKLIVISKGIALKSLAAFNIYTEIQYVLPLKDVYDDNFKKIRMVKRLAKLYKPYVFFKAVFDDANTENLRRETKGYNMKDEKLEFDPSSINWKDYMMKTHIPGLVKYAMK >CAK8533175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588058678:588059324:1 gene:gene-LATHSAT_LOCUS2822 transcript:rna-LATHSAT_LOCUS2822 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSKRFCRSISKLGNIGNKVVATSPIEIEKECSEIKWELRPGGMLVQNRESNKSDEEMITIRVSTMSKWHDISIEATSTFGDLKMALSLVTSLEPREQRLIYKGKERDDNEFLHMIGVRDKDKVLLLEDPAIKEMKLFGLARGESINNPCCTISV >CAK8570728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:98509849:98511026:-1 gene:gene-LATHSAT_LOCUS23459 transcript:rna-LATHSAT_LOCUS23459 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKATELRLGLPGITEEEEKKIIHASVVNNNNKRQLPQTSEESVSISKVTNDEHIVESSSAAPPAKAKIVGWPPIRSYRKNSLQDADVGGIFVKVSMDGAPYLRKIDLKVYGGYPELLKALETMFKLTIGEYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWDMFVTSCKRLRIMKGTEAKGLGCGV >CAK8537677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420483599:420485274:-1 gene:gene-LATHSAT_LOCUS6943 transcript:rna-LATHSAT_LOCUS6943 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATILSLLLFTFTFTYLLFKLFFHPKQEHKKPPGPSTLPIIGNLHMLGKLPHRKLQSLSRKYGPIMYLQLGQVPTVVISSSKAAELFLKTHDLVFASRPKNQVSEILCYGSKELAFSEYGPYWRSVRKFCTLKLLSASKVDNFAPVRKQELGVLVKSLEKAALVGEVVDVSDVVENLIENIMYKIILGSNKYEQFDLKKLAQETMTLVGAFNLADYVPWLGPFDLQGLTRACKKTSKALDEALEMIIREHEQITNIDKSHEDFIDTFLSIMHQTNDLENEQNHVNDRTTIKAVLLDMFAGGIDTSATAIEWTLSELLRNSRVMKNLQDEIQNEVGLNKMVEEKDLKNLSYLDMVVDEILRLYPVGPLIVPRECRENIIIDGYFIKKKTRIIVNAWAIGRDHDVWLHNAEEFYPERFIDKKMNFHGQEFECIPFGSGRRRCPGIHMGLITVKLVIAQLVHCFNWKLPYNITPSNLNMEEKFGLTMHRAQHLHAIPSFRLEGDDKFE >CAK8533737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:655403004:655403333:-1 gene:gene-LATHSAT_LOCUS3338 transcript:rna-LATHSAT_LOCUS3338 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKSQIENNLESESKKWVIAGISLLSLKPINTKVSSNKDAVFEDEEGSTTPTAKEARIPMNLSCPPAPRKQRISRCNNVVVGGGVREFFTPPDLETVFKLRVEKSV >CAK8568622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625041500:625043971:1 gene:gene-LATHSAT_LOCUS21564 transcript:rna-LATHSAT_LOCUS21564 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHLFFSYVVLVFLFLSISILFMSKPCFCGIQYRGKILPGTEGSQMNWIDREGKFLLSKNLNFAFSFITTVNDSTKFLLAIVHVASSTVIWTANRAKPVSNSDNFVFDKKGNVFLSKGGALIWSTNTINKGVSSMVLQDNGNLVLLGSDNSTVIWQSFEFPTDTLLPQQVFKEGMKLTSEPGSNSLTYVLEIKSGNVVLSAGFRTPQVYWTMQKDNRKTVDKDGDVVVSANLSDNSWRFYDEKKSLLWQFIFSADAGVNATWIAVLGWDGVISFKNLNSGGSNGDSSTKIPQDPCGTPEPCDPYSICTDNQSRRCSCLSVVPSCKPGFVSPCDDGKSEKSIELLKGDDGISYFALDYLQPFSKTDLAGCQTSCRGNCSCLAMFFHKSSGNCFLLESVGSFQKSDNGDSGYISYIKVSSEGGEKGSGVKPTHIIVIVVIVILTLFVISAMICVGVRYYRKKKRLPESPGENSEEDNFLENLTGMPIRYRYKDLEVATNNFSVKLGQGGFGSVYKGVLPDGTQLAVKQLEGIGQGKKEFRAEVSIIGSIHHLNLVRLKGFCADGTHRLLVYEYMANNSLDKWIFKKKKGEFLLDWDTRYNIAVGTAKGLAYLHEDCDSKIVHCDIKPENVLLDDHFMAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDTNESSEKNYFPSFAFKMMEEGKMRDILDSELKIDEHDDRVHCAIRVALWCIQEDMSMRPSMTKVVQMLEGLCTVPKPPTSSYLGSRLYSTVFKSSSEGGNSSGPSDCNSDAYLSAVRLSGPR >CAK8544404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682471608:682471823:1 gene:gene-LATHSAT_LOCUS13089 transcript:rna-LATHSAT_LOCUS13089 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKDPDERRLEQWEETVQAQRGWNFAKFLGFGDLGGPPFSAAEEYSLHSRYLSKAKFLNLSEIAEMKIV >CAK8568418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605057626:605062462:-1 gene:gene-LATHSAT_LOCUS21376 transcript:rna-LATHSAT_LOCUS21376 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQLPILLLLIATVPLISALHDLTGDFLRLPSQTSTFLNDNNEGTRWAILIAGSNGYWNYRHQSDVCHAYQVLRKGGLKEENIIVFMYDDVAYDEENPHPGVIINSPNGENVYKGVPKDYTGEDVTVGNFFAALLGNKSALSGGSGKVVDSGPNDHIFVYYSDHGGPGVLGMPTSPYMFASDLVEVLKMKHAAGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNAEESSWGTYCPGEDPSPPPEYETCLGDLYSVAWMEDSDKHNLQTETLHQQYELVKERTSNGNSDFGSHVMQYGDIELSRDSLFLYLGSNPSNENFTFVGRNSLVPPSKATNQRDADLIHFWDKFRKAPQGSPRKIAAQKQVLEAMSHRLHIDDSMKLIGKLLFGMKKGPEVLTSVRPAGQPLVDDWDCLKTLVRTFETYCGSLSQYGMKHMRSFANFCNAGIHREHMAEASAQACTNIPANPWSSLHSGFSA >CAK8570505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52725962:52726942:-1 gene:gene-LATHSAT_LOCUS23248 transcript:rna-LATHSAT_LOCUS23248 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFFLLLILLSHVASSLSQGCHPHDKKSLLQIKRELNNPTLLSSWKPHTDCCNSNWHGVNCIPYNNRVYFLIIEIDNDLASSFPPSIGNLPFLESLLIYQLPNLTGTIPHSITKLTNLRSLTIRATSISGPIPNFITELKSLTYLDLSDNHLSGTLPPSLSQLPNLEAIILQNNKLTGPIPPSYGYIKNISTLFLTHNNLSGKLPISLARLNLLDVDLSRNRFEGDASVFFGLTKWTETIDLSWNMFSFDMGKVELPESLRLLGMNHNRVYGKLPDSVKNLQWLNVSYNKLCGEIPKVDYLSSFDASAFVHNKCLCGSPLPSCK >CAK8535805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882901184:882903070:-1 gene:gene-LATHSAT_LOCUS5227 transcript:rna-LATHSAT_LOCUS5227 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLFRNQMSFYSISKTRQTSTTLSSLFNLFTVSPSSYHSSAIQQFDYCSPSQTSYGYSSLLQSCIDSKALNQGKELHAQLCHLGIAYNEGLATKLVHLYAVSNSLPNARNLFGKIPKRNLFLWNVLIRGYAWNGPHDTAILLYHEMLDYGLKPDNFTLPFVLKACSALSAIGEGRGIHEYVIKNGWERDVFVGAALIDMYAKCGCVTDAGHVFNNIVERDAVLWNSMLATYAQNGHPEESLSLCREMAEAGVKPTEATLVTVVSSSADIACLPYGREIHGFGWRHGFQSNDKVKTALIDMYAKCGSVKVARALFERLWERRVVSWNAIITGYAMHGLAVEALDLFEKMRKEARPDHITFVGVLAACSRGRLLDEGRALYNLMVRDYGINPSVQHYTCMVDLLGHCGQLDEAYDLIRHMSVKPDSGVWGALLNSCKIHGNVELAELALEKLIELEPDDSGNYVILANMYAQSGKWEGVEKLRQIMIDKGIKKNIACSWIEVKNKVYAFLAGDVSHSDSDAIYAELKRLEGLMHEAGYAPDTGSVFHDVEEDEKTSMVCSHSERLAIAFGLISTSPGTRLLITKNLRICEDCHVAIKFISKITEREITVRDVNRYHHFKHGMCSCGDHW >CAK8537601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407215841:407216330:1 gene:gene-LATHSAT_LOCUS6878 transcript:rna-LATHSAT_LOCUS6878 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWSFLVGGAICLVNSVNERDLSLLNSYLEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLQRRGRFAARDVVRSPLNLII >CAK8536834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25965142:25967512:1 gene:gene-LATHSAT_LOCUS6163 transcript:rna-LATHSAT_LOCUS6163 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEKLKTEENGESEEITWSSGAGADGLRLDCSVLDLKDSANAAAIAADPSFEVTGNSKKLPFVEEKKESEDRLWSWGAGTDGQLGTGRIQDEHLPRILSLPSIYSLACGGAHAIALTSAGKVLSWGRGNSGQLGHGEVVNNMLYPKAVTSLDNHFITHVSAGWSHSGFVSDSGSLFTCGDGSFGQLGHGDYASQCSPVEVSCFVDQHVAEVACGMRHSLVLLKEGCDDAGCLSSQVYGFGSGKRGQLGFSKDKIKFINLPKVVSGFEDVDIVGIAANGDHSAALSVDGNLYTWGRGFKGFEDSHLPQCLNSTLKFTKATLGWNHALAMTGDGEAYMLGGNHLGVLSDLHDECPAKKLNDSREANLEKVPGLDGIKVTDIATGAEHSVLVTENGEIKTWGWGEHGQLGLGDDSDQISPVTVRLGYDLNEAASTRVYCGSGFTFALTKP >CAK8536833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25965142:25967512:1 gene:gene-LATHSAT_LOCUS6163 transcript:rna-LATHSAT_LOCUS6163-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEKLKTEENGESEEITWSSGAGADGLRLDCSVLDLKDSANAAAIAADPSFEVTGNSKKLPFVEEKKESEDRLWSWGAGTDGQLGTGRIQDEHLPRILSLPSIYSLACGGAHAIALTSAGKVLSWGRGNSGQLGHGEVVNNMLYPKAVTSLDNHFITHVSAGWSHSGFVSDSGSLFTCGDGSFGQLGHGDYASQCSPVEVSCFVDQHVAEVACGMRHSLVLLKGCLSSQVYGFGSGKRGQLGFSKDKIKFINLPKVVSGFEDVDIVGIAANGDHSAALSVDGNLYTWGRGFKGFEDSHLPQCLNSTLKFTKATLGWNHALAMTGDGEAYMLGGNHLGVLSDLHDECPAKKLNDSREANLEKVPGLDGIKVTDIATGAEHSVLVTENGEIKTWGWGEHGQLGLGDDSDQISPVTVRLGYDLNEAASTRVYCGSGFTFALTKP >CAK8578770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653531972:653532421:1 gene:gene-LATHSAT_LOCUS30755 transcript:rna-LATHSAT_LOCUS30755 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSELEAAGTKCKEHINDKQLPGVCYYCLRDKLSKLNNSKQVHHVPQSPQHFSSSSSKYMSQSQGHSRRLHRRQTSSVMDSFSSNMDSFSYGLKKSKSIAFVSTSEIREREVHGNYKKRSFWSKLLKFTRKDVKEALMYSRTTRERKG >CAK8533906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670330006:670332882:-1 gene:gene-LATHSAT_LOCUS3493 transcript:rna-LATHSAT_LOCUS3493 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTIDSLALNLPSPTNSSTLSRIHPSTTPCFCNISIPNFPSQTALIHLSSSSSSPDTTTSAAGFHLDSAALRRLTGKPIPLRFSVYAGSNGTTCGIKSSKLLGRAVVNIDVKNSLARSVMFHSGWIELGKKKKKKGVEPELKDSARLHLVVRSEPDPRFVFQFGGEPECSPVVFQIQENIRQPVFSCKFSADRNSRSRCNSLSDTATTPSRWRMSLKSVRERHGRERKGWMIIVHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPNGASVSSWKPWGRLEAWRERGPIDGLGYKFELVTGDNGIPIAESTMNVKKGGQFCIDYKVMKECYGLCSRFIGKGFVMSSSVEGEGKISKPFVQVGAQHVTCMADAALFVALSAAIDLSMDACQLFSHKLRKELCHDE >CAK8530695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41464245:41466080:1 gene:gene-LATHSAT_LOCUS540 transcript:rna-LATHSAT_LOCUS540 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYTSNRFLVFIIFTACLCIYFAIQMKKTNETKGIPISVYSSILGPKLDKLPNQDDVKKLFQLWKKEQGRVYRDQKEMEKKFDTFVSNVKYIVETNAKRDSPNSAFLGLTKFTDMSNKEYKKRYMTLKTYATDIWNDDDVQDVTCDPPATLDWRTNGAVTSVKDQGDCGACWAFATVAAIEGIVAINTGTLISLSEQEVLDCVSDGYCDIGGFVPDGFKWVVGNQGVASRADYPYTAIKGVCKSAQFQNSANSRIDSYQAVPRSDGALLCAVAKQPISIGVYADSPSFQKYQGGIFRGEDCPADPKNVTHAMVIVGYNSLNGEDYWIVKNTHGETWGIDGYMWIKRDYTKQYGVCGINGHAFFPVKN >CAK8533695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650802215:650802691:-1 gene:gene-LATHSAT_LOCUS3299 transcript:rna-LATHSAT_LOCUS3299 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPNHSLKLKAGTIIMLIKNLDQSEGLCNGTRLTVTKLGNHVIEAKIISGTNIGNIIYIPRMSLSPSQSPWPFKLIRRQFPIIVSFAMTINKSQGQSLDYVGLYLPKNVFSHGQLYVAISRVKSKAGLKVLIHDKDNNYLTQTTNVVFKEVFHNVI >CAK8536046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:902350026:902360315:-1 gene:gene-LATHSAT_LOCUS5448 transcript:rna-LATHSAT_LOCUS5448 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKKMDQVEHDLQVSSNDSPILYVNGVRRVLPDGLAHFTLLEYLRDIGLTGTKLGCGEGGCGACTVMVSHYDTNLRKSLHYAVNACLAPLYSVEGMHVITVEGIGSFRHGLHPIQESLARTHGSQCGFCTPGFVMSMYALLRSSQTPPTEEQIEECLAGNLCRCTGYRAILEAFRVFAKTSNILYTGVSSLSLQDGQSVCPSTGKPCSCNLNSVNDKCVDSVNDRCVDSVDRHKPTSYNEVDGTKYTEKELIFPPELLLKKPTFLNLTGFGGLMWYRPITLQHVLDLKAKYPNAKLIVGNTEVGIEMRLKRIQYQVLVSVMHVPELNVLEARGDGIEIGAAVRLSILLNFFRKVVTERAAHETSSCKAFIEQLKWFAGLQIRNVSSIGGNICTASPISDLNPLWMAARAKFRIIDSKGNIKTVLAENFFLGYRKVDLAYDEILLSVFLPWNKTFEFVKEFKQSHRRDDDIAIVNAGIRVHLQEHNDNWVVADASIVYGGVAPCSLSAIKTKEFLIDKIWDQDLLKNALKILQKDIVIKDDAPGGMVEFRKSLTLSFFFKFFLWVSHQMSGIKESIPLSHLSAVHSVHRPPATGSQDYEIMKQGTSVGSPEVHLSSRLQVTGEALYADDIPMPPNGLHAVLVLSRKPHARILSIDDSEARTSPGFVGLFLAKDVPGDNMIGAVVADEELFAVEYVTCVGQAIGVVVADTHENAKIAARKVHVEYEELPAILSIQDAINAKSFHPNTEKHMNKGDVDHCFQSGKCDRIIEGEVQIGGQEHFYLEPHGSLVWTLDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIATAASIPSYLLNRPVKITLDRDVDMMISGQRHSFLGKYKVGFTNEGRVLALDLEIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRIMGRVCFTNFPSNTAFRGFGGPQGMLITENWIHRIAVELNMSPEVIREINFQGEGSILHYGQIVEHCPLSQLWSELKLSCDFVKTCEEVDRFNTHNRWRKRGIAMIPTKFGISFTTKLMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLNSVFISDTSTDKVPNSSPTAASASSDMYGAAVLDACEQIIARMEPIASQHKFNTFAELVNACYAERIDLSAHGFYITPDIGFDWTTGEGKPFRYFTYGAAFAEVEIDTLTGDFHTRVADIILDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDAAHKWIPSGWLNTCGPGAYKIPSINDVPLKFNVSLLKGHPNIKAIHSSKAVGEPPFFLASAVFFAIKDAIRAARVEMGQADWFPLDSPATPERIRMACLDEFTSSFVNSDFHPKLSV >CAK8576613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497695086:497695482:-1 gene:gene-LATHSAT_LOCUS28787 transcript:rna-LATHSAT_LOCUS28787 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADILSDRIGIMVKGKLRCIGTSIRLKSRFGTGFITNISFYGNNNENSPVNGDAVSTRRHHEAVKQFFKNRLDVVPKEENNNFLTYVIPHERETLLTTFIDFF >CAK8563581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:618408970:618411549:1 gene:gene-LATHSAT_LOCUS16976 transcript:rna-LATHSAT_LOCUS16976 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTMKLALFSVLTLFSFHAVVSVTPLHVQHPLDPLTKEEFLAVQTIVQNKYPISKNKLAFHYIGLDDPEKDLVLRYETHPTLVSIPRKIFVVAIINSQTHEILINLRIRSIVSDNIHNGYGFPVLSAAEQTLAIELPLKYPPFIASVKKRGLNLSEIVCSSFTMGWFGEEKNVRTVRVDCFMKESTVNIYVRPITGITIVADLDLMKIVEYHDRDIEAVPTAENTEYQVSKQSPPFGPKQHSLTSHQPQGPGFQINGHSVSWANWKFHIGFDVRAGIVISLASIYDLEKHKSRRVLYKGYISELFVPYQDPTEEFYFKTFFDSGEFGFGQSTVPLIPNRDCPPHAQFIDTYIHLADGTPNLLKNAICVFEQYGNIMWRHTETGIPNEFIEESRTEVNLIVRTIVTVGNYDNVIDWEFKASGSIKPAIALSGILEIKGTNIKHKDEIKEDIHGKLVSANSIGIYHDHFYIYYLDFDIDGTHNSFEKTSLKTVRITDGSSKRKSYWTTETQTAKTESDAKITIGLAPAELVVVNPNIKTAVGNEVGYRLMPAIPAHPLLTEDDYPQVRGAFTNYNVWVTPYNRTEKWAGGLYVDHSRGDDTLAVWTKQNREIENKDIVMWHVVGIHHVPAQEDFPIMPLLSTSFELRPTNFFERNPVLKTLSPRDVAWPGCSN >CAK8533625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:642693879:642697218:1 gene:gene-LATHSAT_LOCUS3237 transcript:rna-LATHSAT_LOCUS3237 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLKSAASTLLSASSIVTRNFHASGGKKKIVGVFYKGNEYAASNPKFVGCVEGALGIRDWLESQGHEYIVTDDKEGLNSELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNTVSVAEDELMRILILTRNFVPGYHQSINGEWNVAGISHRAYDLEGKTIGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKMEPELEKETGAKFEEDVDAMLPKCDVIVINMPLTDKTKGMFDKNRIAKLKKGVLIVNNARGAIMDTQAVADACASGHIGGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYSAGVKDMLERHFKGEDFPKQNYIVKAGELASQYR >CAK8535240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828860339:828860785:-1 gene:gene-LATHSAT_LOCUS4713 transcript:rna-LATHSAT_LOCUS4713 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKIVLLLLWFFPAMFCTNVDYDHRALVIDGKRMVLISGSIHYPRSTPQMWPDLIQKSKDGGLDVIETYVFWNLHEPLQGQYDFDGRKDLVKFAKTVAEVGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIKFRTDNEPFKTKMYS >CAK8560065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6310210:6310653:1 gene:gene-LATHSAT_LOCUS13778 transcript:rna-LATHSAT_LOCUS13778 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFCAMTILGIRPHCLVLHLSQVSIVALIFAVKITVQESRLTSAESKFACSIKLKQFSAR >CAK8571715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464797130:464798902:1 gene:gene-LATHSAT_LOCUS24352 transcript:rna-LATHSAT_LOCUS24352 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSVTGVLPGQMEKSVSKNQNSRVCCLAFLSAFLWFFLLYFHFVFLRGDNTNANTTTDFVDDHIHTNKLIPVIHESTSIHVSVPEVKPPPRKIGFPNPVEIKSYPPPPKSFPFSKALKSGENKSDPCGGRYIYVHDLPSRFNEDMLKECKSLSLWTNMCKFTANAGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYECLTNDSSIAAAIFVPFYAGFDIARYLWGYNISRRDAASLDLVDWLMKRPEWSIMNGQDHFLVAGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFHWQERMRGLKRKWLFSFAGAPRPGNVKSIRGQLIEQCRSSPVGKLLECDFGESKCHSPSSIMQMFQGSQFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKKNMSIEQILRQIPPEQEKMMREEVISLIPSLVYADPRSKLETLKDAFDVAVQAVINKVTHLRKDIIEDNIDKNFIEENSWKYALLDEGKHEVGPHEWDPFFSKPKDGSGDSTDSSAAAAKNSWKNEQRDPV >CAK8531375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105820355:105822482:-1 gene:gene-LATHSAT_LOCUS1171 transcript:rna-LATHSAT_LOCUS1171-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVIFSEAATIALLLFKTPLRKLVIMGLDRLKRGRGPLMVKTVAGTLVVVLASSIYSMVNLQKREIEDGGAVNPTDQVLMAKHLLEATLMGSVLFLALMIDRLHHYIRELRIRRKSMEAVKKQMRGSEDAKNTTSEETKTMEEEAAKLRAEVDRLESELHSKNKGVGAAEASIGALRKQSEGFLLEYDRLLEENENLRNQLKSIDHRFSRSNSKKNT >CAK8531374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105820250:105822482:-1 gene:gene-LATHSAT_LOCUS1171 transcript:rna-LATHSAT_LOCUS1171 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVIFSEAATIALLLFKTPLRKLVIMGLDRLKRGRGPLMVKTVAGTLVVVLASSIYSMVNLQKREIEDGGAVNPTDQVLMAKHLLEATLMGSVLFLALMIDRLHHYIRELRIRRKSMEAVKKQMRGSEDAKNTTSEETKTMEEEAAKLRAEVDRLESELHSKNKGVGAAEASIGALRKQSEGFLLEYDRLLEENENLRNQLKSIDHRFSRSNSKKNTLSILPKMLLKK >CAK8562086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439152200:439154332:1 gene:gene-LATHSAT_LOCUS15613 transcript:rna-LATHSAT_LOCUS15613 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMVASFLNFILLFSLVSTGNSLSYNYYEKTCPDVEFIVAKTVRAATASDKTVPAALLRLHFHDCFIRGCDASVLLNSKGSNKAEKDGPPNVSLHAFFIIDNAKKAIEAACPGVVSCADILALAARDAVFLSGGPGWDVPKGRKDGRISKASETIQLPSPSFNVSQLQKSFSQRGLSLEDLVALSGGHTLGFSHCSSFRNRIHNFNATHDVDPSLNPSFASKLKSICPIKNQIKNAGTTLDASSTTFDNTYYKLILQGKAIFSSDQVLINTPSTKDLVSKFATSQDAFYKAFVKSMVKMSSINGGQEIRKDCRVVN >CAK8579292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689898103:689899136:1 gene:gene-LATHSAT_LOCUS31247 transcript:rna-LATHSAT_LOCUS31247 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVREIAAMNFAAVMEAPVVFICCNNGWAISTPVEEQFRSDGIVVKGQAYGIWSIRVDGNDALAVYSAVHTAREIAIKDALAVYSAVHTAREIAIKEQRSVLIEALTYRVGHHSTSDDSTKYRSTGEIEYWKMERNPVNRFKRWVERSGWWSEKDELELRSSVRKQLMHAIQVAEKAQKPPLEDMFTDVYDKLSSNLEEQERVLRKTIEKHPKDYPSDVPL >CAK8540844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25393231:25394309:1 gene:gene-LATHSAT_LOCUS9810 transcript:rna-LATHSAT_LOCUS9810 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQSKNTFAASTSGVRFFKIITTPNIQDGKIRLPKSFTTKHSNDIQNPIFLKTPDDKKWEMHISEANGDFWFEKDWKEFATYYSLDHGNMILFHFEEKTHFVVHIFGKNTLEIDYPFHDNQHEQNNNVQISDDDSVDVSKMSHSSCKNQN >CAK8578810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655670475:655672266:-1 gene:gene-LATHSAT_LOCUS30794 transcript:rna-LATHSAT_LOCUS30794 gene_biotype:protein_coding transcript_biotype:protein_coding MKTETLTLVLVNLASIMERADESLLPGVYKEVGAALNADPTALGSLTLFRSLVQSLCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVTPAIQSLVADSTVDSNRGVAFGWLQLTGNLGSIIGGLFAIIIASTSFAGIPGWRIAFHLVALISIIVGILVRIFADDPHFPKNEGISTSYQTPRKSFYCEMKDLIKEAKSVIKIPSFQILVAQGVSGSFPWSALSFATLWLELIGFSHMTTALLWSLFIVAVSFGGLFGGWMGDFLSQRFPNSGRIMLSQISSGSAVPLAAILLLALPDDPSTAVVHGLVLFVMGLCISWNGAATNNPIFAEIVPEKSRTAIYALDRSFESILASFAPPVVGILAQHVYGYKPIPKGSSDSVEIETDRENAASLAKALYTAIGIPIAICCVIYSFLYCTYPRDRERARMVALEESEMHQLEAEESTSEEYREINVSESNVMNGKESSKTVQRNYPRQESIDLDDNDEKVLLSR >CAK8564583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1504046:1507134:-1 gene:gene-LATHSAT_LOCUS17876 transcript:rna-LATHSAT_LOCUS17876-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNVLMLIFFCSIIPIFAEQNSLAPNQFIQYSDTLVSAGGIFEAGFFNFGDPQRQYFGIWYKSISPRTIVWVANRDTPVQNSTGLLKLSDQGTLVILDDPKGVVWFSNSSRVVVKPAVVQLLDSGNLVVKDANSTGNFFWESFDYPGNTLLPGMELKSNLVTGPYRYLTSWRSPEDSAEGECSYKIDTHGFPQLVVDKGGRFLYRIGSWDGLLFTGVPWHWHVQSLFNFSVVFTDKEFSYQYQTSNSSTLIRVILDSYGNTQRLVWSDKTQIWEAIASRPVDQCDDYVLCGINSNCNIKDFPICECLEGFVPKFKSKWESSNWSDGCVRRTKLNCVNGDGFLKYTNVKLPDTSSSWFNRSLSLEECKTVCLKNCSCIAYSNLDIRYGGSGCLLWFDNILDMRKHPDQGQEIYIRLASSEFDHIKNKRKLKHVATLAGVVAFIIGLTVIILLISAYKRKLGKLGGLRKLFHKKEKKDGDNLATIFDFSTITKATDNFSNRNKLGEGGFGPVYKGILVDGQEIAVKRLSETSGQGNEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDSTRIKLLDWTKRLEIIDGIARGLLYLHQDSRLRIVHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPLHDLNLLGHAWRLWIENKPLELMDEILYDSAICSEIIKFIHVALLCVQQKPENRPNMSSVVFMLKGEKLLAKPSKPGFYAGRDNINSTESSSKDGSINEASISLEAR >CAK8564582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1504046:1507134:-1 gene:gene-LATHSAT_LOCUS17876 transcript:rna-LATHSAT_LOCUS17876 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNVLMLIFFCSIIPIFAEQNSLAPNQFIQYSDTLVSAGGIFEAGFFNFGDPQRQYFGIWYKSISPRTIVWVANRDTPVQNSTGLLKLSDQGTLVILDDPKGVVWFSNSSRVVVKPAVVQLLDSGNLVVKDANSTGNFFWESFDYPGNTLLPGMELKSNLVTGPYRYLTSWRSPEDSAEGECSYKIDTHGFPQLVVDKGGRFLYRIGSWDGLLFTGVPWHWHVQSLFNFSVVFTDKEFSYQYQTSNSSTLIRVILDSYGNTQRLVWSDKTQIWEAIASRPVDQCDDYVLCGINSNCNIKDFPICECLEGFVPKFKSKWESSNWSDGCVRRTKLNCVNGDGFLKYTNVKLPDTSSSWFNRSLSLEECKTVCLKNCSCIAYSNLDIRYGGSGCLLWFDNILDMRKHPDQGQEIYIRLASSEFDHIKNKRKLKHVATLAGVVAFIIGLTVIILLISAYKRKLGGLRKLFHKKEKKDGDNLATIFDFSTITKATDNFSNRNKLGEGGFGPVYKGILVDGQEIAVKRLSETSGQGNEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDSTRIKLLDWTKRLEIIDGIARGLLYLHQDSRLRIVHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPLHDLNLLGHAWRLWIENKPLELMDEILYDSAICSEIIKFIHVALLCVQQKPENRPNMSSVVFMLKGEKLLAKPSKPGFYAGRDNINSTESSSKDGSINEASISLEAR >CAK8538113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464218019:464218384:-1 gene:gene-LATHSAT_LOCUS7348 transcript:rna-LATHSAT_LOCUS7348 gene_biotype:protein_coding transcript_biotype:protein_coding MENITQHQPSTLTSGVVATDVAATEIHVVGLPPLGKKRKQNANGPRKLSPAWDHFIKFPNETEPVVACKHCHKKYLYDPKSHGTSNMLAHTKICTKRPQNDPTQTALSFASGEDGGLVATS >CAK8574192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668559142:668561492:-1 gene:gene-LATHSAT_LOCUS26558 transcript:rna-LATHSAT_LOCUS26558 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFDEYEYLEKTVDNSELKNKNLISNGGEKNLKSDDRVRSRSSKHKDSEKDDDDDDVDNRFKHLKSGDGSRGYDRQKERGSSRRRSGSRDGDKENDQRRSSQGNGDRKRDRERESESKYRRERDRDQEVERERRSRGSEGEREREHSRRSKSISERNRGDVDKRTRETSRDRGMKRDTKDYRDRGMGRVTKEPDREKLENRNAGEGVMDYA >CAK8536760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14431267:14434672:1 gene:gene-LATHSAT_LOCUS6093 transcript:rna-LATHSAT_LOCUS6093 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATTVMRCSPGNAFFRALKPISRNSLSLFSSSTPITKGGSSYSHGGRRAYDALLLDAGGTLLQLTNPVADTYAAIGSKYGLTVNPTEIKQGFKRAFTAQWPEKLRYQGDGRPFWKFVVSEATGCGDEDFFEEVYEYYAKGDAWHLPNGAFETMTLLKDAGVKMAVVSNFDSRLRKLLKDLNVLHLFDAVIISSEVGYEKPDPRIFQAALDQVNVEAGKALHIGDDVKADKLGANAVGIDCWLLGIDVKTFSEIQTRILNPGA >CAK8574587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3080294:3082135:1 gene:gene-LATHSAT_LOCUS26917 transcript:rna-LATHSAT_LOCUS26917 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCGKASLNGTAPGSVPVYLNVYDLTTFNGYAYWLGLGVYHSGVQVHGVEYAFGAHEHESTGIFEGDPKKCEGFRFRKTILIGKTDKEFDQVREVMEKLGGEYKGNAYNLITKNCNHFCNDACVKLTGNSIPSWVNRLARIGFVCNCVLPVTLNSTKVRHHHRIEDKQCEEEKQIKQALTSESNKVTVSNSTPSASSSPAASTSSGLRRGRSRTRRALPPPSPLIITSSSS >CAK8578638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643050192:643055950:-1 gene:gene-LATHSAT_LOCUS30631 transcript:rna-LATHSAT_LOCUS30631 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPAFTTSQRAFHPLIPPSLGINYTFPFKPFFPSKHAPIPFHSLPQTSALKAVTTHNEAVVAETDLSNDLNEPRLIDIGYVCGVHGVRGNVRVKPNADIPQLQFSTPGRRWLKQKDMDRETVKEVELEEGREHSGKNCWIIRFRGIDSVEKAKMLVGATLLVTEEDMPELEDGKFYAHDLNGMRVFMKENGQFVGTVVHVFSNEEQDLLQISLDSSFDVLDKNGKPKPEEIDASGQLVLVPFVEAIVPDVDMNSREMHITPPKGLLELNLQFDDRSKRERRQLGWKEKKKFQKRLIAAKKKLGEMDQRHVFHGFQCGEKEQWNLLSDQIVGVNYRLLQDVLQSLEQPSKSWNAAEVVSAVQEKRISTINISEKSFVTGSKDKLVRDIVNMQETGLELISKLHISEKSSLKEGKDKLVRSFINMEEKGLELISKGKLAIVLLLNEKENEGCVYDPDVVENEATETSTLPVFQNLLRDHEKFVKVKDRTSVPLILISSAQQIQSVRNLFARNNHFAFDSEKVFFLEEEKLPVVRSSPEGQNKYKILMKSPWEILQSPVGPGGFISLFSKHNIADNLINMGVEYVELCCPCKTTVGGNSFLLGLVNSREAKIGIQISPTIADPDENFDMILSMDFVKKLTKQSNKLQFDAIPKTNSYVENVDKDWITVTSSTPNSYDLSCSVYSSLNACPLDKVCIVEVRE >CAK8534048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689295292:689295608:1 gene:gene-LATHSAT_LOCUS3622 transcript:rna-LATHSAT_LOCUS3622 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTLMFRSIVRFLTSLIGEPSTSIPTILYYSGLLPHNVVLLRMVRREMLHQENYLFHFLISLMSCFW >CAK8534331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717680569:717681121:-1 gene:gene-LATHSAT_LOCUS3883 transcript:rna-LATHSAT_LOCUS3883 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDTDEQTYLIETAVWIHYNVFDTGIGIPEKAIATLFRRYRQVHEDHTYRLAATAVTLLIVLFLPLIPCSQSIFQNCLISSIGVFPSVPPTAIVNPLIKFALVFLTLSRIGATPVRQSLGQWIGKTVNTTCTWPGPFITVN >CAK8574643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4759269:4760473:-1 gene:gene-LATHSAT_LOCUS26970 transcript:rna-LATHSAT_LOCUS26970 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVSDNNPMPNNNNNNNQKSFASSSSNSTTSSTNTSLSAILSAKLRKCCKSPSPSLTCLRLDTENSHFGVWQKRAGPRSDSSWIMMVELERKEKEQEEGEIVLNSNSEIMPSIVVNDDSKKIVKPEEAEEDDEEEKTNENNQLDEEQRIALQMIEELLNH >CAK8531179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86996126:87002722:1 gene:gene-LATHSAT_LOCUS987 transcript:rna-LATHSAT_LOCUS987 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGTDNVPPFIAAQLSHLLSHSRLTLKVDQMWSSDKYNYGFIDRFTLLIPYCLDFIKWDVIYDAESPTTPPDVIFGPEDEHFHPFHMTPSLEPNDNIDSLLSDWNYKDPTRLLALIQFLRDQYVLYQGKRVGEVDDDRLKFEVSTIVSREGLEMHMSSAADKSEEVKFAVPLLDMNINKMVSGCPWRQLQKIYLQVVYPVGRKYMSASSAPRLQLVSSSELKALYSIDDVKLPHWVDGMCLAEYLPNLEENIGRQVLEAVSLIDVRRNFIEALAGWLGRPVEADPIFCRKATFLSASGVFTFLVHFVIPTQFPKQQPAIILQSSQHFNSQMAPMKSRPITDYPWSPRWELSLMAERTYEFLAAEALNFKRQCSEGQVQL >CAK8561234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:125632801:125633310:-1 gene:gene-LATHSAT_LOCUS14843 transcript:rna-LATHSAT_LOCUS14843 gene_biotype:protein_coding transcript_biotype:protein_coding MERMNSDGGSSIRRRSLSLLSHIIHHTDNDVDCESVSEAGDIGDRALPSRRFNESNSFHSENGSTVITNSSVRPFPQELSSIPHLSTDTTVGSHDSKLEPPKGLLLDYVSCMAHLELLNIFILMMALMLIQKLSQ >CAK8543994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654863081:654864430:1 gene:gene-LATHSAT_LOCUS12709 transcript:rna-LATHSAT_LOCUS12709 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGLGGGIFPSSTINNGYIGVENPSKQQQNSHTLHHHHHHHQMVSYDQPHQSIKQSYPSYSSKTIMNNKAQQQINLSDEDEPCFGADEASGGGDPKRKGSPWHRMKWTDTMVRLLIMAVYYIGDEAGTSEIGVDNKKKVSGLLQKKGKWKSVSKGMMEKGYYVSPQQCEDKFNDLNKRYKRVNDIVGKGTACRVVENQSLLDSMDLSPKLKDEARKLLNSKHLFFREMCAYHNSCGHGNNTLQQQSSNETQPQQQHQPQQQQQQQPQQEQQQCLHSSNGVGMLKLKGKSGGGEEEEDEDEEDEWEEDSEEEDEESGEDENNEHSRKKSRKVSVSVSPTAMMQQLSSEVMNVLQDGVKSCWEKKQWMKKRVVQLGEEQINYHVEAFEIEKQRLKWERFSSNKEREMERQKLENERKCLEIDRMVLLLRQKEVELQNTQQMQQQQHSST >CAK8569721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9232396:9233907:1 gene:gene-LATHSAT_LOCUS22540 transcript:rna-LATHSAT_LOCUS22540 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSMKLPSSHSFLALTFFLVLCFETNAKVELPENVTIPALIVFGDSIMDTGNNNFKKTITRCDFAPYGKDFLEGVATGRFSNGKAPSDIIAEELGIKEFLPPYLDPNLNITELATGVCFASGGSGFDSFTSNFLNAIPLSNQLDSFKEYIEKLKVSVGENRTNEVLANSVFLVVFGSNDISNTYFLTHLRKIKYPKFSDYADLLVSIASNFTKEIYQLGARRIGIFNVPPLGCVPLQRTVAGGLERKCVEEYNNATVLFNDKLSNEIDLLKTNLSDSRIVYMDVYSPIFDVIMNVQKYGFVDADKGCCGTGKLEVGFLCNRFASICSNVSDHVFWDSFHPTEIVYKTFVPPLLKKYISQFF >CAK8569722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9232408:9233907:1 gene:gene-LATHSAT_LOCUS22540 transcript:rna-LATHSAT_LOCUS22540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSSHSFLALTFFLVLCFETNAKVELPENVTIPALIVFGDSIMDTGNNNFKKTITRCDFAPYGKDFLEGVATGRFSNGKAPSDIIAEELGIKEFLPPYLDPNLNITELATGVCFASGGSGFDSFTSNFLNAIPLSNQLDSFKEYIEKLKVSVGENRTNEVLANSVFLVVFGSNDISNTYFLTHLRKIKYPKFSDYADLLVSIASNFTKEIYQLGARRIGIFNVPPLGCVPLQRTVAGGLERKCVEEYNNATVLFNDKLSNEIDLLKTNLSDSRIVYMDVYSPIFDVIMNVQKYGFVDADKGCCGTGKLEVGFLCNRFASICSNVSDHVFWDSFHPTEIVYKTFVPPLLKKYISQFF >CAK8560322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14396511:14400463:1 gene:gene-LATHSAT_LOCUS14006 transcript:rna-LATHSAT_LOCUS14006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRASATLHLKKYDVFISFRGDTRIGFTSHLHASLCRSYFQTYIDYRIQKGNHVWEELVKAIKQSTLFLVVFSDDYASSTWCLNELIEIMECHKNEPDKVVVIPVFYQIEPSHVRKQIGSYASVFAKHKKQHIEKIQKWKDVLSQAANLSGFHSTAFGTESQMIEEITRFVLGKLDHKFKHALPSNFILDENYWSIESLLKIDSAEVQVIGLWGMGGIGKTTLAEAIFQKFSFQYEGRCFFKNVAEESKRHGINYTCNKLIFELLREDLDIHSPKVMQSAVMRRLKRMKAFIVLDDVRSLELLQNLIGMRYCWLGAGSTVIVTTRDKHVLESGGIDKIHQVKQMNSQNSLQLFSLNAFDKVFPEEGYVDLSERAIDYAKGNPLALKFLGSFLRCKSEIEWNCALAKLKQFPNKEIDLILRWSYDELDDTEKYIFLDIAFGFKGHKRDMITKILNKCGFYAEIGIRNLLDKALISVDSNECIQMHDLIQEMGKQVVREEFPKNPGQRSRLCDPKEVCDVLKNDRGTEIVEAIFLDAMECTHINLRPDAFAKMPNLRLLDIRNRRGIKSIRLPSGLDLLPENLRHFLWDDYPLKSLPPSFCPEMLVSFSLRHSHLEKLWNGVLNLPNLEILVLSHSEKLIECPNVSGSPNLKQVILTGCTSLPEVDSSIFLLQKLESLLMFNCTSLKTLSSNTCSPALLDFDAMYCNNLQEFSVAFASVDGLNLSLPKFGANELPSSLLHLKNIGWFTSPISDSLVDLPENFANNIWLIRGSDDEHDVSITLRKVLSSPAFLSVKILIIEHVSILSEIPDNISLLSSLEHLSLTGIEIRSLPESIKYLPRLEYLEIIDCDMLESIPSLSQFIPYFFVWECGSLKKVLSSTNEPSDKPNRGFMFLNCTELDSHSYQTVLNDAIVGIDLRARLNSENGDPSLDHDNDIIEYFLPAMSGMENWSRYPSSQASVTLELPPNLLGFAYYLVLSQGTVGDGVDFGCECCLDNRSGERIYITSFKRDNFSSTVFSYVHPSEYMMMDHLVLWYDPASCKQIMEAVEEIKAISDVNNTSYNPKLTFTFFIDESQYNEVTILECGFHWIYPFEGTAVPNRNDDSGSDDQEDTVPPTNKLEQRVVGTHSSLEVDESEDLSYSLERLLDSMESSWSGEKKISSDLKRHDK >CAK8562822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:543045489:543046739:-1 gene:gene-LATHSAT_LOCUS16287 transcript:rna-LATHSAT_LOCUS16287 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPYDPYAGYPVPLVPMPASVSIAAPSSYVSVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEVCKLLFIFVSFIMRKLSSKPMYS >CAK8560667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:35155657:35157570:1 gene:gene-LATHSAT_LOCUS14325 transcript:rna-LATHSAT_LOCUS14325 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVGLGGNGLWNEDEKAMVVEVLGVKAFDYLVTKSVSNESLLMAIGSGENLQNKLSDLVERSNVLNFSWNYAIFWQISQSKYGDWVLGWGDGCCREPKEEEEKDLGMKSVVSLNSIEDEKQQRLRKRVLQKLHTTFGGSDEDNYAFGLDRVTDTEMFFLASMYFSFANGDGGPGKCFASGKHLWLCDALKSGSSDYCVRSFLAKSVGFQTIVLVPTDLGVVELGSVRMVGESFELLQTVKSVFSTQSSLARVKSISSLDGKRGENVNENENENEIAPFSCLKVGESIKNNNSNGNLHLRRNDNDSRVEVIGVPKIFGQDLNSATHFREKLAVRKMDERPSWGVRPNGNGISFPNGVHGSGWRGGSQVVRQHVPADVFAPRPSASNAPELANGGGRHDFVLNNYQQQRKAQMQIDFSGATSRPSVRSIVGESEISDVEASCRDDAPSPSDDRRPRKRGRKPAHGRVEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKSMESEREQQLATTSRDGSSSALQTNSRPENHQNKTPEINIQATQEGVIVKVSFPIDVHPISKLIQAFKDTEITILESKLNATNDTVFHTFIIKSQTSEQLTKEKLIAAFSKESNSL >CAK8562266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463630477:463635184:-1 gene:gene-LATHSAT_LOCUS15778 transcript:rna-LATHSAT_LOCUS15778 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAVLVMNTQGKPRLAKFYEFRPVEKQQEIIRNVFAVLCSRPEHVSNFVDAESIFGQDARLVYKHFATLYFVLIFDGSENELAMLDLIQVFVETLDKCFRNVCELDVVFNYSKMHTILDEIIFGGQVLETSSTEVMKAVEEISKLEAASSAINLVSKSVSSWRSR >CAK8544989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717000759:717002082:1 gene:gene-LATHSAT_LOCUS13625 transcript:rna-LATHSAT_LOCUS13625 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNHNNGSQFGDTTLTKVFVGGLAWETPKDTLRQHFEKYGEILEAVIISDKVTGRSKGYGFVTFKEPEAANKACEEPTVMINGRRANCNIASHGARRQRSAPATPPLSPPTLPPPYTQGTKNRESQQVQWYYPVGTPTPAPPSPFHHQAIPFYGYTPSSYIAPDHMNYNYYNYNYNYNYNQKLNYGMGGVYVYPTRQGVVPVMHPFYRTEAMGVPAPQIFHYAPSITPTTITTTGPVGTGECFKRVMV >CAK8579605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712264806:712266985:1 gene:gene-LATHSAT_LOCUS31538 transcript:rna-LATHSAT_LOCUS31538 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKRKPHSRFPLIPVSLFITFFSFLILYSYYVKRNSHFSDFAQTSTTLQCSSQALAFTERFMWYAPHSGFNNQLLEFKHAVLIAGILNRTLVVPPLLDHHAVALGSCPKFRVMEPKDIRISVWNHIIELIRDGRYISIAEVIDISSLISSSLVRVIDLRDFVSIWCGISLDLACYNDSTSQSSASKSLEQCGSLLAGFRGNIEKCIYAINEDCRTTVWTYHTDGRENGVLDSFQPDEQLKQKKKISYVRRRKDVFRTLGPGSEVESASMLAFGSLFSAPYKGSESYVDIHESHQDQRFLSLMEKIKFLPYVPEVMNAGKEFAKATIKAQFFCAQLRLLDGQFKNHHKATFDGLRQKLESLRQKGLLPIHIFVMTDLPRDKWTDTYLGDLTSDAHNYEVHFLRENDELVMQAAKKLTAAGYGQRFIPSSESRIGNKYCSNQRLPDVLLYVEQAVCSCATLGFIGTPGSTIAENIELMRKFGSCSR >CAK8541896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:424623168:424623776:1 gene:gene-LATHSAT_LOCUS10785 transcript:rna-LATHSAT_LOCUS10785 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEITEETEEESQWFINTKYQKEKIMKIIQNQKSLYSSSTTTSSSLSLSSSIATSFSYSKSSSLLELMKGGSTSMRRLFDMEHTSLSNHFDYYSGSPIIKPISLWDSDSDREFHDPWALFKKIGSTNGYGIDRESELASKGTHMDEDFGSHNRNDKKGKHKLSRKKSFRRLPRFSLWRCGRFKFHLRLRRFKFRIWDRKLK >CAK8538520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485939983:485944302:1 gene:gene-LATHSAT_LOCUS7708 transcript:rna-LATHSAT_LOCUS7708 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKPAKKIEPEEVAAAIGSTSSIAAISDDDEEANKDLSLEIIHKALLNRATNPQNAAALNESTLMAPLKAHSEENKLRIKKRKKKKLESDIETVNTVKVEEKEKHAVETINAPEKVETSMVDWSDNDVLRNTTSTSHNEEIKTEKKKKKKKKRHESEIQTVNAVMVEEEEKKAVETIEDLEKGEPGAETINTPEKVKHIEVETCMVDTSESDVLRNTTSKLHSEESQTQKKKKKNHESEIQTVNDVIVEEEKKAVETIEDLQKVEPVVAEPEVVDTSSNIVLRKLLRGPRYFDPPSDNVWGICFNCGEEGHATFNCTAAKRKKPCFICGSLSHNVKKCTMARYCSTCKIVGHRTKDCPKKHSGGSYSKSLTVCLRCGNSGHDMLFCKNDYPEEDLKEIQCYVCKEFGHLCCVDTTEAIPKEFSCYRCGKMGHVGWACSRLKNEATDAARSSSCYTCGEKGHFARECSSSVKASSRWQPEITDPATPSSCYRCGEEGHFARECSSSVKASNRKHKFSSTETPRSQKENDYMGYTSEPYTEGSDIKTPKKSKHRGGWMSDHPSEFTPSNSKRDSWRSPVTPCTNNNSTPNHFVNNGSHNLGSKSFTQNFYDGTPNSEGSSARTFHHGHSASRFGNSNSNGFQRSYNGW >CAK8537502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:378648520:378648789:1 gene:gene-LATHSAT_LOCUS6791 transcript:rna-LATHSAT_LOCUS6791 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKPNHLGAEYDKGMEAFFQYAREKLPNNNKFYCPCVNCLNKEPPLLIDGIRNHLVCEGICQSYTNWIWHGEPSNNTSSVSERGS >CAK8538352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478620560:478625117:-1 gene:gene-LATHSAT_LOCUS7564 transcript:rna-LATHSAT_LOCUS7564 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKRHLNQLKNPFNSHPFEAVSSGSWHPVESIKVKSGTMTIHFADNHHMAMDKGTLSDIRISSRKAIVSDCSRFLRPGIDICVLTPPQQAKHSAGLNPEPVWMDARISSIQRKRHDPECVCQFFVNFYVDQGSLGLEMRTLCKDIKVFGLNQIAILQKVEHNPCENQPYRWSSSEDCSSLPHSKLLLGKFLTDLSWLVVTSVIKKVSFTARSVENKMVYQILGGNSSTSSLSNSESHIDVVSFRNDNGMLVPIVSQVAIGNTKRVCDAYESNADEVSLSLSADGLRRSKRRHVQPERYLGCEVKELDVGSFRNSPPVRISTSIKEDEMSLSLASFLRLQQSRREKDGEKSQISDRPNTNRKLLVYNRRPKNQEVKKSCEVDQKERHNPLAIIPLPDHDADPLAVEHCDLNRNVIRSNEHDSSEISLKYRHLVNSPKPRKNINLLDSSGKSEEAESSDNAFLRSKFFASSKLQKKSSGGLDDMDLGNRWEGIKRKSKKGFREGKYRSTYLRNNGEGRTHSYKDRSLNATAYKDLINSYLSNINEIPVKEEAPITDQWKKTNTTNDVGKNEETEISHGEDEDEKAELDKLWKELEVSLASSYFEESEVSNAIVPYDAEKVLKKDCEHDNRLDEEIGIYCIRCGFVTTDIRDVNPIFVENSVWWRQEEKQRDAVEDNEPNKADEDDDFHFFPTNVSPDEPISEENECVWSLIPELKDKLHAHQKKAFEFLWQNIAGSTDPRQIEAEYRKRGGCVISHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPIPVYLIHGRRTYRVFKQNNFASLPGVPKPTDDVKHVLDCLEKIQKWHSHPSVLIMGYTSFLTLMREDSKFAHRKFMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVQTDLRILLSGTLFQNNFCEYFNTLCLARPKFAHEVLKALDPKYRKKKKGAERKGAEKAQYLIESRARKFFLDNIAKKIDSNVGEERLQGLNMLRNVTNSFIDVYEGGSSDDLPGIQIYTLLMNTTDIQHEILRKLHIDMAKCSGYPLELELLITLGSIHPWLVKTAVCSQKFLTKEQLSDLDKYKFDLKIGSKVRFVLSLIYRVVKNEKVLIFCHNIAPVRLFQEYFEKYFGWQKGREVLVLTGELELFERGKIMDKFEEPGGASKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLVTGSLEEDKYRRTTWKEWVSNMIFSEAFVEDPSRWQAEKIEDDILREMVEEDKSKSFHMIMKNEKASTNK >CAK8570096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23699265:23702129:1 gene:gene-LATHSAT_LOCUS22879 transcript:rna-LATHSAT_LOCUS22879 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSQFDSSNAFSGGGFTSSQLNESSPAPTKSRDSQGLVPVTVKQISEASQSGDEKSNFAINGVDLTNVTLVGIVYEKVERNTDVNFVLDDGTGRIKCRRWVNETFDTKEMEEVLNGMYVRVYGNLKSFQGVKQLGAFSVRPVTNFDEIPFHFIDCIHSHLCAKVKFEGTPSTYPPSNPSMNTPVKSALNGSQAPSNLGYTQYSTDALKDCDKLVIDYLQQHSSMLDERGIHVEELARELKLPLDRIKLSVKALEDDGLVYSTIDDSHYKQA >CAK8573264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598958044:598975270:1 gene:gene-LATHSAT_LOCUS25734 transcript:rna-LATHSAT_LOCUS25734 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDEDELLQMALKEQSQRDLNYGKSSSNPRKPVANYVQPPSSQPKRSGPPATAKHPQSKGRVVDDDDDSEVEMLSISSGDEDNVKDQVTTSKNRGTGRAPAKEDDRTWDGEEPSRWKHVDEAELARRVREMRETRTAPVAQKFVAPKFERKNSAVARKGLTYLQSFPRGMECVDPLGLGIIDNRTLKLITESSSDTSPKSDKDLDSSLREKLLYFSEKFDAKLFLSRIHCNTSAADLEAGALALKTDYKSRTEQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEDDPEGSGTSHLYSIIQGVSLQANRALKPLFERQAQAEKIRTVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHIQVGILKRVLEEVEKVMNEFKSMLFKSMEDPHIDITNLENTVRLLMDLEPESDPVWHYLNIQNQRIRGLLEQCTLDHEIRMENLRSELHEKALSDARWKQIHEELNEPSDINNSLSNTYPAVQSHPVDLTGEEVDGLRGRYIRRLTAVIIHYIPAFWKIALSVFSGKFAKSSQVPTDSNSNNSANKIEEKAGDGKYSSHSLDEVSAMICSTISLYGVKVTNIFHDLEESNVLQSYMSDAIEDISKACAALELKEAAPPVAVAALRTLQPEIIRIYVLRLCSWMRASVEEVSKDVSWVIVSILERNKSPYAISYLPLTFRSAVASAMDQINLMLRSLRNEATKSEDTFIQLQEIQESVRLAFLNCFLDFAGNLERIGIELGQHSSHEEGSHLPNGYTHKVEESATDFGGGVSDPHQQLLIVLSNIGYCKDELSYELYDKYRHIWQHSRGKDEVNSDVQDLVICFSGLEEKVLEHYTFAKATLIRSAATSYLLNSGIQWGAAPSVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISIFHENESTDLRLLDTNGFCQLMLELEYFETVLNPYFTSDARDSLKSLQGLLLEKATESATDVVDNPGHNRRATRGSEDAAADDKQGTTVSPDELISLAQQYSSEFIQSELERTRINTACFAESIPLDSVPDAAKFAYSPYRNSMDSPSRSSRGG >CAK8573265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598958044:598975128:1 gene:gene-LATHSAT_LOCUS25734 transcript:rna-LATHSAT_LOCUS25734-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDEDELLQMALKEQSQRDLNYGKSSSNPRKPVANYVQPPSSQPKRSGPPATAKHPQSKGRVVDDDDDSEVEMLSISSGDEDNVKDQVTTSKNRGTGRAPAKEDDRTWDGEEPSRWKHVDEAELARRVREMRETRTAPVAQKFVAPKFERKNSAVARKGLTYLQSFPRGMECVDPLGLGIIDNRTLKLITESSSDTSPKSDKDLDSSLREKLLYFSEKFDAKLFLSRIHCNTSAADLEAGALALKTDYKSRTEQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEDDPEGSGTSHLYSIIQGVSLQANRALKPLFERQAQAEKIRTVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHIQVGILKRVLEEVEKVMNEFKSMLFKSMEDPHIDITNLENTVRLLMDLEPESDPVWHYLNIQNQRIRGLLEQCTLDHEIRMENLRSELHEKALSDARWKQIHEELNEPSDINNSLSNTYPAVQSHPVDLTGEEVDGLRGRYIRRLTAVIIHYIPAFWKIALSVFSGKFAKSSQVPTDSNSNNSANKIEEKAGDGKYSSHSLDEVSAMICSTISLYGVKVTNIFHDLEESNVLQSYMSDAIEDISKACAALELKEAAPPVAVAALRTLQPEIIRIYVLRLCSWMRASVEEVSKDVSWVIVSILERNKSPYAISYLPLTFRSAVASAMDQINLMLRSLRNEATKSEDTFIQLQEIQESVRLAFLNCFLDFAGNLERIGIELGQHSSHEEGSHLPNGYTHKVEESATDFGGGVSDPHQQLLIVLSNIGYCKDELSYELYDKYRHIWQHSRGKDEVNSDVQDLVICFSGLEEKVLEHYTFAKATLIRSAATSYLLNSGIQWGAAPSVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISIFHENESTDLRLLDTNGFCQLMLELEYFETVLNPYFTSDARDSLKSLQGLLLEKATESATDVVDNPGHNRRATRGSEDAAADDKQGTTVSPDELISLAQQYSSEFIQSELERTRINTACFAESIPLDSVPDAAKFAYSPYRNSMDSPSRSSRGTYNTGSSTFSRQRY >CAK8562513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:504511726:504518059:1 gene:gene-LATHSAT_LOCUS16004 transcript:rna-LATHSAT_LOCUS16004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQFQFNFSRDRLVFLFRTSQSHSFFRNNKSPQSFISFPSSFFLRRLAVSAATPSPPQDHRRRLRPPPPDDMAQKFGKSTRRPGASSKARVYADINVVRPKEYWDYETLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPLLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHAQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGIIFRKEPFFYGHDNYDQLVKIARVLGTDELNAYLNKYRIELDPHLAALIGRHSRKPWQRFINVENQHLTVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPIRSAESSRARAH >CAK8569275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690694694:690695662:1 gene:gene-LATHSAT_LOCUS22149 transcript:rna-LATHSAT_LOCUS22149 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYALLHEQATSSRYVAMKMNHILFLSIFIITSLLLLPQVLSLDKGIKIGKKPLILRRNWILWRLVLSKKVIFTDRICTTAEIRNYFQSFFLEGGTSASYVKPNQNCNLTSWVSGCEPGWSCSADQKIDLKTDTKDIPSRTNDCQPCCEGFFCPQGLTCMITCPLGSYCPLAKLNETTGVCDPYSYQIPAGDTNHTCGSADIWYGVVNNSNIFCSLGSYCPSPTRKVSCDKGYYCRMGSTQQNRCSKLSNCNLNTAMQNMHAYGALIIVGMSTTLIFIYNCYDQVLATRERRKDKSREAAAKHVRETVQARDRWKQARDFC >CAK8536340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932177205:932177516:1 gene:gene-LATHSAT_LOCUS5717 transcript:rna-LATHSAT_LOCUS5717 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8540913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31341458:31341901:-1 gene:gene-LATHSAT_LOCUS9870 transcript:rna-LATHSAT_LOCUS9870 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQYHFDIAAYNQNREIEENYIVNRFRERRNKISEDNAPRSRKYLNRDHAAANQRLIDDYFANEPTYDDAMFRRRYRMKKNVFLRIVGDLSSSDNYFTQQVDAANKEGISPLAKCTTAIRDPNVHQELQADLVKHIWTKFGMFRD >CAK8544212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672010979:672012106:1 gene:gene-LATHSAT_LOCUS12911 transcript:rna-LATHSAT_LOCUS12911 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQSNVGKFKKFTWKVENFSRLKSNEVRSKPFILGGYPWRILLFPKGNNVGNNLSIYLEVVKTANMSEGWRRDVKFKLHVFNQVDAKMTVTKESKHDFNACQRNDWGFTSFMTLTDLHDAEEGFIVKDTCIVGAEVFVCKSTHEKPVNQDACLILGCQTSHVKVEIPNPEPEATNLETRSPLSFQPCEQTDEELVCAALGKVILFLKTRKVKDMNEQASKELQVLWDELRKFKIDLAWLEPQVQSAFGMKTYVEKTLEVEKLKENVAVQELKTKMLKATLAAAKLSLDVERELLKAKGMKERDLDLELGSGSWTP >CAK8543482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610654109:610655227:-1 gene:gene-LATHSAT_LOCUS12237 transcript:rna-LATHSAT_LOCUS12237 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRSRAVTKPNLMADHSSQQSSNNQNCAKKSIPSLFNSPKLFRDFTNNNTTLSEALKSPTSILDTRTLLLSPYAFGKSLFHDKKIQTVSNKNFSSRSIGLALIGNDDSVDENDSVEPNKGNVLFGTQLRVKIPTLPSPVFSPSESHSQTIVKDEPNSMSLSEMELSEEYTCVKSHGPNPRTTHIFNNYVVEESYCSLPQKPNSSYGSFLGVCYTCKKHLEQTKDIFIYRGEKAFCSKECRHREMVLDGVESSEEYESTNNA >CAK8561587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310403492:310403722:1 gene:gene-LATHSAT_LOCUS15165 transcript:rna-LATHSAT_LOCUS15165 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNMDINNSTTETFSGTHPNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8539301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508675347:508678294:-1 gene:gene-LATHSAT_LOCUS8410 transcript:rna-LATHSAT_LOCUS8410 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFDIESKKSFTEMNEDEECSVRQVELTVSKTDDPTLPVVTFRMWVLGILSCVVLSFVNQFFWYRTQPLSVTSISAQIAVVPLGHFMARVLPTCVFFKDTWFEFSMNRGPFNIKEHVLITIFANSGAGSVYATHILSAVKLMYKRKLGFLPALLLMLTTQMLGFGWAGLFRKFLVEPAEMWWPTNLVQVSLFSALHEKSKRPKGATTRTQFFLLVMISGMAYYVLPGYMFSMLTSFAWMCWLAPKSILVQQLASGMKGLGIAAFGFDWSTISSYLGSPLASPWFATANIAVGFLIIMYVMTPIFYWFNVYNAKNFPIFSNNLFMGNGSIYDISTIVNSDFHLDRDAYAKNGPLHISTFFAMTYGLGFATLSATIVHVLLFHGREIWAQSKSAFGAGRKIDIHSRLMRKYKSVPMWWFHIILVVNIALIISICEYYYESLQLPWWGVLLACAISILFTLPIGIITATTNQQPGLNIITEYIIGYMYPERPVANMCFKVYGYISMSQALTFLADFKLGHYMKIPPRTMFMAQMVGTFISVLVYTVTAWWLMGTIPELCDTSKLPSDSPWTCPMDNAFYDASVIWGLLGPRRIFGNLGEYINVNWFFLGGAIAPFLVWLAHKAFPGETWIHLIHMPVLLGSTSMMPPATAVNFTSWIAVGFISGYIIYRYKQDWWKRYNYVLSGGLDAGTAFMTILLFLTLGSNNINLNWCGNDVEGCPLAACPTAKGIKVQGCPVL >CAK8573476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615384273:615388180:-1 gene:gene-LATHSAT_LOCUS25922 transcript:rna-LATHSAT_LOCUS25922 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSSKKGVSGFSGSSTAEQVTHGIDATGLTAIVTGASSGIGAETTRVLALRGAHVIMGVRNMVAAKDVKDTILKDIPSAKVDAIELDLSSLDSVKKFASEFNSSGRPLNILINNAGIMACPFKLSKDNIELQFATNHIGHFLLTNLLLDTMKKTTRESKKEGRIVNVASEAHRFAYPEGIRFDKINDQSSYNNWRAYGQSKLANILHANQLTKHLKEDGVNITANSLHPGTIVTNLFRHNSAVNGLINVIGKLVMKNVQQGAATTCYVALHPQVKGVSGEYFSDSNVYKTTPHGKDVDLAKKLWDFSINLVKQK >CAK8543597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624484368:624486173:-1 gene:gene-LATHSAT_LOCUS12345 transcript:rna-LATHSAT_LOCUS12345 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLSFCFLLLGGCLALREQLQQNECQLERLNALEPDNRIESEGGLIETWNPNNRQFRCAGVALSRATLQRNALRRPYYSNAPQEIFIQQGNGYFGMVFPGCPETFEEPQESEQREGRRYRDSHQKVNRFREGDVIAVPTGIVFWMYNDQETPVIAVSLTDIRSSNNQLDQMPRRFYLAGNHEQEFLRYQHQQGGKEEEQTEGNNIFSGFKRDFLEDAFNVNRHIVDRLQGRNEDEEKGAIVKVKGGLSIISPPERQSRRQRGSRQEEDEDEDEERQPRHQRSREEEEKEDEKEHPRQHSQKGESRRHGDNGLEETICTAKLRQNIGSSSSPDIYNPQAGRIKTVTSLDLPVLRWLKLSAEHGSLHKNAMFVPHYNLNANSVIYALKGRATLQVVNCNGNTVFDGELEAGRALTVPQNYAVAAKSLSDRFTYVAFKTNDRAGIARLAGTSSVINDMPLDVVAATFNLQRNEARQLKSNNPFKFLIPPRESQNRASA >CAK8566646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445012007:445013070:-1 gene:gene-LATHSAT_LOCUS19763 transcript:rna-LATHSAT_LOCUS19763 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSCVAKEAEADSTMLPNWLDLPRDITSNILQRLGTKEIVTSACLVCPLWWDICKDPLMWHTIHMTYFRSVFYKNHSEYLKVCRYAVERSCGHLKDINIECFCTDELLECIAENGSNLRTMRLLNCLSISDKGFSEAVRKFSQLEKLDISYCKLSKVSLEVLGRSCPLLKSLIFKTYGYVRSSADDNKAVVISDTMSGLCHLDIKGSRLSNVGLLSILDKCPLLEYLNIQECYNLNLTEDLKKRCLEQIKVIVFPIPDNRDDLDEYLIFYYDMDCNFDYYDSYDSCDDFN >CAK8532002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188528411:188532513:1 gene:gene-LATHSAT_LOCUS1747 transcript:rna-LATHSAT_LOCUS1747 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRMDSKKNSLVSGNQKKLLKDVDTMNKAMHLDKNVSRSSVSGSNLNSRSKTMGKSVFPDSKPKAKGSNSNDDNDGLQKDKRSIWNWRPLKALSHIRNKRFNCSFYLHVHLIEGLPSSFDGSSFSVYWKRRDGVLVTRLAKVVQSVAEFDEKLTYTCSVYGSRSGPHHSAKYEAKHFLLYASLLSAPELDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGKAKGTVMNVSFGYTVVGDNTSATKDSHNAPNVLTSRQSSLASMKPDVKQRQYDGSSSVRRAGSFHGALPVDASQAKNLSSRYSSQAVESVKDLHEVLPSSKSALASSIGVLYKKFDEEKESRSVGNKSDPDLSKENIELIKPDARASSDIGKETPEVLAVNNGNTCPVLDTPELDVFHENLETDKQDGYLLLDSEKENLETDKQDGYLLLDSEKENPEDCQDKDFFVVDKGIEISPIEPVKVEESFTMAFEDASTVDSSLILDTAGLQVSSEDNFIHGSLHEANDGCKDHTVADESAYEDDDLFTNELLQELEAAINSVSDLETGALESPKKLEFKSECKMRKTDSLDDVTESVADEFLSMLDIDHSSTGSNSENEPESPRELLLRQFEKESLGGGFSLFDFDMDCDNEADDNYGASTGSEQLNFSEAIHSSSLFQNLNKEHLVETRDIKGKQKAQMLEDLETEALMREWGLNEKAFHHSPPKDCTGFGSPIQLPPEELPTLPPLAEGLGPFLQTKDGGYLRSMNPSIFKNTKAGGSLVMQVSNPVVVPAEMGSGIMEVLQCLASVGIEKLSMQAKELMPLEDITGKTMQQVAWEAMPALEGTERQCQLQQDLVAGQDTACVQRDLKGTPPSGPKSGNFNSRSVANQTGSEFVSIDDLAPLAMNKIEALSMEGLRIQSGMSEEEAPSNIVAQSIGEMSALQGKGIDISGSLGMEGAAGLQLMDVKDSGDSVDGIMSLSLTLDEWMKLDSGDVDDIDNISEHTSKLLAAHHANSFDFIRGSSKSGDRRRGKGSGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVEREFVLPKQKIFCSVSELQSYNNDEDDESEIIAKVEIKDTEKEETISEAELIPQFKITEVHVAGLKAEPQKKKLWGTSTQQQSGSRWLVANGMGKNSKFQSMKSKAAAKSIAPVTTKIQPGETLWSISSRILGSGKKLKELATLNPLIRNPNVIIPNDTARLS >CAK8536910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:38365129:38366283:1 gene:gene-LATHSAT_LOCUS6235 transcript:rna-LATHSAT_LOCUS6235 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRLTVVVSSLLLSCIFQPFCLGIRSFPTTTASTATTTTNSLFHFSEAPEYRNGAGCPVPGNKNSIPSCDPSLVHIAMTLDSGYLRGSIAAVHSVLRHSSCPENVFFHFISAEFDPVSPRLLTRLVRSIFPSLNFKVYIFREDTVINLISSSIRLALENPLNYARNYLGDMLDSCVERVIYLDSDIVVVDDIFKLWSVKMGESKVIGAPEYCHANFTKYFTDEFWVDPLLSRVFGARKACYFNTGVMVMDMVKWREGNYRKRIENWMELQKKKRIYELGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNLNGVCRSLHPGPVSLLHWSGKGKPWVRLDEKKPCPLDSLWEPYDLYKPRTYKDQEIQPLSFSSSILVGYAHDLL >CAK8540078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538493051:538493503:1 gene:gene-LATHSAT_LOCUS9116 transcript:rna-LATHSAT_LOCUS9116 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNITKLISSLKHMKSKFGASTLLNQDQEVIFVIRVLREAIVTNISIFQSILSFLASKSKATKWLKVTKLMHKKEVSCEESLENFNELQSVEASLRTLLREGSDAAKMQATHESLEALEDAVERIENGLESVFKRLVKARVSLLNIITQ >CAK8579078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672995496:672995876:-1 gene:gene-LATHSAT_LOCUS31050 transcript:rna-LATHSAT_LOCUS31050 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRGSPMYVLWKKLKRLQQELKAFSNPFYDIRNKLTTARDNLKNIQEQLIGDKMNTTLIGKARDLTEEVIALKEIEWEILQQRAKVDWIRKGDGNNHYLYAAVKIKHHSTCLTNLQRSDGSQLSD >CAK8563265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:587272313:587285013:1 gene:gene-LATHSAT_LOCUS16693 transcript:rna-LATHSAT_LOCUS16693 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGVLKKAYELSILCDAEVALIIFSNRGKLYEFSSTSCMMKTLEKYHKYRYNALETNQPANDAENYQEYVRLKANVEILQRSQRNLLGEDIAQMNTNELQQIENQLEAALKNIRSTKTQFMLDQLADLHNRETVLVETNHALRSKLEENNDSNIHFTRFHPQSEGFFHPVGVNSNLQIGYNMMGSSDDANVGASSLSMNGFATGWML >CAK8579427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697921144:697952797:-1 gene:gene-LATHSAT_LOCUS31375 transcript:rna-LATHSAT_LOCUS31375 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSHTLSPPHTTTTTSISHSTTLSLINKTKSLELHNHNHTRISIIISSKSNSNKTFAIGNRVGVETETAVVEIHKPQFEVSRGFPSPFGATAQEDGVNFAIYSLNAHSATLCLFTLSDFKNNKVTEYITLDPLVNKTGCVWHVFLKGDFKDMLYGYKFDGKFSPQQGHYYDSSRVLLDPYAKAVISRGEYGAVGLDGNCWPQMAGMVPFDPEEFDWEGDLPLKYPQKDLIIYEMHVRGFTKHESSKTEFPGTYIGVVEKLDHLKELGVNCIELMPCNEFNELEYYSYNAVQGDYRVNFWGYSTINYFSPMIRYSTAGTQNRGRDGINEIKFLIKEAHKRGIEVIMDVVFNHTAEGNEKGPIISFRGVDNSVYYMVAPKGEFYNYSGCGNTFNCNHPVVRKFIVDCLRYWVAEMHVDGFRFDLASIMTRGSSLWNGVNVFGTPIEGDMLTIGTPLSSPPLIDMISNDPILRGVKLIAEAWDAGGLYQVGTFPHWGIWSEWNGKYRDTVRQFIKGTDGFAGAFAECVCGSPSLYQGGRKPWNSINLVCAHDGFTLADLVTYNSKHNLPNGEDNNDGENHNNSWNCGEEGEFVSPSVKKLRKRQMRNFFLSLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYLNYFQWDKKEESSSDFFRFCSLLVKFRQECESLGLDDFPTSERLQWHGLFPATPDWSETSRFVAFTLVDSVKGEIYIAFNTSHLPFTVNLPERPGYRWEPLIDTSKSAPYDFLTPDLPGRDIAIQQYAHFLDANMYPMLSYSSIILLRTPDVNA >CAK8537707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422109181:422110506:-1 gene:gene-LATHSAT_LOCUS6971 transcript:rna-LATHSAT_LOCUS6971 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMELLTPTSYTPNSNWCMEENRTTKWTHEENKLFENALAVYDKDTPDRWHKVAEMIPGKTVGDVMRQYKELEDDVCNIEAGLIPVPGYSTPTSPFTLDWVNGSGHDSSKGNGKRSSLVRAPEHERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTEKIGSCSSEDTNDRSTSPQNSMLLAHQQQQQQSSSSTTTNFLWRNDHQNAMVFNPGHEQVFMPLPGVNSYEVKIEDENLHQGFVHESSYPHNMVFQMQHYSHT >CAK8530891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59614343:59614732:1 gene:gene-LATHSAT_LOCUS722 transcript:rna-LATHSAT_LOCUS722 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIEEAIAEDQNNKVKEQSSKQMSMENLKNFFQRKYPNETEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPTAEDFWDAMISSMKDMKKAKN >CAK8531570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125985384:125985629:1 gene:gene-LATHSAT_LOCUS1353 transcript:rna-LATHSAT_LOCUS1353 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLELLRLLDDEAADERQPQPRRRNRRAAARRQNPPKDTFSNSGTQNMEGLINNAGYVEGNGNGAIIYGGFDSSTKTYN >CAK8576761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511902691:511903308:-1 gene:gene-LATHSAT_LOCUS28930 transcript:rna-LATHSAT_LOCUS28930 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVAFLLEHLSQLLQREAKLLSGVEDKIISLRNELEIINIYLKTSSEGNNNKEIEQKVLSQIRDVSYSAEDVIDTFITKVAFYKKRNLLGRMLRSVKHAKLLHEVAEKIDNIKTALKEIHENKIKYCQESSDQSTSATKEEERMKSLHRLRRNVEEERVVGFVHDSEVVINRLIQDDSRQLNVVSIIGMGGLGKNHPGTKSL >CAK8534461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728615726:728616211:1 gene:gene-LATHSAT_LOCUS4006 transcript:rna-LATHSAT_LOCUS4006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQSQPQPSHQYNPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMMQDLADTSGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRLPQCGTGGHLDRADH >CAK8565398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:165426487:165426696:1 gene:gene-LATHSAT_LOCUS18616 transcript:rna-LATHSAT_LOCUS18616 gene_biotype:protein_coding transcript_biotype:protein_coding MATENHGYVGGVVMGWIEGEVRVSLISKEFQFTHTRCNLVVDQFGASLQFTLALMVTIGRYTLERLEEN >CAK8571911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492373495:492387531:1 gene:gene-LATHSAT_LOCUS24534 transcript:rna-LATHSAT_LOCUS24534 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSKSTTIPNEVTILVIGDKSTGKSTLISSMASDSFPSTVPPLLPPTRLPVNSFTDSTPLIVIDTPSSVVQQGKLSEELKRADSVVVTYACDDTVSFEHVSSYWLPELQKLQVKAPVLVVGCKLDLRNERMQVSLESLTTGIMNQFKEVVTCIECSAATLYQVPEVFYFAQKSALHPVDPLFDYDTNALTDRCIRALRRIFNLFDFNLDGIFTDRDLYEFQARSFGAELRQSDIDQIKTMVEKNVPEGVNSQGLTFRGFIEIHNMFLKKGRPETFWAVLRKFGYGNDLKLRDDFLPVPSKKASDQSVELSGAAIEFLKGVFRLLDTDKDQLLRPAEIDKLFDAAPESPWKDAPYKDAAETTEMGYISLNGFLSQWALMTLLDPKYSLANLIYIGCKLKPDAAFRVTPRRSEERKKQKTERNVFQCYVFGSKNAGKSAFLFSLLGRSFSDFYTPTTVERYAANSIELIKGTKKTLILREIPEDEVSSFLSKKDCLAACDVAAFVHDSSDGYSWKKSIDLFEKVVNQGELTGHKFPCLLIAAKDDLAPFPRAVLDSFKVAQEYKIDAPIRVGMRSGDSNNVYSKIVNAAEHPHLSIPETEFARKRKQQQQLLHSFIFALAGAAMAFAGLTVRRARANKNSS >CAK8544371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680442475:680443589:-1 gene:gene-LATHSAT_LOCUS13057 transcript:rna-LATHSAT_LOCUS13057 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERTNRDGKRKTNTSLGRSVTIGGESGITGLLVFGGALAIAGLMAVTSFGTKKHKKKSIHPHQQSLLDDDEKDSLQYSTNQFGEDVTSCLTLNECAKTDDSSGLQPLILEEKIDNEPNSDCFHHQEIAFSDYSQPESATSSNENMVAEESSTLFNNSGDCEQEEKKGESEDSPITLTETDDEDDDMDSDDATEETVEEDSSEATETTSIDDNEELIEHKYKKYYCECDECSDLYADDGSYYALNKTAPKEAMWNKTASFPVAQNVQPSILATWVMPVLMLGFLMLLAALTSGLLESLYVHDDDDSIIVP >CAK8566780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462405750:462408766:-1 gene:gene-LATHSAT_LOCUS19889 transcript:rna-LATHSAT_LOCUS19889 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNCYRQVSKNGKSGGRFSLGEYQRAVSWSKYLVTPGAAIKGGEEEEWSADMSQLFIGSKFASGRHSRIYRGVYKQMDVAIKLVSQPEEDEDLAAFLEKQFTSEVGLLLRLRHPNILTFIAACKKPPVFCIITEYLAGGSLRKYLHQQEPHSVPHELVLKLALDIARGMEYLHSEGILHRDLKSENLLLDEGMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTGLTPFDNMTPEQAAFAVSYKNARPPLPSECPWAFSNLINRCWSSNPNKRPHFVEIVSILERFSKSLERDSEFFSTYKPRPSNTILGCFPKCLLPCVIIKNNW >CAK8566781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462406182:462408766:-1 gene:gene-LATHSAT_LOCUS19889 transcript:rna-LATHSAT_LOCUS19889-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNCYRQVSKNGKSGGRFSLGEYQRAVSWSKYLVTPGAAIKGGEEEEWSADMSQLFIGSKFASGRHSRIYRGVYKQMDVAIKLVSQPEEDEDLAAFLEKQFTSEVGLLLRLRHPNILTFIAACKKPPVFCIITEYLAGGSLRKYLHQQEPHSVPHELVLKLALDIARGMEYLHSEGILHRDLKSENLLLDEGMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTGLTPFDNMTPEQAAFAVSYKNARPPLPSECPWAFSNLINRCWSSNPNKRPHFVEIVSILERFSKSLERDSEFFSTYKPRPSNTILGCFPKCKVRQKSVACKAKQ >CAK8533703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651421691:651422176:-1 gene:gene-LATHSAT_LOCUS3306 transcript:rna-LATHSAT_LOCUS3306 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPATTKTTTAGCGGDDDMQEIAFAKKGCMCSWIPCFSSETSPSLWEPMQLKFYDNKEKLWWFRGWMKVREWSEIVAGPRWKTFIRRFNSNKNRNVNAKQVSLNYDPLSYALNFDDGDGGSGGEDSHLHGYGGFSSRFASVPASAKCSMDLGKDAAVIT >CAK8561964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418859970:418860397:-1 gene:gene-LATHSAT_LOCUS15506 transcript:rna-LATHSAT_LOCUS15506 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAFTSPGAKLDNRFNNGGGPPTLRVQGQSCHRIGSLLPPEGQPPKFTQLYIYDIENEVHSRMQGLRDTKNIDPLIIQQLSKMLYEHNPHAKSF >CAK8565546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:265599781:265602085:-1 gene:gene-LATHSAT_LOCUS18749 transcript:rna-LATHSAT_LOCUS18749 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKHSLENSIMEAREDHMLSPAGDSEQTFRTAYFLKPIANSIRELSLNHSSSSSVLESKECPSKINFNGWRYPQTKWVRWVDQLKPKYESVWKKAGIFEPIMSTKSRIMKNQDLVYGVAEKWCSQTNTFVFPFGEATITLEDVIVLGGYSLFGDPVFTPLEDQEMREVKKKLDNVERQERIVKGMPPSTSMWIDIFINKGSEIEHEAFLVTWLSIFVFPHKYNLVKSCLFPIAIHLARGNRIALAPAVLASLYNDLNLFKETIVGFKKCLLRGVELPLVLEVDVQSPFYLVQVWVWERFKNLQPQPNLINIEDHVLLRWHMVRALEIDSVRLALDSAIDDFLWRPYVRYAAKYGMYYPNDEILVPFKKDLDKQMLSFILCLRASELVGIECIEQYLPHRVAMQFGMDQDVPGYVSRFNKTKEVAWNNYTRPSSDTRLYFPSRFFEADVTTRYAKWWKKSVLGPQGFYKNVVRRKRSARSLKFRPHHAALFPPPKLIDDVPKVLTTMSSENSAEDGLKAEKNVDASSSLPPENNTLAPSMSTIEDCMTALVDVKFKDGNFVKDGLKSEKTADAISSLPQKHDTLSHLTSVEDCNPLLEDVEDKDANKSKEARLSSERVCESETQDESYSYLSDASIAKLEERISQLEKLHRELKMARKSREGNARPVSGPQ >CAK8572059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506110728:506113294:-1 gene:gene-LATHSAT_LOCUS24665 transcript:rna-LATHSAT_LOCUS24665 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISNPNSKPSSFCLKWPWDALNPNPKIPHAPNPCNFEAPWLFRSLQNLGSLAFNFVNSVSNSSTSQTPNSLESNKKLNLTWNKKKRVTPNGLEQAEAEQRAFAAALASDKEATLLEFYSPKCRLCNSLVNFVLEMETRNGHWLNVVMADAENEKWLPELLNYDVSYVPCFVLIDNKGRALAKSGVPNSRLHVIVGLSHLLKMKRPQQN >CAK8562651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:521587947:521588205:-1 gene:gene-LATHSAT_LOCUS16130 transcript:rna-LATHSAT_LOCUS16130 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRITLVQTVATAGVFSAISFWYGFMFGRESSRKELSHLIEDLRRGNPDPPPHS >CAK8540298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549955094:549956966:-1 gene:gene-LATHSAT_LOCUS9314 transcript:rna-LATHSAT_LOCUS9314 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSSLLVNGIPQFLPQPTSQVVKGSSVQVKLNPNSIKLRASAFKVRANSYEDDQVDNFLRRDYKWGFNQEIDSFSLPNGLSEQTVRLISARKNEPDWMLDFRLKAYHKFLKMKDPNWSDNKYPKINFQDLCYYSEPKKKPTSNSVDEPAPKLLAYFDRLGVPLLENKSANVAVDIVCDSVSIATTHKKTLEEKSGVIFCAISEAVREYPDLVKKYLGKVVPYDDNFYAALNSAVHGDGTFVYIPKNVKCPMQISTYFRINAKELGQFERTLIVAEEGSFVEYLEGCTAPIYDTNQLHAAVVELYCHEGAEIKYLTKQDWYAGDEHGKGGIYNFVTKRGLCAGVRSKISWTQVEIGSAITWKYPSVVLEGDESVGEFHSVALTNNYQQADTGTKMLHKGKNTRSRIISKGISARHSRNCYRGLVQVMSGADGAKSSSQCDSMLVGDTAAANTYPYIQSKNPSAQIEHEATTSKIGEDQLFYFQQRGIDYENAMSAMIVGFCRDVIDDLPYEFASETKQLLSLKLKGSVG >CAK8540299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549955094:549956957:-1 gene:gene-LATHSAT_LOCUS9314 transcript:rna-LATHSAT_LOCUS9314-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLVNGIPQFLPQPTSQVVKGSSVQVKLNPNSIKLRASAFKVRANSYEDDQVDNFLRRDYKWGFNQEIDSFSLPNGLSEQTVRLISARKNEPDWMLDFRLKAYHKFLKMKDPNWSDNKYPKINFQDLCYYSEPKKKPTSNSVDEPAPKLLAYFDRLGVPLLENKSANVAVDIVCDSVSIATTHKKTLEEKSGVIFCAISEAVREYPDLVKKYLGKVVPYDDNFYAALNSAVHGDGTFVYIPKNVKCPMQISTYFRINAKELGQFERTLIVAEEGSFVEYLEGCTAPIYDTNQLHAAVVELYCHEGAEIKYLTKQDWYAGDEHGKGGIYNFVTKRGLCAGVRSKISWTQVEIGSAITWKYPSVVLEGDESVGEFHSVALTNNYQQADTGTKMLHKGKNTRSRIISKGISARHSRNCYRGLVQVMSGADGAKSSSQCDSMLVGDTAAANTYPYIQSKNPSAQIEHEATTSKIGEDQLFYFQQRGIDYENAMSAMIVGFCRDVIDDLPYEFASETKQLLSLKLKGSVG >CAK8542600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528889970:528891881:-1 gene:gene-LATHSAT_LOCUS11426 transcript:rna-LATHSAT_LOCUS11426 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMGGSLFYLHIIVSLLFLFMLLLEPVYGTKKCYIVYLGAHSHGPNPTSLQLEIATNSHYDLLSSTLGSEEKAKEAIIYSYNKHINGFAALLEEEQAAHIAKKTNVLSVFLSKSHKLHTTRSWEFLGLHRNGNTAWQKGRFGENTIIANIDTGVWPESKSFSDKGFGPIPSKWRGGKACQIRQFGKLKKNPCNRKLIGARFFSNAYEAYYGKLHPSLLTARDFVGHGTHTLSTAGV >CAK8540761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19987598:19990963:1 gene:gene-LATHSAT_LOCUS9733 transcript:rna-LATHSAT_LOCUS9733 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIAILAFFFVFCFFSALLKWNQVRYRTKGLPQGTMGWPVFGETTEFLKQGPNFMINQRSRYGNIFKSHILGCPTIVSMDPELNRYILMNEAKGFVPGYPQSMLDILGKCNIAAVHGSTHKYMRGTLLSIISPTLIRNQLLPKIDQFMRTHLSHWENKVINIQDKTKQMAFLSSLKQIAGMETSSISQPFMTEFFKLVLGTLSLPLNLPGTNYRHGLQARKSIISILSKVLKERRESKEKYEDMLSCLMRGNNDNRSKLNDEELIDLIITIMYSGYETISTTSMMAVKYLHDHPKVLEEMRKEHFAIRERKKPEDPIDCNDLKSMRFTRAVIFETSRLATIVNGVLRKTTHDMELNGYLVPKGWRIYVYTREINYDPFLYHDPLTFNPWRWLGNSLESQSHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLMKFPRVVAPNGLHIRVSSY >CAK8564400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672318963:672320207:1 gene:gene-LATHSAT_LOCUS17709 transcript:rna-LATHSAT_LOCUS17709 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHTSTIFSSSFSSKRVHVNASIHVPRPNSALPKTNILTRSKLLGGLSTFTDSLGKNDLSNSTISNNSTMQLYAVLEAVSDRIQMHKNIGEQRQNWNNLLLNNINMITLTATTLTGVVATSGAVGAQLLALKLSSTLLFSAATCMLLVMNKIQPSQLAEEQRNATRLFKQLWTQIQTKISLGNLITEEDVESSMEKVLALDKAYPLPLLGAMLEKFPAKYEPAVWWPEKRREGNAAAARKVMKRNSNSSNGWSEELEIELREVIEVLKRKDMEDYERLGNMALKINKSLAIAGPLLTGIAAIGSAFVGNDDGLFSAMVPLMAGSVACAINGLEHGGQVGMVFEMYRNCGGYFRKLGESVESTLEENDMEKRENGEIYEMKIAMMLGRSVLELRQVASNSASSSIDQFASKLF >CAK8565385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:157292289:157292618:1 gene:gene-LATHSAT_LOCUS18605 transcript:rna-LATHSAT_LOCUS18605 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTSDAAAAAANFAGHRRTSMSNTVHSEVASCLMLPSLTVLGGASDQDLRLFDSLMQLNRDDILSESSRIAETLRHTDVSYLNLRDGAKVLSRRRSLVAHYLKRSP >CAK8568640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626102841:626103400:-1 gene:gene-LATHSAT_LOCUS21580 transcript:rna-LATHSAT_LOCUS21580 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLNLVIISSLLLIQMTTLILADVGTAVSYGPPYIPTSCDGSRRDQFPPGNIFVAVNEGLWDNGAACGRRYRVRCVSGMNKPCKGGSIDVKVVDSITSCTKSSCPHTFHMSTEAFAAISRFPNANINIEYIQ >CAK8565448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:216508932:216509875:-1 gene:gene-LATHSAT_LOCUS18665 transcript:rna-LATHSAT_LOCUS18665 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHSNVILNKKNQKQPASLSLRSSSFAYTGQFQWQWLPYMYSAPFRPFPITPVLHPLPLQIHSKKLHCHCQIDLDTIVRAEDRRTTLIIKNIPNKYISKMMLYEIEENHRGTYDFLYLPIDYKNNGNLGYAIINMLSHLHILPFYKTFHGKKWDTYFGEQVISLEYAWIQGKDTLLTHFQNSGCICELCFSRHWPILFH >CAK8577846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593840677:593850220:1 gene:gene-LATHSAT_LOCUS29915 transcript:rna-LATHSAT_LOCUS29915 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKNLLYLVITQNHAYSNLLLNCLIIFLFIFSFCSCSSDTISIHKPLRDDAQPLISKSKTFALGFFTPGKSTSRYVGIWYYNLPNPTVVWVANRDTPINDTSGILSIDPSGNLVLHHNLSTIPIWSTNVSLTQSQRNSTKVIAQLSDIANFVLMLDNTTIWESFDHPTDTMLSYQRVGFDRKSNQSWFLQSWKTDDDPGKGAYTLKFSTDGKPQLFIYNGNNPWWRGGPWNGELLTGVPNLKGDMAIFNVTLVEDNNYLALTYHMLDESVITRIVLEKSGFLQSFTWNSQKSQWSRYLYEPTNQCDNYKTCGSNSNCNPLNYVKFKCSCLPGFEPKFPSDWYGTRDGSGGCVRKKGVSVCGNGEGFVKVVSLKVPDTSVAVAKGGLSLEECEKECLRNCSCTAYAAVDVRNGGSGCLAWYGDLMDIQTLSDEGQDLFLRVDKVELANYYTKRKGALDKKRLAAILVASIVAIVLLLSYVYCRRKKKRKDKMMRHLSQESSGEENGAPDPNLPFFNFKTIMTATRNCGHENKLGQGGFGSVYKGCLVNGQEIAVKRLSKDSGQGKEEFKNEVTLLVKLQHRNLVRLLGCCYEKEERMLVYEYLPNKSLDFFIFDLNQRSSLDWGKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFAEDEIQARTKRLVGTYGYMAPEYAMEGRYSTKSDVFSYGVLLLEIIAGQRNTHYEKERASINLIGHVWTLWTEGKALDTVDPTLNQSYPPDIVLKCIQIGLLCVQEKAMNRPSMLEVVFMLSNETPLCQPQKPAFLFDGSKDWQESSTSGGGSSINEVTETTISAR >CAK8568841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:645405258:645408037:1 gene:gene-LATHSAT_LOCUS21757 transcript:rna-LATHSAT_LOCUS21757 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPFEGGIFQLAFSVPEHYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKTAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKG >CAK8567644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533197720:533198124:1 gene:gene-LATHSAT_LOCUS20680 transcript:rna-LATHSAT_LOCUS20680 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNFSFSSTTQSGSGIRRRGNGYWCELESPLMMSWTYDNLERRFHGCGNFKVMRKKGCIYFQWFDEDVSSRAKDVIRSLKDNNEELMDVIKDIKKNEDLLMMKIRFMYYFVGLSVMFVFLIVFVLVATHVLK >CAK8576105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408350358:408361716:-1 gene:gene-LATHSAT_LOCUS28319 transcript:rna-LATHSAT_LOCUS28319 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAELSPSKILDTTNAQDSTVEPHLTAPQDAPINKANDDVAPTEKRKRDDADGNSNTDDNRSLHPLWKTSLCSYFRKHASCSHGETCRYAHSEEELRPRPDSTWDPTSERGKKALKSVTGEKIAVKDGVMMTELVDDVDGDGGDDGFASNQALSKCLVHLPMKWSSENLRNFLNEQGIPFKHAKTKKGMAIGFVTFEDEEQMKNSSKDLQGKQIGNKTIEVADVNPRSFEKKSNSSVPSNGTLDDEPNDDSLVIDGFESKKRSSREVVTPLAHLSYADQLEQKKYSLAQILKKLPRNARKACRNGVAVPEWILKSREIGGLPCNLEGIIESPIVNGYRNKCEFSIGYSLEGKATVGFSLGNFREGVTAVEEPVDCPNISIIACKYAAIFQEFLQHTELPVWNRFKNCGFWRQLTVREGRSNGNTVDAETFDGIAEVMLIVQVSTASFDNVQVAAEFKRLAQAFVTGATSNCPTLPLTALIVQDHQGISNVAPSEAPLHSLPIAAGDPERDESISAADVRIHDYISNLRFSISPTSFFQVNTLAAEKLYSLAGDWACLGPDTLLFDICCGTGAIGLTLAHRVGMVIGIEMNAAAVSDAHKNAENNGIKNCRFICSKAEQVMGSLLKEYLNVPKEQPKEQVDDPDTCGTVNDIPEDSTCPEPENGNQASHCSENNNSEVENEVPKESASENGNTSVQQFKNVVAIVDPPRAGLHPTVIKALRTHTRLRRLVYISCNPESLVANAIELCTPSPTEIERGNKDNRGWRRMSSAGLARHRAKSMPISEAFKPVKAMAVDLFPHTPHCELVMLLER >CAK8573570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621176444:621176791:-1 gene:gene-LATHSAT_LOCUS26003 transcript:rna-LATHSAT_LOCUS26003 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNGFFMVKCELLADREKIVLEVPWMLFDHYLEMTRWTPDFASPIIKVDNNLVWIQFPRLNLLYYDESVLLGLASVVGTLVKVDANTLNVEGEVFENFYGDGFDLSSCRKGQC >CAK8571737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466286423:466286824:-1 gene:gene-LATHSAT_LOCUS24371 transcript:rna-LATHSAT_LOCUS24371 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSYKLTLAASEGGFVSLGCIIKDPTSNIFLAASKRFPSVTNPANAELLAIRWAFLLAKELNLKSFVFQSDAQVVVDCINDFVFIADLDSVVADCKLLMKDFDSVTLMFINRLCNLDAHHMVGIGKSFGFRT >CAK8567717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538713981:538715825:1 gene:gene-LATHSAT_LOCUS20741 transcript:rna-LATHSAT_LOCUS20741 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPVVDAVTEPELAQQIPVVDETTLKKKPQPEEDDAPIVEDVKEDDKDDDDDEDDDDDEDDDDKEDGAQGGAEGSKQSRSEKKSRKAMLKLGLKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYIIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVIAKQDQGAIAGAQPEEEEEEVDETGVEPHDIDLVMTQAGVSRTKAVKALKTHNGDIVGAIMELTT >CAK8579003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666723981:666726651:-1 gene:gene-LATHSAT_LOCUS30980 transcript:rna-LATHSAT_LOCUS30980 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGASTEISHYLAVASVKPNSRCCQCATGISYSLHNAIGGYNGVLSKMKLKRNDNRVVSVSADGSGKGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLMLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATGHLDVDVAEQVIKGIVNGCKQSDCALLGGETAEMPGLYKEGEYDLSGCAVGIVKKEDVINGKNIAVGDIIIGLPSSGVHSNGFSLVRRVLAQSGLQLKDKLPGSDITIAEALMSPTTIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPEGLGALIHENSWDIPAVFKWLQEAGKIEDSEMMRTFNMGIGMVLVVSPEAANEILENRVETSKAYRIGEVISGNGVTYS >CAK8567734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540075696:540076142:1 gene:gene-LATHSAT_LOCUS20757 transcript:rna-LATHSAT_LOCUS20757 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYGKSAVAVQANVIYLSSILGRDSPFPGHKCDWKCKNEHVCGNMYRCNLTGLTHICDKNCNQRILYDNHSSRCRASGQIFPLTPTEEQAVRGVRRKLDGETTSTATPTDGCSFKRRRDAQFNSPFEKSFSAVSPICSQIGDGMDMS >CAK8544300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676992479:676993213:-1 gene:gene-LATHSAT_LOCUS12992 transcript:rna-LATHSAT_LOCUS12992 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHLRMKNWVVRSRAQYGVDFIVYRHHPALVHSEYGVLVLSHDNDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCSENYVIEEHTISRWSPEQCRERSI >CAK8538401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481405653:481406376:-1 gene:gene-LATHSAT_LOCUS7610 transcript:rna-LATHSAT_LOCUS7610 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNGIFLILGLLAMVLLVSSEVSARDLTKTSSNTKKGVNDVKYDNGGYGDSSSGGYNNGYPDNGGGGYPGNGGGYNGDQGNDYSDNGDDFSDNGWGYNGGYPRNGGSYRGGHYPGYIGGHHGHGGVYFGGYGGGYMGHGGVYMGGYGGGFLGNDAHHGEVLEGKTRN >CAK8569995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19643635:19649014:-1 gene:gene-LATHSAT_LOCUS22787 transcript:rna-LATHSAT_LOCUS22787 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPTTSTAKRGARSKVPQTSKPSLLLAFCSCVAWLYVAGRLWQDAENRNLLASLLKKNSAERPKVLTLEDKLMVLGCRDLEKRIVETEMELTLAKSQGYLKGQRQQTASSDRRLLAVIGVYTGFGSHLKRNVFRGSWMPRGDALKKLEERGVVVRFVLGRSPNRGDSLDRNIDDENRSTKDFLILENHEEAQEELPKKAKIFFSTAVQNWDADFYVKVDDNINIDLEGLIKLLEHRRGQDGAYIGCMKSGDVISEEGKLWYEPDWWKFGDEKSYFRHASGSLVILSKNLAQYININSVSLKTYAYDDTSIGSWMMGIQSTYIDDSRLCCSSTTQDMLCSLA >CAK8541086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:61855799:61856098:1 gene:gene-LATHSAT_LOCUS10037 transcript:rna-LATHSAT_LOCUS10037 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSINIRGGGSIVKRKRLAHLIQSGKVDIFFVQETKLSGVDLKMTVSLWGGGGDDSVEWNEAGSVGASGRIIILWRKELLKLLFSFRGIDFVGVNAE >CAK8530307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13952197:13952904:1 gene:gene-LATHSAT_LOCUS182 transcript:rna-LATHSAT_LOCUS182 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTMKNEVDAFLKKLSYGAIAIASLTLFSLFLRTPDTCVPPEAPPKPHLRFPKSSCDFSSTRPHLSSDKKNDRLWSSRDWNNKLHSISLIFLPIRDLGLLPNHSKILCISAGAGHEVAALQRLGVDDVTGVELFDSQPLVSRADPHNLPFFDGAFDFGFTARFDEALFPARFAAEMERVVRPGGVCFVLVGECGSHEVRDVVRLFRNSRFVTSSNVTFIGIRMTSILLRTRKSS >CAK8570737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:102376216:102377704:-1 gene:gene-LATHSAT_LOCUS23467 transcript:rna-LATHSAT_LOCUS23467 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLIFTAKRGAPELVTPSKPTPHEVKLLSDIDDQDLIRFQMPAILFYNYDPNMKGKDPVDIIRKALAKTLVFYYPFAGRLREGDGRKLMVDCTGEGILFIEADADVTLKDFGNNILPPFPFMDEVLYDVPGSSDIINAPLMLIQVTRLKCGGFIFAIRVNHTMCDASGLALFMNALAEISRGMNEPSVSPVWCRELLSARNPPRVTCHHPELEQAPNNKGTINLISLDNMVRRSFFFGPNEVATIRSLLPTNQQQQYSKFEIITAFLWRYRTIALQLDSNQEVSMYFAVNGRSKYVNLQLPNGYYGNVLANPAIVTTAGKMVENTLGYMYVLNLVKNAKAKVTREYMHSFADLIVSKGRPSFTLTEFMLLVSDVTHSGFKDVDFGWGKAVYGGPAVDSPIHSIGCFYLPFTNAKGEEGLVIPLCLPAQAMERFVIELDSVLKGNSDQSVKSDPNKSGIIKSRL >CAK8532796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:517235220:517235747:1 gene:gene-LATHSAT_LOCUS2466 transcript:rna-LATHSAT_LOCUS2466 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKLFSSLLLFTLSLIFISQASAALYEDVCLAAAEDSAQCLEVLKAVPQIASANTDMELCKLVLKFAIKEGTEAQNSLKEMVKTNPTVAVKNCATIHYDEIVGSFKSSTRELYSDTLTSNYDAKLAADGAVACDAELDREKISIPAISDLNRKMKLISKIAFLATDRLPEEED >CAK8567602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527851753:527856286:1 gene:gene-LATHSAT_LOCUS20639 transcript:rna-LATHSAT_LOCUS20639 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKMEDMPLPALFEQARKIHATATEFGADQELVKKGCEALNKCEDMINKLGLFSSNETKEDISTTDLKYILVPYYLAELTEKIAQDDRIQILKASQAKLKEFISFCEAMELVPKEELESYLQGVPKSVTDQRARKIARFKRQKAAESKLLEIKERKERRGRSTKAAALSAPVEAGEEELLDDDGEEEREAWNTSISLAICKAFDLLEMIKKEDEMLSAVKDRQSKDGDQEFSKDVLDERAKKAEAWHRNAAVRAQYTKPSPPITCATFAQDVLEGRAQASQAHDHKHQPLIFGPQSLVNGSFTNERERLAAQVFQPSHRMPTMSIEEAGLKEMEIMNKWQETNIRLMEEATSSWHNDRKFKPGEEEEDEDDDAAQDRARALDDWKDDNPRGAGNSKLTPCG >CAK8563572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617247363:617248686:1 gene:gene-LATHSAT_LOCUS16968 transcript:rna-LATHSAT_LOCUS16968 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVAVKEIEGWTERLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >CAK8576459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480822237:480825408:-1 gene:gene-LATHSAT_LOCUS28645 transcript:rna-LATHSAT_LOCUS28645 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDVFSLTSTTIPSTLTRRHTAPADKPSRHLKLSKYSHFIRYPLIPTLTNNRICTSNSLRAQASVSTKSSTAEGIPEKTDSKDDNLVFVAGATGKVGSRTVRELIKLGFKVRAGVRNAQKAGALVQSVKQLKLDGASGGGEAVEKLEIVECDLEKPDQIGSALGNASTVICTIGASEKEIFDITGPCRIDYRATKNLVDAASVAKVNHFILVTSLGTNKFGFPAAILNLFWGVLIWKRKAEEALIASGIPYTIVRPGGMERPTDAYKETHNVTLSTEDTLFGGQVSNLQVAELMATMAKNPDLSYCKIVEVIAETTAPLTPAEKLLTKIPSQRPYISSPKTVQKADTAIVSNTGPSANVVAEVPSTAPPKETAQPKPVAKTEQPLSPYTAYDDLKPPSSPSPTKPSEKKQINISDAIPTPISSDTPSSIQEIDGVSQTTSSSKGKEYLSPYAAYPDLKPPSSPSPSVPTTSLSKLDTVVSSNGPAQLSVEDTPKDDGQQHLHEPKSRPLSPYAMYEDLKPPASPSPSFRKS >CAK8566595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440111804:440113843:1 gene:gene-LATHSAT_LOCUS19715 transcript:rna-LATHSAT_LOCUS19715-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPMFLLCILTLLASNSIQSYSQQHEFFFSGFNEATTNITLNGSAVIDLKGTLRLTNDTERVIGHAFYSTPINFKNKNNSTNHKVFSFSTSFAFAIIPQYPKLGGHGFAFTVSPSNKLSNGYPSQYLGLLNPKDLGNFSNHIFAVEFDTVQDFEFNDINDNHVGINLNNMVSNKSVKACFFTDGSTSKQVQDLNLKSGSVIQAWIDYDSSINQLEVRLSPSSSKPTSPILSYQIDLTPIFKETMYVGFSSSTGLLSSSHYILGWSFKINGESKTLSLKNLPSLSSHSNKTTQTRKAIILGLSISFVILIVLTTGLSFYFKMKNRDVIEAWELEVGPHRFPYKELNQATGGFKDKNLIGFGGFGRVYKGVLVLPNSKTEIAVKQISHESKQGLQEFISEIETIGKLRHRNLVELLGWCRKRNDLILVYDFMKNGSLDKYIFEQPRAMLKWEERFRIIKGVAFGLVYLHEECEQTVIHRDVKAGNVLLDSEMNARLGDFGLAKLYDRGENPNTTRVVGTLGYLAPELTRTGKPTTSSDVFAFGALLLEVVCGRRPIEAKALPEELVLVDWVWDRWRLGAVKEVVDCKMGGVYDEVEVLLVVKVGLMCSDESPERRPTMRQVVRYLEREVKLPELDGFGVKKKDFFYSTASGFGSCNTWSSVGDDVDGGYVSSFTLSGGR >CAK8566594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440111756:440113843:1 gene:gene-LATHSAT_LOCUS19715 transcript:rna-LATHSAT_LOCUS19715 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSDFQFQSINFNSVMAKTPMFLLCILTLLASNSIQSYSQQHEFFFSGFNEATTNITLNGSAVIDLKGTLRLTNDTERVIGHAFYSTPINFKNKNNSTNHKVFSFSTSFAFAIIPQYPKLGGHGFAFTVSPSNKLSNGYPSQYLGLLNPKDLGNFSNHIFAVEFDTVQDFEFNDINDNHVGINLNNMVSNKSVKACFFTDGSTSKQVQDLNLKSGSVIQAWIDYDSSINQLEVRLSPSSSKPTSPILSYQIDLTPIFKETMYVGFSSSTGLLSSSHYILGWSFKINGESKTLSLKNLPSLSSHSNKTTQTRKAIILGLSISFVILIVLTTGLSFYFKMKNRDVIEAWELEVGPHRFPYKELNQATGGFKDKNLIGFGGFGRVYKGVLVLPNSKTEIAVKQISHESKQGLQEFISEIETIGKLRHRNLVELLGWCRKRNDLILVYDFMKNGSLDKYIFEQPRAMLKWEERFRIIKGVAFGLVYLHEECEQTVIHRDVKAGNVLLDSEMNARLGDFGLAKLYDRGENPNTTRVVGTLGYLAPELTRTGKPTTSSDVFAFGALLLEVVCGRRPIEAKALPEELVLVDWVWDRWRLGAVKEVVDCKMGGVYDEVEVLLVVKVGLMCSDESPERRPTMRQVVRYLEREVKLPELDGFGVKKKDFFYSTASGFGSCNTWSSVGDDVDGGYVSSFTLSGGR >CAK8572969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573850874:573854775:1 gene:gene-LATHSAT_LOCUS25467 transcript:rna-LATHSAT_LOCUS25467 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYNGPGFRFHPTDEEIICFYLKRKLTGNLPPAFDHLAVIDIYKFEPWDLPSLSKLKTRDLEWYFFTVLDKKYGNSLRLNRATDRGYWKTTGKDRTVKNENDIVGMKKTLVYHSGRAPHGDRTNWVMHEYKMVNEALARAGLQDTYVLCRVFEKSGSGPKNGEKYGAPFVEAEWEHVSRMVDPVLPVNELLTQEVSAPVPAPAFVPASVPALAPAAVPALALAPYVDEQVDPLPIVGNEPLKQEVSASAPIDDDFVEAKDLDKEFDDTSDTVGSADLASNICYGESSSHPPQHSQAFISDHKQMGPELFNGNQIDQPDNMTDMFNVNINSVEDGFNGETNHNENPLSFNFEFEDPDELYFDTCGYLMSSEEAYSETNDFKDLDEAIPTETDPSGAAMLDEYLASPDDDIFKYISFDSPLNVGSENPIGNHGQPFVEQNMESEANGSSLASGHVLEGQSSNEPSFPEVSNWVAEGDANYFVRQANKLLAHMPASPAFASEFPTKEFAHGISPAGESSNSAQITAGMISITDISFRGNAMMDWMVGKNGDFNTIMSSGFTQADVNSATLVPLSDLVCSKTAFMLSHGWVFLVGFSVVVLSLSFKIGSFMYTGK >CAK8568014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:563048027:563048557:1 gene:gene-LATHSAT_LOCUS21016 transcript:rna-LATHSAT_LOCUS21016 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKTGDLTNLVVQGYPPSHRTILAFIAGHLDGNIRYLLLNTWKNKDGDVKDSYHTKLRSSKFCLCLSEVASPRIVEAIFAECVPMLISDSYVPPFSDVLNWKLFSVQIDVKEIPNIKKILMGISERQYLKMQRRVKQVQRHFVPHEPPKRFDVFHMTIHSIWLRRLNIRIRDDVQ >CAK8559937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1998008:1998571:1 gene:gene-LATHSAT_LOCUS13669 transcript:rna-LATHSAT_LOCUS13669 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCSRRLFSISSFKSALRPTTNHSPLLNASPSIKPHRQFSPFIRNCVYQLGSVQSLLPLHNTVATCRMVSNLSIDSRNCHDVSQVTLSCNHPGP >CAK8542646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535000475:535000759:-1 gene:gene-LATHSAT_LOCUS11468 transcript:rna-LATHSAT_LOCUS11468 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKAMSAMILALVLMVELVSIAEAANCNPVQLSPCLPAIMSNTKPSLGCCTRLNDQKPCLCQYVRNPNLKEYVNSPGARNVANSCGVTIPNC >CAK8568324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594893964:594898108:-1 gene:gene-LATHSAT_LOCUS21290 transcript:rna-LATHSAT_LOCUS21290 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKTSSDASDSNSSQTEIEYPISASPYAEGEKVLAFHTTCLYEAKVKQIEYKSKEWRFFLHYLGWKKSWDEWVGIERLMKHTEENMRKKLSIDAKYGNEKNARKPRASKGSNVARGRKRKNDSLVKEKSVVLPDKLVNFQIPPTLRKQLVDDFEFITHLGKLVKLPRTPNVNDIFKTYFDYRLKKSGPIADSVEEIMKGLCCYFDKALAVMLLYNNERQQYQEACPNDIVPSAIYGAEHLLRLFVKLPELLFHANIEGDTLAELQAQILDFLRFLRKNQRAFFLSSYHVQEDVENSSDKRFCIAHNIT >CAK8531195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88516871:88521552:1 gene:gene-LATHSAT_LOCUS1002 transcript:rna-LATHSAT_LOCUS1002 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEDQSHSSGKKWKPESYKTYHGKDSNSGNDLWTDGLICAFEFVRGKKGPVKSRFSLNTTNRQHFDSQYSKVRVPSNGLMESSSMRQDEKKFSRLSFDDDDDREGQVLQAGQSIAPEKREGDHWVPIGWARISELVQAVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPKWWCHFSAGHPAVEAWLSNAQWLHPAVSLALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPLVEEDDIPIVLRSWQAQNFLVTVMHIKGSVSRVNVLGITEVQELLSTGGYSVPRTVHEVIAQLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNYEDLNFFNIILNQEIRKLSTQVIRVKWSLHARDEIVFELLQHLKGYGTRNLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGVGVVLTIITGLFGINVDGIPGAQNTPYAFGVFSAILVFLGVVLIVVCLVYLGLKNPIAEEQVEVRKLELQELVKMFQHEAETHAQVRKNVSRNNLPPTAGDAFRRNADYLVIQ >CAK8536889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33628620:33631124:1 gene:gene-LATHSAT_LOCUS6215 transcript:rna-LATHSAT_LOCUS6215 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSRGEEIETLRKVSRKCYLEKREEKKLQQLRDDIFDEQYLFEGVKLSEYEHRDFEHKKYIYEVIANKVGLDAAGKDEYRLPEPYDDLHQIGINQEKRFSVAMQRYTDPNSEKQVPWEESQIKKATFSFGSKNKSTNDDDNYQFEFEDQIGFIKASLMDGDDFEQKIALEKSRAKSSVLEALQQERKNLPIYSFRDELLRSVRDHQIIVIVGETGSGKTTQIPQYLHEAGYTKDGRMIACTQPRRVAAMSVAARVSEEMGVKLGHEVGYSIRFEDCTSKKTVLKYMTDGMLLREFLVQPQLETYSVIMVDEAHERTLSTDLLFGLLKDLALARPDLKLLISSATLDADKFSTFFDYAPKFNIPGRRYPVEIYFTQAPEANYLDAAVVTTLQIHATQPSGDILVFLTGQEEIETVEEILKYRMRAFEAKIGELIICPIYANLPTELQAKIFEPTPKGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPKTGMESLLITPISKAAANQRAGRSGRTSLGKCYRLYTASAFENELDDNTPPEIQRTSLANVLLTMYSLGIDCEKLMHFEFMDPPPVDSIIKAMELLYNLGASNKHGKLTKVGRRMAEFPLDPMLSKMIVASEKFKCSDEIITIAAMLSTGNSIFYRPKDKKVHADNARMNFHTGDVGDHIANLKIYNSWKEASYSKQWCYENYIQIRSMKRARDIRDQLAGLLEKVEIELTSNSNDLDAIKKSIASGFFPHTARLQKHGSYRLVKGQQTVHIHPSSGLVEILPKLVLYHELALTTKEYMRQITEIKPDWLLEIAPHFYNPMDLQDLSSKKMPRGCGRVCL >CAK8543735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635424245:635427961:1 gene:gene-LATHSAT_LOCUS12475 transcript:rna-LATHSAT_LOCUS12475 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSTIAKTRSELFQTRLGSALRTTLACSIVGVTALYSSEHVKGYIKFPSISYVTTILIVSDATLGETVKGCWHVLCATIQVMIFSLLSMQVIRPGHFGDCMAALAVAVGAFVVALPVSTNLLTKRIAYGQLVIIYVSTVIHGAEEGVATHSIHVACSTALGAIASVLAMLLPYPRVAYYEAKKFYQLYTENTSERLNCNIEAISASDNSTAVGFKIQAKYLSATGAKLIHCIRTTLDGMYWDRPQTIISNSRIDLEEKLQDSDIPIRGMDIALSSGMSFPVGVIDEELRGVLLDCREQISQKLDQQAKCFVPFDATTVQEMKQGIFNKFLSIAYKDLPTSFFLYCVQLLVDDVSISKKTDHAMKKAQKSRDCQWCFNKISELLKNLIPSNKGLIFAFKSSLSLGLAVLLGLLYDRNNAYWAGLTIAISFVTERQPTFLVANSRLQGTAIGSAYGIICSFIFQKYVGIVDLRFLALIPWVIFTSFLRHSRMYGQSGAISAVLGALLILGRKNYSTPTEFGVARMAEATIGLTCFIVVEIILSPSRAATLAKSELSQTLRTLQDCIKQIAVITPSEREIPSSSYQALREEQKKLKSLVRQLGEFTEEAKLEPSFWFLPFHNACYNNMLKSLSRMVDLLLFVAYSMEHVTQLAQKDGVIWMDIQGRGNENVKSFKDRVDPILKSLEETTRMKSIKKTESDLKSQNIPHDLESQEYLNADALRILSIDEEVGSITNSFLQHLEEIADKTHTNKDEETLKVQMLFHYSCLGFCTSSLMREIVKIESEIRELCIWENPSSETNFK >CAK8531015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69849333:69852997:-1 gene:gene-LATHSAT_LOCUS835 transcript:rna-LATHSAT_LOCUS835 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNHATLLCLSLPPPPPLSSNRAIFPKCHSPIPISKKTLFCKPKLKRKTILRIKASSTDDNAQSPNWSKWIPTGSFAADKVFRLISSATASPIGQFVSSPTTFLHSIDPRVKLVWLLVLVVLPARSHIIMRFGLVAYLTLLSIWILPRNAWMDQLGRVYFLSALLFITLGLGSDGVPALVQPRTPPLAVTGIPNLPVSLTGYSYVISKLGPLTFTRKGLSVGSTVACLTFTVFQSASLCLTTTTPEQLASALRWFMLPLRYIGVSVSEIVLTLLLSLRFISLVFDEVRNIAMGIVSRRVNWKQLTVMETIDIFFNYFRRIFKNIFSHAEQISQAMIARGFKGDVETHKIYFLSESSFGMADIVSLLWLSVVIGAALLSDYYLV >CAK8536315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:930733161:930737054:1 gene:gene-LATHSAT_LOCUS5695 transcript:rna-LATHSAT_LOCUS5695 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNIEKMASIDAQLRLLAPRKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRQTVQDCYELSAEYEGEHKPEKLEELGNMLTGLDAGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLLKKGDFGDENSAITESDIEETFKRLVTELKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNNLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGVNERVPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRVRADELHRSSKRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYNTRERARQLLANGNSDIPEETTFTNVEQFLEPLELCYRSLCASGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGHDLPKTEEIADVLETFRVISELPSDNFGAYIISMATSPSDVLAVELLQRECHVKQPLRVVPLFEKLADLESAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWALYKAQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSFRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALLDEMAVIATKEYRSIVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHAIEKDPKNLQMLQDMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSQELCPFGERLRSKYEETKRFLLKVAGHKDILEGDPYLKQRLRLRDSYITTLNVLQAYTLKQIRDPDYHVKLRPHLSKEYMESSKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >CAK8563158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578632606:578633739:1 gene:gene-LATHSAT_LOCUS16593 transcript:rna-LATHSAT_LOCUS16593 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESSEIPDDPAERGNSKNMENHAGVSSFLISQLGRDMSINCLLRLSRSDYGSVATLNRSFRSLIKTGELYQLRRKMGIVEHWVYFSCDVSTWEAFDPNRNRLMKLPRVTFNSCFMRSDKESLAVGTELLVFGREINGPAIYKYSILTNSWLKGEKMNTPRCLFGSASLGEIAILAGGCDKNGKIVSSSELYNSDTGTWEILPDMNIARKMCSSVFIDGKFYVLGGVTDNKTTQLTCGEEFDLKTRQWRVIPNMLPPRNEGDGVNGETPPLIAVVKNVLYAADCAQQEVKRYVKDKNEWVTIGSLPERETSLNGWGMAFRSCGDKLIVIGAPNFHNRMVTKVNVWIVDDDEGTPHWNSLPIIQSGRFVYNCVIMGC >CAK8566148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385456013:385456530:1 gene:gene-LATHSAT_LOCUS19308 transcript:rna-LATHSAT_LOCUS19308-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTLGQIPRLLLRHSSTSQPIRPICSSSIKMASQDYTFGPYKIHHTEVFYSTNLSYAMVNLRPLLPGHILFYGFQ >CAK8566147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385456013:385458538:1 gene:gene-LATHSAT_LOCUS19308 transcript:rna-LATHSAT_LOCUS19308 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTLGQIPRLLLRHSSTSQPIRPICSSSIKMASQDYTFGPYKIHHTEVFYSTNLSYAMVNLRPLLPGHVLICPKREVKRFLDLTAEETSDLWLTAQKVGSQLESYHKASSLTLAIQDGPQAGQTVPHVHIHVVPRKGGDFEKNDEIYDAMDEKEKELKEKLDLDKERKDRSAEEMSQEAEEYRKLFL >CAK8535567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863756244:863756988:1 gene:gene-LATHSAT_LOCUS5014 transcript:rna-LATHSAT_LOCUS5014 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTWTSGYDIDEDVPFVEWGPKGGRQVQSAARTLTFNRNSMCGEPARTVGWRDPGFIHTSFLKELWPNMRYTYRLGHFLSDGSYVWSKRYSFKASPYPGQNSLQRVIIFGDMGRAERDGSNEYAYYQPGSLNTTDQLIKDLDNFDIVFHI >CAK8573087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582416381:582418675:-1 gene:gene-LATHSAT_LOCUS25576 transcript:rna-LATHSAT_LOCUS25576 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVFSSSMASLLQKFLKKQPSSRLTTAFRPFQTANSTFPNNQEQGLPTEPFQISPIITHQFVGSSSSTLIFPSFPFGFALKPVFENGILSHQSEDTELEDSRTLWADSVKKKRKKKMNKHKYQKLRKRMRRQT >CAK8573088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582416381:582416761:-1 gene:gene-LATHSAT_LOCUS25576 transcript:rna-LATHSAT_LOCUS25576-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQKFLKKQPSSRLTTAFRPFQTANSTFPNNQEQGLPTEPFQISPIITHQFVGSSSSTLIFPSFPFGFALKPVFENGILSHQSEDTELEDSRTLWADSVKKKRKKKMNKHKYQKLRKRMRRQT >CAK8561025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:76766981:76771110:-1 gene:gene-LATHSAT_LOCUS14653 transcript:rna-LATHSAT_LOCUS14653 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRQMGVHYMDGGGFPYAVNENFVDFFQGINHVPVNYAFPGSMPDQDNVYWSMNMSPYKFGMSGPGSTSYYSSYEVNDHLPRMENNRVEWEYPSEVISEEPASSDSPPRRDGVSMQTIPEECSPNHQESSSSQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQELIDTLPTSKYKFGSLFKRKNSGKRCVICQMTYRRGDQQMKLPCSHVYHGECITKWLSINKKCPVCNTEVFGEESTQ >CAK8562536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506689104:506689436:1 gene:gene-LATHSAT_LOCUS16026 transcript:rna-LATHSAT_LOCUS16026 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFDFEAGNDGVVVITMCTPPVNALALSIIRTLKYKFDEATRRNDAKDIVLTGKGGRFSGGFDISVMQKVHQTGNITFVPDVSVELVVKSIEDSNKPIVAVVVGRAV >CAK8565494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:244897755:244898345:1 gene:gene-LATHSAT_LOCUS18707 transcript:rna-LATHSAT_LOCUS18707 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLLRYCLYSLPGKWVYYFERSEHGNRYGTKTDYLFQFLCFLKLHTYTRVQVSIDICGVDYPSRKRRFEVVYNLLSTRYNSRILIQTSADEVTRISPVVSLFPSAGRWELEVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVHYDDPEKRVVSEPIEMTQEFRYFDFASPWEQHKRRIIQKES >CAK8565270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:94396827:94398784:1 gene:gene-LATHSAT_LOCUS18496 transcript:rna-LATHSAT_LOCUS18496 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETAPEVVDSNSAAASAVDTDNTVATTENTGAAAVDMEVENAVDSKDKRPREEEESKEDDVDPKKAKVDEEKSVEEERLEKLEKKEDDEEKEVSEAVKLGPKTFGSSVEMFHYFHKFLNAWPHNLNVNKYEHTMLLELLKNGHAESERKIGPGVCAFQVREHPSFKSRCYFLIRDDDTADDFSFRKCVDHISPLPEGMQLKSEGNKRSGGGGGNHHGGNGGRGRGGRGGGRGGRGRGGRGRY >CAK8579308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690634348:690636369:1 gene:gene-LATHSAT_LOCUS31263 transcript:rna-LATHSAT_LOCUS31263 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELLKFPKIEDPDQEMEFQLFAETYQLVEPSIKEKDAVYELLTYSSKLYISSGLIWKNSLDIQEQIIFIGNIPLMNSLGTFIVNGIYRIVVNQILQSPGIYYRSKLDHNGISVYTATIISDWGGRLELKMDRKARIWARVSRKQKISILVLSSAMGSNLSEILANVCYPEIFLSCLTEEEEENIGSKENAILEFYRQFVCVGGDPIFSESLYTELQKKFFKQKCELGGIGRRNMNRRLNMDIPENNTFLLPRDILTAADHLIGMKFGMGTLDNMNHLKNKRIRSVADLLQDQFGLALVRLENIIREKMCRAIRDKLIPTTENLVTSTPLTTTYESFFGLHPLSQVFDQTNPLSQIVHGRKWSSLGPGGLTARTASFRIRDIHPSNYGRICPIDTSEGINVGLIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPRRDEYYMVATGNFLALTRGIQEEQIVPARYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALVFILPFFQTFFQIFFQFFCYLF >CAK8537587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407087271:407087760:1 gene:gene-LATHSAT_LOCUS6870 transcript:rna-LATHSAT_LOCUS6870 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8537588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407087271:407087414:1 gene:gene-LATHSAT_LOCUS6870 transcript:rna-LATHSAT_LOCUS6870-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8575838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:333956269:333956568:-1 gene:gene-LATHSAT_LOCUS28069 transcript:rna-LATHSAT_LOCUS28069 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFRDISCKFLKIFSINSRRILLTNSFRPRDDARLILPGLTVTTLREIVKFSYCRSFCGEPLVKHNHFKALLLGTTRLLTILVVTSAGRSFVDAVKN >CAK8567043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482037130:482037433:1 gene:gene-LATHSAT_LOCUS20131 transcript:rna-LATHSAT_LOCUS20131 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDENMQMFNVVFHHGGEFIKLIKGETIYRGGVSTIVYGQVIDKWSMLNIGNLVNGWGYIEGTY >CAK8538170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466689718:466690861:-1 gene:gene-LATHSAT_LOCUS7399 transcript:rna-LATHSAT_LOCUS7399 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAPDFFYKEAQRLGYVARSAFKLAQIQRQHNLIKPGSSVLDLGCAPGSWLQVACQSLGPFHNGGFVLGIDHKKVKVPHLHCDSRVQTVSADVMTLPKHQLRDLSPKQKGFSVILSDMCPLVSGITTKDAALSFELGMRALDLAVGRVGSVCNSDADNQDKEPCDDPSSSDDRGVLRVGGHLVIKLLESEDAKEISQICKPLFAKSSWLRPKATRPSSREIYLICQGLKPEIKI >CAK8567660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534467871:534469277:-1 gene:gene-LATHSAT_LOCUS20692 transcript:rna-LATHSAT_LOCUS20692 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFRDWVLSQIVSKSLVSPTPLSGGNGFYEEDRPSEDLNEQAPTANSVALPIPSGTSNSSANQSNQSNSTPQQVSDVEISQSQDSGNGRRKDTLAKVEDLQVKFFRLLQRLNQSKENLLVTKVLYRMHLATLIRTEELDLKRVNLSSSRAGAIANHQEATGIPQLEFSCRILVLGKTGVGKSATINSIFDQEKATTNAFQPATDCIQEIVGTVNGLNITFIDTPGFLLSSPNSVKRNKRIMLSVKRFIRKSPPDIVLYFGRLDLINSGYSDLPLLKLITEVFGRAIWFNTILVMTHSSSAIPEGPEGYTVNYDSYISQCTNLIQQYIQQAMLDSRLESPALLVENHPQCPRNITGEKVLPNGQVWRSQLLLFCICTKVLGNVNSLLKFQNGVELGPANNAQVPSLPHLLSSLLRHRPVSNQSGIDDEMEEILLSDKEEGDEYDQLPSIRILTKSQFEKLPHQHKSKQ >CAK8578975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665750161:665750544:-1 gene:gene-LATHSAT_LOCUS30952 transcript:rna-LATHSAT_LOCUS30952 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGVMEDDVAYQDDEISPVNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEKEEESEDSEDDNIVSDEDSDDYNDE >CAK8540463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:6834493:6836113:-1 gene:gene-LATHSAT_LOCUS9466 transcript:rna-LATHSAT_LOCUS9466 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKEIVASNPVVVFSKTYCPFCVEVKKLFANLGVTFKAIELETETDGSEIQAALAQWTGQRTVPNVFVGGNHIGGCDTTTNLHSQGKLVPLLTSAGALSGSAS >CAK8572531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544096562:544102570:-1 gene:gene-LATHSAT_LOCUS25087 transcript:rna-LATHSAT_LOCUS25087 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQGFLNDLQDWELSTKENKATKTKSQKENKFTKGFSSWSGGSVGVKNGSRGDTISFDHARNSSAQYDFSRNNDLLKRVSSSFASEDVPDAASEKDLGNEFFKQKKFKEAIDCYSRSIAFSPTAVAHANRAMAHIKLRRFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKNKESMEDAEFAMRLEPNNQEVKKQYADAKSLYEKEILHKTSKALRNTVQKLGKSEAKVNGSSSIPSVSHGTQKSGPAEAHHQIRGNEGKIPAKESLLMEEINTKDTKSGSRTQGQVGNGSKEGHGATNSLEQRNHKTRKPEIKASVEQLAYRAASRAMADAAKNITPPTTAYQFEVSWRGFSGDRALQACLLKALSPHELPKIFKNALSSTLLVEIIKCAASFFAEDMDLVVSYMNNLTKVPRFDVIVMCLPSADKDDLRKIWNEVFCGEATPMEYAEILDKLRSKFYFE >CAK8572530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:544096562:544102570:-1 gene:gene-LATHSAT_LOCUS25087 transcript:rna-LATHSAT_LOCUS25087-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQGFLNDLQDWELSTKENKATKTKSQKENVVGVKNGSRGDTISFDHARNSSAQYDFSRNNDLLKRVSSSFASEDVPDAASEKDLGNEFFKQKKFKEAIDCYSRSIAFSPTAVAHANRAMAHIKLRRFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKNKESMEDAEFAMRLEPNNQEVKKQYADAKSLYEKEILHKTSKALRNTVQKLGKSEAKVNGSSSIPSVSHGTQKSGPAEAHHQIRGNEGKIPAKESLLMEEINTKDTKSGSRTQGQVGNGSKEGHGATNSLEQRNHKTRKPEIKASVEQLAYRAASRAMADAAKNITPPTTAYQFEVSWRGFSGDRALQACLLKALSPHELPKIFKNALSSTLLVEIIKCAASFFAEDMDLVVSYMNNLTKVPRFDVIVMCLPSADKDDLRKIWNEVFCGEATPMEYAEILDKLRSKFYFE >CAK8569782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10721092:10725305:-1 gene:gene-LATHSAT_LOCUS22592 transcript:rna-LATHSAT_LOCUS22592-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIQQDGLKGKLDYLSLVSKVCTELESHTGTGDKVLAEFITDLGHSSDSVEEFDSKLKENGAEMPDYFVRTLLTIIHAILPPKPKKEKENHKDNSTSKTKFKALAISDDRDRAKELRNEIEAEAREKQKQMEPDRYEKGRDDDDSYKDRRRDRDRYDRDDKRDYRRGNDRERGRDRDDRRGNDRGRDRYEKDDRRGNDRYERDDKRGNDRDKYERRRRDEYEEYEHGREENVDEKGPKERDSRHGGSGEPELYMVYKGRISRVMDTGCFVQLDDLRGKEGLVHVSQMATRKIVNAKEVVKRDQQVYVKVISISGSKLSLSMRDVDQHTGKDLLPLKKSSEEESFRTNPQDSKDGPVTRTGLSGIRIVEEDDIGSTRRPLKRMSSPEIWEAKQLIASGVLSVSEYPTYDDEGDGVMYQEEGAEEELEIEMNDDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELIQAVLDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSVPEIQRINLGMTTLSMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRARFFQPEGDHLTLLAVYESWKNKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >CAK8569780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10721092:10725305:-1 gene:gene-LATHSAT_LOCUS22592 transcript:rna-LATHSAT_LOCUS22592 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIQQDGLKGKLDYLSLVSKVCTELESHTGTGDKVLAEFITDLGHSSDSVEEFDSKLKENGAEMPDYFVRTLLTIIHAILPPKPKKEKENHKDNSTSKTKFKALAISDDRDRAKELRNEIEAEAREKQKQMEPDRYEKGRDDDDSYKDRRRDRDRYDRDDKRDYRRGNDRERGRDRDDRRGNDRGRDRDKYERRRRDEYEEYEHGREENVDEKGPKERDSRHGGSGEPELYMVYKGRISRVMDTGCFVQLDDLRGKEGLVHVSQMATRKIVNAKEVVKRDQQVYVKVISISGSKLSLSMRDVDQHTGKDLLPLKKSSEEESFRTNPQDSKDGPVTRTGLSGIRIVEEDDIGSTRRPLKRMSSPEIWEAKQLIASGVLSVSEYPTYDDEGDGVMYQEEGAEEELEIEMNDDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELIQAVLDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSVPEIQRINLGMTTLSMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRARFFQPEGDHLTLLAVYESWKNKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >CAK8569781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10721092:10725305:-1 gene:gene-LATHSAT_LOCUS22592 transcript:rna-LATHSAT_LOCUS22592-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIQQDGLKGKLDYLSLVSKVCTELESHTGTGDKVLAEFITDLGHSSDSVEEFDSKLKENGAEMPDYFVRTLLTIIHAILPPKPKKEKENHKDNSTSKTKFKALAISDDRDRAKELRNEIEAEAREKQKQMEPDRYEKGRDDDDSYKDRRRDRDRYDRDDKRDYRRGNDRERGRDRDDRRGNDRGRDRYEKDDRRGNDRYERDDKRGNDRYGRDDKRGNDRDKYERRRRDEYEEYEHGREENVDEKGPKERDSRHGGSGEPELYMVYKGRISRVMDTGCFVQLDDLRGKEGLVHVSQMATRKIVNAKEVVKRDQQVYVKVISISGSKLSLSMRDVDQHTGKDLLPLKKSSEEESFRTNPQDSKDGPVTRTGLSGIRIVEEDDIGSTRRPLKRMSSPEIWEAKQLIASGVLSVSEYPTYDDEGDGVMYQEEGAEEELEIEMNDDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELIQAVLDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSVPEIQRINLGMTTLSMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRARFFQPEGDHLTLLAVYESWKNKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >CAK8532125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:210059932:210062316:-1 gene:gene-LATHSAT_LOCUS1860 transcript:rna-LATHSAT_LOCUS1860 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHKPFLLLYTILFSLTLPPHTTTSTTTTLNPNLTSLKSLCKTTPYPNLCFNSLKLSISININPNIITYLLQSLQQAISETTKLSNLFHNIEHSNAVVEKQRGSIQDCKELHQSTLTSLKTSLTKIRSSNKRNIADARIYLSAALTNKNTCLEGLDSASGNLKPVLVNSVINTYKHVSNSLSMLSNHVNEASNQKGHNQKMVSSKWLDLDEYDHNEAIVVAADGSGNFSTINDAINFAPNNSMVRIVIYVKEGNYDENVEIPSYKTNIIMLGDGSDSTVITGNRSVVDGWTTFRSATLAVSGDGFLARDIAFENKAGPEKHQAVALRVNADFTAFYKCAIYGYQDTLYVHSFRQFYRECDIYGTIDFIFGNAAVVLQECNIISRMPLPNQFTVITAQSRDNPDEDTGISIQNCSILATDELYSNSSNIKSYLGRPWRVYSRTVLIESYIDDFIDAKGWTKWSNEQGLDSLFYGEYENYGPGSKIDNRVEWLGYHLMDYNDAYNFTVSEFITGDEWLESTSVPYDDGI >CAK8578004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601649378:601649785:-1 gene:gene-LATHSAT_LOCUS30055 transcript:rna-LATHSAT_LOCUS30055 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTVALMLVATLLVSSTIAQSPSSSPTRSPVATPPKSSQSPSPAVSPSANSPVASPPAPVKNAPSPSPTTVSSPPSPSSPSPATPSAAPAVTPSAISNSPSEAQSPSDNGAGLNRFTIAGSAAVVIFAAAFMM >CAK8538958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500370514:500378569:1 gene:gene-LATHSAT_LOCUS8103 transcript:rna-LATHSAT_LOCUS8103 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSVEDLMECSSEVHYSGFHMDDLEQRKAATEQPTTSAIDEYKQPFLIGVAGGSASGKTAVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELKRVQDYNFDHPEAFDTERLVNVMDKLKHSQAVDVPKYDFKGYKNNVFPARRVNPADVIILEGILVFHDPRVRALMNMKIFVDTDADVRLSRRIKRDTADNARNIEAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTKKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYSGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLVYEKLPNDISDRHVLLLDPILGTGNSAVQAISLILRKGVPESNIIFLNLISAPQGLHMVCKRFPRIKIVTSEIDNGLNEDFRVIPGMGEFGDRYFGTDEDDELVESRSR >CAK8569159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677386310:677386573:-1 gene:gene-LATHSAT_LOCUS22043 transcript:rna-LATHSAT_LOCUS22043 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVKEEKKLGEGPQISRMKPVTEGAYGGGMYATEKDQQEKEVKPPASDTQSADGPVDKNTMKPIHNPPASSGDRDIDITGLSYIQ >CAK8569905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14981881:14988004:1 gene:gene-LATHSAT_LOCUS22706 transcript:rna-LATHSAT_LOCUS22706 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVAVGSSLTAYDRGILTAVNVGASSLSLAGSTFIVLCYLLFKELRKFSFKLVFYLALADMLCSFFSIIGDPSKSFFCYAQGYSSHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVMTVMRSFGNDHRHHFGTLCWTQTGRTGKAIHFITFYMPLWGAILYNGFTYMQVIRMLNNATRMAVGMSGQSYVSDTRDNMRALNRWGYYPLILIGSWAFGTINRIHDFLEPNHKIFWLSFLHVGTASLMGLFNSIAYGLNSSVRRAICERLDKLWPERLHRWLPNSFKYKSLSQDSELAMFKTEDQI >CAK8569904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14981881:14988004:1 gene:gene-LATHSAT_LOCUS22706 transcript:rna-LATHSAT_LOCUS22706-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVAVGSSLTAYDRGILTAVNVGASSLSLAGSTFIVLCYLLFKELRKFSFKLVFYLALADMLCSFFSIIGDPSKSFFCYAQGYSSHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVMTVMRSFGNDHRHHFGTLCWTQTGRTGKAVHFITFYMPLWGAILYNGFTYMQVIRMLNNATRMAVGMSGQSYVSDTRDNMRALNRWGYYPLILIGSWAFGTINRIHDFLEPNHKIFWLSFLHVGTASLMGLFNSIAYGLNSSVRRAICERLDKLWPERLHRWLPNSFKYKSLSQDSELAMFKTEDQI >CAK8577440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563985070:563985540:-1 gene:gene-LATHSAT_LOCUS29548 transcript:rna-LATHSAT_LOCUS29548 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTSASSTFFFLSITTLLCFSGTTKPDTPCPYPCYPPPLGSGTVTPTNPTPSVSTVPPAPPQSGVLSYPPPSGYYPYNPTPPYGGNNNGGGGGGGVYGTPPPPDPILPYFPFYYKNPPNKPDDSPASSITKGKKFMGMIFTTIMLSLFLVSGFV >CAK8538847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497727146:497727454:-1 gene:gene-LATHSAT_LOCUS8002 transcript:rna-LATHSAT_LOCUS8002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVFYGKEDSYWWVLCVEKVFKEQGTPNTMKLSKAVAKLCGCVFRWWLWWSQCQPPTTWDSLATVFLWHFKPEWREILLIEEEDEPVLDSMKFIKKTSFF >CAK8572784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563379211:563382796:-1 gene:gene-LATHSAT_LOCUS25311 transcript:rna-LATHSAT_LOCUS25311-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELTFRGHETQQVNDEYSPKPNKPWLSEIRPIRYMLREQRLVFVLIGIVIATLFFTLAPSSSSTSSSSSSFPAVRYEPHPISYFEHESKPTPYQQRSAAFHSFGKVPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFRNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPEAKIEYRPNTEDDPHKRKPDITKAKEQLGWEPKVDLHTGLPLMVSDFRQRIFGDHKEGGTVA >CAK8572785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563379211:563382796:-1 gene:gene-LATHSAT_LOCUS25311 transcript:rna-LATHSAT_LOCUS25311 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELTFRGHETQQVNDEYSPKPNKPWLSEIRPIRYMLREQRLVFVLIGIVIATLFFTLAPSSSSTSSSSSSFPAVRYEPHPISYFEHESKPTPYQQRSAAFHSFGKVPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFRNPRFELIRHDVVEPLLLEVDQIYHLACPASPTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPEAKIEYRPNTEDDPHKRKPDITKAKEQLGWEPKVDLHTGLPLMVSDFRQRIFGDHKEGGTVA >CAK8575445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121207345:121216797:1 gene:gene-LATHSAT_LOCUS27710 transcript:rna-LATHSAT_LOCUS27710 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHHHTPFPNFTTTMALCTTTPPSSSLIFSTHATSSITINSQELSPSHRSFPLSRTFFLSPLVFKPANCFHLTYQSRFSNSFVSALAANVAETVDEEEKDGGEGNESDVPTNTVIVSTKPKTGKAALALKSDRVRSKRFLEIQKLRELKKEYDLKTAISLVKETAKTKFVETVEAHFRLNIDPKYNDQQLRATVNLPKGTGNPIKVAVLTQGERFDEAKNAGADLVGGEDLIEQIKGGFMEFDKLIASPDMMSKVASLGKILGPRGLMPNPKAGTVTANIPQAIAEFKQGKVEYRADKSGIVHLPFGKANFPEEDLLTNLLSAIKSVDSNKPSGAKGMYWKSAHICSAMGPSVRLNIKEMLDYRPPQSE >CAK8575446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:121207393:121216797:1 gene:gene-LATHSAT_LOCUS27710 transcript:rna-LATHSAT_LOCUS27710-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTTTPPSSSLIFSTHATSSITINSQELSPSHRSFPLSRTFFLSPLVFKPANCFHLTYQSRFSNSFVSALAANVAETVDEEEKDGGEGNESDVPTNTVIVSTKPKTGKAALALKSDRVRSKRFLEIQKLRELKKEYDLKTAISLVKETAKTKFVETVEAHFRLNIDPKYNDQQLRATVNLPKGTGNPIKVAVLTQGERFDEAKNAGADLVGGEDLIEQIKGGFMEFDKLIASPDMMSKVASLGKILGPRGLMPNPKAGTVTANIPQAIAEFKQGKVEYRADKSGIVHLPFGKANFPEEDLLTNLLSAIKSVDSNKPSGAKGMYWKSAHICSAMGPSVRLNIKEMLDYRPPQSE >CAK8575540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:157989197:157989645:-1 gene:gene-LATHSAT_LOCUS27796 transcript:rna-LATHSAT_LOCUS27796 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEMGEPPQYAQLYIFDTNNEVENKLYFFRDNKKLEKDMVTSLKQMLDENNVQAKAFRMERDILKETTFHDLKLKLITSRPGDDHVYNTPTVSEVVAQIVGDIDSAELRDIIIH >CAK8532054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196937415:196938188:1 gene:gene-LATHSAT_LOCUS1792 transcript:rna-LATHSAT_LOCUS1792 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLINDTKNALTAALSKLDPDDSFSTIAFNGEIYQFSTSMELASSDAVERAIEWININFVAGGDTNLMRPLNMAI >CAK8566231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393850558:393852336:-1 gene:gene-LATHSAT_LOCUS19383 transcript:rna-LATHSAT_LOCUS19383 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSAFTPIYWVLSPKPKSPKIQSHFITNTFSNSTPHVRQNSSTKHNPTITPSSAYIHLPFCRKRCHYCDFPIVALGSASAQTQDDPRVINYIQWLCREINATKVEENLDTETPLQTVFFGGGTPSLVPPSMVSSVLETLKMKFGLSESAEISMEMDPGTFDYKKMQEMMLLGVNRVSLGVQAFQEKLLKSCGRAHGLEEVHEAIDIVKKCRVENWSIDLIASLPHQTSDMWEESLSLTIEAQPTHVSVYDLQIEQGTKFGRLYAPGEFPLPSETQSADFYKMASRMLSDASYNHYEISSYCKNGYECRHNFTYWKNKPFYAFGLGSTSFVGGLRFARPRKLNEYMKFVENLENGSVNSSSDDDINTKDTALDVVMLSLRTARGLDLKCFQESFGSSLVYSLFEVYKPYVESGHMVFLDEQRRAIRIEDINNSLLYDINSERRVAYMRLSDPNGFLLSNELIALAFGVIDSWKDYTSQLQEAT >CAK8543446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608369802:608371544:-1 gene:gene-LATHSAT_LOCUS12203 transcript:rna-LATHSAT_LOCUS12203 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRKIPKLPVEGKRNILITSALPYVNNVPHLGNIIGCVLSVDVFARYCRLRDYNAIYICSTDEYGTATETKALEENCSPKEICDKYHAIHKEVYDWFDISFDEFGWTSSPEQTEVCQAIFKKIHENNWLSKDTLQQLYCDTCKKFLADRLVEGTCPIPGCEYDFARGDQCEKCGNLLNPTELKIPRCKVCRNSPRICDTDHLFLELPLLKDKLEKYINEMSVVGSWSQNAIQTTNSWFKMGLKKRCITRDLKWGVHVPHEKYSDKVFYVWFDAPIGYVSITASYTHDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTDQNWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTIIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNTELLNKLGNFVNRVLSFIAKPAGQGYDSIIPTVPDDVSGDSHDPTKKLASKVAAYLEQYIEEMEKVKLKQGLKIAMSISGEGNAYLQETEFWRLYKQNQSLCSLVMKTAAGVVYLLACLLEPFMPSFSLEVFKQLNLSTEIHLSLSDDKGDVDRVRRPWDLLSAGHKIGTPKPLFRELKDEEV >CAK8572330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529910233:529910625:1 gene:gene-LATHSAT_LOCUS24906 transcript:rna-LATHSAT_LOCUS24906 gene_biotype:protein_coding transcript_biotype:protein_coding MNFALIDPIATSSKYYMDIYKPSAYIVLETRCDPNKIHKSIQMLGFDGYMVMENQGFVGGIGVAWKKVYISMEVCEKDDQFIHLFIQNQAGKKWHFTAIYASPSEARRIALWSCLKRIAENVQGAWVYGR >CAK8532303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:243888669:243889635:-1 gene:gene-LATHSAT_LOCUS2027 transcript:rna-LATHSAT_LOCUS2027 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKANEDISKRLYEDFEPYCKWLTNEGQKILEVDLKGFKKEQLKVQTNNKGVLRIYGERPLSASNKKWNRFHKEIRVSKDCDVSGVQAKFSQGILSIVLPKSEVTQHGKDVATIEKHSLWGVQKRKRTTIQIVLGIVVVVALGTYVARILENKYHYDAFEKVNVVNI >CAK8542354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:503945641:503950158:-1 gene:gene-LATHSAT_LOCUS11199 transcript:rna-LATHSAT_LOCUS11199 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADHMALSGSASYYMQQRGLAGSGAHPELHVSSSFNHLSNPNLQFQSSIGGGSNIGSTLPLESSVISSQGVNVSGPTGVQSGETVKRKRGRPRKYGSDRVVSLALSPSPTPSSNTGTVTQDGPKRGRGRPLGSGKKQQWASFGELISDSPARGLTPFTISIASGEDIAPKILEFSQERARALCVISAHGRVSSVTLRQPATQGGTIKHEGDFDILCMSGSYMPTESGSLLNRTGGISVILSNPDGSLFGGRVDGLFAASGPVKVMVGTFLWGRLRGRNNKRKERSTDAEVAAESSQQGALNAGALNSISPNQNLTPTSSLSPWSAAAVSRPMEMRDSNADIDLMRG >CAK8570671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:85240243:85244718:1 gene:gene-LATHSAT_LOCUS23407 transcript:rna-LATHSAT_LOCUS23407 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKRWFSKFRSKNKEATSKAKEGSKPPINEDPPSNATQQRVEAAKHYIENHYKKQMQSLQERKERRNMLEKKLADAEVTEEEQNNLLKYLEKKETEIMRLQRHKMGADDFEPMTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYIGETVLAIESIHKHNYIHRDIKPDNLLLDRSGHMKLSDFGLCKPLDCTNLQEKDLSVGISRSGALQSDGRPSAPKRSQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKRGYGVECDWWSLGAIMYEMLVGYPPFYSDEPMATCRKIVNWRTTLKFPEEAKLSPDAKDLICRLLCNVEQRLGIKGADEIKAHAWFKGVEWDKLYQMEAAFMPEVNDELDTQNFEKFEEADKQTEPSSKAGPWRKMLPSKDINFVGYTYKNLEIVGESVIPGIAELKKKTKPKRPSIKSLFDDESAKVSSNQPVRGSFLNLLPPQMEVPEKNESQ >CAK8568079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:570750496:570752047:1 gene:gene-LATHSAT_LOCUS21075 transcript:rna-LATHSAT_LOCUS21075 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSYQYNPFLVDHSHSPFMFNINTTSPPLPLPSQFHPLHQDIINCVDHQSSKVNTVTENEPSSLTKNISPQSSMVLDKLETGDEQVTQKLNPTEKKRRTRNNGPFSTKPKSREIAAEGRNKKQKKNKEDERKCLDEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKKLQQLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLTSVNPMFYDMATDLDTFMVRPEKLNNLASPSPPLSSSVSRCNSPKQGTVFADTTTVTPTNIFQTTNDYNHLLDTSASIFLQGQRSNVVSEDGSHFWEVEEQRQKFLNSHGFNNNLCSFSLI >CAK8531172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:86802076:86803945:1 gene:gene-LATHSAT_LOCUS980 transcript:rna-LATHSAT_LOCUS980 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNVSFITSNSLRITIISTLRQKSSGGRRPKKKTYHRVPELDRVMELRKTPTTILELKSIIQSQPKNNPLFLRDLEKNVGFVRKWDFMALIEKHPTIFRVTGSPPFVHFTDKARRVAHEESRARVLMEPILVNNLRKMLMLCVDCRIPLETVEFVGPEMGLPCDFKGCLIDKYPQFFSVRAFNGRDYVYLEDWDSTLAVTARETRLAREGVVANMKPSVDTKVKISRDGNYAGPFAFKVNFPAGFRPNVNFLEQFERWQKLEFPSPYLNARRFDTADPKTRKRALGVIHELLSLTMERRMTSSQLDAFHAECFLPSQLLLCLIKHHGIFYLTNKGVRSTVFLKDGYVGSNLIDKCPLLQFNDKFVALCGRQNVDLWDIKSSLQVVV >CAK8542026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456879705:456880238:1 gene:gene-LATHSAT_LOCUS10900 transcript:rna-LATHSAT_LOCUS10900 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLQPQWNQTEQPLTLHTLSSIRSLIINPSTPKCTVSSILQTLTQSPNPTHHNLNLLSDLATHHSFFSQLALDSLLRATDSPTRLAVDSHASVSELSSPGEFELDDKHFVSMCFLPVIPGRVWMLRNASCIFRIRPALLFKVLLGFTKDPYPYVRAASLEGLVGLSGRGEFPELC >CAK8534349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719899714:719904504:1 gene:gene-LATHSAT_LOCUS3899 transcript:rna-LATHSAT_LOCUS3899 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDYKSLSSISVSDIESLGISTHDAATLHHHLTELITIHGADAPATWKNITTNILNPQLPFSFHQMLYYGCFKDYGTDPPAWLPDPKSVSLTNVGRLLERRGKEFLGSAYKDPITSFADFHKFSLSNPQVYWKTVLDEMNISFSKPPQCILSENLSEDGSSSYPSGQWLPGASVNPALNCLNLKGNRSLNDTVIIWRDELQDDLPLQRMTLDELRQEVWLVAYALESLGLEKGSAIAIDMPMNCKSVVIYLAIVLAGYVVVSIADSFAAREISTRLKISNAKVIFTQDLILRGDKALPLYSRIVEAQSPTAIVIPARGSGFSMELRNGDFSWCDFLERVNNIKGKEFIAAEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWCHLDVRKGDVVSWPTNLGWMMGPWLVYASLLNGASMALYNGSPLGSGFAKFVQDAKVTMLGVIPSLVRSWRNANSTSGYDWSAIRCFASTGEASNVDEYLWLMGRGRYQPIIEYCGGTEIGGAFVTGSLLQAQSLAAFSTPAMGCNLFILGEDGHPIPENAPGIGELALGSLMLGASNALLNADHYGVYFKGMALWNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNGVDSNIVETAAIGIPPSGGGPEQLAIAAVLKNLNVTAQDLQKLKMSFNSALQKTLNPLFRVSQVVPVASLPRTASNKVMRRVLRQQLAEYSQSSKI >CAK8532506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:272597884:272598844:1 gene:gene-LATHSAT_LOCUS2204 transcript:rna-LATHSAT_LOCUS2204 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKDLRRKLINMGYALTEARFNYYLGEIRRTNIEASNWIDNIPREKWARAFDGGKRWGHMTSNLAEAINSVLKATKNLPITALVQSTYYRIGSLFGKRGHKWTKMLSSGKVFTEGCNKGMADEIAKANTPNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNHFCDCGKFQAFHLPCSHVIAACASIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTGMDDGEKEKRRCGICREIGHMRRKCPNVVGPSNRPNR >CAK8542284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:497571591:497575751:-1 gene:gene-LATHSAT_LOCUS11131 transcript:rna-LATHSAT_LOCUS11131 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDNKPGYGESAKIIAEADINAFSNGTNHSNNNSNRIIMPSGAISQPRLSSTPSLVKSMFNSPGLSLALQPNNGLRRSREEEEHESRSGGSENMDGVSGDDFDAADNPPRKKRYHRHTPQQIQELESMFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHDNSLLRQANDKLRAENMSIREAMRNPMCSNCGEPAIVNEISLEEQHLRIENARLKDELDRVCTLAGKFLGRPFTSLQNSNLDIGFVGLNNTSNTLPLEQDFGISMERSMVTNSSNGNGFDRSMERSMFLELALSAMDELVKMAQTNEPLWIKSVESGREILNHDEYTRTISSSCIGLKPNGFVSEASRESGVVIINSLALVETLMDSNRWSEMFPCVIARASTSEVISNGINGTRNGALQLMQGEFQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTFRETSSGGAPTFHNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEENHVHQLYKPLLSLGMGFGAQRWVATLQRQCECLAILMSSALPSREHSAISAGGRRSMLKLAQRMTNNFCAGVCASTIHKWNKLNAGNVGENIRVMTRKSVNDPGEPSGVVLSAATSVWLPVSPLRVFNFLRNDSLRSEWDILSNGGPMHEMAHIAKGHDHGNSVSLLRASAINSSQSSMLILQETSTDASGSLVVYAPVDIPAMHLVMNGGDSAYVALLPSGFAVLPDGRIGGSDDHGGALQTGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >CAK8559909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:613158:613742:1 gene:gene-LATHSAT_LOCUS13645 transcript:rna-LATHSAT_LOCUS13645 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQVNEYHLESVFPSNSSSSDNYYLRVEEYNLDPSITADNAIKENMEKLLKVGEDLLKESVKVMDVTSFLPYEKPSEGTNAEALERLAEILYNERQLCLKRKSMGKRGRPFIEVVTSAL >CAK8576775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:512931837:512936500:1 gene:gene-LATHSAT_LOCUS28943 transcript:rna-LATHSAT_LOCUS28943 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNIEGGFLQQTLRRRVESCKAKYSSTPENIVKYLRSTYSDYHRTKPETLIRLVRKALNTTHNNDSDNGESDGKKSKKMDVEQEKEEILQMTTTPTSCDSSVLASDGEKVRKKESSCDSSVLASDGEKVRKKESSCNSSVLASDGEKVRKKESSCNSSVLASDGEKVRKKEPMFKDLGGMKNVIEELKNKILWPMFNPNMSKLGGKPIGGVLLHGLPGCGKTRLAHAIANETGYNFYSTSATQFVSGISGSSEESIRELFSTAKRTAPSVIFIDEIDAIASKRENLQRQMETRIVTQLMICMDEANDHHLETSDKPRGHVLVIGATNKPGAIESALRRPGRFDREILVPIPDQSSREEILTVVTRHQKHDNSVDLQKIAMSTPGFVAADLEALANEACIVAMDRLRNETKHKLSSEQDEDWWKEPLPQDDLEKCAITMSDFEEASKKVQPSLTREGFAPIPDVKWEDVGALDHVRQEFDHYIIRRIKHPEDFKGFTRNLETGFLLFGPPGCGKTLIAKAVANKAGANFIHVKGPELLNKFVGTSEHDVRKIFSRARTCAPCIIFFDELDALTKERGKEGGQNIEGVLNQLLIELDGAENRKGVFVIGATNRFDVIDHAILRPGRFGKHLYVPLPSPDERVKILKTLAKDFIIDASVDLNVIGRMEGCENFSGADLAELLEVAGMAALIEKWDSTEETSGTIKTCHFEAALIKISPSVSAPQRQYYQHISKSLKFK >CAK8541589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:278879028:278879831:-1 gene:gene-LATHSAT_LOCUS10499 transcript:rna-LATHSAT_LOCUS10499 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHFEHRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTKFGCSDKEEEFHWIIKYSPLHNVRRPWEQHPNKSIQYPSTMLLTADHDDRVVPLHSLKLLATLQHVLVTSLDKSPQTNPIIARIECNAGHGAGHPTQKIIDEAADRYGFMAKMLEAHWIE >CAK8565428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:192505372:192508044:-1 gene:gene-LATHSAT_LOCUS18646 transcript:rna-LATHSAT_LOCUS18646 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGTLSEVHQSAKKLLLRCRDGLERLEHLEHSTSTSAAVAGVDSELSFTLKKDINQIQSLCVEMDRLWRSVAAKPQRDLWKRKVEQIAEEAESLKESLDKYNSRSQKRSREAKERAELLGRMNGDSAHVLRIYDDDTQAMHSVRSSARELENANALGEVILSSIHSQRERLKSAHRKALDVLNTVGISNRVLRFIERRNRVDQWIKYAGMLLTVVFLLAFVLWRR >CAK8574909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14953608:14954120:-1 gene:gene-LATHSAT_LOCUS27208 transcript:rna-LATHSAT_LOCUS27208 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTNVASTSAKKVILKSSDGEIFEIDQKVAMELQTITHMIEDDCADDTGIPLPNVTSQILAKVIEYCKKHVEAAAAAADSDEWPADENTIKIWDAEFVKVDQDTLFDLMLAANYLDIKSLLDLTCKTVATMIDGKTPEQIRSTFNIKNDYTKEEEEEVRRENKWAFD >CAK8577122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538453348:538462801:-1 gene:gene-LATHSAT_LOCUS29255 transcript:rna-LATHSAT_LOCUS29255 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTREDARSAEHRQSNVSQWPSDFVGKFGSVSLGTQDESLNNKESPRYSNQDFMSPQRASQVLWHTGMLSEPIPNGFYSVVPEKRLKKLFDSIPTLEDLQALGGEGFKADVIVVDAKKDRKLSMLKQLIVTLVKGLSTNPAAIIKKIAGLVSDFYKRANVESPAKAALEESSHMFESRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSIKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSESAEKDDNLQFHRRFETSLNVSGPTLRSMMLRSNSNLEGKLSLSHSEPNIATSFGRRSRRKVIAEQRTASSSPEHPSFQARGQSKLGRDKTAFRDFADDQATSRSSYKSDGASSSEARRIRRRSISITPEIGDDIARAVRAMNEKLKQNHRLREQGDDSSLPHSPNDRINSAELQKNLSNFCLAGHHETSPLFPLHRDNVASQKAMSLPSSPHDYRDQTSETSRPPGYELSDELESTWNKILESSMSNNKPLLPYEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLMHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIIIDSPMKDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCNLTRPWEGVPPERVVYTVANEGSRLEIPEGPLGRLISECWAEPHERPSCEEILSRLVDIEYSMS >CAK8565426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:191646930:191648018:-1 gene:gene-LATHSAT_LOCUS18644 transcript:rna-LATHSAT_LOCUS18644 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAISVGMMMDAFRRFTNSTGLVAIPNKSKMFFFGGIDNSNKESLRCITEFQEGSFPVKYLEVPLTSKKLAIHSYTYLFEKIVGRMKHWTTKILSYAGRIQLVKSISCAIAQYLMQCFLIPKFAIKKINSICRSFIWSGNCEASRKSLSTWKRVCSTIKQGGLQIINLTMWNCMLLLKCLWNLCRKADSIWVMGMYSYYLKGTFVMGYEAKIHNSWIFKSILKQREKLGDIQQLWDQVLLQQKFPLQPFYTRVIDDGNMVIWRISTNKARPRPVIFLWLAFHGKLATKDRIKRFGMLHDSICSLCKEKEESIKHLFFQYN >CAK8577172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542782271:542782744:1 gene:gene-LATHSAT_LOCUS29301 transcript:rna-LATHSAT_LOCUS29301 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKSITIEVESCDTIQKVKSKIQDKKGIRADQQRLIFAGKQLEDSRILADYNIQKESTLHLVLRLRGGMQIFVKNLAGKTITLEVEPSDTIDTVKAMIQLKSVIRPDQQRIIFAGKQLEDGRTLADYNVQKESTLHLALRLSGGMQILG >CAK8566341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410104850:410106507:-1 gene:gene-LATHSAT_LOCUS19476 transcript:rna-LATHSAT_LOCUS19476 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFSVDSKPVTAINIGGKLTLTIIISCIVAASCGLLFGYDIGITGGVTTMVPFLQKFFPEILRKAAETEVNLYCVYDSQVLTLFTSSLYLAGLVSSLAASKITAEYGRKNTILFGGILFLAGGAINGGAENISMLILGRVLLGLGVGFTNQAAPLYLSEIAPPKWGGAIGTSFQFFFGIGVVAANCINYGTAKRAWGWRLSLGLAVVPAAIMTIGSFLITDTPNSLVERGKIDQARKALQKIRGSSDSIDIEPELDELIKWTTIAKSVKQEPFKIIFKREYRPHLVMAFAIPFFNQLTGINIVAFYSPNLFQSVGLGHDGALLSSIILGVANFASNLVSAGIVDRFGRRLLFITGGTVMFVCLIAVSILLAVMTGVDGTNDVSKGNAIPVLVILCLYTAGFGLSWGPLSGLIPSEIFPINIRTTGQSIAAGLNFIIV >CAK8537046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66786247:66815008:-1 gene:gene-LATHSAT_LOCUS6363 transcript:rna-LATHSAT_LOCUS6363 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEFRFFLSCDINLPVTFRIERLEGNLPFAKSPDSENNGSTDDGTAELFVECALHIDGAPFGLPTRTRLESTGPSYCWNELITLTAKYRDLTAQSQLTFSVWDLSHGEELIGGATIILFNNKKQLKTGKQKLRLWAGKEADGTFPTSTPGKVPRHERGELERLEKLVNKYERGQIQRVDWLDRLTFKTMEKIKERESLKNGSSHLYLVVDFCSFEHRVVFQESGANFLLPSPIASTNDIVIVWDPEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDDRQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELTGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSCLSHFLVQRALRNIELASFLRWYVTVELYDPAYAKRFYCTYEILEENMMKMGAGVNGEEDGFKRWQSLVRQTELIAQLCSITRDVGNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLTPDVLITGIVPSESSIFKSALHPLRLTFKTANGGTWKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMMEFIPSRSLAQILSEHRSIISYLQNYHPDDHGPFGITANCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGSLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >CAK8531163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85961057:85963199:-1 gene:gene-LATHSAT_LOCUS972 transcript:rna-LATHSAT_LOCUS972 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIIGDKALHQIADAFKTLANTVSDSETSDVEVASFSRACSLISPLFGSLGIAFKFAEKDYVAKVNDLAEASKSIQTLNSLIDRDIQAKSVKNAGSCTRNLLRVKRGLDMVRVLFQQMIVTEGNSLKDPASKAYEQALAPYHGWAIRKAVSAGMYVLPTREQLLKKLNEDDASAKVQMQIYASASEAIIKYIDKLFVSRNLGTDW >CAK8575728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:289613230:289614393:-1 gene:gene-LATHSAT_LOCUS27966 transcript:rna-LATHSAT_LOCUS27966 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMVGDGDEFYTISDEVCESFDAMGLQENLLRGIYAYGSEKPSAIQQRGIVPFCKGHDVIQPAQSGTGRTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNIEKEEWKLDTLCDLYETLAITQSVIFVDTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVSLVINYDFPTQPENYLRRIGRSGRFGRKEELPSNVADLL >CAK8568895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652211727:652215189:1 gene:gene-LATHSAT_LOCUS21804 transcript:rna-LATHSAT_LOCUS21804 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDNGSVGGGVKDLYGEDSATEDQLITPWNFSVASGCTLLRDPRYNKGLAFTENERDAHYVRGLLPPAVFTQDLQEKRLMHNLRQYDVPLHRYIALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKEKGKILEVLKNWPEKTIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKEYAELLDEFMHAVKQNYGEKILVQFEDFANHNAFDLLDKYSSSHLVFNDDIQGTASVVLAGLLASLKLIGGTLADHTFLFLGAGEAGTGIAELIALEISKQTKSPVEETRKKIWLVDSKGLIVSSRLQSLQHFKKPWAHEHEPVKELLDAVKAIKPTVLIGSSGVGKTFTKEVVETMASLNKKPLILALSNPTSQSECTAEEAYTWSKGKAIFASGSPFDPVEYEGKVFVPGQANNAYIFPGFGLGLIISGAIRVRDEMLLAASEALAAQVSQENYDKGLIYPPFTNIRKISANIAASVAAKTYELGLASHLPRPKDLVKYAESCMYSPGYRSYR >CAK8578445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629699536:629700408:1 gene:gene-LATHSAT_LOCUS30457 transcript:rna-LATHSAT_LOCUS30457 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKVVNAGYAINQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAAKGKKWSDVLQSGQIFSESSMKYMRDETSKADSHRVRPFDCHDYNFIVDETMDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIVTCSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHMRKNCPNVGTSSR >CAK8542833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552243317:552243856:-1 gene:gene-LATHSAT_LOCUS11637 transcript:rna-LATHSAT_LOCUS11637 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKFLVPIKLILFSILFFITINIANGQAQPNQSTLVFYLQDVGKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPVTLSPNSFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNVQYAGSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8566096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:379487580:379487810:-1 gene:gene-LATHSAT_LOCUS19259 transcript:rna-LATHSAT_LOCUS19259 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKANRLGAEYDKGMEAFFQYAREKLPNNNKFYCPCVNCLNRELPLLIDEIRNHLVVRAFAKVIPIGSGFHS >CAK8531493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115509882:115511452:1 gene:gene-LATHSAT_LOCUS1281 transcript:rna-LATHSAT_LOCUS1281 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETEVATTSPHLALSDTDIKWDRLNKTRFHIIGAVLFTAQSALLHPTAVVKTRMQVASSGLSNMKGMSVFTHIIKSDGIPGIFRGFGTSAIGSMPGRVLALTSLEMSKDIVLKHTQGGDIPEASRIGLANGVAGMVSNLVSCVYFVPLDVICQRLMVQGLPGTSCCRGPFDVIRRVVQAEGFRGLYRGFGLTAVTQSPASALWWGSYGAAQHIIWRSLGFKDDTRMKPSHMEMVTVQAAAGMVAGACSSVITTPIDTVKTRLQVMDNYGSGRPSVLKTARTLLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSIQPSLRSFA >CAK8543189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586442443:586451401:1 gene:gene-LATHSAT_LOCUS11963 transcript:rna-LATHSAT_LOCUS11963 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPINSSSDTTPHTPTPIHFAPFNADATKPPASAARARSRPRLVKLRKQSASQTTRSRSRTASAVTDFNPFRSDQVCSGSGTCDSGFNSMNGSEGFVFGARKVGSDSVRDLDSEEKETEFRKSGSVEFVFCAEEVYAKLDSLFVGEEKSSENQGKKVSGEERETLNSEEEKGEANVTGFVFSAGRNDNPSSLNKEKGKPNVPVGNSGFDDGGERECKNEFVFGKKDSSGDKNRGVKMSSFNVEKQESVDSMRNSNSGSCIFHAEIETYSNFGNDADKRGNLGNDVKSKSGNGSTNGISSTYSGIHVSQVVDETKKLNINHPEGVHIPGYSTNSHVHSSNGFVFGGSYNVSSCFSVSSGYNTGGQQLYTNDDSENIGGQYTEDCRTNNVQNGTAHGIARDAAATGIPCSKTSTSENGINDFQCGKIPEFQVSEDAQVNGAEQSYTDFKPPAWDPSSFKENLFFKLNEKFESTHKSKSSKEKGSKCLRQKLKSCSLNKKQTKLDHLPKESCSPETPEPSGGFSPMDFSPYQEASADDQDVKASEELNVLHSTVPTDLKDECLAAIGREYINSDGQRCRDLGNDQSCYESSSVDIHSSGPEIIWPKMQTEVFSSSSTAGVSADAGVDFTSNSEKKKADIFCFDNGLGDSKEKEFSFSAGSTIESTSLFKPKQKKKFRRKMGRDSSVISPNVNGKSVSSVQISPLTTANMSSHSDVTDRSHLNNQFEEEGGTLLDTIQAACYQWRLRGNRAHKAKDLSKAEECYTQGIVSVPSNKRSGCCIKPLLFCYSNRAATRMTLGRIREALEDCTIASSLDPTFLKVQIRAANCHLLLGEVENAQRCYNKCLESSKVVCLDRRVTVEAAEGLQKTQEVVKCMNDAAKLLKERTSDAGGSALELLTKALSKSLYSERLLQMKADALYLLQKYDEAIQLCDQSLNFAEKNFALASSANSSMHNSCSSVKMWRWSFISKCYFRLGRLDASLNVIDKLQQTASANDKCGIDNIEELLSLAATIQKLLDHRKAGNENFRLGKYTEAVENYTAALSSNIKSRPFAAICFGNRAAAHQASGQIADAIADCSMAMALDGNYAKAISRRATLHEMVRDYEQAACDIRRLISALGSQSNEKAKNSESPNGSTGGKESRQAQQRLLTVEDQAKRRTPLDFYLILGIKPADTAADIKKAYHKAALRHHPDKAGQLLARSEVGDEGLFWKEISQEVHKDADRLFKMIAEAYAVLSDPAKREEYDMEEDIRKLYKQSNKGGACRRSSDIYGNGRSSDGYRSSYDRTYNRRYGHWSTYGDSYSRW >CAK8537847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441340472:441340817:-1 gene:gene-LATHSAT_LOCUS7100 transcript:rna-LATHSAT_LOCUS7100 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVRYEQHIAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSGLASL >CAK8574340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676019910:676022451:-1 gene:gene-LATHSAT_LOCUS26695 transcript:rna-LATHSAT_LOCUS26695 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPIQPYGDLDSTLRAMAGRAEGFGRLAIGGLHGPLYLVTSLSDDGPGSLREGCRRKEPLWIVFEISGTINLSSYLSVSSHKTIDGRGQRIKLTGKGLRLKECENIIICNLEFEGGRGHDVDGIQIKPNSRNIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGANPSHVGDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYIRNWEVYAICASVEAQIYSQCNIYEAGTKKKAFEFYTEKAEDKEHQESGFIISEGDMLLNGAQPCMPPESKEESMFHPSEYYPTWTMEAATDSLREVIQLCAGWQSICRPVDNML >CAK8530282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11681889:11682434:-1 gene:gene-LATHSAT_LOCUS160 transcript:rna-LATHSAT_LOCUS160 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLSSTSSSSLINISKPYKHHHNLPLSNNHQRQPRTVQFSVSCRATKSVPINHMLLDDDDGNLYKILRLSSKTATTDEIKRAYRRMALQYHPDVCHEGLKKEETTRMFVKLNAAYEILSNPKLKEEYDSKLLGDLRRSKWMEQVVELNRRSQTRMDAAPSSWGSRMRAKNNINKDDHNN >CAK8570163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27004769:27012617:-1 gene:gene-LATHSAT_LOCUS22940 transcript:rna-LATHSAT_LOCUS22940 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKKNLKSEMPPRKRARLGNSEGNESRLTQKNKKKKFNGNYPLNLLAGAIPSSFTGLLGAAVATTVASSDNGFSLDNGKPGVKNAANRPPLVRTSRGRLQVLPSRFNDSVIVNWRKEGRNNNAAAADTSFREFEFDEFGFRNCGGKGKDGIGNGNGNGTAAGGRNRPRGYSVLCEEVLHKNFGVAAGKELSLREIFEETKLNNEVLKKEEKKKEGLFGPEDFYAGDIVWAKAKKKEPFWPAIVIDPIYQAPELVLRSCIPDAACVMFLGYSGNENHRDFAWVKYGMIFPFVDYVDRFQEQSELSYCNSSQFQVALEEAFLADQGFVENLKEDLNAVAGNNGCSNSSILKVFQNVAASNKKAGGPVQKKDFFEREKNARLCAECGLDVPLNMSKKRKDMTPSGQLLCKSCARLTKSKHYCGICKKVWNQSDSGSWVRCDGCKVWVHAECDKISSILFKNLGSKDYFCPACKVKFNFELSDSEKSNKKVKWNKKNGQLGLPKKVTVLCNGVEGIYFPSLHLVMCKCGFCETEKQALSEWELHTGSKFRNWRTSIRIKGSMISLEQWMLQLAEFHAKAVVYVKPKRPSTKERKQKLLNFLQDKYEPVCAKWTTERCAVCRWVEDWDYNKIIICVRCQIAVHQECYGARNVRDFTSWVCKACETPDITRECCLCPVKGGALKPTDVDPLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCSEKNGKQTTRMISYCAYHRAPNPDTVLIIQTPQGVISTKILIQKKRKVGSRLISSNRIKEEDTPLADNTEHDPFSAARCRIFVRINRTKKRAADEAVPHKVRGHCRHPLDAIQRLSPCKVVDESRTFSSFKERLHHLQKTENERVCFGRSGIHGWGLFARRVIQEGEMVLEYRGEQVRRSVADLREARYKLERKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMCVGHDESRIALIAKTKVSAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >CAK8542713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:540094426:540096677:1 gene:gene-LATHSAT_LOCUS11527 transcript:rna-LATHSAT_LOCUS11527 gene_biotype:protein_coding transcript_biotype:protein_coding MIGESGKWVVLITTVWIQAFTGTNFDFSSYSSELKSVLDITQLQLNCLSVASDMGKAFGWCSGVSLMYFPLWVVMFMSAFLGLLGYGFQWLVIQRLITLPYFLVFFLCLIAGCSICWFNTICYVLCIKNFTTNRSLALSLSISFNGVSAAFYTLIANAINSNNDTLYLLLNAIIPLLISALVLIPILQQQPQPQQQHSAYTHNLRDSLVFLCLNILALVTGLYLLFLYSFSSSSLTVARVILGGAIFLLAVHFFLPSIVNLKEWSCFTLPTIFNLVDNNDENELHKELICKEDNDARSNGSVHSMMIEKSFCFVNVLEKEKITMLGEEHTTKMLIRRWDFWLYYIAYFCGGTIGLVYSNNLGQISQSLGYISETSSLVTLYSTCSFFGRLLAAAPDLFNSKTRFARTGWFAAALIPTPIAFILLAITGTKTALQLSTSLIGLSSGFVFSAAVSITSELFGPNSVGVNHNILITNIPLGSCLYGLLAALVYDSNATSSRQSIWLREMSMCMGRKCYMQTFIWWGCISTVGLVSSFLLFLRTKQAYDRFERNKNSNRIQAN >CAK8568145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576794002:576795123:1 gene:gene-LATHSAT_LOCUS21136 transcript:rna-LATHSAT_LOCUS21136 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFPLKFHFNSNYALPYLFLLSITLFPPPATAQIPNMLPPPPPGKISALKFDKSMAIVLVILVVVFFILGFLSVYTRQCAEQRMRGRFDLSIPIVGSHRRHRGLDSEIIATFPTFVYSAVKGHKIGRAALECAVCLNEFQDDETLRLIPNCSHVFHSECIDAWLVNHSTCPVCRANLIPKPGDTSFVSISIPDPDISDSDDPTRNNINSVNNNLSSRSPSPKVNLNYSVTPNRARPVRSSSTGFKLGSWFPRSHSTGHSLVQEGENHERFTLRLPEEVRNRLVGSTPSHVASCGVTFTREGSGRRGFRTRSVGSSAPGRNYEWFNRPDRRGFSWTPPFMGRTGSSWLKNKSPGKLDDVGERSSDRLFEGNRG >CAK8532589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:309739263:309741623:-1 gene:gene-LATHSAT_LOCUS2278 transcript:rna-LATHSAT_LOCUS2278 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLEEKAKEAFVEDHFELALELLTQAIQLHPTKPELYADRAQANIKLNNFTEAVADANKAIHLNPSLSKAYLRKGNACIKLEEYQTAKTALETGASLVADTSRFVNLIEECDRLIAEESYTLPTQEKSTTTQDATPKVIEPEKDLLEEPIVAVTKPKYRHGFYQTPQEVVVTIFAKGVSKESITVDFGEQILRVNIDVLGEDAYVFQSRLFGKIIPSGCRYEVIMCTKIEIRLAKAESIHWMSLEFTRETTVAPRAIASSVTGTQRPTYPSSKPKRVDWDKLEAQVKKEEKDENLDGDAALNKFFREIYHDADEDTRRAMKKSFVESNGTVLSTNWKEVGTKKVEGSPPDGMELKKWEY >CAK8538649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490620452:490623958:1 gene:gene-LATHSAT_LOCUS7827 transcript:rna-LATHSAT_LOCUS7827 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACQLRVGLPIPKLSSLFSFSFSFPSYSHSQIHTFSSSKPLLFHSPSSSSIMPPKSTASFSTGGGSAVEGGGEREILVQHLLVKEDDQRLLVDLQLRVAKGEDLSDLAVDHSICPSKDEGGMLGWVRKGQMVPEFEEAAFNAPLKKVVRCKTKYGWHLLQVLSEREESVLKDIQPNELHVKFQDPTFLDEAQLIDVREPEEVATASLPGFTVLPLRQFGTWGPEINTKFDPQKDTYVLCHHGARSLQVARWLQSQGFRKVYNISGGIHAYAVQADPSVPTY >CAK8535090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813399221:813403804:-1 gene:gene-LATHSAT_LOCUS4569 transcript:rna-LATHSAT_LOCUS4569 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPEKVGFGQFVKNYFGDRYKQFNNAYGETVGDIFAFPFKILFTPLTLAFDIAGSAPRGFGVPELASKLSAASVFAVATLGTYDIALNLGRKVICQRNCGTCNGWQALRCTMCRGSGRVHYQVKNCTLKSGEKATAESVADAIVNNRAELVHIPASLDPQIPLPSKDCPICDATGVMGCPECKNKLPFKISADGIMEPPWQALNVLQKMDYPYEHIRHSMKDPSIAAFWLITYPQIMYGFTYDDNAKQSIWSEYKESRRYDQLRDEVAKRKPGWEFLQDALISIDPDRAREDPVIVKNIPYYKAKKALEEEVMKLDPPPRPSNWGELDLPLSSSSWGEEDLKDPEKFQEMTVLLKAQREISENILNVQWETKWRQEKVNELLEEKVQPFIQNIDNEVLSEPILLKPKKQKNRRRWFFF >CAK8564015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646153060:646154481:-1 gene:gene-LATHSAT_LOCUS17363 transcript:rna-LATHSAT_LOCUS17363 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFPTLEDFSFLFPEMESNLNSKKRSYPESSQTQWNHSNNIFNDDTDSSTLNNILSSLIQFDRQIPKQEQQQATPVKSNSNELYQLDFEQPATKKARHSDASAIATATTATTSSIVGPQRRLWVKNRSKDWWESCISPDFPEEEFRRCFRMSKSTFEFICRELDSAVTKKNTMLRDAIPVQQRVAVCIWRLATGDPLRIVSKRFGLGISTCHKLVLEVCAAIKKVLMPKFISWPDEKNLKLIKQEYESLSGIPSVGGSMYTTHIPIIAPKSNVNSYFNKRHTQRNQKTSYSVTVQGVVDTRGIFTDVCIGWPGSYPDDQVLEKSVLYQRVMRGNLKDVWVVGNSGFPLMDGILVPYTHQNLTWTQHAFNEKVGDIQKVCKDAFAKVKGRWSCLQKRTEVKIEDLPGVLGACCVLHNICEMRNEKMDPEWSFDLFDDEMVAENGVRSAAAAQARDNIAHDLLHRGRAGTTFL >CAK8577310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554637986:554641185:1 gene:gene-LATHSAT_LOCUS29429 transcript:rna-LATHSAT_LOCUS29429 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRPTRASPPSDSTSPSITIFLLSFIAIAATVLVPTASSSFKNSLSVVHQVPEGHVGVYWRGGALLKTITEPGFHMKMPFLTQFEPVQVTLQTDEVTDIPCGTKGGVMIIFGKIEVVNRLHKESVYETLLNYGVHYDKTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQVDCTRYAPGIEIIGVRVTKPNIPESIRRNFEQMEEERTKVLIAIEKQKVSEKEAETMKKMAISEAEKNANVSKILMEQKLLEKDSARKQEEIENAMYLAREKSLADADFYRVIREAEANRLKLTPEFLELKFIESIANNTKIFFGDKIPNMILDQRLLGNFLVDEVSRGAAIKKTKADM >CAK8537282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:202942297:202946436:-1 gene:gene-LATHSAT_LOCUS6581 transcript:rna-LATHSAT_LOCUS6581 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGWYGPLIDLCNASHHIREFVQLLVFVHRSFPIQYKSPKSGKDLTRIDILVGDDTTPYFGVSLLQSQMGSMVSAGDVALLQNFMITKYGGVVEAKTVQWSSLFCLGHSFHSLISKDVEEMIAGCRIGTATKDKLSRVIKWVRQSRTTICNIKLQSTQKIEYLPRNWTVLDERVPKDCCSLIEVSQLTTSCKTIVLASICEIVPLRNAKTSVDTVKEKISLSRRVCKAEDNNLVEDLLCTGCQLCGSPLGSESEQNAFPLVCSKSSSRLHAVCSIYRPFLLYVWDESYFMPVLVKNKAAEILFGNMKAEYVYSSFREQKLNKNPDPKNISKEKDADERLSNNPRLSREGLPCASSLEEGKSLQWEEKHLGTKPFNFYHVWLIILKLLLKQGKNSPLKLEILVEPNIDVENGKFEMVSATLPCFGTK >CAK8539782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525017508:525018516:-1 gene:gene-LATHSAT_LOCUS8845 transcript:rna-LATHSAT_LOCUS8845 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKRHFVLVHGACHGAWCWYKVVPLLKSSRHKVTALDMAASGVHPKQVHDLDSIEDYYEPLIQFLRSLPQEERVILVGHSYGGICISVATELFPKKIAVAVFVASRVPSPELGFETARKEGSNFESKIILDDSSNNKSNGSILHEPEFIASHMYQLSPPEDFSLAMLLLRPIRTFGDQELFREKTRVSKENFGAVAKVCIVCQQDKLSKYDFQMSMIEQNPVNDVKVIADADHMAMFSKPQELFAYFQEIAETYY >CAK8576664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:503661003:503662709:-1 gene:gene-LATHSAT_LOCUS28837 transcript:rna-LATHSAT_LOCUS28837 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQTLSSSLSKPSPLSPPLNHTRFFPKPTLPCIPNNTTKPLFTLSSKNPLSDIIISHKNQTSPLNDDVFIDDDKPREECGVVGIYGDSEASRLCYLALHALQHRGQEGAGIVTVNNNILQSITGVGLVSDVFNESKLDQLPGSLAIGHVRYSTAGQSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRTLRAKLEENGSIFNTTSDTEVVLHLIATSKHRPFILRIVDACEKLEGAYSIVFVTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVFPGEVVVVDDNGIQSLCLMSHPQPKQCIFEHIYFALPNSVVFGRSVYESRRRFGEVLATESPVDCDVVIAVPDSGVVAALGYAEKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIACPPIIGSCYYGVDTPSSEELISNRMSIEEIRDFIGSDSLAFLPMTSLHTMLGNDSPNFCYACFSGKYPVEPRELKVKRVGDFVDDGLNGSLEQIDGGWVQANRNPKEVNASTGA >CAK8534547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:740391784:740395445:-1 gene:gene-LATHSAT_LOCUS4087 transcript:rna-LATHSAT_LOCUS4087 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRRISLVAGSYERFIWGFTLNPNKQTLNPLFSYPSHLSLIKSVAVSGSVVASGGSDDTIHLYNLSAASSLGSLNHHSSTVTALSFYSPPHLPFPRNLVSADADGSLAIFDADGFVHLKTLPVHKKAINDLALHPSGKLALTVSRDNCFAMVNLVRGRRSFCCRLDKEASLVKFDASGESFFMAVDEIVSVHQAEDARLLLELQCPKPVLCAAPARNGLLYTGGEDRNITAWDIKSGKAAYCIEEAHAARVKGIVVLSDEATGDDEPYLVASASSDGTIRAWDVRMAAAEKPNPLAECKTQSRLTCLAGSCLKSKQPQAGKKNAKVEDENQMVTDQ >CAK8536564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954906167:954906481:1 gene:gene-LATHSAT_LOCUS5920 transcript:rna-LATHSAT_LOCUS5920 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMRIKEMIFQVVDGDNGRNEVADGENRRNDLPKSPIGECGRTTHGKSDVFESSKLQMEKVIRCVIAQCVPRPIQRLLFIWEEKKGISREIPILPGHRRRGLL >CAK8568654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:628929856:628930547:-1 gene:gene-LATHSAT_LOCUS21593 transcript:rna-LATHSAT_LOCUS21593 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVIHNVWGYEFQVITSHHKIGSLLHCLEKFIDDLDEFFRDLDNEVFQNYKNSLIKTLSMDPSLEKETEEFWTAIIKYSCKFYTDMMAVDKLKDIEEDLIRFYKKFFQISSTNCRRVCRRVMVVHQNSDRVYGNEDGGDDSIEDYDDLYETVMYHKKCKCW >CAK8534914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:789554512:789555722:-1 gene:gene-LATHSAT_LOCUS4414 transcript:rna-LATHSAT_LOCUS4414 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSIAFSPRLNGIINRNRNSKPILLNQPKSLLLFPSTSRSDRIYDSKVCPLRCGISSNGFSGDERRSVREWIEVGSEAISTAFPLWVTVGCVLGLVRPSSLNWVTPKLCTVGLSVIMLGMGMTLTLDDLRSAFCMPKEVLSGFFLQYSVMPISAFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALFVIMTAASTLSAVVCTA >CAK8573003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:576094907:576095725:1 gene:gene-LATHSAT_LOCUS25498 transcript:rna-LATHSAT_LOCUS25498 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRTNLPTQALFCLVSVIFLLLSTNLNSIQALSFNFPKLIPGDSRITLQGDAEILSSGVLALTKSSSLPQGTFTPTTGRALYTTPVTLWDNATGELVSFATSFSFVMETSGGPITDGLIFFITPPDTVIPINSTTPFLGVVDSQTSINRFVGVEFDLFRNSWDPAGRHIGIDINSIISTKTVRLRWRWVNGSLTKVTIIYDSSSNTLSALVTYESGRISTISQVVDLKTVLPNTVQIGLSAATLTNQSYNIHSWSFTSNYITRTRRVSDI >CAK8540555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10652654:10653724:-1 gene:gene-LATHSAT_LOCUS9551 transcript:rna-LATHSAT_LOCUS9551 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISFNHNNQKSSNMHHQKGLIIEEIEGLIKVHKDGHVERPQIVPNISCNFSPQNGVISRDITINKQTNLWTRVYLPTSASHNNNNQVPLLIYFHGGGFCVGSASWICYHEFLINLASKANCVVVSVNYRLAPENRLPSAYDDAFDALMWIKHEALYNKNQNWWLKHCDVSSLFLCGDSAGANIAYNVVATRLASNSNSNTNTFTCLNLNPLFLKGIILIQSFLGGEERTNSEKNLRQLQNSALSLSVSDAYWRLSLPIGVSVSRDHPYCNPLATSGISKMRDLRVPSIMICVSELDILRDRNLEFSNSLVKAGKRVESYVYKDVGHAFQVLHNYQLSHVRTQEMVSHIKNFLNQ >CAK8577796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589083464:589086448:1 gene:gene-LATHSAT_LOCUS29867 transcript:rna-LATHSAT_LOCUS29867 gene_biotype:protein_coding transcript_biotype:protein_coding MSDANPVRKPIKCVILDLDGTLLNTDGIVCNVLKVSLGKYGKEWDGRETLKIVGKTPFEAASAVVQDYGLPCSPIQFISEIAPLFSDQWCNIKALPGANRLIKHLKSNRVPMVLASNSPRESINAKISFHDGWKDSFSVIIGGDEVRSTKPSPDIFFEAARRLHMEPSSCLVIEDSLPGVTAGKTAEMEVVAVPSLPKQSHLFTAADEVINSLLDLQLENWGLPPFTDWVEGTLPVDPWYIGGPVIKGFGRGSKVLGIPTANLSTKGYSDLLSEHPAGVYFGWAGLSARGIFKMVMSIGWNPYFSNKEKTIEPWLLHEFTEDFYGEELRLVIVGYIRPEGNFPSLENLIAKIHEDRRVAERALDLPMYTSHKNDAYLRSS >CAK8577050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:534067335:534068765:1 gene:gene-LATHSAT_LOCUS29192 transcript:rna-LATHSAT_LOCUS29192 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYKILQVDKNAKDEELKKAYRKLAMKWHPDKNPTNKKDAEAKFKQISEAYEVLSDPEKRAIYDQYGEEGLKGQVPPPDAGSGGGTSFYSTGDIPGSVRFNPRNADDIFAEFFGFSRPFGGMGGRGGSGGGGGGGMRSRVLGGMFSDDMFGSFGEGGGGMHMNQGVPRKAPAIENKLSCTLEEIYKGTTKKMKISREIADASGKTMPVEEILTISVKPGWKKGTKITFPEKGNEQPNVTAADLIFVIDERPHSVFSREGNDLIVTQKISLVEALTGYTVHLTTLDGRNLSIPINNVIHPNYEEVVPKEGMPLPKDPSKKGNLRIKFNIRFPSRLSDEQKAGVRKLLGASL >CAK8534528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739198381:739199061:1 gene:gene-LATHSAT_LOCUS4070 transcript:rna-LATHSAT_LOCUS4070 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKIHPLVFRLGATQSHYSIWFAKPKMYSENVKEDKIIRYCINNFIQKTVPESCEFETDISGYGMGRIKIQKRIDLIKVIIYMGLLDSLKEKVKDLRVEQLKTQVQKKVTYGNRELDLEITKLPSPYLYRDAKIVAEFLSELLRNRISFRKAMQKGIELAEQEDTKGVQIKIVGRMEGKEIARVEWTREGRVPLQTIRAPMDFCSVPLVTLFGVFGVKIWIFQNF >CAK8576436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:476470794:476471141:-1 gene:gene-LATHSAT_LOCUS28623 transcript:rna-LATHSAT_LOCUS28623 gene_biotype:protein_coding transcript_biotype:protein_coding MINQCGYRNKMDIDNLMNYSGENEACSEVQSLEDIVGTIIENNAEDDGEDDTVSLEPITRKEALMASNSLHNFMIQYKNTTPELLDAIRKVRDELQIDLNFKEKQTTIESYFNRV >CAK8576937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526035133:526039252:-1 gene:gene-LATHSAT_LOCUS29091 transcript:rna-LATHSAT_LOCUS29091-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQATYKDEEDEDEDLPLATTAAPTADQPAASVATDMESTYAPLVTSSTPSDTLTEPQNDGKSELDPSDDPSSDEAASNGLNSSKLSRGDADEDEEEPPPKKQKQLSSLNLVKGESVSMPEEINGTGNVAASTATTSTPANGTVKKSKKKNNNVWATKSTRKGKKKSKNNNNNNNNQTNGGEDSVLVTPVPRFPDKTDDTPDMKICLSKIYKAEKVELSEDRLSAGSTKGYRMVKATRGVVEGAWYFEIKLVRLGETGHTRLGWAMEKGDLQAPVGYDGNSFGYRDIDGSKIHKALREKYGDEGYEEGDVIGFYINLPDGDKYAPKNKQLVWYKGQRYAFAQDAKEDPPKVVPGSEMSFFKNGVCQGVAFKDLYGGRYYPAASMYTLPNEPNCTVKFNFGPDFEFFPENFNERPIPKPMIEVPHHGFDNHVENEKKSNGELDEKKSNGESDEKKSNGESVEKKSNGESVEKKSNGESVEKKSNGELVEKKSNGESVEKKSNEESVEKKSNEESVEKKSNEESVEKKSNEE >CAK8576936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526035133:526039252:-1 gene:gene-LATHSAT_LOCUS29091 transcript:rna-LATHSAT_LOCUS29091 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQATYKDEEDEDEDLPLATTAAPTADQPAASVATDMESTYAPLVTSSTPSDTLTEPQNDGKSELDPSDDPSSDEAASNGLNSSKLSRGDADEDEEEPPPKKQKQLSSLNLVKGESVSMPEEINGTGNVAASTATTSTPANGTVKKSKKKNNNVWATKSTRKGKKKSKNNNNNNNNQTNGGEDSVLVTPVPRFPDKTDDTPDMKICLSKIYKAEKVELSEDRLSAGSTKGYRMVKATRGVVEGAWYFEIKLVRLGETGHTRLGWAMEKGDLQAPVGYDGNSFGYRDIDGSKIHKALREKYGDEGYEEGDVIGFYINLPDGDKYAPKNKQLVWYKGQRYAFAQDAKEDPPKVVPGSEMSFFKNGVCQGVAFKDLYGGRYYPAASMYTLPNEPNCTVKFNFGPDFEFFPENFNERPIPKPMIEVPHHGFDNHKSNEESVEKKSNEESVEKKSNEESVEKKSNEE >CAK8541934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435548141:435551205:-1 gene:gene-LATHSAT_LOCUS10822 transcript:rna-LATHSAT_LOCUS10822 gene_biotype:protein_coding transcript_biotype:protein_coding MITCAQTWPEPIVRVQSLAESGLNSIPSCYIKPLSQRPTKINLAPHNDHLNININIPLIDLEHVSSEDQVLKETVFKNVSEACREWGFFQIVNHGIDHELMKSAKEVWREFFNLPLEVKEEFANSPSTYEGYGSRLGVKKGAILDWSDYFFLHYMPPSLRNQVKWPALPSSLRKVIDEYSEEVVKLGGRILELMSKNLGLKEDFLMNAFGGEDELGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTILLPDDFVSGLQVRKGNEWITVKPVPNAFIINIGDQIQVMSNAIYKSVEHRVIVNPTQDRVSLAMFYNPKSDLLIQPAKELVTKERPALYAPMTYDEYRLYIRMKGPCGKAQVESLASQV >CAK8539926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531368405:531368983:1 gene:gene-LATHSAT_LOCUS8978 transcript:rna-LATHSAT_LOCUS8978 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNIKVEKQSLMSTYSIFTGIAKTLRLLELCLVLLFLFWILNRLPFVVRISADFLRSPLFIFILSNAIIVALLAQSDLRFSSSSAVDFEFPVEERLECQEKQIRDACTEDVDSCAVTVTDSGNKVYCRSESLPEIMNGEGEDGKLQRSETEMKVREILYPQDKLSNEEFQRTIEAFIAKQMRFLREEELC >CAK8572103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510080346:510081664:1 gene:gene-LATHSAT_LOCUS24702 transcript:rna-LATHSAT_LOCUS24702 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRYLHLKRKAFRLKRFLLRVLGRKRRLMPAKKPSWMTPVTHGYQVVEHHMIIDGSDYSDFDSIVVQREQMDQTELWYFGIFDPLVGDKVTKYMQSHFFSKKLQEAQIWRKSKEMMKRAYVGVRAKMREENRHEETGRMGSASVMVINGEKLVIANIGDYRVVVCRDGMAHQKTDTYQQSAKRHWSHRIFSAYESGNAVDTRHSSSSELVIRNECIDSDTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALNRMSKSNISCLIIRFD >CAK8572102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:510080346:510081664:1 gene:gene-LATHSAT_LOCUS24702 transcript:rna-LATHSAT_LOCUS24702-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRYLHLKRKAFRLKRFLLRVLGRKRRLMPAKKPSWMTPVTHGYQVVEHHMIIDGSDYSDFDSIVVQREQMDQTELWYFGIFDPLVGDKVTKYMQSHFFSKKLQEAQIWRKSKEMMKRAYVGVRAKMREENRHEETGRMGSASVMVINGEKLVIANIGDYRVVVCRDGMAHQKTDTYQQSAKRHWSHRIFSGNAVDTRHSSSSELVIRNECIDSDTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALNRMSKSNISCLIIRFD >CAK8562629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517788771:517790492:-1 gene:gene-LATHSAT_LOCUS16113 transcript:rna-LATHSAT_LOCUS16113 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVKHAWTCSTRWMVNSEEHVLSALESCDGIKQFNQVHAQLIVHGLFQNSHVASRAFKKLCSHPRTTPRAAFLFDHLHHPDAFLCNTIIRSYLRNSNFFDAFSFYYNKMLARWVPPNHYTFPLILKLCADSGWQREGEKTHARVVKFGFEFNLFARNSLIRMYSVFGRINDAWFLFESSYVLDLVSYNTMIDGYVKIGQIGCARKLFDEMHDRDILSWNCMIAGHVSVGDLDAAKELFEIMPDRDVVSWNCMIDGCVRVGNVSLALEFFNRMHGGIRNVVSWNSLLALHIRVKNFGECLRLFERMMESGEAMPNEATLVSVLTACANLGKLSLGLWVHSYIKSNNIKQDVLLGTCLLTMYAKCGAMDFARDVFVEMPVKSIVSWNSMIMGYGLHGNGDKALETFAEMEKADQKPNDATFVCVLSACSHAGMVMEGWWYFDLMRRVYKIEPKVEHYGCMVDLLARAGFVKNSEELIEKVSVKGGSALWGALLSGCKTHLDLELAENVAKRLAELEPLDISPYILLSDIYGAQGRWDDVERVRLMMKEKGLQKEAASSLVHVEDFESKCIVNK >CAK8539631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518778865:518780346:-1 gene:gene-LATHSAT_LOCUS8709 transcript:rna-LATHSAT_LOCUS8709 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSFQPQHQPHQSLNSTTTTTSSSSRSSKQNYHQYYPQQQQEECFNFFMDEEDLSSSSSKHYYPYNQQPQTSITTNTSTPTPTPPPPPPTDHFSFELTGKWSHNILLETARAIAEKNSNRLQQLMWMLNELSSPYGDTEQKLSAYFLQALFSRMTEAGTRTFRTLASASEKTCSFESTRKTVLKFQEVSPWTTFGHVACNGAILEALEGDSKLHIIDISNTYCTQWPTLFEALATRTDDTPHLRLTTVVTASGSVQKVMKEIGARLEKFARLMGVPFKFNVIHHAGDLSDLDFSSLDIKDDEALAVNCVNTLHSISVGNGNGNSNGNRNSNCRDALVGSLMRLRPRIVTVVEEEADLDFGFEGFEFVRGFEECLRWFRVYFEALEESFPKTSNERLMLEREAGRGIVDLVACAPAESMERRETAVRWSQRLHGGGFNTVAFSDEVSDDVRALLRRYKEGWSMTPCSDAGIFLTWREQPVVWASAWRP >CAK8570310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35647818:35651038:1 gene:gene-LATHSAT_LOCUS23071 transcript:rna-LATHSAT_LOCUS23071 gene_biotype:protein_coding transcript_biotype:protein_coding MITASASRGSRTLVVGVKMEGESHTHCSELLTWALVNVAQPGDLIVALHVLPNHETVNRDGKSSLFSLVKAFDYVLSGYEGFCNLKQVDLKLKICRGSSVRRILVREANECCATHVIVGTPRGLGKIRPSISIGRYCAKKLSKDCWVFGVDNGKVVFKRDGSSATNCADLKGHRVGLLGSIQWKFGKSSKVLNDDMQDSNHSILSDSSCYQAADQESYGGGHEEDEKNSLAMVPVKVIDAGSSVKTLHYREVADLRPGWPLLHSRISSSDMRISERSSFPRISVVQWAMQLPSRDLSYDKDQFLGLDSKSGALVPVDAEIGTIASPERKSINVPKELVGLHEKYSSSCRLFKYQELVSATSNFLPENLIGKGGSSEVYRGCLPDGKEVAVKILKPSDDVLKEFVLEIEIITTLHHINIISLVGFCFEDDNLLLVYDFLSRGSLEHNLHGNKKNLLEFGWTERYKVALGVAKALEYLHNNADQTVIHRDVKSSNVLLSEDFEPQLSDFGLAKWASSSSSYTTCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISGDYPKGQESLVMWASPILNSGKLSQLLDPSLGDNYDHEKIERMVLAATLCIRRAPGARPQMSLISKVLKGDAVVMKWARVEINALKASELLDEEASPTSNLQSHLNLALLDVEDDALSLWSVDQNVSLEDYLRGRWSRSSSFD >CAK8531549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124328065:124328691:-1 gene:gene-LATHSAT_LOCUS1333 transcript:rna-LATHSAT_LOCUS1333 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMISNSTTSTTSNPSHRIKKKKKALSNTQQQAQEQEQRHAKWRSQAQQQIYSSKLHQALARVNLSGTGPRRGKAVREAADRVLAVAAKGKTRWSRAILTNRLKLKFRKQKRHHQQRTVGPSRSKKARFGVFRLKGKTLPAVQRKVKVLGRLVPGCRKEPLPVILNEVIDYIPALEMQVRAMSALADFLAGASSSAAAASAFPPPS >CAK8570631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75981419:75981796:1 gene:gene-LATHSAT_LOCUS23367 transcript:rna-LATHSAT_LOCUS23367 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTDRTRSSNQGPQKQEVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSI >CAK8532686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:480055092:480059249:1 gene:gene-LATHSAT_LOCUS2368 transcript:rna-LATHSAT_LOCUS2368 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTVPPMVPSPREDAKKLHKAFKGLGCDTSRVIQILAHRNSEQRSLIQQEYETTYSEPLSKRLSSEIHGHLKKALLLWLHDPATRDAKITRSALTSSIVDNQAITEIICSRTPSQLRRLKEVYLSNYRSPLEHDIENQTSGDHKKLLLAYVTTPRYEGPEFNHLMVEEDAKDLYKAGEKKIGTDEKTFIRIFAERSTTHLAAVSSAYTASFGNSLDKAIKSETSGSFMRGLLTILRCATDSNMYFAKILRKSMKGIGTDDSRLIRVIVTRTEIDMMHIKIAYYNKYGKPLTHAVRSDTSGHYEDFLIRLLGTDY >CAK8537223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:162508039:162508601:1 gene:gene-LATHSAT_LOCUS6528 transcript:rna-LATHSAT_LOCUS6528 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRQTLNTRYDPDGSRSASETMGDKLHRREGNSPDHQLRPLNDRSVIKEVGVHRQPGGERSALERSTRASRVGRSGSENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8573268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599242417:599246169:-1 gene:gene-LATHSAT_LOCUS25737 transcript:rna-LATHSAT_LOCUS25737 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNLCLFFFSLVILSAMAAAKVVLIGNNITLSFDDIEANFSPSVKGSGEYGVLFLAEPLDACTEVTNKAKQFPNTSSPFLLMIRGGCSFEDKVRMAQKAGYKAAIVYDNEDGGVLVAMAGNAAGIRIHAVFISKASGEILKKYAGLTNVETWLIPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRASSHVREFHGMSSRLVKAMPSLIFTSALEDNCTSRTCAICLEDYCPGEKLRILPCCHKFHAVCVDSWLTSWRTFCPVCKRDARTGLSDPPPSESTPLLLSSPSSAASSFMSSMRSSYASSSAIQIASHSQSASRNHSLASTPFMQPSLRSYRQSPSLSVSRSSVDLRNASQRSLASHMNSPRSNGYPSMSSLNSRYLSSHVPSPSNGSVSFLGSSSHQQHPLRHSESAASFSPFASATSLPDC >CAK8574405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680384516:680388591:1 gene:gene-LATHSAT_LOCUS26756 transcript:rna-LATHSAT_LOCUS26756 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGSRPTFFEMAAADQLPRSLRAALTYSIGVLALRTPFLHKLLDYEDESFSLLMLVLEAHSLRTADASFSESLYGLRRRPVNIKLNNDSDAAATATSQLRRRQKLVSLLFLVVLPYLKSKLHSIYNKEREARIQASLWGDENESYDFEQNSLVSTSTLDARASITTRITKRFQKIVGICYPLLHAGTEGFQFAYQMLYLLDATGYYSLALHALGIHVCRASGQELMDASSRISKIRSRERERLRGPQWIKTLQGALLSCTYTVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGVQLPPDRTVCPLCLQKRVNPSVITVSGFVFCYACVFKFVTQYKRCPATMMPATVDQIRRLFHDV >CAK8561063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84114326:84115789:-1 gene:gene-LATHSAT_LOCUS14686 transcript:rna-LATHSAT_LOCUS14686-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIAPANHSPLADAEALHKAFKGWGTDEKSVITILGHRSVYQRQQIRKTYQETYQEDLLKRLESEISGDFERAVYRWMLEPAERDAVLANVAIKDGSKGYHVIIEIVSVLSPEEVLALRRAYHNRYKHSLEEDVAAHTTGHLRQLLVGLVSSFRYGGDEINPKLAKTEADILHESIKEKKGNYEEAIRILTTRSKTQLLATFNRYKDDHGTSITKKLLDNASDDFHKALHTTIRCISDHKKYYEKVLRSALKRIGTDEDELTRVVVTRAEKDLKDIKEVYYKRNSVHLEDAIAKEISGDYKKFILTLLGK >CAK8561062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84114326:84116299:-1 gene:gene-LATHSAT_LOCUS14686 transcript:rna-LATHSAT_LOCUS14686 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVTIKSSPLEDAEALQKAFKGWGTDEKSVITILGHRSVYQRQQIRKTYQETYQEDLLKRLESEISGDFERAVYRWMLEPAERDAVLANVAIKDGSKGYHVIIEIVSVLSPEEVLALRRAYHNRYKHSLEEDVAAHTTGHLRQLLVGLVSSFRYGGDEINPKLAKTEADILHESIKEKKGNYEEAIRILTTRSKTQLLATFNRYKDDHGTSITKKLLDNASDDFHKALHTTIRCISDHKKYYEKVLRSALKRIGTDEDELTRVVVTRAEKDLKDIKEVYYKRNSVHLEDAIAKEISGDYKKFILTLLGK >CAK8568548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615564589:615566079:1 gene:gene-LATHSAT_LOCUS21495 transcript:rna-LATHSAT_LOCUS21495 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFFKSPNSNHSHFPQYSHNRPLRLADILANDAAVNPMDGVHKKPPYFSHRSIKCFSPRRLLASFLAALRPTKERRILKGREKMPSTPITIELKGNEEESRQLDWNDTSFKLGVGCGLLYVIAATKNELSKMVELRKEMGIILQNMKGELQSEDAILNRLKQCDDALAEVSVTDFQQVSCSNSHLSVGSEKSDGRAEMKSNTGCDGFLDYDICEQGECAEEINELQAEFEYELQRLQLYLDGEDAQQERVEVVVKDSSSKSESSGFGEIIMEHQEASCDISVGVPPVELERRLHELLEARLQERIIELESALEYATQELNEKEIRSIWWEDTARHIPYHVPETCLFTFPLDAEAALKFKEVV >CAK8530840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54674032:54674418:1 gene:gene-LATHSAT_LOCUS676 transcript:rna-LATHSAT_LOCUS676 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELFESDVVFSEDVESEEENETLKKKKQPEEEEENSGDEIDQAEKKKTVVSSKPVRIPEVMFRRWGNDGYDYDDEEEMVPPHVIMARRLAGKMTFSMCFGNGRTLKGRDLSRVRNSILRMTGFIEV >CAK8536326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931718922:931719895:1 gene:gene-LATHSAT_LOCUS5705 transcript:rna-LATHSAT_LOCUS5705 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTFLRSYGEELLKSNPNFNVKIKCADSDGGPVFERIYVCLEACKAAFATTCRPLIGLDACFLKGDFGDQLIGVVGKDGNNKIYPIAYAVVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVPAILETS >CAK8533558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636469292:636471427:1 gene:gene-LATHSAT_LOCUS3178 transcript:rna-LATHSAT_LOCUS3178 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMIKNEENKRMSMSMADNDFQVVAKRRRREPVLPSEENQGQKQQVCQNSTTSTTKRSSRFRGVSRHRWTGRYEAHLWDKLSWNITQKKKGKQGAYDDEISAARAYDLAALKYWGTLTVTNFPVAEYEEQVEIMQTMTKEEYLATLRRKSSGFSRGASKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGSHAITNFDLSTYMKWLKSSEGNISETKLKKLEVPESQTVASQSHCFPIDGSKSLAIPSSTYNSNNLNSSQKYEVFDNKKYQMSCTKSCSSPTALGLLFRSTVFRELVKNNSNMTGDETDGEDTSDRRPKTASDDDDELDGIFCGGIGDIPFLKPQ >CAK8541579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:260566645:260569385:-1 gene:gene-LATHSAT_LOCUS10489 transcript:rna-LATHSAT_LOCUS10489 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARSSVIIAEYTEFTGNFTGVAAQCLQKLPSSNNKFTYNCDGHTFNYLVDNGLTYCVVAVESAGRQIPIAFLERIKEEFTKKYAGGKAENAAAKSLNREFGPKLKEQMQYCVDHPEEINKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIRRKMWFQNMKIKLIVLGIIIAIILIIVLSVCGGFNCSK >CAK8567646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533218670:533223540:-1 gene:gene-LATHSAT_LOCUS20682 transcript:rna-LATHSAT_LOCUS20682 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSERKYMQEFLLYAASAALSCLVLFTGLHYLDPNRDSSKKALEHKKAIAKRLGRPLIHTDQYEDIIEGDVINPDHIDVEFDSIGGLESIKKTLFELVILPLKRPELFSHGKLLGPLKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDASKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRQSDHEALLNMKTEFMALWDGFTTNQNARIMVLAATNRPSELDEAILRRFPQAFEIGLPDQKERADILKIILKGERIEDNIDLDYIASLCEGYTGSDLFDLCQKAAYFPIRELLEYEKKGKQSPAPRRLSQLDLEKALYTSRTMVAASEYDRLNQQSSSRRTFPVDSE >CAK8567647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533219138:533223540:-1 gene:gene-LATHSAT_LOCUS20682 transcript:rna-LATHSAT_LOCUS20682-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSERKYMQEFLLYAASAALSCLVLFTGLHYLDPNRDSSKKALEHKKAIAKRLGRPLIHTDQYEDIIEGDVINPDHIDVEFDSIGGLESIKKTLFELVILPLKRPELFSHGKLLGPLKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDASKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRQSDHEALLNMKTEFMALWDGFTTNQNARIMVLAATNRPSELDEAILRRFPQAFEIGLPDQKERADILKIILKGERIEDNIDLDYIASLCEGYTGSDLFDLCQKAAYFPIRELLEYEKKGKQSPAPRRLSQLDLEKALYTSRTMVAASEYDRLNQQSSSRRTFPVDSGDYQAAINEFYKVIISRMINRQPDSQDP >CAK8569942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16914341:16915487:1 gene:gene-LATHSAT_LOCUS22739 transcript:rna-LATHSAT_LOCUS22739 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTCIDTSLNLNSINLPSTYKNEVLVEELHRLSCENKRLTETLTNMCESYEAMQKQLSQLMMNQNFENQTQESRKRKFESESCMNMYGCVRECSNVSDEESLMKRPCKDISSPKAYKVLVKTEASSNSLYVMDGYQWRKYGQKVTRDNPSPRAYFRCSKAPNCPVKKKVQKSLEDPTILVATYEGEHNHGHEKAEMSMISNQCEEARLGSVHVSSPKQIMERTSPTMKLNLADNVQKSSIQQFLVQQMATSLTNDPNFTIALANAISGRILDNASNKAKI >CAK8537047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66829960:66830813:-1 gene:gene-LATHSAT_LOCUS6364 transcript:rna-LATHSAT_LOCUS6364 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVNHDSCWYRMWLQGLTCDAVSGERGTNLGARWSTCCHNGIEARAAAYRNQDKTFSTGQRGRKLCGGRCTEYWRQ >CAK8538140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465677975:465678400:-1 gene:gene-LATHSAT_LOCUS7373 transcript:rna-LATHSAT_LOCUS7373 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGAVRTEVRHVPDMSKNLISLTTLDLGGCKFVFGDGVLKVVKGALIVMKAHQIGRFYVLQGSTVTGTAAVSSSMSDSDETILWHMRLGHMSEKGLTMLSKRGLLSGQSTSKLEFCDFNKFCKDEGIVRHLTVKRYSETE >CAK8568476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610010428:610020140:1 gene:gene-LATHSAT_LOCUS21431 transcript:rna-LATHSAT_LOCUS21431 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLLRFSLFSEPNLSLNSTLNLNLNHHRHFISLLPSKRRRYRHLNRRQIPRPYFPVSNSFSTEIRTPESPLLQRQTQIKDSPVLLDVTGMMCGGCVSRVKTILSADDRVDSVVVNMLTETAAVKLKRLEEEHASVAESLALRLSECGFPTKKRESGLGVAENVRKWKELVKKKEELLVKSRNRVAFAWTLVALCCGSHASHIFHSFGIHIAHGPVMEFLHNSYVKGGLALGALLGPGRELLFDGLNAFKKGSPNMNSLVGFGSIAAFIISSISLLNPELAWDASFFDEPVMLLGFVLLGRSLEEKARIQASSDMNELLSLISTQSRLVITSSEGSLSTDNVLSSDAICVEVPTDDIRVGDSVLVLPGETIPIDGRVVAGRSVVDESMLTGESLPVFKEEGLTVSAGTINWDGPLRIESSSTGSNTMISKIVRMVEDAQSREAPVQRLADSIAGPFVFSIMTLSAATFAFWYFFGTHIFPDVLLNDIAGPEGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGARKGLLIRGGDVLERLAGVNYIALDKTGTLTRGKPVVSATGSIEYGESEILQIAAAVEKTASHPIAKAIVNKAESLELVLPLTKGQIVEPGFGTLAEIDGRLVAVGSLEWVHERFKTRMNPSNLMNLEHALMNHSSSTSSSKYSKTVVYVGREGEGIIGAIAISDIVREDAESTIMRLKKKGIKTVLLSGDREEAVATIAETVGIENDFVKASLSPQQKSAFVSSLKAAGHRVAMVGDGINDAPSLAAADVGIALQNEAQENAASDAASIILLGNKISQVIDALDLAQATMAKVYQNLSWAVAYNVVAIPIAAGVLLPQFDFAMTPSLSGGLMAMSSILVVSNSLLLKLHESETYGKGSL >CAK8576065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:397809565:397810014:1 gene:gene-LATHSAT_LOCUS28282 transcript:rna-LATHSAT_LOCUS28282 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKNGCPVDIHRVFDNGLLIDPHDEKSIADALLKLVSNKQLWAKCTQNGLNNIHLFSWLEHCKTYLSKIATCKPRHPQWQRSEDGGESSESEESPGDSLRDIQDLSLNLKFSLDIQDL >CAK8566411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420701825:420702225:-1 gene:gene-LATHSAT_LOCUS19543 transcript:rna-LATHSAT_LOCUS19543 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAYEMNYEKTPHCASGYRTFFDHFEEDDFLWRPNLELEDEDPTESDMWSSTTFILSFTYVEMHHSDRVKLQFRIKQDIPGPLEMYNLLPVEPPLTSTGSKLIKDE >CAK8534959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:796110209:796117965:1 gene:gene-LATHSAT_LOCUS4450 transcript:rna-LATHSAT_LOCUS4450 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKHVSMFFFLFFVFNCFSMFGSNAKLLPQDEVKLLQTISDKLDNLNWKVTQNSCNDDNGFGNKNISKDILSSVTCVCTFNSSTVCHVTNILLKGLNISGVIPSEFGNLTQLKLLDLSRNYLNGSIPKSLGLLSLVTLAFLGNQLSGPIPPEIGNIATLQELTLEDNQLEGPLPSSLGNLKHLEDLKLSANNFTGTIPESYGNLKNLTDFRIDGSSLSGKIPSFIGNWTKLERLDLQGTSMEGPIPPAISLLKNLKELRISDLKGNTTMTFPDLKDLKRMTRLELRNCLITGPILDSIGEMENLKTLDLSSNRLTGPIPDSFENLENINFMFLTNNSLSGTTPGWILTSKQNFDLSFNNFSESSATDCQPLDVNLASSVSPSANTSLSCLKTNLPCSGKSQYHSLFINCGGPAIEFEDKEYEADEHLRGISNFVPSADGKWAYSSTGVFLGNEKADYVARNVFSLNINDSSGYYQTARIAPISLNYFGFCMMKGNYNVKLHFAEIMFSNDTAFSSLGRRIFDVSIQGHKYLKDFNIMEEAGGVGKGIARDFNVDVNDSTLQIHLSWAGKGTNAIPMRGVYGPLISAITVTPNFKIPSNGLSAGAIAGIVIGSLAFVLLILFVLWKMGYIFRKDQTDKELLELKTGYFSLRQIKAATNNFDPENKIGEGGFGPVYKGILSDGAVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMENNSLARALFGKPEQRLNLDWRTRMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKHLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGMSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSKYSSEEAMRMLQLALLCTNPSPTLRPPMSSVVSMLEGNTPIQAPIIKRSDSTSGARFKAFELLSHDSQTNVSSTSLQHSRELRGKSMDGPWVDSSISYPSKDDYSSSDKLI >CAK8534960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:796110272:796117965:1 gene:gene-LATHSAT_LOCUS4450 transcript:rna-LATHSAT_LOCUS4450-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSNAKLLPQDEVKLLQTISDKLDNLNWKVTQNSCNDDNGFGNKNISKDILSSVTCVCTFNSSTVCHVTNILLKGLNISGVIPSEFGNLTQLKLLDLSRNYLNGSIPKSLGLLSLVTLAFLGNQLSGPIPPEIGNIATLQELTLEDNQLEGPLPSSLGNLKHLEDLKLSANNFTGTIPESYGNLKNLTDFRIDGSSLSGKIPSFIGNWTKLERLDLQGTSMEGPIPPAISLLKNLKELRISDLKGNTTMTFPDLKDLKRMTRLELRNCLITGPILDSIGEMENLKTLDLSSNRLTGPIPDSFENLENINFMFLTNNSLSGTTPGWILTSKQNFDLSFNNFSESSATDCQPLDVNLASSVSPSANTSLSCLKTNLPCSGKSQYHSLFINCGGPAIEFEDKEYEADEHLRGISNFVPSADGKWAYSSTGVFLGNEKADYVARNVFSLNINDSSGYYQTARIAPISLNYFGFCMMKGNYNVKLHFAEIMFSNDTAFSSLGRRIFDVSIQGHKYLKDFNIMEEAGGVGKGIARDFNVDVNDSTLQIHLSWAGKGTNAIPMRGVYGPLISAITVTPNFKIPSNGLSAGAIAGIVIGSLAFVLLILFVLWKMGYIFRKDQTDKELLELKTGYFSLRQIKAATNNFDPENKIGEGGFGPVYKGILSDGAVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMENNSLARALFGKPEQRLNLDWRTRMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKHLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGMSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSKYSSEEAMRMLQLALLCTNPSPTLRPPMSSVVSMLEGNTPIQAPIIKRSDSTSGARFKAFELLSHDSQTNVSSTSLQHSRELRGKSMDGPWVDSSISYPSKDDYSSSDKLI >CAK8536696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7834053:7835510:1 gene:gene-LATHSAT_LOCUS6030 transcript:rna-LATHSAT_LOCUS6030 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNTNQLEESTDFTSDFKAEVKAFDDSKTGVKGLVESGVSKIPRIFHTGKLDMGENSASGSKLSVPIVDLQDIHINPALRVEVIDQIRSACHEWGFFQVINHGIPVTVLDEMIDGIRRFHEQEADVRKEFYTRDTKKKVAYLSNRTLFSGQAANWRDTFGFAVAPESFKSENLPPICRDIVIEYSQKIRDLGFKIFELLSEALGLNPSYLKELNCAEGLYILGHYYPPCPEPELTMGASKHTDTDFITLLLQDQLGGLQVLHEDKWVNVPPLHGALVVNIGDLLQLITNDRFVSVFHRVLSQKIGSRISLASFFVNSSEPIQGASKIYGPIKELLSEENPPIYKDITIKDFLAHYYAKGLDGSSSLEPFKL >CAK8564446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674564525:674564965:-1 gene:gene-LATHSAT_LOCUS17751 transcript:rna-LATHSAT_LOCUS17751 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSEVIIVILSRVPAKPPLHLRIICKWFRFLIDNTNFIFCHLNKSRDSIIILRQVSRLYELDLNSMDIVKELDHPLMCYSNHVKVLGSCNDLICICNIADDNVFWNPSICKHQVIPSEPLIHKEHKETSTITTILAACDYNHL >CAK8531228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91641692:91644768:-1 gene:gene-LATHSAT_LOCUS1034 transcript:rna-LATHSAT_LOCUS1034 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVRNIVAVLLCITVAAPIVLYTDRLGSFESPPSTKQDFIEDGSAFSFNAADTSHLNLLPQETSTVLKEPIGVVYTDHDSINGRNLPQALQLAESREHVSARVLATTTEEDQTEKDSNIKLVTDETKQGSQGGDGNLEKADTIGEHVSGEDAIDVDDHEGKLSKLTHDSTKKPLVKGTVLEQQQATETSGGNKKRPETNKENDQLPSDARVQQLKDQIIQAKVFLSLPVVKSNPHLTRELRLRVKEVTRVVGEATKDSDLPRNAKEKMKAMEQTLLKGKQIQDDCAASVKKLRAMIHSTEEQLHVLKKQTLFLTQLTAKTLPKGLHCLPLRLTTDYYKLNASQQQFANQEKLEDPELYHYAIFSDNILATAVVVNSTVVNAKDATKHVFHVVTDRLNYAAMRMWFLGNPPGNATIQVQNVEDFKWLNASYSPVLKQLASPAMIDYYFKAHRATSDSNLKYRNPKYLSILNHLRFYLPEVFPKLNKVLFLDDDIVVQKDLTGLWSVDLKGNVNGAVETCGENFHRFDRYLNFSNPLIAKNFDPHACGWAYGMNVFDLVQWKRQKITEVYHNWQNLNHDRQLWKLGTLPPGLITFWKRTFPLHRSWHVLGLGYNHNVNQKEIERAAVMHYNGNMKPWLEISIPKFRSYWTKYVYYNHVYLRECNINP >CAK8544309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677194710:677196981:-1 gene:gene-LATHSAT_LOCUS13001 transcript:rna-LATHSAT_LOCUS13001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISGDEGSFSSGNNGEEQVQNNLNDSSSGPSAGASNSNGSSNQQQTKKKRNLPGTPDPSAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEVKKKVYVCPESSCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALTEENHRVSQGLTSGMPPNLQNQMRDPISTMPLKTISNISSDLINDSLKSPTQEHVPIHFRSTNATNACGGMFSTSAGALFGGPKTLPPSSSSLQLSSSSNSFNYFNDNKIGGLVSGSVQMSATALLQKAAQMGATASNSVNSSMMQKSFVSSMISPNHDHVPGSIMMQHNQNQNQNQPSYEQYNPLHLNDLSNMAGVSGGGAFTNQLFQKEQQEISLMFDSSSNDMGMFGQGLMKNVAQEVSDCSNLIHGSDVARVHDFLGIGGSNSSMHEPQQHRLEIMNDFHHHHHLPHEDSPMEKSIWDD >CAK8541034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46622128:46623111:1 gene:gene-LATHSAT_LOCUS9986 transcript:rna-LATHSAT_LOCUS9986 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGVSIGIDLGTTYSCVGVWQQQNDRVEIIHNDQGNRTTPSCVAFTNSQRLIGDAAKNQASSNPTNTVFDAKRLIGRKYSDSVIQNDIQLWPFKVKADANDKPVIVVTSKGEEKHFLAEEISSMVLSKMREIAEVFLESSVKNAVITVPAYFNDSQRRATKDAGVIAGLNVMRIINEPTAAALAYGLQKRANCVEERKIFIFDLGGGTFDVSLLTIKNNAFKDLTLVFLIYMMRFLFLNHHPMFVDRNHPKGYTTVKAPLQ >CAK8543027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567789351:567790337:1 gene:gene-LATHSAT_LOCUS11814 transcript:rna-LATHSAT_LOCUS11814 gene_biotype:protein_coding transcript_biotype:protein_coding MSERISIENIEDKILKHKMIEESEARLRNESKNIITSSEADSEKGKIEEEAEPKLQKESRVITPSIQSKFQLTPRLDSNTKPTFNLLEKGEHSSSSQNFIAVFLPKQEVKEFLCLFCNKKFASPQALGGHQNAHKRERDLKKMEQKTNEEEMNSVLSYRPSFAYSYPYSDSIHYQGYHSFCSNLQHPINTQMNNIIPSWLGSPSGGYGGMHMPNTPSPPPPLVMQIPKTPLIPLDFGMINFLGGNQTPAVSIAQGQNTIELGFFGQANQTPQSDEGTERNLDAQFASYDLSMKTHDFIGGSQLLAEANVRSSSTSESTLEELDLNLKL >CAK8569456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1392165:1415084:1 gene:gene-LATHSAT_LOCUS22305 transcript:rna-LATHSAT_LOCUS22305 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRPPKRPKVSRGDDDYMPGNILEIELYNFMTFDYLKCKPGPRLNLVIGPNGSGKSSLVCAIALGLCGEPQLLGRATSIGAYVKRGEESGHIKITLRGDNKEEHITVMRKINTSNKSEWLFNGNVVPKKDVAETIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPEQHRALIDKSRALKHVELSLEKNEGTLNQLKERNAELEKDVERVRQRDELLAKAKSMKKKLPWLKYDMKQAEYREAKEREKTAAKEFEKAAKLLNEVKEPIKKQKDEKAALDAKWKKVSNRINENAKKRMELMEKENQLEVEQQGKYKEMDELRRQEETQQQKFRKAKEELVAAELELESLKPYALPRDELHRLREEILELDESANQVRQNKSQAEKEIHNKKLSLMKCKERLKEMNNKSTKCLHALQRSGVEKIFEAYKWVQEHRHEFNKEVFGPVLVEVNVSDQSHAAYLEGQVAYYTWKSFITQDPRDRDFLVNNLRFFDVPVINYTGRDSQQREPSEISADMRALGIHSRLDQIFDAPAAVKEVLISQFRLDHSFIGSKETDQKADEVPKLGITSLWTPENHYHWTNSRYGNHVSAVVEQVQRPQLLLNNSNARDIENLNSQEREFQEQIASLEESIKKFQDEEKSFRNQAANLRKQREDISNTAQNEQKKRQAIIRHIEQKKRILKSMEEQDDLDTELAKLVDQATKCNIQRFHNAIKIKELLVEAVDCKRSLVEQRMAFIEFEAKIGEMEANLKQHENFALQASLHFNNSKKEADECRQKLTDLLNYAKSIARLTPNLEKEFLEMPTTIEELEAAIQATISQANSILFVNPNILEQYEDRQRQIEDLTKKLDADKKESRKCLAELENIKGKWLPTLRNLVAQINETFSRNFQQMAVAGEVSLDEHDMDFDQFGIHIKVKFRENGQLEVLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPNTPQCFLLTPKLLPDLQYSEACSILNVMNGPWIEQTSKVWTTGDRWSIITGQVGETTC >CAK8540882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:28470787:28471425:-1 gene:gene-LATHSAT_LOCUS9841 transcript:rna-LATHSAT_LOCUS9841 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEVATPANTTSSDSTPANTTSSDSTPADTTSSDSTRLKEVRESLGQYFGLLFAIIGVASRYTTNNEKSLLIMVLAFMVTLYFMVLVIVKALQLHVPFMILVNLFLFLGALISLLGLMIISRIIGWIYLTLWIFLVVGLVCYKNGKEIYQMISTRIQKLFPKGNVEISDHHLESSGVKRLPI >CAK8564868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14471383:14472682:1 gene:gene-LATHSAT_LOCUS18125 transcript:rna-LATHSAT_LOCUS18125 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIIKKKRTMRRRKPKSLFNSLPIVLQTKIFGKLYMKEKSNAMCVSHSWRNLILTTTLPKENPLDPLMEACISSNPYMDLKQLFNWCSLVMGYRIRPKNLIDTCNGLFLFCRKDCQANNIIHGVYHYFVMNPITKQCVAIPKPVGQIFGGYSYAALAYDYKESWFFKVIRFQGHRHINIFSSMTGIWTTLTIYFPEYINKSYWTKTSVYLKGSIYRLSSSGHLLRIKVDPQENASKQAEVIKLHSDCLFDNSQLKICLKDDKIILVLSRSAKFMCFELVESVIKGVNTYTWYINFSNENEKLLPLNTNGKLLSIYPFNDMALFKIKRLLYYYLYNLNDNTIEEIGVIQDDQIMFEYERTCGKQLFECFIPFASCLEKEDRKHFQRLLVPK >CAK8571606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447450145:447450471:-1 gene:gene-LATHSAT_LOCUS24249 transcript:rna-LATHSAT_LOCUS24249-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVKTCATCEDFCERGLWLSLHESCSIPSDVPRGHMVVYVGENHKRYVIKIALLHHPLFRALLDQAQEEYDFSIDSKLCIPCDEHLFLSVLRCAGSPQNQGVCLCL >CAK8571605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447450145:447450516:-1 gene:gene-LATHSAT_LOCUS24249 transcript:rna-LATHSAT_LOCUS24249 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRFLRGCINKCKKMGSIVKTCATCEDFCERGLWLSLHESCSIPSDVPRGHMVVYVGENHKRYVIKIALLHHPLFRALLDQAQEEYDFSIDSKLCIPCDEHLFLSVLRCAGSPQNQGVCLCL >CAK8577610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578225936:578229628:-1 gene:gene-LATHSAT_LOCUS29701 transcript:rna-LATHSAT_LOCUS29701 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSRSNERTVIEDNMLLTMFDASDTKDTNQDSLDERFAFLDAVRASSIGLEYGKSPTSKIFAAVFHMLRTGKSLELIVASYKLLVDLEKRFPRAYLSGEDVSMSPSNSTPKLIVAEEAWSPLIVGLDNATAVREAGDKQSGGPLDRSSFHSLIEALAETLTESKIQATSIKNLLIFQYLVIVLEGDFLPRNATMNWSLQRESLLNLLMGSRKINYKSLMKDCMKIICLLCQLFQNDLSKNLEVEKSSESQLSENYHVALSLDLLEVLKNTHVYMEKLLVMIMALDMSRKKADIEGHTSRVDSPRTPLVDIVLDELAYNKDNIPHFLKIFSEPKWKLEIVVQYFWKYITKPAAQTRRSNGSTVEATFDGVLTCFSNNTGTKSIIKKIGADVVQLLLAHGFQAQLSILLERNGNDSVAGDGEGDSALIDLCHVFISAFDSLRSTDKDMEILSIGKEALFIAATSISMKS >CAK8577611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578225936:578229628:-1 gene:gene-LATHSAT_LOCUS29701 transcript:rna-LATHSAT_LOCUS29701-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSRSNERTVIEDNMLLTMFDASDTKDTNQDSLDERFAFLDAVRASSIGLEYGKSPTSKIFAAVFHMLRTGKSLELIVASYKLLVDLEKRFPRAYLSGEDVSMSPSNSTPKLIVAEEAWSPLIVGLDNATAVREAGDKQSGGPLDRSSFHSLIEALAETLTESKIQATSIKSLQNLLIFQYLVIVLEGDFLPRNATMNWSLQRESLLNLLMGSRKINYKSLMKDCMKIICLLCQLFQNDLSKNLEVEKSSESQLSENYHVALSLDLLEVLKNTHVYMEKLLVMIMALDMSRKKADIEGHTSRVDSPRTPLVDIVLDELAYNKDNIPHFLKIFSEPKWKLEIVVQYFWKYITKPAAQTRRSNGSTVEATFDGVLTCFSNNTGTKSIIKKIGADVVQLLLAHGFQAQLSILLERNGNDSVAGDGEGDSALIDLCHVFISAFDSLRSTDKDMEILSIGKEALFIAATSISMKS >CAK8570822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:121772038:121772277:-1 gene:gene-LATHSAT_LOCUS23541 transcript:rna-LATHSAT_LOCUS23541 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNIYKIKMLFLILISSFTFFLFPFLFHVISFSNLNLNILNLIFVPCPLDFNKSFITIFIFIASSLLPQSLFSIKAKP >CAK8534483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731864831:731865832:-1 gene:gene-LATHSAT_LOCUS4026 transcript:rna-LATHSAT_LOCUS4026 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALVDAFMHEYVKGNKVNGTFTISAYENIAAELRTLFRNKVDKVKIKNCWKPLKKNFIEYYDIFKGGMIGFSWNSTTQLWDAESEVWDALIKSKLKALNWKIVPIPNYEKMVIFYGPNRADGEESRTLKETKKQKLSVTNEDFVETIQDIDDHVVRNEVNLESFDATYDFSVPETQSSDPLSGSKRKKMKVVKNKDTNNDIAELQESFVLVANALTEGNAAIREGNEIMRERQKYELPPISGEETCNLIKECGCDAKSLPQIYCTVMKDADKLRMILQCLLEAREAVIMQMVFGSSD >CAK8561003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70961151:70961609:1 gene:gene-LATHSAT_LOCUS14632 transcript:rna-LATHSAT_LOCUS14632 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLAAKSNWNVPYQCLEYFAKMMLDTTPTNDNLPTSYYDGKRLVSKLGLEVQKIDCCINRCMLFYENEYGINDEALEECKFCKSPRYQVRSKGINRKQKRVVVKSMFYLSIIPRLKILFASMHSASQMTQHHTNKTSSGIMRHPSDGEA >CAK8559963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2630854:2650702:1 gene:gene-LATHSAT_LOCUS13691 transcript:rna-LATHSAT_LOCUS13691-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVATAESSVHPVEHSPSSSVDRYDSSDSLPNFFGDAEIQSPDVLKSEEYRQLFHLPQEEVLIEDFNCALQENILIQGHMYLFVNYICFYSNIFGFETKRIIPLPEITGVRRAKTAGIFPNAIEILSGSKKHFFASFLSRDEAFKIINEGWLRQGNDARVIMEQQESQSESNGQEVGFVNVENVKSSDILDNEALSTDPSQGTSICKDVGVPSIVGEGPILMDVPEKQFSVEVAESELNNNNPPRVSWSWNEEDLDAPTTPEAYTCVAESIFPIKVEDFFRYFFSDDAVNFLESFRERCGDKDFKCASWHPQEKFGYSRDLSFQHPIKIYLGAKLGGCHEVQKYRVYRNSHLVIQTSQEVSDVPYADYFQVEGLWSVERDKDESKERCILRVYVNVEFSKKTIWRGKIVQSTVDECRDAYATWMNMAHEFLKQKNLEKQENGPIAAVAQNGKMNFDREAKTGESSEGPQDQSTPTRRQTTSNVIDAIHNVSSQLQGNFIDTSSVPSLFKEFATKIRSSLKSQSNLSLLLVAIFALIFVMQQFSILVLLSRPQHIHMNPPVDFMNKMDSGVTRSSSDIAWLEKRVHHLKDEMYMVETRLERMRYEHALLKKQINDLEHK >CAK8559965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2630854:2650702:1 gene:gene-LATHSAT_LOCUS13691 transcript:rna-LATHSAT_LOCUS13691 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVATAESSVHPVEHSPSSSVDRYDSSDSLPNFFGDAEIQLQSPDVLKSEEYRQLFHLPQEEVLIEDFNCALQENILIQGHMYLFVNYICFYSNIFGFETKRIIPLPEITGVRRAKTAGIFPNAIEILSGSKKHFFASFLSRDEAFKIINEGWLRQGNDARVIMEQQESQSESNGQEVGFVNVENVKSSDILDNEALSTDPSQGTSICKDVGVPSIVGEGPILMDVPEKQFSVEVAESELNNNNPPRVSWSWNEEDLDAPTTPEAYTCVAESIFPIKVEDFFRYFFSDDAVNFLESFRERCGDKDFKCASWHPQEKFGYSRDLSFQHPIKIYLGAKLGGCHEVQKYRVYRNSHLVIQTSQEVSDVPYADYFQVEGLWSVERDKDESKERCILRVYVNVEFSKKTIWRGKIVQSTVDECRDAYATWMNMAHEFLKQKNLEKQENGPIAAVAQNGKMNFDREAKTGESSEGPQDQSTPTRRQTTSNVIDAIHNVSSQLQGNFIDTSSVPSLFKEFATKIRSSLKSQSNLSLLLVAIFALIFVMQQFSILVLLSRPQHIHMNPPVDFMNKMDSGVTRSSSDIAWLEKRVHHLKDEMYMVETRLERMRYEHALLKKQINDLEHK >CAK8559964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2630854:2650702:1 gene:gene-LATHSAT_LOCUS13691 transcript:rna-LATHSAT_LOCUS13691-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVATAESSVHPVEHSPSSSVDRYDSSDSLPNFFGDAEIQLQSPDVLKSEEYRQLFHLPQEEVLIEDFNCALQENILIQGHMYLFVNYICFYSNIFGFETKRIIPLPEITGVRRAKTAGIFPNAIEILSGSKKHFFASFLSRDEAFKIINEGWLRQGNDARVIMEQQESQSESNGQEVGFVNVENVKSSDILDNEALSTDPSQGTSICKDVGVPSIVGEGPILMDVPEKQFSVEVAESELNNNNPPRVSWSWNEEDLDAPTTPEAYTCVAESIFPIKVEDFFRYFFSDDAVNFLESFRERCGDKDFKCASWHPQEKFGYSRDLSFQHPIKIYLGAKLGGCHEVQKYRVYRNSHLVIQTSQEVSDVPYADYFQVEGLWSVERDKDESKERCILRVYVNVEFSKKTIWRGKIVQSTVDECRDAYATWMNMAHEFLKQKNLEKQENGPIAAVAQNGKMNFDREAKTGESSEGPQDQSTPTRRQTTSNVIDAIHNVSSQLQGNFIDTSSVPSLFKEFATKIRSSLKSQSNLSLLLVAIFALIFVMQFSILVLLSRPQHIHMNPPVDFMNKMDSGVTRSSSDIAWLEKRVHHLKDEMYMVETRLERMRYEHALLKKQINDLEHK >CAK8559966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2630854:2650702:1 gene:gene-LATHSAT_LOCUS13691 transcript:rna-LATHSAT_LOCUS13691-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVATAESSVHPVEHSPSSSVDRYDSSDSLPNFFGDAEIQSPDVLKSEEYRQLFHLPQEEVLIEDFNCALQENILIQGHMYLFVNYICFYSNIFGFETKRIIPLPEITGVRRAKTAGIFPNAIEILSGSKKHFFASFLSRDEAFKIINEGWLRQGNDARVIMEQQESQSESNGQEVGFVNVENVKSSDILDNEALSTDPSQGTSICKDVGVPSIVGEGPILMDVPEKQFSVEVAESELNNNNPPRVSWSWNEEDLDAPTTPEAYTCVAESIFPIKVEDFFRYFFSDDAVNFLESFRERCGDKDFKCASWHPQEKFGYSRDLSFQHPIKIYLGAKLGGCHEVQKYRVYRNSHLVIQTSQEVSDVPYADYFQVEGLWSVERDKDESKERCILRVYVNVEFSKKTIWRGKIVQSTVDECRDAYATWMNMAHEFLKQKNLEKQENGPIAAVAQNGKMNFDREAKTGESSEGPQDQSTPTRRQTTSNVIDAIHNVSSQLQGNFIDTSSVPSLFKEFATKIRSSLKSQSNLSLLLVAIFALIFVMQFSILVLLSRPQHIHMNPPVDFMNKMDSGVTRSSSDIAWLEKRVHHLKDEMYMVETRLERMRYEHALLKKQINDLEHK >CAK8561188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:115945819:115947868:1 gene:gene-LATHSAT_LOCUS14800 transcript:rna-LATHSAT_LOCUS14800 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFAIKTLCRSISADEFRQKRNSWSFEENFLNDNSPLIDDAASKQGPCWSQIKFTGMLQWGQRRQVRFLGRHDEHELQMGKTPIFESREMTYYKKRKNIEEEMEGVKVAPLGVLRITRQSFKNQQDMTSTSGAKKSKKTVNDTNKQQQLVVYSKKGRKISIDRWSAERYKTAEENMLKVMKEKEAVYGNPIMRPHLRSEARKYIGDTGLLDHLLKHMAGKVAPGGVERFRRRHNAEGSMEYWLESADLVDIRKEMGVQDPYWTPPPGWKPGDTISPDHNVLREIMEEINKLKRDMQDLRSKMEAILVVTHSSCQSNLSYEYHDSQFSSKQEIYADLVHKKAKVEQQLKEITLTLNGMEGQLGMLKPTMSESLTPPVLLLGPTSSSLTENIGEVSNDKGTKSAETQMVQSSAAEDKAAKIERLKSGFKICKPRGTFVWPNMSVSVANLDELSVVPTPTSVSSSTTSPPNLVSNSNTQNLSLSIPCPSSPVKPLAERRPVSTATLTHVTGPFSPPLETPKSIITNNDSSINLNETPLAQE >CAK8561191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:116913759:116917037:1 gene:gene-LATHSAT_LOCUS14803 transcript:rna-LATHSAT_LOCUS14803 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSCKRFFKRFWVDEFHTGTFPYGNFLSTDILPSLGARINQETRLRRYIISPFNPKHRAWEMWLILLVIYSAWICPFHFAFLPDKHPTLLITDNIVNAFFAIDIVMTFFVAYLDDHSYLLVDDPKKIAVRYTCTWFIFDVASTAPLQTIISLFTKNSDAIGFKLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCIKLIAVTLFVIHFAGCFNYFIADRHSDSNDTWIGSVYPKFKETSIWDRYVTSIYWAIVTMTTTGYGDLHPVNPKEMTFDIFYMLFNLGLQAYIIGNITNLVVQWTSHTRTFRDTVRAASEFVTRNHLPHDTQDQILSHLSLKFKTDRFKQQETINSMPRAIRATISHHLFYPVVQKSYLFQQVSHDFLFQLVTEMEADYFPPKEYVILQNESPTDLYMLVSGAVEFIHTVDSYDQAAKKANAGDTFGEIGVLYNRPQPFSVRTRELSQILKLSRSSLMNVIHANPEAAPIIMTNIFMMLKAEKDFKYPPISPRLALHDMLHGNNTSGNPCFACTHVSPGEAMLYDMIPDTDENQQEKEANEKRLNRVRWKQKSQEDEEQNKIHEFETSNHSISRIRAKNKRVTIHFLDEHGTTLPPLGGKLIILPDSIEELLKIAGKKFGMPKPNMIRSAEEAEIDDLCVIRDEDHLFFLCYDKEVLS >CAK8578601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640803843:640804935:-1 gene:gene-LATHSAT_LOCUS30597 transcript:rna-LATHSAT_LOCUS30597 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYYVSTRIAGLENSNSSKVDDKVKKQIMDALLVRDQGENIIGLCGPDKRVEDSVKTVIRRAERDQLFQKIVTAIVTKKPDIRNIQMQIGDDIDLNFDDKMNLTESTFCMCFGNNKRMATAERASLLCAKMKELQTVLVVMYDLHGRLDLGEIGIPFGEDHNGCKILLSSRNLEVLSEEMKVHKLIQLSET >CAK8577679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583145568:583146485:1 gene:gene-LATHSAT_LOCUS29764 transcript:rna-LATHSAT_LOCUS29764 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >CAK8569712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9090185:9090520:1 gene:gene-LATHSAT_LOCUS22532 transcript:rna-LATHSAT_LOCUS22532 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCDVMLSNLTLVYVAVTFFVKGYEALNGGSYGGVFVVFVSTTLVALILVATLTWDLSRKFNKCMFVVDQQEPRTMSFCKGGICWHGVVDRSTASQIQFKIPHHLPHVIL >CAK8578000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601389419:601390436:1 gene:gene-LATHSAT_LOCUS30051 transcript:rna-LATHSAT_LOCUS30051 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGSWMTLKFRGVVDVVRASRFQLSYGGFKRNTPIVTSTRVVHGSSYWMQPSIQFFSTKTNTSTNANRLKDDEVQPEAPDSKIFAFSSWVKWVLCSLVSFMIPFWRQNWGKLQRIEEEAEIVIEEVEKVAEVIEKVAQEAEKVSEDIAEKLPEESKLKKAALSVENVSQQIAHDAQLTEDFIHKIEEVANDMDELESFVEPVIDKIVKKKET >CAK8560520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23223920:23235312:1 gene:gene-LATHSAT_LOCUS14184 transcript:rna-LATHSAT_LOCUS14184 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFHPQGASKQTCTVLAVTSETRSVEQKHPPNHRKYPFPELVSSGRLEVQTLCNPEKEQFRKVLESYQPSFVYLQGEKLANGEVGPLVWQCVELSTPEDISKLFGTTLPTVVYLEIRNGESFAEALHLKGIPYVVFWKNSFSQYAACHFRQAFFSVVQSSCTHTWDAFHLAHASFKLYCVQNNQVLLTDSNDADSDMGPHLLGDCLKIIVDPPEIGEEEEEDDDDEESSSSSLPSIQIHDDEMNLRFLICGVPSTVDESLLRCLEDGLRTLLTIEIRGCKLHGKYSAPPPPLQAASFSRGVVTMRCDISTCSSAHISLLVSGSAQACFNDQLLENHIKNEIIEKSQIVHAQHNNEANKQIISEPRRSASIACGATIFEITMRLPQWALQILRQLAPDVSYRSLVALGIASIQGLPVASFEKDDAERLLFFYQSSEKDGCANDNIVFSRPPIWLKPPPPTRKRCELSQGASHDIHDVVFAGQGAVKKEDGKEKDMKMVNGISTPLTPARQRLKVSAMRPIPHVRRHRMTPFSGPSEIDSFGGAHVEANVPLVPMKRSSIGTSSAAHRKSFSSSAHSKQVISLNPLPLKKHGCSRGPVQTCSEDEFIKDVMEFLILRGHSRLIPQGGLSEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMGNYTSTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSITNFKKKQSVANGYSHGSMSSRPL >CAK8566797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:463651637:463655279:1 gene:gene-LATHSAT_LOCUS19904 transcript:rna-LATHSAT_LOCUS19904 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGITVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLISRASYENVFKKWIPELQHFAPGVPVVLVGTKLDLREDKHYLADHPGMVPVTTEQGEELRKQIGATYYIECSSKTQQNVKGVFDAAIRMVIKPPQKQHEKRKKKHHGCFLNILCGRNIVRHK >CAK8560571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26476020:26476817:-1 gene:gene-LATHSAT_LOCUS14233 transcript:rna-LATHSAT_LOCUS14233 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMQIHRQEDPTQRQQDPFQEHHSQRQQDPTQQHYPRRNFPNKMTQQQQQQHQQGYNYPMEHKGNMDSRYRIPNAPKREHCICITILFLLLGIIILILWLAYHPSKPHITVTSASIYSLNATSPPFMSISMQFTIFIRNPNKRVSIYFDRLSAYVSYRNQPITPHVILQSLYLEKHGTVSVSPVLGSVPIPVSVDVMNGLVADESYGVVGVKLVFQGRLRWKSGEIKSAHYNMYAKCDLLLGLKKGLVGQIPLIGAPVCDVDT >CAK8531481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:114141271:114143385:-1 gene:gene-LATHSAT_LOCUS1270 transcript:rna-LATHSAT_LOCUS1270 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHEGSCAVGIDLGTTYSCVAVWQEEHCRVEIIHNDQGNRTTPSCVAFTENQRLIGDAAKNQAAANPQNTVFDTKRLIGRKFSDPIVQDDMLLWPFKVIAGDNDKPMIMVKYKDQEKQLYAEEVSSMVLTKMREIAETYLESNVKNAVVTVPAYFNDSQRKATIDAGAIAGLNVMRVMNEPTAAAVAYGLDKRTNCDGERNIFVFDLGGGTFDVSLLTIKGNVFKVKATAGNTHLGGEDFDNRMVSFFVQEFKRKNKVDISGNSRPMTRPRKNKVDISGGMYSKALRRLRTACERAKRSLSFLVTATIEVDSLFQGIDFSSSINRAKFEEMNMDLFNECIKIVESCLLDAKMDKSMIDDVVLVGGSSRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAMLSADIKNVPKLEPHNNSQLVLHNIPQLVLQDVTPLSLGISALGDIMSVVIPKNTCIPVNQTKPFVTAVDNQTRTLFKVYEGERTRASDNNLLASFSFSGIPPAPRGSHLANVCFAIDENGILTVSAKNNASGSSNKITITNHRDRLSSEEIKKLIQEAENYHIEDKKFLRKATALNALDDYIYKMRNALKKEDVDTKLSPEEIEKIRSTIVVTTYLVDENNHVVEIDDLEDHLKELKTSMDHIIAKTI >CAK8544063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661028070:661029486:-1 gene:gene-LATHSAT_LOCUS12772 transcript:rna-LATHSAT_LOCUS12772 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRERPPKNTVPPPTKSPLMSPPKQQSELRSHQESSNAVPKRSETGKPIHEGASEEGRKLWVDVLKDNRNPTKDRAMKFIAPQVVNGKLEVVIEEDDIISEVKFWESSLILYAMGVDLSMNVVKNFMTKNWNFVQFSDMYYNDEGYFILRFKSFKDRDKVLLRGPYMIRNIPLPIREWRPGFKVKDELLRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTTNRLRVSYARILVEMDITKELPQTITIGDNEGEKIQQAIEYEWRPLFCSNCQKVGHSCDKPKVTQQWKPKPAPQHTGNVKTVMDNTIERIPRTVGNNNTAGNKVITDIASSPVVVNTVKGNTLAEISTDLVSKAADPPPENEVNLIEQVEAVMEKWIKVIRSGKERGKQKFNPNSVNKIVRDNGFEALEISKDLIESQNTGQ >CAK8533939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:672937380:672937979:-1 gene:gene-LATHSAT_LOCUS3524 transcript:rna-LATHSAT_LOCUS3524 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKNQPMVAKKVWKTLRIVLFMLTKGIAKSKMVAELNLLLKRGKVAAVKAIANTLTLRHHSAAASFVSPHDYEFSCSNSPAVVKLHSKIKNHRHHHHHHHQNEFSTVQKVLEILNDVDASSFSSPSPMVTFPGFGKSPIGRKIRITDSPFPLKEEEGDDHSHVDVAAEEFIKRFYRNLNLQQKLAAIQSPYNNSRDR >CAK8541047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47904840:47910147:1 gene:gene-LATHSAT_LOCUS9999 transcript:rna-LATHSAT_LOCUS9999 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMYSSGMLTREQIFHLFERFTILTTQPDVKKRIIDAVLDKQEAVAVTTAIQEEIFVEMGVDPRFGISCLGKISTEYENDRDLMIEFYKFLAKEEMACDEAELGEEEFAEKQSYQQNLHQQQLEMLLHMRKFNMDDQSAILEKLHQQMENGNYESEASILSAGQMEEIIQRKVTPLFMPS >CAK8571725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465855726:465859728:1 gene:gene-LATHSAT_LOCUS24362 transcript:rna-LATHSAT_LOCUS24362 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNRSSNIGKAIIPYISTQFYDLSTLINVPKYFDGYFDITTFMHLILSPSYNNTLLHFLSLQSSAPQPSLSAMASKALTTGSHSRNGYRFSVFDDSDEENGDCNLQQQRKHNERTFSLAGSVASKDFEEQKPFNYNEEKEKGNQEKRSLMSNDIGEDEFLLAESRQPLCRKVAIASSLINPYRIVIVMRLVVLVFFFHFRITTPVHDAFALWIISVICEIWLSLSWVIDQFPKWFPMTRETYLERLSMRFDREGEPNLLAAVDVFVTTADPLKEPPLITANTVLSILSVDYPVDKVSCYVSDDSASMLLFDTLSQTSQFARIWIPFCKKYNIEPRAPESYFSQKVDYLKDKVLPTFVRDRRAMKREYEEFKVRINVLVAESQKKPEEGWVLQDGTPWPGNNTDNHPGMIQVCLGSAGAVDNEGKSLPRLVYVSREKCSGYQHHNKAGAMNSLLRVSAVLSNAPFVLNLDCDQYINNSKAIREAMCFLMDPLLGKKTAYVQFPRRFDGIDDNDRYANHNTVFFDINMKCLDGIQGPVYVGTGCVFNRQALYGYKPPTEKRQKTGFSCCCCCSDDSRSKLDFEEIDDDFEGNDEQEKSSFMSLKVFEKKFGESPVFIASALMEDGGLPKGTNTRILVKEAIHVISIGYEEKTEWGKEIGWLYGSTTEDILTGFNIHCKGWKSVYCMPERAAFKGSAPINLSDRLHQVLKWASGSTEIFFSGYCPLWYGYSGKLKWLQRLAYTNHVAYPFSSIPLLVYCIIPAICLLTGKFILPTLTSLASIWLMALFISIILTCVLELRWSKVNIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVAGLDNHFTVRTKSTDSTASGQLHLFKWTTLLIPPTSIVILNMVGIVAGVSEAINSGYNSWGLLFGKVFFSLWVIVHLYPFLKGLMGRQNRTPIIVVLWATLLALIFSMIWVRIDVFLPKKTGPVLSQCGIEC >CAK8571726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465855945:465859728:1 gene:gene-LATHSAT_LOCUS24362 transcript:rna-LATHSAT_LOCUS24362-3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALTTGSHSRNGYRFSVFDDSDEENGDCNLQQQRKHNERTFSLAGSASKDFEEQKPFNYNEEKEKGNQEKRSLMSNDIGEDEFLLAESRQPLCRKVAIASSLINPYRIVIVMRLVVLVFFFHFRITTPVHDAFALWIISVICEIWLSLSWVIDQFPKWFPMTRETYLERLSMRFDREGEPNLLAAVDVFVTTADPLKEPPLITANTVLSILSVDYPVDKVSCYVSDDSASMLLFDTLSQTSQFARIWIPFCKKYNIEPRAPESYFSQKVDYLKDKVLPTFVRDRRAMKREYEEFKVRINVLVAESQKKPEEGWVLQDGTPWPGNNTDNHPGMIQVCLGSAGAVDNEGKSLPRLVYVSREKCSGYQHHNKAGAMNSLLRVSAVLSNAPFVLNLDCDQYINNSKAIREAMCFLMDPLLGKKTAYVQFPRRFDGIDDNDRYANHNTVFFDINMKCLDGIQGPVYVGTGCVFNRQALYGYKPPTEKRQKTGFSCCCCCSDDSRSKLDFEEIDDDFEGNDEQEKSSFMSLKVFEKKFGESPVFIASALMEDGGLPKGTNTRILVKEAIHVISIGYEEKTEWGKEIGWLYGSTTEDILTGFNIHCKGWKSVYCMPERAAFKGSAPINLSDRLHQVLKWASGSTEIFFSGYCPLWYGYSGKLKWLQRLAYTNHVAYPFSSIPLLVYCIIPAICLLTGKFILPTLTSLASIWLMALFISIILTCVLELRWSKVNIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVAGLDNHFTVRTKSTDSTASGQLHLFKWTTLLIPPTSIVILNMVGIVAGVSEAINSGYNSWGLLFGKVFFSLWVIVHLYPFLKGLMGRQNRTPIIVVLWATLLALIFSMIWVRIDVFLPKKTGPVLSQCGIEC >CAK8571727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465855945:465859728:1 gene:gene-LATHSAT_LOCUS24362 transcript:rna-LATHSAT_LOCUS24362-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALTTGSHSRNGYRFSVFDDSDEENGDCNLQQQRKHNERTFSLAGSVASKDFEEQKPFNYNEEKEKGNQEKRSLMSNDIGEDEFLLAESRQPLCRKVAIASSLINPYRIVIVMRLVVLVFFFHFRITTPVHDAFALWIISVICEIWLSLSWVIDQFPKWFPMTRETYLERLSMRFDREGEPNLLAAVDVFVTTADPLKEPPLITANTVLSILSVDYPVDKVSCYVSDDSASMLLFDTLSQTSQFARIWIPFCKKYNIEPRAPESYFSQKVDYLKDKVLPTFVRDRRAMKREYEEFKVRINVLVAESQKKPEEGWVLQDGTPWPGNNTDNHPGMIQVCLGSAGAVDNEGKSLPRLVYVSREKCSGYQHHNKAGAMNSLLRVSAVLSNAPFVLNLDCDQYINNSKAIREAMCFLMDPLLGKKTAYVQFPRRFDGIDDNDRYANHNTVFFDINMKCLDGIQGPVYVGTGCVFNRQALYGYKPPTEKRQKTGFSCCCCCSDDSRSKLDFEEIDDDFEGNDEQEKSSFMSLKVFEKKFGESPVFIASALMEDGGLPKGTNTRILVKEAIHVISIGYEEKTEWGKEIGWLYGSTTEDILTGFNIHCKGWKSVYCMPERAAFKGSAPINLSDRLHQVLKWASGSTEIFFSGYCPLWYGYSGKLKWLQRLAYTNHVAYPFSSIPLLVYCIIPAICLLTGKFILPTLTSLASIWLMALFISIILTCVLELRWSKVNIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVAGLDNHFTVRTKSTDSTASGQLHLFKWTTLLIPPTSIVILNMVGIVAGVSEAINSGYNSWGLLFGKVFFSLWVIVHLYPFLKGLMGRQNRTPIIVVLWATLLALIFSMIWVRIDVFLPKKTGPVLSQCGIEC >CAK8540159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542637032:542637650:-1 gene:gene-LATHSAT_LOCUS9191 transcript:rna-LATHSAT_LOCUS9191 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDFLSDYFSVTPKKKHKPMQTVEIKVKMDCDGCERRVRSSVSHMSGVKEVDVNREQSKVTVTGYVDRNKVLKKVQSTGKRAKFWPYVEYNLVAYPYITQAYAKNAPSGFVKNTELALPNPNGADDKITSFFSDDNPNACSIM >CAK8536896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35128259:35131739:-1 gene:gene-LATHSAT_LOCUS6222 transcript:rna-LATHSAT_LOCUS6222 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHSLSGPPQLSGTASTHELRQRVITCLNKLSDRDTFAGATVELESIARTLSHDSFSSFLSCIHNTDSSSKSPVRKQCVNLLSLLSRFHGDALSPFLSKMIATVLRRLRDPDSVVRSACVDAVAEMSSRITRPSFSVAFLRPFMDALALEQDMNVQIGASLCLAAAIDAAPEPDVESLRRTTLPRLGKLLKTDSCKAKAPLLVLIGSVVSVGGASSRGAMNWLAPCLVEFLGNEDWTVRKASAETLGKVASVEKDLASQHRVLCLDSLQNKRFDKVKVVRETMNLALDMWKEVKDVSENAPTPVKSACVSVGTEDGGGLSATKSSPFVGSKLSQLKKMVPSKSSPPSTVSFKSSGKREIPIKSNDKHSRTGMLRQQNHEKFSDEKLQTPVSKSSHSNVTKEDDIKRCDFESSKSPTYQNATNLRADVKQVFLNKMSDEKVRKYGASNSRVVPCDDDDLDTDVTVNNVNEVCESPQDVEDLSLIREQLLQIENQQSNLLDLLQRFIGTSQTGMNSLETRVHGLEMALDEISYDLAVSSGRIPNTDAIEDMCCKLPGTDFLSSKFWKKTEGRYSTPRFSFGSSAPINNVHSATDRNGSKEILTTNSKRFQHRRDEGGYLVNPLPEIQSDLKGHSGQLSYKFSKNFVQDAGSVQSNSSSRFDGISSTREILRNQNIRSSA >CAK8540347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554022289:554024775:1 gene:gene-LATHSAT_LOCUS9359 transcript:rna-LATHSAT_LOCUS9359 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSSLWRSSIFLLMLIMLYSCSAIDVTYDSNALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWNRHEPVRGEYNFSENLDFIKFFKLIQEVGLYVIMRIGPYVCAEWNYGGFPSWLHNMPGIESRTDNPIYKKEMQIFTTKIVNMAKEANLFASQGGPIILAQIENEYGDIMWNYKDAGKVYVKWCAQMALSQNIGVPWIMCQQPDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWVGWFQKWGERVPHRSTEDSAYSVARFFQNGGVLNNYYMYHGGTNFGRTSGGPYITTSYDYDAPIDEYGNLNQPKWGHLKNLHAAIKLGENVLTSYSGRNDTDLGNGITLTTYTNSTGARFCFLSNDDNNKDANVDLHNDGKYFVPAWSVTVLNGCNKEIFNTAKVSSQTSIMVKMIDGDSSTKLSWKWIMEPKKDTMHGKGSFKAHQLLEQKELTLDASDYLWYMTSVDINDTSIWSNATLRVYTMGHTLHGYVNKRYIGYQFSQQGNKFTYEQKVSLKNGTNNITLLSATVGLANYGAWFDEVKTGISGGPVQLIGNNNVTMDLSTNLWSYKVGLNGERKHLYDLQPHISVSWNNNSSYIPIGKPMTWYKSEFKSPFGKNPVVVDLQGLGKGHAWVNGHSIGRYWPSRITDANGCSNTCDYRGKYVPEKCNTNCGSPSQRWYHVPRSFLNDDMNTLVLFEEIGGNPQSVQFQTVTTGTICANVHEGAQLELSCQNGQIISQIQFASFGNPEGQCGSFEKGSWEALNSQQVLEAACIGKNSCGFKVTKEMFGVTLSTMSVNNDISRLAVQVTC >CAK8533095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577748411:577748947:-1 gene:gene-LATHSAT_LOCUS2744 transcript:rna-LATHSAT_LOCUS2744 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFVILVVLFLFCFTSSYATKGVDVEAICQTSKNPSFCENLLKSKPGGVGGDLSSLAKYTIDVLRTDVSNTIDVITKLIEKSGSDPMKQNKYKNCLSLFEMEDGALSEVEESLEMLENSDYNGLNVHMTVVMTNADECLTGDSDDSWAQDTPELSKNVRIVDQVAQIILIISNMLRN >CAK8540560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10826655:10831136:-1 gene:gene-LATHSAT_LOCUS9556 transcript:rna-LATHSAT_LOCUS9556 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVKTLKGTHFEIQVNPKDTVLDVKKNIEVVQGVDVYPAVQQMLIHQGKVLKDESTLEENQVAENSFVVVMLSKSKVAAASSAPSNPAAQPASSVPPPSSTPQPPASTARQGDSNPVQAPAVTPPTTVVPNTYGQAESNLLAGSNLESTIQQILEMGGGSWDRDTVIRALRAAYNNPERAVEYLYSGIPEQAEAPAVAASTNVGQAENPLVQAPQPAVPSGGPNTNPLNLFPQGIPNLGANENAGNLDFLRNSQQFQALRTMVQANPQILQPMLQELGKQNPHLMELIQEHQADFLRLINEPGGEENLEGQLDAVAPQTITITPEEHEAIQRLEDMGFDRDLVLEVFFACNKNEDLAANYLLDHQNEFDD >CAK8541443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:171139306:171141192:-1 gene:gene-LATHSAT_LOCUS10363 transcript:rna-LATHSAT_LOCUS10363 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLGGSVERSSLKRLNLNVDPPEADDDDSPLLPPPPTEITRLINDENNQDKSLLFKDTDSNEGEVKKLNKPGKYRSKPSKTDCSIDCGVDADGDQHVQGPPSSREEKVSSMKTGLVHVARKMPKNAHAHFILGLMYQRLNQPQKAVLADEKAEEILLRPEIEIDRAEFLSLVQIHHAQCLIIESSSENSSDKELEPHELEEILSKLKESMQSDIRQAAVWNTLGFILLKTGRVQSAISVLSSLLAITPENYDCLGNLGIVYLQIGNLELSAKCFQELILKDQNHPAALVNYATLLLCKYASVVAGAGANASEGASEEQSTAANVAKECLLAAIKADAKSAHIWANLAYAFSISGDYRSSSKCLEKAAKLEPNCMSTRYDVASHRMKEAERSQDPTKLLSCAGNEMASIIRDGDPSLVELPIAWPGLAMVHKAQHEISAAYESEQDGLKEMEERAVSSLKQAITEDPDDVVQWHQLGLHNLCARQFKTSQKFLKAAVARDKGCNYAWSNLGVSLQLSEEQSQAEEVFKRALSLATTQEAHVILSNLGILYRHQKKYQLAKAMFTKSLELKPGYAPAFNNLGLVFVAEGMLEEAKYCFEKALQSDPMLDAAKSNSIKVDTMSKLLGYS >CAK8578587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640423651:640424638:1 gene:gene-LATHSAT_LOCUS30584 transcript:rna-LATHSAT_LOCUS30584 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQDVKLLNFLLSPVGRRVEWALKLKGVDYEYIEEDIFNKSNLLLELNPVHKKVPVLVHGQKSIAESLIILEYIDETWKQYPLLPLHPYEKSRARFWAKLSDEKLALGSWRAMVRKGEEGEKYLKEAREVMEKLEEEINGKKFFGGNNIGYLDLALGWITCWLPIWEEIGSMQVLDPLKCPSISSWKINFLNDPVIKDNLPPKDKMIVYCHRRIEEFSSTHHG >CAK8573421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611325439:611325663:-1 gene:gene-LATHSAT_LOCUS25868 transcript:rna-LATHSAT_LOCUS25868 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKQVSILLLSLFLVSLFKYSHAAGIAVYWGQDGGEGSLADACNTNNYQFVNIAFLSTFDGPNSTNKSCWSL >CAK8540593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11628972:11631431:1 gene:gene-LATHSAT_LOCUS9585 transcript:rna-LATHSAT_LOCUS9585 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEEEGDLGKDNDVTNVEFPVSISDPKGKNVVMNSETENNGIEDEGDVEVDIIGSSGFKGVENSCMDLAVDESSSFSSFGDACPGSALTDSDEAESSIRDERSKGLPRLRKRKMTDHWKKFIFPIKQRCKWLEIQLRKLNSQAKKYEEAIAAYDQQKQLRFLIPAGDDFNIKSLPKIDGIQRNEVMMRKKRKRVEECDLSSYMSNHCLFSYYENKNRDHDVPVEDSRNDVMSDVDNAEEFKLNETRSSVDHRKETDKSFLEFIEKIEELSSQVVNLKTRINTVINENPGKFSSITQSNTIGPSQHNSELCAEDQPMTDNPLLSCEGITPSIEAANTTQFEVPGESKELVEEQKPISPVQASDSVKPCSTSRSPFPKNTRTVRKRSGK >CAK8544831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707723735:707724892:-1 gene:gene-LATHSAT_LOCUS13479 transcript:rna-LATHSAT_LOCUS13479 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKYVVRGNKKVSSYIHYDLCLSILSNLSLKSLKRFECVCKSWIRLLENPNFKSLFRKSFLSNNHCYYDDSFVLIHQYMNNDQSMMYSFSGERFENRTKLDWPNPFQEVDPKFYISGANSIKGIFCLINYSQPDIRVLLWNPTTGEFKVVPTSPNEFVPNMDFDAAQYGFGYDSFSDDYKVIRCVLMSSEDLSLDPFWEIYSLKSNSWKQREFDIPINYDENGVSLEGVVYWYGENEENEDYDEAYIYSFDLNSEKFVTTPLPLTDDNFDFNFVCRGLVVLNGSIALISNYTNIYSFHVAILGEIGVRESWFKLFIVQYLPCINYPIGFGKNGDIFFITKDGKLVYYDLRTKMMKELSFLGDRFMGNIITYKKNLLPIRELNE >CAK8533768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:658175372:658175965:-1 gene:gene-LATHSAT_LOCUS3367 transcript:rna-LATHSAT_LOCUS3367 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIQACKFPRLGNVVNNLNNSSNKNLGSGRRKNVGGVTRSDFQRSWQERQQEEENSSRIVRVSRGSGGKDRHNKVMTSKGLRDRRVRLLVTMAIQFYDVQDRLGYDQPSKAVDWLIKAASDSISELPSLNNVLAQIEAKSDEKRQQEFEFDDERLKKNLCLSKSACSSTYETSKENESYSTKSKAYHYHGFGNYL >CAK8561295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:139852523:139860198:-1 gene:gene-LATHSAT_LOCUS14902 transcript:rna-LATHSAT_LOCUS14902 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPLSSTFNIPIIRLPFFHRTTIAPSRIFCFSKNHNLPKDQPDDTSATISVRLMKQFKSVVPILIASTIQSSFLFPLLDSVPYVSSPAAKAILYSPDTKVPRTGEVALRRAIPANSNMKAIQETLEDISYLLRIPQRKPYGTMEGNVKKVLKIAVDEKDSILASIPAELKEKASLLHATLIDGKGGLQVLLQSIKEQDADKVSVNLQSTLDIVAELETLQAPGLSFLLPGQYMQYPRLSGRGTVEFIVEKGDGSTFSPVGGEERKTATIQVVIDGYSAPLTAGNFAKLVMDGTYNGIKLNCSNQAILSENGLDKSSGYSVPLEIMPAGQFEPLYKTPLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRNAGLGGISFEEGQFSVFGYTTIGRDILPQIKTGDIIRSAKLIEGQDRLVLPKES >CAK8534826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:772565960:772568348:1 gene:gene-LATHSAT_LOCUS4336 transcript:rna-LATHSAT_LOCUS4336 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVSEYENIAKQKLPKMVFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVLTAEDARIAVQSGAAGIIVSNHGARQLDYVPATISALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVYSLAAEGEVGVRKVLQMLREEFEMTMTLSGCRSLKEITREHITADWDTPRTHPRALPRL >CAK8566744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:458135699:458136978:1 gene:gene-LATHSAT_LOCUS19855 transcript:rna-LATHSAT_LOCUS19855 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIEIIDLTNSRDSSPLPYISSSNVPMILNIGHVSTNPNLHNHTHHHQHQTNVWVSPPQGLRVSPQSEINNNTSQIANMPQLGIQSGSPVARVLQPLPEPNTFPLPNGSRLRVPWSQEEHDLFVMGLIEYGKGKWSKIAKHYVCSKTPQQVQYYARSFFKYLPASYVHGFRRKKLSSNSNNFASRRNKETLNLFPMQDSRGESSTSMTVPRVSAAGNGEVDVELRLSLYK >CAK8563779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630391704:630405565:-1 gene:gene-LATHSAT_LOCUS17155 transcript:rna-LATHSAT_LOCUS17155-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTEVVEDSQMDVDQCQEDKEKEEEDPFLNFVDLARLELLSLEDDSNKDDSDTSGYGWSWIVSLILKTCIAYSSGVTPAILLSELSQAWSEQRRVAVPKKRLELINHLKKNNRRTKLPNTVTIDSIYEKKFIALNSTLEAVIIDAYVLPGTNIHMLTLGDYWSSNIIDLYLHRSRFYDLAGLPSGILKKGREVFLTGCYLRSTTESSGHPRLLPTEYLVILLDENQDDDAMLLGAQFCSDSFSSISLEAVNKGASYSLYARIENIESVEIRGKFGTSQRKQITLVDGDGVTLNFFLWSEQVLLANLFRVGSMLALDKPYIASSVECCDIQTSEEFCLEYGSATQLYLVPYIQHEEQVCLTMTPNRHQVSKQIGSYNPTQGLRVSQVSLPCDSQGTIDFSNYPFRSFVTDLRDKMTGISLYGVVTEISKEDNTQKTVFSLRIKDTSGEIRAKLHFTNLWSLGRVSLGHTVFISGLTCTTLKRQKCLELSWFENGTRASFINLSCLPALINSSCLYKLFQLSDISNQTFYAQVCQVWLVPNQYYYVNTRFSHSLCGHFVDEKTMKCSFCHIISDAEAVRTFHLKITLADKSAKVLAWCTGQTAMDLLQISPEEFCDLPEEEQLMYPSSLENEKFMVALVNCKREGCVTYDLLPDNSVSWEITRAYKYE >CAK8563780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630391704:630405565:-1 gene:gene-LATHSAT_LOCUS17155 transcript:rna-LATHSAT_LOCUS17155 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTEVVEDSQMDVDQCQEDKEKEEEDPFLNFVDLARLELLSLEDDSNKDDSDTSGYGWSWIVSLILKTCIAYSSGVTPAILLSELSQAWSEQRRVAVPKKRLELINHLKKNNRRTKLPNTVTIDSIYEKKFIALNSTLEAVIIDAYVLPGTNIHMLTLGDYWSSNIIDLYLHRRFYDLAGLPSGILKKGREVFLTGCYLRSTTESSGHPRLLPTEYLVILLDENQDDDAMLLGAQFCSDSFSSISLEAVNKGASYSLYARIENIESVEIRGKFGTSQRKQITLVDGDGVTLNFFLWSEQVLLANLFRVGSMLALDKPYIASSVECCDIQTSEEFCLEYGSATQLYLVPYIQHEEQVCLTMTPNRHQVSKQIGSYNPTQGLRVSQVSLPCDSQGTIDFSNYPFRSFVTDLRDKMTGISLYGVVTEISKEDNTQKTVFSLRIKDTSGEIRAKLHFTNLWSLGRVSLGHTVFISGLTCTTLKRQKCLELSWFENGTRASFINLSCLPALINSSCLYKLFQLSDISNQTFYAQVCQVWLVPNQYYYVNTRFSHSLCGHFVDEKTMKCSFCHIISDAEAVRTFHLKITLADKSAKVLAWCTGQTAMDLLQISPEEFCDLPEEEQLMYPSSLENEKFMVALVNCKREGCVTYDLLPDNSVSWEITRAYKYE >CAK8542707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539224170:539228766:1 gene:gene-LATHSAT_LOCUS11521 transcript:rna-LATHSAT_LOCUS11521 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNYQRAPQDPPYPPPGYGSLYPPPQGYPVTQLPPGYPSAPPPPGSESYPPPPQPGYEGYPPLPPPGYSTTYPPPGYSTTYPPPHPQYQTYQGYFNNGYPPPNYNCHHVQHHCHDNNDVSCFSSFFQGCFAALCCCCFLEECCF >CAK8534246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709581238:709581702:-1 gene:gene-LATHSAT_LOCUS3806 transcript:rna-LATHSAT_LOCUS3806 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHRSVHSRSIELLLEKVIAFSRATGLFVNSSKCNVYYGGVEQTVKNNIYGITFFPNGNLPFRYLGVPLTSRKLSISHYMGLVDRIVKRIKHWSLKLLSYASRVQLIQSVATAMTSY >CAK8541400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:153014741:153025423:-1 gene:gene-LATHSAT_LOCUS10323 transcript:rna-LATHSAT_LOCUS10323-4 gene_biotype:protein_coding transcript_biotype:protein_coding MHECNSGSVYVVNAEVDSMGGVVDGGLGIGLKTSPRRAAIEKAQAELRQECNVREERRKELEFLEKGGNPLDFKLGNAASVSVQSTSLTDQHQEQFVTSEAKGSFVLTASPHGDSVDSSARPGAPSISEPNTADNLLLFDGENELAEGEKRSLHSYKRNNITPSEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPNHSSRGGSRDGKGMLSDTNKQKDHNAPSVSKPKPTSSNGEKLVKDPTTNDLLDNEFVGPRAHQKNNISASVAADKLDITLNRDFKEDQRIVPSQDDTVQNPHVLSSGKASADREMNPGALGELELSPRVAVGQPGDDSCPGQTNGFDNIEVDRKGASTEDQNCSVALGLKSFDQESCNAQTSLARDVNSDTNMCTDTENADANGISLEQSLFDKKLNSTGYEAVKERSKTNIAESAAAVDNEHATGYVNHSGSDSIIKNEEDIQMNSSCMQNKLKDSSNIRGLHNNDSTILKADKKESVVMFVRSNSTKDEGSERLKVSMDLSVSVNPQSTMAEQFTTAVSDCQSYSPHHLKLADKAHEDSILEEARIIEVKRKRIMELSAHTLPSPVLRKSHWDFVLEEMAWLANDFAQERLWKTTAAAQLCHQASFTSRLRFETQNKNLEMKMLSHTMAKAVMQFWHSVELLLDKDVPDHSALGGSVESKIVDSNEASRDKRKSSEMVLETNNYLKGQNPRKNVKLKVHSYALRYLIDSRSHGISSQAEAPTTPDKIFDSGTVDMSWEEHLTEESLFYRVPHTAMETYRKSIESHFLQCEKTGSSIQEEVETSIYDTGAEFGCEEVAYDEDEGETSTYYLPGTYESRRSLKSLQKKHKNRIKAYTQRAIEIGTDLPYAHYSTGAHPSILSGSRSANLNVGTVPTRRLRTASRQRVASPFAVVTGTVQALAKTDAASSGDTNSFQDDQYTLHVGSQLQKSMEVESVGDFEKQLPYDCGETSVKIKKKKPKNLGSGYDQGWQLDSVVLSEQRDQSKKRLDSHHFESNGNSGLYGQHNVKKQKMTKQSLEPFDNISPINNSIPSPAASQMSNMSNPNKFIRIINGRDRGRKGKPLKNSAGGQPGSGSSWTQFEDQALVVLVHDMGPNWEFVSDAVNSTLQFKCIFRKPKECKDRHKILMDKSAGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEETLKDHFDKIITIGQKQRYHRNQNDNQDLKQLVTVHNSHVIALSQVCPNNLNGGLLTPLDLCDTNVTSPDVLSLGYQGSHAGGLALPNHSSVPSVLPSTGLSSSNPPSSGMSLGNNLSSPSGPMAASVRDSRYGVPRGVPLSVDEQQRLQQYNQMISGRNMQQSSMSVPGSHAGSDRGVRMLSGANGMGMMGGISRSIAMARPGFQGMTSSSMLSSGGMLSSSMVGMPSPVNMHPGVSAGQGNSMLRPRDSVHMMRPGHNQGHPRQMMVPELPMQVTQGNSQGIPAFSGMSSAFNSQTTPPSAQQYPGHAQQQSHLSNPHPHLQGPNHSTNSQQAYAIRLAKERQLHQQRYIQQQQQQLAATNASIPHGQAQSSQAQSQSSSQQVSVSPATPSSPLTPMSSQHQQQKHHLPQPGFSRNPGSSVTSQAVKQRQRQAQQRQYQQPARQHPNQPQHAQPQQQAKLLKGMGRGNMLIHQNNSVDPSHINGLSVASGSQPVEKGDQITQMLQGQTLYPGSGLDPSQPPKPPGSAHPSNNCQLQQKLHSGSTSSSSKQHKPLASSSDSNIKVPVSSVISGHIATPTQPAAVAPNHHQLQVQSQTQSKQINQTQPNIQTKLQHNCQVHSESLSASQPDSLKIDQQPGNSAASQVSTSTSMSQGSMDSASVLAVAPTASSQWKTSEPPFGSPIHNPVIQVSSVEGTSVGNSAATESLTVNQGLGPQQSSANLPSHAHNSGSQWQHHQPLSLKQQSSLQPNVSQQSCQIPEQHQPQQHEQEQHFPKDVALQHQPQQVQNLQPELSSLLIRPPNSNVE >CAK8541399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:153014741:153025423:-1 gene:gene-LATHSAT_LOCUS10323 transcript:rna-LATHSAT_LOCUS10323-3 gene_biotype:protein_coding transcript_biotype:protein_coding MHECNSGSVYVVNAEVDSMGGVVDGGLGIGLKTSPRRAAIEKAQAELRQECNVREERRKELEFLEKGGNPLDFKLGNAASVSVQSTSLTDQHQEQFVTSEAKGSFVLTASPHGDSVDSSARPGAPSISEPNTADNLLLFDGENELAEGEKRSLHSYKRNNITPSEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPNHSSRGGSRDGKGMLSDTNKQKDHNAPSVSKPKPTSSNGEKLVKDPTTNDLLDNEFVGPRAHQKNNISASVAADKLDITLNRDFKEDQRIVPSQDDTVQNPHVLSSGKASADREMNPGALGELELSPRVAVGQPGDDSCPGQTNGFDNIEVDRKGASTEDQNCSVALGLKSFDQESCNAQTSLARDVNSDTNMCTDTENADANGISLEQSLFDKKLNSTGYEAVKERSKTNIAESAAAVDNEHATGYVNHSGSDSIIKNEEDIQMNSSCMQNKLKDSSNIRGLHNNDSTILKADKKESVVMFVRSNSTKDEGSERLKVSMDLSVSVNPQSTMAEQFTTAVSDCQSYSPHHLKLADKAHEDSILEEARIIEVKRKRIMELSAHTLPSPVLRKSHWDFVLEEMAWLANDFAQERLWKTTAAAQLCHQASFTSRLRFETQNKNLEMKMLSHTMAKAVMQFWHSVELLLDKDVPDHSALGGSVESKIVDSNEASRDKRKSSEMVLETNNYLKGQNPRKNVKLKVHSYALRYLIDSRSHGISSQAEAPTTPDKIFDSGTVDMSWEEHLTEESLFYRVPHTAMETYRKSIESHFLQCEKTGSSIQEEVETSIYDTGAEFGCEEVAYDEDEGETSTYYLPGTYESRRSLKSLQKKHKNRIKAYTQRAIEIGTDLPYAHYSTGAHPSILSGSRSANLNVGTVPTRRLRTASRQRVASPFAVVTGTVQALAKTDAASSGDTNSFQDDQYTLHVGSQLQKSMEVESVGDFEKQLPYDCGETSVKIKKKKPKNLGSGYDQGWQLDSVVLSEQRDQSKKRLDSHHFESNGNSGLYGQHNVKKQKMTKQSLEPFDNISPINNSIPSPAASQMSNMSNPNKFIRIINGRDRGRKGKPLKNSAGGQPGSGSSWTQFEDQALVVLVHDMGPNWEFVSDAVNSTLQFKCIFRKPKECKDRHKILMDKSAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKDHFDKIITIGQKQRYHRNQNDNQDLKQLVTVHNSHVIALSQVCPNNLNGGLLTPLDLCDTNVTSPDVLSLGYQGSHAGGLALPNHSSVPSVLPSTGLSSSNPPSSGMSLGNNLSSPSGPMAASVRDSRYGVPRGVPLSVDEQQRLQQYNQMISGRNMQQSSMSVPGSHAGSDRGVRMLSGANGMGMMGGISRSIAMARPGFQGMTSSSMLSSGGMLSSSMVGMPSPVNMHPGVSAGQGNSMLRPRDSVHMMRPGHNQGHPRQMMVPELPMQVTQGNSQGIPAFSGMSSAFNSQTTPPSAQQYPGHAQQQSHLSNPHPHLQGPNHSTNSQQAYAIRLAKERQLHQQRYIQQQQQQLAATNASIPHGQAQSSQAQSQSSSQQVSVSPATPSSPLTPMSSQHQQQKHHLPQPGFSRNPGSSVTSQAVKQRQRQAQQRQYQQPARQHPNQPQHAQPQQQAKLLKGMGRGNMLIHQNNSVDPSHINGLSVASGSQPVEKGDQITQMLQGQTLYPGSGLDPSQPPKPPGSAHPSNNCQLQQKLHSGSTSSSSKQHKPLASSSDSNIKVPVSSVISGHIATPTQPAAVAPNHHQLQVQSQTQSKQINQTQPNIQTKLQHNCQVHSESLSASQPDSLKIDQQPGNSAASQVSTSTSMSQGSMDSASVLAVAPTASSQWKTSEPPFGSPIHNPVIQVSSVEGTSVGNSAATESLTVNQGLGPQQSSANLPSHAHNSGSQWQHHQPLSLKQQSSLQPNVSQQSCQIPEQHQPQQHEQEQHFPKDVALQHQPQQVQNLQPELSSLLIRPPNSNVE >CAK8541401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:153014741:153025423:-1 gene:gene-LATHSAT_LOCUS10323 transcript:rna-LATHSAT_LOCUS10323-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHECNSGSVYVVNAEVDSMGGVVDGGLGIGLKTSPRRAAIEKAQAELRQECNVREERRKELEFLEKGGNPLDFKLGNAASVSVQSTSLTDQHQEQFVTSEAKGSFVLTASPHGDSVDSSARPGAPSISEPNTADNLLLFDGENELAEGEKRSLHSYKRNNITPSEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPNHSSRGGSRDGKGMLSDTNKQKDHNAPSVSKPKPTSSNGEKLVKDPTTNDLLDNEFVGPRAHQKNNISASVAADKLDITLNRDFKEDQRIVPSQDDTVQNPHVLSSGKASADREMNPGALGELELSPRVAVGQPGDDSCPGQTNGFDNIEVDRKGASTEDQNCSVALGLKSFDQESCNAQTSLARDVNSDTNMCTDTENADANGISLEQSLFDKKLNSTGYEAVKERSKTNIAESAAAVDNEHATGYVNHSGSDSIIKNEEDIQMNSSCMQNKLKDSSNIRGLHNNDSTILKADKKESVVMFVRSNSTKDEGSERLKVSMDLSVSVNPQSTMAEQFTTAVSDCQSYSPHHLKLADKAHEDSILEEARIIEVKRKRIMELSAHTLPSPVLRKSHWDFVLEEMAWLANDFAQERLWKTTAAAQLCHQASFTSRLRFETQNKNLEMKMLSHTMAKAVMQFWHSVELLLDKDVPDHSALGGSVESKIVDSNEASRDKRKSSEMETNNYLKGQNPRKNVKLKVHSYALRYLIDSRSHGISSQAEAPTTPDKIFDSGTVDMSWEEHLTEESLFYRVPHTAMETYRKSIESHFLQCEKTGSSIQEEVETSIYDTGAEFGCEEVAYDEDEGETSTYYLPGTYESRRSLKSLQKKHKNRIKAYTQRAIEIGTDLPYAHYSTGAHPSILSGSRSANLNVGTVPTRRLRTASRQRVASPFAVVTGTVQALAKTDAASSGDTNSFQDDQYTLHVGSQLQKSMEVESVGDFEKQLPYDCGETSVKIKKKKPKNLGSGYDQGWQLDSVVLSEQRDQSKKRLDSHHFESNGNSGLYGQHNVKKQKMTKQSLEPFDNISPINNSIPSPAASQMSNMSNPNKFIRIINGRDRGRKGKPLKNSAGGQPGSGSSWTQFEDQALVVLVHDMGPNWEFVSDAVNSTLQFKCIFRKPKECKDRHKILMDKSAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKDHFDKIITIGQKQRYHRNQNDNQDLKQLVTVHNSHVIALSQVCPNNLNGGLLTPLDLCDTNVTSPDVLSLGYQGSHAGGLALPNHSSVPSVLPSTGLSSSNPPSSGMSLGNNLSSPSGPMAASVRDSRYGVPRGVPLSVDEQQRLQQYNQMISGRNMQQSSMSVPGSHAGSDRGVRMLSGANGMGMMGGISRSIAMARPGFQGMTSSSMLSSGGMLSSSMVGMPSPVNMHPGVSAGQGNSMLRPRDSVHMMRPGHNQGHPRQMMVPELPMQVTQGNSQGIPAFSGMSSAFNSQTTPPSAQQYPGHAQQQSHLSNPHPHLQGPNHSTNSQQAYAIRLAKERQLHQQRYIQQQQQQLAATNASIPHGQAQSSQAQSQSSSQQVSVSPATPSSPLTPMSSQHQQQKHHLPQPGFSRNPGSSVTSQAVKQRQRQAQQRQYQQPARQHPNQPQHAQPQQQAKLLKGMGRGNMLIHQNNSVDPSHINGLSVASGSQPVEKGDQITQMLQGQTLYPGSGLDPSQPPKPPGSAHPSNNCQLQQKLHSGSTSSSSKQHKPLASSSDSNIKVPVSSVISGHIATPTQPAAVAPNHHQLQVQSQTQSKQINQTQPNIQTKLQHNCQVHSESLSASQPDSLKIDQQPGNSAASQVSTSTSMSQGSMDSASVLAVAPTASSQWKTSEPPFGSPIHNPVIQVSSVEGTSVGNSAATESLTVNQGLGPQQSSANLPSHAHNSGSQWQHHQPLSLKQQSSLQPNVSQQSCQIPEQHQPQQHEQEQHFPKDVALQHQPQQVQNLQPELSSLLIRPPNSNVE >CAK8541402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:153014741:153025423:-1 gene:gene-LATHSAT_LOCUS10323 transcript:rna-LATHSAT_LOCUS10323 gene_biotype:protein_coding transcript_biotype:protein_coding MHECNSGSVYVVNAEVDSMGGVVDGGLGIGLKTSPRRAAIEKAQAELRQECNVREERRKELEFLEKGGNPLDFKLGNAASVSVQSTSLTDQHQEQFVTSEAKGSFVLTASPHGDSVDSSARPGAPSISEPNTADNLLLFDGENELAEGEKRSLHSYKRNNITPSEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPNHSSRGGSRDGKGMLSDTNKQKDHNAPSVSKPKPTSSNGEKLVKDPTTNDLLDNEFVGPRAHQKNNISASVAADKLDITLNRDFKEDQRIVPSQDDTVQNPHVLSSGKASADREMNPGALGELELSPRVAVGQPGDDSCPGQTNGFDNIEVDRKGASTEDQNCSVALGLKSFDQESCNAQTSLARDVNSDTNMCTDTENADANGISLEQSLFDKKLNSTGYEAVKERSKTNIAESAAAVDNEHATGYVNHSGSDSIIKNEEDIQMNSSCMQNKLKDSSNIRGLHNNDSTILKADKKESVVMFVRSNSTKDEGSERLKVSMDLSVSVNPQSTMAEQFTTAVSDCQSYSPHHLKLADKAHEDSILEEARIIEVKRKRIMELSAHTLPSPVLRKSHWDFVLEEMAWLANDFAQERLWKTTAAAQLCHQASFTSRLRFETQNKNLEMKMLSHTMAKAVMQFWHSVELLLDKDVPDHSALGGSVESKIVDSNEASRDKRKSSEMETNNYLKGQNPRKNVKLKVHSYALRYLIDSRSHGISSQAEAPTTPDKIFDSGTVDMSWEEHLTEESLFYRVPHTAMETYRKSIESHFLQCEKTGSSIQEEVETSIYDTGAEFGCEEVAYDEDEGETSTYYLPGTYESRRSLKSLQKKHKNRIKAYTQRAIEIGTDLPYAHYSTGAHPSILSGSRSANLNVGTVPTRRLRTASRQRVASPFAVVTGTVQALAKTDAASSGDTNSFQDDQYTLHVGSQLQKSMEVESVGDFEKQLPYDCGETSVKIKKKKPKNLGSGYDQGWQLDSVVLSEQRDQSKKRLDSHHFESNGNSGLYGQHNVKKQKMTKQSLEPFDNISPINNSIPSPAASQMSNMSNPNKFIRIINGRDRGRKGKPLKNSAGGQPGSGSSWTQFEDQALVVLVHDMGPNWEFVSDAVNSTLQFKCIFRKPKECKDRHKILMDKSAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKDHFDKIITIGQKQRYHRNQNDNQDLKQLVTVHNSHVIALSQVCPNNLNGGLLTPLDLCDTNVTSPDVLSLGYQGSHAGGLALPNHSSVPSVLPSTGLSSSNPPSSGMSLGNNLSSPSGPMAASVRDSRYGVPRGVPLSVDEQQRLQQYNQMISGRNMQQSSMSVPGSHAGSDRGVRMLSGANGMGMMGGISRSIAMARPGFQGMTSSSMLSSGGMLSSSMVGMPSPVNMHPGVSAGQGNSMLRPRDSVHMMRVTQGNSQGIPAFSGMSSAFNSQTTPPSAQQYPGHAQQQSHLSNPHPHLQGPNHSTNSQQAYAIRLAKERQLHQQRYIQQQQQQLAATNASIPHGQAQSSQAQSQSSSQQVSVSPATPSSPLTPMSSQHQQQKHHLPQPGFSRNPGSSVTSQAVKQRQRQAQQRQYQQPARQHPNQPQHAQPQQQAKLLKGMGRGNMLIHQNNSVDPSHINGLSVASGSQPVEKGDQITQMLQGQTLYPGSGLDPSQPPKPPGSAHPSNNCQLQQKLHSGSTSSSSKQHKPLASSSDSNIKVPVSSVISGHIATPTQPAAVAPNHHQLQVQSQTQSKQINQTQPNIQTKLQHNCQVHSESLSASQPDSLKIDQQPGNSAASQVSTSTSMSQGSMDSASVLAVAPTASSQWKTSEPPFGSPIHNPVIQVSSVEGTSVGNSAATESLTVNQGLGPQQSSANLPSHAHNSGSQWQHHQPLSLKQQSSLQPNVSQQSCQIPEQHQPQQHEQEQHFPKDVALQHQPQQVQNLQPELSSLLIRPPNSNVE >CAK8540405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558315268:558315642:1 gene:gene-LATHSAT_LOCUS9410 transcript:rna-LATHSAT_LOCUS9410 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTSTSFFFTRFPKSHGAKEIYDIFKIYRDIDEMVIPSRRDKNGKRCGFVRFFQVSDETSLVMKLDNIFVNDVKLFVNTPRFQRYNQASKNDEDSGGNDHGNKYNHNIHPRILMEDPKHLLRW >CAK8565047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31721065:31728371:1 gene:gene-LATHSAT_LOCUS18288 transcript:rna-LATHSAT_LOCUS18288 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPLQTSNAANMPSKTIEEMYQKKTQLEHILLRPDTYVGSIEKHTQNLWVYENDEMVHRPVSYVPGLYKIFDEILVNAADNKQRDPSMDSLKVTIDPEANTVSVYNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFTIETADGRRLKKYKQVFSNNMGNKGEPVITKCKATENWTKVTFKPDLEKFKMAYLEEDVVALMKKRVLDMAGCFGKTVKVELNGTVIRFKSFRDYADLFLKCAEKSKPTPLPRIHAKVGDRWEICVSLSDGQFQQVSFVNSIATIKGGTHVDYITNQITAYIMNKVNKKKKDANVKAHTVKNHLWVFVNSLIDNPAFDSQTKETLTTRQASFGSKCDVPESMLKDVEKSGIVDTLLSWADFKQSKDLKKTDGTKTQRLRGIVKLEDANEAGGRNSEKCTLILTEGDSAKALAMAGLSVVGRDHYGVFPLRGKLLNVREASSKQIMDNEEIQNIKKILGLQQNKEYTNVKSLRYGHLMIMADQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFTTPIIRASHPNKTITSFYSMPEYEAWKERLGNSATSWKIKYYKGLGTSTPQEGREYFGDLDKHQKDFIWDDELDGSAIELAFSKKKAEDRKIWMRNFEPGTCRDHEAKLINYKDFVNKELILFSRADLQRSIPSMVDGLKPGQRKILFGSFKKKLYKEIKVAQFIGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLKPNGQFGTRNLGGKDHASARYIYTELSPVTRCLFHENDDKLLEYLNEDGKSIEPNWYMPIIPLVLVNGSEGIGTGWSSYIPNYNPREIIANVRRLLNGEALVPMDPWYKGFRGTIEKSAKEGGYIVNGAVTEIDEQTFRITELPIRKWTQDYKQFLESITDGAPNVKDPLIEDFRQNGDDAIVDIEIKMKPEKIATILQEGLFKKFKLTSTISTSNMHLFDAEGKIKKFDTPEQILEEFFPLRLNYYEKRKEYILGNLNRLLLILDNKVRFILGVVNGEIIVSNRKKAELLIELKQKGFTPMPRKGKSTKPQVAGANDDDSEEQEDAEPETASQSGSVEGATWGDYEYLLSLPIGTLTLESVQKLLDEKTEKEQEYEILSGTPTTSLWLKDLDEFEKKLDELDSKEAEEDRKRASQGSKKANGFASKPSKKPPQPRKNTKKAKNAEPENDNSSMEIENPVEAGKPVEVAKPKGRAAPKKTIQKEAEDDIQSLQERLAAYNFESSGEKSQAMESEEVPQKAAGKKQNNKRGGAKKKSSTKALESDSDNEVDEGDDDDDDFEEVQQKAAPVKKGGRKPAAQNAKKAPAKAPAKAPAAPKKRNVGAKQSAGQKLLTDMLQPAEGTGTSPEKKVRKMRESPFNKKSGSILGRAAAKDISPIAKDISPIADLSAGSASNSPLSEDEVVEIAPQPARARPQRANRAQMKYVLSESESEEDSDEDAELSDFEEDDD >CAK8538355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478798769:478801553:-1 gene:gene-LATHSAT_LOCUS7567 transcript:rna-LATHSAT_LOCUS7567 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFNTMFLAFFLLLVSLANAKIQEHEFVVQETPVKRLCNTHMSITVNGQFPGPTLEVNNGDTLVVKVVNKARYNVTIHWHGVRQIRTGWADGPEFVTQCPIRPGESYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKEGDDYPFAKPKRETPILLGEWWDANPIDVVRQATQTGAAPNISDAYTINGQPGDLYKCSSQGTTIVPIDSGETNLIRVINAALNQPLFFTIANHKLTVVGADASYVKPFTTNVLMLGPGQTTDVLINGDQPPSRYYIAARAYQSAQNAPFDNTTTTSILEYKSSPCPAKGGANIKPIMPSLPNYNDTNTVTSFSKSFRSLRKVEVPYEVDEDLFFTIGLGLNKCPSNFNSNQCQGPNGTRFTSSMNNVSFVLPSKFSILQAHKLGVQGIFTTDFPAKPPVKFDYTGNVSRSLWQPIQGTKVTKLRFGSRVQIVLQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDPKKDASKFNLVDPPMRNTVAVPVNGWAVIRFVADNPGVWIMHCHLDVHIGWGLATVFLVEDGEGLLESIEAPPEDLPLC >CAK8567823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547525727:547527354:-1 gene:gene-LATHSAT_LOCUS20844 transcript:rna-LATHSAT_LOCUS20844 gene_biotype:protein_coding transcript_biotype:protein_coding MARTELSIKALCLILFVAYGNLKCVHGAESIPGLGINWGALASHPMDPHIVANMLKDNGIQKVKLFDADSWVVSAFSGTGIEVMVGIPNDQLSKFARSSSDAEDWVKKNVTKHLYNGGVNIRYVSVGNEPFLKSFNGSYVQTTFPAMQNIQKAIDKAGLRDKIKVTTALNADVYESNSNKPSDGDFRDNIRDVMKQILQFLHDTNSPFLVNIYPFLSLYQSGSFPKDFAFFDTQSRTVSDNNAQYTNVFDANLDTLVWALRKAGYHDMKIVVGEIGWPTDGNQNANPDNAKRFYQGFLKKLANKEGTPIRPGPMEVYLFSLFDENLKSIEPGNFERHWGIFRYDGKAKFPIDFSGNGQEKWPQEAKGVRYQERKWCVLSAEVKNLSLVGSALDYACANADCTSLGYGCSCDNLNLAGNASFAFNQYFQTRDQGVDACIFNGLASIVTEDPSKGTCLFPIEIVSSGDMVTAMRIVASLLIGLSMFFITS >CAK8572971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573903326:573905864:1 gene:gene-LATHSAT_LOCUS25469 transcript:rna-LATHSAT_LOCUS25469 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEIWPSVEKESRKSLPPFDNSSGYNSKTGIYHSLEKLGTKHEIPTEQNLNIASLVLSQFPRAELADAKIAFIDLNTSHSITYGELRRSVYSLATALFHGLEVRKGDVVFLLSPNSVLYSTICLAVLSIGAILTTANPLNTKSEIAKQVHDSGAKLAISIPEELHKLVPTGVPTLLTSSSSDEKFLSVEELIEGCYDSQELPHVRVEQSDTAAILYSSGTTGVSKGVVLTHSNLITITKLFCWSADVSASQDDVFLAFIPMFHIYGLMFFGFGLLCVGVTTVLMQKYNFQAMLIAIEKYKVNNIPAVPPVIHALVKHASKDGCDLSSLRRAGSGAAPLSKEMSQEFRKMFPWVELRTGYGLTESCGGATFFASDKDAKAHPEACGKLIPTFCAKVMDTETGKPLPPNKEGELWLKSGTIMKEYLGNVEATAATVDSEGWLRTGDLGYIDQNGVVCIVERIKELIKHNGYQVAPAELESVLVSHPLIVDAAVIPVEDEETGQIPMAYVVRRASSQLSEDQVIQFVAGQVAPYKKVRRVSFIDNIPRSAAGKILRKDLVSQSKCQLVSKL >CAK8575384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:104591737:104592453:-1 gene:gene-LATHSAT_LOCUS27653 transcript:rna-LATHSAT_LOCUS27653 gene_biotype:protein_coding transcript_biotype:protein_coding MNENSILQTKLKDLESTLDDAILSGHEKHSHADIEQKFTFIENLASAEAKSDPTQRMHHFTQKLESLKKSFNERDSTFTTYTNPEFDKDSISNSNSSCSCTEFCLKDEELDESNLIVIDGPDKLFPDFVGEKGVVGYRENGVEEIGEKIDVGSGKIAKKFSHDAEEFFEDFDKEKECDCGLKDEEKKEFEKVKSGFGKNCCVLVSGVFIGMSLMGFIMVSLSGCFDEYVEQTSFAIPT >CAK8565774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:331064915:331065127:-1 gene:gene-LATHSAT_LOCUS18958 transcript:rna-LATHSAT_LOCUS18958 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKINQFFIVMVVMAMMMTASSVLAVEAPTSSVSAVEAPASGPTSDATTLFVPTIIASFVALVFGFVF >CAK8574087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661512372:661512701:1 gene:gene-LATHSAT_LOCUS26464 transcript:rna-LATHSAT_LOCUS26464 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDKIKDNEKVRLDMEIWCNRKELELKCQPNGKLLKPKANYSLTSQEAKAVCRWLKELRMHDSYASNLVRCANVNTGKLHGMKSHDCDVFYGTIASNCVRFTTQSRT >CAK8535522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:857977136:857984820:1 gene:gene-LATHSAT_LOCUS4977 transcript:rna-LATHSAT_LOCUS4977 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAARSKLFPLSRTFASPVARPSLNRSPAFSSSAAANAARSTVNRWSHGVLWRSPFSLRPQIRAVAPFIEQFHRKIATSAGENPFKGNLTSLPKPGGGEFGKFYSLPSLNDPRIDRLPYSIRILLESAIRNCDNFQVTKADVEKIIDWESTYSKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNRERFAFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGNGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKLFVDYNEPQQDRAYSSYLELNLDEVEPCISGPKRPHDRVALKEMKADWHSCLDNKVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHDLGLKVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGFGCTTCIGNSGDLDESVSSAITENDIVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPLGTGKDGKNVFLRDIWPSTEEIAQTVQSSVLPDMFRSTYESITKGNPMWNELQVPAEKLYSWDTNSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGNINKDSPAAKYLIERGVEKKDFNSYGSRRGNDEVMSRGTFANIRLVNKLLKGEVGPKTVHIPTGEKLYVFDAAERYKAAGHATIVLAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISEIKPGQDVTVTTDNGKSFTCTARFDTEVELEYFNHGGILPYVIRNLTKQ >CAK8578617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641760342:641760659:-1 gene:gene-LATHSAT_LOCUS30613 transcript:rna-LATHSAT_LOCUS30613 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKDKNLRKKVVNVGYALNQPSFMYYREEIRLSSAEALRWVDSIPVEKWTRAFDGGCSWGHMTTNLVESLNGVFKGTRNLPITALVRETYYRLGSLFAARGKK >CAK8567785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544598456:544600072:-1 gene:gene-LATHSAT_LOCUS20808 transcript:rna-LATHSAT_LOCUS20808 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQAARPAGPGAPPART >CAK8539283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508309731:508314510:1 gene:gene-LATHSAT_LOCUS8393 transcript:rna-LATHSAT_LOCUS8393 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTAADGEALDFEPEDDDLMDEEGAPDAEASPPHPKLKSAITASSNLSVPKKTKGRGFRQDSDSAANRNSRLTGSGFDTLTAEGGPGPQRSIEGWIILVTGVHEEAQDDDLLNAFGEYGEIKNLNLNLDRRTGFVKGYALIEYERSEEARNAIENLNGSELLTQTIYVDWAFSSGPINESVKRKNARPPQRRSRSPPRRRY >CAK8531707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141982797:141983948:-1 gene:gene-LATHSAT_LOCUS1479 transcript:rna-LATHSAT_LOCUS1479 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSIFTPSIITLKLNTWPNRSLTHLNCLTSPQPSPSSPHSDSTSFQVSYLINNFDFSLQFASKLCSIHRLCFKATQKPDSVIHFFTNYGFSNSQLRDMIAKAPWLLSCNLSKTVLPKFQFFLSKGASNSDIAYLVSKNPRILSSSLEKHIVPTYELLYRFLQSDMDVIASAIQNPDILSRHLVPCNITMLIENGVSDSNIVRILRTRSRTLDAHHMVSLLEELKDLGFNPSKTTFTIALMAKASVPKTRWKEKVDAFNKWGWSDEDVIEAFKKQPYCMLTSIEKINLVMNFWVNQLGWNALALVKQPAVFCLSLEKRIIPRASIVQFLLNNGLRNNNASLTYPFILSEKMFVDTCIKRYEKESSYLLKLYQEKLKPACQD >CAK8572839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567017745:567017954:-1 gene:gene-LATHSAT_LOCUS25357 transcript:rna-LATHSAT_LOCUS25357 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELELKPWPNGKLLKPKTCYNLTSQDAKAVC >CAK8571895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490795620:490796283:1 gene:gene-LATHSAT_LOCUS24518 transcript:rna-LATHSAT_LOCUS24518 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGFVLCLAMVLVAANMMTQNAAQSGCTTTLTSLSPCLNYIMGSSSTPSTSCCSQLSTVVQSSPQCLCSLFNGGGSSFGITINQTLALSLPVACKVQTPPVSQCKGQTSPSSSTSPVASPVGSPTDSSTESPEGSITPSASDFPSGGGSKSVPSTEGGSSDGSTIKVSFNFVLSLVTIVFCVVTKF >CAK8575748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:302312549:302313374:1 gene:gene-LATHSAT_LOCUS27984 transcript:rna-LATHSAT_LOCUS27984 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDTKGFTEEQEALVVKSWHAMKKNSAELALKFFLKIFEIAPSAQKLFSFLKDSNVPLEQNTKLKPHAMSVFLMTCESAVQLRKAGKVTVRDSSLKKLGATHFKNSVVDEHFEVTKFALLETIKDAVPEMWSPDMKNAWGEAYDQLVDAIKSEMKPSS >CAK8530782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49766826:49767749:1 gene:gene-LATHSAT_LOCUS624 transcript:rna-LATHSAT_LOCUS624 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFEMINDITDHKDLWKLAVKIYHKWKVITATNEHFEMVVVNKQVTYGLQIRKIFNIWYPQPFLVTSIFQFSTIPDREVYVHSYPPTITGDITLTDITFCVSVATTDKLIASSNGWHYRACHQCSQVAKGDKPSFFLQGWTPN >CAK8562691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:526816417:526819598:1 gene:gene-LATHSAT_LOCUS16166 transcript:rna-LATHSAT_LOCUS16166 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSKRWLPLEANPDVMNQFLSGLGLPEDQAGCYDVYGLDEELLEMVPQPVLAVLFLYPLTAKSEEERLQQNKEKTEYNKKVYFMNQTVGNACGTIGLLHALGNITSEIKFVEESFFDKFFKSTANLDPMQRALFLENDSEMEVAHSVAATAGDTEASDNVDTHFICFACVDGELYELDGRKSAPISHGPSSPSTLLKDAAKAIQSIIQKNPDSINFNVIAISKKE >CAK8544834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707906782:707932338:-1 gene:gene-LATHSAT_LOCUS13482 transcript:rna-LATHSAT_LOCUS13482 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLQSLVSLSELVTTSSTNQRLRIFQREVPAFLNSSISDDVAPELASLLTDIIFRTVAIYDDRGSRKAVDDVIVKALSGTVFMKTFAAALVQSMEKQMKSQSHVGCFRLISWSCLLLSKSKFSTVSKNALCRVATGQASLLNIVWRRSFRERTACKKKIFHLFSKSPDIYKVYVQEVKNGLIPYKDSPELLLLLLEFSTRSPPLFGEFKPTFLDIYVNAILSAKEKPGKSLPEAFHPLYLQISHEDFGIIVLPAAVKMLKRNPEIVLESVGILLKSVNLDLSKYAAEILSVVLVQARHADEGRRGVALAIVRSLSQKSSNPDAVDTMFNAIKSVIKGSEGRLAFPYQRVGMVNAIQELSNAPDGKYLIILSQTICDFLLSCYKDDGNEEVKMATLSAIASWAVKSSNIIRESLVSFFASGLKEKEILRRGFLRSLHVICKNDDAVLKISSLLGPLVQLVKTGFTKAVQRLDGIYALLLVGKIAAVDIKAEEILVKEKVWATISQNEPSLVPISTASKLTVEDSIACVDLLEVLLLEHLQRTLSSFSVRSLLQLIIFFICHPRWDIRRIAYNVTKRIITSVPQLSEDLFSEFSKYLYLIEEKLSALRTSDTDISLDPQVPFVPSVEVLVKALLIMSASAMKVAPDSFVRIILCAHHPCVVGSAKRDAVWKRVSKCLQAHGFDVIDIVSANVVNFVQVLLGPMGLRSANSLEQEAAISSLSNLMTIIPGDTYTEFEKHLLNLPERFSHDTLSENDIQIFQTPEGMLSAEQGIYVAESVAFKNTKQAKGRFRMYDDEDGLDHAQSNHSMKRDQPSREAAGAGKKDTGKATKKADKGKTAKEEARELLLKEEASIRDRVREIQKNLSLMLRTLGNMAIANSIFAHSRLPSMVKFVEPLLRSPIVSDEAFETLVMLSRCTAPPLCDWAFDISTALRLVVTDEVHHLLDLAPSVVEEEVNKRPPLGLFERIIDGLSTSCKSGALPVDSFSFVFPIMERILLCSKKTKFHEAVLRLIYLHMDAHLPLPRVRMLSVLYHVLGVVPAFQASIGPALNELSLGFQPDEVASALYGVYAKDVRVRMACLNAVKCIPAVSNRSLTQNTEVATSIWIALHDPEKSVAEVAEDIWDHYGFDFGTDFSGIYKALSHVNYNVRLAAAEALAAALDEHPDFIQETLSTLFSLYIRDMGTGDGNVDAGWLGRQGVALALHSAADVLRTKDLPVVMTFLISRALADLNADVRDRMINSGILIIDKNGKDNVSLLFPIFENYLNKTAPDEEQYDLVREGVVIFTGALAKHLAKDDPKVHAVVDKLLDVLNTPSESVQRAVSACLSPLMQSKQDEGAALVTRLLDQMMKSEKYGERRGAAFGLAGVVKGFGISCLKKFKIAIILQECLAERNSAKSREGALLGFECLCETLGKLFEPYVIQMLPLLLVSFSDQVAAVRDAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDSHPKVQSAGQMALQQVGSVIKNPEISALVPTLLKGLSDPNEHTKYSLDILLQTTFVNSIDAPSLALLVPIVHRGLRVRSADTKKRASQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIGGMGEDNFPDLVPWLFETLKSDNSNVERSGAAQGLSEVLAALGIAYFEHVLPDIIRNCSHQKASVRDGYLTLFKYLPRSLGFQFQNYLPQVLPSILDGLADENESVREAALGAGHVLVEHYATSSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEILGRDKRNEVLAALYMVRADVSLSVRQAALHVWKTIVANTPKTLREIMPVLMDTLIASLASASSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLSDPDSSRRQGVCVGLSEVMASAGKSQLMIFMTDLIPTIRTALCDSEPAVRESAGQAFSTLYKSAGLQAIDEIVPTLLHALEDDRTSDTALDGLKQILSVRTSAVLPHILPKLVHPPLSAFNAHALGALAEVAGPGLDSHLGTVLPPLLSAMSNVDQEVQTSAKKAAETIVLVIDEEGVDPLISELVKGVSDSQAAVRRSSSYLIGYFFKSSKLYLVDEAPNMISTLIVLLSDPDSSTVTAALEALSRVIVSVPKEVLPSYIKLVRDAVSSSRDKERRKKKGGPILIPGFCLPKALQPILPIFLQGLINGSAELREQAALGLGELIEVAGEKSLKEVVIPITGPLIRIIGDRFPWQVKSAILSTLIIMIRRGGISLKPFLPQLQTTFVKCLQDNTRTIRSSAALALGMLSGLNARVDPLVSDLLSGLQGSDGGVREAILSALKGVLKHAGKNVSSAVISRIYSVLKDLIHHEDDRVRMYAASVLGILTQYLEAVQITELIQELSTLANSPNWPPRHGSILTISSLLYHNPASIFSSSLFPTIVDCLRDTLKDEKFPLRESSTKALGRLLLYKAQVDPSDTVLYKDVLSLLVTSTRDESSEVRRRALSAIKAVAKANPSAIMSYGTVIGPALAECLKDANTPVRLAAERCALHAFQLTKGSENVQAVQKYITGLDARRLSKFPEYSDDSGESDGDMSTS >CAK8561641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:334861129:334862136:1 gene:gene-LATHSAT_LOCUS15214 transcript:rna-LATHSAT_LOCUS15214 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLIIFIIVILAIVFFISGVLQLFIRFLIRKRSSSRTSQTNNNSYPQISEPDPYQRQLQQLFNLHDSGLDQAFIDALPVFLYKEIIGLKEVFDCAVCLCQFTEQDMLRLLPLCNHAFHIDCIDTWLLSNSTCPLCRGSLYEHGFAFENPVYDEFESLGEENMVSGNVVGEGNSVNKHAENHIVSGKRVFSVRLGKFRSSNNGERGVERSEGECSSESVVGDVRRCFSMGSYQYVVADSDLVVALCPKRGEGRGNSASMRQLKGGLAQNGNSSIDVDVVEGKKINVARKGESFSISKIWLWSRKDKVSSSSQSHLVNPNVTSTLPWMNRALKEAT >CAK8533457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620296756:620297163:-1 gene:gene-LATHSAT_LOCUS3080 transcript:rna-LATHSAT_LOCUS3080 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGRGRSKTTVPPSLEILIGLKTPEHESPVNVQTPEIEPRTTAEEDKDMTNTVAKENKKTLTEPTQPQPEERKPWVDVINDNRNPAKGMAIEYVVPKIVNGVIEIDIIEQEDIETEIQFWDNALIFYVVGAT >CAK8572841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567034590:567036963:-1 gene:gene-LATHSAT_LOCUS25359 transcript:rna-LATHSAT_LOCUS25359 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVAYSFPEEVLEHVFSFIESDTDRGSISLVCKSWYEIERWCRRRIFVGNCYAVSPAMVIKRFPKVRSIKLKGKPHFADFNLIPEGWGAFVCPWIKAMAAAYPCLQEIRLKRMVITDDSLDLIAKSFKNFTVLVLTSCEGFTTDGLAAIAANCRNLRELDLRESEVEDISGHWLSHFPDSYTSLVSLNISCLANEVNFPALERLVSRCTNLQTLCLNRAAPLDKLANLLRGAPQIAELGTGAYTSEMRPEVLSNLAAAFSGCMQMKGLSGFWDVLPSYLPAVFTVCSRLTSLNLSYATIQGPDLIKLVGECESLQRLWVLDYIEDVGLDMLAATCKDLRELRVFPSNPFGVRANTALTEQGLVSVSEGCPKLHSVLYFCRQMTNAALTAIARNRPNLTRFRLCIIEPRTPDYLTRQPLDVGFGAIVEQCKSLRRLSLSGLLTDRVFEYIGTYGKKLEMLSVAFAGESDLGLHHVLSGCDNLRKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCHVSYGACKLLGLKFPRLNVEIMEDRGTPDSWPDNSLVEKLYIYRTISGPRLDMPGYVWRMEDDSA >CAK8530151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3133946:3135877:-1 gene:gene-LATHSAT_LOCUS41 transcript:rna-LATHSAT_LOCUS41 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKTIEKESRGDYESMLKKRVSTRALKTMGHVHPSKDDAKDILVMQKVGQDILPTKENFIIAHLQYSCMHCCEVITCGKRWFCTECKKFQECERCHSSNEHTLKNGEVHPLYQVIVDDIPSSTKHNDTILENDLFKDRDIFLSFCQKYQLQFNTLRHAKYSSMMIVHHISNPSHMTFGKCCSICCAHNVFQKCWKCEICPDCIICSACYKDRGVNCHAHKLTQNEHKSTQNEHKLAVLKQKKFFKYVSQLRNRRSSGKMMLKYEMTLKHLYQCPRTNAKPCPNTSCRQLMRLFNHVKMCKTHIEGGCGHCRKIWSILVSHSMDCKSSECKIPKCSELKKLLEFRGMRSK >CAK8574769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8807119:8809478:1 gene:gene-LATHSAT_LOCUS27079 transcript:rna-LATHSAT_LOCUS27079 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAIDQEQETNKSKLFYFKGMFENTGRHTKSLSIESASTLDPTSEDDPVSSRSQGSKPLQHDPLPNKSRTIKEEIVAKEAKDKLLQEIEQMKERFAKLLLGEDMSGGGKGVSSALALSNALTNLAAAVFGEQKRLEPMATERKARWRKEIDWLLSVTDYVVEMVPTQQKGKDGSSMEIMTTRQRTDLHMNIPALRKLDTMLIDCLDNFKDQNEFYYVSKDADDPDRAKGKNEDKWWLPTPKVPIDGLSDGARRFLQYQKDCVNQVLKAAMAINAQTLSEMEIPESYIESLPKNGRASLGDLIYRSITDEFFDPDQFLATVDMSSEHKILDLKNRIEASIVIWKRKMNQKDTKSAWGSAVSIEKRELFEERAETILLLLKHRFPGLPQSSLDISKIQFNRDVGQAVLESYSRILESLAFTVLSRIEDVLHADCQTVSPSSGRRNSVRNPVPKPDKCPTLREEVERSSNAEPLCSMTLSDFMGWSGEQGESDMNKKDPLAVSDDLDKDVDSGKLNKLPTIVTDKKVSYVENIGGIRSPTSRH >CAK8569328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694598533:694622875:-1 gene:gene-LATHSAT_LOCUS22190 transcript:rna-LATHSAT_LOCUS22190 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEGSSTAPSSSSTTTARTTRSASTKRTRLSSISNATSVAVNTRSRSSTNNSGSASSMEPHPVNESSSGSRRDRRGRNNSDRDRGSSDKGKEKEHDVRVRDRDRRLVGLNILSGGGGGAGDDDDESDGDGDGEDGIMHENLNSASSALQGLLRKLGIGFDDLIPMSGSGSSSYQDGRFKKILSALRADGEEGPQVEALTQLCEVLSIASEDSLGSFSVDSFVPVLVGLLNHESNPDVMLLAARALTHLCDVLPSSCTAVLHYGAVPIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASICLTRIAEAFASSPDKLDKLCNHGLVAQAASVISTSSSGGGQASLGMSTYTGLIRLLSTCASGSSLGAKTLFLLGISGILKDILSGSGVSSNASASPALNRPADQIFEIVNLANELLPPLPQGTMSLPVMPNVVLKGPDAKRSPASSSGTQEDPTEIVHEISAREKILNDQPELLLQFEMDLLPVLMQIYGSSVNGSVRNKCLSVIVKLMYFSTAEMIQSLLSVTNISSFLAGVLAWKDPHILVHALQIAEILMEKLPETFSKMFVREGVVHAIDQLIVAGESINITTTQASSREKDNDSISGTSFHRKHYHLRSGNLNPDESLLDDLKSPVPVNVSVPPCSVETPTVNSSIHSSVSSAAKTFKDRYFPSVPGTVEVGVSDDILRLKNLCIKLNTGVDDQRTKAKGKVKASGFGQDKKSANLEDYLIGVISDMLKELGKGDGVSTFEFIGSGVVEALLNYFSCGYYSKHRISKTNMLKLRQLALMRFKSFIAVALPTNTDNRVAAPMTILVQKLQNALSSLEHFSVLSSGQSASSQPYKLRLCRAQGEKSLKDYSSTIVLIDPLASLAAIEEFLWPRVQRGESAQKSTVPVVNLASGTTPTRAGASSPSTRTPSIAHRHSTRSRTSVSIGDTSRKELSRNKSMSSSKVKGKAVLNPAQEEARGPQTRNAARRRADLNKDAFMKPTDGDSTSEDEELDISPVEIEDDDISDDDISEDDEDDHEDVLRDDSLPVCSPDKVHDVKLGDPAEESTLAAVTNDSLTYVALGSSSKAGTARESDSADFKSGHSSSSRGATSFAAAAMAGLGYANTRGFRGGRDRHGRLLFGSSNEPPKLIFTAGGKQLNRNLTVYQAVQRQLVIDEGEDDRFARSDLVSSDGSGPWGETCTITYQRAENQTNRDPTGGSSSNTSKAAKLGSSSNFSSDAKLHQTSVLDSILQGELPCDLEKSNPTYNILALLRVLEGLNQLAPRLRAQMVNDRFAEGKIFDFDELGVTAGARVSQEEFVSSKITPKLARQIQDALILCSGSLPSWCNQLTKACPFLFPFETRRQYFYSTAFGLSRAFNRLQQQQGAEGLGSTTERTMRLGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTILSHKLQKVRLQMWRSYSSEKHQMEVDGDEKKMNSCEGYGPNVPGDGELVQAPTGLFPRPWPTNADASEDSQFTKVVEYFRMLGRVMAKALQDGRLLDLPLSVAFYKLILGQDLDLHDIVFIDAELGKTLQELNAIVQRKQHIKSVCANSTDANTDFVFRGTQIEDLCLDFTLPGYPEYILKPGDDIVDINNLEEYVSLVVDATVNYGITRQMEAFRAGFNQVFDISSLQIFNPQELDNLLCGRRELWEAETLTDHIKFDHGYTAKSPAIVNFLTIVGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSTAVNTSSNGNVHSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAISEGQGSFDLS >CAK8539555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516120018:516123227:1 gene:gene-LATHSAT_LOCUS8638 transcript:rna-LATHSAT_LOCUS8638 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLQGWKDFPKGLRVLLLEGDNNSASEIKTKLESMDYNVSIFYDENEALSAISTSPKGFHVAVVEVSTSCPDGGFKFLENAKDLPTIMTSNTHCLNTMMKCIALGAVEFLTKPLSEDKLKNIWQHVVHKAFNADKNAPSEPLKPVKESVESMSIDLDKVSKFSDNEQEHSAASDKYPAPSTPQLKQVARLVDDGDCHEQTNCSVEKESGEHDGSECKFVETSCENLNTENSQSTKPLIKEEEDFANGSKSESGVVSTNPQSKKALENADGNASPNETCVPKDSCENRVNRKKMKVDWTPDLHKKFVKAVEQLGIDQAIPSRILELMKVDSLTRHNVASHLQKYRMHKRQILHTDEDRKWPNQRDPMQRNYCMQRPIMAYPPYHSNHIYPPASVYPTWGGQPGSHTAGVPIWAPPGYPLWQQPTENWHWKPYPPGVHVDAWGNPMLPPSQACYFPYTQNITGLQNAKVMDYRFGMPRNSFEHYPAEEVVDKVVKEAINKPWLPLPIGLKPPSMDSVMAELTKQGIPFSKGKSKGSNSKPC >CAK8576386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469060271:469060759:1 gene:gene-LATHSAT_LOCUS28576 transcript:rna-LATHSAT_LOCUS28576 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >CAK8576387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469060328:469060759:1 gene:gene-LATHSAT_LOCUS28576 transcript:rna-LATHSAT_LOCUS28576-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >CAK8543807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640728732:640729509:-1 gene:gene-LATHSAT_LOCUS12539 transcript:rna-LATHSAT_LOCUS12539 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIIKKRVKRFIRPQSDRRICVKESWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDVVVTNKLARLRSQEDE >CAK8569749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9696971:9699868:1 gene:gene-LATHSAT_LOCUS22564 transcript:rna-LATHSAT_LOCUS22564 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSETDQPNQLTDLVINPSSNPTPGAVLRDGKEILFQAFNWESHKYNWWETLETKIADIAKAGITSAWLPPPTHSFAPEGYTPQDLYSLNSKYGSENQLKALLHKMKQHKVRAMADIVINHRVGTARGRGGIYNRFDGISLPWDERAVTSSTGGLGKQNTGAIFHGFPNIDHTQDFVRKDIIGWLQFLRHKVGFQDFRFDFAKGYSPKYVKEYIEGAKPVFSVGEYWDTCNYNGSTLDYNQDSHRQRIVNWIDGTGQLSTAFDFTTKGILQEAVKREYWRLCDAQGKPPGVIGWWPSRSATFIENHDTGSTQAHWPFPSDHVMEGYAYILTHPGIPTIFYDHFYDWNHSVHEQIVKLIDARKRQGIHSRSPVRILEAKHNVYAAIIGEKLCMKIGDGSWSPSGKEWTLSTSGHNYAVWHK >CAK8566869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468552328:468553140:1 gene:gene-LATHSAT_LOCUS19970 transcript:rna-LATHSAT_LOCUS19970 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSAGGITRHLLGGLCCYLRRCIFSVLSIGPLPSHISFNMDGNRRYAKRRNLVEGDGHKAGFSALMSILRYCYELGIKYVSVYAFSIGNFKRKPEEVQTFMELMRDKIEELLQQESIINEYGVRLHFSGNMQLLTEPIRVAAEKAMRVTAHNQERVLLIYVAYTSWDEIMHDVQESCKDKWNEVQASKEDKVSKGEFTRIIQGPKSNGIDFHFRDSCKENETKACRSLHEGVEGAEEKDYLFEQNLEKRSDSYSEDEITPCNEERNYAT >CAK8540482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8598071:8598166:1 gene:gene-LATHSAT_LOCUS9484 transcript:rna-LATHSAT_LOCUS9484 gene_biotype:protein_coding transcript_biotype:protein_coding MLVM >CAK8577874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595241929:595242183:-1 gene:gene-LATHSAT_LOCUS29942 transcript:rna-LATHSAT_LOCUS29942 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSFGRRQFTKNEVGSWSILIMRHRYLLSVLALLTIICTVYLYFAIAFGSRDSCSGLSGPKKASCLMKLVKSELRSTDTRHF >CAK8531287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97163034:97163635:1 gene:gene-LATHSAT_LOCUS1090 transcript:rna-LATHSAT_LOCUS1090 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSMAWKKLVMSDLFKGISCKIGYSCPNFWGFLLIKGLQPCKSWKVCSQVVSKSRPSLTKGIDSKFSNFDMIINIDGKKIRKAFNSIPGRKFCMNDLMKGNLT >CAK8573850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642216573:642217460:1 gene:gene-LATHSAT_LOCUS26251 transcript:rna-LATHSAT_LOCUS26251 gene_biotype:protein_coding transcript_biotype:protein_coding MALELQAMNSSPTQAPIPFPIFKNQPEKNQKDLEQQDSFVKKKRSKRPRIGNPPTEEEYLALCLIMLAQGNTNDTDNKTRSHHQTESSSSQSQSQQTSPPPPPPPLKLNHRCTVCDKAFPSYQALGGHKASHRKSSLENQSTAVNDIVTVSTTTTTTGKMHECSICHKSFPTGQALGGHKRCHYEGGIHNNTNNNKQSNNHNISNSNANANNSSNITISDVTAASSSISHRGFDLNLPAPLTEFWSPVDFTTGDSKKTKLNVNLAGNGEQEVESPLPVTAKRPRLFSEEDNDETA >CAK8566562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436277438:436281733:-1 gene:gene-LATHSAT_LOCUS19684 transcript:rna-LATHSAT_LOCUS19684 gene_biotype:protein_coding transcript_biotype:protein_coding MENADPCRDFVKDVKRIIIKVGTAVVTRQDGRLAVGKLGALCEQIKELNILGYEVILVSSGAVGLGRQRLRYRKLIHSSFADLQKPQVELDGKACAAVGQSSLMATYDDLFSQLDVTSAQLLVTDNDFRDGDFRKQLSETVKSLLSLKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLSALLALELKADLLILLSDVDGLYNGPPSDPQSKLIHTYVKEKHQNEITFGDKSRVGRGGMTAKVTASVHAADAGIPVIITSGNTAENLTKILQGQRIGTLFHKDAAKWVPLKEVDVREMAVAARDCSRRLQAASSEERKQILLNIANALESHQKEIRIENEADVAAAQEAGYEKSLVARLALKNEKIAGLANNIRIIAKMEDPIGRVLKRTELAEGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDTVGSKLIGLVTSRAEIPELLKLDDVIDLVIPRGSNKLVSDIKSSTKIPVLGHADGICHVYVDKSANLEMAKQIVLDAKTDYPAGCNAMETLLVHKDLVEKGWINDIIVDLRTEGVTIYGGPKASSLLNIPLARSLHHEYCSLACTIEIVDDVYAATAHINLYGSAHTDSIVTEDHEVADVFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTSRIHARGPVGVDGLLTTRWILKGSGQVVDGDKAVSYTHKDITP >CAK8540093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539545638:539556153:1 gene:gene-LATHSAT_LOCUS9130 transcript:rna-LATHSAT_LOCUS9130 gene_biotype:protein_coding transcript_biotype:protein_coding MMERADSVQKLYTRMRLWEFTDQYVIEPTDGSSGSSLAVSRLDGSMKLIDEVPECTTLRVPKIFTIYGVVGIVRLLAGSYLMVITERECAGSYLGHPIFKILSMKVFPCDHSLKSTPAEQKKAEMEFSSLLNVAEKTSGLFFSYETNLTLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPIVQGSFHYFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQLMQFNGYTASFVQVRGSIPLLWQQIVDLTYKPKFELLKLEDAPRVLERHILDLRKKYGAVLAVDLVNKHGGEGRLCEKFGSTMQHVASDDVRYVHFDFHHVCGHVHFERLSLLYDQISDFLERNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRNMLEYQLRRLGVFGAEETISSHPNLDERFKILWANHGDDVSIQYSGTPALKGDFVRFGHRTVQGIVNDCWNALQRYYLNNFCDGTKQDAIDLLQGHYIVSVGRDTAASSQKGGIEAIASFPLAFALVLTGFLFATMSLRQVRYDFRHFFFSLMWAGISVGLAAFVRANGRVFCNRPRLNNPQR >CAK8536032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901308987:901311069:1 gene:gene-LATHSAT_LOCUS5434 transcript:rna-LATHSAT_LOCUS5434 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHPSSLPPHLQPTQPYQHHFSLGTLVNDNMLETPFQHHDWNLMNTHSSSSNEVPKVADFLGVNSNKSSTENESDLAAFNEINDHSSDNYFFMPLQSNSALELEPTSSKSYDQYQENGTSNLQSLTLSMGRGTKDSTCETSGDNNNTIVEAAAPKRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDNTCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEVEEMKNMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDVAAIKFRGLSAVTNFDMNRYDVKAILESNTLPIGGGAAKRLKEAQALECSRKREQEMIALQYGLGSSSSSRLELQGYPLMQFEQQTQQQQQQQPLLTLQNQELYTSSFRDQSYLQTQLQLQQQQQSGFYSYNGDYSIQNHPGLMENDGGGGYIGNNNNVMGGTADYDMSSGGYGGWSAGDSMQASNGGGGVFTMWNGLSN >CAK8575154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:41341034:41344007:1 gene:gene-LATHSAT_LOCUS27440 transcript:rna-LATHSAT_LOCUS27440 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQFIIFSLFLVLFGPFQVISLYQPFNEDMLGLIVFKSSLEDPKNKLSSWNEDDYSPCNWEGVKCDPSTNRVSSLVLDGFSLSGHIGKSLMKLQFLQILSLSRNNFTGKINHDLLVTLWNLKIVDLSENSLSGTIPDELFRQCWSLRVLSFAKNNLTGKIPESLSSCYSLASLNFSSNHLNGELHSGMWFLKELQSLDLSNNLLKGEIPEGVQNLYDLRELRLGRNFFTGRIPESIGNCLLLKVIDFGDNLLTDEIPESIQRLTSCTLLNLQVNFLNGSIPHWIGELNNLETLDLSSNRFSGSIPSGFGGLRSLQVLNLSTNNIFGSIPVSIKELKSLYVLDLSDNKLNGSIPLEIEGAISLLELKLQRNLLGGRIPVQIAKCSALKSLNLAHNNLIGSIPTSIANLTNLQYADLSWNKLSGTLPKKLTNLTHLSSFNVSYNHLQGELPIGGFFNTITPSFVHGNPLLCGSVVNHSCDRSYHPKPIVLNPNSNYNNSRTSVQNHHHKIMLSISVFIAIGAAVSIVVGVVAVTILNIHVRSSVSHSGSPFGLSGGEDNSFSPEKGPKCRKFVMLNGEIVEFSDEVNNLLKEGNEIGRGGFGVVYCVVLRDQNFVAIKKFIGSSLTKSQEDFEREVQKLGKIKHQNLVALEGYYWNSSFQLLIYEYFSRGSLHKLLHDDDQSKFVFSWRARFKVILGIAKGLAYLHQMNIIHYNLKSTNVFIDTNDEPKIGDFGLVNLLPNLDHCVLSSKIQSALGYTAPEFACCTVNITEKCDVYGFGILVLEIVSGKRPVEYMEDDVVVLCDMVRSSLEDGKVEQCIDEKLVGYFSPEEAIPMIKLGLVCASQVPSSRPDMDDVVNILETIQCSSEGQQEEIQ >CAK8535638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871383122:871384003:-1 gene:gene-LATHSAT_LOCUS5080 transcript:rna-LATHSAT_LOCUS5080 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVWNKLKRLQPILKPLNKKVTEIQVQLTQAMKELIQAQHDLQQNLFNHQNIDKVKKCTDHIVHLNQIKEGILMQKSKINWLKLGDGVNTTNFKGINIPAIRSGKMLTMDLAQMLIRPIEENEIWKALSSIGDTKAQSINGLNVLFFKSFWQVIKNDVIEAIMEFFETGDMHMAINSSLVKLIPKSPETKNIKDMRLISCYNIIYKIISKILTARLAKVTGTVVDDNQSAFVLGRTIHDSIMMV >CAK8574917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15205168:15205697:-1 gene:gene-LATHSAT_LOCUS27216 transcript:rna-LATHSAT_LOCUS27216 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPILIKDLEKGKQVLKMLIRIVDLWIVKEKSGLQHFELVIQDSQGDQIHVTTRNREFKDWSEQLTEHDTYCLYNGEPMTNDGTSKVFPNKLKLVFNGGTTILKLPIPEIPKHHFKFKPIVDFLTVNFITDLLYGEMYSYYTYGVYCYFSY >CAK8563782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630908502:630912116:-1 gene:gene-LATHSAT_LOCUS17157 transcript:rna-LATHSAT_LOCUS17157 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPSPPSSSPNFTLFTQSKNPNYLFKFNLKTPILPFHSSSSHLIAPCCTLKDSTSVLDSNASPSVQNSPKDTNFDGTLVVRRPEFSGQNSDEEEEKEKKIDEDGPQASSLDASLAEFAKKMPIFEPGRVESDSKEKLLTVNLDLALYKAKVLGRNFRYEEAESTLRKCLCYWPEDGRLYVALGKILSKQSKTDQAREIYEKGCQATQGENAFIWQCWAVLENKMGNVGRARELFDAATVADKKHVAAWHGWAVLELKQGNITKARNLLSKGLQYCGPNEYIYQTLALLEAKANRYQQARYLFNQATKCNPNSCASWLAWAQMEVEQENYRTATKLFENAVQASPKNRFAWHVWGLFEANLGKIDKGKKLLKIGHALNPRDVVLLQSLALIEYKHSSANYARVLFRRASELDPKHQPVWFAWGWMEWKEGNLNTARRLYQKVLSIDPNSESAARCLQAWGVLEQRVNNHSSARRLFRSSLNINSQSYVTWMTWASLEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDILDPAIDTLKRLLKMDPNSFNVSPYSMKNITATKKNMADYSSEDDNNNEGDESEFDLDRFIMERLSLDVSKLEVQLETTKISSPNKILSPRRIWRADKSVSSK >CAK8577777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588061677:588061847:1 gene:gene-LATHSAT_LOCUS29851 transcript:rna-LATHSAT_LOCUS29851 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARSNNGGRRSMICQRRPIPKRGQVKVGIVVGLAISVASIFSRRRIAPRSCSSQ >CAK8573788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636739709:636751921:1 gene:gene-LATHSAT_LOCUS26193 transcript:rna-LATHSAT_LOCUS26193 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFLCYLLVTTISHVKAGDPVSCNNNQGNYTDNSPYDNNLKTLISTFSSHKEINYGFYNFSYGQDPDKAYAIGLCRGDLEPKNCLTSINNSFSYLKQQCPNQKEAIVWGGDFTLWYSNRSIFGVVETSPTIYLIYERNVSDVDAYNEALSNLMTNLTKKAASGDSRRKYDADNVYESANFATIYGYVLCVPDLSSQQCIDCLEGAVSEIPVCCKGKMGGNILKPSCRIRFDPYHFYNSTIPLDLNATPPSPSLQPPSESSSPSTNITSSGHSKIRIVIISIALPVVTVVLILIFICIYLKLRKQKQMFQANNKKHDDGYEDEITIVESLQFNFDIIRVATNDFSDSNKLGRGGFGIVYKGILPDGQMIAVKRLLEESNQGELEFKNEVLLVARLQHRNLVRLLGFCLEGSERLLIYEFVTNKSLDYFIFDPIRKAQLNWEKRYEIINGIARGLLYLHEDSRLRIIHRDIKASNILLDNEINSKISDFGLARLFVVDQSQGNTKKIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEIISGHKISANIHHGNEIEYLLSFAWKSWTEGKSTNIIDPSLKNYSPNEIVRCIHIGLLCIQEDAADRPTMAAVALMLNSHSLSLALPLKPAYFYGSAIGTGTKSLHDKQLLAENQEAMTSNESINQASNTDPYPR >CAK8536955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:45165871:45166425:1 gene:gene-LATHSAT_LOCUS6278 transcript:rna-LATHSAT_LOCUS6278 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNKLHDLPLSSGDVSNTDIAAALDSLGLSIRARLCLRAAGELEKQKERNEEKIKKEIEEKAVESMRDLEEYKATCEINKGKGYYDAFRVQKKEKDFQANVKRLVLAGVSDQWVYFFIHETILPCMLFFIIMLLVHGHM >CAK8539968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532748757:532751095:1 gene:gene-LATHSAT_LOCUS9014 transcript:rna-LATHSAT_LOCUS9014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVLQGQSVQVFNEGIGLVLSRWSALRTAVENEWGGRDSHLKAQQFAADLLSWFTQSKEPLYIDDLETLLDEGMLSFNLEIQDGSVEEVAEELMIMHEECLDGDFSSVERLREASRNPAPHSQAQQVVNGDEDEDDDSDEDIIGDDNSTNMDMDIQNSEYNLSSTDKTANVPQPEVAGEAADDGWVVVSKKKTKGRKN >CAK8564841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12147091:12150899:-1 gene:gene-LATHSAT_LOCUS18099 transcript:rna-LATHSAT_LOCUS18099 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGSQPLLKKHHYHENCPGCKVDEAKELKQDVTFRNVFTIWIVVLCSTLPIASLFPYLYFMVNDFHIAKTEEDISSYAGYVGSAYMAGRALTSILWGMVADRYGRKPVVIIGIVSVVIFNTLFGLCTSFWMAVVIRFTLGALNGLLGPMKAYSSEIFREEYQALGQSTVAAAWGVGLAFGPALGGYLAQPVQKYPNIFPKDSFWDKFPYFLPCFIVSAMAFVVGISCIWLPETLHNHEVSTEKVEALENGTNEASKNKMIPKDESLLRNWPLMSSIIVYCVFAIHDIAFIEIFSLWSVSPRRLGGLNFGTNDVGNVLALSGIAIIIFQLGIYQPIQKFCRPIVLARIAGMISIPILQSMPFMTMLSGFTLDMSIYSAVILKNLFIEIISTGLYILQNKAVDQHQRGVANGICITAMSACKIIGPAAGGAILTWSQKRMHASFLPGPHLVFTGLNVVEGLALLLTFKPFLIERKTSSEELY >CAK8571306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:368695427:368695690:-1 gene:gene-LATHSAT_LOCUS23980 transcript:rna-LATHSAT_LOCUS23980 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDATPTKYNLPTRFYDAKRLMSKLGLKVRKIDYCISGCMLFYDNEFGTNDGALEECKFCKSTRYKVCIKAIGGKQKRVAVKSIFQ >CAK8560026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5131259:5133845:1 gene:gene-LATHSAT_LOCUS13744 transcript:rna-LATHSAT_LOCUS13744 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRATSTFLSRASATRRFSNDVATPAPNSSFVEAWRKVSPNIDPPKTPLEFLKTRPPVPSTIPTKLTVNFVLPYSSQLAAKEVDSVIIPATTGEMGVLPGHVATIAELKPGVLTVQDGTDTTKYFVSSGFAFIHANSVADIIAVEAVPVNQLDADLVQKGLQEFTQKLNSATTDLEKAEAQIGVDVHSALNSALTG >CAK8560204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10346823:10347383:1 gene:gene-LATHSAT_LOCUS13898 transcript:rna-LATHSAT_LOCUS13898-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWYSIPYNTIRLTIYILTASVPFLQAYGTKKAYVRLTPDYDALTRMAAMFLLKKKQLTRSAMKEKGESCEVTMTMIEQQGAAVMMIMMH >CAK8560203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10346755:10346947:1 gene:gene-LATHSAT_LOCUS13898 transcript:rna-LATHSAT_LOCUS13898 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGLWHEESLCSVDSRL >CAK8575912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:358692236:358693300:-1 gene:gene-LATHSAT_LOCUS28137 transcript:rna-LATHSAT_LOCUS28137 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSEQQHQQMSNQSLESMLTCSKGEPDKKAKPQPEALKCPRCDSGNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSVSRRIQDQAFVNNPNPVTCFPSLSYDSNDLTLALARLQKGQLGFDHEHDFSILGNHANSNININTNNHGMNHSSNNHGFFEAIMGSQNNVQNLYYGYGNRDMGEVDNGNVSGEMMLPYDHEMSIATTQAVTVTTMKQEMCNVREQNENRVLLGFPWQFNNGDSNMAEIDHSGRAGWNGLTSPWHGLLNSPLM >CAK8569709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8808358:8809694:-1 gene:gene-LATHSAT_LOCUS22529 transcript:rna-LATHSAT_LOCUS22529 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSGFWLESEIETAEILAHLYHTFSLFSHVPYSWGCRKKRSPIRNTPPSNGGGAATVVPPPPSNGGGAATVVPPPPPPPSNAVKVKASSPTTPLSFPTTESDDKIKHSERTTSLKRKKEHYLNIIEELTKTKDSISQEIAKVKLQCEELKLSNSKLKAKQIKLKINGPKGEYKNPNLEINNAMKVNDIIKNSVNTSNSATQNEEQRIHHANKFRVDPTTSLGVASSSSSLGRNSDNKAPLSIPDLNISFEAIKDLSKVREAQARQRRIHILRLKRNNAKQHQSS >CAK8568511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612692317:612693066:-1 gene:gene-LATHSAT_LOCUS21461 transcript:rna-LATHSAT_LOCUS21461 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEITTVQVKGKTTASSTSSNGKNDDNKKMYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEKAARAFDAALYCLRGRHASFNFPDTPFHLDITSVSNDPQQIREIAANFANKTPPIVIDGNNNNNSNNNGNDNTDQSKTTTEIIGSSSSTTTTMGGNGGNTIDWTFLDVLGGSSNDANIVGSENDGGFYSDLEKMNSGELFGLPQQLPLFEDNIQNEQVTKVEGEDDVYDDPFSHQSFLWNWDF >CAK8541016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44052540:44054677:-1 gene:gene-LATHSAT_LOCUS9969 transcript:rna-LATHSAT_LOCUS9969 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRVSDASVQSDMKLWPFKVIAGPGEKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVEEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLSADDKKKIEDAIDASITWLDNNQLAEADEFEDKMKELESLCNPIIAKMYQGGAAPDMGGDDDEVPPAAGGAGPKIEEVD >CAK8568440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606380395:606381099:-1 gene:gene-LATHSAT_LOCUS21396 transcript:rna-LATHSAT_LOCUS21396 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPPLENIIVIHPFLHFSFFLASFVASIAIITAICSVRFLRKSQPDPPQAQPLDLSKDSNETSPSPASPQHAEEHEPEHKNSTPVEQKQETENNEFLMKELPLPPAMLQPKESLSGINMKKVASERKTSFSLSLKMPRNLSLAKNWDQIKEDINKVKPKTEESVWMKTIILGEKCNPDDEDDPVIFEGKGKKIAAYHPKSYSTISRQCSFLDPDALCVSQSQTQEDVINSDK >CAK8573122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:586879261:586879578:-1 gene:gene-LATHSAT_LOCUS25606 transcript:rna-LATHSAT_LOCUS25606 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIVLFKLMLLLLLLLNPPSNTTKGCNYGPFCVDAARPLEQNVNPKYINLKPEKGNGGGRVFQGRNVEDCLPKGFRRSSAPSRYINYQPLGISCSSSKTVVNGP >CAK8564280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:665446099:665449599:1 gene:gene-LATHSAT_LOCUS17602 transcript:rna-LATHSAT_LOCUS17602 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGTTAAKDESMKLAIAISLLRSKFIKNSKQCNAISPSQSETLLRWKRKAKERKQEILRLREDLKESQDASHCDLFPENASCKCYFFDNLGELSPKDHGNDSFNRFNDLLRRRFLRQVRFKERRRRIGSSSSSSQQRLCLGLAEDDDTEQLKASVDFLVELCETVLPVDDSKFANMAHQAVDFILVSLKTLLSMGRNLELVERIINSLVTRLTMKMCSYSSDNGSQHSGTDAQFCIQHLVRKLGSEPYIGQRAILSVCQRILVLAERLLFTDPFDDTFANMHECMFIMIQLIEFLVGDYLLEWSKAEDFDTVLLEDWMTSIIQARKALELLESRNGLYALYMDRVTGELAKHIGRVSLLQKVKPDMFNRLFH >CAK8531080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78696030:78696362:1 gene:gene-LATHSAT_LOCUS895 transcript:rna-LATHSAT_LOCUS895 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRKHYSYSKMDKEDPEEILHRRAQFLIYKVLEQANARRKQSCLRIKISKLKVKIGKRLKRLRKRIMSSVVATRVSIHGHVVSHLKTWKRLFGREKQTLLTIPSLMIK >CAK8531831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:160207073:160208878:-1 gene:gene-LATHSAT_LOCUS1596 transcript:rna-LATHSAT_LOCUS1596 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFHYQFPNSPPSFYTSSDEFNTQAQTTFDRFGDTVDSSMKWAVAESIVYGIVVITAVIAIVHAIIECLKKAGTAIPAYTQISTTEKDNQIFSNSSVPSTSRIEVIIPENSKAEFPTMERFLSNINREKPIRFTPEKLDEITENYSTILGSGAFGVVFKGKLSNGENVAVKVLNCLDMGMEEQFKAEVSTIGRTYHINLVKLYGFCFHHDTKALVYECVENGSLDKYLFGSTNRDVEFRKLHEIAIGTAKGIAYLHEECQHRIIHYDIKPENVLLDMKLVPKIADFGLAKLRNRESNNTVIINTHFRGTRGYAAPELWKAYPVTYKCDVYSFGILLFEIVGRRRHFDSSYSESQQWFPKWTWEMFENNELVVMLALCGIEEKDNEIAERMLKVALWCVQYSPNDRPLMSTVVKMLEGEIEISSPPFPFHNLVPAKENLTSEGSIADSDTTASSWNTESIRECFGAKHNTFEIEKAT >CAK8575391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106566798:106567568:-1 gene:gene-LATHSAT_LOCUS27660 transcript:rna-LATHSAT_LOCUS27660 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHNDFVFDFQAKSSTDLKRKMKIKVENEKSLKDNPTNQGSNPININVGNIQKLDHRKKLKVEVPKKMVEPRKVFVPNSNIRSQSEVEISNKVSQPSNVHVLNSNKRQMEVEATKEITEPRKVLALNNNTKKKLKSTVDHQVKGSIESQVSKNTSDQTKKILQSLNNTMNKSQQSFEKKKSRLKCLTMSLTECLDKNKEKNGVEEFDYEDTEEN >CAK8567337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506405634:506408641:1 gene:gene-LATHSAT_LOCUS20401 transcript:rna-LATHSAT_LOCUS20401 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSKYPILDDKPINQWKVTELREELKKRKLATKGLKEDLINRLDEAIREEREGAESSPEQEEGAEASPEQEEGAEASPEQEEGAEASPKQEVAAEASPKQEEAAVEASPEQEEAAVEASPEKEAVEASPEQEAAEGLEKDEANGLHTQEDEVKDSQTGNMDAEMVDTTSEKGNYGVEPFETENVVKVPEAVDNDGSKSIEQDAVTDPVDNNNTVSAMDVEGEHAVLPVGVESDNAGEEVVAHPSTVETTVETTVETTVTVTETVETEVVVTGQDPDGSEKNNEDSAAKLENEESRVQLTSEESKLQLECDPKPTCDVLMPISSAPENQVSEVNPSLGSQVKSDSFSTDSVSINEKNELKDTIIANNVKLEQDNVRPEMVEEPSSTNDVPVYDESHSHSMDVGGGMHEKKSHVEENNNNVTSPELNKTNSSDDVGYPEKLNLDRSSGDDSMEEDLPETRQYDSKFNVDELRDKVENNEVPMVNEESKPMVEGDGVSARKSDTHQDIDISPVSLTEKRKYPEQTLVGNNEPAKRQRRWNTDTIKGSDLQGTTPRPAITPKDGQITLKRSFSRSNSSATDDTPKERIVPPSRRTPTNSLRIDQFLRPFTLKAVQELLGKTGSVSSFWMDQIKTHCYVTYSSTEEAVETRNAVYNLQWPPNGGRLLVAEYVDPEEVKMKLEAPPTPTASVNSVPTLPPAPPSSQPEPSPRQHREQHLPVALPPPPPLSRPAPVARERLPSPPPLPEKVEPPIVTLDDLFRKTTATPRIYYLPLSEEQVAAKLAAQGKSTRQ >CAK8560882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51853167:51854749:1 gene:gene-LATHSAT_LOCUS14522 transcript:rna-LATHSAT_LOCUS14522 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSLSTTTMVPETASVKITPKMDPKSVSETQSFVKFMGSGAPVPENCNTNGFFDSFLRNFIKVDQIQPGRISCTLIVKPPICNAYGTLHGGTVASLVEVLAIACARTVVAEDKQLFLGEISISYLSATAVDEEVVANASVVKRGRNLTVVALEFKLKKTGNLLYLTHATFFNMPVSSL >CAK8535826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883784993:883790698:-1 gene:gene-LATHSAT_LOCUS5246 transcript:rna-LATHSAT_LOCUS5246 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILNVRQFRFFPSLPLFYKPTTPPHRFLSTLVSSSSSKRRRNVNASPLHLRCKTTLQMEVEESKSLGNPGFNKRRAEGSDKTDFPKRNLQLKVRKLNPTNTISYVQVLGTGMDTQETSAAVLLFFDKQRFIFNAGEGLQRFCTEHRIKLSKIDHILLSRVCSETAAGLPGLLLTLAGMGEEGMSVNIWGPSELKHLVDAMRFFIPAAAMVHTKSFGPTFGTDEAPVQYQSNPIVLVDDEVVRISAIILQPKGQILKSCQSPSQTTNHSTETVDSPNVKKIPAAKPGDMSVVYVCELPEIKGKFVPEKAKALGLRPGPKYSELQNGNSVKSDNQNVMVHPSDVMGPPIPGPIVLVVDCPTESHLEALLSAKSLATSGDQVGNLSKNVACVIHLTPEFVVSCSNYQKWMKTLGSAQHIMAGHQKKNIEVPILKASARIATRLNYLCPQFFPAPGFWSCPNQDGSKPGFLASSEDSFSALPNVINAENLLKFTLRPYANLGLDRSCIPTKVSSSEIIDELLLEIPEVVEAAQHVSQLWHDSSQTTEDLIPVSDHNMMLEEPWLCEDAIAPACLENIRRDDLEIVLLGTGSSQPSKYRNVTSIYINLFSKGGLLLDCGEGSLGQLKRRYGVSGADDVVRSLNCIWISHIHADHHAGLARILALRRDLLSGVPHEPVLVVGPRMLKRYLNVYQRLEDLDMLFLDCKHTTKASLDDFENDLQETVNSQDPNNNHAKINASKVDSTLFAKGSRMQSYMKKPGSPVDKDAVYPVLRKLKGVIREAGLNALISFPVVHCPESYGVVLQAEERTNSIGKVIPGWKIVYSGDTRPCPELIEASQNATVLIHEATFEEGMVEEAIAKNHSTTNEAIEMGESANVHRIILTHFSQRYPRIPVFDKVHMDKTCVAFDMMSINIADLRVLPKVFPYLKLLFRNDMTVDESDDVVDVAAPAS >CAK8533148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:584682341:584684386:-1 gene:gene-LATHSAT_LOCUS2795 transcript:rna-LATHSAT_LOCUS2795 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSERKVKVEEWLPINSDRNAKWWYSSFHNVTAMVGAGVLGLPYALSQLGWGPGVTLLIISWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQVVVMLGGTIVYMVTGGTSLQKFHDTVCPNCKKIRLTFFIMIFASAQFILSHLPSFNSISGVSLIAAVMSIGYSTIAWTATVHKGVQENVQYSIAAKSTTDLIFNFFNAVGAVAFAYAGHSVVLEIQATIPSTTEKPSKVSMWRGVVVSYIVVALCYWPVAIIGYWMFGNEVKDNILISLEKPTWLIAMANMFVVFHVIGSYQVFAMPMFDMLESVLVKKLNFTPSSILRFVVRNVYVAFTMFIAITFPFFGGLLGFVGGFAVTPTTYFLPCIIWLKVYKPKRFSLSWYTNWICIVFGLCIIILAPIGALRSIILEANTYKFYT >CAK8535574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864192951:864193720:1 gene:gene-LATHSAT_LOCUS5020 transcript:rna-LATHSAT_LOCUS5020 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWQTMIFPVKRLCLALSTRIKHRKNGAGLVKLRDDVQTCEYEDVQVMWEMLQKTETQVLHNNKRPFWRVFVWSNSSQSSNHT >CAK8542199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487784950:487794907:1 gene:gene-LATHSAT_LOCUS11059 transcript:rna-LATHSAT_LOCUS11059 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSRTEWNELVNESVLQRFHLWQQRGNESPTAWVMELIEYFNSVGVELPSSELVELLVSQICPENGKEHPSLWKFLHQALSSRLIFPLQLLSLLSSKVFLRRHSQPRGYALFLPLLAQHAFNFNPIASVSCNNKIIKSIDSVLHFSETFKIHDLELGHVFVLFFFNIIIALIDSTLNDWGFQVAFNEMSCLVPTSDQYMEIDHNVTQKFRRGDYHEQIRKRNAITALEVLERLSESRKATILLQSVLFNMPENFNCLVQRLQFIESLELASSELKLVNQVLRKVSAKLRGVSHFDYSLNKHQVVGMILDGGSCKTLLKCNYKSCWVPFDIYMEHAMDSRQIFIKSAIDVVTEGIKTYQIFNQASWHDTFLALWLSALRLVQRERDPPEGPIPHLEARLCMLLSIVPLAIMNVLRDDSEQNSSTAPVPLKSEYKHETKSNGSMKLGLTSSVQVLGQFSGLLCPPALVVDAANQAARKAASFIYNSMNEKGESFTNIHANSNTKTGGNLRHLIVEACIARNLMDTSVYFWPGFVSTSVMSLSDSSPLEKSPWLTFMEGTLLSNSLINALTVTPASSLEEIEKLYYIALNGSEVERPAAAKILCGASLSRGWYIQEHVVHYVVKLLASPEPHSHSGTWGHLVDSMSMLSAVLRGLSCVDTVHILSLHGVVPTVAASLLPLCEAFGSITPIPNSTGDESSTSAYMAFSLAFLFLIRLWKFCRPPLDQCITEGGIAVGGLEYLLSLHNNCVMSSQDKLNCNPNLLDFSSFKHVYIDSFPKLRALYCQYKSCVASTISGISTGNSIHQTATVILSMIYQKMTKGGILSSNSSSPTSSNTCSSLIKSGDDALQRPVLPAWEIMEALPCVLEAILTACVHGRLSSRDLTTGLRDLVDFLPASIAAIIDYFSSEVTRGVWKLVPMNGTDWPSPAAVLQSVESEIKAILTHVGVAVPNCSSGDSPVMLPLPMAALVSLSITFKLDKSLEYIHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHNFIVVSGSRSVFRHNNESVAQLVRSCFTSFLGIFSSTSKLTAECSVNGLLGSSITAPGACPFVAPGFLFLRSCRNINNVQFLNDVIVGLVTEYSNELAGRMASSGSSRLKSNGASISLATQSAKEMATLGASLLCSAGGIQLVQELYKETIPTWLLTSRDVKLKNDNVVSYILEGYGIAYLLILSGSIFWGVGTNLSLSKLSRRNHIIGVHLDFMAEVMERKISLSRNPITWKTYVCCVIRLMVSLAPAWLQEVKVDTLRKLARGLSRWNEHELALSLLQRGGTSAMGALAELVNVVEFEQKKPCS >CAK8542965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562678555:562679674:-1 gene:gene-LATHSAT_LOCUS11760 transcript:rna-LATHSAT_LOCUS11760 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGENEKKMKILCLHGFRTSGSFIKKQISKWDPSIFSQFHMEFPDGKFPAGGKSDIESIFPPPYFEWFQFDKDFTEYTNLEECITYLSEYIIANGPFDGFLGFSQGATLSALLIGYQAQGKLLKEHPPIKFFVSISGSKFRDPSICDVAYKDVIKAKSVHFIGEKDWLKIPSEELASAFDKPVIIRHPQGHTVPRLDEVSTGQLKNWVAEIVSKEKVGVSVCEHERKEEVDSTNGVEISKGNAETIEVVEAGKF >CAK8567119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489061884:489062246:1 gene:gene-LATHSAT_LOCUS20201 transcript:rna-LATHSAT_LOCUS20201 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKSNIKTLNSLSKLFLSFSLLLYTAIVDDGVFMSKLAKSLTPTPSGWMATTFCSWNGVKCDGFNRVTSINLASKSLNGTLPSDLKVMEKVELLNQQVLAVHRVEVRRKSQWAHCCRT >CAK8568573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:619308828:619309166:1 gene:gene-LATHSAT_LOCUS21518 transcript:rna-LATHSAT_LOCUS21518 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFVIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >CAK8565313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:113454467:113456410:-1 gene:gene-LATHSAT_LOCUS18536 transcript:rna-LATHSAT_LOCUS18536 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLNPQINEDDSPILFSTSYSPQDSISPSSSFYHSPSPQTSFKLSIKNLTYTLHPYNTNIPFSFYHLTQKPHPINILKSVSFVARTSEIVAVVGPSGTGKSTLLRIIAGRVKDRDFDSKTISINDHPMSTPSQLRKICGFVSQEDNLFPLLTVKETLLFSAKFRLKQMTPKERELRVENLMQELGLFHVADSFVGDEENRGVSGGEKKRVSIGVDMIHNPPILVLDEPTSGLDSTSAFHVIELLSSMVKSKQRIVILSIHQPSYRILQYISKFLILSHGSVVHNGSLESLEETISNLGFQIPLQLNPLEFSMEIIQSLEDSRSSIIDDKNETSFPSLVWQEEEKGGSGLFQTQNERESFGNPCYVNLMEILFLSSRFWKIIYRTKQLFLARTMQALVGGFSLGSVYIEVRKDADGIAERLGLFAFSLSFLLSSTVEALPIYLQERTVVMKEASRGAYRISSYMIANTVVFLPFLFVVSVLFAVPVYWIVGLNPSIGSFSFFTFVVWLIVLMASSLVLFLSSVSPDFISGNSLICTVLGAFFLFSGYFIPKESIPKYWLFMYYVSLYRYPLDALLTNEYWTVGNECFSQQGSSSSKCLVTGLDVLKSRGIEKDNRWMNVGIMVGFFVFYRLLCWLILARKASKTTI >CAK8573965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650660345:650668717:1 gene:gene-LATHSAT_LOCUS26358 transcript:rna-LATHSAT_LOCUS26358 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRTAMEESLLGKEIYPKTDSHGEEERKKKGISKDIYLKEMERICYLSGPMVAVVLSQYLLQVVSTMIVGHLGELYLSSAALSISFAGVTGFSFLMGMASGLETTCGQAYGAKQYQRIGMQTYTAIFSLILVCLPLSFIWINIENILVFTGQDTLIAHEAGRFTIWLLPALFAYAILQPLVRYFQIQSLLIPMLLSSCVTLVVHIPLCWALVFKTGLNNIGGAIAMSISIWLNVIFLALYMRYSSSCAKTRAPISMELFQGIWEFFRFAIPSAVMVCLEWWSFELMVLLSGLLPNPQLETSVLSVCLNTIATLYTVPFGIGAAASTRVSNELGAGNPFEARVAVLGALSLSLIETSIVSATLLACRHVYGYIFSNDKEVVDYVTVMAPLVCISVILDSLQGVLAGIARGCGWQHLGVYVNLGAFYLCGIPVAAALAFWVQVGGKGLWIGIQVGAFVQCVLLSIITSCINWEQLAMKARQRLFDVQFSAENRLV >CAK8566127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382686262:382687615:-1 gene:gene-LATHSAT_LOCUS19288 transcript:rna-LATHSAT_LOCUS19288 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVKLAYIINNSTRKATFKKRKNGIINKINELSTLCGIDACAIIYGENYPQAEVWPSRIGVRNVLSKFMSLPELERSKKMMDLESFLSQSIMKAQDMLKKQVEENQQKEMAYVISQFIHTGEYNMENVSSDDVTNLSTFIDMNLKEVEQRLDSMDVQDQEEVANGARVVNEGDMLANMDHANVQGLETNINYGMHNDDQFSINFSDIPFHDVNVNPSRF >CAK8563740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628184982:628186485:1 gene:gene-LATHSAT_LOCUS17119 transcript:rna-LATHSAT_LOCUS17119 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALINYGKDYGRLVVIVDVIDQTRALVDAPDMERSPINFKRLSLTDLKIDIKRVPKKKDLIKALEAADVKNKWAKSSWGRKLIVKKTRAALNDFDRFKIMLAKIKRAAGVRQELAKLKKTAA >CAK8573468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:614628064:614631020:1 gene:gene-LATHSAT_LOCUS25914 transcript:rna-LATHSAT_LOCUS25914 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEGSKTFSSTLKIFQIGIWWFIVLINLGYIMVWLMMPTNTFFLHWLPDIQAKTDPIYFGKQGTTVLVYTFPILLMATLATLYHHLIQKGSNHSKAGVLRKASWRKPLLVNGPLGIISKTEFSFMIMFVLLLIWSLCSYLHGMFENAALQAANEREREWEAKLENSALALGLVGNICLAFLFFPVSRGSSVLRFFGLTSEASIKYHIWLGHITMTLFTAHGLCYVTFWDKTHQMSQIFTWNKVGISNVAGVVAVLAGLTMWATTLPFIRRKFFELFFYIHHLYIVFVVFFVLHVGFSYSCIMLPGFYLFLIDRYLRFLQSQQKIRLVSARVLPCEAVELNFSKNPGLCYSPTSIIFINIPSISKLQWHPFSITSCSNTDSDTLSIVIKSSGNWSHTLYQKLSTSSPPSHLDVSIEGPYGPASTSFLRHEILVMVSGGSGITPFISIIRSLLFKANIEGGKTPKVLLICSFKKSIDLTMIDLLLPISGTALDASRLQLQIEAYVTREKQPPTNDRKLLQTLWFKSNALDEPISAVFGQNSWLYLGIIITTSFILFLLIIAILTRYYIYPIDHNSDLIYPYFLRSILSMLFICVSIVIVATFAFLWNKKQNKALRQIKNTSTPTTSPGSIYNKTDRELESLPLQSFIQPAKVHYGERPDMKKILSNCNGSSIGVLVSGPREMRHEVASICTSCSTDDLCFESISFSW >CAK8542933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560798178:560801139:1 gene:gene-LATHSAT_LOCUS11730 transcript:rna-LATHSAT_LOCUS11730 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRTPTFRVSPTLLTTASVSSNYPKTSRVSVTSSRRRPSPLKSLRVSPFPSSRFVKLVPFAFDGDTEAPQVQDPPEVQVPDSSVGAEDSTNDEELSDAGETPALTLTVLLKFYKEALANNDEVKIAELETSFKSIDDEIVALEAKVDSLSEELSIEKDRKLRIGADFDNYRKRTERDRLSLVTNAQGEVVETLLPVLDNFERAKAQIKVETEGEEKVNNSYQSIYKQFIEILNSLGVEPVETVGSPFDPMLHEAIMREDSDEFEDGIIIQEFRKGFKLGDRLLRPSMVKVSAGPGPAKLEQEVPLEEQVTNEISEENDVKAKTESA >CAK8578304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619075899:619076462:-1 gene:gene-LATHSAT_LOCUS30328 transcript:rna-LATHSAT_LOCUS30328 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFTMQNLVIEMMNIKEQIHSQALIEDARNIMAWKYFAMEASDSRSYSDIGRMVQRLQNSLLQHYIVADWKLHCSKSWVYRCEMAKTAEEIEMINEN >CAK8564239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661275274:661279853:1 gene:gene-LATHSAT_LOCUS17562 transcript:rna-LATHSAT_LOCUS17562 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISSLCSFPPIHSSASFPNSASTFSNYNTRTQIQSSPSSTNTCTQKIDASLITIAESFYDDELWAASSLRVRSFNQLRPGTYGVQDHVKYLAEREFEALKERISGKKTGFRRVSCINASLPVSHISSIYDDLCSSCKFSAYGEDRIVVGSLDLNQCLSLPDEIVGMKPKLSGADTTRAYLSNVCVARELHRNGLAYELLEKSKLVARNWGITDLYVHVADDNEPAKKLYTKSGFVYESDEPAWQARFLDRPRRLLLWMGLSIS >CAK8544064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661035537:661036046:1 gene:gene-LATHSAT_LOCUS12773 transcript:rna-LATHSAT_LOCUS12773 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNHLFIMSHHSSAESDDKIPSLPSKDANFVRKQDDPFLLSVQKSQNHDDKDKDKDATQNSSLCKKEKMASAMDDDEVETKELTTVDEEELEEVSERERLKRHRVEVAGRVWIPEIWGQEELLKDWIDCTAFDAPLIPSKITMARAALVQQGTARPNAAPLSIDNRC >CAK8544065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661035564:661036046:1 gene:gene-LATHSAT_LOCUS12773 transcript:rna-LATHSAT_LOCUS12773-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHSSAESDDKIPSLPSKDANFVRKQDDPFLLSVQKSQNHDDKDKDKDATQNSSLCKKEKMASAMDDDEVETKELTTVDEEELEEVSERERLKRHRVEVAGRVWIPEIWGQEELLKDWIDCTAFDAPLIPSKITMARAALVQQGTARPNAAPLSIDNRC >CAK8569176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:679107856:679111093:-1 gene:gene-LATHSAT_LOCUS22060 transcript:rna-LATHSAT_LOCUS22060 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAAVTPSATMMEAPPQDVNSMDFDYMSELLLDGCWLEASADGSEFLLQSSPFSNPIFDPSYSWPALENNELPRIEDRNDSQDVPVGTEQENRIMVNNVGCSDRQQCEFETHLGEGVSRLWIPPTPNQGPGGFSITEKLIRALKWIKDFNRNKDMLIQIWVPVNRGDDRPILRANDLPFSLQSRSLNLAKYREISVRYQFSAEEEDSKELVAGLPGRVYRDKIPEWTPDVRFFRSEEYPRVDHAQECDIHGTLAVPVFEQGSRTCLGVIEVVMTTQQSNYGRELETVCKALEVVDLRSFGHSSLQNVKACDRSYETALPEIQEVLRSACEMHKLPLAQTWISCIQQGKDGCRHSDDNYAHCISPVEYACYVGDSSVRFFHEACLEHHLLIGQGVAGGAFMTNQPCFSADITSLSKTDYPLSHHARLFGLRAAVAIRLRSIYSTLDDYVLEFFLPVDCNDSEEQKKMLTSLSVIIQRVCHSLRVITNKELEKNNLSANVVTAAADSGFARNAIRSDLQNRRTTASLGSEEMFTETMSKKFAEQRRQQENLILKGDTDDGRECSPSVQGNFLSSLGVNRTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKNIGVCTTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGSFQIDSFYSKFSDLSSSNLSGTTLISTLNQIDNPVSLNIQPDPNTLSPEGASKSPSSSCSQSSFSSHSCSSMSEQQHHTSNVAAGIKDLSVGEDSVDVLKRIRSETELKNLIQDNKTKLMPRSQSQETLGEHPKTEYLQSLIKSTRKASQKEDAHRVKVTFGDEKTRFRLPKNWSYEDLVQEVARRFNVSDMSKFDIKYLDDDYEWVLLTCDADLEECIDVCQSSETSTIKLCLQPSINFIRSSLEFR >CAK8533814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661953566:661953874:-1 gene:gene-LATHSAT_LOCUS3410 transcript:rna-LATHSAT_LOCUS3410 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQQMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNGRTIMPFDLTNVNLASRLFPIAHDSEAGPSNAHVSHIPSPS >CAK8542185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:486745374:486745685:-1 gene:gene-LATHSAT_LOCUS11046 transcript:rna-LATHSAT_LOCUS11046 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCRKGIKGLGKGRTKRHVMIMRDNIWGITKPSIRRLVRKGGVKRISGLIYEEIMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRHGRTLYGFGG >CAK8569001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662421249:662422094:-1 gene:gene-LATHSAT_LOCUS21900 transcript:rna-LATHSAT_LOCUS21900 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKKKQFRFRIPWISGSFSTRSPFHRKQRSKSFPQLAYGPTQPLPTPISEPQTTLERKVMFAVSTSNPSENNIEVPAIPVTTTVSNSPKQKGSMSMNDDVTNLVNRVATVNPTTLLPTDDKTVSIVTLAGDNRGATMHVAGSQSQSTRKKGSIQNIHKSNEKEDKDEAGKAYVNSNIQSMNNSFLIEGSVTGRDPGVRVILPQQPQPQPQPQPQPQPQPQPQVSRVEKLTYQPLVRRRCLRGLMVEPSDSDPENPDKPRRHGCKFSCGDVRKDKDKVLL >CAK8567799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546162210:546163510:1 gene:gene-LATHSAT_LOCUS20821 transcript:rna-LATHSAT_LOCUS20821 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSFPRLTMIMSMTLFVLIIVGSANAQLSTSFYSTSCPKLSSTVQSTMQSAISKEARIGASILRLFFHDCFVNGCDGSILLDDTSSFTGEKNANANRNSARGFEVIDNIKTAVENVCPGVVSCADILAIAAADSVAILGGPSWNVKLGRRDAKTASLSAANTAIPAPTSNLNTLTSMFSAVGLSTKDLVTLSGGHTIGQARCTTFRTRIYNESNIDTSFATTRQSNCPNAAGSGDNNLAPLDLQTPIAFDNNYFKNLVQKKGLLHSDQQLFNGGSTDSIVSGYSTNPSSFSSDFAAAMIKMGDITPLTGSNGEIRKNCRKPN >CAK8542667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536075619:536077465:1 gene:gene-LATHSAT_LOCUS11487 transcript:rna-LATHSAT_LOCUS11487 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIETLCGQAFGAGQFEKLGIYTYTAVMSLAMVCAPITVLWIFLDKILIFIGQDTEISIEARTYALWLIPGLFGSAILKPLTRFFQTQSILSPMIISSLIGLCFHGVTCWVLVFKLALGSVGTAIAFSLGIWLNVVILLYFVKYSSACEKTRVPFSKKAFLGFREFFALAVPSAAMVCLKWWACEVIFLLAGLLPNPMLETSVLSICLSISTLHFTISFGLGAATSTRVSNELGAGNPIAGRFSVYTAMILAVIEALIVIIILFGCRYVLGYAFTHDNALVHYVAVMTPFLCASIFTDSLQSVLSGAAKGSGWQRVGAYVNLGAFYLIGIPVGVVLGFVVHFKAKGLWIGIVCGSIVQTIFLFIITARTDWKKQATKARERVFHDAT >CAK8533240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:595343214:595347322:-1 gene:gene-LATHSAT_LOCUS2885 transcript:rna-LATHSAT_LOCUS2885 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFDEEIEEPVSPTGQYLNSSSLCVSILGILESEIPIDDHQTLSLLQNVFLPINTRFSSIMINDQNGEKKWKKVEVNLQEHVHIPKFPSTNSSLYDENLEDYMSKISMEHLPQNRPLWEIHIIKYPTKDAAGTLVFKLHHALGDGFSLMGALLSCLERYDNPSLPFTLPTSQRPKSVFNPKPFFKRSILFPSTFFSKVFNTVSDFGWSMLKSSLVEDDVTPIRSRADDIKLRKIIISSVNFSMDRIKEVKSRLRVSTNDVIAGLIFYGIRLYMAQMNQESSKHKSTALVLLNTRNIGGYKSLKEMVEIKKNTQNSIWGNQFAFLHVPIPELSDTSIDNPIEFIWEAQKEINRKKNSLATPLTGVVLNMVKKLRGPEASARFVYNTLRNSSATFSNIIGPVEKMALANHPVKGLYFMVVGPPESLTITMTSYMGKLRIAFGLEKDFIDKQKFMSCIETSLEMMITASRKISK >CAK8570109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24062540:24063211:1 gene:gene-LATHSAT_LOCUS22891 transcript:rna-LATHSAT_LOCUS22891 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLRNRPTSSSVFNLFTNALRRFSSGQVGNTIPDEARERMMYSDINSQIGSCMPLSAMRIGTIIHNIELNPGQGGKLVRAAGTNAKILKEPTSAYCLVQLPSGVKKLIDSRCRATVGTVSNPTHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGAWGKGSRTPWGKPTKGGFKTGPLKRKK >CAK8573562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620402756:620405048:1 gene:gene-LATHSAT_LOCUS25996 transcript:rna-LATHSAT_LOCUS25996 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSISSASSNIREKDDPSSSSRTSLGDIPESCISSILMNLDPPDICKLARVNRAFHRASSSDFVWESKLPSCYKFLASKVLDEEKISTMTKKEIYSKLCQRNLFDGDTKEVLLDKCSGQVCLFMSSKALKITGIDDRRYWIYIPAEESRFKNVAYLQQMWWVEVVGEVEFLFPVGSYSVTFRLKLGKPSKRLGRRVCNVDQVHGWDVKPVRYKLTTSDGECSISECYLNESGEWVYYHVGDFVVVKPNEPMKIRFSLAQIDCTHTKGGLCVDSAIICPSGFRERLQHFS >CAK8566200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390478473:390478768:-1 gene:gene-LATHSAT_LOCUS19354 transcript:rna-LATHSAT_LOCUS19354 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFQNLVEASEQSLSAFGENDPDTNCANTPTKDVSVGRNVEDVDCEVYVATQFSGTKPLKKVKMEPTV >CAK8566976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477231073:477233859:-1 gene:gene-LATHSAT_LOCUS20070 transcript:rna-LATHSAT_LOCUS20070 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTIPHLPPPEDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLIVGCCSDAVTHKYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFLDKNNIDFVAHDSLPYADTSGSANDVYEFVKAVGRFKETQRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSRKDLGVSYVKEKRLRVNRRLKTLQEKVKEQQEKIQTVAKNAGMHRNEWVENADRMVAGFLEMFEEGCHKMGTAICDRIQESLRGQQSNDDSFLQNGTDDEDEEYYDDHEEDSEEEYFEEYFDNNELNPQNHGKGMNKT >CAK8568929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655374552:655375817:1 gene:gene-LATHSAT_LOCUS21836 transcript:rna-LATHSAT_LOCUS21836 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSQQRLSGADRKKLKRTIRDKFPRASDSDLDILLPPKVEITVAKFQTRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSVEALKAGLCGKALRITHYYRDLLWESVEGRYVPNEGFLEDVVFGHPSLLSPPSHDTDLAEASGESSNGQQNITKSDEADGSLNVNELPADSSHTSTRPNSDENTAEEITAGMGDLKLPDSGSPNEPNDQHTLSTSDVDLLLDKCLLQALHTTLKDKDLPIPGSTLWSNHVLPCRPSGMTLDIKKSSYKKLSKWLQTKSCAILLPTDNASYNHYPATNSHQTNHSAIPALTPPTPDNSATIQPAPCYTRLYKPATCSENQTNQQYNRT >CAK8535666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873247325:873248218:-1 gene:gene-LATHSAT_LOCUS5101 transcript:rna-LATHSAT_LOCUS5101 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAPKVINGVIEIDIEQEDIETELRFWDNALILYVVGDNLSLNTMKNFMRRMWNFIKIPDLYYHDDRYFLLRFNSHEDKEAVMMKGPYTIRNMPMILKKWQSGFNLKQDLLRTLPIWIKLPQLPLHLWGAQSLSKIGSAIGKPLVTDECAANKLRVSYARILIEVDITQPLIDEITIRNVAGDIIMQPVQYEWRPTFCETCQKLGHNCEDRGKVKKWIPKPIQKEKFTIITPTQQPIQEKLTDEEGVSWTRVKKSVRDKGKNIISDTTSSIHYVNGFESLEVLNDHQVVTNLEPC >CAK8530609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35499017:35502501:1 gene:gene-LATHSAT_LOCUS462 transcript:rna-LATHSAT_LOCUS462 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDLNTTEDDETPYSAISSSSSSTHSGISTSASAMLLPPPATPTTTHPSVCLELWHACAGPLISLPKKGSIVVYVPQGHFEQAHDFPLSASSIPPHVFCRVLDVKLHAEEGSDEVYCQVLLVPENQQVEQNVREGVIDADAEEEDNEAIVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGIRRAVQLKSSGSFAASGMQLDPGSLMDVVNALSKRSAFSVCYNPRVSSSDFIIPVNKFIKSLDCSYSAGMRFRMRFETEDAAERRFTGLIAGISDVDPHRWPGSKWKCLLVRWDDIEASRHNRVSPWEIEPSGSASTTSNLMAASLKRSRIGFTSSKLEFPVPNGIGVSDFGESLRFRKVLQGQEIMGINTPYDSINAQSPRLYELGRCYPGSNCSGIASTGNIRMPQASSDFPCNGIGFGESFRFQKVLQGQEILPCPPPYGRSSFDESRGNGFLGCYDGYQLLGSRNGWPAQMHDNSSHLHASVTAGQVSSPSSVLMFQQAVNPVSNSRYDNPNRSQGSYISELKSGMFASSLLNKPIQESTNSLGIQNFLNNNPLDISRSRDSASALRGNHDMNSTYKSGCKLFGFSLTDDTYVANKEAAVKDYEGG >CAK8539543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515608801:515609408:1 gene:gene-LATHSAT_LOCUS8626 transcript:rna-LATHSAT_LOCUS8626 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSQRHCFLEEDDGISSIAGIEPGYSGHNHSSYKYGYVSRTLGYATFCNNRDLRNNVYSPRSGRFYDARFEDHQPHFLEACFLCKKPLGNNKDIFMYRGDTPFCSEECRREQIEIDEAKEKNRNFSSSMALRNKEQRKSVSPNKAQDYSFRTGTVAAA >CAK8531145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84200370:84214945:1 gene:gene-LATHSAT_LOCUS956 transcript:rna-LATHSAT_LOCUS956 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMAGLSTASPVETILDRENFTLDELLDEDEIIQECKALNSRLINFLSGKSRVEQLVRYIVEEASEDAEKKRTFKFPFIACEIFTCEVDSILKTLVEDEELMNLLFSFLEPNHSHCNLLAGYFSKVVVCLLLRKTVPFMQYVQAHQEIVKKLVELIGITSIMEVLIRLIGADEHMYVNHVDAMKWIEDTDVLEMIVDKFSSSDSPEVHTNTAETLCAITRFAPAGLSAKISSPSFIGRLFCHALEVSRPKSVLVNSLSICISLLDPRRISFGAYHSYNRQLTNESTVTANPKIVEGMLESLGDLLKLLDVSSAENLLPTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEEKLIDFGALQRIINLFFEYPYNNFLHHHVENIIISCLESKRSSLQEHILRDCDFVGKIIRAEKHLTLEAGTNKPTSPAEDKLPPRIGIIGHLTRISNKLIQLGNNNSVVQEHLQGISEWTDWYMDVLSNRNAVENVSQWACGRPTALHDRNRDSDEDDFQDRDYDVAALANNLSQAFRYDIYNNDNDEDMDEVHPLERDDEDVYFDDESAEVVISSLRLGDDPESGSLFTNSNWFAFEDDRDQVAKERSTGSLASPSPNAEEDVKNASGDVAASEDEDLADTATSSPEAEPEPELKLEPVGTDKPVEWVEWRESLDASDPSEVLPNGELESESGNNDLNAPDSSSPASNVTLTKDEQIDAEPLASLDENLSIPDPTQTESEIPTLSASSSEDGATAEVEGDSNKDTTDDSKGIEQMNS >CAK8530189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4831452:4832176:-1 gene:gene-LATHSAT_LOCUS77 transcript:rna-LATHSAT_LOCUS77 gene_biotype:protein_coding transcript_biotype:protein_coding MISILSAVVALFLAQPAHPLDYYAYAQQWPNGACMNPAKKCNAQLPTTFTIHGLWPSNIVKPHPDSCAKSFNSSLINSLVPQLSNVWPNIEKGNTNVRFWGYEWNKHGSCSPFSQYNYFNHAISLYNQNNLMSMLAAQNILPNGTSHPPQDFINAIQLDVHVQPLLVCVNRNYLAEIHLCFDAAASIHINCPRPSSPTCSNSVIF >CAK8541790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:395908112:395908887:-1 gene:gene-LATHSAT_LOCUS10685 transcript:rna-LATHSAT_LOCUS10685 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLIGVVGSAFVMGVQIALKLKGIEYEFIKDDLNNKSELLLKYNPVYKKVPVFVHNGKPISESLVIIEYIDETWKQNPILPSDPYQKAQVRFWSQFIQDKIFIPFINAARAVSDEKERDKNLAESSDGLQFLENELKDKFFGGKEIGLVDIAAMFVAFTLPLLHEAAGFNLFTAEKFPKLYKWSQEFLNHLIVKEIVPPKEPFLAYFKARIELRLAASK >CAK8576091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:404950643:404952301:-1 gene:gene-LATHSAT_LOCUS28306 transcript:rna-LATHSAT_LOCUS28306 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPVRSRTFIWIPAKNATLNHRFLRSEPESYAKLIEVYANGRALQQGKKLHAHLTTKGYARFNLVLSNLVALYACCGQLSLARKLFDKIPPTNVRRWIALIGTCARCGFYDHALSVFSEMQTVPGSKPNSVFVIPSVLKACGQIGYRIYGEQIHGLILKCSFEIDAFVSSALIVMYSNCAKVEDARKVFDGMDMKDLVSLNAVVSGYAQQGLPNEALGLVENMKLMGVNPNVVTWNALIFGFAQKCNRAMVSQIFRLMIEDGVEPDVVSWTSVVSGFVQNFRNEEAFDMFKQMLHHGFCPTSATISALLPACATAARVRFGKEIHGYALVIGVEEDVYVRSALVDMYAKCGFISEARTLFYKIPEKNTVTMNSMIFGYANHGYCEEAIELFNRMEMEGVTKLDHLTFTAALTVCSHIGDIELGQRLFKIMQEKYCIQPRLEHYASMVDLFGRAGKLEEAHGIIKTMPVEPDLFVWGALLAACKNHGHVELAEVAAKRLLELEPDSAGNRLLLSSLYADAGKWGRVERIKKRIKKGKLRKLQGLSWIDNNVL >CAK8532375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:250966589:250969781:-1 gene:gene-LATHSAT_LOCUS2086 transcript:rna-LATHSAT_LOCUS2086 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSLLTPILLYLHLLFVFTSNLMWFGPNKVVAVTLGNQTDHLALLKFKESISSYPYGSLESWNTSIHFCKWYGITCSPLHQRVIKLNLTGNQLHGSLSPHVGNLSFLIYLNLNNNSFFGEIPQELGQLLQLQQLHLADNSFTGEIPKNLTYCSNLNGLFLAGNSLIGKIPIEIGSLKKLQTFNFWKNNLTGGIPSSIGNLSFLTILVFDYNNLEGDIPQDICALKNLTILTGAVNNLSGMIPSCLYNMSSLTALVTTKNFIYGSLPSNMFLTLSKLEHFLIGGNQFFGPIPASIINASSFVEFDISQNQFVGQVPNLERLKDLQYLNLEYNHLGGNSTNDMEFLDSLANCTKLYMFSIFHNNFHGILPNAIGNLSIELNELYLGFNKISGQIPAELGHLTGLTLLGLQFNYFEGMVPTTFGKFQKMQRLQLDGNMLLGNIPPFIGNLSQLYYLDLHLNMFDGNIPPNIGNCQQLQYLELSHNKLRGTIPLEVFNLSSLSNSLDLSHNYLSGSLPREVRMLKNIDMLDLSENHLSGVIPTTIGECIGLEYLLFQGNSLNGTIPSTLASLKGLKYLDLSRNRFSGSIPDIMQNISILEYLNVSFNMLEGEVPIDGVFGNASQIALIGNNNLCGGISQLHLPPCPIMGRKQAKHHKFKLIAVIVSAISFLLVLLFVITIYWMRKRNQNRSFDSPTIDQLAKVSYQDLHRGTNGFSAENLIGSGNFGSVYKGNLVSEDNVVAVKVLNLQKKGAHKSFIVECNALKNIRHRNLVKILTCCVSTDYKGQDFKALVFDYMKNGSLEKWLHLEILNAEHPTTLNLGLRLNIIIDVASALQYLHQDCEQVVIHSDLKPSNVLLDDDMVAHVSDFGIARLVSAIGGTSHKNTSTIGIKGTVGYTPPEYGMGSEVSTSGDMYSFGIFLLEVLTGRRPIDEAFENGQNLHNFVAISFPNNLLEILDPRLVPRYGEVKIEDGNQENIAPNVEEGLVSLFRIGLTCSMESPKERMNIVDVTRELSIIKNVFFTGETFYA >CAK8570888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:149617778:149621359:-1 gene:gene-LATHSAT_LOCUS23600 transcript:rna-LATHSAT_LOCUS23600 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHPPRTLPSYRRRSLSSTHLNTAANSPPFMSPESTPFHLRHVLVAALFFFVVSLSCILLFRDAGYSHFVSAYSLPRFLTVFPSPSNNSAAARNEYPLERILKEAAMEDKTVILTTLNEAWAAPNSVIDLFLESFRIGVHTRRLLNHLIIIALDQKAFLRCQAIHTYCFLLVSEGDDFHEEAYFMTPRYLKMMWRRIDFLRSVLELGYNFVFTDADIMWFRDPFPRFHHDADFQIACDHFTGDFDDIYNRPNGGFNFVKSNNRSIEFYKFWFSSQETYPGYHDQDVLNFIKVDPFIDDIELNMKFLDTAYFGGLCEPSKDLNQVCTMHANCCYGMDSKVHDLRIMLQDWRHYLTLPPSLKRLSVISWRVPQKCSLDSLEPNGSAEKSAEED >CAK8578965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664727788:664727991:-1 gene:gene-LATHSAT_LOCUS30942 transcript:rna-LATHSAT_LOCUS30942 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCWFHKFKSNKDKMQSSKNKETASVVKERLKLPTNEEASSNVTQQKVAAAKQYIENHYKKQMKNL >CAK8575923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:362646682:362646927:-1 gene:gene-LATHSAT_LOCUS28148 transcript:rna-LATHSAT_LOCUS28148 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFFFMGFLNSFNVKDMFKFFKEFGLVMEVYIPAQKSKRGKRYRFVRFRKVAYERIIEVKLYNIHIQGKKIYANTPRFQR >CAK8538136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465663916:465664956:1 gene:gene-LATHSAT_LOCUS7369 transcript:rna-LATHSAT_LOCUS7369 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKTCRLLSGNVRNRELTIIQRRILRRLRNKKRSIKRKIYPRENLNSYIQSQTTRKLPLFHGDLPITEMHRGTERTSYIPFLLNPETRSDVIPVRLHFRETIPQARQPTSHRRVCVNNRMVSITRLKVSHGDLISFQENDARIRGEEIRRSFYIEISVDKIIGKFLDHPVRMWRRTKTEWFHLLKTKRGCRLLLKSRFLQQQLRYSMQEEDFERTKKFGSEKVCLGSSFAEHNRMKRNLYHFKSLFLSKRRNEKNRYLPTRTRSPIVYNSSLYSNSTYCSSSPHQFTMKRRIKRIELPTHYSEVNHRTPKAVVFYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >CAK8534577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:744113583:744115001:1 gene:gene-LATHSAT_LOCUS4113 transcript:rna-LATHSAT_LOCUS4113 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFELVFISTPTIGNMVPTVEFANHLANHNPQLSATILIITNPKLPLVNTYLQSRPSSATNLKFIHLPIVDPPTPDQYQSFIAYISLLIQKHKNNIKTALLNLTASSTESNSDSVKLAAVFVDMFSTTIIDVTDEISVPCYLFFASPASFLGFTLHLPRLDDVESETEFEVPSFENPLPKPVLPNLVLDWKSEEDAYSWVSYHVGRFKETKGIVVNTLQELEPRALCSLYNDLKLPPVYPIGPILDLDGPAQWDPNPVQYNYIMEWLDMQVPGSVVFLCFGSLGSLEVKQVEQIAIGLERAGVRFLWALRGPPKDHLQDPRDYASYENVLPDGFLKRTVGMGIVCGWVPQAKVLAHKSVGGFVSHCGWNSILESLWYGVPVATWPVYAEQQMNAFQMVRELGLAVEIRLDYRVGGDLVRAEEVEKGVRTLMNGSDEIRKKVKDMSEKCRVAFMQNGSSYTNLVSLIQDLTK >CAK8541018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44585932:44589326:-1 gene:gene-LATHSAT_LOCUS9971 transcript:rna-LATHSAT_LOCUS9971 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEASSMEDTMNKDLHVTFVEMMYHLLPNPYESQEINHLTLAYFVISSLDVLNALHKVDKEAIANWVLSFQVRHGTTFDPNNGQFYGFHGSRSSQFPPDENGVFCHNNSHLASTYCALSILKIVGYDFSNLDAESMSTSMRNLQQPDGSFMPIHTGGETDLRFVYCAAAICFMLGNWNGMDKEKVKSYILKCQSYDGGFGLVPGAESHGGATYCAIASLRLMGFIEDNVLSSCNLSSLIDLPLLLDWILQRQGTDGGFQGRPNKSSDTCYAFWIGAVLRILGGCNFVDNKALRGFLASCQYKYGGFSKFPGEFPDLYHSYYGYAAFSLLEESGLKSLCSELGITYIAAIGV >CAK8568777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639983963:639985450:1 gene:gene-LATHSAT_LOCUS21702 transcript:rna-LATHSAT_LOCUS21702 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGSSSTKTVGKRGVTRLQKIHKAKSNRKRIKVQWNARGQPIKHNSKSFASYISVTVRRLVPISLDNWSAKENKEAVGVYKQNIWDEIEKAFVIGEEHRAYIYKEVGKLHRAFRTKMAKFYLRDSKGGFVKHRPAKYSYCIKQEDWDKFVAQRQNEKFQKVSSEN >CAK8574610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3868935:3871261:1 gene:gene-LATHSAT_LOCUS26939 transcript:rna-LATHSAT_LOCUS26939 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDVFIGAIDQGTSSSRFIIYDKSAKPIGIHHVEFTQFYPQAGWVEHDPMEILESVRVCITKALDKATADGFNVDKGLKAIGITNQRETTLVWSKSTGAPLHNALVWMDARTTSVCRRLEKELSGGRTHFVESCGLPISTYFSALKLLWLMENVDAVKEAIKKKDAMFGTIDTWLIWNLTGGVKGGLHVTDVSNASRTMLMNLKTLNWDESTLKTLEIPPEILPKIISNSEAIGNVTTGWPIAGIPIAGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGEEVIQSKHGLLSTIAFKLGPNARANYALEGSVAIAGAAVQWIRDSLNLISNANEIEDMALGVQSNGGVYFVPAFNGLYAPWWREDARGVLVGITRYTSKGHIARAVLESMCFQVKDVIDAMRKDSDFNDSKKEEFLLRVDGGATVNNLMLQTQADLLATSVIRPSDIETTALGAAYAAGLAVGVWKEESFFDTKERLKNANIFRPQMTEEIRKRKADSWLKAVNKSFDLADLSL >CAK8569445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1182082:1183621:-1 gene:gene-LATHSAT_LOCUS22294 transcript:rna-LATHSAT_LOCUS22294 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESYTYMGRSFNEFTINDDSTSSAFSDCNSDRSGEFATTSSQSRRLIIACASEDSDDLIRQLVSDLHSSSIEEQKQAAMEIRLIAKNKPENRIKIAKAGAIKPLISLVSSQDLQLQEYGVTAILNLSLCDENKELIASAGAIKPLVRALNSGTSTAKENSACALLRLAQVEGNKAAIGRSGAIPLLVNLLGSGGIRGKKDASTALYTLCTVKENKIRAVKAGIMKVLVELMADFESNMVDKSAYVVSVLVSVPEAKTALVEEGGVPVLVEIVEVGSQRQKEIAAVILLQICDDSVTYRTMVAREGAIPPLVALTQSGTNRAKQKAEKLIELLRQPRSTRTTTSEIVLA >CAK8570673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:85546218:85547201:1 gene:gene-LATHSAT_LOCUS23409 transcript:rna-LATHSAT_LOCUS23409 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTIFADKTFTLVEARYLALFIDLDGLSGYSWGVAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGDLKVDDLRPILDELTPADVIWHPFENHRVWHQFDELCLYKGCLRWGDTIVPYLLDRCMRQFGYRQYVPHPPLDSRMAGDIDVDWISYHQSVQDVIHSKAPATTPYETDDGYLEWYYRVSHPRLVPSNRDATTEMPVPVYETGPSDHILARMSSLIHRYLQQAGVEEDDPQFTDLFEALHLGGS >CAK8543237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590937578:590940420:1 gene:gene-LATHSAT_LOCUS12008 transcript:rna-LATHSAT_LOCUS12008 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFDIADSLLGKLASYVCEEASRAYGVYEDLQGIKDTLSIVKGLLLDAEEKKKQQHALREWMRQIQNICSDAENVFDGFELEHKKKQVLEASSSTRLKVSHFLSSSNPLVFRSKMAHQIKEIKDRLDKVATDGTRFGLAATSVDPGFILQRREMTFSHVDALDVIGRENDREEIIKLLMQPHPQGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSASESIPSAPLAYQENINNLDIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKVGAIGSKIIVTTRSNSIASMMGTFHSYVLDALSHESCLSLFVKWAFKEGEEDKYPNLMEIGKQIVIKCRGVPLAVRTLGCSLYSKFDLNKWKFARDSEIWNLKQNEDDIFPALKLSYDQLPSHLRHCFTYFSLYPKGFVFSSVKITNLWMALGLLQSQDGNQSLESIARDYIDELHSRSFLQDFKDIGSFSCFKVHDLVHDLALYVAKDECVVVDSHTRNISQQVRHFSMVDNGSLDSALFPKSKSVRTILFPIQGVGLDSESLLDAWILRYKYLRILDLSDSSFETLPNSIDKLKHLRFLNLSDNHEIKRLPLSICKLPFLQALILRGCVNLETLPKGLGKLISLRKLYITTKQSVLSLDEFASMSNLQTLCFEDCDNLEALLGEVQLASLEVLTFYRCGSLVSLPLCSFSKLEVLQVKDCKRLQLRLPENPQSKTKRWRMKYVCLENFPELHTLPEWIERAAETLQTLVIINIPMLWKLPDCLTRMTHLKNLCIARCPLLDSFPSGMQHLTFLEVLVIDGCPKLCQKCQPHYGEYWPMISHIKDVLLGEEEEKEEEERVPQEGEMSNDDLLPYLSDEVEKEE >CAK8564331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668402372:668403207:-1 gene:gene-LATHSAT_LOCUS17646 transcript:rna-LATHSAT_LOCUS17646 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNELPISTLKVDFGCTKGCSEDVTDMLKQIKGVKSISIDPSEGKVRVIGDVNPMKLIKLLQKMGTKVQLWSFDKEPKNKEACSHAKHKLHSRACHESSDIEDDTQTDHGHKHRTHHHQKNNKMHDRHSNMFGFGNQHGLPRPPYNYRPFPEYHNNYHPTMHMYQHQHGGYVMPPSMYPGLRPPYSGPYHGSGQPRATYGRYGSMFPSYNPMVHYTNYEDNYRYTI >CAK8578024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602454854:602461041:-1 gene:gene-LATHSAT_LOCUS30071 transcript:rna-LATHSAT_LOCUS30071 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLNLIKQHASNPKLWLIVGLGLAGGIVVLSETRRRRRRRNTPKQDFGAFIERFELLPFSQPPPPSAKQTLSSLTFAISDIFDVKGYVVGFGNPLWKRTHEEAEKTAVVVTALLFNGATCVGKTVMDEFSFGFSGENKYYGTPTNPKMPSCVPGGSSSGSAVAVAAGLVDFAIGTDTTGCVRIPASLCGIFGFRPSHGAVSTIGVFPNAQSLDTIGWFARDPTILHRVGHVLLQSNSVDAKRSRCIVFADDLFQLSKDATQKTIHVIGKAIESMSGYQAPKHMNLCEYIVSKVPSLKLHEQSAQQQNGTSILKTLSSVMLSLQRYEFKANYEEWVKSVKPRFSSGMPNNVLKAISSTHDNIKALYKVRTEMRGALQSLLKDGGILVIPSVADNPLKLNAKKSVCSEFHDRTFALSSIASMSGCCQVTIPLGNHDDCCISVSFISAHGADKFLLDTILDMYATLQEQASSGFHSIPLPNINGNREPSELLKEKGNAAFKEKQWDKAVSYYTEAIELNGTNATFYCNRAAALLKLGCFQLAEEDCSKAILLDKKNVKAYLRRGTARESLKRYKEALEDFKHALVLEPQNKDASFAERRVKKFMS >CAK8569148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676060623:676062302:1 gene:gene-LATHSAT_LOCUS22032 transcript:rna-LATHSAT_LOCUS22032 gene_biotype:protein_coding transcript_biotype:protein_coding MITENSGKHYPPPLASHNDVVNDASLFWDTLRRFHFLMATKFMIPVIGGKELDLHVLYVEVTTRSGYEKVVEEKKWREVGTVFRFSSTTTSASFVLRKHYLNLLYHYEQVHFFKLQGPIYTPSSDAFSGNSPSWKPELAIVQYSPKVVNNSSESHDEVDVESSCVLGKGTIEAKFDCGYIVSVKLGSEVLRGVLYHPEKVVASSPSQHGSGTAILPFNHKSYGSGKRRRRRKRKWDPNYPKPNRSGYNFFFAEEHYKLKQLYPNREREFTKMIGQSWNCLSPEERMIYQNIGLRDKERYKRELTEYKEKMKDGLTEELGNSK >CAK8567888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:554759573:554765855:1 gene:gene-LATHSAT_LOCUS20903 transcript:rna-LATHSAT_LOCUS20903 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGGRKPITHVVFDMDGLLLDTEKFYTEVQEIILARYNKTFEWSLKAKMMGMKAIEAAKVFVEETGISDSLSAEQFLVEREEMLRSLFPTSELMPGVSRLLKHLHAKEVPIAVATGSHRRHFELKTQRHGEMFSLMHHVVLGDDPEVKQGKPSPDVFLAAAKRFEGGPVDSNNILVFEDASSGVLAAKNAGM >CAK8541097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:64772049:64772390:-1 gene:gene-LATHSAT_LOCUS10048 transcript:rna-LATHSAT_LOCUS10048 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIMLGTWPEPSTRRSHYAFCISLYSISWYVGDFGPGLAFSSECFRYPFDLIRSLLYDLYLDLQIYIRHLSLLESAKCMNWLEHMKLIKDLRYFFCHHNGYVVPTKNLKCIW >CAK8563801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631854453:631855100:-1 gene:gene-LATHSAT_LOCUS17176 transcript:rna-LATHSAT_LOCUS17176 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKSSSWILKGIMKTSHIILRVQRYWNHSLREGKFRMGVVYKDIQNQEPNVNWYAILAGNKARPRAIFCLWIACHLKLATKKRLANWGMISYKTRCFCQASENIDHLLFECDVMQEIWSSFLQWLQVSHKPSQWEEKINWISNYCRGKGKRVGVMKIVIVETVYHCWNYMNNTCFNRNQNIDKIEVVNNIIEFIIQRGSYNRKYRKYIALLMM >CAK8562349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476424967:476425659:-1 gene:gene-LATHSAT_LOCUS15852 transcript:rna-LATHSAT_LOCUS15852 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDSGESRRSIKPQNRPGAPAPEQENLPCPRCDSTNTKFCYYNNYNYSQPRHFCKACRRYWTHGGTLRDIPVGGGTRKNAKRSRTHNIAVTSSSSSSAVTSAPEQNYHSMTSSFPYGGVDGEGKQNMSVCGSFTSLLNSNAQQNSGFLALGGFGLGLGHGLGDMGFGIGRAEWSFPGMMDGSNIGVPVVSSGIGNSWQLEGGETGFVGGGDCFSWPGLAISTPGNGLK >CAK8538473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484274008:484276215:-1 gene:gene-LATHSAT_LOCUS7665 transcript:rna-LATHSAT_LOCUS7665 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTDPEKNFSFKQPLQDEALSKKMISKEKESEVETEDYEVIDVIEKCCEFDNQINLISEAYHLACGGPMNLLDEFSNLIEIETPMKNSVLHIAAWNGNDDIVSLLIERAPKLLFKFNKNRDSVLHVAARNGHISTIKKLLKAYGDKSNTKDLLNFVKLENDQGNTMFHEAMLCHKRNIDRITIFNVCEQYKTEDLSEKSLSNTCYDYAVDIVNHEKKTVLYLAVENGNKDEVHVILENCRKTHDMPVGLSPLIAALLMHNQEMLRIIIRHRPTWIHTRDKHKMLPLHYAASIGFLEGVDLLLGLCQCCTIQRDEDGYFPIHLASYGGHVEVVKKLLEYCPDPTEMLDTSHERNIVHIAAMHGKYEVVCYILQSELPEHQKLINQKDKEGDTPLHLAARSCYPTTVYYLVNHNKKKVNLDLVNNNNETALDIIDSFYEARKSSFRQHLTSTALESAGAKKGLRKVRLHIEPNTSETSHLDHAEAIENPIIVSTLIVTASVAACLVVPGQADGEAYNLKLAMFHFFIIFITISLFSSISATIILFWGTVGLIELLIPTLKIVMPLLGIALISLSLAFMAGIYTVIGNLSWLANVFLVMTVIFIIIVVFLYILLFLPSSLTLKPLRYLSHYPFLFLASRSESDINQGIMPSG >CAK8531845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:163488210:163490977:1 gene:gene-LATHSAT_LOCUS1609 transcript:rna-LATHSAT_LOCUS1609 gene_biotype:protein_coding transcript_biotype:protein_coding METNENPPTNTLVVRKPCFGLPIGCPQCLSAYIYLKLSQLPFHLDYHLNYPDSDQIPYFEVGDYVTYNNDKEGIIGGLKRDVSDLDVGVSSLPEWLPTKVMLTTWLADALEYELWVGSDASSAYSIYYSDLPWPIGKVLFWKKAHWVKQKHEISKDNAEVKEEEIYGRANSAYDALSTLLGEENYLFENRASSLDAIFLAHALVVIQAFPESSILRSNFLKHANLVRYVQQRKEELIEAAGTSPSKDPYFGASSSTSGGPSTSSSKFKSRHKKEKTKEEKKQRKGRNILW >CAK8562763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534077759:534078530:1 gene:gene-LATHSAT_LOCUS16232 transcript:rna-LATHSAT_LOCUS16232 gene_biotype:protein_coding transcript_biotype:protein_coding MNALPQTQAQTVPDAWDSKGHPADRSKTGGWASSAMILGSEVCERLTTMGIAVNLVTYLTGTMHLGSATSSNIVTNFLGTSYMLALFGGFVADTFLGRYLTIAIFGTIEAIGVILFAITIKIPNLHPPTCS >CAK8572500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541928007:541938441:1 gene:gene-LATHSAT_LOCUS25061 transcript:rna-LATHSAT_LOCUS25061 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPLIQPSDRGSSSQQCGEPPRIFEELPKASIVSVSRPETGEISPILLSYTIELQYKQFKWRLRKKASQVLYLQFALRKRAIIEEFHDKQEQVKEWLHSLGIVDQTVMVQDDEEPDDGAVPIHHEDTVKNRYVPSVAALSIIRPSLGGQQSVADKAKVTMQNYLDLFLGNLEIVNSREVCKFLEVSKLSFLQEYGPKLREGYVTVKHLLNLSQDANITCFPCHWFHFCDNNWKKVWAVLKPGFLALLDDPFNNRPLDIITFDVLPSSPGKGETKIYLAEPIKERNPLRYTFKVTSGNRSICLRTISSAKVQTWVTAINEAGLRPMEGWCCPHRFGSFAPIRGLTVDGSQAQWFIDGEAAFEAIASSIQDAKSEIFITGWWLCPELYMRRPFDSFPTFRLDSLLEEKAKQGVQIYVLLYKEVSIALKINSLYSMRRLLKIHENVRVLRYPDHFASGVYLWSHHEKLVIIDYKVCFLGGLDLSFGRFDTPEHKVGDSPSVIWPGKDYYNPRESEPNSWEDTMTDEFDRQKYPRMPWHDVHCALWGPPCRDIARHFVQRWNHAKRTKAPNEHEIPLLMPHHHMVIPHYMGRSKEIDIDDKKDEDNKKEIDRQDSFSSQSPLQDIPLLLPQEADGAVTSNGDHRNPSESSPLLSQNLEGETLVSDNQKKGYQDEVVPFNFGPQCAVDAVDDWSETPEGTNDATTLEYEQVGPRTTCHCQVIRSVSQWSVGTSQPEESIHTAYCSLIEKAKHFIYIENQFFISGLAMDDTIQNRILEAIYRRILKAHKEQEDFRVIVVMPLLPGFQGGLDDSGAATVRALTHWQYRTISRERHSILHNLEAILGRKTHDYISFYGLRSHGRLFPDGPMATSQVYVHSKLMIIDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEYVESLMNGKQWKAGKFSHSLRCSLWSEHLGLHIGEINKINDPVADSTYKDLWSATAKENTRIYHEVFSCIPNDQIHSRATLRQSMVHWKEKLGQTTIDLGIAPDKLIYHENGERKIIDPIDRLKCIKGHLVSFPLDFMCEEDLRPAVIESEFYVSPQVYH >CAK8544916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711843298:711844785:-1 gene:gene-LATHSAT_LOCUS13558 transcript:rna-LATHSAT_LOCUS13558 gene_biotype:protein_coding transcript_biotype:protein_coding MILQININDVPHFVLFPLIAQGHIIPMIDIAKLLAQRGVIVTIFTTPKNASRFSSVLSRAVSSGLKINLVTLNFPWKEAGLPEGCENFDMVESKDMRFNLFHAITMLQKPAQELFDALTPKPSCVISDFCIPWTLRIAEKHQIPRISFHGFSCFCLQCVLKVNDSKILESVDSESEYFTVPGIPDHVRVTKVQVPGGAIPEDLKEFKEKMNEAEVKSYGEIINTFEELEEAYVKDYKKERNGKVWCVGPVSLCNKDGLDRAQRGNMASISEHHCLKWLDLQQPKSVVYACLGSLCNLVPSQLMELALALEATQRPFIWVIREGNKSEELEKWINEDKFEERNKGRGLIIRGWAPQVVILSHPSIGGFLTHCGWNSTLEGISAGVPMVTWPLFADQFLNEKLVTQVLRIGVSLGVEIPMRWGVEEKLCVLVKKEGIKEAICMVMDEGDESKEIRERARKLSEIANRVVEKGGSSYLNMTLLIQDIMQQKSKSKVET >CAK8570708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92133973:92135058:-1 gene:gene-LATHSAT_LOCUS23441 transcript:rna-LATHSAT_LOCUS23441 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILNEDQIVEIKEAFCLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMINEVDADGNGTIEFVEFLNLMAKKMKETDAEEDLKEAFKVFDKDQNGYISATELRHVMITLGEKLTDEEVDQMIKEADLDGDGQVNYDEFVKMMMTIG >CAK8533520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630645646:630648264:1 gene:gene-LATHSAT_LOCUS3142 transcript:rna-LATHSAT_LOCUS3142 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPISSIFYLCTRNRKTLSKFKAFLFNHTHVYSSNSQFYHPFRPFSTHLINPRFAPSFSQSLNLSSGRTLSIRPFSTEGEEGDSELEAGMAKDIDYKLGNEVSDDIYENEVVSYDDNDNDDFNSEIVDSVEFSSSSSDDDELEKKSEVDFTEVASRDPIELYGELKSVERGAKLVRAEVEVLTDVFHYFAKSGWASNQALAIYIGLSFFPTAAHKFHNFFRKRCADDVARYLISLGPCDEAVRFLFPIFVEFCLENFIDEIKSFREMVKSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAKGVYCSMLTGQEKKFLPFSNHVACTVEMASTQELYDVAIVDEIQMMADPCRGYAWTRALLGLKADEIHLCGDPSVLDIVRKICQDTGDELHVEHYERFKPLVVEAKTLLGNLENIKSGDCVVAFSRREIFEVKLAIEKLTNHRCCVIYGALPPETRRQQANLFNDPNNEYDVLVASDAVGMGLNLNIRRVVFNNLSKYNGDKILPVPASQVKQIAGRAGRRGCLYPDGLATTLHLDDLDYLIECLKQPFDHVTRVGLFPFYEQVELFAGQIPNMTFSQLLEKFGENCRLDGSYFLCRHDHIKKIANMLERIQGLSLEDRFNFCFAPINVRDPKAMYHLARFATAFAQKVPVNIAMGMPKSSARNDSELLDLESRHQVLSSYLWLSNHFDEEKFPYAKRAEAMASDIAILLGQSLIKANWKPESRSRGKPNAGISEEQTDPRSEVGLKIEKKDHRYSRPQSLIKLYDQKRHEKSLHSDRLKKIIA >CAK8544478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687887266:687889426:-1 gene:gene-LATHSAT_LOCUS13154 transcript:rna-LATHSAT_LOCUS13154 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSTQLLQSHYTLSHPQGNFSRDVSMVLRSQTASRIGYNKSLSSKSHFSLKFSATQRFEARSIRYRRVTCAASAAENVQELQAKVTTKCFFDVDVGGKAVGTIVLGLFGEVAPKTVENFRALCTGEKGYGYQGCSFHRIIKEFMIQGGDFTEGNGTGGKSIYGSSFEDESFALKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGMDVLRTLESQETSRLDIPVKPCRIVKCGELPLDG >CAK8541630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:318092653:318093388:-1 gene:gene-LATHSAT_LOCUS10535 transcript:rna-LATHSAT_LOCUS10535 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGNGEDFSPKYGIWNFDNNKFVQPVKIVSWVVVNFSAKWDVQGLVRDLTKCGGMKGIDIEQPLGIYEEETDVQFSGALPVERVTKIVEACSVQTSKEFCFWLYRTRTACLHGNRPFIRRCR >CAK8579233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686415309:686418745:-1 gene:gene-LATHSAT_LOCUS31196 transcript:rna-LATHSAT_LOCUS31196 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEKLVWEQMKSPKSGTPISNGGVLVTASAHSRTSHKLLVLLILFVSITYVLYSLKLVSTSRACQENTLFSTDNKLSGSVNATAITTTNHLATTDNDDGNKTQLRHVVFGIAASAKLWEQRKNYIKLWYRSNDMRGVVWLDDKVKTEKNESLLPPVRISGDTSKFAYKNKQGHRSAIRISRIVSETLRLGMKDVRWFVMGDDDTVFVTENLIRVLRKYDHNQFYYIGSLSESHLQNIFFSYNMAYGGGGFAISYPLAEALSKMQDRCIQRYPGLYGSDDRMQACMSELGVPLTKETGFHQYDVYGDLLGLLGSHPVTPLVSLHHLDVVEPIFPSVTRIEALQRLTIPMKLDSAGLMQQSICYDQSKSWTVSVSWGFAVQIIRGVFSPREMEMPARTFLNWYKRADYTAYAFNTRPVSRHPCQKPFVFYFSKANYNNTMQETLTEYEKHRVPNPACRWKMASPSSIERVEVYKKPDPHLWDRSPRRNCCRVMKSKKKGTMVIDVGVCRENEVSEA >CAK8574398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680056339:680058141:1 gene:gene-LATHSAT_LOCUS26750 transcript:rna-LATHSAT_LOCUS26750 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPSSLPTNNKTIFSVSARPQFLFPSSSLPFISTATIIHFHNHSRTNSLNLRRRCNSVTKLCVVPLPTTIAMTTTEWVQQDLPPPLTSTSPSPSLFDGTTRLYISYKCPYAQRVWITRNTKGLQEKIQLVPIDLQDRPSWYKDKLYPPNKVPSLEHNNEVRGESLDLIKYIDTHFEGPSLFPNDSPDKEFAEEMISYTDTFCKTVVSSFKGDVTEAGTAFDYLENVLSKYDHGPFFLGQFSLVDIAYAPFIERFQPFLMDVKNYDITLGRPKVAAWIEGINNIEGYKITRSDPKELVESYKKRFQANT >CAK8540759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19468420:19471237:1 gene:gene-LATHSAT_LOCUS9731 transcript:rna-LATHSAT_LOCUS9731 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHTPFITPRGSVSSSIGNSAELDANLTLSEKLKVFKSSTFDPNAYVASKSRSMNEKEIRHLCAYLVDLKKASAEEMRKSVLANYSAFIRTSKEISDLEGELLSMRNLLSNQAALVHGLAEGCQLGSLVTGNEDSDMDDIINEKTDISKTEKWLIGYLETLDVLLAEKRVDESMAALEEGEKMTREIIQGKTLSPSLFHALQNAITEHRQKLADQLAETICQPSTRGAEIRSTALALKILGDGPRAHTLLLKSHKEKLSRNMQSLESTTYGGVSAYTASISHLVFSTISQAASDSLTVFAGEEPAYTSELVTWAVRQAEHFSLLLKKRILVSIAASGGLRIASECVHVCLSHCHLLEASGMALSPVLIKHFRPFVEQALHTNLKRIEQSSAALAASEDWLLAYAPTSRSNNTGLHPVSSYSSLNSYQPKLSISAHKFNSMVQEIFEDVGPLEILQLDGLALEGLHQVFSFYVNLLINAMPGSAVIENLEGTGPKIVKIAETEMQQIALLANAILLADELLPRAVIKLSVITKGDDDSQRRASDKQRLPEQRELKKRFQREVDRLRDSFCRQHALELIFTEDGEPLLNAQMYLSMEEKGEIPEWFPSPIFQELFVKLTGVAGIVSDVFVGRERFATILLMRLAETVILWLSDDQAFWEEIETGPTPLGPIGLQQLYLDMQFVMIFSSQGRYLSRHLHQAIKNIIGRAIDAVAATGLDPMSVLPEDEWFVEVSEIAIKMITGKAAFDNVEEDAYSPTGFAQA >CAK8537709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422381423:422383783:-1 gene:gene-LATHSAT_LOCUS6973 transcript:rna-LATHSAT_LOCUS6973 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMQFTIVFLLALSCMLPPSVEAMVRHYKFNVVMKNATRMCSTKPIVTVNGKFPGPTIYAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQVYMYNFTLTGQRGTLWWHAHILWLRATVHGAIVILPKLGVPYPFPRPHMEQVIILSEWWKSDTEAIINEALKSGLAPNVSDAHTINGHPGPVQHCASQEGFKLGVEPGQTYLLRIVNAALNEDLFFKIAGHKLTVVEVDAAYTKPFKTDTIVITPGQTTNVLLKTNHVSGKYMVAASTFMDAPITIDNVTATATLNYPNTLGSTITKLTSLPQRNSTTIAENFLDSLKSLNSPKYPARVPTKIDHSLFFTVSLGVNPCATCVNGSRVVAAINNVTFVMPKISLLQAHFFNMSGVFSDDFPEKPEIVYDFTGKKQPTNLSTNKGTRVYRLAYNSTVELVLQDTGMITPENHPIHLHGFNFFVVGRGQGNFKAKKDAKKFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESLLPPPSDFPKC >CAK8535441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848597146:848597805:-1 gene:gene-LATHSAT_LOCUS4905 transcript:rna-LATHSAT_LOCUS4905 gene_biotype:protein_coding transcript_biotype:protein_coding MTNECESHEDQNRIRYLFYGILTLIILTLLTIFLTWIILRPTNPRFTLQDIRVIAFNLTTTGEPPSLTIPTLNTVTLTIQATLSSLNPNSKIGIYYIKLDAYASYRGQQISLPIGLPETYQSHGDISIWSPMLYGLAVPVSPYLSEILRQDLTSGGVLVDVKVNGRVRWKVGTWVSGGYHIDVNCPAFIRVNGNKGGDRFEGSNLAVKFQLSQSCVVDV >CAK8544008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655597483:655600048:1 gene:gene-LATHSAT_LOCUS12720 transcript:rna-LATHSAT_LOCUS12720 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLVLSNMYQRPDMNLNFINPTPNQPQQPQPPQQPQPESLDPDKLQEHFDDFYEDLFEELSKYGQIQSLNICDNLADHMVGNVYVQYKEEDHAANALMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRDLRKRLFGRSRRSWNDRSGSRSRSPPRNRNYEGRSYSGRGSGRRDLDRPHGHHGRRPRSRSPRHRGKRSRSPAGRDRSPNPIRERESSVERRARIEQWNREKEVEDSGNKDNKSSNDYEEPSLRNGSESGNHQIE >CAK8544729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702686853:702687386:1 gene:gene-LATHSAT_LOCUS13384 transcript:rna-LATHSAT_LOCUS13384 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDLSRISLRPFKLTDVDDFFLWAGDDEVTKHIRWKTCLSREEALAFIKDVCIPHPWRRSICLDDHSIGFVSVYRWSGDDRYKADIGYAVAANYWGHGIATKAIKIALSRVFNDFSDLLRLQAFADVDNKASQRVLEKAGFLREGVLRQYTIIKGTVKDLAVFSFLSADEIPHVD >CAK8579183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682429976:682430785:1 gene:gene-LATHSAT_LOCUS31149 transcript:rna-LATHSAT_LOCUS31149 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYNLEYEFNLIRRAIHQNHFIISMDTAFPGVIHSSKIDHRRLQPSDYYRYLKANVDDLKIIQVGLTLSDSKGNLPDFGSNNTYIWEFNFSDFDINHDLCNQDSVDMLRRQGINFERNICHGVDSMRFADLMLSSILVFKESIIWVTFSSAYDFGYLVKILTRMNLPNRLEEFLNIIEILFGRSVYDMKHMMKFCNSLYGGLEQVASILNVNRAVGKSHQAASDSLLTWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8534603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746899340:746900872:-1 gene:gene-LATHSAT_LOCUS4136 transcript:rna-LATHSAT_LOCUS4136 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIRADEISQIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFKEGTVGIALNLESKNVGVVLMGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPTPGIISRRSVYEPLQTGLIVIDSMIPIGRGQRELIIGDRQTGKTAVATNTILNQQGQNVVCVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETTNSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLYSRLLERAAKLSCQLGEGSMTALPIVETQAEDVSAYIPTNVISITDGQIFLSTDLFNVGIRPAINVGLSVSRVGSAAQIKAMKQVAGKLKLELAQFSELEAFAQFSTDLEKTTQNQLAKGQRLRELLKQSQSSPITVEEQIITIYTGTNSYLDSLEIQQVRKFLVKLRAYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEHMELFLLQEQVEKN >CAK8570706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92126395:92128455:1 gene:gene-LATHSAT_LOCUS23439 transcript:rna-LATHSAT_LOCUS23439 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKLSTTLLKYDDILRRYLSSKDNKFGLCASAITICLKTCVALGTLEFGRGVHVDSIKLSFNSDCFVGSTLIRFYSQYGKIKYAHKVFDEITHKDIVAYTSIINAYAHSGDSSAYGAFTIASTMQKHGLLPNRVTLVSLMHAAAKLGAMHEGGAIHGYAVRREIGLCDDVFETTLLDMYHKCGGIGLAASVFAKMDARKTTNVGSWNTLIAAYLQNGQALEAFQLFRQMICRNVLPDLVTLANAILCCGELNYLRRGMSIHGYMITMGAELDLVASTALVDLYCKIDVTKARKLFERLGNKDAVVYNVMMTGYLENELPVEAVNVYREMVKWNINPNVALFLNLISAISKLRDIRLVRSIHGYVLRHKHISNVEIANQIIHAYAKCELVVDARVVFSRMRTRDLVSWTSMMTGYIYLGHIDEAINLFRLLQKENLNIDSVTLIGLIQALSRLGYLSFVKEVHCFSYRFFHGNELSVNNSLINTYAKCGKLCMARYIFEQMTELSLTSWNAMIGAYAMHGNYTEVLELFDHMKFAKVTPDEMTFTSILTACSHSGLVEEGLQIFGIMMKEYAIVPSEVHYSCIVDLLSRAGRLKEAYNLVKSIPSRHSSAALSALLSACRLYGDTEIGEDIGKQILKLETHSSGAYALVSNIQAQGGRWDEVAQIRAVIKNTKMRSIPGFSACLD >CAK8562992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564783648:564784187:-1 gene:gene-LATHSAT_LOCUS16446 transcript:rna-LATHSAT_LOCUS16446 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANTDLLRYSLNDIPPPPPPSSLSVPPGMVSVQQMPQRQFSGSGYSSFPYSLPWTDTSSEDMSEGGGGGGGNHL >CAK8575433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117787946:117788875:-1 gene:gene-LATHSAT_LOCUS27700 transcript:rna-LATHSAT_LOCUS27700 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLGQKFAKLYNKLENHDHHHHEADELSASLKAFRSQVSKLIDELGLGSEVISLFWIQKCLGIFPLINKAFEKLTVEIDYPMSKWEGDSIEEYLSYSLCLLELFNSISSSLSHLEKARLSLIHALKVFENENSQSLTTSHHHYPKAIQPSCLNTKFGEKLCEKNGKVKFFNGKEFVVNEGVKELKSIGFWVCGILLSGLCSDVKPYIEVKKMVGGFDGSLIFTLDSRISEGLGEKSFMLKEVKEVNDAVDSILEASDEVKHDAAKELETKLNKFVEVSDGVKAEVDGLFTKVMALRTDLIDQLRKQY >CAK8539774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524817121:524823237:-1 gene:gene-LATHSAT_LOCUS8838 transcript:rna-LATHSAT_LOCUS8838 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTDTNKEQKEEVVTPWEVSGKDGGKIDYDKLIDRFGCQRIDQSLIQRIEQLTSLPAHVFLRRGVFFAHRDFAEILNAYEKGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVDECRRLARENAKDIIACGFDISKTFIFSDFDFVGGAFYRNMVEVAKRVTYNQAVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSGKDNLRCLIPCAIDQDPYFRMTRDVAPKLGYHKPALIESLFFPALQGETGKMSASDPNSAIYVTDSVKDIKNKVNRHAFSGGQDSVEKHRQLGANIEVDIPVKYLSFFLEDDAELEHIKKEYGAGRMLTGEVKQRLIQVLTELVERHRTARANVTDEMVDAFMAVRPLPYMFD >CAK8542191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487323466:487325178:1 gene:gene-LATHSAT_LOCUS11052 transcript:rna-LATHSAT_LOCUS11052 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSENILSLSLRYNSEVHGGTDSLCIPLCSLCHKVLPLDDGAITGDLALGGVCSDCADSLCVPLCSLCRKALSPDDEITGDLATGGVCGDCKFLLLEDFGNHTVSQSSQRRLRGRFRHSSSESVPNVGGQNHSTASVEEDQLVDGDNPAMSLQYASANTTPSRSRSWRHVLSDTDSEGFENWRSHYGENESNASFRQYMVPQSETGSFSFSPYGGDSDISIDRQSFVGTGIFNLPDEGDEFDTDTDIDPMHAGLGQWNSDNMEDEEEEDEEEREWELPEVDESASARLQVLFNSSPNESRGRINWEQRFNATETEGIFSQLIRETWLALDDAELPDEANFGDFLDTRRFNDLLDNLADNDPSRRGAPPAAASFVNNLPCVVISKEHEKHDELVCAICKDVLSVGTEVNQLPCSHLYHCRCILPWLRTRNSCPLCRFELPTDDKDYEDGKQNNNDGRNVIYERQQQLHVIDDSFSDISDGVEASEDGDTTIHDVPNSSAIESGRGRWLFLAAAPIVSLVGMVIVLWLGRNSRSEGSRHSSTRLAAQNQQFFHDSRNRRESRRRRWWCPF >CAK8534726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:759628130:759631622:-1 gene:gene-LATHSAT_LOCUS4245 transcript:rna-LATHSAT_LOCUS4245 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQISLLFFLTLQLSVTVVISAELTIVNQCNYTVWPASSTTSGNATPTGFILNPGENSTITTSDKWTGRVWGRTLCTTDSTTGNFSCGTGDCGSGKIACDKSGSVPLTLAEFGLNSSNNQDFYDVSLVDGYNVPMNIVPLSSSGKCNTTGCPTDLNAVCPTELKVMKNEKLVACQGPCAAFNLQFFCCVGDHSTPKTCEPSVYAQIFKTVCPAAYSYPYDDSTSTFSCPATDYHVVFCPTSTSSSLDLVDQGGSVSLGESLIAGSGTTRWLSSSGDFAFGFYQLPDELFLLAIWYDKIQTDTIIWYANGDNPAAKGSRLVLNDSSGLVLTNPQGLELWRSYFTSGTVSKGVMNDDGNFQLQDKNSVAIWDSFSHPTDTFVPNQVMELNGNLSSRQGAFNFSRGRFKLHLQEDGNLVLNLINFPSNYSYDPYYSSGSNGDVKNQTDVGQRLIFDKSGFLYIEKKSGDNISISNQNGTFSTDNFYYKATINYDGVFTIAFYPKDPKRGQSWLIAKTIPENICLYSTFTFGEYVCGFNSICTLTNDQRPNCTCPDGYSLIDSNSMYAGCIPNFQVICQAGGNLGLQNDMYTMKELPNTDWPQSDYEKISPSSLQECKELCLQDCLCVLVSFSQSSCWKKKLPLSYGRKDQVVKAVSVMKLMKNDPFSSLTNQKKDHKTLIIVISVLLGSSVFVILILLGSMFFGFPYNRKKIKSCRTNKNVVDSNLRHFSFKEIVEATSNFRDELGRGSCSIVYKGTIEMMVNVAVKKLDKLLQDSDKEFQTEMSVIGQTHHRNLVRLLGYCNEGQHRILIYELMSNGTLASFLFTSLKPNWNQRFQIVLGIARGLVYLHEGCCTQIIHCDIKPQNILLDDQYNARISDFGLAKLLLINQSHTETGIRGTKGYVAPDWFMSAPITAKVDTYSFGVLLLEIICCRKNVEKEFFTEEKGILTDWAYDCYKTKRLDNLLEKDNDVGNGIMSLEKLVMIAIWCIQEDPSLRPTMKEVLLMLEGIVEVVAPPSPYFYGSVSCS >CAK8541940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439731452:439732381:1 gene:gene-LATHSAT_LOCUS10826 transcript:rna-LATHSAT_LOCUS10826-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8541939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:439731356:439732381:1 gene:gene-LATHSAT_LOCUS10826 transcript:rna-LATHSAT_LOCUS10826 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQHAPTQHICHAKCSACTHTTHLSCQVLSMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8570579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63187772:63190174:-1 gene:gene-LATHSAT_LOCUS23317 transcript:rna-LATHSAT_LOCUS23317 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGTRSEKVRRIFNQFDANQDGGLNREEMASLVGAVNPRVKFSDEQINAILDEVFRTYAEFIDGERGLTYEGLLRTYDDGAGDVDRDFDALALDLNVDEAGKAPAPVSEASSSSIVDERMAVESQKKQRTAAWAVSPNHGIVFDDTWKIVDDLEILIKRLKLKQAKDGKVKGENFDAYSDAGWSRELGPSTEISDKRVIWDESGHDYAVFVKEVGGLRGRADSARSREEAFDGHMAIGRVLYEHQLFKEALISFKRACELQPVDVRPHFRAGNCFYVLGKYKEAKEEFLLALESAVAGGNQWAYLLPQIYVNLGISLEGEGMVLSACEYYREAAIACPTHFRALKLLGSALFGVGEYKAAVKALDEAIFMKPDYADAHCDRASALHAMGDNERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRATEMYTRVLAVWPNHWRAQLNKAVSLLGAGENEEAKRALKEALKMTNRVELHDAISHLKQLQKKKNKPNGAIPGESPFVIIEPSKFKTVGEKTTVRQDLASALQIRALQKVARLSRCNVELLKKEMSERDVPVSYSGSGVPQKSIRKPNLEEILRKLLSFLKPDTFQGAVKAINERILSVLDENDSGRLDLGMFYAILAPICGGPAERRKRVAFDALLWRPMNEDGANLKKVDATRYIKLLRAIYIPSQGVSELMEVHGNLDTSMVSFAEFLVMFDDPDWGFGIMPTLVKLETGDRNRHGKAMCSVCRYPIIGSRFKEIKSHFSLCSQCYSEGKVPSTFKQEEYRFKEYGNEGEAMKDKCTCFNLQSRNEK >CAK8530606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:34809339:34810166:1 gene:gene-LATHSAT_LOCUS459 transcript:rna-LATHSAT_LOCUS459 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKVSEAGLIVHIHPSKSNQVSKSVLRELSTMLFTYNEVFDGIVLAYDVNSLDKCAKILPGVYPYFGVNLKVNLLLFSPKPDMLLEGKVVKLTHESIHVVVLGFASAIITEKDIRAEFVYKMKHGQEVYASNSHKRHVIKVGTTIKFLVKR >CAK8538618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489335277:489335774:1 gene:gene-LATHSAT_LOCUS7799 transcript:rna-LATHSAT_LOCUS7799 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEEFMDDSDEEQHLQNECQFGSSSRPKRRTTVDRSREEGHNRLFNDYFSENSVYTDVQFQRRFRMHMHVFLRIVDALGNHDEYFQMRVDATGKMGLSPFQKCTSAIRMLAYGSPADIVDEYVRNGESTSIECLERFVKGVNVVFGMSI >CAK8563816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632676653:632677519:-1 gene:gene-LATHSAT_LOCUS17188 transcript:rna-LATHSAT_LOCUS17188-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLYKYVAVLAFIFALVIGTFECRTLKKEEYVDTFGGGGLGGGAGGGFGGGSGGGVGGGFGHGGGVGGGGGFGGGSGGGGGIGGGHGGGLGGGGGVGGGFGGGSGGGAGGGIGGGHGGGLGGGGGTGGGFGGGAGGGIGGGHGGGLGGGGGAGGGFGGGSGGGLGGGSGGGSGIGGGGGAGGGIGGGSGGGVGGGFGGGHGGGAGGGIGGGGGFGGGHGGGAGGGIGGGGGTGGGVGGGFGGGSGGGAGGGFGGGSGGGADGGFGGDQGGGSGGGFGGGASGGAGGD >CAK8563817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632676653:632677519:-1 gene:gene-LATHSAT_LOCUS17188 transcript:rna-LATHSAT_LOCUS17188 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLYKYVAVLAFIFALVIGTFECRTLKKEEYVDTFGGGGLGGGAGGGFGGGSGGGVGGGFGHGGGVGGGGGFGGGSGGGGGIGGGHGGGLGGGGGVGGGFGGGSGGGAGGGIGGGHGGGLGGGGGTGGGFGGGAGGGIGGGHGGGLGGGGGAGGGFGGGSGGGSGIGGGGGAGGGIGGGTGGGVGGGFGGGSGGGAGGGFGGGSGGGADGGFGGDQGGGSGGGFGGGASGGAGGD >CAK8578148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609881604:609883195:1 gene:gene-LATHSAT_LOCUS30186 transcript:rna-LATHSAT_LOCUS30186 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPKQPYFQNFMLDHLTTDQDQGSNNMYCEKQLSTFPNLYDSSSSQPNCYDPSSYNMSFTDCLQGTNMDHYNSSLANSFGVLSPSSSEVFSSVDQGNQQKPEVGPDQTLANSSVSNSSSSEAGVAEEDSGKRKKNGLVVKEEQGQDNTKNGNNKVDKKKGEKKQKEPRFAFMTKSEVDQLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSYEDPTTVITTYEGQHNHPVPTSLRGNAAAMFTPSLLSAPTPFSYGSNFPQDFLLHMHHHHNNTFNITSQPSSTNSASVAASIFSHNNNVSNSLLHQYQQQQQQLVPDQYGLLQDIVPSMFLNN >CAK8536849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28176568:28176954:1 gene:gene-LATHSAT_LOCUS6176 transcript:rna-LATHSAT_LOCUS6176 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAGSAAKAVAEYQYPWREKLVKYKDELAKGVWGYWELGAWKLLSISARHRARLRKEVLLAGEDWTFDPERKEMKTRRKGHKVDRIATEKRANTARLMEKMPDMLLDYKKKKWQKKMKEEDRGKL >CAK8574298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674475238:674475981:1 gene:gene-LATHSAT_LOCUS26656 transcript:rna-LATHSAT_LOCUS26656 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCYINTLNRFYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPINDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8570928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:174370888:174393138:1 gene:gene-LATHSAT_LOCUS23636 transcript:rna-LATHSAT_LOCUS23636 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSEKSQKNKNNDKPKIDKASKKISKPKREQNGASVKSESLALQLEDEVPDFPRGGEFSVKRSSNNYEKFGDEDLSKNLWKNKKKGKKVFSKSEDAESDFGLLFGAGITGKLPRHVNRVTLKNLTPGMKLWGVVAEVNNKDLVVSLPGGLRGIVNASDALDPILNDKTEIGESFLSSVFSVGQLVSCIVLRLDDDKKEKGHRKVWLSLRLSLLHKNFNLDVVQEGMVLAAYVKSIEDHGFILHFGLPSFTGFLPKEGWNGEVRIGQHVQGLVKSVDKAHKVVYLSPNSDKMSNSVTKDLKGMSIDLLVPGMMVNARVKSILENGVMLSFLTYFTGTVDLFHLHNIYPAASWKDKYIESQKVICRILFIDPSSRAVGLTLNPHLVQNRAPPSHVKIGDIYENSKVVRVDKGSGLLLEVPSIPESTPAFVSISDIAEEEVQKLEKKYKEGNHVRVRILGLRHLEGLATGVLKASALEEAVFTHSDVKPGMMVKAKILSVDSFGAIVQIPGGVKALCPLRHMSELEIAKPGKKFQVGAELVFRVLGCKSKRVTVTHKKTLVKSKLEIISSFVDVTDGLITHGWITKIEDHGCFIRFYNGVQGFAPRSELGLEPGGDPAAVYNVGQVVKCRVISSIPASRRINLSLIIKPTRVSEDDVVTLGSIVSGIVDRVTSNAVVVYINSSGFSRGTISMEHLADHHGQATLMKSVLKPGYNFDQLLVLDFKGNNMILSAKSSLIKCAQQIPAEISQMHPNSVVHGYICNLIETGCFVRFLGQLTGFSPRNKAADDQKTNILEAFFIGQSVRCNVSNISNETGRVTVSLKQASCSSADASFINEYFLMDEKIAKLQNKSPSESDLKLDEKFNIGTITEGRVEEIKDVGVVVCFEKYNDVFGFITNYQLGGTVVEKGSLVEAFVLDFAKAERLVDLTLKPEFINLSRERSSMSHTKKKKRQRDALKDLVLHQIVNAVVEIVKENYLVVSIPENNYTLGYAQLSDYNTQRFPRKQFLNGQSVVATVMALPSPETSGRLLLQLNDVKGTSSSKRTKKFSYKVGSLVEAEVTEIKTFELKLKFGFGLHGRVHITEVHDANVLENPFSGYKVGQTVTARIVSKPNEADSSRNGSRWELSLRPEMVTGSSDIGDTVSEKLDFKIGQCVSGYIYKVESEWVWFAVSRNVKAQLHILDSSTEPNELEDFQNRYHIGKTVSGHVLSINLEKKLLRLVLRPFSTLPLRTDEEPQINLVNKELTAHIHEGDILGGRIGKILPGVGGLLVQIGPHTYGKVHFTELTEKWVPDPLSGYHEGQFVKSVVLEVSNTVRGTVHVDLSLRSSYVEPLKVSSDVHSNVRAIGKCVEKIEDLHPDMVVKGYVKIVSPKGCFILLSRKIEARVLLSNLSEQFVTNLVEEFPVGKLVTGRVVSVEPLSNRVEVTLKTSTVSSTSKSEISDLGKFHVGDVITGRIKRVESFGLFIAIDNTNMVGLCHVSEISDNHIENIEAKFEAGEKVNAIVLKVDEEKHRISLGMKKSYLMGETVVQIPLDKGSNIPNGDEMKSMSATNSSLLGPLNIEVEYETDQLPILSQAEERAYIPPLDVVLDDLDQLEENNTNNISEEGANKKDALNEKQLRHEKKKAKEEREKQIRAAEERLLEEDVPRTADEFEKLVRSSPNSSFNWIKYMDFMISLADVEKARSIAERALRTINIREENEKLNIWKAYFNLENKYGNPKEEAVMKVFQRALQYNDPKKVHLALLGMFERTEQYSLADELLNKMTKKFKHSCKVWLRRVQNLLLQKKDTVDVVQRAMLSLPQQKNVKFACQAAILEFKTGLPDRGRSLFEEILREYPKRTDLWSVYLDQEIQRKDKDLIRALFERAISLSLPPKRIKFLFKKYLDYEKSQGDEERIESVMRKAMEYVESTMA >CAK8540002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535182240:535187232:1 gene:gene-LATHSAT_LOCUS9045 transcript:rna-LATHSAT_LOCUS9045-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSTKVVNGSNGNTTNNDNRKRNSTTSTETVTGTSTKAAAAVTVQKHLVQSSGQRRRGADEGQKKGTPSQQTKPKDKVNSRHIPCGKRTDFGYEKDFDKRFSLGKLLGHGQFGYTYVGIDKSNGDRVAVKRLEKAKMVLPIAVEDVKREVKILKELTGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILGKKDSRYTEKDAAVVVRQMLKVAAQCHLHGLVHRDMKPENFLFKSNREDSALKATDFGLSDFIKPGKRFPDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISTPAKDFVKKLLVKDPRARLTAAQALSHPWVREGGEASEIPIDISVLNNMRQFVKYSRLKQFALRALASTLNEGEFSDLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAATLHVHQLEEHDSDKWQQRSQAAFEKFDLDKDGYITPEELRMHTGMRGSIDPLLEEADIDKDGKISLPEFRRLLRTASIGSRNINSPSHRNRRA >CAK8540003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535182240:535187232:1 gene:gene-LATHSAT_LOCUS9045 transcript:rna-LATHSAT_LOCUS9045 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSTKVVNGSNGNTTNNDNRKRNSTTSTETVTGTSTKAAAAVTVQKHLVQSSGQRRRGADEGQKKGTPSQQTKPKDKVNSRHIPCGKRTDFGYEKDFDKRFSLGKLLGHGQFGYTYVGIDKSNGDRVAVKRLEKAKMVLPIAVEDVKREVKILKELTGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILGKKDSRYTEKDAAVVVRQMLKVAAQCHLHGLVHRDMKPENFLFKSNREDSALKATDFGLSDFIKPGKRFPDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISTPAKDFVKKLLVKDPRARLTAAQALSHPWVREGGEASEIPIDISVLNNMRQFVKYSRLKQFALRALASTLNEGEFSDLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAATLHVHQLEEHDSDKWQQRSQAAFEKFDLDKDGYITPEELRMVGVSQSPLTVLHTGMRGSIDPLLEEADIDKDGKISLPEFRRLLRTASIGSRNINSPSHRNRRA >CAK8578424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628514441:628514653:1 gene:gene-LATHSAT_LOCUS30437 transcript:rna-LATHSAT_LOCUS30437 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWGPVVISVVLFVLLSPGLLFQLPAKGRVVAFGSMQTSGISILVHTIIFFGLITIFLLAIGVHIYSG >CAK8569371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696967272:696967532:1 gene:gene-LATHSAT_LOCUS22228 transcript:rna-LATHSAT_LOCUS22228 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGMGRSTSSKQVEVPKGYLAVYVGEKAKRFLIPVSFLNEPLFQELLSQTEEEFGYCHPMGGLTIPCKEDVFLYTTSRLNNL >CAK8566619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443082578:443085343:1 gene:gene-LATHSAT_LOCUS19738 transcript:rna-LATHSAT_LOCUS19738 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILISPSLQNSFSPHKQSTSLSLSTIFIFLVSVHFLVISPKKPALLQLTFPTHINLLSLQQNLQVCNFSKTMIWEFGFSCLGGSPSNPSTSRRSLSHEQNDENDHKTTTLEHNKAWLLAESGGCGPELNNADPQSVHSSFRFSFYSQVELESLNLSSSTAATVLMVNLDNGVTESRAKEMKLRRVESLEKTISPVAHSLIRFTYAEIVSATRNFSKGRVLGRGALSCVFRGRVGLLRTAVAIKQLDKEDKESSKAFCRELMIASSLHSPNVVPLVGFCIDSEEGLFLVYKYVSGGSLERHLHGRKKGGKGSSTLPWSVRYKVAIGIAEAIAYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIETKRSPGEENLVLWAKPYLQKGKGAIEELLDPQLKCNLRYSNQIGRMIEAAAVCVTTEESRRPGIREVIAILKGEEEPVFSKRRKSSFLGNGCVIDCYSQLEQSNSEMKSHLALAMLGVSEFEDDDYLYVC >CAK8566620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443082797:443085343:1 gene:gene-LATHSAT_LOCUS19738 transcript:rna-LATHSAT_LOCUS19738-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEFGFSCLGGSPSNPSTSRRSLSHEQNDENDHKTTTLEHNKAWLLAESGGCGPELNNADPQSVHSSFRFSFYSQVELESLNLSSSTAATVLMVNLDNGVTESRAKEMKLRRVESLEKTISPVAHSLIRFTYAEIVSATRNFSKGRVLGRGALSCVFRGRVGLLRTAVAIKQLDKEDKESSKAFCRELMIASSLHSPNVVPLVGFCIDSEEGLFLVYKYVSGGSLERHLHGRKKGGKGSSTLPWSVRYKVAIGIAEAIAYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIETKRSPGEENLVLWAKPYLQKGKGAIEELLDPQLKCNLRYSNQIGRMIEAAAVCVTTEESRRPGIREVIAILKGEEEPVFSKRRKSSFLGNGCVIDCYSQLEQSNSEMKSHLALAMLGVSEFEDDDYLYVC >CAK8531805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153434315:153438624:-1 gene:gene-LATHSAT_LOCUS1570 transcript:rna-LATHSAT_LOCUS1570 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATTSVVPANRRRTVISNEDMDFETTEGVKAIATFEGMGIKEDLLRGIYAYGFEKPSAIQQRAVAPIIQGRDVIAQAQSGTGKTSMIALTVCQVVDTSVREVQALIVSPTRELATQTEKVILAIGDHINIQAHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDESDEMLSRGFKDQIYDVYRYLPPDLQVCLISATLPHEILEMTNKFMTDPVRILVKRDELTLEGIKQFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRNNNFTVSSMHGDMPQKERDAIMGEFRAGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNIGDLI >CAK8531683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139239882:139241237:1 gene:gene-LATHSAT_LOCUS1456 transcript:rna-LATHSAT_LOCUS1456 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYTLHSSFEMLIKFMNTDVSSNHLFMVLLVIGLATFYFACRRKIHIYLIDFNCYCPPSSYRLPKAMFEENNVYDEMDQEAVDFQSKINAKSGFSDETSIPPCLAQIPKVKALAFALEEAEIIMYTAIKDLLTRTKINPKSVDILITNSSIFCPTPSLSSMVVNKFMMRSNIMSFNLSGMGCSAGIIAVSLAKDLLRVHRNSIALIVSTETLSLNWYTGKVPSMLLTNCLFRMGGSAVLMSSRVQDKYKAKYELQHVVRTINAYDDQSYACVYQDVDSENKEGVSISKNIVNVCGDVLKKNIGSLGPLVLPWREQFLYVISILCSKVWSRRTSIYTPKFNRAFEHFCIHSGGRAVIQGIERNLKLKKEDVEPSKMTLYRFGNTSSASIWYELSYIEAKGWMKCGDRVWQIAFGSGFKCNSAVWKCLCDVKPDITTAWRDTIHSYPVDDIL >CAK8569318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693662620:693663060:1 gene:gene-LATHSAT_LOCUS22181 transcript:rna-LATHSAT_LOCUS22181 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSIGDMVKCWEAMNDNLMLQLSNIRASFQKSFYEVEHAHVTPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEICHCTLRTTYGLPCACELTGYRIDGLPMPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDESLEKV >CAK8576879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521594845:521598694:1 gene:gene-LATHSAT_LOCUS29041 transcript:rna-LATHSAT_LOCUS29041 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMHRKTDSEETTNSNEQSSSSPPRSPPRRPLYYVQSPSNHDVEKMSYGSSPIGSPAHQNFHYYISSPIHHSRESSTSRYSASLKNPRNISASSWKKLNNRNSHDDLDLDEDEDDEGVYDNTRNVRLYFCFFVLFVVLFTLFSLILWGASKSYKPRIVVKSIVFENLNVQSGNDGTGVPTDMLSLNSTVKILYKNPATFFGVHVTSTPLQLSYYQLTLASGQMHEFYQSRKSHRMIAVVVFAYQVPLYGGVSVLGNSNTEHMNSVALPMNLTFVVRSRAYVLGRLVKSTFYRRIRCSITIHGNKLGKHLNLTDSCVYK >CAK8542367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:505524882:505525226:-1 gene:gene-LATHSAT_LOCUS11211 transcript:rna-LATHSAT_LOCUS11211 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNKKTMMKLALMVFLLSFTANVVNARFDSTSFITQVLSNGDDVKSACCDTCLCTKSNPPICRCVDIRETCHSACNSCVCTASIPPQCRCFDTTKFCYKACHNSKKEEVIKN >CAK8530905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:61247393:61249705:-1 gene:gene-LATHSAT_LOCUS734 transcript:rna-LATHSAT_LOCUS734 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKPSLNNFLLPKSPCKPTTTTMFNGIPLVDLTHPEAKTQIVNACKEVGFFKVVNHGVPMELISNLETEAVKFFRKSQSEKDRAGPPDPFGYGSKKIGSNGDVGWVEYILLNTNPDVISNKSLTIFRENRPNLRTVVEDYIEAMKKMCYLVLELMADGLEIEPRNVISRLLRNEKSDSCFRINHYPPCPEVQQGALNGKNLIGFGEHTDPQVISVLRSNSISGLQICLNDGTWVSVPPDHTSFYINVGDTLQVLTNGRFKSVKHRVLSDTTKSRLSMIYFGGPALIEKIVPIASLLLKKEESLYKEFTWCEYKKAMYNSRLADYRLGPFEIAYGK >CAK8561178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:112581231:112584508:-1 gene:gene-LATHSAT_LOCUS14790 transcript:rna-LATHSAT_LOCUS14790 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVLIGINYPGTKAELRGCVNDVWRMHKCLIERYGFSEDDITVLIDTDSSYAEPTGKNIRRVLSELIRSAEDGDVLFVHYSGHGTRLPAETGEDDDTGFDECIVPSDMNLITDDDFKEFVNGIPRGCRLTIVSDSCHSGGLIEEAKEQIGESTKGGEEQSESGFGFSSFLHRKVEDAIESRGFHVPSGLRRHTHRDEDDEKAEDGDSELPHGTYGYVKNKSLPLSTLIEILKQKTGKDDIDVGKLRPTLFDVFGEDASPKVKKFMKVILNKLQQGGGEGEGEHGGILGMVGSLAQQFLKQKLDENDEGYAKPAMETEVGSKHEVYAGSSKRGMPSGGILMSGCQTDQTSADACPAGNAANAYGAFSNAIQAIIEETDGSVTYSELVHKARQKLQKAGFTQKPGLYCSDHHVDEPFVC >CAK8541327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:121365586:121369012:-1 gene:gene-LATHSAT_LOCUS10256 transcript:rna-LATHSAT_LOCUS10256 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGSGGGIHWLWAVASGAQMGWGVRSYRKGYPGDSCLMPLKAFSVASLFIGSAASASIFILQTNGIHGVDDLIDAGANLRAKLGLRPRRPNKNMDES >CAK8531601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:128743948:128745015:1 gene:gene-LATHSAT_LOCUS1379 transcript:rna-LATHSAT_LOCUS1379 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQISVRRWILVFALLYICLFLNNFGDADDDEPDTQIGGGGGGAGNGGGGAGFGGGSGVGSSGGGGSGVGGGSGSSGSFGDPTPIISKALLCFNDKYIYQSCEESYRLSENGNLDIPSEKTDVFCEGPCMSETNLVLGCIDNIFSNFIFYNRATIHDVKETILAGCGYGPQRGNFNVAEHIQTEENNAVKTTSHVLMGLAFIMMI >CAK8544267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674882206:674886030:-1 gene:gene-LATHSAT_LOCUS12960 transcript:rna-LATHSAT_LOCUS12960 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHDNERNYNLEEKKKRMAMTKNKKRNVSRLGGSGLSLDAFANAKSNNSQYNPSLIKKQRELYKNAKNVQKYKKMLKQHNQHNDPSVAQRHVENLNETEANNNDDKNERRKRKKDSAFSLEELYKKQHEEKEKERMEREAIFQEKKEQREKAEAHRKTLRQKMLKKTRKGQPVMKYRIEHLLETIQSSTKNAAGN >CAK8560767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41169215:41169721:-1 gene:gene-LATHSAT_LOCUS14417 transcript:rna-LATHSAT_LOCUS14417 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAHILNLVVNEGLKDKHLSVTSVRDVVGFVKSLPHRVANFKECIEFAGITCKKLVCLDVSTCWNATYLMLEAAEKFQLSFEKLEDEESSYREFFGKGNPPSSDDWDTARAFDAFLKLFYEATNIFSTSQSVSLHNLVSLIFVVLICNFVSTENFKTCLFEWQFTV >CAK8570755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:108152859:108156827:1 gene:gene-LATHSAT_LOCUS23483 transcript:rna-LATHSAT_LOCUS23483 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNKQTSKKSTRGEKPNVKFARRTPSGRYVNLSNTDIDMASNASGDYKNYTVHIPHTPDNQPMDDSSAATKAEEQHVSNSLFTGGFDSVTRAHLMDKVIDSQVSHPQMAGAKVSSCSICNGKVAKNERGNDVTPCECRFKICKDCFIDAQREDGMCPGCKEHYKVREYEDDSNDYLLPAPPGFRNNMSVMKRKQNGEFDHNKWLFETQGTYGVGNAYWPPDDDEDVDYGHHEGIFDAAEKPWKPLCRKTPIPNGIISPYRLLIFVRLVVMFFFLHWRVVHPNEDAIWLWLMSITCEIWFGFSWILDQIPKLSPVNRSTDLNVLHEKFDSPSPSNPTGRSDLPGVDLFVSTADPDKEPPLVTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPDTYFSLKIDPTKNKSRLDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMMKHMKESGADPSEPLKVVKAIWMADGTHWPGTWSPSSREHAKGDHAGILQVMLKPPNPDSLMGSEDDKIIDFTEVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYINNCKAVREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGIQGPVYVGTGCMFRRFALYGFDPPSGDWDEKNPKHNCNEEYCETTPALSASEFDQDLDVNLLPKRFGNSTMLAESIPVAEFQGRPLADHPAIKYGRPPGKLRAPKEPLDAPIVAEAVSVLSCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSKNNAFLGSKRLKLLQRLAYLNVGIYPFTSLFLIVYCFLPALSLFSGYFIVQTLSIAFLIYLLIMTLCLVMLAILEVKWSGIELEQWWRNEQFWLISGTSAHLAAVVQGLLKVVAGIEISFTLTAKSGAEDDEDIFADLYIVKWTSLMIPPIVIAMVNIIAIVVAFSRTVYSANPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAITLSLLWVAISPPTGGGDDPNTTDNFKFP >CAK8531432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110013205:110016966:1 gene:gene-LATHSAT_LOCUS1225 transcript:rna-LATHSAT_LOCUS1225 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQNFVVDLNKALVFQVGHLGEAYEEWVHQPIVSKEGPRFFQNEVLEFLTLTVWWAIPVIWLPVVCWFVYNSVQMGLSCPHIALMVVLGIFIWTLLEYTLHRFLFHIKTKTYWGNTMHYLLHGCHHKHPMDGLRLVFPPAATAILLFPFWNTVKLFSTPSTAPALFGGGLLGYVIYDCTHYYLHHGQPRTEVPRNLKKYHLNHHFRIQDKGFGITSKLWDKVFGTLPSSKADAKSM >CAK8531026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70370350:70371198:-1 gene:gene-LATHSAT_LOCUS843 transcript:rna-LATHSAT_LOCUS843 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFFNSSLTRLPFPSLPPPPPLPKPKPNNFSFRLHNSTTHMGDSRRPSPVPIPSLDKADRSELLRALEASLGSSFTSEPLIPNPNPLVIVISGPSGVGKDALISRLRDSRPGIHFVITATTRPRRPNEIDGKDYLFVSKEEFLKMVEKDELLEYALVYGDYKGVPKQQIREFMARGNDVVLRVDIQGAQTLRKVLGKSAVFVFLTAESEAALVERLVDRNTETKESLLVRISTAKEEMKHVRNFDYVVVNAKDKLENAVKLLESIIDAEKAKVCQRTLHL >CAK8533985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679353492:679355409:1 gene:gene-LATHSAT_LOCUS3565 transcript:rna-LATHSAT_LOCUS3565 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLAAHMGSNVISSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMPRKKRQRRSGCSFVGIVCGGCAA >CAK8560500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22358065:22358442:-1 gene:gene-LATHSAT_LOCUS14166 transcript:rna-LATHSAT_LOCUS14166 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCSILAKLKSAITRRTSLTKLSRNSSSISSSSKQQKREQKRHVVYVGKSRRQYLVNSKIFQHPVFQELVDRSCCNGGCEDGVVVVSCEVVLFEHLLWMLESAETEAQLGSMNELVEFYHCAAC >CAK8543527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:615871313:615873735:-1 gene:gene-LATHSAT_LOCUS12279 transcript:rna-LATHSAT_LOCUS12279 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEKLKSESTELPDCIISDIFSMLSLKTLVKTSALSKQWYREWGLKKDLNFDLHNMFDSNTMPELPKTLPRLQEAQSQFATILDNFMQKYPGDTISSIRVNFPLGVDHTYAIKGLIHKAALKGANRIELLFPCDADFKIEPYKFLFPLLSDTNSVTYLHLQNCHIAAPMKFSGLKNLKTLVLHLVPVEQNMLRPLCFNCIHLENFTLNQCTFLSDLKIRSTTLLHLNIDCGRNITKATMAKKIDIIASNLLSIEYSSKCIHSRRLHKLNIRSHTLSQFNYRCRRIFKLVNFSGLKNVTTIVLDDLHEVLPGDGDVITWLFSKCLQLEDVTFKNCLFMCDMKIISSKLRHLSIIDSRCVRLSKNQDSYKIDIDALNLSSFEYGNHTSYMRPIISVEAPKLLKVFWDGLFEINSFGAIARLRQVENLTMKLVVSQWRQISTLPKELVQIQNLTQLELIITFNLKMMDYSWILDIAMTSQHLQKLSLSINVHPEISHIVGAQRQRREYVGFFHNGLKYVELHGCVCSKYVIELASHLLRSATLLKQITFSSRHNYYIGAGSWTKLSGGCRSFDRNLIHEHLKDEVNEQCQLIIL >CAK8568348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597963320:597964588:1 gene:gene-LATHSAT_LOCUS21311 transcript:rna-LATHSAT_LOCUS21311 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWSGAIGAAKKKLDEDEAPRNFENVALVVGVTGIVGNSLAEILPLSDTPGGRWKVYGVARRPRPSWNEDHPVEYIQCDITDPKDSETKLSVLTDVTHIFYVCWASRPTEAENCEVNGAMLRNVLTAVIPNAPNLRHVSLQTGGKHYKGSFESFGKIKYHEPPYTEDMPRLDTNNFYYTLEDVLFEETAKKEGLSWSVHRPLIIFGFSPYSMMNILGTLSVYAAICKHEGLPLRFPGTKLAWENYYMASDADLIAEQHIWAAVDPNAKNEAFNCSNGDVFRWKQLWTVLAEQFEIEEYGFDEEGPRLKLTELMKDKGPVWEEIVEKHQLQPTKLEEVAEWWFADLSLGGTGFTDSMNKSKEHGFFGFRNTKNSVINWIEKTRAYKIVP >CAK8536115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908651218:908651430:-1 gene:gene-LATHSAT_LOCUS5514 transcript:rna-LATHSAT_LOCUS5514 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENTSSNLVLVARGRSYVKKNNETGVKYWKCGKLGHIKYKCPNEVVSQKSSESNASNVSLAVREDDLL >CAK8569339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695355055:695357367:1 gene:gene-LATHSAT_LOCUS22200 transcript:rna-LATHSAT_LOCUS22200 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVRNWCLEYLVRNSTIETNIINKLISRISLSNTDYRTKITIILRTLQDALSEAFIPECMLQILEVLEKLLLRCDSSNSNSNSISAAMKAAYCSIAVECTLKYLEVGTSNPSYGIAVKRIWKGRVQDMQSEGSSFLLSDELKQWKIEIENSLLDEKVMEKLCSVPNTRRDAIRKVQAFLGEAWENLGPSFLESAAKNQLDASVGVLQMKKHEKGSPVEIRKDNEKLEGKFTTAVDVEEVDLSTSCSKGESFPMNEVPKNGESVKCCSVELQTLAKDPGLVIEDMNQEPQMENRSTDANVPNPQACLSSNNNEANLNETTSVHQSDAHHPSLMEPNSTAQTHEWDDSIDGLRGETSYQASKFSLPSPRRRKVSPLKEYEPKNITKRRRPKKWSKLEEDTLRTGVNKFGRGNWKLILNAYTFEERTEVDLKDKWRNMMRYGGQ >CAK8577786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588327762:588328796:-1 gene:gene-LATHSAT_LOCUS29858 transcript:rna-LATHSAT_LOCUS29858-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGKKRLYSATKFILYTAGASVFLLMGILGIGLYGSNEPTFNFETLINQSYPVALEIIFYTAFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMEFFSHAHSIFCPWLMILGCIQIIYATATSFGQRNLKKRIAYSSVSHMGFIIIGIGSISDTGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTILSMASLALPGMSGFVAELIVFFGIITSQKYLFMMKILITFVTAIGMILTPIYLLSILRQMFYGYKFFNTSNSSFFDSGPRELFISISILIPIIGIGIYPDFIFSFSVDKVEAILSHF >CAK8577785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588327762:588328904:-1 gene:gene-LATHSAT_LOCUS29858 transcript:rna-LATHSAT_LOCUS29858 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMYSGQIGPFSSRDILLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGASVFLLMGILGIGLYGSNEPTFNFETLINQSYPVALEIIFYTAFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMEFFSHAHSIFCPWLMILGCIQIIYATATSFGQRNLKKRIAYSSVSHMGFIIIGIGSISDTGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTILSMASLALPGMSGFVAELIVFFGIITSQKYLFMMKILITFVTAIGMILTPIYLLSILRQMFYGYKFFNTSNSSFFDSGPRELFISISILIPIIGIGIYPDFIFSFSVDKVEAILSHF >CAK8539449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512982768:512984567:1 gene:gene-LATHSAT_LOCUS8541 transcript:rna-LATHSAT_LOCUS8541 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNFGIATTNVNFASRFRTTPKFNPRKSTIVCIGWDPEGILAPPSGGHLARQQFRKRLEKDSDAREEFERQVREEKERLQALRESRKDPDTPKELIEYFLDTEAQDFEFEIARMRPRLNEEFFSELKSELGEIRFAVNKTPALEDRLVELEALEKAIQEGKEAYDKMQADLTKAKENLTKIFTSKDVKATLLDMVERNEINRTLLTLLDENISSAHGANQTQAAEYMEKLRGAVLKYITV >CAK8532453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:265518068:265521468:1 gene:gene-LATHSAT_LOCUS2157 transcript:rna-LATHSAT_LOCUS2157 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLFIFLTIFLMVLVSSPKSVCGNAELKALMDLKHSLDPEGHFLSSWSTNGNPCDNSFEGVACNEKGQVANVSLQGKGLSGKLSPAIAELKHLTGLYLHYNSLYGEIPREIANLTELSDLYLNVNHLSGEIPSEFGKMESLQVLQLCYNQLTGSIPTQLGDLKKLSVLALQSNKLAGAIPASLGELGMLMRLDLSSNNLFGSIPTRLADAPFLQVLDVHNNTLSGNVPPALKRLDDKFVYEYNLGLCGEGFSSLKACNASDHANPNRPEPYGAGVGVTQKEIPETANIKLPCNTTQCQNSSKSKKTVSITVGLVLATIAVSAIGILAFTMYRRRKQKLGSAFDITESRLSTDQTRSIYRKNGSPLVSLEYANGWDPLADSRHFNGDKQDMFSSFRFNLEEVESATQYFSELNLLGKSNFSATYKGVLRDGSIVAVKSISKTSCKSDEGEFLKGLNILTSLRNDNLVRLRGFCCSRGRGECFLVYDFVSNGNLSRYLDVKEGEGEVLEWSTRVSIVKGIAKGISYLHAYKANKPALVHQNISAEKVLIDQRQNPVLSDSGLYKLLTNDIVFSSLKGSAAKGYLAPEYTTTGRFTEKSDVYAFGILLFQILTGKHKITSSMRLAAESFKFQEFIDQNLHGRFFEYEAAKLARMALLCSHDSPFERPTMEAIVQELANCSSCL >CAK8566451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424488926:424491825:1 gene:gene-LATHSAT_LOCUS19581 transcript:rna-LATHSAT_LOCUS19581 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLNLDLEDLYIHIAWPLYRKYGHAFEAFKIVVTDPDTVLSTLMREIKEVGPDGQEVTKVVPAVSEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVIHIKEAMRKAEAAGNEDCPVKIKLVAPPLYVLTTQTLDKEQGILVLNNAITCCTESIEKHKGKLLVKEAARAVSERDDKLLAEHMAKLRQDNEEVSGDEDSEEEEDTGMGAVDVDNGNAITE >CAK8533345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:605743232:605743675:1 gene:gene-LATHSAT_LOCUS2982 transcript:rna-LATHSAT_LOCUS2982 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESINQRDGRPLGTFSIDLRRGWCDCGRFQAFHLPCSHVIAACASIGQNHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRYGICRKIGHMRRKCPNVADPSNRPV >CAK8567090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486029384:486031172:-1 gene:gene-LATHSAT_LOCUS20175 transcript:rna-LATHSAT_LOCUS20175 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSDNIIGLVLALSSSIFIGSSYIIKKMGLTKAGSNGNRAASGGHSYLLEPKWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHIFGMLGCALCVVGSTTIVLHAPRERDIHSVKEVWQLATEPGFIVYSCLVVILVLVLIFVFAEKYGQTHMIVYVGLCSLTGSITVMSVKAVGIALKLTFEGKNQFIYFQTWFFTIIVVGCCLMQINYLNKALDTFNTTVISPVYYVMFTSLTIIASIIMFKEWDTQDASQIVTELCGFVTILSGTFLLHKTQDMGSKPTETCDTSSPQLPYTIKSPDRSDI >CAK8561838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:392226522:392230180:1 gene:gene-LATHSAT_LOCUS15392 transcript:rna-LATHSAT_LOCUS15392 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYTHPPPSPPPDSATVTNNGEATLDENLESPPPSPPPPPSSSLSPPPPPPSSSLSPQPPPPELQLPICWPENGILTIEWIQNLILCFDWSSKNLPPSEFPSVLPVQVFDSLILIASKMLHKEPNCIPIQPFRPEPDSSASVVVVGDVHGQLHDVLFLFREAGFPSRDRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPENIYLLRGNHESKYCTSVYGFEKEVMVKYSDSGKHVYRKCLGCFEGLPLASIIAGCVYTAHGGLFRSGTVTPAKRLKGKKNRRINVNTDSKRLSLGSLEELSRARRSVLDPPWEGQNLIPGDVLWSDPSQTNGLSPNKERGIGLMWGPDCTEQFLKKYQLKLIIRSHEGPDAREKRHGFEGMAEGYTIDHVVDSGKLVTVFSAPDYPQFQATEERYNNKGAYIVLEPPNFDTPIFHGFSAVTPRPKASPYYDYEEVIDSDGELDLASMVTS >CAK8563397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599822377:599823582:1 gene:gene-LATHSAT_LOCUS16814 transcript:rna-LATHSAT_LOCUS16814 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPLRKSNLASSAFLPDELMEEIFSRLSVKNLIQLKCVSKFFKTLISNPYFVKIHLKKSARTPNLALMWQNNWKDDETIFVSQSVPRLLQNQFNSFHNDPSYLLSDFDGIDRVVGSCNGLLCLIGNPFSSQGWLCFWNPAMRTKSENFRLFSDLFSRRREFKFSFGYDILNETYKVVAFMVEVELGGNLKNVVKVFSPGDNSWRDIQCLPVIPLYWYDVCNNKDVYLNGTINWLANCNHHDFNLMGVIENYVILSLDLSTESYTQMTLPLGFDKGPHVRANIAVLMNFLCFCRDLERKHFVIWQMKEFGVQESWVQLFKISYQNFYSTTSDLFHTPLADLLPIHLCENGYTLILTNHHKAPAFICDCRDDTVERIRTIEIMGWLWAKDHIESLVPPCRK >CAK8562406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:485861691:485862701:-1 gene:gene-LATHSAT_LOCUS15904 transcript:rna-LATHSAT_LOCUS15904 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGESVGRGSFATVHLVLPKVTSNSTPTAVKTSEVSTSFSLKNERQILDRLGSCQQIIRCFGDEYTFENGQDYYNLFLEYASAGTLADQVKFHGGRIPEQDIRGYTRSIVEGLNHIHSKGFVHCDIKLQNILVFHDGEIKIADFGLARKSGEEQSRFECRGTPLFMSPESVNNGEYESPADIWSLGCAVVEMVTGKPAWSVEKDSSMWSLLLKIGAGEESPVIPEDLSKEGKDFVEKCFVKDPRKRWTAEMLLSHPFIEKVKNVIEVSPRNHFDFNDWVSSVSHSAPSSPESEESCQWDFDSCSFSGVDRLRQLVTVEVPVSWSESDSWINVR >CAK8573806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638208495:638212086:-1 gene:gene-LATHSAT_LOCUS26211 transcript:rna-LATHSAT_LOCUS26211 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIESFIFEKCEGKMFIVDVDFCSFLLLLPILLQDQPLSSLSSVESVQDPFVEPAKAASKDPLKISSKQGRLRKMIGVVAAKDLPAEPSEEEFTPYPHIEKDHLVKLLKQLLLNTAFLSPDLSTGKAPNAADVPTLLGSGSFSLLSHDKDKGNEDAKLPPPYMRWPHMKANQVHGLNLREIGGGFPRHRRGPSIRAACYAIAKPSTMVQKMQNIKRVRGHRNAVYCAIFDRSGRYVITGSNDRLVKIWSMETAYSLASCRGHEGDITDLAVSSNNALVVSSSNDCVIRVVSFL >CAK8531969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:185025532:185026845:-1 gene:gene-LATHSAT_LOCUS1716 transcript:rna-LATHSAT_LOCUS1716 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNSQSESPKSKPDLIDGDSEIPNSNNNDTSLETIVRSFQDSMSVTKQHKFWETQPVGQYKDVGDSTLPEGPIEPPTPLSEVKQEPYNLPAAYEWTTCDMDSEETCDEVYLLLKNNYVEDDENMFRFNYSKEFLTWALCVPGYYKSWHIGVRAKGSKKLVAFITGIPARIRVNDNVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPVATCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTSGFRRMELRDVPAVTRLLRNYLGQFVVAPDFDENDVEHWLLPHEDVVDSYLVESPENHEITDFCSFYTLPSSILGNQSYSTLKAAYSYYNVATKTPLSQLMNDALIVAKQKDFDVFNALDVMHNEGFLKDLKFGPGDGQLHYYLYNYRIRNAMKPSELGLVLL >CAK8533264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597928277:597932716:-1 gene:gene-LATHSAT_LOCUS2907 transcript:rna-LATHSAT_LOCUS2907 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSSPLPLNESDVDVGAKQVSRNNSRGVRVVGSRIYDSENGKSCHQCRQKTLDFSAACKNPKNGKPCTIRFCHKCLLNRYGEKAEEVDLLSDWMCPKCKGSCNCSICMKRKGQKPTGLLVHTAKKSGFNSVSEMLNKKASHDLEKGLVVELFGEPGKENSLGENNGLKVEKEKTKKMKQKKVKEISNGNNVDEKEILKKPNHCKGVSDNAVNRNKNAEMDTKVEGFVVDLFEEPGKENSLGRNKVENEKTKKMKRRKLKEISNGSNVDGACQKKMSKKPKHCNGVPEDEVKRSENPEMETTVEGHLVDLTGEAQQKNSLGESKGVKVENVKAKRMKQKKLNGISDGKNVEEACQKKILKRPKHCHEVSDVEVKSNENAEMEAKVKELVVDQSGQAQKKDGLGENKGLEVEHVKTKKMKQKKLSEISNGNNVEGACQKKMFKRLNHCNGVSDSDVKRNENAEMETKVEVNHGVNHVQMDGPVVILEGDNASAKSKKNAIVLQSQKLKEEVPLPLGTEMTQILDIEFALEDVGNALQFLEFCRVFGKALDVKKGEAGAILRTLIRKRNLRCGQNTLVVEFQIKLLTLIGSESDIESSSLTASNGKHSWLNVLKDLITESNLGLKAFPLDWLNKGISGYNELDLSKKLILLNFICDEALGTKKLRSYIDQQNEILAEEKKAARSKVAEAKEKEKSLKQKLQDEMAKAIIQNGATFSISEYDALLSKIKSEAAQAHNEFLEAKGTFSKGNQFCDAVRIEPEYLDNSGKTFWKFRSCNDEYAFLLQDLKIEGGDSAVQVDEKWFVYGDGQKDEVNKYISSRRSWFPKLASV >CAK8532401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:257187570:257188525:1 gene:gene-LATHSAT_LOCUS2111 transcript:rna-LATHSAT_LOCUS2111 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVVEAYQLALCMVLLFVEANEAFSSMASVGLSPNMIVYLMGSYRLHLGNATQILLLTSAANNFTPVIGAFLVDSYLGRFLGVALGSAVTFLGMTLLWLTTMVPQARPPACVHPTEGCKSATKGQMAMLLSAFGLMSIGNGGLSCSMAFGADQVNRKDNPKSYRVLETFFSWYYAFTIIGVIIAITGIVYIQDHLGWFYH >CAK8572821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:565523168:565523524:-1 gene:gene-LATHSAT_LOCUS25343 transcript:rna-LATHSAT_LOCUS25343 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHRTMQCLDLIPNFNFHPKCDKLKITNFCFADDLLLFVRDGVESIKLMMNKFRELSAASGLKASIPKCKIYYGGVDNTTKLQINKETGFDTGSMPFKYLGVPLAVGNYQLLCANL >CAK8561921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:412539525:412540085:-1 gene:gene-LATHSAT_LOCUS15468 transcript:rna-LATHSAT_LOCUS15468 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQNYVIALLLLATIQFKTSSSSSHHHHNHLKSLHFSLYQHETINKTGYIIVNGVQESAGVTQTTTPFGTLFVFQDPLTLTSNRSSKLVGIAEGTSITSSLDGLRSISIAKLTIRWKDHKGSVSIVGGTNNVKASDHPIVGGTEDFMFVQGYVTSSPVDLTGITVVYKIEFHIYWPPYATHSS >CAK8565389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:160366626:160367033:-1 gene:gene-LATHSAT_LOCUS18608 transcript:rna-LATHSAT_LOCUS18608 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVNHIVVWLETNVNETLSDRVLKQLLVIPMATTAGLGGSIVDSLLGATLQFSGFCSIRQKVVGKPGPTVKKISGLSILDNNAVNFVSILLTTVLTSIACLYIF >CAK8539460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513217298:513217867:1 gene:gene-LATHSAT_LOCUS8551 transcript:rna-LATHSAT_LOCUS8551 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVRPRSKFTRIHEVTINIVGPVHVYNSNMRVELETKPLHGLVTFMFFVLLAFLQISYPDNPTAFQVHPKTMLVSIASFLLYCMGYWINLKFATRLDTFMEVFASLSIVSLVLMFFPHNWAFPGFIIVYTLWFISHVLAMIIGLRPQMRRRLQQLLPGHVLVMIIGLRPQMRRRLRQLLPATSMDLN >CAK8544338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679030422:679034620:-1 gene:gene-LATHSAT_LOCUS13027 transcript:rna-LATHSAT_LOCUS13027 gene_biotype:protein_coding transcript_biotype:protein_coding METISAMDVEEPQPSPSPNNVKHFGLKNSIQTNFGDDYVFQIIPKDDWSAMAVSLSTNAVKLYSPLVGQYYGECKGHSQTINQILFSGPSNPHVLSSCSSDGTIRAWDTRTFQQVSILNAGPSQEVFSFSTGGPNGSLVAAGCDSQVLLWDWRNNKQIACLEDSHMDDVTQVHFVPDEPGKLISASVDGLICIFDTSGDINDDDHLDSVINVGTSIAKVGFFGESYQKLWCLTHIETASIWDWKDGRNEVNFSEARTLASESWNLDHVDYFVDCHYSKEAEKLWLIGGTNAGTLGYFPVNYSGTATIGAAEAILEGGHTSVVRSVLPMTRIHSELGGSSSSSSQSHSSGIFGWTGGEDGRLCCWLSDDSPQKNQSWISSTLVMKPERTCKKNRHQPY >CAK8541280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104060479:104061111:-1 gene:gene-LATHSAT_LOCUS10211 transcript:rna-LATHSAT_LOCUS10211 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLVDRENIENMNVVQPEVELEPPPNMVNEFNPNEIVHDLDRRKQINEYAPDVQDQARRVYILKSPMQPNLSTKRAFCGHDESSTSLNKGNFREMIDWVKSQNEQVRDAFDRGGKNCTMTCGGIQKELAICCAHEVTKVIMEELGDRQFSMLIDESRDISIKEQMAVMLRFLNGKGNVVE >CAK8539309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508884315:508885635:1 gene:gene-LATHSAT_LOCUS8418 transcript:rna-LATHSAT_LOCUS8418 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTNNVIADININKSKQIERRYVDYRRKRKRPCKAPVIPTMESTTGDTATASHGFISVIGRRRVMEDAIKVIPRFMTAEQQPCGYDFFAVYDGHGGMTVANACRDRLHLLLAEEVKEGGDHGLDWYKAMCSCFMKMDREIGVGGGCSGDVDANNVGSTAAVVVVGKEEIVVANCGDSRAVLCSGGVAVALSRDHKPDLPDERERIEAAGGKVINWNGSRVLGILATSRSIGDHCMKPFVISQPEINVHGRTKSDEFVVVASDGLWDVVSNSFVCEVVKSCLRGHMRRNNSANIKEDLTIKGYAAEAAAILAELAMAKGSKDNISVIVVQLNTNI >CAK8539040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502259978:502263055:-1 gene:gene-LATHSAT_LOCUS8177 transcript:rna-LATHSAT_LOCUS8177 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYKHVLNKFKSTHVLSTYTFLRHYTLFSHSQFQPFDSYKTSSSLNDANHLHLQIYKTGFTNDVFICNTLINIYVRIGYLVSAQKLFDEMPQKNLVSWSCLISGYTQNGFPDEACSLFKGVISNGLLPNHYAIGSALRACQQCGSTRLKLGMGIHAFICKSPHASDMVLSNVLMSMYSNCSDSVRDARGVFDEIEFKSSVTWNSIISVYCRRGDAVNAFELFSGMQMEPVELNLRPNEYTLCSLVTAASSLVDCGLVLLEQMLTRIAKSGFLHDLYVGSALVNGFARYGLMGCAKMIFEQMYDRNAVTMNGLMVGLAKQHQGEEAAKVFKEMKDLVEVNSESYVVLLSAFTEFSNIKEGKRKGQEAHAYLIRNGFVDSRILIGNALVNMYAKCNAIDNACSIFKLMPSKDTVSWNSMISGLDHNERFEDAVTCFQTMRRNGVVPSNFSVISTLSSCSSLGWIMLGRQIHGEGIKWGIDLDVSVSNALLTLYAETDSINECQKVFFLMPEYDHVSWNSFIGALANYEASVLQAVKYFLQMMQAGWRLNRVTFINILAAVSSLSVLGLGRQIHALILKYSVADDNAIENALLAFYGKCEQMEDCEVIFSRMSERRDEVSWNSMISGYIHSGILHKAMDLVWLMMQRGQKLDGFTFATVLSACASVATLERGVEVHACAVRACLEADVVVGSALVDMYAKCGKIDYASRFFELMPIRNIYSWNSMISGYARHGHGQKALKLFTRMKQHDQSPDHVTFVGVLSACSHVGLVDEGFKHFKSMREVYGLAPRIEHFSCMVDLLGRAGDVYKIEDFIKTMPMDPNILIWRTVLGACCRANGRNTELGRRAAKMLIELEPQNAANYVLLSNMHAAGGKWEDVAEARLAMRKSAVKKEAGCSWVNMKDGVHLFVAGDQTHPEKEKIYEKLKELMNKMRDAGYVPETKYALYDLELENKEELLSYHSEKLAIAFVLTRKSELPIRIMKNLRVCGDCHTAFKYISKIVNRQIILRDSNRFHHFEGGICSCGDYW >CAK8541558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:246999618:246999995:-1 gene:gene-LATHSAT_LOCUS10471 transcript:rna-LATHSAT_LOCUS10471 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLGRKLVIKKEYEGDGCKEVSAADLREKREKIWAARVLCLGEEEDVFDDGEGEAKGRKTEGFGGGPGGEEETVLRFREEEEGWENGTADLGKKRGSVVRLGFPFGRRRVSDGFPEKTLHPPI >CAK8571937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494694814:494695565:-1 gene:gene-LATHSAT_LOCUS24555 transcript:rna-LATHSAT_LOCUS24555 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIETLRIMSILIKHLNLHRCNLNHHKTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRQDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLRIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGLPLPPVTVD >CAK8579765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721405664:721411406:-1 gene:gene-LATHSAT_LOCUS31683 transcript:rna-LATHSAT_LOCUS31683 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKLFLSLLSFSFLTLSVTARPYVLVLSQEDFKDELPSDPDSSPEWDEFGDSDSHKSEEDLDPGSWRQIFEPSSTEPQPQPQSDTEALYYSAVTKLMTGDARSIEEGSGEIETAAESGYPAAQSVLGFLWGMGLLRERSKQKAFVYHHFASEGGNMQSKMALAYTYTRQDMFDKSVKLYAELAEVAVNGFLISKDSPVIEPIRLHNGAEENKEALRKSKGEEDEDFQILEYQAQKGNAAAMYKVGLFYYFGLRGLRRDHSKALSWFLKAVEKGEPRSMELLGEIYARGAGVERNYTKAFEWLTLASKHHLYSAYNGIGYLYVKGYGVDSKNYTKAKEYFEKAADNDEVGGHYNLGVMYLKGIGVKRDVKLACKYFIVAANNGQPKAFYQLAKIFHLGVGFKKNVPLATALYKLVAERGPWSSLSRWALEAYLKGDVGKAYMLYSRMAEMGYEVAQSNAAWILDKYGERSMCMGESGLCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTVRDYDRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDEALEQDPTAKLPVTLALTSLWVRMNHADSILVHIIDSLPDVYPKLEAWVEDVLLEEGNATILTLFACLLTVLYLRERQRRQAAVVVGEVAQPNLPNELGMPAPMR >CAK8531446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111190158:111192856:1 gene:gene-LATHSAT_LOCUS1239 transcript:rna-LATHSAT_LOCUS1239 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFTIFHIIVLIISPRLASAQEDGTMARDGCNSICGTVSIPFPFGMNKPHCYAHKWFEIECKLDNNTSSLVPKPYLKSLNLEVRRFFQYSSMVEIMNPIYRSNCQKNKNSNNSNKTVSLRDSPFVYSQADNKFLAVGCNNLAFLQSKGTTVGGCVSICDDDNNNSNNFNFSSDGCNGRDCCETSLPSHLSEFNATIRGLRGQSSDGCGYALIASDYWVTLDSTYMYTYQIERLNALKNMEYAPAMLEWEILNYMLVNSTFQLPPPPNCYGSNITSLSNRTTGQQCQCLRGYGNPYLAGGCTEFHGYFHKNNRSKWAVVGISSSLGSIGFLIGLWLLYKTMKRRMIKKRKEKFFKRNGGLLLQKRMSSGEVNVDRTTLFTLKDLKKATDNFNKNRVLGKGGQGTVYKGMLVDGKIVAVKKFKVEGKVEEFINEFVILSQINNRNVVKLLGCCLETEIPLLVYEFIPNGNLFEYLHDQNEDIPMTWDMRLRIATEIAGALFYLHSIASQPIYHRDVKSTNILLDEKYRAKLADFGASRIISIEATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGKKPISSAERSGESQSLASYFVRCVEENMLFDIIDKRVTKGEREHIIAVANLAYRCLEMNGRKRPTMKEVTLELEGIRGLNRKLNAQQNHDEIELSGIEEYQPWDGYSTLNSLPIVSSQTPSTDSEIMHILAID >CAK8531447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:111190158:111192856:1 gene:gene-LATHSAT_LOCUS1239 transcript:rna-LATHSAT_LOCUS1239-2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFTIFHIIVLIISPRLASAQEDGTMARDGCNSICGTVSIPFPFGMNKPHCYAHKWFEIECKLDNNTSSLVPKPYLKSLNLEADNKFLAVGCNNLAFLQSKGTTVGGCVSICDDDNNNSNNFNFSSDGCNGRDCCETSLPSHLSEFNATIRGLRGQSSDGCGYALIASDYWVTLDSTYMYTYQIERLNALKNMEYAPAMLEWEILNYMLVNSTFQLPPPPNCYGSNITSLSNRTTGQQCQCLRGYGNPYLAGGCTEFHGYFHKNNRSKWAVVGISSSLGSIGFLIGLWLLYKTMKRRMIKKRKEKFFKRNGGLLLQKRMSSGEVNVDRTTLFTLKDLKKATDNFNKNRVLGKGGQGTVYKGMLVDGKIVAVKKFKVEGKVEEFINEFVILSQINNRNVVKLLGCCLETEIPLLVYEFIPNGNLFEYLHDQNEDIPMTWDMRLRIATEIAGALFYLHSIASQPIYHRDVKSTNILLDEKYRAKLADFGASRIISIEATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGKKPISSAERSGESQSLASYFVRCVEENMLFDIIDKRVTKGEREHIIAVANLAYRCLEMNGRKRPTMKEVTLELEGIRGLNRKLNAQQNHDEIELSGIEEYQPWDGYSTLNSLPIVSSQTPSTDSEIMHILAID >CAK8563528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612845843:612847447:-1 gene:gene-LATHSAT_LOCUS16928 transcript:rna-LATHSAT_LOCUS16928 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRYAVFVSISNSRRYSQCLQRFHDHVDVVSMFNRMLHKNPTPSATEFGEILGSLVKAKHYLTVVSLSHQMELSRVAPDLVTHNILMNSLSQLGHITFAFSVLGKILKRGYLPSVVTFNTLIKDFCLKGEIHKALRFHDKVVAQGFRLDRVSYGTLINGLCKAGQTTAALQLLRRVDGKLVRPSVVMYNTIIDGMCKDKLVNDACDLYSEMVAKRIFPDVFAYNALIYGFCLVGKLKEAIGLFNKMMLENISPDVYTFNILVDAFCKEGNMKEAQNVLRRMIEKDIKLDVVTYNSLMDGYCLVNQVNKAKDMFKTMIQRGVTPNVCSYNIIINGLCKIKMVDEAISLFKEMHCRKFIPDTITYNSLIDGLCKLGRISYALELADEMCDRGQLPDIITYNSILDALCNHHQVDKAIALLTKFKDEGVQPDMYTYNILIKGLCKSGRLVDARNVFEDLLLKGYDINVCTYTVMIQGFCDKGLFDEAMALLSKMKDSGCLPNAMTYDIVISSLFENNENDKAEKLLCEMIARGLV >CAK8563529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612845843:612846553:-1 gene:gene-LATHSAT_LOCUS16928 transcript:rna-LATHSAT_LOCUS16928-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYCLVNQVNKAKDMFKTMIQRGVTPNVCSYNIIINGLCKIKMVDEAISLFKEMHCRKFIPDTITYNSLIDGLCKLGRISYALELADEMCDRGQLPDIITYNSILDALCNHHQVDKAIALLTKFKDEGVQPDMYTYNILIKGLCKSGRLVDARNVFEDLLLKGYDINVCTYTVMIQGFCDKGLFDEAMALLSKMKDSGCLPNAMTYDIVISSLFENNENDKAEKLLCEMIARGLV >CAK8577339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556021822:556022721:-1 gene:gene-LATHSAT_LOCUS29453 transcript:rna-LATHSAT_LOCUS29453 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQIESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYINDEDIKINPNDGWSIKTRYLESRGYPSLHGKSRPNLEILLTVTESVTITHHYQNNNPKSFINFSKCHINKILLPRQLGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINQEMINKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSSLIVKKLSDNLITGQCPLLFFTKFQIPWIWRWSITI >CAK8570150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:25960629:25962530:-1 gene:gene-LATHSAT_LOCUS22928 transcript:rna-LATHSAT_LOCUS22928 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLENAPVNLTSIREHSQKELINILKNVRGKKCLVIDPKLGDSLSLIIQSSILKEQGVELRHLSADPIQSDCTKIVFLVRSQPDLMRFICSNVHDDVSKGLQREYHVYFVPRRTVVCEKVLEDEKLHDMFTIGEYPLYLLPMDEDVLSFELGLSYKECLVDGDASSLWHIAKAIHKLEFSFGVIPNVRAKGKASVRIADILNRMQAEEPVNSSDMVMPEINTVILLDREVDMVTPLCSQLTYEGLLDEFLHINNGSVEIDGSILGLPQDEKKTKVPLNSSDKLFKEIRDLNFEVVVQILRQKATSMKQDYTDMTTTTQSVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPTFLGQLDMEHTIIEAQSYDICFEYIEELIHKQESRSNWLTIKRTLELVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAIRSGWRPVEEILKLLPGPHLETRRGGFSNSLSFDTLSGIPTSMAKVPDGRRALVLVVFVGGITPGGMPPFSKSILEEGAAIKAFKLVEKGVFQEQGIIKLLQFPGSDNTDPGHVLSLISTAKILRHCSNKSNPAVRSFLTDALRHDGLNASAWYNLRQEFRRLGGFKFCIMIILHQMKSVPGSARLVCERRRNAASWF >CAK8535614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:869865619:869866062:-1 gene:gene-LATHSAT_LOCUS5057 transcript:rna-LATHSAT_LOCUS5057 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNIWSKKDILWIKWLHTYYMKQADVKDFKPSHNFSWIIKAIFKNKDMMTNSEACQKFQTDGRCKTSIMYSYSRRDKPKVDLRVMFYGNIARPRAIFIHWMTCQNRPMTKGKVIKYGISTDGLCTFCNMLESCHHLFFECVYTKQV >CAK8542319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501446229:501451163:-1 gene:gene-LATHSAT_LOCUS11166 transcript:rna-LATHSAT_LOCUS11166 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKKLQYIHYSTHAHSLGSFNIFNRGEDNPADASPPSPLVRLSSWASQYTIPPEVYSFVYCDEKGRLQMDPEAVATLQLVKEPIGVVCVCGRARQGKSYILNQLLGRSSGFQVASTYRPCTKGLWLWNTPLVMEYMYNFFKSNGHCFGNHYQVFFLIRHSY >CAK8539545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515847388:515847918:1 gene:gene-LATHSAT_LOCUS8628 transcript:rna-LATHSAT_LOCUS8628 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLKLLDDNNYVSQYRACNDEVTVQDIFRTHLDLIKLFNTFSTMLILDSTYKTNKYRLPLFEMVDVTSTEKTYVVGFAFLECEKEDNFTRTLEACWSLLKDQVEMPKVIIIDRDTVLMNAVSKVFHFSNALLCRYHITKNVRSWVKLAVGTKQVESKGGKLVKTDVIVEKIIDA >CAK8572866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568602334:568609790:-1 gene:gene-LATHSAT_LOCUS25380 transcript:rna-LATHSAT_LOCUS25380-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTVKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQFQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGLSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8572865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568602334:568609790:-1 gene:gene-LATHSAT_LOCUS25380 transcript:rna-LATHSAT_LOCUS25380 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTVKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGLSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8572867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:568602334:568609790:-1 gene:gene-LATHSAT_LOCUS25380 transcript:rna-LATHSAT_LOCUS25380-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCCSMYSAVASTTVKFRYSHRHIHNHSTTLRPFISIRCSRTPSNPDPNFKAQKDDVWHKSQLDLSSKDERPTGGNVAPASYKGFGISKKNKEFVVDSKDQQFQVESSNLQDSDFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAVGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGLSELLGIQIDAAINPGNSGGPAFNGKGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLESNEGIFVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGKSIKVKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFEGEQIVILSQVLANELNIGYEDMSNQQVIKFNGVRIKNIHHLAHLIDSCKDKYMCFEFEDSYAAVLEREAVIAASSSLLRDYGIQSERSSDLLKPYVDLPEVEINQTAEQDFGDSPVSNFEVGHDGLFWA >CAK8564777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9408402:9410829:-1 gene:gene-LATHSAT_LOCUS18045 transcript:rna-LATHSAT_LOCUS18045 gene_biotype:protein_coding transcript_biotype:protein_coding MELKANHTSLLAETATLTRTRSRLGTPSTLSCSPTGGSTFLHGPSLTIPRKKIGVLDDVRSNGWLDAMRSSSPTHKKISMDVGHGVPSSEADAAYLTWLLEFPSALSSFEQITNCAKGKKIALFLDYDGTLSPIVDNPDRAYMSDKMRAAVKSVAEYFPTAIISGRSREKVHEFVGLTELHYAGSHGMDIIGPLRESVSDNHPNCIRSTDKKGKEVNLFQPAADFLPMIDEVRMLLVECIRDIKGAKIENNKFCVSVHYRNVDEENWDLVAQRVYDIMKDYPHLRLTHGRKVLEVRPVIDWDKGKAVTFLLESLGLNDDDDVLAIYIGDDRTDEDAFKVLSEVNKGFGILVSSAPKESNADYSLCDPSEVMEFLKSLVAWKSNSLE >CAK8538064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461171771:461172138:-1 gene:gene-LATHSAT_LOCUS7304 transcript:rna-LATHSAT_LOCUS7304 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLGFLLKVYTSMMNAQRIKGIAYLRSWRIDATEGTITNHADILPENSSVGELTKMNGRLAWRTDPVLCVSKEEARFVRYEVKD >CAK8569599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6450217:6455390:1 gene:gene-LATHSAT_LOCUS22433 transcript:rna-LATHSAT_LOCUS22433 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRALLAKFIPKPSTSSRLQFTQQRGLHSRNKKAMEFIAKGWNALKEVDRVIDYCELNDRRLIPLLNTAKENFELALEADNTNTHARYWLSRLHMKYHVPGANKAVAAALLVEAADMGDADAQYALGCHLRVENDDVHSDQQAFYYLEKAVDQLHPDALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIAYGSLLLKGVKIPESIIKFSLKRGPVAQKRGKSKESIAIDPVEMAKEKFQIAAKAGCDLGLKWLARLEEEEKRLLTQEF >CAK8564227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659830450:659830899:1 gene:gene-LATHSAT_LOCUS17550 transcript:rna-LATHSAT_LOCUS17550 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFIVLIIYHSGEFINGDLRVYEGGKVDELKVDVNRWSYFELIGTLKDLGYMDFDKIYYNDSAFGMNSLNDDAGALKIFDIYRVHLGVDIQIQHKLDQPNYYDGPIETKLGNGDNVNEGPGVVEDVLSKLYEEAVDGNDTCKEAENVG >CAK8565481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233579164:233580225:-1 gene:gene-LATHSAT_LOCUS18695 transcript:rna-LATHSAT_LOCUS18695 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWITVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLATVEAPSING >CAK8574117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663375934:663377858:-1 gene:gene-LATHSAT_LOCUS26492 transcript:rna-LATHSAT_LOCUS26492 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYRPAAAAVLPTAEIEVPVA >CAK8578985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666054828:666055335:1 gene:gene-LATHSAT_LOCUS30962 transcript:rna-LATHSAT_LOCUS30962 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPPPIQRTSSIEMEPRTLGIDQIRSARDLAIYIINTETFEDASRIFTEGLQPVVSAACCMGSGNMDSGEELELVAEEASLEAFRDIASAPF >CAK8571203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:336524686:336525931:-1 gene:gene-LATHSAT_LOCUS23888 transcript:rna-LATHSAT_LOCUS23888 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDPAYIQSTEHRPNLSTFVEVDEIPIIDLSQSNRQNLVSEIGKACEEWGFFQVINHGVPSDVSKKVEIEAKKFFEQNIEEKKKVKRDAVNAMGYHDAEHTKNIRDWKEVFDFLVENTTQVPSSHEPNDSGLRTITNQWPQYPPHFRETMEEYAREVEKLSYKLLELISLSLGLPGDKFHDCFKNQLSMVRLNRYPPCPFPDLALGVGRHTDSSALTVLAQDEIGGLQVKRKSVGDWIPVKPTPTAFIINVGDVVQVWSNDRYQSIEHRVVVNTQKERFSIPLFFYPGHHVTAKPAEELVNDKNPAKYKPYNIGKFYANRNRSDFNKREVENIQIHHFRILD >CAK8564293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666482792:666483485:1 gene:gene-LATHSAT_LOCUS17614 transcript:rna-LATHSAT_LOCUS17614 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEAAPAVPSQKNPQNPYMWEEQKAKHPPSLLWRTPASERCLVVDGVRLMITCCRIWILGQDTHPETVFGTTSETVFLFICQLKARGIIMNRADHGSSVSVVSQTVRMTTIAALASSLKLALPKEDKGSVTNPSNNSESLHLLDNRIACHQPSLHYL >CAK8532093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:201062683:201063165:-1 gene:gene-LATHSAT_LOCUS1830 transcript:rna-LATHSAT_LOCUS1830 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFVFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8565082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33990901:33992187:-1 gene:gene-LATHSAT_LOCUS18321 transcript:rna-LATHSAT_LOCUS18321 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKTRLTTCDPHVKHTVVNSNTPQEVEEGKEIIFTYDVEFQESDVKWASKWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPNNSNLLCVYVGTGVQFFGMILVIMLFAVLGFLSPSNRGGLMTVMLLLWVFMGLLAGYASARLYKMFKGSEWKKISLRTAVMFPASVSAIFFVLNGLIWGQKSSGVVPFGTMFALIFLWFGILVPLVFVGGYVGFRKPAIENPVKTNKIPRQIPEQAWYMNPTFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILVITCVEITVVLCYFQLCSEDYLWWWRSYLISGSSALYLFLYAKFYFFTMLEITKLVSAILYFGYMFIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >CAK8561235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:126251287:126254210:-1 gene:gene-LATHSAT_LOCUS14844 transcript:rna-LATHSAT_LOCUS14844 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLITELGIKTPADKFFKLFASELHEVQHLCERVHHTKIHHGEDWHHSDSIKHWTYVIDGEVHTCNESIEEVDDENKKITYKLFGGDIDNFTVFKLILQVINKADGTAAVRWTIDYVKINEEVDTPNGWMDYLSKSTRDIDGHLLKGERVAL >CAK8543209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588412380:588413777:-1 gene:gene-LATHSAT_LOCUS11982 transcript:rna-LATHSAT_LOCUS11982 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKLSESRDLTRIERVGAHSHIRGLGLDSSLEPRDVSEGMVGQVSARKAAGVILQMIKDGKIAGRAVLLAGQPGTGKTAIAMGIAKSLGLETPFAMIAGSELFSLEMSKTEALTQAFRKAIGVRIKEETEVIEGEVVEVQIDRPAVSGAAVKTGKLSLKSTEMETVYDLGAKMIEAIGKEKITSGDVIAIDKASGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRSEVREQIDTKVAEWKEEGKAEIIPGVIFIDEVHMLDIECFSFLNRALENEMSPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTKDEIHKILDIRCQEEDVDMSEGAKQLLTKIGVETSLRYAIHLITAAALACQKRKGKMVEMDDINRVYNLFLDVKRSTQYLMEYQSQYMFSETGEVDEDDAIAMVA >CAK8537574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:400080339:400082950:-1 gene:gene-LATHSAT_LOCUS6859 transcript:rna-LATHSAT_LOCUS6859 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNQNTAARKPRFMCLHGFRTSGDIMKKQIHKWPQNVLDKLDLVFVDAPFPCTGKSDVEGIFDPPYYEWFQFNKEFTEYTNFDECLQFIEDYMIKHGPFDGLLGFSQGAILSGALPGLQEKGVALTKVPKVKFLMIIGGAKFLAPSVAEKAYSSPIGCTSLHFIGENDFLKQHGKELIESCVEPVVIHHPKGHTVPRLDDKSLNIMIDFIERIQKDISKIKE >CAK8578364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624003662:624004198:1 gene:gene-LATHSAT_LOCUS30381 transcript:rna-LATHSAT_LOCUS30381 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIEMVKDPNTRQVTFSKRRTGLFKKANELSILCGAEVAIVVFSPGNKPYSFGHPGVDVVAANYLQQENRSSDSQGSSSNAPNMENLNQELAEVLAQIQEAEKEAEIHDQILKKNDVKKNSELTELRDSYKQLQDMVKLRLSDIEISEYMMLLAQDPVVGVKAKPAKKKRRRN >CAK8565223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:76014288:76015103:1 gene:gene-LATHSAT_LOCUS18455 transcript:rna-LATHSAT_LOCUS18455 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRATHWCHTCQQAILLAGRDVLCPYCDGGFVQEINEMRGLVSSSQWEEFQDNPDILNAIHAVVSQRGSLPRIGFRDAVDIYMRHRMRGRNTSFDIRSRSVPEHTWDVLSSSGRYLVFHDQAPNARGDPRSVEFGGYFMDHGLDELMEQINTNGRGGPAPASRSSIEAMPTIKITQAHVESDSHCSVCIERFELGSKAREMPCKHIYHSDCIVPWLIQHNSCPVCRNELPSNGRRSRNWGRRNDSSTGRGRRNPLSFLWPFRSSSSNPSH >CAK8578434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629146267:629148471:-1 gene:gene-LATHSAT_LOCUS30446 transcript:rna-LATHSAT_LOCUS30446 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAYASPFFHFLVLVTFISMLLSSSTTKTLASDFSIKEATVQDLQLAFQRNQLTSRQLVEFYLNQINIQNPVLKGVLEVNPDALAQADKADKERREKAAGSLSRLHGIPILVKDNIATKDKLNTTAGSYTLLGSVVPRDAGVVTKLRKAGAIILGKASMSEWAHYRSFDIPNGWSARGGQGKNPYTLGEPCGSSSGSAISVAANLVTVSLGTETDGSILCPSNFNSVVGIKPTVGLTSRAGVVPISPRQDTVGPICRTVSDAAYVLEAIAGIDTFDKETIEASKYIPKGGYAQFLKKDGLRGKRLGIVSLYYNFGNDTFLHETFKLHLKTLRQRGAILVDNLKIDDIDEIMNAQSETIALNFEFKLSLNAYLKDLVASPVKSLADVIDFNKKHSKLEKVDEYGQDLLLAAEKTNGIGKAEKQALLNMTRWSQNGFEKMMKRNKLDAVVTPFSSFSGILGIGGYPGVSVPAGYEKGEPFGICFGALKGSEPKLIEIAYSFEQATLIRKPPPLRKLEVESSW >CAK8533116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580232435:580233037:1 gene:gene-LATHSAT_LOCUS2764 transcript:rna-LATHSAT_LOCUS2764 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSSVLNFSILRCLFQSIVYAIISIVSVLLIVLRSYSVTAFGLKTTQIFFKQILNSILHAPMSFYDTTPSGRILSRASTDQTNVDIFIPLFVNFVVAMYIKVASIIIVTCQNLWPTTSCLVEPLVSGLFSFYFSQVN >CAK8568780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640462616:640465158:-1 gene:gene-LATHSAT_LOCUS21705 transcript:rna-LATHSAT_LOCUS21705 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDARTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEARMQDIFGDSDDEDNEDMDVTPIRAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHSVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRLCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8569723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9234450:9236150:-1 gene:gene-LATHSAT_LOCUS22541 transcript:rna-LATHSAT_LOCUS22541 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSMKLPSSHSLLTLISTIVFHLICILVICFETNAKVELPPNVSIPALLVFGDSIMDTGNNNFKKTVARSDFAPYGIDFPGRVATGRFCNGKVPSDLIVEELGIKEYLPPYLDPKLNPNELITGVCFASGGAGFDSLTSKVQFAIPLSSQLDSFKEYIGKLKALVGENRTNDILANSVVLVVFGSNDISNTYFIYNLRKVQYPEFPSYADYIVSIASNFTKELYQLGARRIGILNAPPVGCLPMQRTLAGGFERKCVEKYNNATMLYNEKLSKEIDSLSQNLSNSKIIYLDVYNPILDIIMNYQKYGFTNADKGCCGTGKVEVAFLCNRFGAVCSNHSDHVFWDSFHPTEATYKNVVVPVIKTFVSKLV >CAK8542505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520827649:520832664:-1 gene:gene-LATHSAT_LOCUS11342 transcript:rna-LATHSAT_LOCUS11342-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMNLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMKGEFPERLGQPECQYYLKTGTCKFGATCRFHHPKDKAGVAGRVALNILGYPLRPNESECAYYLRTGQCKFGNTCKFHHPQPSNMVLSMRGSTVYPTVQSPTTPGQQSYAAGITNWSSSSYVPSPRWQGPSSYAPLILPQGVVSVPGWSTYSGQMGSESPQQTMRNDQTYGTSHQGELENAGLQGAYSQYRSGSVPVGFYALQRDNIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERQIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNVASPLADAPSRRLLGSSSGTAALSLSSEGLVESGSAKPRRLSLSETRPNPSGDDNIDDEG >CAK8542504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520827649:520833791:-1 gene:gene-LATHSAT_LOCUS11342 transcript:rna-LATHSAT_LOCUS11342 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDTIPQEAMWQMNLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMKGEFPERLGQPECQYYLKTGTCKFGATCRFHHPKDKAGVAGRVALNILGYPLRPNESECAYYLRTGQCKFGNTCKFHHPQPSNMVLSMRGSTVYPTVQSPTTPGQQSYAAGITNWSSSSYVPSPRWQGPSSYAPLILPQGVVSVPGWSTYSGQMGSESPQQTMRNDQTYGTSHQGELENAGLQGAYSQYRSGSVPVGFYALQRDNIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERQIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNVASPLADAPSRRLLGSSSGTAALSLSSEGLVESGSAKPRRLSLSETRPNPSGDDNIDDEG >CAK8542967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562908241:562909141:-1 gene:gene-LATHSAT_LOCUS11762 transcript:rna-LATHSAT_LOCUS11762 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >CAK8530214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7792523:7792966:-1 gene:gene-LATHSAT_LOCUS99 transcript:rna-LATHSAT_LOCUS99 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSQFTFLSDQSLLDKTFDPSTIEDLMKLFEIESYKAWAAAELEQETELEEAEHAMQEAEEHLDSAMESAMDEFRRFEEEMERISRDEVESLVETAEKARKMGNLMEKSAAIASKKYMEAALNSATSSMKSAWKGISSGKVHPS >CAK8561503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:255988440:256001671:-1 gene:gene-LATHSAT_LOCUS15089 transcript:rna-LATHSAT_LOCUS15089 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISNSILVASSLYSPSLVPRTHKLSFSTTFSNQTPAFLPHRYRFNSQRGFSSVCFFNARDDSDTKLQNKDSPSEWPILTRWEVPWEWQTVSLTSLACGLGFVLTGLVEATALPYLGIRPDALTLDEKAELLFLDQGITTAAVLGIIYSVFNTYQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVGALVAIGLTGVAVSFFSGETPQRETDALVRLLPLIGSSSVSTACLVGITGVFAPLLEETVFRGFFMTSLTKWVPTPVAIILSAAVFALAHLTPGEFPQLFVLGSALGISYAQTRNLLTPITIHAFWNSGVILFLTFLQVQGYDIKELLQTS >CAK8541528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:222852223:222854573:1 gene:gene-LATHSAT_LOCUS10443 transcript:rna-LATHSAT_LOCUS10443 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKTLFSLYIPFLFIFCFLNQASTKSPTTSSDVQLILFKIKPSLQGTTGNLVLSSWNTSFPLCQWRGLKWVFTNGSSLSCTDLSSPQWNNLSFSKDPFLHLLSLQLPSANLSGSLPRELGQFPMLQSLYLNINSLSGTIPLELGYGSSLNDIDLSDNLLVGSLPPSIWNLCDKLVSLKVHGNSLSGSVSELALPDSSCKVLQFLDLGGNKFSGNFPDFVTKFGALKQLDLGSNMFSGAISNGLVGLRLEKLNLSHNNFSGVVPFFGESNFGVDCFEGNSPDLCGPPLRSCGKNSSLSSGAVAGIVISLMTGAVVLASLLIGYMQNKKKKGSGESEDELNDDEEDEEGGGGGSVGGNGEGKLMLFPGGENLTLDDVLNATGQVMEKTCYGTAYKAKLADGGTIALRLLREGTCKDRSSCLAVIKQLGKIRHENLIPLRAFYQGKRGEKLLIYDYLPLRTLHELLHEIKAGKPVLNWARRHKIALGIARGLAYLHTGLDVPISHANVRSKNVLVDDFFVARITDFALDKLMIPSIADEMVALAKTDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGRKPGKNGRSGEYVDLPSTVKVAVLEETTMEVFDVELLKGIRSPMEDGLVQALKLAMGCCAPVASVRPSMEEVVRQLEENRPRNRSALYSPTETRSGSITPF >CAK8539267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507861639:507863222:1 gene:gene-LATHSAT_LOCUS8378 transcript:rna-LATHSAT_LOCUS8378 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEQAPPGDSKAGDKIFRTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKAMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATA >CAK8563318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592088035:592090336:1 gene:gene-LATHSAT_LOCUS16742 transcript:rna-LATHSAT_LOCUS16742 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGVNNNNHFRNYKEDNNNSMMNLVLVSNMDPVNIGLTSSEKPIPVTSLKPRKKTMTSVYLKFFETAADGKTRKCKFCGQTYSIATATGNLGRHLANRHPGYDKTGEAVSNSASRLAVVVKKSQPQGKPNQIDYDHLNWLLVRWLVLASLPPSTLEEKWLVNSYKFLNPSIQIWPSDKYGSVLDEVFRSMREDVRALLEQVSCKFSITLDFWTSFEQIFFMSVTCQWIDENWCFQKLLLDICRVPYPCGGAEIYRCAVKVLKFYNIESRVLSCTHDNSSSAMHACHSLKEDLDGQKIGPFCYIPCAARTLNLIIDDGLRSAKQVISKIREFVIELNASPVISEDFIQISTAYQEGTWKFPLDVSARWSGNYQMLDLVHKAGKSVDVIVRKHEETLGSRILLSSSEKSVVNIMHQYLEPFYKTTNDICTSKVLTVGLVLFFMDHISETIATCRESRPSPEWLKSAAEEMAKKARSYISQVCNIFTYMTAILDPRIKGELIPDSLNSQSFLDEARTHFIRNYSVNHFSSMSSGYNAQEIEDGGIVSFAEEIARKKRRTNMSSATDELTQYLSEAPAAIVTDVLEWWKINSTRYPRLSLMARDFLAVQATSIVPEELFCGKGDEIDKQRFCMQHDSTQAILCIKSWIQVGVKFKFKSTEIDYERLMELAAASATDNSPSSSEKKPK >CAK8563533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:613492883:613493416:-1 gene:gene-LATHSAT_LOCUS16932 transcript:rna-LATHSAT_LOCUS16932 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAILRSHDCLQRRYLPNDSLSSSSSPIRSQKNCSPNPNYKSNVNQNRRRNRSPVSAFPAAKQHDRRKSGERTFDKVAPVNLVMGKVKILKRGEKLTPDISYDSGLVVKAMDLKLDQPEPVAMKKQIRVSDSNLKDAIYAGSTIFSSPSPSSVPVPLFLRKNGVATSDLRRLLRLD >CAK8572381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533462446:533464119:1 gene:gene-LATHSAT_LOCUS24952 transcript:rna-LATHSAT_LOCUS24952 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLCLVSSNHQDILHQNHFYDPSWLQKQRNVPTNFVWPKEYLVNANEEFQAPLIDLDGFLKGNEEATDNAAKLVSKACSTHGFFQVINHGVDLSLVGEAYDQMDAFFKLPIDKKLSVRKMKGSMWGYSGAHADRFSSKLPWKETLSFPFHDNNSFEPVVTNYFNSKSLGEDFQQAGVVFQKYCEAMKKLGMKLMEILAISLGVDRLHYKYLFEDGCSIMRCNYYPSCQEPSVALGTGPHCDPTTLTILHQDQVGGLDVFADQKWQTVRPRPDAFVVNIGDTFTALSNGRYKSCLHRAVVNRYKERRSLAFFLCPNQDKMVRPPQDIVVIDGTKTYPDFTWSQLLHFTQNYYRADESTLQNFTKWLLSSNTRNHLP >CAK8532550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:291870822:291882344:-1 gene:gene-LATHSAT_LOCUS2243 transcript:rna-LATHSAT_LOCUS2243 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDGGNKRVYNRLGGSTGGDSKNQKVCYQWQAGKCNRYPCPFLHSELPTSNGNGAPSKRPYAENSGFSGNRRGGGSSFNTWGPGRGGGRGRGGRGSVGGGGRGVVVNIDKVCTYWIQGTCGFGDRCKFLHSWSVGDGFSLLTQLEGHKKVVSGIAMPSGSDKLYTGSTDETVRVWDCQSGQCIAVINLGGEVGCMISEGPWLFVGIPNFVKAWNTQNSMELSLDGPVGQVYALVVINDMLFAGTQDGAILVWKFNVATNCFEPAASLKGHSRGVVSLVVGANRLYSGSMDNTIRVWNLETLQCLQTLTGHTSVVMSVLCWDQFLISCSLDKTVKVWFATENGNLEVTYTHTEEHGVVTLCGMHDSQGKPILLCSCNDNTVRLYDLPSFAERGKIYNKQEVRAIQTGPGSIFFTGDGTGQVRVWNWIAEPATATTNNIQ >CAK8577837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592961874:592972644:-1 gene:gene-LATHSAT_LOCUS29906 transcript:rna-LATHSAT_LOCUS29906 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESASANEEVPPNHLRCGRTDGKQWRCKRRVMDDLKLCEIHYLQGKHRQYREKVPESLKLQRKRKNVDETAVIDNAETETARAQSAFKMELRKVKKKKRKFSESSESLTDTPSASASASAHATLSVRKRTVKQCDTQLDLIRMVLEREVEKRKGNSSSNNKKKKKKKKKEIKPEEVELRHYDEGELRKELPNGVMEISPALMPHDYNNVSSHCDVKVGAENKIVAVTPRYFRSKNIDRVAVGKLQVVPNGPNLKKGDTKRKRCHWCQRSDSVNLVQCSSCRKEFFCFGCIREQYLDTRSEVKKACPVCQRTCTCKDCLGSQCNNSESKPCLTGKIRVDRILHFHYLICMLLPVLKRISEDRETELETKAKFKGKNISDIQIKQVEFGYNENINCNHCRTPILDLHRSCVSCSYSLCLSCCEKLCLGRTFGEINSSMLKLPDQMDTCVDDESHILDQNDISCDNLIGISTLPEWTNCNDIEKVSCPPMELGGCGTGMLDLRCIFPLTLLKEMEVKAEEIVCSYDFPETLDKSSSCSLCFDTDLNTNRYNQLQKSAEREDSSDNCLFCPTVLDISGDNFEHFQKHWGKGHPIVVQDVLQSTSNLSWNPLFMFCTYLEQSITKYENNKELLESCLDWCEVEINIRQYFTGSLKNRPQRNTWHEMLKLKGWLSSQVFKEQFPAHFSEVIDALPVQEYMNPVSGLLNLAANLQHGSAKHDIGPYVYISYGCDDIEADSVTKLCCDSNDVVNIMTHSADVPLSTEQLTKIRKLLKKHKALCQVEYVATEQLPEQNVKGMIVSHAEEMEQKGFRSMGKEGMEFFRRVDRTSCISTEAKKASTQSIDSNISQDGERGIFSDSEPSLYGTVHTTNLSAHDNSKSPSESSNSCKKKFTEHSGALWDVFRRQDVPKLIEYIKRHYDELTYTHDSHKKMVHPILDQSIFLDSTHKVRLKEEFKIEPWTFQQHVGEAVIIPAGCPYQIRNSKCCVHAVLEFVSPENVTECIQLIDEVRQLPEDHKAKVDKLEVKKMALHSMSTAIKEIRQLTCKT >CAK8544273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675168320:675168634:1 gene:gene-LATHSAT_LOCUS12966 transcript:rna-LATHSAT_LOCUS12966 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKDLSSKKAVVIFTKSSCYMCHSIKQLFYELGASPAVYELDNDSSYGKEMEWALKGNFGCNPSVPAVFIGGKFVGSSKDVISLHVDGSLKQMLMDAKAIWL >CAK8571474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:426973935:426976350:1 gene:gene-LATHSAT_LOCUS24137 transcript:rna-LATHSAT_LOCUS24137 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIELRKAVEEVEVVNELEIDVSFVRILWVDNSGQHRCRAIPRKRFYDVVTKNGVGLAFAVMGMTSFRDVPAAGSGLGTVGEARLSPDLSTIRTIPWNKQDEIVLGDLNVQPGQAWEYCPREALRRASKILKDEFDLVMNAGFENEFFLLKSIIREGKEEWIQFDSTPYCSSSAFDAASPILREITSALHSMGIPVEQLHAEAGKGQFELVLGHTICAKAADNLVYTRETVRSIARKHGLLATFIPKYSLDDLGSGCHVHLSLWQNGQNVFMASDESSKYGISTLGKEFMAGVLHHLPSILPFVAPLPISYDRLQPNTWSGAYLFWGNENKDAPLRASSPPGTPGGFASNFEFKSFDGSANPYLGLTAIIAAGIDGLRRHLSLPEPVDTDLNPKNFQRLPKSLSESLEALHKAEFLDEFIGDKLLIAIKAIRKAEIDHYLENKETYKQLIHRY >CAK8564073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649604280:649605442:-1 gene:gene-LATHSAT_LOCUS17413 transcript:rna-LATHSAT_LOCUS17413 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPVMVPKMGFEEQIAIQEAASAGLKSMEHLIRVLSSQTSSSSSNQRNLLDLDCTEITDFTVSKFKQVINLLNRTGHARFRRAPCSQSQPRSEKLNLQQPQSITLDFAKSVQVVKSNPNPYPNLNAKSKSKPKPNPNPTADLSVSQYSKSKEAFSISATSSSFLSTITGDGSVSDGKIGPIISSGKPPLASSHRKRCHEATVSGAASGKVSSSGHCHCSKRRKSRVKRTIRVPAISTKVADIPADEFSWRKYGQKPIKGSPYPRGYYKCSSFKGCPARKHVERAQDDPNMLLVTYEGEHRHLPTFGTTAGFTSQAH >CAK8575968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:375755968:375758331:-1 gene:gene-LATHSAT_LOCUS28190 transcript:rna-LATHSAT_LOCUS28190 gene_biotype:protein_coding transcript_biotype:protein_coding METEAETVQPPVAPVRSTRKKMTKQLTGKRDDTPLHSAARAGDMASLRGTVDGAEEGKLREVFAKQNQGGETALYVAAEYGYVDMVRDMIQYYDLVDAGIKARNGFDALHIAAKQGDLDIVKILMEAHSELAMTVDLSNTTALHTAATQGHTEIVKFLLETGSSLAAIARSNGKTALHSAARNGHLEVVKAILEKEPGVVTRTDKKGQTALHMAVKGQSLVVVEELIKADPSTINMVDNKGNTALHIATRKGRTQIIKLILGQSETDVMAINKSGETALDTAEKTGNSEVKTILTEHGIQSGKSITKPKTAATRELKQTVSDIKHEVHHQLEHTRQTRKSVQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDNPKNVPKGKSLGEANIAPQAAFLIFFVFDSFALFISLAVVVVQTSIVVIESKAKKQMMAIINKLMWLACVLISVSFLALSFVVVGKDQRWLAIGVTIIGTTIMATTLGTMCYWVIRHRIEASNMRSIRKSSMGSRSRSFSVSVVMSDSEILNNERTKMYAI >CAK8561238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:127290580:127291536:-1 gene:gene-LATHSAT_LOCUS14847 transcript:rna-LATHSAT_LOCUS14847 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRYKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRNIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8530687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:40900998:40903560:-1 gene:gene-LATHSAT_LOCUS532 transcript:rna-LATHSAT_LOCUS532 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSSSSSIWILSNIKLQFFTRIKRFLQSKATRKRCDISDQSNKEKNRAEQNKVEQISTVQAVENHDEDEEMEVEKSEILLQKTVKMLHFGSWEEKETAAKEIETLVKQDIKVRKLITELGVVPVLVSMVASPVVSRRRAGLSALIYLADGTYTNKAMIVEAGILSKLPKTIDLIDESTMNEFCELVLSLSSLANTQFPFPSLDFVPFLKDILKKDTNFDTKRSCLGALYNISSMLENASTLVSYGIVPILLEVSLVKEISEKALATLGNLLVTLMGKKEIEKSSMVPQNFVEVLSWEDKPKCQELSVYILMILAHQSLDQREKMAQARIVPMLLEVVLLGSPLAQKRAMKLLQWFKNERQVKIGPHSGPQTPRFAMGSPFNQRDTKEGKNLMKSLVKQSLHKNLEIITQRANLNGESSNFKSFVVSTSSKSLLY >CAK8573446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612889780:612889998:1 gene:gene-LATHSAT_LOCUS25893 transcript:rna-LATHSAT_LOCUS25893 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFNLALLQKWKWRILTENDGTWLDILRARYGNICNAILGGNRSSKSCKGYHWWKDLIDSGWSAWFQPLFL >CAK8564094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651396207:651397796:-1 gene:gene-LATHSAT_LOCUS17433 transcript:rna-LATHSAT_LOCUS17433 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIRTPWVVRFHPLHPHILASGSLDQEVRLWDANTSECITSHHLYRPIASIAFHAKGEIIVVASGHKLYIWHYDKKSEASYSPIFMLKTRRSLQAMHFHPHAAPYLLTVEVNDLDSSDSSMTKATSIGYLEYPPPAVFVTNIQPTEHVTLSSEPPNASSTFFFVPSLTVDESREELQRVSHDDGSGRMQIESHAMVQFQADTNVTKQCDTSSPMDTVSEIPTNSQPDTKYPTHSSFSNGMGIGIRNLTMDGMEIDETRPLEGRQYGNVTDVSSLNGMLYGLSGQTANHRVHSEFGRFHQFVSSRDTSGWELPFLQGWLMGQTQVGIPTLHSHPGVSRGTLAQQISSTTMANTLPTSNVDT >CAK8534465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:729389074:729389969:1 gene:gene-LATHSAT_LOCUS4010 transcript:rna-LATHSAT_LOCUS4010-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVESARRRRVHKAGCFSDSPSLASNNRRSSLCLYSSNPESRLSSSSSMQRNMQDENMVGAAREAKQRLDDKFRSHRVSENTRKKNIKCVESRKESIEELQIEVYGSKKSGPRKFSWSKLSWKASEQEDCAVCLESFKIGEKLIPLPCAHKFHSTCLRPWLENNSHCPCCRTTILSL >CAK8534466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:729389074:729389969:1 gene:gene-LATHSAT_LOCUS4010 transcript:rna-LATHSAT_LOCUS4010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVESARRRRVHKAGCFSDSPSLASNNRRSSLCLYSSNPESRLSSSSSMQRNMQDENMVGAAREAKQRLDDKFRSHRVSENTSRKKNIKCVESRKESIEELQIEVYGSKKSGPRKFSWSKLSWKASEQEDCAVCLESFKIGEKLIPLPCAHKFHSTCLRPWLENNSHCPCCRTTILSL >CAK8544717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701785683:701788721:-1 gene:gene-LATHSAT_LOCUS13372 transcript:rna-LATHSAT_LOCUS13372 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAENKLQTGDFAGALKFASKARQLFPDTQNITQIITICEVHSAAQNKLFTSDMDWYGILLTEKFAEEATIKKQYRKLALLLHPDKNKSAGAEAAFKLIVEANRVLSDPAKRSLYNMKVSRLAGIKAPQASSHHHNSFHSQFRTQNSNLNQKETFWTSCQHCNTKYEYYKNIINATLHCRQCFKLFKAHDIGSPVAPSGHTSSFYRQKDTPNQVPPKEASQSNGGKPYGKGPEDKFVPSRPVSTKRGAGDGVSSKFQKSKDGHGASCKVPKSKDGHGTAGVTKAAAGTSNHATSKAKQSRTQANVGNKRARQSASADSVNGNGRKVSNVQEKGVDPTSFTADVAGQNGETRNKTNGQPEKTALRKKAKVEQLNPKRKETSKPNIIFCPDPEFSDFDKVRIEDCFAADQYWAIYDDTDSMPRFYARIKKVHSPFKLEYTWLEPNPDLNDEIEWHDANLPIACGKYRLGSTQITKDIVMFSHKVHCVKGSARGSYLVYPMKGETWAIFRHWDIGWGSKPEKNAEYQFEFVEVLSDFDEKDGVKVTYLSKVKGFVCLFQQTVQNGVGLFCVPPNELYRFSHRVPSFMMSGDERKDVPKGSFELDPAGLPKSIFQVQVGDPGDVMEDGRLNNGVRSCQESSKCKVDQAKCNESIPKAKLQESGGPPINKKPPRSNAENMGNGHTSTSQHKVREHKKYTSHRDYSQPEGSEAAASQTKPQKPNKRNYHVETSTVRRSPRDLSKKNDAGGAGECATSKLADNHSNTNSNIKETQSVGNDHTCLEKDSGVVGVRHDFNKEKSREMFQCGQIWAIYGDRDNMPDVYVQIKKIESTSNFRLHVSELEPCSPPEGFKRAISCGSFKIKKAKPQILSPSAFSHQLKVEPMENSIYEIYPKKGEIWALYKDQNSELTSSDQDRGRRECHIVEVLADSDKSVEVVILLRFSGSQPIFKAPITRRSKAGVIEILREEVGRFSHQVPAFQHSGEDDLHLRGCWVADPSSIPGFAV >CAK8543168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584850201:584850647:1 gene:gene-LATHSAT_LOCUS11944 transcript:rna-LATHSAT_LOCUS11944 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQSLNLKFAKLRIQHFCVHPGGRAVIDGVGKGLKLNEYDLEPTRMTLHRWGNTSSSGIWYALGYIEAKKRLNKGDRILMISLGAGFKCNTCVWEMMKDIPNTNVWTDSIEKYPPPLHNHPFEETFNWIHDDQLNFVRFDFSTIKID >CAK8563391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599328181:599329050:1 gene:gene-LATHSAT_LOCUS16809 transcript:rna-LATHSAT_LOCUS16809 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNNVLNIKDKIGGKHVKEFVYIDLSTFMENACIFEVESIGDRYTWFNKHTGGAIYSKIDRVIANMDLMQQTIQKMVHVMELGISDHMLLCLKGNEENKNKHFDFKFLNEIADMEWYHEAVRRSWRQRTRGNPINSLWKKVMRLQPVLIKLRKPLVGIKKQIDKIDWIRLGDGNNSYFHASLKSKQKKNNMSKSCTVYGIVISTHEAIVEEVLDFYGKLLGTTKQSLEGIAIVAHRKGK >CAK8576194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:421859924:421861593:1 gene:gene-LATHSAT_LOCUS28400 transcript:rna-LATHSAT_LOCUS28400 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIEGSPGSSMHGVTGREQTFLSSVASPMVPTDTTANFPLPVDSEHKSKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADVGNAGVASVSGSIFSRLMMGAVCDLLGPRYGCAFLIMLTAPTVFCMTFVEDAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLGALQKKGNVAKDKFTKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANLVARPFGGYASDVAARLFGMRGRLWTLWILQTLGGIFCIWLGRANTLPIAVLSMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSSSKFSTSTGLSLMGAMIVACTLPVSLVHFPQWGGMFFPASKEISEEHYYTSEWNEDEVQKGLHQSSLRFAENSRSERGKRVASAATPPNTTPGH >CAK8535322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838237685:838240227:-1 gene:gene-LATHSAT_LOCUS4789 transcript:rna-LATHSAT_LOCUS4789 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHMNSDFFHLKDRMEKKLTRSVSEIFYRHPTLIKDERTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQLHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEVRMQDIFGDSDDEDNEDMDVTPIRAQPISLYNPPTHMQRRCDEHDNTNSVFENATQNHVGDEIEVGMEFDDKDACVFALQHWHITHSVDYWVYKSGNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDTSLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVVKANTHNVMQFDRERFCFMVQEKTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGHPNSTRIRTEMGDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8571717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464881461:464884619:1 gene:gene-LATHSAT_LOCUS24354 transcript:rna-LATHSAT_LOCUS24354 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKNQFFFFLFICCIFCFRSSFSAAEINEASALLSVKAALIDPLNSLHDWKDAGAAQAHCNWTGVECNSFGAVEKLNLSHMNLSGSVSNEIQSLKSLTFLNLCCNGFESSLSKHITNLTSLKSLDVSQNFFTGDFPLGLGKALGLVMLNASSNNFSGFLPEDLGNVSSLETLDLRGSFFEGSIPKSICNLSKLKFLGLSGNNLTGKIPVEIGKLSLLESMIIGYNEFEGSIPKEFGNLTKLKYLDLAEGNFSGEIPAELGKLKLLNTVFLYKNSFQGKIPPTIGNMTSLVLLDLSDNMLSGNIPAEISQLKNLQLLNVMRNKLSGPVPSGLGDLPQLEVLELWNNSFSGPLPSDLGKNSPLQWLDVSSNSLSGEIPETLCTKGNLTKLILFNNAFKGPIPTSLSKCPSLVRVRIQNNFLSGTIPVGFGKLGKLQRLELANNSLTGGIPDDIASSMSLSFIDFSRNNLSSSIPSTIISIPNLQTFMVSDNNLEGEIPDQFQDCPSLGVLDLSSNFLSGTIPESIASCQKLVKLSLQNNRLTGGIPEAIASMPTLSILDLANNSLTGQIPNSFGVSPALETFNVSYNKLEGPVPENGMLKAINPNDLVGNAGLCGGFFPPCGKTSPYSLRHGSSHAKRVVVGWIVGISSILAIGVAALVARSIYTKWYTEGLCFQGRFYRGGKGWPWRLMAFQRLDFTSTDILNCIKETNVIGMGGTGVVYKAEISQSSTAVAVKKLWRSETDIEVGNSDDLVGEVNLLGRLRHRNIVRLLGFLYNDTDLMIVYEFMVNGNLGDALHGKQTARLLVDWVSRYNIALGIAQGLAYLHHDCHPPVIHRDIKSNNILLDANLDARIADFGLAKMMIRKNETVSMIAGSYGYIAPEYGYSLKVDEKIDIYSFGIVLLELITGKRPIDPEFGESIDIVGWIRRKIDKNSPEEALDPSVGNCKHVQEEMLLVLRIALLCTARLPKDRPSMRDVIMMLGEAKPRRKSGKNNDTLTANKEMPVIRTSPVNDLL >CAK8530157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3486588:3490663:-1 gene:gene-LATHSAT_LOCUS47 transcript:rna-LATHSAT_LOCUS47 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIMEKVKSCGVLWLEGLREACCLHRVVILCLRSKNLLMRTGQCFLFNGFIFLGSIFVLNSVVIPALWWILPDQCSQLVSHTLCALGGTLKFYSFLRLALIQLFYALWFYPLYVFSIVLSALWYNDIAKYGYAAMGRSKFTVHNVSSQNNTPIMHNDIQAKRPSGLEGVMIGIGEQVYSILLLSVFFIEVYATGFIPFIGKVLNFLLLSWMYAYYCFEYKWNFNEVALDRRLDYFQSYWPFFAGFGSPCVLAIFFFSPLVSYGIMAILFPLFVLTATGSEADQEISFERSKWRAAGVEKLPIFYVSNNVSMWILSLLPLEKQDRTQDRKAQ >CAK8530158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3486588:3490651:-1 gene:gene-LATHSAT_LOCUS47 transcript:rna-LATHSAT_LOCUS47-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKSCGVLWLEGLREACCLHRVVILCLRSKNLLMRTGQCFLFNGFIFLGSIFVLNSVVIPALWWILPDQCSQLVSHTLCALGGTLKFYSFLRLALIQLFYALWFYPLYVFSIVLSALWYNDIAKYGYAAMGRSKFTVHNVSSQNNTPIMHNDIQAKRPSGLEGVMIGIGEQVYSILLLSVFFIEVYATGFIPFIGKVLNFLLLSWMYAYYCFEYKWNFNEVALDRRLDYFQSYWPFFAGFGSPCVLAIFFFSPLVSYGIMAILFPLFVLTATGSEADQEISFERSKWRAAGVEKLPIFYVSNNVSMWILSLLPLEKQDRTQDRKAQ >CAK8560841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49447686:49448010:1 gene:gene-LATHSAT_LOCUS14486 transcript:rna-LATHSAT_LOCUS14486 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGNLQDLMEKTIVLALATGKKRFSASLCKLVDKYAEILASQGLLTTAMEYLKLLGSAMADYTWKFLGSPQ >CAK8536773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:18216970:18221175:1 gene:gene-LATHSAT_LOCUS6106 transcript:rna-LATHSAT_LOCUS6106 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLEIRVSILLAFATVISSLEPKLGSTRVVFQTNYGDIEFGFYPTVAPKTVDHIFKLVRLGGYNTNHFFRVDKGFVAQVADVANGRSAPMNEEQRRVAEKNVVGEFSDVKHVRGILSMGRHEDPDSGGSSFSMLLGNSPHLDGKYAVFGKVTKGDDTLAKLEQLPTRKEGIFVMPMERITILSSYYYDTETENCEQDRSILKLRLAASAVEVERQRMKCFP >CAK8543683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631324669:631325831:1 gene:gene-LATHSAT_LOCUS12427 transcript:rna-LATHSAT_LOCUS12427 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRCFQLAFSLMSISLDQEGGLQPSRRRSLFTLASYMLIFSARTGNFPELIPIVKASLIHSTINPFLELVDDVRLQAVNIESEKIIYGSQEDEVAAIESLSAVELDDKQLKENVISYFLTKYSKLPEVIL >CAK8536434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941372558:941373301:1 gene:gene-LATHSAT_LOCUS5802 transcript:rna-LATHSAT_LOCUS5802 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQYFAEGVNQIDSLEGKSSQEMWKCSGADAISGSSGFDCNICLECVQDPVVTLCGHLYCWPCIYKWLNFHGQAQEKQKEKPQCPVCKSEISKSSLVPLYGRGQTSPPSKDNAQQVRSVIPPRPPSPSWMTNLPRSLDAGTVSQHTSQVYHPHYHNHAQRNTSPMLNTGGSLTNPLDTSYGVFGEMIYARIFGNQVTDVYTYPNSYNLSGISNPRIRRHLRRADKSLSRICFFLLCCTVLFLLLF >CAK8571912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492492912:492500015:-1 gene:gene-LATHSAT_LOCUS24535 transcript:rna-LATHSAT_LOCUS24535 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSTLTPSSSSFFLAPSNSTIPRHRYTSFSSSAPLPLRRSSHSSPTNSISIFSNASRHFNQHLFPQAQAQAQPRTLFPGGYKRPEVKVPTLILQLNPDEILIRGDAALDLIDKAVSKSVGIVILASNEQTGGKLYDAACMLKSIVRDRAYLLVAERVDIAAAAATSGVLLSDKGLPTVVARNTMLGSNSDLVVLPLVARFVQTVDAAVNASKSEGADFLIYGGGDLKGLNQEIGNVVENVKIPIFASFVGENLSYAEASSLLASGASGFVTSLESFGLFDDDFLRKLLDGGIANDERMLDDRGGKIDDGKLVDKGNGLQSKREVVGGFVKLEDREKQLIEMERSVLNEAIEVIKKAAPLMEEVSLLVDAVSQIDEPFLLVIVGEFNSGKSTVINALLGERYLKEGVVPTTNEITFLRFNDLDIEKQRCERFPDGQYICYLPAPILREMTIVDTPGTNVILQRQQRLTEEFVPRADLLLFVISADRPLTGSEVAFLRYSQQWKKKVVFVLNKADIYQNNHELEEAMSFIKDNIKRLLNTENVILYPVSARSALEAKLMATSSFVKLNEELSVSGSQYGPSSFHELEKFLYSFLDGSTIAGMDRMRLKLETPVGIADRLISACETLVTQDYRCAKQDLIAINGVVNSVNDFALNMETESLSWRRQTLSMIESTKSRVVELVESTMQLSNLDTIVSYVFKGEKRAMPATSRIQNDIIDPSVSSVQKILAEYDNWLCSKNTEQGRLCKESFEKRWSSLIHENSQMSFETYELLKNGDQAGYKVIENFSSSAVSKSFEQEVREMILGTLGQLGVAGFSASLLTSVLQTTLEDLLALGICSVGGYIAISNFPTRRQSVIDKVKKKADALAYELEEAMKRDLAETVENLDTFVRVIGKPYQDQAQNRLNKLAEIQEEISNIEKKLRTLQVEIQNLHVS >CAK8560853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50148013:50150572:1 gene:gene-LATHSAT_LOCUS14497 transcript:rna-LATHSAT_LOCUS14497 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTYLKLFCGGSSQQKRFVFSNNVKVSCLLASFCAFAVWTYIFAGISIPFIHKDRLQNQEDFLLRCIKGKSFKQTCPTNYPTKHNPTNQSRHVCPSYFRWIHEDLKPWREQGITREMLERAKKTAHFRLVIVNGKVYVEKYRKAIQTRDVFTLWGILQMLRLFPGKLPDLELMFDCEDKPVVPLDKFHGPNASPPPLFRYCSDQWSLDIVFPDWSFWGWAETNIKPWKDTLKDIKEGNKKTKWKDRVPYAYWKGNPYVAPTRQNLLQCNVTLEKDWNTLIYVQDWIQESNQGFKKSSLGDQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVRSRYHDFFMRDMMPLQHYWPIRDNSKCSSLKFAVEWGNNHTQKAHAIGEAGSKFIQEDLDMKNVYNYMFHLLNEYAKLLRFKPKIPRGAVEFCAEKLMACDENGNRRRFMEESLEKVPSDSNPCTLPPPYDPLTLQKILDRKANSTKQVESWEDEYWQSKNNGQ >CAK8533110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:578496318:578498385:-1 gene:gene-LATHSAT_LOCUS2758 transcript:rna-LATHSAT_LOCUS2758 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDLMNSAALTFCVMVLAVYSHQTQALGRDFLGKGKSKPVVEGLCASSIAIHGYKCQEIEVTTRDGYILSIQRILEGRVKVDGNVTKEPVIVQHGVLVDGATWFMNSPEQNLPMILADNGFDVWVVNTRGTKYSRKHTILDASSQEYWYWSWDALVAYELPAVFDYVSKETRQKIHYVGHSMGTLTALVSLAEGKWKNQIKSVALLSPVAYLKRMTTAIGAIAARSLLAEGFSFMHIAEFDPKGTPVTGFIQDICVESGLNCNSLFTLITGENCCLEKSAFDEFMKIEPQSSSTRTLFHLAQIVRSDTLSKFDFERPHLNLLYYGRLRPPTYDLSKIPNNIPIFMSYGGKDALSDVADVQKLLNDHFQNHDKDKLSVQFIENYAHGDYMFATNAHELVYNNVTSFFKRKF >CAK8577491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568293624:568295037:-1 gene:gene-LATHSAT_LOCUS29595 transcript:rna-LATHSAT_LOCUS29595 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAWDAMSVKPSGNVNLARQSSIYSLTFDELQSTVGGVGKDFGSMNMDELLKNIWNVEETQAMTSSTVGGGGGEGLNSTIGSNLQRQSSLTLPRTLSQRKVDEVWKELMKDNGNSGSSMPQRQPTLGEVTLEEFLVRAGVVKEDMPNHVQQIERPNNNEWFSDFSRSNNNTNLLPFQQPNRNNGDMNDSINLVPKQVPVPLPPSSINLNHSQRPPPLFPKPTNVAFASSIHMLNNAQLGNPGRRGGMIGVQEHSMNGTLVQNSVAPGAGMLGLSAANVTAPIASPGSKLSPDIITKRNLDPSILSPVAYGINRGRKFGAVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAEVAKLKEVNEELQRKQAEFMEMQKSKEDMVQVNKIRYLRRTLTGPW >CAK8536588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:338786:341733:1 gene:gene-LATHSAT_LOCUS5943 transcript:rna-LATHSAT_LOCUS5943 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDDEPLTPAGRLFLQPEMNQVVHCVVGLKNTLDVDSIMAEIHNSLMLQHPRFTSLMVRDHRGVEHWRPTKIDLDRHVLVFDHPVSDAVDDQSAISDYMADLCTTPKLSMDKPLWEIHILKAHKCIIFRIHHSLGDGISLMSLLLTSCRKLHDPDALPTIISIPTSKSRRTLWNLLTALFFSFIYVIQLMFRCLWIRDRKTAISGGDGVDLWPRKIATASFSLEDMKTVKTAVPNATINDVLFAVISSGISRYLDIREPNGLRDGVQLTGLAMVNLRKQPGLQELSNLMKSNSGAKWGNKFGMILLPIYYHRSKSSNPVEYFKRAKVMIDRKKKSLEAHLSYKIGDFVMSTLGPKFASLLNYRILCNTTFTFSNVVGPQEEIMIAGNPVTYLRANNSALPHALVLLMLSYAGKADMQVQVAKDIIPDPEFLAKCFEDALLELKEHVTAKI >CAK8577743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586094756:586096395:1 gene:gene-LATHSAT_LOCUS29821 transcript:rna-LATHSAT_LOCUS29821 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNLQTSLSHANFYSLFFTIFPLLLSFFFIIKYWYDSNNSSATAKNSPPSPPRFPLLGNLHQLGLFPHRTLQTLSQKYGPLMLLYFGKVPVLVVSSSDAARKVMKTHDLVFCDRPQSKLFDILAYGSKDVASCAYGEYWRQVRSLCVLHVLSNKRVQSYRGVREEETSRMMEYIKEYSSSASSPLNLSELCSMVTNDIVCRVALGNRYREGRGKKFQQVLAELVELLGTICIGDYIPWLDWLGKVNGLYRKAERVAKHLDEFIEKVIEDHIRGRSDEDVGVDNDFVDVLLSVQKTNAIGFQINRTTIKALTLDMFAGGTDTTYTALDWAMTELLRNQTAMHKLQDEVRTVVGNKTHVTEEDLVNMNYLKAVIKETLRLHAPVPMLVPRRCMEEIKLDGYDIAAGTQVIVNAWAIARDPSSWDEPLEFKPERFMNSSKDFKGLDFDFIPFGGGRRGCPGVSFANAVIELVLANLVCQFDWKLPDGVEGKDLDMSETFGITCHRKYPLLALATKYENK >CAK8572569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547371073:547371970:1 gene:gene-LATHSAT_LOCUS25122 transcript:rna-LATHSAT_LOCUS25122 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLGTLSSVPATKLGFIAIEVALKRANVDPSLVEEVFFGNVLSANLGQAPARQAALGAGLSKSVVCTTVNKVCASGMKPTMLAAQSIQLGINDVVVAGGMENMSSVPKYLAEARKGSCLGHDSLVDGMLKDGLWDIYKDVGMGVCTELCADNHSITREYQDNFAVQSFEHGIAV >CAK8537478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:367758087:367758525:-1 gene:gene-LATHSAT_LOCUS6768 transcript:rna-LATHSAT_LOCUS6768 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIEKEFSSFEKLVDKKTGVGWDHEKKTILADDDWWAEKNKDLDILKWKHGGSTYIDLLDKCFKVLNVTTHMKINYHVKDQNLFLKRAERITQLLRMKEIQIVLMLEMKYNPT >CAK8576358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466525535:466528787:-1 gene:gene-LATHSAT_LOCUS28551 transcript:rna-LATHSAT_LOCUS28551-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRTVLDDGGPGPASNGDAAVATKPKTKPKNGEGDGEDPEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQSLQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFVDEIDSLCGTRGEGNESEASRRIKTELLVQMQVIKFTHLGKWLSYAFVVKSMFYLLCLFIWPNGFQV >CAK8576357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:466521805:466528787:-1 gene:gene-LATHSAT_LOCUS28551 transcript:rna-LATHSAT_LOCUS28551 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRTVLDDGGPGPASNGDAAVATKPKTKPKNGEGDGEDPEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQSLQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFVDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHSDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARKSEGFSGSDVSVCVKDVLFEPVRKTQDAMFFFKSPEGMWIPCGQKQQNAVQITMQDLATQGLASKILPPPISRTDFDKVLARQRPTVSKSDLDVHERFTKEFGEEG >CAK8570354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38844875:38845716:1 gene:gene-LATHSAT_LOCUS23107 transcript:rna-LATHSAT_LOCUS23107 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGKVETEIEFQAPADKFYNIFRNQLHHIPNISTEIVQEGRVHEGDWENVGSVKHWEYTLEGKTQSAKEKIETIDDENKVITYSVFGGEVGESYKSLKVTIQVIDKEHGGLVKWAFEYEKLKEDITAASPDSFLDFAAKVTKDIDAHLVKE >CAK8543314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599697952:599699390:1 gene:gene-LATHSAT_LOCUS12079 transcript:rna-LATHSAT_LOCUS12079 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAPKPTKPGLEESQEQIHKIRITLSSKHVQNLEKVCGDLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >CAK8531270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94999922:95001982:1 gene:gene-LATHSAT_LOCUS1074 transcript:rna-LATHSAT_LOCUS1074 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLHSSLSNISLFLSISTPSSCNRHFKFKTFSHLNQSSLHHPVTDSSSSPKTKIWVNPNSAKSKPFRRKHFNSRTAFLLHLAASLDSCDPTQQHVSAILNCLGDDAVAEQDAVFILDQMFNPRTALVVLRHLRDKIQYVRYNEVVLYNVTLKVFRKCNDFEGAQKVFDEILQRGVKPDNITFTNMIKCATMPSMQDRAVEWFDKMPGFVCEPDAITCSAMLCAYAQTNHVGIALQLYHIAKIEKWPIDAVTFSALIKMFDLSGNYDGCLNIHLEMKRLGVKPNVETYNSLLAAMLRGKRHWEAMTIHQEMISNGVLPDFTTYSSLLRLYAREQYDQYALGVYKEMKGKGMDVTVDLYNVLLTMCAKVGSNHEALEIFEDMKSSGTCHPDSWTFSALSKVYSNSGKVSEVEGVLGEMIKSGFEPDIFVMASLVNCYGKAKQIDDVVNVFDRFLNLGIIPDDRFCGCLLSVMAKAPKEELGKLIKCVEKANTKLGYVVRYLVEGQDSDGDFRKDASELLNSIDAKAEKTICNCLIDLCVNLNLPDRAGTLLSIGLMHGIYRSMQSRSQTMWSLHLRNLSIGTAMTAFHAWIHDLSKALESREEFPPLLGINTGRGKLKNSSKGIASVIESQLKELNVPFHEDPKEAGWFLVTKEAAESWLESRGSTKSIAALDSMVLNAPSMALPY >CAK8579611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712624197:712624766:1 gene:gene-LATHSAT_LOCUS31543 transcript:rna-LATHSAT_LOCUS31543 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDNNISHIDIEAMRLGIQFNMELREYLIKNITEEDITKALRGIGDLKAPGLDGYRTRFFKASWTTIKNDVIAAVKEYFETCKMYKPFNNVVVSLIPKRNKACEIKDSRPIAVCTTFYKIISKILIDRLGSVLPSMVSHNQAAFVKGQNIHNHIMLATELLKGYTRKGGTPRIMMQIDLQKAYDMVS >CAK8579612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712624242:712624766:1 gene:gene-LATHSAT_LOCUS31543 transcript:rna-LATHSAT_LOCUS31543-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGIQFNMELREYLIKNITEEDITKALRGIGDLKAPGLDGYRTRFFKASWTTIKNDVIAAVKEYFETCKMYKPFNNVVVSLIPKRNKACEIKDSRPIAVCTTFYKIISKILIDRLGSVLPSMVSHNQAAFVKGQNIHNHIMLATELLKGYTRKGGTPRIMMQIDLQKAYDMVS >CAK8543988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654096704:654097982:-1 gene:gene-LATHSAT_LOCUS12703 transcript:rna-LATHSAT_LOCUS12703 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNIERTLPFVPPLESSLSLFYNYNNYTYSGMEASEVELGEIQQRWPPVINKGNNCGEKKTKNKKIKLTSNQVETLERSFQDEIKLAPERKMKLSAELGLHPRQIAVWFQNRRTRWKTKQLEYSCDVLKHSCDVLKQENQKLKEEVMELKEKLKEKADVRTQTFGDETVEGFGEIEGYDPHPSFQFQQGTTSSIQQAAEGYINTSFIIEDFELVSLHQECHWP >CAK8577973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599414071:599414418:1 gene:gene-LATHSAT_LOCUS30027 transcript:rna-LATHSAT_LOCUS30027 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFIVALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRELYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPTSVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8544591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694151831:694154705:1 gene:gene-LATHSAT_LOCUS13252 transcript:rna-LATHSAT_LOCUS13252 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESLFCLLTEDLVLKILDRVDSDRKSFRLVCKEFLRVESTSRRTIRILRIEFLLGLLQKYCNIEELDLSVCPRIDDGAVSILLSHGSVSWTGGIRTLVLSRATGLGYVGLEMLVKACPLLEVVDVSHCWGYGDREASALSCAGRLRELSMDKCLMVTDIGLAKIAVGCGRLERLSLKWCLEISDLGIELLCKKCLELKFLDVSYLKVTSESLRSIASLLKLEVFIMVGCDLVDDVGLRFLEKGCPLLKAIDVSRCNCVSPSGLLSVISGHEDLVQINAGYCLSELSASFINGLMNLKRLSILRIEGVRVSDFILHIIGTNCKSLVELGLSKCIGVTNMGIIRVVSGCGNLKTLDLTCCRFITDAAISIISYSCPNLACLKLESCDMVTEIGLYQLGSRCLMLEELDLTDCSGVNDIALKYLSRCSKLVRLKLGLCTNISDIGLAHIACNCTKLTELDLYRCVRIGDDGLAALATGCNKLTMLNLSYCNRMTDTGLKCISNLSELSDLEMRGLSNITSIGIKAVAVGCKRLASLDLKHCEKIDDSGFWALAFYAQNLQQINMSYCNVSDHVLCLLMGNLKRLQDAKLVYLTNVTIQGLELSLRSCCGRIKKVKLQRSLRFSISSEILEIIRARGCKIRWD >CAK8575778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322623282:322623731:1 gene:gene-LATHSAT_LOCUS28013 transcript:rna-LATHSAT_LOCUS28013 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKAVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKFKPDTPMAVTITAYKDNTFEFIVKSPSVSWYLKKAAGIELGSTRPGHVTATTLSLRHVYEIAKVKQSDPFLQNMPLESLSNSIIGTASSMGIKIVKDLD >CAK8539553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515999286:516000114:-1 gene:gene-LATHSAT_LOCUS8636 transcript:rna-LATHSAT_LOCUS8636 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMPGYMRFCLNTGKLVFLAILVSGGIVLQILACALYSNWWPMLSAISYVLLPMPLLFFAGSDGSSMFSESDNTWVNIAKFLTGASTLGGIAIPSILKHAGVIGWAAFAMELSSFFVFVLAILCYLGMSEEDSYYSML >CAK8534625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750012363:750014112:-1 gene:gene-LATHSAT_LOCUS4156 transcript:rna-LATHSAT_LOCUS4156 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTVKVEPEQFMVLESSPVAVDGVSSNMDDGSMMNFSANKSMAASRMNETFPNTNQLTIFYNGNICIYNGIPAEKVQEIMLMAAATAKSTETKSIMKQSPVPSPVPSRPSSPHAATDNIASSQALCFPAKKSSVCRLQEFPIARRHSLQRFLEKRRDRLGSKAPYPSSPTTKVADNIENNFCSENSPDSVSLKGPNEEFQPTISAS >CAK8542778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545788044:545789410:-1 gene:gene-LATHSAT_LOCUS11586 transcript:rna-LATHSAT_LOCUS11586 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSYFMVLIICVVAIIGSSDAELKLGYYGKSCPKAEEIVLKYVHQHIPNAPSLAAALIRLHFHDCFVRGCDASVLVNSTQTNQAEKDAIPNRTLRGFDFINTIKSLIEAECPGVVSCADILSLSARDSVHAIGGPYWNVPMGRRDGTISKSADVFITLPAPFNNLTTLLTLFGNVGLDANDLVLLSGAHTIGVSHCSSVSNRLYNFTGKGDQDPDLDNKYATSLKKFKCKNINDQTSIIEMDPGSRNTFDLGYFKQVVKRRGLFESDVALLKSSTTRSVVAQHLQSNEKFFTEFAKSVEKMGRINVKIGTEGEIRKHCAFVN >CAK8537746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426621835:426622648:1 gene:gene-LATHSAT_LOCUS7007 transcript:rna-LATHSAT_LOCUS7007 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEILDLVLVPTGLFIMITYHLWLLYRIVKHPTKTAIGVNSINRRLWVQAMMEDVSKNGVLAVQSLRNNLMASTLLASTAIMLSSLIAVLMTNRNDGGVVSMVFGDRTELVLSMKFFSILICFLLAFLLNIQSIRYYSHASILINVPFKKLSSNLRRQKQTAEYVVNTLSRGSYFWSLGLRAFYFSFPLFMWIFGPIPMFFSCFALVFLLYFLDAPFECGWATVKGVNDHECVQVEVPKQHCIDME >CAK8578212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612667530:612671008:-1 gene:gene-LATHSAT_LOCUS30245 transcript:rna-LATHSAT_LOCUS30245 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHTRWLVTTRAAAIKDWSRCESIKTIKKKSITTTLSYSSSTTRSSSLSCTWSPFTTTVSSMSYDKDLAAAKKAATLAARLCQKVQKALLQSDVHSKSDKSPVTVADYGSQALVSLTLERELPSEPFSLVAEEDSGDLRKESGQDTLRRITDLVNDTVANEGSHSFSTLTTDDVLKAIDSGKSEGGSVGRHWVLDPIDGTKGFVRGDQYAIALALLDEGKVVLGVLACPNLPLATIGHNEQHASSNEVGCLFFAKVGDGTYMQALDGSTQTKVNVSAVDNPEEASFFESYEAAHSSHDLSSTIAEKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGIVSDAAGNPLDFSKGKFLDVDTGIIVTNQNLMPSLLRAVKESLNEKASSL >CAK8540537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10227408:10230759:-1 gene:gene-LATHSAT_LOCUS9534 transcript:rna-LATHSAT_LOCUS9534 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDIDEIKKIGIGLIGFGIFFTFLGIVLFFDRGLLALGNIFWLAGVAILLGWRSMWALFTNRANYKGSASFLLGLFLIFVRWPILGIVIEIYGCVFLFSGFWSSVKVFLYHIPVVGWIIRFIAPP >CAK8568708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633622975:633631922:1 gene:gene-LATHSAT_LOCUS21640 transcript:rna-LATHSAT_LOCUS21640 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPITRSKNKRIKQDDDGVCASEIWRKIHETGVITGEDVNQLYMIWKPVCSGCRVNTKDNPNCFCALVPPLNGARKSGLWEKVSDFVESLGPDPNKDLRASDDSPAGLTNLGATCYANGILQCLYMNKLFREGIFSVEPDVLRQQPVLDQLARLFSQLQGSKLAFVDSSPFVKTLELDNGVQQDSHEFLTLLLSLLERCLSHSKVPKARTIVQDLFRGSVSHVTTCSQCGRDSEASSKTEDFYELELNIKGLKSLDESLDDYLTVEELHGDNQYFCESCNTRVDATRSIKLCTLPDVLNFQLKRCVFLPKTTTKKKITSAFSFPVQLDMRHRLYELSQFDLVYDLSAVLIHKGTGVNSGHYIAHIKDKNTGQWWEFDDEHVTNLGNHPFGEGSSSSTTKSIATDATHSDCSEARIAESNENGLNAAHSQSSLIETFSSCDAYMLMYHLNNTKSISENGGIVCGASHREIGGVVASEQDGVCLPSHLFDEIQNLNASYLDACQKYSHGKELELNRITERRQEVRSVLAEAPVPPLERPFYWISSDWLRQWADNIIPTSIDNTVIQCLHGKVPVSKVTSIKRLSAKAWDKLFSKYGGMPTLSHDDHCWDCLINGAQTVVSADTYRGRRESLKSLARDVLDGNCPDGKYFISRPWLQQWWKRKVLDAPSEADAGLTAAISCPHGLLMPEQAPGAKRVLIPETFWLFLYEDAISVKPDDALGGPILPSGSIECSQCINELSEAACLEDSLRSVKQKQRQNHEKLFHAKSMPLSADYKYFLLPSSWISKWRNYISRKNPDIPETLDGVIDSLMCEKHSQLVERPPQLVFRRGAIFQRESSTGGLTIISENDWISFCEEWGGTKTKGISATIEHINDSGNFLTGSSDKMLICEDQLQTGDKVKNENGTGSEQILIKTCPKVCESCIGEKESCELMHKLNYCNEEICVILVRGKEVPKSILEASKGLTETDRRVSKRSRKTKNGNSASLKVSASTSLYQLKMMIWESFGVVKENQILHKGDRIIDIDDESATLADANIFAGDQIIVRDSEIHENRDIADELCSDKMDLQHTEEGFRGTLLTANISSQVV >CAK8578256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615499951:615502952:1 gene:gene-LATHSAT_LOCUS30283 transcript:rna-LATHSAT_LOCUS30283 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQHPQLQIQTQQPTPSPQDEALKRNTDCVYFLASPLTCKKGNECEYRHSEYARVNPRDCYYWLNGNCLNPKCSFRHPPLDGLLGTPPATAAAGPSVPASQIATTSAAHTPYSKQAVPCIFFQKGFCLKGDRCGFMHGPNSNNGSKIASQGSITNPAAENPNFKKPFGGTEKHTQERKTSQANVGGAAEAKPVDVKKFETAPPKNIFKLEKHVLTGGFDNEASRFKTPSSPPPTNGPNVARSNRVHQPRFPDDHSFHSGKDSDEFLRESSPGFDVLVADELRNSDYYHGEDEFGITRGQDERGLDPLNEYDMGHSADYSLAADIDRDRFRVPQGYDSYEHMQEPYGWEPRKASTHIERTRNSSRSPDSVEVSDLRHRLSKRRKGLKSVVTHDEEQSRRFSRNDSLHLPSNERSVNNRFRGRITLPPNGGEGHLERDLDRGRDMDRGRISSRLSSGRLHPPHEGRIHDRMRDRLPDDERRNFRGRSMGDRSGFSAPKSLAELKYGRNAENTDQQSLGKRKSYQQYEDDVPFEGPKPLSEILKEKKGVGAGAASSQSSKSAYNNKNEGTTENGSLLNTNVEESKNQAADVVGREVDNTNVTHQSPEDGIIYDEAAEEQEYEGGDYEQGEEGGDYEYEQGDEEYEYEQVEEGENQEQEYMEEEDGDEFAKKIGVVLS >CAK8560447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19896296:19897966:1 gene:gene-LATHSAT_LOCUS14116 transcript:rna-LATHSAT_LOCUS14116 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEPNPTSDHILDWLEGSVSFFPSFLDDPYNSGYIQEYQLWDQNQDIRSQYRTDAICDSANATNSGTNVVAASTTTTTTSTTSLEPNSSNNVIFSDLPKKRNVDDESSLEKQSRNQKNKRVKRRVMNEGDNGDAGLEGTVVRKSGGNKKGAARANGSNCNNGNNKDGRWAEQLLNPCAVAITGGNLNRVQHLLYVLHELASTTGDANHRLAAHGLRALTHHLSSSSSSTSSGTITFASTEPRFFQKSLLKFYEVSPWFSFPNNIANASILQVLAEDPNDSRTLHILDIGVSHGVQWPTFLEALSRRPGGPPPVVRLTVVAASSTENDQNMETPFSIGPCGDNFSSRLLGYAQSINVNLQINKLDNIPLQTLNAKSIDTAPNETLIVCAQFRLHHLNHNNPDERSEFLKVLRNMEPKGVILSENNMECCCSSCGDFATRFSRRVDYLWKFLDSTSSAFKSRESDERRMMEGEASKALTNQREMNERREKWSERMKEAGFAEEIFGEDAIDGGRALLRKYDSNWEMKLEEENNTSVGLWWKGQHVSFCSLWKLDKLH >CAK8535352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842651599:842657927:1 gene:gene-LATHSAT_LOCUS4818 transcript:rna-LATHSAT_LOCUS4818 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHENGANPIEDEPIVGPGPAPRARLKRPLQFEQAYLDALPSANMYEKSYMHRDVVTHVAVSAAEFFITGSADGHLKFWKKRPIGIEFAKHFRSHLGPIEGLAVSIDGLLCCTISNDRSVKVYDVVNFDMMVMIRLPYIPGAIEWVYNQGDVKASLAISDRNSSFVHIYDARSGSNDPIISKEIHSGPIKVMKFNPVYDTVISADTKGFIEYWNPTTLQFPEDEVSFKVRSDTNLFEIVKCKTSLSSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDNPLYRLEAIDFGRRMAVEREIEKTESAPQPNAVFDDSSNFLIYATLLGIKIVNLHTNTVARILGKVENNDRFLSIALYHGERSGKKVRKIPSAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKALTTSLPDNVIMHTTMGDIHMKLYPEECPKSVENFTTHCKNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQAIEKVKTDKGEKPYQDVKILNVTVPKS >CAK8542335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502463519:502464084:1 gene:gene-LATHSAT_LOCUS11181 transcript:rna-LATHSAT_LOCUS11181 gene_biotype:protein_coding transcript_biotype:protein_coding MALRATVLRHVRVPLQAAPKFQPWNASIRSMSSHDDHIKKEENVDRSMSSPEEDRINKEEVIDKVDPSKVTSDVDSQKDLAMDNLYLLRVLRAVQEAFKPMF >CAK8578102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607289546:607290727:1 gene:gene-LATHSAT_LOCUS30143 transcript:rna-LATHSAT_LOCUS30143 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTENQSSSASSSFSSFGRSLFGIRQEQVHSVEASHETDSCNLELGSFQKRVTDRFQDLSVVSDDELLSIDWMQKLLNVFICCHEEFRAIMLNNKEQVSKPPLDRMTSEFIERSVKALDICNASRDGIENIRMWQKHLEIASCALGSNKRPLSEGQFKRARKALMDLALAMLDEKESGSVFSQRHRSFGRHNSSKDQHSAGHSRSHSWSVSRSWSAAKQLQSIANNLVPPRANEIAANSRLSVSVYTMNCILLFVLWILVAAIPCQDRGLNLHFSVPRQFTWSTPVTLLHERIMEESKKRERRNSSGLLKEIYQIEITTRHLTDLVDSAQFPLTEKHKMEIEQDLKELKLVLEAFRDGLDPLECLVREVFRKIVICRTEGLDSLGASNYTGQ >CAK8532320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247346923:247347537:-1 gene:gene-LATHSAT_LOCUS2041 transcript:rna-LATHSAT_LOCUS2041 gene_biotype:protein_coding transcript_biotype:protein_coding MKASILALCIIYLAFICKTIAAPEPVLDISGKKLITGVKYYILPVIRGNGGGLAVANVSNLNSNNNTCPLYVVQEKLEVKKGGAVTFTPYNAKQGVILTSTDLNIKSYVTTTCPQTQVWKLLKVLSGVWFLSAWGEEGNPGINTIFNWFKIEKADKDYVFSFCPSVCKCQTLCRELGLYVDDNGIKHLALSDQVPSFRVVFKRA >CAK8540767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20181162:20196135:1 gene:gene-LATHSAT_LOCUS9739 transcript:rna-LATHSAT_LOCUS9739 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLVSSWFHLHSSVPLSYVQPPESRPGSAFVVSGKTIPVVDLEEHDHAEILMQILRASEEYGFFHVINHGVSKELMDDTMNVFKEFHGMAEVEKISESSRDPNGSCRVYTSREINKEDCVQYWRDTLRHPCPPSGEFMEFWPQKPARYREVVERYTQELRLLGLKILELVCEGLGLDPKYCYAEFSESPLLLAHHYPPCPEPSLTLGTPKHRDPNLVTILFQDKDINALQVFKDGEWILVEPIPYAFVVNIGLLMQIISNGRLIGAEHRVVTNSDTSRTTIAYFIRPSNENIIEPAKSLTCSDSPPIYKSITFEEFLRNFMSKGPDIEPYLLL >CAK8540768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20181162:20181617:1 gene:gene-LATHSAT_LOCUS9739 transcript:rna-LATHSAT_LOCUS9739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLVSSWFHLHSSVPLSYVQPPESRPGSAFVVSGKTIPVVDLEEHDHAEILMQILRASEEYGFFHVINHGVSKELMDDTMNVFKEFHGMAEVEKISESSRDPNGSCRVYTSREINKEDCVQYWRDTLRHPCPPSGEFMEFWPQKPARYR >CAK8571806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:477724828:477726366:-1 gene:gene-LATHSAT_LOCUS24435 transcript:rna-LATHSAT_LOCUS24435 gene_biotype:protein_coding transcript_biotype:protein_coding MELETLVSWLLLSASLLWFLLLATKTQSKSLKPPSSATNTTIPKSYPIFGSVFSFAANFHRRVQWISDILQTTPSSTFILHRTFGSRQVFTANPLVVQHVLKTNFPCYRKGLTLNRSVGDFLGNGIFNADGETWKVQRQISSHEFNAKSLRKFVKTVVEVELSDRLLPILSKASKTHTILPDFQDILQRFTFDNICIIAFGFDPEYLLPSLPDTAFAKAFDDGTRITSLRFNAPVPLIWKVKKILNIGTERRLKEAVAEVRGLATRIVKEKKKELLEKSALESVDLLSRFLSSGHSDESFVIDIVISFILAGRDTTSAALTWFFWLLSKHSHVENEILKEITAKSESVSYDEVKDMVYTHAALCESMRLYPPVPVDTKEAAYDDVLPDGTSVKKGWRVAYHVYAMGRSEKIWGPDWAEFRPERWLRRDEDGTWSFVGMDPYSYPVFQAGPRVCLGKEMAFLQMKRVVAGVMKEFRVVPAMEKGVEPEYTAHLTSLMKGGFPVRIEKRSHTGG >CAK8543837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:642701612:642702376:-1 gene:gene-LATHSAT_LOCUS12566 transcript:rna-LATHSAT_LOCUS12566 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFHFLPLFCLNLFFIVTFFQTVSAQTAISPAPSGPTNITKVLEKAGQFTTFIKLLKATQVSDRINSQLNNSNQGLTIFAPTDNAFSSLKSGTLNSISTQNQLQLLQFHILPTLYTISQFQTASNPLHTQAGNSDDGEYPLNVTTSGNQVNVTTGVIDTTVSNTIYSDNQLAVYQVDQVLLPMALFGQGPTAAPAEAPAPTKPEKSVRASDAPKGSSDSPADDSSAVGLKGYPGVTLFAAVFANAVVSYLWM >CAK8567680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535530057:535530755:1 gene:gene-LATHSAT_LOCUS20709 transcript:rna-LATHSAT_LOCUS20709 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVSLSTIALPMLSQKPSNSVKSTPSCMASFPLSRQMPRLGLRNVRAQAAGGGDNKGNSVEVHRVNKDDQGTAVERKPRRSSIEVSPFGLLDPWSPMRSMRQMLDTMDRIFEDAMTIPGRNIGGGEIRVPWDIKDEEHEIKMRFDMPGVSKEEVKVSVEDDVLVIKSENKEENGGEDSWSRKSYSCYDTRLKLPDNCEKEKVKAELKDGVLYISIPKTKTERKVIDVQIQ >CAK8531357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104519763:104520708:-1 gene:gene-LATHSAT_LOCUS1154 transcript:rna-LATHSAT_LOCUS1154 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQQRAFKVLFWIMGIGLTCYLAGPPLLWTLHDTFSSDSSSSCPPCRCDCSLQSLLSIPEGFSNNSILDCMRQDPEVSEEAGKSFTDLLSEELKQKEGEAEEKQRRADILLLESKKVASQYQKEADKCNSGMETCEEARERAETTLENQMKETALWELRARQRGWKPSGKKALA >CAK8560572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26483920:26488646:-1 gene:gene-LATHSAT_LOCUS14234 transcript:rna-LATHSAT_LOCUS14234 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVELNRNMNESEKKKKNDDDDDDDHCKEIFRWELFLPKITVTVLLVESDRSTRRLISSLLMNCNYKVIAVSDGVKAWKILQTKEVEIDLVLTELELPELSGLALLDLMMEHETCKNIPLIMMTSHDSRGMVMNCMSKGAADFLIKPVRKNELANLWQHVWRKHVLNRPLQNTSAQEKLKIAIEDNFTSNQLTDSVSVASSQKNNECSEKLSEAQSTRALPFSDAENAYKNNMQNASQKKSCFKLRKIDVLKHEESNQLERESTKLNDEARDSRLEQDYRTSEVESKNEIFRAELRRENHDTDTEIRGCSDELIEPSSKAIDLISTVGNLRKCTKEIHCINGDKETKFDFGNGLELSLSSDFSGSSCKQASESTEEWQRLNHSNTSAFSQFDGSKMLWPLFQNSNWSSNKSHELSVATSDNCIQYGGPIKMEDMTNAVTAHYGQFGAKLFNTGLLADNVLHHMWIPKSNYQKESSPFPSSSSSQSNSESHNSDHHHNCSYDANYSFPNQNVAEKHDLDHVVHDSPSGGTGFGNDIFRASNHINSCNDERATSNAVTKNSRSSSDSRHYKKDYYDEFRLSDSHRSSHREAALTKFRLKRKERCYTKKVRYQSRKRIAEQRLRVKGQFVRRVHDDDHPNADANGDQ >CAK8531825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159218182:159219060:-1 gene:gene-LATHSAT_LOCUS1590 transcript:rna-LATHSAT_LOCUS1590 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPADIKEVVWPIIFREYITDGLDALPANIINGNIGQFHFILGFATETYLEDGTGTGAFTRTWNYDDFSPSKLLTLKQEHPNVEVIMNIGGHGDEYVFNPRDKEEWIVNAKSSIKGLILDYQIQSIPVSISAIDGIDINYENIKSNVDDFAYCIGKVIQQLKEDSQVVNSMDVSISPTELLRPHYLKLYRENKDNINWINYKFYNQSIESDVDFVKLFKILVFEYGAPYKLLPGVSTNTSSPPLMPIDVFVAGCKILLKTKSLAGVFVWDANESAPEYSLEDVLQKLLTKE >CAK8572514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542543133:542544590:1 gene:gene-LATHSAT_LOCUS25073 transcript:rna-LATHSAT_LOCUS25073 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGLQKIERKILHLLHNTKTQTHLPQIHAHFLRHGLHQSNQILSHFVTVCASLQQTPYATLIFNHTHNPNILLFNSIIKAHSSSPPFHQCFHFFSLMKTTYTISPDNFTFPPLLKATSNLRHYKLGLSLHAHVTSLGFYRHNPVQIGLLELYSTCGKMEDANKVFDEMPHRDVVVWNLMIHGFCKLGNLEMGLKLFKEMNQRSVVSWNLMISCLVQSKKDEKALELFRQMLEQGFEPDDATLVTVLPVCARLGNVDVGEWIHSYADEKGLLREVISVGNSLVDFYCKCGNLEAAWNVFNAMTNKNVVTWNAMISGLGYNGKGERGVDLFEEMVKEGVTPSDSTFVGVLACCAHAGLVDKGRELFSSMTAEFKLSPKLEHYGCVVDLLGRCGQVREAYDLIRTMPLIPNAALWGALLSACRSYGDREVAEIAAKELVHLEPKNSGNYVLLSNVYAEEGKWDEVEKVRVLMQGGGIKKVPGQSATG >CAK8540879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27994621:27994809:-1 gene:gene-LATHSAT_LOCUS9838 transcript:rna-LATHSAT_LOCUS9838 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLVGTLKSKIKSLKLKKPYNKVEKSESMRVEIKSRKARKLIEETLKIADSPKTKSFNF >CAK8535535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:860001408:860001962:-1 gene:gene-LATHSAT_LOCUS4987 transcript:rna-LATHSAT_LOCUS4987 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKTCLIISTVFLIIVTVVILTLILTIFKPKDPTISVGLPHFNLLSPNITMNMTLGMVITILNPNYGSFKYQNSIGYVTYHDTIVGNVPIESQLVPARSEINVTTDAEFMVGKLIQNPKFWSDIVQNGMVFNLTSTTELPGKAIVLKYIKVKAIAYCSCDISVNITSNGVESNCISRIKFF >CAK8530197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5473900:5475417:-1 gene:gene-LATHSAT_LOCUS84 transcript:rna-LATHSAT_LOCUS84 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGASLVLESSENGTDLSQDDIGTVEETPEETILSRQTSVNLVPFIGQRFVSQEAAYEFYCSFAKQFGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGFPQNKHSEDVGKVQRNRKSSRCGCQAYLRIVKRADFDVPEWRVTGFRNIHNHELLKSNEVRLLPSYCPISPDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDKDNDAIDLIAMCKRLKDENHNFKYDFKIDNNNRLEYIAWSYGSPIQSYEVFGDAVVFDTTYRVEAYDMLLGIWLGVDNNGMICCFSCALLRDENMPSFSWALKAFLGFMKGKAPQTILTDHNMWLKEAIAVEMPETKHAFSIWHILSKFSDWFSLLLGSQYDEWKAEFHRLYNLEMVEDFEEGWRQMVDNYGLHANKHIISLYSLRTFWALPFLRQYFFAGLTSTSQTESVNVFIQRFLSAQSQPITKPLIEHASHKNPKPDLRKSTDTIRKPASYNLCHNYHD >CAK8531350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103954786:103959120:1 gene:gene-LATHSAT_LOCUS1149 transcript:rna-LATHSAT_LOCUS1149-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNGSCSYVMGAFGENSGGLCPPMMMMPLVTSSHHHPINSNNNNANNTSCLFLPIPNSTNNNNHYTNTNSNSNNTMLQNNQNTPGLGYYFMDNNNNNGASSSSSSSAVKAKIMAHPHYHRLLEAYINCQKVGAPSEVVARLEEACASAVRMGGDAVGSGCIGEDPALDQFMEAYCEMLIKYEQELSKPLKEAMLFLQRIEVQFKNLTVSSSDNIGGDRNGSSEEDQVDLYNNMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPTHPHYYMDNVLTNSFPMDLSNTML >CAK8531351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103954786:103959120:1 gene:gene-LATHSAT_LOCUS1149 transcript:rna-LATHSAT_LOCUS1149 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNGSCSYVMGAFGENSGGLCPPMMMMPLVTSSHHHPINSNNNNANNTSCLFLPIPNSTNNNNHYTNTNSNSNNTMLQNNQNTPGLGYYFMDNNNNNGASSSSSSSAVKAKIMAHPHYHRLLEAYINCQKVGAPSEVVARLEEACASAVRMGGDAVGSGCIGEDPALDQFMEAYCEMLIKYEQELSKPLKEAMLFLQRIEVQFKNLTVSSSDNIACNEGGDRNGSSEEDQVDLYNNMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPTHPHYYMDNVLTNSFPMDLSNTML >CAK8532181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216316121:216317809:-1 gene:gene-LATHSAT_LOCUS1912 transcript:rna-LATHSAT_LOCUS1912 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNKKIWDGYLRLNSSLTVPTVASFKSGENMPNVKWTKSIDVKGKVKLQDFELYIEDLTRSSNRGLMVVSLDWKEGTSKLGLESMKKIEKKYVEDGRVGIAKVINGIDLYVCPCSDTIMTLLAQYGFFKFGDKTTFEKNKDYLIGCVVWKRNQINHPSFLHQKSSGSSRTCNSSCIQLWTSISEQYRKNDPIEVWSPIRVPFESPTKYEPYKSYYSALVKSEDTPNVLPSLSVSTKLETSNPNLVGVTRPSSTTCDQNFHK >CAK8565067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32437608:32438288:-1 gene:gene-LATHSAT_LOCUS18307 transcript:rna-LATHSAT_LOCUS18307 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEPTPRVVFGDVPTFKEATAELKEAIDQIYLSSGSSQCEGSSPSSQLSVVSPPTKETGTKSCLVEAISSPSVPKHAIHAFQLLSTSPEAQNVVQSIAFDPNIWNAVMKNPVVTSFFESQLAAVESSNDAAYAVVAGSETVETPKKEEGNAFDFMTVLQNLKLTVTEMVSRLSNFFQNIFLTAEKDKSSTDGGGANFMDYKNLMGGSFMGLAVMVIMVVLMKRV >CAK8573027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578040607:578041209:-1 gene:gene-LATHSAT_LOCUS25522 transcript:rna-LATHSAT_LOCUS25522 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNASCILIAKLKGLREKLKWWNKNVFGWVDLRINDGVETHNIIEDEMVAGSDATSEEDLIKRIDVQLEIWKKLHIKESILNQKSRLKWVKDGDSNTRFFHFMVKSRSRMNSISAGVEDVCTIKDTIKFHFEEKFRCLNMCMYDMDLSHLPKLSTDDNEKLQQPFTCEEILEAINGCDGNKSLGPDGFNLAFIKKCWG >CAK8534825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:772458475:772461290:1 gene:gene-LATHSAT_LOCUS4335 transcript:rna-LATHSAT_LOCUS4335 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGINMVFHHGSMFHHESTTSLAKPMTLRASSHLLSATYPVTSTSKPLCRRCSCKTKRLVVSCCSISEFVVSSDEKYGNKQVISLTPRLYDYLLQNVREPEILRLLRVETASMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAIALVLPESGRLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAADSLESLIMNGEVGSYDFAFIDAEKRMTEKYFEMLLQLVRVGGLIVIDNVLWHGKVVDPLVSDSKTNSIRNFNERLMGDKRVSISMVPIGDGMTICRKR >CAK8544515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689888753:689890507:-1 gene:gene-LATHSAT_LOCUS13186 transcript:rna-LATHSAT_LOCUS13186 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGGLADVHNEMDDDSKEEEDYVSNIVIMGVSMLLLASVTVAVFANLSGGTKNEGNFKSVKYICAKTESPGTCLHVLKRIGERASPLDYINVAINATLKELMIVNIPKPDSEGFLTPLQRESYRDCLELLSMGKDELERVYVMANSSVDSKEIINSLSAIISYQQTCSNELMITNNFDILGYSLKLPLVLTRITLAIVDNFFEKPVFEEGFKKMLTRANLQAVEVDHENKRIIVARDGRGHFTTITESLNACARNKQPSCVIYVMKGVYEERVVIPKNLEHVFMYGDGPTQTVVTGINTTIVTTPFRSASFVVKGKGFICKDMGFTAPAHIADAPALLVFCDHAAFFNCKIDGGEGSLYAVANRQFYGNCEIHGSVDIIKGDSATMIQNSRIIVKPQNSSIVPRKKVMSFQSRIDKHERTGFVIQNCTIIAEQGETNQNNHSLVGSTCLGIPCNEYSRTVVMESFLGDVIRPRGWCQWSDNYGVDTASFIEHNNRGIGAITGKRVHWESFREVSQNHKSEMMKFTADEFIQANQWLKSTAIPYEPGFFFHK >CAK8575699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:261781294:261781887:1 gene:gene-LATHSAT_LOCUS27939 transcript:rna-LATHSAT_LOCUS27939 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVRYSTIRDDGIQWDGWGVSTSLARGWDSFTCIPASTTKSSGLHAPTIVVQPFLGFVESGFPFTHNGGPHQTRQAAMGHNALFAQKIHFEVDLSARPIVGMCTIYHKGPISQ >CAK8544186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671162408:671163083:1 gene:gene-LATHSAT_LOCUS12887 transcript:rna-LATHSAT_LOCUS12887 gene_biotype:protein_coding transcript_biotype:protein_coding MARNWMRGARLISSFSRCRTWIIHNYSPSFRATAFFTNHLHPSNSQCRFFNSSSSTTTTSSPSPSPSSNKLFVGGLSWSVDEKSLKDAFSSFGEVTEVRIVYDKDSGRARGFGFVIFSNEDDAKSAKDAMDGKALLGRPLRINFALEKARGVPVVVPRFSDIGNLNRR >CAK8562514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:505314630:505315963:1 gene:gene-LATHSAT_LOCUS16005 transcript:rna-LATHSAT_LOCUS16005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEILSSAVVVSVREFDPNKDRESVEAVEKICEVGPSGKLSLFTDLHGDPICRVRNSPTFLMLVAEVCNETVGMIRGCIKTVTCGKKIIRQTKNTKNSEANSNHVPVFTKLAYVLGLRVSPNHRRMGIGLKLVQKMEEWFRENGAEYSYMATENDNVASVKLFTDKCGYSKFRTPSILVNPVFAHRVKTSSSKITIIKLTPNDAESIYRNKFSTTEFFPRDIDSVLKNKLTLGTFLAVPCDGKYGPDNWAGPEAFLMDPPGSWALLSVWNCKDLFTLEVKGASRVRRVLAKTTRVIDKALPWLRLPSIPNFFEPFGFHLMYGIGGEGPEALKMVKSLCGFAHNLAMENGCSAVATEVSSCEPLRFAIPHWKALSCEEDLWCIKRLGEDYSDGSVGDWTKSPPGFSIFVDPREF >CAK8539263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507773099:507773377:1 gene:gene-LATHSAT_LOCUS8375 transcript:rna-LATHSAT_LOCUS8375 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRGQKLSGMQKQVLSLYRGFLRAARSKPEEERCKIESVVCQEFRRNSKEVDRKNFQYIEYLLRRGHKQLDQLRNPGTTGLSSLQLDLSK >CAK8544375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680592838:680596202:-1 gene:gene-LATHSAT_LOCUS13061 transcript:rna-LATHSAT_LOCUS13061 gene_biotype:protein_coding transcript_biotype:protein_coding MESCECIDTPYPPDELLVKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSAHSKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGLNLQLSHTLTYHVQVGSTVPTNLPIVNEVFNSPRATRIPHTCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPDLSAKSFAIMVLILPTDSARKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETVLKSSNVLAALINDVLDLSRLEDGSLELEMGKINLHGVLGEVIELIKPIASVKKLPITLILAPDLPNHAIGDEKRLMQTLLNVVGNAVKYTKEGYVSIRASVAKPESLQDWRPPEFYPASSDGHFYIRVQVKDSGCGILPQDIPHLFTKFTQSQSGAGRSSSGSGLGLAICKRFVNLMGGHIWMESEGPDKGSTATFVVKLGICGNPDSSDHQATTRGQAYSGSGGLARFKHLVNDGDIGFSNRRNQRSF >CAK8572757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561113916:561119332:1 gene:gene-LATHSAT_LOCUS25285 transcript:rna-LATHSAT_LOCUS25285 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFSVASVVEDVLQQHGTRLKDLDLESRKSEEAALRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVINKVQPGAVCKVVESPVDSGLIPDGAPLSAFQYFENVRNFLVAVQEIGIPTFEASDLEQGGKSSRVVNSVLALKSYSEWKQTGANGVWKFGGTIKPASTAKSFVRKNSEPFTNSLSRTSSINEKSMTSLTSDVESNKMSSSHSLGMLVRAMLFDKKPEEVPTLVESVLTKVVEEFEQRVASQDEQTKTTSRGSLPQSDGPLAKFTMARKKVDNKNLMVTKKEEVVHKNHVADEESREKVLRQQMLFDQRQRDIQELKYTVQTTKAGMQFMQKKFHEEFSNLGMHIHGLAHAASGYHRVLEENRKLYNEVQDLKGSIRVYCRVRPFLSGQPNHLSTVENIEDGVITINVPSKNGKARRSFNFNKVFGPSAAQGEVFADMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMTGPKEITEKSQGVNYRALSDLFFMANQRKDTFRYDVSVQMIEIYNEQVRDLLITDGSNKRLEIRSNSQRGLSVPDASLVQVSSTNDVIELMNLGHKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGAVLRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVVASLAQKNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEANAIGETISTLKFAERVATVELGAARVNKDGADVKDLKEQIANLKAALARNEGNSEYSLSGSSGKYRTTASELSPYRAVQRGADIVDDPFGCRQPMVDVGNLELQSNAILRQKTQSFDFDEILTNSPSWPPANSLVQNCVEDDKETGSGEWVDKVMVNKLDVNKTGNMLGCWEADNGNLSEEFYQKYLPDSSKVYSERSYNMFMRGNQFNIAGSDDTDDVDAATSDSSEHDLLWQFNHSKVTNIAIGNESKGRRLVSKSAKSPELSKNSIHSSTAPSPSRKQTSGISHRTPTRQPAPVDMKRKTGSRK >CAK8566346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410889566:410890040:-1 gene:gene-LATHSAT_LOCUS19481 transcript:rna-LATHSAT_LOCUS19481 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDAALRACLLWLAVVILAVGICTHSFKKMMVTYVLGLVGISCLVLPDWDYFNRDISRWPYPVTVEERTEAAFSHGSGFSRFTKSPLRVITYSLIYGYAIYKWWEYVCG >CAK8537048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66846711:66856752:-1 gene:gene-LATHSAT_LOCUS6365 transcript:rna-LATHSAT_LOCUS6365 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEFRFFLSCDINLPVTFRIERLEGNLPFAKSPDSENNGSTDDGTAELFVECALHIDGAPFGLPTRTRLESTGPSYCWNELITLTAKYRDLTAQSQLTFSVWDLSHGEELIGGATVILFSNKKQLKTGKQKLRLWAGKEADGTFPTSTPGKVPRHERGELERLEKLVNKYERGQIQRVDWLDRLTFKTMEKIKERESLKNGSSHLYLVVDFCSFEHRVVFQESGANFLLPSPIASTNDIVIVWDPEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDDRQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSCLSHFLVQRALRNIELASFLRWYVSVELYDPAYAKRFYCTCEILENMMKMGAGVNGEEDGFKRWQSLVRQKELTTQLCSITRDVGNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLTPDVLITGIVPSESSIFKSALHPLRLTFKTANGGTWKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMMEFIPSRSLAQILSEHRSIISYLQNYHPDDHGPFGITANCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGSLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >CAK8574352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676853411:676854116:-1 gene:gene-LATHSAT_LOCUS26707 transcript:rna-LATHSAT_LOCUS26707 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAGVLRQLVGCRCSADVTLSYQPRDLVFYAIDEACAFINTVHRLMWQVPLISIVCRHCWANTPLVSK >CAK8566586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439143301:439149144:1 gene:gene-LATHSAT_LOCUS19707 transcript:rna-LATHSAT_LOCUS19707 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKLQTGINSIEEEEPEECDAACPSRTTLSCMINSEIGAVLAVMRRNVRWGSRYMSGDDHMEHSLIQSFKALRRQIFSWNHHQWQSINPTLYLQPFLDVIRSDETGAAITGVSLSSVYKILTLDVIDHNTVNVEDAIHLVVDAVTSCRFEVTDTSSEEVVLIKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGNKGESLQQISRYTMHELVRCIFSHLQDVDNTDHALVNGSSNLKQETGGLNNEYAFGSRKLENGSMSSEFDNQPQPTNFASNAASAVTVTMMDENTAIALTGKEGASYDVHLMTEPYGVPCMVEIFHFLCSLLNVIENTELGPRSNTIAFDEDVPLFALTLINSAIELGGPSIHRHPRLLSLIQDELFHNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVDMYANFDSDITCSNVFEDLANLLSRSAFPVNCPLSAMHILALDGLIAVIQGMAERITNGSANSEYSPVNLEEYIPFWMVKCENYGDPNHWVTFTRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIHRVLEAFSERYYEQSPHILANKDAALVLSYSMIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICKNEIRTTPEQGVGFPEMTPSRWIDLMHKSKKTAPFIVSGSKAYLDHDMFAIMSGPTIAAISVVFDHAEHEEVYQTCMDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSQVEEPVLAFGDDMKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSAETVHGKPIVNSLSSAHMQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAKSLEQLAKALIWAAGRPQKVNSTPEDEDTAVFCLELLIAITLNNRDRIGILWPGVYDHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENIADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHIEASEAGFDTLLFIMSDGAHLLPANYVLCVDTARQFAESRVGQAERSVRALDLMTGSVNCLSRWTSEAKETMEEEQMSKLSKDIADMWLILGQGLRKVCLDQREEVRNHALLSLQKCLTGADDIYLPYGKWLDCFDLVIFTVLDDLLEISQGHSQKDYRNMEGTLILAVKLLSKVFLQSLPVLSQLTTFCKLWLGVLTRMEKYMKVKVRGKRSEKLQETVPDLLKNSLLSMKMRGILAQRSALGGDSLWELTWLHVNNISLSLQLEVFPEQDSEHLQHKQGESVGGLAPEEKVSVPSTGITSLEDTGFVG >CAK8543150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583168270:583174061:1 gene:gene-LATHSAT_LOCUS11930 transcript:rna-LATHSAT_LOCUS11930-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRNHSSIFIGNCEVTVEASTFTCNSTSNDLLISLPQSGKIKVSAAQDVSANHRNTSESFRSEKLEHEFLLINPKDVDDISKSYLQEVLQMYMTELPGMNYAANTGKQSKFLERCVTNGKYRTLLLKSSLARDSGKVIAAITYQIIPADTEYAEIPLAAVNAIYQRKGFCHLLFLELKKRLQNVGIRSIFCWGDKESEGFWLKQGFSSIAEVDSRGRAPRLPIRADIRKTLCLPGGSTLMVCHLKKELVDDDAKSGKRVLSQPHRNSSTPAIIENEQCETSDELLIDFISSLRRDTAQSKPKALAKDGSSRGHGKLSGHNPKNCCNAVPSNTTNCSQSTKVEKRAWEASLSSLKSKRVKGSQLVDCGSDYSWGFVSEADRANPCYVEASHGHGNTLITKKSEKCIGDHMHFEAPINLKSPSTKQCFKIMLMNINDDAKKTQLAKVIEDLGGTVAADGSTATHVITGKVRKTLNFCTALCSGAWVVSSSWLKESFRTGKFVDESPHILNDEDYLLKYRLDLRSAVFRAKACPHALFKGYNICIAANVQSPVETLSAIVSSAGGNVISGLEKVNEVSTTIFVTCEEDIKEAMMASKKGIRTFSSDWFMNCVMRQELDLEAPQFVESL >CAK8543151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583168270:583174061:1 gene:gene-LATHSAT_LOCUS11930 transcript:rna-LATHSAT_LOCUS11930 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRNHSSIFIGNCEVTVEASTFTCNSTSNDLLISLPQSGKIKVSVAAQDVSANHRNTSESFRSEKLEHEFLLINPKDVDDISKSYLQEVLQMYMTELPGMNYAANTGKQSKFLERCVTNGKYRTLLLKSSLARDSGKVIAAITYQIIPADTEYAEIPLAAVNAIYQRKGFCHLLFLELKKRLQNVGIRSIFCWGDKESEGFWLKQGFSSIAEVDSRGRAPRLPIRADIRKTLCLPGGSTLMVCHLKKELVDDDAKSGKRVLSQPHRNSSTPAIIENEQCETSDELLIDFISSLRRDTAQSKPKALAKDGSSRGHGKLSGHNPKNCCNAVPSNTTNCSQSTKVEKRAWEASLSSLKSKRVKGSQLVDCGSDYSWGFVSEADRANPCYVEASHGHGNTLITKKSEKCIGDHMHFEAPINLKSPSTKQCFKIMLMNINDDAKKTQLAKVIEDLGGTVAADGSTATHVITGKVRKTLNFCTALCSGAWVVSSSWLKESFRTGKFVDESPHILNDEDYLLKYRLDLRSAVFRAKACPHALFKGYNICIAANVQSPVETLSAIVSSAGGNVISGLEKVNEVSTTIFVTCEEDIKEAMMASKKGIRTFSSDWFMNCVMRQELDLEAPQFVESL >CAK8537079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78268568:78271519:1 gene:gene-LATHSAT_LOCUS6395 transcript:rna-LATHSAT_LOCUS6395 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNNVTKSMDRIGGNLVTKREFGDLRSLMDHAGLFEKDNTGDYFTWTNKHSIGTIYSKIDHVLGNIDWLQDNIDLKLEILPPSISDHCLLGLSAEKINRAVHKKFKFTNSVVKIADYHDTVKQNWNKEITGRPMARLWYKLMRLQAPLSRLSKQFSNLQQTIVQARNDLLQTQESLIMDRMNTEIIEKVKTCTDELTHLQELQDQMLRQRRKINWLREGDMNSSFFYAYLKSRTTTTNISQLYKDDGTCIHNQEDIEKEVREFYGKLMGTREPRINMIDIDVMREGPQLSMEQRADLISLVSVTEVTNALKGIGDLKSPGIDGYRGKIFKASWDIVDKDVIEAVTEFFEKNVIYKAFNETIVTLIPKQPDAKTLKDYRPIAGCSTIYKIISKILTTRLGKVLGNIISKAQAAFVPGQKIHSHILLAMELLKGYNRNTGTPRCMVQLDLQKSYDMVDWGALENILSEVGLPKKFVDWIMTTVTTVSYRFNINGKYTDKINARRGIRQGDPLSPLLFVIIMEYLIRLLFRMQKNPDFNHHHVKCERLQIAHLTFADDLLLFSRGDHVSMDILQLTLNKFMDSTGLKINPSKSMVYFGNVSENVKCAILQLTSYKEESFPFRYLGIQVTSKRLTVIHYTPLLDMLLSRITHWSSRLLSYVCRLQLIKSVLYAITTYWMQCIWFPKTVINKINAICRSFLWTGGNIISRKSPVAWDNVCKPHVKGGLNVMNLEIWNSMFMIKLLWNIYAKSDDLWVRWIHAYYLRHEGILTRIVKGSDSGIFKAILIQRDNLVTIQSTWNDMLQTGKFHGRKVYQSLLPITPNVAWAKLILHNRARPRAIITLWMICHDKLATKSRLFRFGMLNNNKCVFCNEEETIDHLFFCCLELKQIWIGILQWMGIQHSPKRWKEEMQWVLRNYGGKGWKSDLFRLALTETLHEIWLSRNESCFNQRTDKRKCLDRIINNIMYRGWTSPKLRPHIARLILP >CAK8560196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10266723:10268315:-1 gene:gene-LATHSAT_LOCUS13892 transcript:rna-LATHSAT_LOCUS13892 gene_biotype:protein_coding transcript_biotype:protein_coding MENCLHHLTIKGFFFTKPISFSSSSSSFNFRCSNNSKVIKASAGASHCPFSSLNSPLLPQSTVGNYLSGVLQNHRNLFHVAVQEELKLLADDRDAAVSRMILSSDSDQALLHRRIAEIKENQCEVAVEDVMSLLIFYKFSEIRVPLVPKLSSCLYNGRLEILPSKDWELESIHTLEVLDMIREHITTVTGLKAKPSVTESWATTKVRQFLLARVYVASILYGYFLKSVSLRYHLERNLNLANNDVHLGHRTTNLSFKDMYSYGFEEDIFGHLSNMQSIEHGQGQGLIRYEEEIEDLKCYVMKFHPGSLQRCAKLRSKEAVNLVRSYSCALFNNEGFDSVENDDVILTSFSSLKRLVLEAVAFGSFLWETEDYIDNVYKLKDH >CAK8541011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:42594036:42596689:-1 gene:gene-LATHSAT_LOCUS9964 transcript:rna-LATHSAT_LOCUS9964 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPWDDIVVIQHAKNSNEPTIVTVNCPDKAGLGCDLCRIILEFGLRITRADISTDGRWCYIIFWVIPHPESLKVDWESLKTRLLSPCPSCLFSYHFKQQSANPSPHQIYLLKVWIFDQKGLLYDINEILCNLQLTIQRVKVMPTPDGRALDLFFITDEMELFHTKQRRDDVCEYLMEALGEKCISSELQLAGPEYDGHLQGFSSLPPAYSEELFGPELSDKVSLHPLSQDMTTLKKPSVTVDNTLSPAHTLLQIQCVDQKGLCYDIMRISKDSDIKVAYGRFTSSVKGFQNIDLFVQQKEDGQKIIDPERQKTLCSTLKEEMLHPLRVIIVNRGPDRELLVANPVELSGEGRPRVFYDVTLALKALGVFIFSAEVVRHSTQERQWEVYRFLLEESREFPLDSSKARSQIVDKVRRTLMGW >CAK8570991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:241997931:241998285:1 gene:gene-LATHSAT_LOCUS23694 transcript:rna-LATHSAT_LOCUS23694 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVTSSRDERTISRAIELNEELQKVRVRYGDLVPDRNTTTTANEQVPKVLPEHDDVVSDSATTIVATHFNLQGSEEEEEP >CAK8540841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24997809:24998325:1 gene:gene-LATHSAT_LOCUS9807 transcript:rna-LATHSAT_LOCUS9807 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSILILLVALFTLFNLTFGSDVGEEEPNIMFCPRLLKGLSGDCLHAQRDCNDEFNARYKGAQARRCRCDTTGNTHTCSCCIVCGLNEAENNFPFVSKDGFIPEC >CAK8534598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746585301:746586665:-1 gene:gene-LATHSAT_LOCUS4131 transcript:rna-LATHSAT_LOCUS4131 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDGDSGTQLSDGSYRNDHKLNSRGGLVERIAARTGFNAPRLNTEGIRSTELSLNSEIQSPYLTIPLGLSPATLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKFKLNGFNDMYASSFAFKPTPDTGPSFYHGAGRNINQTTLPQQTLHSFEASVQSQRVDATENKSSLHLKAEFSDSPPQKDNSAPMEDQAEENGTEKGISQKNQQMLPQILANTSEPTFHLRHALSTNGSDSVRRTHKCCVYIAGSSKYCARLNSIQAYNDINQNVIGEASHLSEYSFSAHNNIIDPTAELGAKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGADVKVVNSVVMNHVTIGDLCSIQGSVICSNVQLQERAILKDCQVGVGFVVTAGSECKGEVLANK >CAK8541562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:249887977:249889926:1 gene:gene-LATHSAT_LOCUS10475 transcript:rna-LATHSAT_LOCUS10475 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPAKSRAQPDEDSSVSLPDELITEALSFADVKTLMQMRCVSKFWNSIVSDPKFVKLHFKRSARNPQLTLFLSKSHLDGDNNVVPFSVRRLVENPLITLPNNPHYRLRDKECRFVIGSCNGWLCLLGYSCLSEYRQIWFRFWNPATGKMSQKLGYMWDHMLGLYTHFKFAFGYDNSSETYKIVLSILDEAANRTNVRVLSVGDNNWRAIQSFPAVSLPFRYTNPGVNDGVYLSGSLNWLALRYSFQSNGAYGWKSINVRQFVIISLDLGTETYRQLMPPFGFDALSPVEPSICILMDCLCFSHDDKRTDFVIWKMEEFGVEESWTQLLRISYQDLQSMHHDVVDLQYSQWLPLHLSDHANTLILANKQERQAILYNLRDNRAVRTRITDEIQWFSAKIHVESLFSDILELQALQP >CAK8577364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557304361:557304980:-1 gene:gene-LATHSAT_LOCUS29478 transcript:rna-LATHSAT_LOCUS29478 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFVGIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSVIEQTIS >CAK8572289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:526740581:526742035:-1 gene:gene-LATHSAT_LOCUS24871 transcript:rna-LATHSAT_LOCUS24871 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSMVSYKFKVDGSITRCMKAKKGTSARGPHFPLLFVLTMEYLHRLLHQLRKIPNYNFHAKCEKLQIINISFVDDVLLFSRGDTQSVKLLMDKLHMFSQATVLVVNPAKCKVFFGGVDNETKADILASTSFMEGELPFCYLGIPLTSKRLSAHHYMSLIDRIVSRIQHWSSKLLSYVGRTQLVNSTISTMAAYWMYCLPFPNCVIKTINTICRMFLWTGSESKSIKSPIAWKTICKPRRKGGLNMLDLYEWNKACLAKLLWNLCNKKDSLWVKWIHSFYFKSTNIMRYRDIVTDMEDWDDMKVKYSVGKVYHYLKMDDPDVVWNHMFSTTIARPRALFTLWMACHRRLATKERLKKFGVTTDDICNFCNNEETIDHLFFQCPLFQSCWQDILSWMGIHRTPCDWREELNWIIHQCKGKGWRKCLLRSAVAETIYEIWKYHNHAVFGNTVQTMEIRDIVIFTLASRGWVHTSIRRHIANLLID >CAK8574061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656393792:656395210:-1 gene:gene-LATHSAT_LOCUS26441 transcript:rna-LATHSAT_LOCUS26441 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKIQLVAILSFIFLVQNIQGWGEEGHAITCKIAQARLSDAAADAVKQLLPDYANNDLSSVCTWADRVKFALRWTSALHYADTPPKLCNFQYARDCKDLEGVKDRCVVGAINNYTTQLLEYGKKDTQYNLTQALLFLSHYMGDVHQPLHTGFTTDKGGNTIDVHWYTRKQNLHHVWDANIIETAEERFYDNNIDEYFKDIQTNITKTWSDEVADWEACSSDEATCPNVYASEGVKDACEYAYKDAPEDATLEDDYFLSRLPIISLRLAQGGVRLAATLNRVFQ >CAK8569651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7257237:7260091:1 gene:gene-LATHSAT_LOCUS22479 transcript:rna-LATHSAT_LOCUS22479 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLTEQDAAHWVYRGEGAANIVVSYTGSSPSYIGKVMRIRKSPRKASALPGVRNTIALSPHERLIWKEVHELISSSDKEIAGQLYVDHVMKPLLGSKYVDAGTHILVTKEFLETVEKNIDSQRPAWRIDVSQVDKQCDFALLMSDHSIFPHGSQGSSHSISVEIKPKCGFLPLSTFISEGTAIKKKITRFEMHQALKLQRGEISQRSVYNPLDLFSESKERVHKAIKNLFTTPQNNFRVFLNGSLILGGLGGGAESTDACMAKVLEDELHSVIQAGYGQCTENLFTLVTEAVQKSGVLDQLLEVQKLDRFDIEGAIHAYYNITFQQCKVCKELSKEQAKKYSSLHSASLDESLRIVKDYLIAATAKDCSLMVCFRPRKENDSGSSYNTVHLESTKQAFDYKVHFIDLDLKRLNKVEEYYELDKKIVSCYKEMNKVDDGRNEDAKLQGPVENLQGSEVANLQGPEVANLQGPKVANLQGPKVANLQGPEVAY >CAK8565995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:365625656:365628903:-1 gene:gene-LATHSAT_LOCUS19164 transcript:rna-LATHSAT_LOCUS19164 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQPSGTKLTISHMHDWLIILFLAAIDGGLNMIEPFHRYVGKEMMQDLMFPFKEDTIPMWGVPIISIFMPILIFISFYFVRRDIYDLHHAILGLLFSSIITGVITDSIKDAVGRPRPNFLQRCFPDKRPVFDKETGDVICNGIHSVIKEGYKSFPSGHTSWSFAGLNFLSWYLSGKIRVFDRKGHVGKLSIVLLPLLVAALVGVTRVNDYWHHWTDVFVGGLIGIVVSSTCYLLLFPFPTHPHGWAPYAFFYMLDLEESQSSQRDSQTPSLMRIDCSLEMDQIENGRRFV >CAK8571695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462029850:462030167:-1 gene:gene-LATHSAT_LOCUS24333 transcript:rna-LATHSAT_LOCUS24333 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMV >CAK8536067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904402851:904403903:1 gene:gene-LATHSAT_LOCUS5468 transcript:rna-LATHSAT_LOCUS5468 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTLPSSTASFWCYSCTRFVHLLDHSDVVCPHCTSGFVEEIHAGNSPAVSLFADGIHSSRRQTSRRRRRNAGNRSPFNPVIVLRGPREDGGGGAESEGSSFELYYEDGNGADLRPLPPNMSELLLGSGFDRLLEQFSQIEINGFGRAENPPASKAAIESIPTVDITDAEVEEETHCAVCKEAFELGSEACKMPCKHLYHSDCILPWLSMRNSCPVCRHELPSDQNQNQNPSETRLSGQTDEEAVGLTIWRLPGGGFAVGRFTGGRRAGEIQLPVVYTEMDGGNNSNEDSRSISLAVGSNRVRERRGFRRIFRNFLSFFGSVNLNRSVSGSSSFFSRSSRRRSRTWVTE >CAK8537998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:456151593:456153191:1 gene:gene-LATHSAT_LOCUS7241 transcript:rna-LATHSAT_LOCUS7241 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVAWGETAGVDGQLWLLCPILFILQRFEAYVGLLLLYTAFAGEISEWQVIFCGLLLVVMAIGNFENTVETLLVKSRFKAKMRRTKSKQRLD >CAK8541682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:352789148:352791579:-1 gene:gene-LATHSAT_LOCUS10583 transcript:rna-LATHSAT_LOCUS10583 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMRAMLIVLCALWILPLLAHARTTRHYKFNIKMQNVTRLCHTKNIVTVNGQFPGPRIIAREGDRVVIKVVNHVKYNISIHWHGIRQIRSSWADGPAYITQCPILPGQSYVYNFTIIGQRGTLWWHAHISWLRSTLHGSIVILPKKHVPYPFPHPFKEVPIVLGEWWKADTEAVINQAIKTGLAPNTSDTHTINGLPGPLNNCSAKDTFKLKVQPGKTYLLRIINAALNDEMFFSIANHTLHVVEADAVYVKPFRTNIVLITPGQTTNVLLKTNSITPNAKFIIASRPYATGPASFDNTTAIGFLEYKKHSHSNTKPNKNNLKLFKPTLPKFNDTVFAMNFNKKFRSLANSRFPAKLPKTVDRHFFFTVGLGISQCSKNQACQGPNNTRVAAAINNVSFVMPNTALLQAHFFNKSKGVFTTDFPSNPAFKFNYTGTPPKNIMVTSGTKVVVLPYHTKVELVLQDTSIIGAESHPLHLHGFNFFIVGQGNGNFDPKNDPSKFNLVDPPERNTAGVPSGGWVALRFLADNPGVWFMHCHLEVHTSWGLKMAWVVQDGKGHNQKLPPPPSDLPKC >CAK8535396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845923911:845925203:-1 gene:gene-LATHSAT_LOCUS4861 transcript:rna-LATHSAT_LOCUS4861 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETCNEEFKNPDVKNKKITAEDAVSGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGIEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8533151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:585492038:585498278:1 gene:gene-LATHSAT_LOCUS2798 transcript:rna-LATHSAT_LOCUS2798 gene_biotype:protein_coding transcript_biotype:protein_coding MGITDWHCEKRLSLKNESPLSVDNENGPEKGSLSIVVLGASGDLAKKKTFPALFNLYKQEFLLEAEVCIFGYARTKISDEELRNRLRGYLIKEKDASSEQLLAVSKFLQLIKYVSGSYDSEDDFRLLDKEISKHESNTNTAEGSSRRLFYLALPPSVYPSVSKMIKTSCMNKSDLGGWTRVVVEKPFGKDLESAEQLSTQIGGLFEEPQIYRIDHYLGKELVQNMLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQIFCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDDDVVLGQYEGYRDDPTVPNNSNTPTFASVVLRVHNERWEGVPFILKAGKALESRKADIRIQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTAQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHRIDKGELKSIPYKSGSRGPAEADELLEKAGYVQTHGYIWIPPTLSPTL >CAK8543987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653885206:653886414:-1 gene:gene-LATHSAT_LOCUS12702 transcript:rna-LATHSAT_LOCUS12702 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNNERTVPFVPPPGSSLSFFYNYNNYNYSGMEASEAALGETQQRLLPVIDDETKMINNGKDGREKKKSKNKKNKLTSNQVDALERSFHEEIKLDPERKMKLSAELGLQPRQVAVWFQNRRTRWKTKQLEHSYDVLKQENQKLQEEVMELKEKLKEKSDCRTQTLGDETVESPLEGLGWREIEGYEPYPNSHNQQGSTSSIQQAAEGYINSSFIVEDFDSVSLHQECHWPELPYYP >CAK8573225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:594491745:594495248:-1 gene:gene-LATHSAT_LOCUS25697 transcript:rna-LATHSAT_LOCUS25697 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGGIRKISSHCSISEMDDFDLSRLLDKPRLNIERQRSFDERSLSELSVGFARAGLDNYDNCSPGGRSGFNTPASSTRNSFEPHPMVADAWESLRKSLVYFKGQPVGTIAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKRVDRFKLGEGVMPASFKVLHDAVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSESDSCQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALSMLKQDTADGKECVERVVKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPDQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >CAK8532022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194028381:194029022:1 gene:gene-LATHSAT_LOCUS1767 transcript:rna-LATHSAT_LOCUS1767 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCTNYFQEFKLDNGVAHNLLQYADDTILIGNGSWSNLWSMKSILVSFELILGLKINIRNSSLFGIMTDDYFMKAAEHFIGCRLDGLSFKFLSLTVGGNHRRIFFWDPVVKCLKTKLSSWKGRWLSTGGRIALINLVLFNLPVYFLSFYTLPKKFLHVLVSLRRKFLWAWLKGKSYIAWVSWKTICKSKEEGGLGVKDLGMFNKALLEKWWW >CAK8575260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:65400918:65402407:1 gene:gene-LATHSAT_LOCUS27539 transcript:rna-LATHSAT_LOCUS27539 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSVLSVDDWEFSSSHDDVKTLVLLGRTGNGKSATGNSILGKKFFKSRASSSGVTISCEMDTTVMSDGQIVNVIDSPGLFDVSAKSEFFGKEIVKCISLAKDGIHAIILVLSVKTRFSVEEENAVRSLQTLFGSKIVDYMIVVFTNGDALENDELTLDGYLGFECPESLKELILLCDNRCVLFDNITKDKKKQIKQVHELLSLVNMVVSKNGGRPYTDELFTEFKEGDIELHKQQREATLKGFSKEDILEFRKQITDMVESKLKETTIRLEQQLAQEQEARLNAEKKSNDEIEKLRKDLEQAHAELSKRGAKPSCVIL >CAK8535808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883160602:883161451:1 gene:gene-LATHSAT_LOCUS5230 transcript:rna-LATHSAT_LOCUS5230 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNEEQNTQQQQEPVGPRYEANTTQQVGHPWSTGLFDCHENQTNAVMTAFLPCVTFGQISEVMDVGELSCPLGSFIYLLMMPALCTQWIMGSKYRTKLRKKYDLVEAPYSDIISHIFCPCCSLCQEFRELQIRGLDPALGWNGILATQRSDQTSRNPPSNQSMSM >CAK8565973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:363305893:363306393:-1 gene:gene-LATHSAT_LOCUS19146 transcript:rna-LATHSAT_LOCUS19146 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRVVLHHQISASDVQYTLSCFQQAVQIENGN >CAK8562451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:494076207:494077607:-1 gene:gene-LATHSAT_LOCUS15946 transcript:rna-LATHSAT_LOCUS15946 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMTGYNTAKTFTSIAEQNAVQEAASGLESVEKLIKLLSEARHKYQTSSSSSSFSPSNPNNNHNTSMEIERDCKAVADIAVSKFKRVISLLEKTRTGHARFRKAPLPQQTQPSERTIFNATPLQQIPPPPPPSTTTNLHRAIIKRNDSSKTLNFSYSSAANSFISSLTGDTDNKQQPSSSSPAGAFQITNLSHVSSVGKPPLSSSSMKRKCSSETLGSGKCGSSSSRCHCSKKSRKLRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDASMLVVTYEGEHNHSLSAADATNLILESS >CAK8578694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647357176:647358960:1 gene:gene-LATHSAT_LOCUS30684 transcript:rna-LATHSAT_LOCUS30684 gene_biotype:protein_coding transcript_biotype:protein_coding MENTRLLLLFLFFFFSINLLPSTLAIWLTLPSSGTKCVSEEIQNNVVVLADYVVVPDDHTQSPTLAVKVTSPYGNNLHHKENTTHGNFAFTTQETGNYLACFWVDSGSPKGGDVSVNIDWKIGIAAKDWDSVAKKEKIEGVELELRKLEGAVEAIHENLLYLKGREAEMRIVSERTNGRVAWFSLMSLGICIAVSILQLWHLKRFFQKKKLI >CAK8533130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:581275592:581276499:1 gene:gene-LATHSAT_LOCUS2778 transcript:rna-LATHSAT_LOCUS2778 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSGLRLAGKVAIITGGASGIGKETAHLFAKQGARIVVIADIQDKLGIQVAESIGNDKCIYIHCDIRIEDDVKNLVQSTVDTYGQVDIIHCNAGIISPSDQTLLEFDVSQANGVFATNAIGTALCVKHAARAMVEGKIKGSIVCTASISASYGVTTGTDYSMSKNAVVGLMRSASVQLAKHGIRVNSVSPNGLATPLTEKLLDADAKTVEEIFSKFSMLKGVVLRTNHVADAVLFLASNESAFVTGLDLRVDGNYITSDAVI >CAK8577684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583366912:583367431:-1 gene:gene-LATHSAT_LOCUS29767 transcript:rna-LATHSAT_LOCUS29767 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIGTFRAGETATIKDKVLENGDKIDRNIFHHIVIVNGKEGNSPYVSTVMSDFEGEFDNWKISFTPIRVGLFNVLINEDRYKVSDSSLHFQVELVEGNMYPSVCMASWKGIKYEFEVGSKVTIMVLYVYTIRNIVKVEWIQV >CAK8540220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545841680:545842093:-1 gene:gene-LATHSAT_LOCUS9246 transcript:rna-LATHSAT_LOCUS9246 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVSDVRCQSLLMQYAASYGERGSKQKPFHRQNYGYRRIENSSIIYPEESAFKEHKNKKESSHEKGAKRSTNLAQAQKLQRLGFSYLATSFLYMVIKVKAFYKGFIGDVASESRMVGIEAPVAEAYFSVPVLPN >CAK8540124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540746543:540748426:1 gene:gene-LATHSAT_LOCUS9157 transcript:rna-LATHSAT_LOCUS9157 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSPPKINIKPEYCREALIEWYRLAKLGDHKECFHKKDLVLKAKQHLLFLGWKVQYINKKYRWKMCYTSPTNGKHYFTLRRACKNCIKDEGYSVNQLSTTLQASPTNLISSTTLPSKKRPRALEETDESNFNKDYEASISNPVKKPIVITTSTSEENEKHGCQSESKFTDLVGNNGRREKVINMSVMEKNSESHEKRGKVLNMSTRERYTLVSWLINNQVLILDTKVSCRGRNNIVKRGSLSFDGIVCDCCQVIFTITKFEAHAGCTRHRPSTSIMLDDGRSLLECQRDALSLRDQKKDRFVVEENVKQENDSVCSICGLGGNIILCDRCPSSFHIYCLNLDQVPDGDWFCPSCCCKICHQPKSKQECYDLNDNNILVCVQCEQNYHFGCVNNEGIGLWKMDRNAKNKNWFCSVVCGNIFLNLNKLLGKSIKVADNLTWTLMKNTSIVVDDDEGDNDKEFISKEFSQKESKLNAALGVLYESFDPTIDASSGRELIKDVVFSRGSKQRRLNFRGFCNVILEKKGEVISVATIRIHGQKVAEIVFVATKEQYRGHGMCRMLMNELEEQLSRLEVESLILHSSEEAINTWTKSFGFVTITGEDKRRFINHTFLEFQNTIMCLKYLK >CAK8579433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699031736:699032098:1 gene:gene-LATHSAT_LOCUS31381 transcript:rna-LATHSAT_LOCUS31381 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIDDYVKLCVYQGFELLIQLYEILLRTLGVLGARFSGVGFRGYRIALVLLRTLGVLGARFSGVGFRGYCIALVEEILATKAASFIRTKYLKVQPELASRISTDTTVLVCGYGDCARVI >CAK8530751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47058947:47063018:-1 gene:gene-LATHSAT_LOCUS594 transcript:rna-LATHSAT_LOCUS594 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGVNFCNSCGEQVGVDANGEAFVACHECFFPICKACVDYEISEGRSVCLRCGNPYAADKTRGKDTTKVPGNQSTMAAQISTSQDVGLHARHISTVSTVDSELNDESGNPIWKNRVESWKEKDKKNKKKKAAPKAENEAPVPQEQQMEEMQPSSEATAAEPLSIIIPVSKSKLGPYRTVIIMRLVILGLFFHYRVTHPVDSAFGLWLTSIICEIWFAFSWVLDQFPKWSPINRVAYTDRLSARFEREGEPSQLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRINALVAKAQKTPDEGWTMQDGTSWPGNNSRDHPGMIQVFLGHSGAHDIEGNELPRLVYVSREKRPGYTHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFLMDPVVGRDLCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYSPPSMPNLPKSSSSCCCCPSKKPTKDASELYKDAKREELDAAIFNLREIENYDEYERSMLISQLSFEKTFGLSTVFIESTLMENGGVSESADPSMLIKEAIHVIGCGYEEKTAWGKEIGWIYGSVTEDILTGFKMQCRGWRSVYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKVLQRLAYINTIVYPFTSLPLIAYCTLPAICLLTGKFIIPTLSNLASALFLGLFISIILTSVLELRWSGVTIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTAKAADDAEFGELYMIKWTTLLIPPTSLIIINLVGVVAGFSDALNGGYESWGPLIGKVFFAFWVIFHLYPFLKGLMGRQNRTPTVVILWSVLLASVFSLVWVQINPFVSKVDSAAISQTCISIDC >CAK8534823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:772030774:772043835:-1 gene:gene-LATHSAT_LOCUS4333 transcript:rna-LATHSAT_LOCUS4333 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMTWQPNLLPHKRKTAPPIGLRNLGNSCYLNSVLQCLTFTPPLANFCLRSQHSSLCDSSGSSCPFCILEKQIARLLRVDLTSDAPSKIQSCLRLFAEHFRCGRQEDAHEFLRYVIDACHNSCLRLKKLRKKGGGGGDGSASAVKDIFGGALQSQVKCLSCGYESNKVDEIMDISLDVFHSNSLRDSMQKFFQPEVLDGNNKYKCDGCKKMVTAKKQMSILQAPNVLVIQLKRFEGILGGKIDKTVGFEEVLVLSSFMCKASQDPQPEYKLFGTIVHSGYSPESGHYYAYVKDAMGRWYCCDDSCVTLATLQEVLSEKVYILFFSRTNQRSAAVSSSLASNGVKLHHSNGSQTSESSKVDVQLITVQAKSNSDQSSWKDMPSVSKIGKVPSGLRVKCDINSSSNSKRSPAHVSVNGKVDMFSSQPLLTNGHAKGLVSLENGRKDPSSSLPTMNGFDKNKIDAANNSVRKEPTVTNGYTGLHTAYTDCVKPDPPEDIDRSKVISGTGSGNFKRGSNGVINKSKMLGNKRKVQEAPCILLAHDDQSRARLEELKDILAKKAKSVLRSCGWADEVYMSMRKRLHEREAENLTSDVKRVLIQDAQSVFKSQIPELLRKDLIDLAKEKYILKDLDQNTHVDLSC >CAK8573898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645047249:645060451:1 gene:gene-LATHSAT_LOCUS26293 transcript:rna-LATHSAT_LOCUS26293 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTEKKTAKADVKRARREEKKLSPEDDIDAILLNIQKEEAKKKEVHVEDNVPAPSPRSNCSLTVNPLKETELILYGGEFYNGNKTFVYGDLYRYDVEKLEWKLISSPNSPPPRSAHQAVAWKNYVYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPGPRSGHRMVLYKHKIILFGGFYDTLREVRYYNDLFVFDLDQFKWQEIKPKLGAMWPSGRSGFQLFVYQDDIFLYGGYSKEVSSDKSASEKGIVHSDMWSLDPKTWEWNKVKKSGMPPGPRAGFSMCVHKRRALLFGGVVDIEVEGDAMMSLFLNEFYGFQLDTNRWYPLELRKDKSTKDKLRKTEQNCTNGVEKKLESLEDSEYEESSIEDISQDIASKVTVADSETLTKPEGKSKESGAKLDIQNSLPEAVKPCGRINSCMAVGRDTLYVYGGMMEIKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEVSEGDDDDEDDDDDDDESNSDGTSEGNEDDDDDGDEVPNTSVQVGDAVALIKGGVKNLRRKDRRLRIEQIRASLGLSDSQRTPLAGESLRDFYKRTNLYWQMAAHEHTQHTGKELRKDGFDLAESRYRELKPILDELALLEAEQKAEEAEGPEINAKKRGKKKTRN >CAK8539294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508560856:508562986:1 gene:gene-LATHSAT_LOCUS8403 transcript:rna-LATHSAT_LOCUS8403 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQSQHRSNIKCKFKFQGDYASKIFGLSFSFLFSIWCLISIFHSRLHLVHGSSKGGAMLELNESTGISQKLVKPMYSVAETISLEQVFRRVLGNNSRLVCKLQSKPEEKKLQSSHASLEGKFHNISALGKEEKSKDSLVNITHRLESDGSVYNYASESKGAKVVAHNKEAKGAINILGKDHDKYLRNPCSVAGKFVVIELSEETLVDSVKIANFEHYSSNFKEFELAGSMIYPTETWTELGIFVATNVKHAQVFKLPKPNWVRYLKLSLLSHYGSEFYCTLSVLEIYGINAIERMLKDLIVTSVESIPHKLPTQNISDIMTPSLKSEADQIDRKGNEVEIKNDTMASKISSVNDDTQKIDAEVTKNPVMVNLVPDPVKELRQQLNGRITGDTVLKILMQKVKSVEVNLSTLEDYIKELNRRQEITIPNLEKEMLKLSESLEQSKTEINDHQQWNTDMEKGISEVKSWKDDVSSQLNDLARENSMLRLDVQRVARDQENLEARELFVLATSLAFACLAVLKMISACVFSFFTINDDNVHQTTRGWLTLILYCSLTIFIILFYS >CAK8544326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678602909:678603978:-1 gene:gene-LATHSAT_LOCUS13015 transcript:rna-LATHSAT_LOCUS13015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPPQLPPGSETLKYQTWVLKVLIHCDGCTKRIKKILQGIEGVYTTEVDSTQHKVTVTGNVEAETLIKKLSKSGKSVELWPQKPPEKKDKKHSTKSNEDQKNSTEPVDGESSHEDCIDDVGDGGGEEDSNHNDSGGGGDNKSEGGAKKKKKKKKKNKSASVTSVPLSNNDGGEKVIISTEKIASPREIIVPPVLQQQHGYNNPYPPHMYYSHPPLAHAPPPYGLSYNTSYPVSTTSAYYVGAPIMPMHANYSRVPPPPPPPSDPINNYGYHHDHDEYEGGYCSIM >CAK8572852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567536853:567538145:1 gene:gene-LATHSAT_LOCUS25367 transcript:rna-LATHSAT_LOCUS25367 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQYHFDIAAYNQNREIEENYIVNRFRERRNKISEDNAPRSRKYLNRDHAAANQRLIDDYFANEPTYDDAMFRRRYRMKKNVFLRIVGDLSSSDNYFTQRVDAANKEGISPLAKCTTAMRMLAYGVAADAVDEYIKIGGTTALECLRRFCKGIIRLYEQVYLRAPTQDDLQRILHVSEMRGFPGMIGSIDCMHWEWKNCPKVWEGQFTRGDKGTTTVILEAVASHDLWIWHAFFGCPGTLNDINVLDRSPVFDDVEQGKAPSVNFFVNQRPYNMAYYLADGIYPSYPTFVKSIRLPQSEPDKLFAKFQEGCRKDIERAFGVLQARFKIIREPARLWDIADLGIIMRSCIILHNMIVEDERDSYSQRWTDFEQSGESGSSAPQPYSTEVLPAFANHVRARSEFRDPNVHQELQADLVKHIWTKFGMFRD >CAK8534004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681542118:681544934:-1 gene:gene-LATHSAT_LOCUS3580 transcript:rna-LATHSAT_LOCUS3580 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPFVTCDDPKGVVECGTIRRYRSSSHKMKDKTKGRKTAENLETNKEVKEEKVSSKGSSGRDFDPSSMQLVEVSRGAEKLNKMIKSWSSGLRYDGKSEDIAKDLLKGALDLQESLEMLRKVQDASSSIARSKKKQDEERERSRIDAKVNDRIGTMHSSQFVEHNSAYGSSSSCREELKKVIKESLVRQNLFQSTSTSEGLDSVSAAFPSTSSSQSSVVWYDKLSDSSSSPNFPKKEKSTNLVARLMGLEQVPSRTFPSVMQKQMENQKIVNQKRPVFEIDTPKVRKHSLVVEKVNPERQKTLREILETTHFNGLLKNSPIREHKLHNHVDHSNDLQYNEFGDLPPIVLMKPRRASYEEFVETYEPVPQEESSFRNLKERGVPSKTFNPREGSTANTRKDMEENLSKRVSREERPKRVKEVFEIDVKEIKPVENKKVQKASLRLQASETVDEKAKVKNITSSRKPLEKEVSKAKVVTKAQDQGEIRSSSEKLKKPRSVSRIDKNETPSRKSTSSNLNTTITKPKTQKVNSSKDLKKSPMKKQRSIGLPEAAKPLDEHLTQEDGMNINVSCKDDCAEIKIITTITEDPIMEHEVDTFSNKTRDISEEGQNSLDDDVLMLSCEHEIDAIHAEEPHDTTGISETDFEPDKYTPELKYFLLTSKSFIDHAVELLNLDVGYPKILPMTETKGITNSRLYLDCANELAERKSLQESSSQLVHPLSLTCIGNSKLRISLGSLVEEVYNAIENLTSYSENSETKLLLDNICAMLERDMKCNNRMINGIWNCGWKHGFSCDEVEQVVNEVENMVLDGLIEEIIVNL >CAK8539417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512238781:512240732:1 gene:gene-LATHSAT_LOCUS8516 transcript:rna-LATHSAT_LOCUS8516 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISCRMNQLHNITNVSCSHLSLLHVRKPPIYVPCMTNVSSKFSTRLGSHFLRKYRSSKLPLICASLNSSDSLLPDPIPQIIKQKSMFILEILKQANSLLPYVVFSSTLLALIFPHFFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPAELFTAYFGQFVVKPLLGYLLCIISVNIFGLPTATGAGIVLLACVSGAQLSSYATFLSDPQMAPLSIVMTSLSTISAVFVTPLLLLLLIGKKLPIDVKGMVFSITQVVLVPITLGLLLNRFFPRICNAIRPFLPPLSVLIAALSAGAPLALNIDSVKSPFGFSIFLLAVAFHLSSFVAGYILSGLVFRDSPDVKPLQRTISFETGMQSSLLALALANKFFEDPVVGMPSAISSPLMSLMGFSLVLIWSKIKSKSKLSS >CAK8539419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512239024:512240732:1 gene:gene-LATHSAT_LOCUS8516 transcript:rna-LATHSAT_LOCUS8516-3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFVVGFTEYRSSKLPLICASLNSSDSLLPDPIPQIIKQKSMFILEILKQANSLLPYVVFSSTLLALIFPHFFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPAELFTAYFGQFVVKPLLGYLLCIISVNIFGLPTATGAGIVLLACVSGAQLSSYATFLSDPQMAPLSIVMTSLSTISAVFVTPLLLLLLIGKKLPIDVKGMVFSITQVVLVPITLGLLLNRFFPRICNAIRPFLPPLSVLIAALSAGAPLALNIDSVKSPFGFSIFLLAVAFHLSSFVAGYILSGLVFRDSPDVKPLQRTISFETGMQSSLLALALANKFFEDPVVGMPSAISSPLMSLMGFSLVLIWSKIKSKSKLSS >CAK8539418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512238781:512240732:1 gene:gene-LATHSAT_LOCUS8516 transcript:rna-LATHSAT_LOCUS8516-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISCRMNQLHNITNVSCSHLSLLHVRKPPIYVPCMTNVSSKFSTRLGSHFLQYRSSKLPLICASLNSSDSLLPDPIPQIIKQKSMFILEILKQANSLLPYVVFSSTLLALIFPHFFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPAELFTAYFGQFVVKPLLGYLLCIISVNIFGLPTATGAGIVLLACVSGAQLSSYATFLSDPQMAPLSIVMTSLSTISAVFVTPLLLLLLIGKKLPIDVKGMVFSITQVVLVPITLGLLLNRFFPRICNAIRPFLPPLSVLIAALSAGAPLALNIDSVKSPFGFSIFLLAVAFHLSSFVAGYILSGLVFRDSPDVKPLQRTISFETGMQSSLLALALANKFFEDPVVGMPSAISSPLMSLMGFSLVLIWSKIKSKSKLSS >CAK8535763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880323125:880323940:1 gene:gene-LATHSAT_LOCUS5188 transcript:rna-LATHSAT_LOCUS5188 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRSPKNTVPLPTTFPLRSPPKQQSELRSHQVSSSRITEEEILEIETLDERPGKPNQEEIETATQSNDALLDAGPKKPETGKPMHEGASEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKLEVVIEEDDIISEVKFWESSLILYAMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDELLRTLPIWVKLPQLPIILWGDTSLNKIGSALGNSIMTDECTTNRL >CAK8537476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:367108656:367110054:1 gene:gene-LATHSAT_LOCUS6766 transcript:rna-LATHSAT_LOCUS6766 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLSVNVGSLHNLEIAAKQAKTTTYEAKHSASSIQKAIAEFVGTYILIFVGCGAALVNERLPITVVGIAIVSGLALTVAIYSVGHVSGGHFNPAVTIALAAVQKIQFKLVPVYVVCQIMGGTLATLTLKVLYHNKVDIGVSLTQYSNSTSDLEALLWESIITFILMLTICGVATDHRGSKELAGVAIGISVLINIIIAGPITGASMNPARSLGPAIVSGNYKNIWVYIIGPTIGAVFASVLYTFLRVSKPTQPELPYITYEIP >CAK8579408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696597394:696613918:1 gene:gene-LATHSAT_LOCUS31357 transcript:rna-LATHSAT_LOCUS31357 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITADPTPAPASSSSSTAAPPRSASPSTSATTSSTLGKPATEKRSKRAALMQIQNDTISAAKAAVRTNIMPQKQKKKPVSYSQLARSIHELAATSDQRSSQRQLVQHVFPKLAVYNSVDPSLAPSLLMLNQQCEDKSVLRYVYYYLARILSDTGSQGLSSGGGIPTPNWDALADIDAVGGVTRADVAPRIIEQLSTEAENADVEFHARRLQSLKALTYAPSTNSEVLSRLYEIVFAILEKVGDPSQKKKKGILGGKSGDKESIIRGNLQYAALSALRRLPLDPGNPAFLHYAVLGISSADPVAVRNALEIVSEIAARDPYAVAMALGKQVQPGGALQDVLHLHDVLARVSLARLCCTISRARALDERPDIRTQFNSVLYQLLLDPSERVCFEAILCVLGKYDSAERTEERATGWYRLTREILKLPEASSKESSKEKSQKLKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGIQDVDEGAHVNTFAEAADLNDSDESTHPESIRRTSSVSNGTAGRDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQGPFDSFDELESIIASELSDPAWSAGLLNDVLLTLHARFKASPDMAVTLLEIARIFATKVPGKVDADVLQLLWKTCLVGAGPDGKHKALEAVTIVLDLPPPQPGSMLGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEIRIIAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGLQSQLSDMHLSNGEDQGASGTGLGVLLSPMIKVLDEMYRAQDDLIKEVRNHDNTKKEWTDDELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLIYESSKSPAAAEPDALDDDLVNAWAANLGDDGLWGNNAPAMNRVNEFLAGAGTDAPEVDEENTISRPSVSYDDMWAKTLLETTELEEDDAKSLGSSSPDSTGSVETSISSHFGGMSYPSLFSSKPNSRGASQTTDKAGRSSGPSMYEGLGSPIREEPPPYSSPGMQRNDSFENPLAGTESHSFESQDDERISSGHPEFGYALYDFTAGGDDELNLTAGEEVEIEYEVDGWYYVKKKRPGRDGKMAGLVPVLYVKQ >CAK8572654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553555286:553565785:-1 gene:gene-LATHSAT_LOCUS25191 transcript:rna-LATHSAT_LOCUS25191 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLCSSFTSRTCNPHSRLHRKTLTLPGSFFLCRQFHLNSPSVSKTRPIRTPQLAPVASLGGLFGGIFKGTDTGEATRKQYAATVNTINGLESKISALSDSELREMTFALRERAQKGESLDSLLPEAFAIVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALVGKGVHVVTVNDYLARRDCEWVGQVPRFLGMKVGLIQQNMTSEQRKENYLCDITYVTNSELGFDFLRDNLATSVEELVIRGFNYCVIDEVDSILIDEARTPLIISGPAEKPSDQYFKAAKIAEAFERDIHYTVDEKQKSVLLSEQGYEDAEEILAVKDLYDPREQWASFVINAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATEITEFESIYKLKVTIVPTNKPMIRKDESDVVFRATTGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDSLSKQLKEAGILHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVKLVAEGDFVSVKKPPPSKTWKVNEKLFPCQLSNQNTELAEKAVQLAVKKWGKRSLTELEAEERLSYSCEKGPAQDEVIAELRNAFLEISKEYKVFTEEERKKVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESQMLTKALDEAQKKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALQSVNLQSLLIEYAELTIDDILEANIGSDAPKDSWDLDKLIAKIQQYCYLLSDLTPDLLLNECSDYEGLRSYLRLRGKEAYLQKRDIVEQQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFKPVLLKQDQDKMENQKSGKRNARAPTDTNPDPVGTVEPSKSASS >CAK8538592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488145618:488146337:1 gene:gene-LATHSAT_LOCUS7773 transcript:rna-LATHSAT_LOCUS7773 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKEEHGQPVFENSYLQWSQWQLLDSILPTGGFAHSFGLEAAVQSRIVSDSNDLKTFLIHVLENTGSLFLPFVYSACTSPNMENWHNLDRILDATLTNEVGRKASISQGSALMRVASAVFSEMPSLKTMRDTSLKLGTVTFHHAPIFGLTCGALGFDSTSSQRAYMFITMRDVISAATRLNLIGPLGAALLQHQVAPIAEVILEKWMNREVEDACQTMPLLDTVQGCHGYLFSRLFSS >CAK8530821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53365776:53370812:1 gene:gene-LATHSAT_LOCUS660 transcript:rna-LATHSAT_LOCUS660 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNPSMSDSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKACSDSSGTGSASEANAQFYQQEADKLRVQISNLQNNNRQMMGESLGSMNAKELKNLESKLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAESERNHHHNINMSVLPGGTNYESLQSQTQQQYDSRGYFQVSGLQSSSSQYGRQDQMSLQLV >CAK8530822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53365776:53370671:1 gene:gene-LATHSAT_LOCUS660 transcript:rna-LATHSAT_LOCUS660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNPSMSDSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKACSDSSGTGSASEANAQFYQQEADKLRVQISNLQNNNRQMMGESLGSMNAKELKNLESKLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAESERNHHHNINMSVLPGGTNYESLQSQTQQQYDSRGYFQVSGLQSSSSQYGRQDQMSLQLV >CAK8575740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:296998191:296999798:-1 gene:gene-LATHSAT_LOCUS27976 transcript:rna-LATHSAT_LOCUS27976-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSICMMSMKIEEDTVLNQKQGIVTILNCNSDTSFTPPSLRRTLSADMSSKKWLSQNGSSKTIKKISSSENLIGQDGDDEFKETKLGNDEDEAERERLQIWSSIQRNKKEEQQKGGSFDTWSSLMSLKTNDEISKSLPPYIHPLAKRTKSSLSRKSLEICTESLGSETGSDGLVFSYPPSENLGSERSDEKLSSYPSSELMEDIVDLQQQTQKKHEEDKKEMVEEKEEEVIEVERFNYGGVTTNNKKSPPRSFPPPLPSLSRQDGPSLQMRPHRDNGRLVLEAVSLPSLNNFCVQRQDGRLVLTFANQDQEITENDEIGEMEEEFEGFEEEKEDQDEVEDEEYESVIGKGSIMSFEKTTIKSSVHWIGSNHSNKVNKTIGLLNRNPKWSKKFNNEVDNNKNVQVVEASQMVKSLPPRPRVARLIPSPPNAATGSFNLNSYQHYWRTTNPTSTKCSNYPLDHYQEKNNNNDSNKSNKIVVSAKGEMKNMNMNRVSNEKQQLLVLKGKNGDYLVHNLKNCKDSRRSFLFWEPYCIATS >CAK8575739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:296998191:296999798:-1 gene:gene-LATHSAT_LOCUS27976 transcript:rna-LATHSAT_LOCUS27976 gene_biotype:protein_coding transcript_biotype:protein_coding MSICMMSMKIEEDTVLNQKQGIVTILNCNSDTSFTPPSLRRTLSADMSSKKWLSQNGSSKTIKKISSSENLIGQDGDDEFKETKLGNDEDEAERERLQIWSSIQRNKKEEQQKGGSFDTWSSLMSLKTNDEISKSLPPYIHPLAKRTKSSLSRKSLEICTESLGSETGSDGLLSSYPSSELMEDIVDLQQQTQKKHEEDKKEMVEEKEEEVIEVERFNYGGVTTNNKKSPPRSFPPPLPSLSRQDGPSLQMRPHRDNGRLVLEAVSLPSLNNFCVQRQDGRLVLTFANQDQEITENDEIGEMEEEFEGFEEEKEDQDEVEDEEYESVIGKGSIMSFEKTTIKSSVHWIGSNHSNKVNKTIGLLNRNPKWSKKFNNEVDNNKNVQVVEASQMVKSLPPRPRVARLIPSPPNAATGSFNLNSYQHYWRTTNPTSTKCSNYPLDHYQEKNNNNDSNKSNKIVVSAKGEMKNMNMNRVSNEKQQLLVLKGKNGDYLVHNLKNCKDSRRSFLFWEPYCIATS >CAK8562224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:458651923:458653297:-1 gene:gene-LATHSAT_LOCUS15740 transcript:rna-LATHSAT_LOCUS15740 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAQVLHMNGGVGEASYSNNSLVQQQVISLTKSIREEAITSLYCSTSPRTLAIADLGCSSGPNTLLVVSDFIKVVEKLCLEMNHESPEYSIFLNDLPGNDFNNVFRSLDSFKKELRGEIETEMGPCYISGVPGSFYGRIFPKQSLHLVHSSYSLMWLSKVPENVNNNKGNIYMASTSPSNVLTAYYNQFRRDFSKFLECRAKEVVEGGRMILTFLGRKSDNMCSKECCYIWELMAMALNHMVLEGIIKEEQLDTFNIPQYTPSPSEVKLEVLKEGSFSVDRLEVSEVNWNALDEWNAFACESHKSEYLNDGAYNVTQCMRAVSEPLLVDHFGESIIEDLFNRYQEILIDRMSKEKTKFVNVTILLTRKS >CAK8535276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833218954:833220104:-1 gene:gene-LATHSAT_LOCUS4748 transcript:rna-LATHSAT_LOCUS4748 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTKLCVTLSFISLLACCTNAQLVNNFYATTCPSLETTVRNTMTSAIKNESRIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAAPNKNTARGFEVIDAIKTSVEASCNATVSCADILALAARDGVFLLGGPSWIVPLGRRDARTASQTAANNQIPSPFSDLSTLTSMFSAKGLTANDLTVLSGAHTIGQGECQFFKTRIYNETNIDPNFATLRKSTCPLSGGDTNLAPLDTLTPTSFDNNYYKDLVAKKGLFHSDQALFNNGSQDSLVTSYSTNSATFFNDFATAMIKLSRISPLTGTNGEIRKNCRLVN >CAK8564063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648923016:648923911:-1 gene:gene-LATHSAT_LOCUS17404 transcript:rna-LATHSAT_LOCUS17404 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSDEECNTGLYLGLGIKREKKKQKLTNKPCFDLAFELCPKTEAIKVHTSHNNNTNDNNKKGERFSLEYYPNATTCSTDSDNNNNTDRRKKLRLTKEQSAMLENTFKLHNTLNPVQKKTLADQLKLKTRQIEVWFQNRRARTKLKQTEIDYELLKKQCQNLSDENKRLKKELQELKVRQSPLCPQRLSKPVCSSCDQKLLKRNEDNNNSN >CAK8543287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595977790:595980448:1 gene:gene-LATHSAT_LOCUS12054 transcript:rna-LATHSAT_LOCUS12054 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSEVNDNHSVDLEKEQKEGNGEVSDTDTVKDSVSSHSDSFTNEDEKVEKASKDPKSKVKGNGLENNRGSRERSDRKTNKLQSKVSGSNQKKPINSNKGPSRVAANKNTLTNSKPAKVPVKVSSESSEGVDEKPVIEVKEIDILDGSSNGAQSVGSEEEGRVEIVNAEENSEHEDVAGLESKVKEMESRIENLEEELREVAALEVSLYSIVPEHGSSAHKVHTPARRLSRLYIHACKHWTPKRKATIAKNTVSGLILVAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSCQVSPITRLAGSNGSAKWNDGKSASLKWKGIPNGKSGNGFMQIGEDWQETGTFTFALERVESWIFSRLVESVWWQALTPHMQSSVVDSCSNKSIGRLLGPALGDHNQGNFSINLWRTAFQDAFQRLCPVRAGGHECGCLPVMARMVMEQCVGRLDVAMFNAILRESAHEIPTDPISDPIVDSKVLPIPAGNLSFGSGAQLKNSVGNWSRLLTDMFGIDAEDCLEEYQENGENDERQGGDGERKSFALLNDLSDLLMLPKDMLIEKQVRHEVCSSISLSLIIRVLCNFTPDEFCPDPVPGTVLEALNGETFLERRLSAESIRSFPYAAALVAYTPPSSANVAEKVAEAGGKSHLARNVSVVQRRGYTSDEELEELESPITSIIDKVPSSPTVITNGNGNYKEQGSHSTTNARYQLLREVWSM >CAK8567775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543939398:543939988:-1 gene:gene-LATHSAT_LOCUS20798 transcript:rna-LATHSAT_LOCUS20798 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLHLRLNRLLLDADSSSTSDMNRPRETFTATGDSNFDTNMVFILAALLCALIFALGLNSIVRCALRCSHRYAFETPDETVARLASKGLKKSALRKIPIAVYGSGGSCSASTSFAATDCPICLGEFVDGEKVRVLPKCNHGFHVRCVDRWLLSHSSCPTCRQSLIEYPNPASSDGSSVVVNVVAVAAGTTNQRP >CAK8534519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738419199:738422360:1 gene:gene-LATHSAT_LOCUS4062 transcript:rna-LATHSAT_LOCUS4062 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYQTFVFVIILHFCTYAVLCSSVHSSFGCMQHEREALVDFKGSFNDPSYRLSSWEGNDCCRWKGITCSNITGHVVNIDLRNPCYPPRGQDYPPNCSFSNSKLEAQYLHPSLSNFKYLSYLDLSGNSFNSSSIPLWFHSMNHLQHLSLSDSHFNGMIPNNLGNLTKLTFLDLSFNSWLHSDDIYWLSNLSSLQYLYMSDVFLERAQNLFQVLHMIPSLLELDLMNCSLTKMQSDYHQIDSYSNFSSIESLNLADNRLDGSDLNVFRNMSASIEYIDLSNNSLSSVPFWLSNCAKLSYLYLENNALNGSLPLPLQNLSSLIMLDLSQNKFESVPLWLGGLESLLYLNLSSNHVNHIEGSLTSILGTMCHLLSLDLSQNRIQGDALAGNLQSSGCNGFDLEELDLNNNNFNDQLPTWLGQLENLQILTLHSSFFHGPIPHILGKLSSLQYLSLANNHFNGSIPNSLGKLENLTILDISNNNLLGGFPCSITTLVNLKYLLLHNNNLSGSLPDCIGQFVNLNTLIISFNHFNGVIPRNIENMVGLVNLDVSANFLNGTIPPSIGQLSNLHTLYLGKNNLQGKIPLTFGKLVNLQNLDLSLNNLENVFSEIKFPKSLAYVNLTNNHISGSLLQNIALRFPNLTHLLLGNNLINGSIPNSLCKINSLYNLDLSGNNLVGNIPNCWSESKRLNEINLSSNKLSGVVPSSFGDLSTLAWLHLNNNSLEGEFPSFLRNLKQLLILDMGENQMCGNIPSWIGDVFSLMQILRLKKNKFQGNIPSQLCKLPALQILDLSNNKLTGSIPQCIGNFTAMFQGYKPTAVSLAPGEPRYLEWYDQDVSQIIKGREDHYTRNLKLVANMDLSNNNLSGLIPKGITLLTGLQGLNLSHNHLSGEIPTTIGDMKSLESLDLSHDQLSSSIPQTMSSLTFLSVLNLSYNNLSGPIPQGNQFLTLNDPSIYGGNKFLCGAPLSNHCDDENDRDESGDEDEEQDKAEKWLFYFVIALGFGSGFWVVIGALLLKKGWRQAYFRCIDEAVHKINETFGRELTKLKKTCMGNPID >CAK8572794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564137890:564140299:1 gene:gene-LATHSAT_LOCUS25317 transcript:rna-LATHSAT_LOCUS25317-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGLDAYRFSISWSRLIPNGRGPVNPKGLQYYNNLINELISNGIQPHVTLHNYDLPQALEDDYEGWLSRVVIKDFTNYADICFREFGDRVKYWTTVNEPNIFAVGSYDQGISPPQRCSPPFCIITSSKGNSTFEPYLAAHHILLAHSSAVKLYRRKYKKQQHGFVGISLYTFGIVPRTNTEKDRAACERIRTFYFGWFMEPLLHGDYPNIMKENAGARIPAFTTRESEQVKGSYDFIGIIHYSKFNVSDNSDAPKTTLRDFIADTGSNLLAVQDILADEEYPMAPWALREVLETFKILYGNPPIFIHENGQRTASNASLHDVSRVEYLQAYIGSVLDSLRNGSNVKGYFVWSFLDAFELLDGYKSGFGMYSVDRNDPELRRCPKLSAEWYKQFLNGSRSSLVGAIELKDDLSRASLGHSFQ >CAK8572792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564137454:564140299:1 gene:gene-LATHSAT_LOCUS25317 transcript:rna-LATHSAT_LOCUS25317 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQIYFTLVSLLFLNLFLLVFSTTDHNNYSRSDFPVDFIFGSGTSAYQVEGAANEDGRTPSIWDTFAHAGFARGGNGDVACDTYHKYKEDVQLMVETGLDAYRFSISWSRLIPNGRGPVNPKGLQYYNNLINELISNGIQPHVTLHNYDLPQALEDDYEGWLSRVVIKDFTNYADICFREFGDRVKYWTTVNEPNIFAVGSYDQGISPPQRCSPPFCIITSSKGNSTFEPYLAAHHILLAHSSAVKLYRRKYKKQQHGFVGISLYTFGIVPRTNTEKDRAACERIRTFYFGWFMEPLLHGDYPNIMKENAGARIPAFTTRESEQVKGSYDFIGIIHYSKFNVSDNSDAPKTTLRDFIADTGSNLLAVQDILADEEYPMAPWALREVLETFKILYGNPPIFIHENGQRTASNASLHDVSRVEYLQAYIGSVLDSLRNGSNVKGYFVWSFLDAFELLDGYKSGFGMYSVDRNDPELRRCPKLSAEWYKQFLNGSRSSLVGAIELKDDLSRASLGHSFQ >CAK8572793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564137454:564137744:1 gene:gene-LATHSAT_LOCUS25317 transcript:rna-LATHSAT_LOCUS25317-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQIYFTLVSLLFLNLFLLVFSTTDHNNYSRSDFPVDFIFGSGTSAYQVEGAANEDGRTPSIWDTFAHAGSVITSIRLFFMFHLNNFRISFVNAI >CAK8577420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562868002:562869360:1 gene:gene-LATHSAT_LOCUS29533 transcript:rna-LATHSAT_LOCUS29533 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSTTLFIHTLLFFLCLSFSVSFSHSSTSFPKEALPTKSGYLPVSSTSNSSIFYTFYEAQNSTSPLSQTPLLIWLQGGPGCSSMIANFYELGPYRVTKSLTLQPNPGSWNRIFGLLFLDSPIGTGFSVASTPQEIPTDQTGVAKHLFAAITRFVQLDPVFNHRPIYITGESYAGKYVPAIGYYILEKNAELKESQRVNLAGLAIGDGLTDPVTQVVTHAANAYYVGLINEKQKNEMEKAQLEAVRLVESGNWSEATDARNNVLNLLSNMTGLATLYDYSRKVPYEDDLVEKFLNIAEVKKALGVNVDELFVYEICSDIVGDVLHADVMKSVKFMVEKLLKEGTRLLLYQGQRDLRDGVVQVEAWVKTMKWEGVVEFLNGEREIWRVNGDVAGYVQKWKTLTNVVVLGGGHLLPNDQPLNSQAMIEDWVLEKGLFGNVPYPNVSTNSKLCI >CAK8567392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510279787:510284256:-1 gene:gene-LATHSAT_LOCUS20452 transcript:rna-LATHSAT_LOCUS20452 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSRRFPSSSSSSKNSDDSISNKKVIDAVKTRLTKKRPALVEVTNNANASLVKTSVSGASKPMVPCVSKTAKTKKDSIASSKKNVMSKNTLQPSLRVKSSELVPAKEAILTKTSQTKSEVQDSVAPSNDGAVCPDSVACAPRLMDISPSNTFSGSVSLDESMSTSDSLMTPEFKYTANDDVVSIKSIENRTSNILNISDSSKMAGRIQDIDATLKSRAKEIVDIDCNFKDPRFCASIAIEIYENLRVSEKIKRPSIDFMEKIQTDINAGMRAMLIDWLVEVAEEYRLLPDTLFLAVNYLDRYLSGKAMNRQRLQLLGVSCMMIAAKYEEICSPKVEEFCYVTDNTYSKEQVLEMESSVLNLLKFEMTAPTTGCFLRRFITVAQQTCEVPLMQLEYLADYLAELSLIEYDMLKYTPSLIAASAVFLAKYILLPSKKPWNSMLRHYSGYQESELRECVEGLHLLYLNGYHNSPSVTAIREKYSQHKFKFVATKYCPPSIPVEVFHN >CAK8569585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6063101:6065346:-1 gene:gene-LATHSAT_LOCUS22422 transcript:rna-LATHSAT_LOCUS22422 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQSQSLCVTDVEPDILSGLPGLIIDHILSHLPIKEAVRTSILSTKWRYKWATLPNLVFDSQCLSEGSDDLLVVKNKLSRIIDHVLLLHSGPIKKFKLSHRELVGVTDIDRWTLHLARRPVNEFVLEIWKGQRYKIPSCLFSCQGLHHLELFNCWIKPPSTFKGFRNLKSLDLQHVTLAQDAFENLISSCPLLERLTLMNFDGFNQLNIHAPNLQFFDIGGKFEDISFENTSQLSVVSIGLYVNFESNQSGLHGCSSNLVEFLIHLPHIQRLEIQSYFLKYLALGVVPVKLPAPCTHLSFLSLRINFNDSKEISAALCLLRSSPNLRELEILARPEEQSVAHFWENVYLDWPVLRVQHVRIDGICGIKPELDFISFLLSYSPVLERMAVKPALNVGPELVKELLRFKRASGRAEVIYLDSV >CAK8575895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351187602:351189440:1 gene:gene-LATHSAT_LOCUS28120 transcript:rna-LATHSAT_LOCUS28120 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVNRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSEDDGHALAEKEGLSFLETSALEATNIEKAFQTILTEIYHIVSKKALAAQEAASGTPLPGQGTTINVADSSANTKRGCCSA >CAK8560466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20803044:20805002:-1 gene:gene-LATHSAT_LOCUS14135 transcript:rna-LATHSAT_LOCUS14135 gene_biotype:protein_coding transcript_biotype:protein_coding MKALNGLLGLRRRKIHGVFNGLCAMVVFFFFYNREDIIRNPLLRESAYLVNHHGLPQNSILKDGVSVIYRRMGEISANASSVAADNDMGVIKPGLCVGLLHHDGYDSPCEFLKVNSQCTSEGYIDYLRFFYCKCQSFRVLGYLVLGVWLAALFYLLGNTAADYFCPSLEHLSKLLKLPPTVAGVVLLPLGNGAPDVFASIASFVGTDTGEVGLNSVLGGALFVTTVVVGTVSLCVADRKVQIDQRCFVRDLSFFLFTLFMLLLILFVGKIGIGAAIAFVSIYVVYAFIVAANELLRKHAQMLKLDAVTPLLPVQGSVFAVGTEEDTTIYSSLLDLDTESDPPRLPPSLPQWMWSSNVAIYSNQANKINYSDDERPPWGWSDGSTENTSSSFSVSKLFLFMEMPLAVPRRLTIPMVHVEVWSKFFAVASASLAPILLAFLWSTQDNVSNTSIILAYCFGVSVGSTLGILAYKYTVSDCPPSQYLIPWVLGGFVMSIVWFYIIANELVALLVAFGVIFGINPSILGLTVLAWGNSMGDLMSNVALALDGDDGVQIALSGSYAGPMFNTLVGLGISLLLGAWSKKPCSYTVPEDSSLFYTMGFLITGLLWALVVLPRNNMHPSRMFGMGLIILYMLFLSFRVCTSLGLITIAGLS >CAK8539483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513853423:513853844:-1 gene:gene-LATHSAT_LOCUS8573 transcript:rna-LATHSAT_LOCUS8573 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >CAK8566571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:437417801:437418304:-1 gene:gene-LATHSAT_LOCUS19692 transcript:rna-LATHSAT_LOCUS19692 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSKIASFFFTEFLDDHEARETFDIFKEYYLVLEVIIPSKRDKRGKIFSFVRFRNIEDSRMMAIKLDNIMINGRKVHANIPWFQRRNAWSRNDKNFQPSKNMVNSDNKNNRHMGDMSRQNIRPDVSFAQVVGGGRKGKQNNLEWKTYESKENYLNAKPSFAHLIF >CAK8569184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680710943:680712264:1 gene:gene-LATHSAT_LOCUS22067 transcript:rna-LATHSAT_LOCUS22067 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKGESKKAETKLAVNKKGAPATKGGKKPAKGKEPKDPNKPKRPPSAFFVFMEDFRKQFKKENPDNKAVSAVGKAAGVKWKAMSEAEKAPYAAKAEKRKAEYEKSMKSYNKKQAEGPAAADEEEESEKSESEVHDENDDEEESEEEDDDE >CAK8573655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627137411:627138514:-1 gene:gene-LATHSAT_LOCUS26072 transcript:rna-LATHSAT_LOCUS26072 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNPHHGGVKDEFVGGSSSFCMVDGPQPMEGLHENGPPPFLTKTYDIVDDPSTNHIVSWSTGNNSFVVLDPQAFSVSLLPRFFKHNNFSSFVRQLNTYGFKKVDPDKWEFANEMFLRGQKILLKNIRRKRANKSQAMQQQALDTSCVEVGGFGLDGIEVDRLRRDRQVLMVELMKLKQEQQNTRTHLEAMEGRVKRNEQKQKQMMHFLARAMQNPNFLQQLVQKKEWRKELEEMISMKRRRSIDQGGPSDVEVGDELGYDGEDCLTSVKLEHHQDFEEDTNLINQVDIRDKVIDIEVFWKDFFNEGNIEDKDVVRVEDVDVLVEQLGYLASSPK >CAK8573656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627137411:627138508:-1 gene:gene-LATHSAT_LOCUS26072 transcript:rna-LATHSAT_LOCUS26072-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHHGGVKDEFVGGSSSFCMVDGPQPMEGLHENGPPPFLTKTYDIVDDPSTNHIVSWSTGNNSFVVLDPQAFSVSLLPRFFKHNNFSSFVRQLNTYGFKKVDPDKWEFANEMFLRGQKILLKNIRRKRANKSQAMQQQALDTSCVEVGGFGLDGIEVDRLRRDRQVLMVELMKLKQEQQNTRTHLEAMEGRVKRNEQKQKQMMHFLARAMQNPNFLQQLVQKKEWRKELEEMISMKRRRSIDQGGPSDVEVGDELGYDGEDCLTSVKLEHHQDFEEDTNLINQVDIRDKVIDIEVFWKDFFNEGNIEDKDVVRVEDVDVLVEQLGYLASSPK >CAK8570930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:175358193:175358747:-1 gene:gene-LATHSAT_LOCUS23638 transcript:rna-LATHSAT_LOCUS23638 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNIREVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVNAADPDNLSISRSELHDLLSKPSLSGIPLLVLGNKIDKAGALSKQALTDQMDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >CAK8540174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542968322:542969218:1 gene:gene-LATHSAT_LOCUS9204 transcript:rna-LATHSAT_LOCUS9204 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIY >CAK8565418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:182576828:182579656:-1 gene:gene-LATHSAT_LOCUS18636 transcript:rna-LATHSAT_LOCUS18636 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNPGDNRNNKGPMSIFIVFALCGFFYILGLWQRSGFGKGDSIAVEITKHTDCSVLSDLNYETHHDGEAGTDDDPNEQVKEFKPCDDRFIDYTPCHDQARAMTFPRENMNYRERHCPPEEEKLHCLIAAPRGYATPFPWPKSRDYVPYANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPQGADAYINQLAAVIPLDNGMVRTALDTGCGVASWGAYLFKKNVIAMSIAPRDSHEAQVQFALERGVPAIIGVLGTIMLPFPSGSFDMAHCSRCLIQWGANGGLYMKEVDRVLRPGGYWILSGPPINWKNNFQAWQRPEDELKEEQRQIEDTAKLLCWEKKHEKGEIAIWRKALSNDECGEQETQPTICESANSDDVWYKKMENCVTPAKPSGSWKPFPERINAVPSRITSGSVPGVSAELFEEDNRLWKKHVNAYRRVNKIIDSGRYRNVMDMNAGFGGFAAAIDSPKLWVMNVVPTISEKGSLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGVFTLYKNACNAEDILLEMDRILRPEGAVIFRDQVGVLKQVKRIAKGMRWNTKMVDHEDGPLISEKVLYAVKRYWVAGDNTTSSG >CAK8534392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722739264:722740772:-1 gene:gene-LATHSAT_LOCUS3942 transcript:rna-LATHSAT_LOCUS3942 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLVSSSSKTKDIDGLLADVGYKVRSSELHQVAHNIERLENAIVNSSNDISQFASDTVHYDPSDIGNWVDNLLSEFDHTASLPYDFSELPDLAPPPPQPQDQHYLHQLKVVTTVEEDSAIKVVHMLMSCADSLQRGNLPLAGSLIEGMQRLLAEMNTNCGIGKVAGYFIDALNRRMFGINNVINVSLPNENDILYHHYYEACPYLKFAHFTANQAILEAFNGHDCVHVIDFNLMHGLQWPALIQALALRPGGPPFLRLTGIGPPSPDERDNLREIGLRLAELARSVNVRFAFRGVAAWRLEDVKPWMLQVSSKEVVAVNSIMQLHRLLGSESDLVSSGIEMVLGWIRSLNPKIMTVVEQEANHNEDGFMERFTEALHYYSTVFDSLEACSVEPDKAMAEMFLQREICNVVCCEGSARVERHEPLVKWRERLGKAGFSPLHLGSNAFKQASMLLTLFSAEGYCVEENQGSLSLGWHSRPLIAASAWQAVPLQEGETLHFDH >CAK8561858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398150743:398152215:1 gene:gene-LATHSAT_LOCUS15411 transcript:rna-LATHSAT_LOCUS15411 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSKNPRTKAAKYPSKNPNRQSTPIAKPNHSPHLDTPNVSSTARTLCNLLTRTSPQEIDSALSSSGIHPSEECVHEVLKLSYNYPSSAIKFFRWAGSLRKHSAHAWNLMVDLLGRNQLFEPMWDAVRTMKQESVLSLPTFVSVFQSYCMAGRVNEAVMSFDVMDRYEVEKNVVAVNSLLSAICREENQTSAGVEFLEKVKGKVDLDGDSFAILLEGWEKEGNPAKAKSTFGEMVISIGWSQDNVAAYDAFLMTLLRALQFDEVVTFLKVLKDNDCFPGLKFFTNALDVLVKRNDAVHAIPLWDVMVVSGLLPNLIMYNAMIGLLCNNGEIDHAFRLLDEMVLHGAFPDSLTYNMIFECLVKNKKVRETERFFAEMIKNEWLPTGSNCAVAIELFFDCDDPDAALEIWNYMVETHVGVLEVSANILLIGLCKLGRLSEVRRFAEEMLDKRIVLYESTMTKLKEVFRKDGRSARDKFDTLYRRWKAQVKL >CAK8576681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505496099:505496764:1 gene:gene-LATHSAT_LOCUS28854 transcript:rna-LATHSAT_LOCUS28854 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVSYNNRGCGRSIKRRRITKIIEEGKTDMCFIQETKIQNLKEEDVKSIWGKVAFGWSAFGARGSVGAMLTVWRVSLIQPILSFKVTRLLVFNVVWKAVNCYFVNVYSLCDMGGKRRFWVELIEWKRKLPEGEWLVREDYNAIKSLEEKKGSRKVCWAYMYEFDSFIDMMELTNVPVVGSRYTWFKDNGCCKSRIDRMLIFEGLVLKWIILSQEVGSKRT >CAK8565705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:310404917:310409829:1 gene:gene-LATHSAT_LOCUS18894 transcript:rna-LATHSAT_LOCUS18894 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEAQFRQQTLAEMENKHDDSNQAGLGPDSASDGVSTSGNTSGARYKLMSPAKLPISRSPVLTIPPGLSPTSFLESPVLLSNMKVEPSPTTGSLPRLQQTSHGFMTSFTSATFPVTTVCYNTNTVVDDGKSSFFEFKPHNRSNMVPANFDNHACEKSTQIDGQGKVQTFVSLPLVKSEMAVPSDEISLSSSPLQMVTSGASAQVEVELDESNPSGNIATGLQASQVDGRGSGLSVAADKVSDDGYNWRKYGQKLVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYSGGNIMSVQEERSDRASLTSRDDKSFNNYGQMSHAAERDSTPELSPIATNNDSPEGAGFLSNRNNDEADEDDPFSKRRKMDLDITPIVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSHDMAGPSAAGGQARIRQEESDTISLDLGMGISPNAENRSNNQGRMMMRNEYRDGQTHTHTGNSSFKFVHTAPPPGYFGVLNNNSNPYGSRENPSDSYPCPQNMGRILMGP >CAK8565704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:310404917:310409829:1 gene:gene-LATHSAT_LOCUS18894 transcript:rna-LATHSAT_LOCUS18894-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEAQFRQQTLAEMENKHDDSNQAGLGPDSASDGVSTSGNTSGARYKLMSPAKLPISRSPVLTIPPGLSPTSFLESPVLLSNMKVEPSPTTGSLPRLQQTSHGFMTSFTSATFPVTTVCYNTNTVVDDGKSSFFEFKPHNRSNMVPANFDNHACEKSTQIDGQGKVQTFVSLPLVKSEMAVPSDEISLSSSPLQMVTSGASAQVEVELDESNPSGNIATGLQASQVDGRGSGLSVAADKVSDDGYNWRKYGQKLVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYSGGNIMSVQEERSDRASLTSRDAERDSTPELSPIATNNDSPEGAGFLSNRNNDEADEDDPFSKRRKMDLDITPIVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSHDMAGPSAAGGQARIRQEESDTISLDLGMGISPNAENRSNNQGRMMMRNEYRDGQTHTHTGNSSFKFVHTAPPPGYFGVLNNNSNPYGSRENPSDSYPCPQNMGRILMGP >CAK8568257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587261414:587262921:-1 gene:gene-LATHSAT_LOCUS21231 transcript:rna-LATHSAT_LOCUS21231 gene_biotype:protein_coding transcript_biotype:protein_coding METKDPLDIKSTKKFPLTLRLIVIAIAVVCAIYICSICLELKHIRTASKLLGVSVFNQPCPRPSNVQEWELPYLHYPQPKTYNREECSCNPVRYFCIVSMQRSGSGWFETFLNSHINISSNGEIFSVSKRRENVSLILKTIDEVYNLDWLTSASKNECSAAVGFKWMLNQGLIEHHKEIVEYLEEKQVSMIFLFRRNLLRRMVSVLANSYDKDFKLLNGTHQSHVHSTFEAAILAKYKPQINSTLLIQELKQTEEMIGKAIAYFKNIRHVVLYYEDLVNNHNKLKDVQEFLRVPYRELESRQVKIHTAPLSKQIENWNEVQKVLKGTMYESFLND >CAK8567749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541336272:541339206:1 gene:gene-LATHSAT_LOCUS20772 transcript:rna-LATHSAT_LOCUS20772 gene_biotype:protein_coding transcript_biotype:protein_coding MMADTPSSPMNTPCEHPNSRASPSLSWPDADINDSGESGLFSISWNQDYSCFAAGTSHGFRIYNCEPFKETFRRDLRTGGFKIVEMLFRSNILALVGAVANSHYPPNKVLIWDDHQSRCIGEFTFRSEVRGVKLRRDQIVVVLEHKIYVYHLINLKLLHQIETVANPRGLCCLSYHSNTFVLACPGRCKGQVRVEHFGLNVTKSISAHDSQIACLTLTMDGLLLATASVKGTLIRIFNTMDGSLLQEVRRGVDRAEINSISLSPNVQWLAASSDKGTVHIFNLRVRVFGVDSVIHPNYVQGPALLHQNSSTALDPLISPNTGANPNSSLSFMRGVLPKYFSSEWSFAQFHLPENAKFIVAFGSQNTVLIAGMDGSFYRCSFDQVNGGEMLQKEYIRFLKC >CAK8544510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689523564:689523818:-1 gene:gene-LATHSAT_LOCUS13182 transcript:rna-LATHSAT_LOCUS13182 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNRQRKSSSFLSIFNIFKSNKHRGGSNYNAYEEGTKPGYKIWPSDEDKGSWGVADPVIDMRATDFIARYKKRVSDSEIRQA >CAK8563143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577278342:577279941:1 gene:gene-LATHSAT_LOCUS16580 transcript:rna-LATHSAT_LOCUS16580 gene_biotype:protein_coding transcript_biotype:protein_coding METNKLRLFFLYVLYLAILPTFEANIVHFDQHWLRRSHEAREAAHNAYHLNPQAVAAAFNNRVHGIFRGFNGTRRGLGKLSQNKGPCKATNPIDSCWRCDPNWEKNRKKLADCALGFGHGTTGGKDGKIYVITDPSDNDLVNPKPGTLRHAAIQNEPLWIIFKHSMNIKLKSELLLTDNKTIDARGANVHISGGAQITAQYVRNIIIHGLHIHDIKQGSGGVMRDSMSHFGQRSMSDGDGISLFGATNIWIDHISMWNCYDGLIDVVAGSTAITISNCHMTHQDHVMLFGASDGFSGDKIMQVTVAFNHFGKGLIQRMPRCRWGFFHIVNNDYTHWLMYAIGGSTHPTILSQGNRFIAPPNPNAKEVTKRDYAPESVWKTWNWISENDLMMHGAFFVQSGKKVATMGNDGVSAKPGSYVGEITKYAGSIKCIVNKPC >CAK8560816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47023043:47023942:-1 gene:gene-LATHSAT_LOCUS14461 transcript:rna-LATHSAT_LOCUS14461 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSKAAVTLAEALRQSPDLKHQVRIIDEACFHLDRNQIYANMPSEKNLRGVLRSEVDRSLSKDTVIIVDSLNSIKGYRYELWCLARAAGIRYCVVYCDVEDSDCRKWNQERREKGGDGYDDVIFEDLVRRFEKPERRNRWDSPLFELRSSDFGSIIDDVVSYITKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTSAIAEAQSRDLGMLPANGVSIGKDLPPINLSRSVGLPELRRLRRTFMKLTGQTSLSGRAPPSDSDSAKRMFIDYLNRELGTS >CAK8541593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:283266586:283269955:-1 gene:gene-LATHSAT_LOCUS10503 transcript:rna-LATHSAT_LOCUS10503 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEREEDGFGSLREEENLVAVSEREIWLDDSTNHQDDDDLLGMNMNDDASVFYADFPSLPDFPCMSSASCSSSSLSSSSSSCSTPLKTIACTTTSTTTTTATSSSSSASSSAASWAVLKSEVEEDHHHGEKMKSCDNNNHVFLNMHEHDPLDHHHHGQHATTVSIEIPQQQQQQQELGVGDCMEDVMMDDTFGYMELLEANDFFDPASIFQTDDENPLVDDFSQEQVLVQQQQEQHQHVPIVVHDESETKLDLNFDGVNDGACGVNDEMSNVFLEWLKSNKDSVSANDLRNVKLKKSTIESAARRLGGGKEGMKQLLKLILEWVQTSHLQNKRLKENNNNTTTSNLVPQQPPPQFQDLCPNQNTTNTCFNQTSWMDQTQTQTPIVVPPQQFSQPMVGVGYVGDIHYTNGSVSNSLYQQGSSNEYHQFNVVPNYNQPSFVDNNSNVLQSHGVSFGGYGNQYGSYQFFHGGGGDRLMRLGPSATKEARKKRMARQRRFVSHHRNHHQGSDSVARLVGGGDNCTNGVGVGSHANQANWMYWQSMAGGKAASLAPVVRDEQTLPPVERDRTNNLTQNSHQGRNASDKKQGWKPEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISITMEDIGTSRVWNMRYRYWPNNKSRMYLLENTGDFVKANGLQEGDFIVLYSDVKCGKFMIRGVKVRQQGAKPEAKKTGKAQKNQQQHGNNNSNTAGNDAVDNNDTPSSPKRKK >CAK8541594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:283266586:283269955:-1 gene:gene-LATHSAT_LOCUS10503 transcript:rna-LATHSAT_LOCUS10503-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEREEDGFGSLREEENLVAVSEREIWLDDSTNHQDDDDLLGMNMNDDASVFYADFPSLPDFPCMSSASCSSSSLSSSSSSCSTPLKTIACTTTSTTTTTATSSSSSASSSAASWAVLKSEVEEDHHHGEKMKSCDNNNHVFLNMHEHDPLDHHHHGQHATTVSIEIPQQQQQQQELGVGDCMEDVMMDDTFGYMELLEANDFFDPASIFQTDDENPLVDDFSQEQVLVQQQQEQHQHVPIVVHDESETKLDLNFDGVNDGACGVNDEMSNVFLEWLKSNKDSVSANDLRNVKLKKSTIESAARRLGGGKEGMKQLLKLILEWVQTSHLQNKRLKENNNNTTTSNLVPQQPPPQFQDLCPNQNTTNTCFNQTSWMDQTQTQTPIVVPPQQFSQPMVGVGYVGDIHYTNGSVSNSLYQQGSSNEYHQFNVVPNYNQPSFVDNNSNVLQSHGVSFGGYGNQYGSYQFFHGGGGDRLMRLGPSATKEARKKRMARQRRFVSHHRNHHQGSDSVARLVGGGDNCTNGVGVGSHANQANWMYWQSMAGGKAASLAPVVRDEQTLPPVERDRTNNLTQNSHQGRNASDKKQGWKPEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISITMEDIGTSRVWNMRYSIRYWPNNKSRMYLLENTGDFVKANGLQEGDFIVLYSDVKCGKFMIRGVKVRQQGAKPEAKKTGKAQKNQQQHGNNNSNTAGNDAVDNNDTPSSPKRKK >CAK8533498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:626273861:626274313:-1 gene:gene-LATHSAT_LOCUS3121 transcript:rna-LATHSAT_LOCUS3121 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEMPPCRSTACRCIPVGLVIGYCRNPSGVLRTTDEHPNLCESDADCRKKESGNYCGHYPNPDIEYGWCFESKSEAEDVFSKITPKDLLSTV >CAK8573072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580684780:580685112:1 gene:gene-LATHSAT_LOCUS25562 transcript:rna-LATHSAT_LOCUS25562 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSAIAQQTWELENNIIPMETPAADDSIFHYDEAGQNEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGWKVGKCCGMVSFSSWLWLLAFWD >CAK8542198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487760613:487761191:-1 gene:gene-LATHSAT_LOCUS11058 transcript:rna-LATHSAT_LOCUS11058 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGCGKKCVAITIGIVVLIVLAIVILAFTVFKPKQVISKVDSIEVHDMNINFNVLTMSVNMNVTLDVSVSVKNPNVYALKYYNGMAQLNYRGQQIGEAPILCGEISARQTTRVNVTLTLMADRVLSSTQIFSDASANILPLNTFVRISGEVNILGLFKFHGNSTSSCNFNVLISDKKIKNNVCEYKTETEI >CAK8533123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580628252:580629266:1 gene:gene-LATHSAT_LOCUS2771 transcript:rna-LATHSAT_LOCUS2771 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8540770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20353449:20356255:1 gene:gene-LATHSAT_LOCUS9741 transcript:rna-LATHSAT_LOCUS9741-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSTSNMLKTLDRYQKCSYGAVEVSKPAKELESSYREYLKLKQRFENLQRTQRNLLGEDLGPLSSKDLEQLERQLDSSLKHVRSTKTQFMLDQLADLQNKEHMLVEANRSLSMKLDEINSRNQYRQSWEAGDQSMQYGDQQNAHSQSFFQQLDCNPTLQIGSDYRYNNVASDQIASTSQAQQQVNGFVPGWML >CAK8540771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20353449:20356255:1 gene:gene-LATHSAT_LOCUS9741 transcript:rna-LATHSAT_LOCUS9741 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSTSNMLKTLDRYQKCSYGAVEVSKPAKELEQSSYREYLKLKQRFENLQRTQRNLLGEDLGPLSSKDLEQLERQLDSSLKHVRSTKTQFMLDQLADLQNKEHMLVEANRSLSMKLDEINSRNQYRQSWEAGDQSMQYGDQQNAHSQSFFQQLDCNPTLQIGSDYRYNNVASDQIASTSQAQQQVNGFVPGWML >CAK8561225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124301236:124301559:1 gene:gene-LATHSAT_LOCUS14834 transcript:rna-LATHSAT_LOCUS14834 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVLGTNDITTDGKVHTCHESIEEVDQHNKKIIWKFFGGDIGKQYKVFKFILEATDKADGTAVAKWTVEYEKISEDINPPNGYMDFLFKNTRDVDANLVKEKVAP >CAK8534771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:765699396:765702770:-1 gene:gene-LATHSAT_LOCUS4285 transcript:rna-LATHSAT_LOCUS4285 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGLPSLGRVKLADLVPSDGLPSDSYKISVSILSQSLAQFSAVIIQFPATDGALLRSGLESARLYFHQRETYPPADIIHSSESHDWCKTSGYYADPHLWQETYDYRPGLSHSEQNNSIELPPAGLSDMFSLFGKAARDILDAISFHLNLRSSPFVEILDNVPLRNREISSSVLSVCCHARPSFQGPQHHNIAATQEDGQLLMYPDHDHQVDKSLISLVKSDRAGLHVKDYQGRWILVDGDLGPQEAIVYPGLALYQATAGYVNPALHKTEINMEANMYGRCSLGFKLLPKSMTSLDCSEMRAAGYGIETQFQLPVPVDDFMQRSHPTDHLFNRPAFQCFNFQPTHDGSMKTLIRRRKQNPKSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHFHTLDSPCANIRMEIGWPPGVPFVHPHDLPNKAKLGFLEAYEPGWTEAHQNIDRNQSP >CAK8532053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196935516:196937288:1 gene:gene-LATHSAT_LOCUS1791 transcript:rna-LATHSAT_LOCUS1791 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNHIFNHPTLQTLQQKCNNLNSLKQIHAQIITTGLSLQTYCLSHLINISSKFDLSYALTIFNYIPNPTIFLYNTLISSLINHQNSQNKIFSLYNKIITHKNLKPNSFTFPSLFKACCSNPSWFQYGLLLHTHVLKFLQPPYDLFVQGSLLNFYAKYGKLCVSRYLFDQIDQPDLATWNIILGAYANSFGDAEFSWEALCLFHDMQVAKVRANEVTIVALISVCSSLGALSHGFWVHCFVLRNKLMVNRFVGTALVDMYSKCGCLNLACQVFDKMADRDRDTFCYNAMIGGFAIHGYGNQALELYRKMKLKGLVPDDATFVVTMFACSHVGLVEEGLKIFKSMKEVHGVEPKLEHYGCLIDLLGRAGRLKEAEDWLRDMPMKPNAVIWRSLLGAARLHGKLDMGEVSLRKLIELEPETSGNYVLLSNMYASVARWNDVKRVRMLMKHHGVNKLPGFSLVEINGAMHEFLTGDKTHPFSKEIYLKIAEINRRLQEYGHNARTSEVLFDVEEEDKEGVLSYHSERLAIAFALIVSPSSLAIRIIKNLRVCGDCHAFTKLISSEYGRDIIVRDRNRFHHFKDGSCSCLDYW >CAK8542912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:558721658:558723834:1 gene:gene-LATHSAT_LOCUS11710 transcript:rna-LATHSAT_LOCUS11710 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMQPDPPNNTPNQRVSEKNEPVRSRWTPKPEQILILESIFNSGMVNPPKEETIKIRKLLEKFGNVGDANVFYWFQNRRSRSRRRQRQMQQATLDQQKNQMVMMQPQQGVNNGASAIPCDNFQTNSTMVFGDASGSSSSSCGGVFGGQQGMDGFFSVSSQMGFLGADQSLAASSIFNTPLSPNLNYHSGYGGASAVTGLITVFINGIATEVQAGPIDMKTVFGENVMLVHSSGVPVPTNEHGILIQNLHHGESYFLVSKSRQV >CAK8570409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43864904:43866345:1 gene:gene-LATHSAT_LOCUS23157 transcript:rna-LATHSAT_LOCUS23157 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIATTLSSPPPYDRLKAVKEFDETKAGVKGLVDSGIKTIPSFFIHPPEVLSDLAPGSGPEPEIPIIDLSTIHDSRATVVNQIRSAASTFGFFQVINHGASPDLLRNLIGALKAFHEQPAEVRAQVYRREAGKGASYISNVDLFTSKAASWRDTLQIRTGPVATEEKDIPEVCRKEVMEWDREVVRIGDILLGLLSEGLGLGEERFKELGLSQGRVMAAHYYPFCPQPDLTVGLNSHADPGALTILLQDHIGGLQVRTEQGWVHVKPLDGALVINIGDLLQIISNEEYKSVDHRVLANSSNEPRVSSAVFLMPGNREKLFGPLPELTSAEKPALYREFTLNEFMTRFFKKELDGKSLTNFFRQ >CAK8572401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534242100:534243132:-1 gene:gene-LATHSAT_LOCUS24972 transcript:rna-LATHSAT_LOCUS24972 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCYKENSYHVVKDICVDKGAYSKHKFMFNESVDGAAYNFFPLENFEYNRNPKDNTGMKVLNQPETDDSDEASSNHDQHNDVIHKDDSEIEELVDNFTKAMDSREDTQDSIPTGGKDEQLSVEHNSHSQLKDSSNMIEEEVLASPALGLTVDELESDYQFGPSAPAVYVKKELHQFGGCNCDETQLPLTTIEGAVSGRISYSGSIPYSRSISIRSDSSTTSTRSFAFPM >CAK8532990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561485456:561485674:1 gene:gene-LATHSAT_LOCUS2643 transcript:rna-LATHSAT_LOCUS2643 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKINQFFVAMVVVAMMMAASSVSAVEAPTSSVSAVKASAPAPGPTSDATTLFVPTIIASFVALVFGFLF >CAK8574553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1975875:1976596:1 gene:gene-LATHSAT_LOCUS26887 transcript:rna-LATHSAT_LOCUS26887 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVGGKLKLKGKALDVAGGIKKKKKKNKRNQQQFLQPTEDEISAGGTSEQAKDSNEEVNDGGELNGEEKPAHYDDHLTPAERRYIEQREQLDVHRLAKISNKSHRDRIQDFNQYLANMSEHYDIPKVGPG >CAK8540970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36965540:36966079:1 gene:gene-LATHSAT_LOCUS9924 transcript:rna-LATHSAT_LOCUS9924 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLEGMKGKAGSIKLGTIGTISSLMTRELDQISSEPQKQKVSSRSKPRTLHVSVPCSSSSSTGTSANPKRLQPRKSSDEASGNGSGSGSGSSKNTNHRTKSNSSSTSRNTHRTPMLGSADHFSVDRTPIREKKNDKKKPNIVEVVDIKCGNAEKTWATPLATRLKKLGFSKLSESII >CAK8542722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541156878:541157165:1 gene:gene-LATHSAT_LOCUS11536 transcript:rna-LATHSAT_LOCUS11536 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVQTEKHLVFPLIYKFIELALVLSVSTTSVERAFSAMKIIKSKLHNKINNVWFNDLMVCYTEQEIFKSLDDIDIIRTFTAKKSRKGHLPRNFI >CAK8561309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:143604868:143606668:-1 gene:gene-LATHSAT_LOCUS14916 transcript:rna-LATHSAT_LOCUS14916 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPSKKPSTMMNSLDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFIDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEQSIKDGMRVSAFELQRNTGTSSSMTGRNMNEMQMEVHRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYHTLAGENMAAAATNFKGITGTQSIPDMKDFVSPLNNFPHFQDLNICGSDEEQLERPILEGFMPTNNSENIFVGKKRTNPFDENGKSPLIWNNNDLRLHDFGTTSSCISPQDVPNFKSDSLDGSDESDPIGEAYDTKVSEKKFGASMKLGITSPVINVVATGRSLSPFG >CAK8534716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:758196165:758197430:1 gene:gene-LATHSAT_LOCUS4236 transcript:rna-LATHSAT_LOCUS4236 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSSLIRVLGGGGYKEEQHRTVGNESHSEKSTALITRDLLGGSGNESQELDLDLQVPTGWEKRLDLQSGKVYIQRCNTLPSSPISEMKPTTPKFQDLNFPSTHPNVALNLFDETSLDLKLVSSTLPSNNYQSVCTLDKVKSALERAEKEPLRKRASFLKSSISTTSPSSYSSSSSSIRETTNHQEEEQSEEKMVSSPMAAGCPGCLSYVLIMKNNPKCPRCNSVVPIPIMKKPRIDLNISI >CAK8571321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:375931154:375936680:1 gene:gene-LATHSAT_LOCUS23993 transcript:rna-LATHSAT_LOCUS23993 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPQAMVALRERASFVKDSLHRSQTITDNMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEVILTQFDQTRKAEAKILRGPHEDLESYLGAVDQLRANVRFFSSKKSFKSSDSIINHANSLLAKAILKLEDEFKHLLTNYSKPVEPDRLFDCLPNSLRPSSSGQQNGGGGKTHSEKPSIETVTFTLPTLIPPRVIPLLHELAQQMVQAGHQQQLFRVYTDTRAAVLEQSLRKLGVERLSKEDVQKMQWEVLEAKIGNWIHFMRISIKLLIVGEKKICDQIFDGHESVKTQCFAEATGSSVAMLLSFGEAVARSKRSPEKLFVLLDMYEIMRELQPEIEILFDSKVCAEMREAAINLTKRLAQTAQETFGDFEEAVEKDATKTAVQDGTVHPLTSYVINYVKFLYDYQATLKQLFQEFDPGDPEAQLASVTTRIMQALQNNLDGKSKLYKDPGLTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTVQGTNSSGGADSGLSRTAVKDRFKTFNTQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFHKRFGPLIENGKNPQKYIRYSPENLEQMLGEFFESKAWSEQKR >CAK8570070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22996226:22997194:1 gene:gene-LATHSAT_LOCUS22854 transcript:rna-LATHSAT_LOCUS22854 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFAAAALRDPKLQIPTYHGFRSSSTASSLFRNALSVPSSTRSSSLIRAVSTPEKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHDSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKTVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSAEPPEVVQARNDNSHGTNFTDSLEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDHVEPHMCVMHNTAPFCS >CAK8575842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:334180851:334181911:-1 gene:gene-LATHSAT_LOCUS28072 transcript:rna-LATHSAT_LOCUS28072 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSLAIFCITFRLNAVVFDYNITSAAEILRVSGMLSNQGFGDFDRHQHRSPSPMVSSMSNVSATGIGAWNNLQQEMHNNMTLCARCFVRGSYKVGTSNTDFKRVEISEETKPDWTEKETLKLLEYITNFGDDWKRVSHHVIGKTDKECVARFLKLPFGDQFMHSQRFESAHLVDDSCSDLLKPSVNAGCESETAGLGKSSKRMRLTPLADASNPIMAAFLSALAGTEVAQAAAQAALRSLSDVYKSS >CAK8571391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:396198917:396202538:-1 gene:gene-LATHSAT_LOCUS24058 transcript:rna-LATHSAT_LOCUS24058 gene_biotype:protein_coding transcript_biotype:protein_coding MVICLSTNSVHSNARNSAELLNVRGRTFPRRVNFMENGFFGGVSKLGLVQVKCNGSSYHSQDPFLNLHPEVSMLRGEASNTGNIPRKGSLGGDLSERLDDTSSQSNYNQAKIKVIGVGGGGSNAVNRMIESSMQGVEFWIVNTDVQAMRMSPVFPENRLQIGLELTRGLGAGGNPETGMNAAKESKESIEEAVYGADMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTVGIVTTPFSFEGRKRAIQAQEGITALRDSVDTLIVIPNDKLLTAVSQATPVTEAFNLADDILRQGVRGISDIVTVPGLVNVDFADVRAIMANAGSSLMGIGIATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPLLSGQVSITLIATGFKRQEEDDARPLQASQLSQGDTAIGFNRRPSSFSDNGSLFDIPEFLQKKGRSRYPRV >CAK8539077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502961666:502963344:1 gene:gene-LATHSAT_LOCUS8209 transcript:rna-LATHSAT_LOCUS8209 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDLKYEVSQNAYIKLVLHSLKHPTSAVNGVLIGRISSSNDTVEITDAVPLFHSQIPLLPQLEISLILIDEYFSAKGLNIVGYFHANERADEFELGGVAKNIGDHISRYFSQAAILLLDNKKLEGLKKSKSGGAVMQLYVRDASKNWKLVQSDAKNRFSLKEPSANLILLDYISSEKWRDVVDFDDHLDDISKDWLNPGLFN >CAK8538432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482550086:482550629:1 gene:gene-LATHSAT_LOCUS7629 transcript:rna-LATHSAT_LOCUS7629-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMFLLISSEVSARDLTETSTNAKDEVVDKSDELNDAKYYGGGYNHGGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGN >CAK8538431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482550086:482550629:1 gene:gene-LATHSAT_LOCUS7629 transcript:rna-LATHSAT_LOCUS7629 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMFLLISSEVSARDLTETSTNAKDEVVDKSDELNDAKYYGGGYNHGGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGN >CAK8538433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482550086:482550629:1 gene:gene-LATHSAT_LOCUS7629 transcript:rna-LATHSAT_LOCUS7629-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAILILGLMAMFLLISSEVSARDLTETSTNAKDEVVDKSDELNDAKYYGGGYNHGGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGGGGYNHGGGGYNGGN >CAK8531246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92628454:92630106:-1 gene:gene-LATHSAT_LOCUS1052 transcript:rna-LATHSAT_LOCUS1052 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTSGLDRFKKAESLEPFSVINSSSKNVAQSSNKVVGHSPAWPSQSRNSVHQSHQPQQHASHKAVGVEAAPLLGQNQHATQIGGGQSTWQPPDWAIEPRPGVFYLEVMKDGQVLDRINLDRRRHIFGRQIQTCDFVLDHQSVSRQHAAVVPHKNGSVYVIDLGSAHGTFVANERLTKDSPIEFEVGQSLRFAASTRIYVLRKNDAALFPRPPPPTEINFPPPPDPSDEEAVVTYNTLLNRYGINKSDLVSKSSELGGSASGQNKDRQLERAAKRIKKTRVSFRDQVGGELVQVVGISDGVDVETEPGPVGVKEGSLVGKYESLVQITVIPKGKEQSSVKEADSSQKGVTDKLQEVLKKIKNPVKTGIYDDLYGESLSVKVGSAWAYPPINSGEQAQAAKENGKENTLSGKSDSNRSNADEDDDDDDDDLFG >CAK8531776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150667059:150667835:1 gene:gene-LATHSAT_LOCUS1542 transcript:rna-LATHSAT_LOCUS1542 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPNGCVGDLKNDLNEILNVVVVPDMSGMIDEQCIYKVPPNIREANPQAYTPQIISIGPFHSPLGSSRDNILHKMEKLKFKYFKGFVNRTKVSVDDLVFKFQEWEDRIRSCYAELFSFNSNEFLKIIIVDACFIVELFIRFSLSRYWIQDDPILQSHWLRRDIARDLVLLENQLPFFVLQYIYDLFGITEFGTFLQISITFFKPFSLNLPYLSSERVEYPKHFTDMLRTFLMQTQQNYNFNFDFDDRVIGLILMIV >CAK8572638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552741337:552741825:-1 gene:gene-LATHSAT_LOCUS25180 transcript:rna-LATHSAT_LOCUS25180 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTLFFLTCIVVMMQVVRKLLESFDIIDLTNDHGNTALHVACYRGYLPMVEILIDASPSLALLVNHHGDTLLHLEVAGFKSPGFCRLDKHFELVQKLVSEKIVKIRDIVNLKNNDGRTALHVSVIDNIQVEVVELLMSLPLIDLNICDVDGLTPVDILKQR >CAK8577107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537329335:537329646:1 gene:gene-LATHSAT_LOCUS29240 transcript:rna-LATHSAT_LOCUS29240 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGKGMKGLGKGGAKRHRMILRDNIWGITKSAICRLARRGGGKRISGLIYEETMLFLKVFLTKIIHDAASYTNHARRKVVTAMSVVYTLKRQGRTLYGFGG >CAK8544249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674126814:674127017:-1 gene:gene-LATHSAT_LOCUS12946 transcript:rna-LATHSAT_LOCUS12946 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTTIPEYDRQFPSLERKMDQITGRTSKPFIHLTEVHPNGKLKPLTQAEEVLNWQFENMVSQNEIL >CAK8561870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399997251:399998936:-1 gene:gene-LATHSAT_LOCUS15422 transcript:rna-LATHSAT_LOCUS15422 gene_biotype:protein_coding transcript_biotype:protein_coding MLILWNVRGLNRAGKYKEISSHLLSLKADINILLETRVKKENAKNIRDKLKLPGQYLDNYSHHINGRIWLNWNDGNIDIISNKILDQMVHCEVRDAQGGFKFWLTAVYAHNKLELRRKLWHDIEHSQQPGPWCIVGDFNNVLRTKDRIGGNRVTETEYIDLQNMMQRIGLFEMESKGDYYTWFNKHNVDPIYSRIDRVLGNVDWFQTFQDVNLNVLAPNVSDHAILHVIGQENSDYRKRKFKFLNCVTKMAGYSEIVARSWHTPVNGSRPMEVVWKKLKRLQKDLGPLTKHTTQAKVHLAKAREALKEAQQALNDDRMNKEKIDLVKDCTEDVIKWNEIDEAILKQRSKIDWLKLGDGNNSYFHASIRAKNKATSIETLQLENGSIATEQVDIQAEVLRFYGDLMGKQAESTQAIDIVAMREGYHIDTDQADMLTGPVTEKEIVAALHSIGDLKSPGIDGYGEKFFKESWQIVGRDVIAAVREFFEHDQIFLAVNCTLITLIPKHEEEKTIKEYRPIAGCTTLYKIISKILTMRLSKIMANLIGCSQAAFVPGQQILTIYY >CAK8563746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629003116:629008149:1 gene:gene-LATHSAT_LOCUS17124 transcript:rna-LATHSAT_LOCUS17124 gene_biotype:protein_coding transcript_biotype:protein_coding MSANGGAAISGGSPNRKRHVRGNRFYSPPPLRKYREKQEQQRSSLSRTSSENRPGSSSDCSISSRATSDMSNLDRFLKYVTPNVPAQYLPKSSRRWKTKEEDLLPYYVLGDLWESFKEWSAYGAGVPLVLNGNESVTQYYNVSLSAIQLYIDPSKPSMRLRKSSQESDSESARETSSDSSGGHCHERGPKTNHSSRNHLNALDACNHAFERVSLSKPGSPSKPGSPSKPGSPSKPGSPSKSGSPSKPGSPSKSGSPSKPGSPSKSGSPSKSGSPSKSGSPSISGSPSISDSPSKSDSPSKSGSPSKSGSPSKSGSPSKSDSPSISGSPSISGSPSKSGSPSKSGSPSKSGSPSKSGSPSKSDSPSKSGSPSISGSPSKSDSPSKSGSPSKSASPSISGSPSKSDSPSKSGSPSKSGSPSKSDSPSKSGSPSISGSPSKSDSPSKSGSPSKSGSPSKPGSPSISGSPSKSDSPSKSGSPSKSGSPSKPGSPSEGTESCNTPGQLIFQYFEHETPYNREPIADKISSLASQFPELKTYWSCDLSPASWVSLAWYPIYRIPTGPTLQSLNACFLTYHSLSTVLQGSNTEPPNIHYSRGRDISKLSLPIFGLSHHKFRISIWDPDGVSESQKANSLAQAAEDWLRLLQVTHPDYNYFRKHYTYLR >CAK8572676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554838653:554839210:-1 gene:gene-LATHSAT_LOCUS25209 transcript:rna-LATHSAT_LOCUS25209 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPNFEEGVKGFITWAFAQECSRREGGVRCPCLKCECRSIISDPEEVERHLKRKSFIKNYWIWTYNGEQLPSNVYSETTNTHASCSRSHMESDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTLLFEGSTDSKLSMCVRLLVAKSN >CAK8544997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717179644:717184211:1 gene:gene-LATHSAT_LOCUS13633 transcript:rna-LATHSAT_LOCUS13633 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITVAILVPITSPTCRSLASFNFFQRSSRSILSTPQSTFKYPNFRLVASSMKTETPPKTTSFLHQKETGFLHFAKYHGLGNDFVLVDNRDSSELKISSEKAVQLCDRNFGVGADGVIFVLPGINGADYTMRIFNSDGSEPEMCGNGVRCFAKFVSQLESLHGRHSFTIHTGAGRIVPEVLEDGNVRVDMGEPILKASDVPTKLSPNKDGASVKSEIDVDGVIWNVTCVSMGNPHCVTFSRNGVQNLIVDELKLAEIGPQFERHEMFPARTNTEFVQVLSNSHLKMRVWERGAAETLACGTGACATVVAAVLEGRAGRNCRVDLPGGPLQIEWKDEDNHVYMTGSADLVYYGSLPL >CAK8568861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648335766:648337139:-1 gene:gene-LATHSAT_LOCUS21772 transcript:rna-LATHSAT_LOCUS21772 gene_biotype:protein_coding transcript_biotype:protein_coding MHVITTMDFYGNRTLLTLMDREKPEQPESPNIHLRLQIQTHRETNDQDIQFSPPRPSIFPQSPWTLSHLPSPSPSLLYHCIASLHRHEGNIYSIAASKGFIFTGSNSSRIRVWKQPDCMDKGYLKSNSGEVRAILAYNNMVFTTHKDHKIRIWNFSVSENFKSKKVATLPKRKNNSLLNFNRTKTNNNAHNYKHKETISCMAYYHSEGLLYTGSHDRTVKAWRISDGKCIDSFLVHEDHVNAVLVNQDDGCVFTCSSDGSVKIWRRVYTENSHTLTMTLKFQPSPVNTLALSSSLNHCFLYSGTSDGMINFWEKERLCYRFNHGGFLQGHRFAVLCVVTVGNNMVFSGSEDTTIRVWRREEGSCYHECLAVLDGHRGPVRCLAACLEMEKVVVGFLVYSGSLDQTFKVWRIKVFCEDENVVGEKCEGRVKKIREYDMSPVLSPSWVEKKLQGSSPFH >CAK8573254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598335090:598335440:-1 gene:gene-LATHSAT_LOCUS25724 transcript:rna-LATHSAT_LOCUS25724 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNVSRKGMPNKCSICGMFGHNKSSCSAKPKHAQTATNFQTDQSQTVQSQITTTVLTIQSQPTLNVQTTQPQTTPRVQTRQSQTTTTVPTVQSQSAPRVQTRQSQITPPVPTVQS >CAK8572150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515235328:515239542:1 gene:gene-LATHSAT_LOCUS24746 transcript:rna-LATHSAT_LOCUS24746 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDTQLGSPRMSYHPRPLSWIVVLVGALAVFLIYASFVLVSSPIGAHVHGYFYGIGSSEKLDVSVANVNDASVDKSLDIDGKKPSFDRQTDATSNSQIDNSESSFAKLPKKEDVNETPGNSLDAANTRLPAQTNSQVDSASSATIPVEGGTGASNLTGSVRSEEPPSTVSINQSSAVITTSKEASVSSDNSTSTAVPESVEKPDNTAYAGSVNSGCDLYHGNWVHDPLGPLYTNNTCPVLTQMQNCQGNGRPDKDYENWRWKPFQCDIPRFDPRKFLELMRGKTLAFIGDSVARNQMESMLCILWQVEVPKNRGNRNMQRYYFRSTSVMIVRIWSSWLVKVTSEPFDYAPAGVDKLHLDAPDVKLMEHIPNFDVVVLSSGHWFAKKSVYILNNVIMGGQLWWPDKSKQMKINNVQAFGISVETFLTALATHPTYKGLTIVRSYSPDHYEGGAWNTGGSCTGKVKPIPVGELVENGYTNTMHGQQITGFNNAVKKGTTNTSKLKLMNITQMFQYRHDGHPGPYRSPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNELVLEIIKREYEGGSTS >CAK8534364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720602874:720603705:-1 gene:gene-LATHSAT_LOCUS3914 transcript:rna-LATHSAT_LOCUS3914 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNFKSKPSYWTKEDPKFCSRSAKINAVKLRINHGKSLLHYPCKLYVDGKVDEMNWKWDVDLISYMEIFEVIKSLSYVRAKCIWYHDPKFSLERRLRPMNNDKDILKFGEDMKGYDYADIYVDHIVDEPEVVTKDEIREYVEVQQETINVDSDDEVHLEDNDEDNVEEMEKVQLEQREEVQGEKSEEDEDFVQDDNDDLDDNEFNELDEDD >CAK8563392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599331514:599333114:1 gene:gene-LATHSAT_LOCUS16810 transcript:rna-LATHSAT_LOCUS16810 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNAVNPKAYPLADAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8560059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6259810:6261015:1 gene:gene-LATHSAT_LOCUS13773 transcript:rna-LATHSAT_LOCUS13773 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFVFLLQLCFFSHIGFSSHCTTETSSKTFQKCMNLPTQQASIAWTFYPHNSTLEVVFFGTFISPSGWVGWGINPTSPEMTGTRALIAFSDPNTGQIVLLPYILDPNVKLQKSPLLSRPLDIRLVSSMAAMYGGKMATIHNGAAIQIYATIKLESNKTKIHLVWNRGLYVQGYSPTIHPTTSTDLSSIATFDVLSGSSAPQHTDLTMLRVIHGTMNAISWGILLPMGAITARYFRPIQALGPAWFYAHAGIQLFAVILGTVGFAIGIRLGQLSPGVEYGLHRKLGIAVFCLGALQTLALLFRPDTRNKFRKYWKSYHHFVGYSCVVLGFVNVFQGFEVMGASRSYAKLSYCLGLSTLIGVSIALEVNSWVVFCRKSKEEKMRKEGLIGTSDKGSSGIHT >CAK8572135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513746885:513752344:-1 gene:gene-LATHSAT_LOCUS24732 transcript:rna-LATHSAT_LOCUS24732-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGTQFHVHSCLPWIPRRAHCSSPSSSINKNSRRFMPGHFSWLKSSPLHRHGGWEVDFHRLAFKSNSNTSNHVPESSSAKFISTEKNNHSSHQRPQAKHVIEYMPLFLVLKLTHMKFVDLVINVVQAMVRNVPQTFGGTSLSFACVSNALNKPTPLKLDVSLPSFQDIRWNLARLVYLFNIQLERNVATFFVVLLIACISFVAIGGFLFFKFRNNKQSLEDCLWEAWACLLSSSTHLRQPTRIERVIGFLLAIWGILFYTRLLSTMTEQFRSNMQRLREGAQMQVLETDHIIICGMNSHLPFILKQLDKYHEFSVRLGTAAARKQRILLMSDLPRKQIDRIADNIAKDLYNIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGDRYEIDTDAFLSVLALQSIPEMASVPTIVEVSRSQTCDLLKSMSGLKVEPVEDVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLEGMTYRQIRHILQEAVVCGLYRSGKIYFHPNDYETLQKTDKVLFIGSLQYTKRPQVVIPKVKEGKDGIHNDELLEKDFEYAIELSKVRLANIVKRPNRSGSKASDGNVGPKECILLLGWRPDVVEMIQEYDNYLGPGSVLEVLSETPLNDRILREANIIGRSKLKNVRVSHRIGNPMDYGTLKETILNIQTSLKNKDIPFSVAVISDKDWLLGDTSKTDKLSAYSILLAENICDKLGVKVQNLVAEIVDSKLGKQIARIKPSVTYVAAEEILSLVTAQVAENSELNEVWKDILNAEGDEIYLKDISLYMKEGENPSFSELSERAYLRREVAIGYVKKNKNVINPNPKSEPLSLELTDSLIVISELEGEQPIIL >CAK8572136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513746885:513752344:-1 gene:gene-LATHSAT_LOCUS24732 transcript:rna-LATHSAT_LOCUS24732 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGTQFHVHSCLPWIPRRAHCSSPSSSINKNSRRFMPGHFSWLKSSPLHRHGGWEVDFHRLAFKSNSNTSNHVPESSSAKFISTEKNNHSSHRPQAKHVIEYMPLFLVLKLTHMKFVDLVINVVQAMVRNVPQTFGGTSLSFACVSNALNKPTPLKLDVSLPSFQDIRWNLARLVYLFNIQLERNVATFFVVLLIACISFVAIGGFLFFKFRNNKQSLEDCLWEAWACLLSSSTHLRQPTRIERVIGFLLAIWGILFYTRLLSTMTEQFRSNMQRLREGAQMQVLETDHIIICGMNSHLPFILKQLDKYHEFSVRLGTAAARKQRILLMSDLPRKQIDRIADNIAKDLYNIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGDRYEIDTDAFLSVLALQSIPEMASVPTIVEVSRSQTCDLLKSMSGLKVEPVEDVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLEGMTYRQIRHILQEAVVCGLYRSGKIYFHPNDYETLQKTDKVLFIGSLQYTKRPQVVIPKVKEGKDGIHNDELLEKDFEYAIELSKVRLANIVKRPNRSGSKASDGNVGPKECILLLGWRPDVVEMIQEYDNYLGPGSVLEVLSETPLNDRILREANIIGRSKLKNVRVSHRIGNPMDYGTLKETILNIQTSLKNKDIPFSVAVISDKDWLLGDTSKTDKLSAYSILLAENICDKLGVKVQNLVAEIVDSKLGKQIARIKPSVTYVAAEEILSLVTAQVAENSELNEVWKDILNAEGDEIYLKDISLYMKEGENPSFSELSERAYLRREVAIGYVKKNKNVINPNPKSEPLSLELTDSLIVISELEGEQPIIL >CAK8574212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670010793:670013522:-1 gene:gene-LATHSAT_LOCUS26575 transcript:rna-LATHSAT_LOCUS26575 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDTTVYKPLHDLSNRELGELPARVFPNRLAASEDLVLRLDVFRKLDKHRGCVNTVGFNADGDVLVSGSDDRRIILWDWETGRTKLSFHSGHSNNVFQAKFMPHSADRTIVTCAADGQVRHAQILEQGQVETKLLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGTATKLFTCKPLKDKLCYVSVILLNTIAIDPRNPNLFAVAGSDAYTRLFDIRKYKWDASTDFGQPIDYFCPPHLIGDERVGITGLAFSEQRELLVSYNDELIYLFTQDMGLGLDPIPGSPNSVNSDTSDMGDCSVHSPPNMDANDKVTPQVFKGHRNCETVKGVSFFGPNCEYVVSGSDCGRIFTWKKKGGQLIRVMEADKHVVNCIESHPHTTVLASSGIEHDIKIWTPKALEKATLPKSIEQKTKARDWMYQIASPEDMMLQLFSLPRRRVRTETSAEQSTREFLEMILTFNTITDDSTDDDGDDDGDASNQDDLFS >CAK8574213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670011140:670013522:-1 gene:gene-LATHSAT_LOCUS26575 transcript:rna-LATHSAT_LOCUS26575-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDTTVYKPLHDLSNRELGELPARVFPNRLAASEDLVLRLDVFRKLDKHRGCVNTVGFNADGDVLVSGSDDRRIILWDWETGRTKLSFHSGHSNNVFQAKFMPHSADRTIVTCAADGQVRHAQILEQGQVETKLLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGTATKLFTCKPLKDKLCYVSVILLNTIAIDPRNPNLFAVAGSDAYTRLFDIRKYKWDASTDFGQPIDYFCPPHLIGDERVGITGLAFSEQRELLVSYNDELIYLFTQDMGLGLDPIPGSPNSVNSDTSDMGDCSVHSPPNMDANDKVTPQVFKGHRNCETVKGVSFFGPNCEYVVSGSDCGRIFTWKKKGGQLIRVMEADKHVVNCIESHPHTTVLASSGIEHDIKIWTPKALEKATLPKSIEQVIFDGIRWFESDDDDDSDDDTEDDDMFDDDDDDDDEKEEEDDNDDDDDDDDDDDGDVDDDMFDDNDDMVDDNDDMFDDGDDDDDDEDDDGGGGGDDDDDGAHDIDENNDDEGCDDIDEYDRRRLCQ >CAK8543186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585752117:585755089:1 gene:gene-LATHSAT_LOCUS11960 transcript:rna-LATHSAT_LOCUS11960 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSQAQLFCFPAVHRSLHIQQRRSLPCLSFRTSKLSVAMSLERHEAETADTETRNSLSYADDESKLNVEGKQESYSKIEESGSEKLGLGEATQQGVVDQQKKTAIIHDFCLGIPFGGFVLTGGIIGFLFSRSPATLASGVLVGGALLFLSTLSLKVWRQGKSSLPFILGQAALSGILIWKNFQSYSLAKKIFPTGISAIISSAMLCFYLYVLVSGGNPPPKKLKPSASVA >CAK8564805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10829860:10830647:-1 gene:gene-LATHSAT_LOCUS18070 transcript:rna-LATHSAT_LOCUS18070 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSQSYIYEDLNPKIETQDTPESHLFIVHIPDGFARGDIGAKIEYDFGSVKVFGEKSIGSNKIMRFSEKHIVPSHCDIGNIRGKFDGKTVTVTMPNITNKSTYSMIIENKSDRKSFGESTRTRIKDMALSTTQAVTNCAKRFNEEDKQKLIYTGATILVVALSVYASYEYRSSRRP >CAK8531745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:145545415:145552926:1 gene:gene-LATHSAT_LOCUS1511 transcript:rna-LATHSAT_LOCUS1511 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTELEEGEACYYKDDDEDNTDLDSLSYIDERIQHVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYERSHPKTPQGNQSSPQSPIVLHKEAVPDNRKAPSNMAPAARIGNASHSSNSFRDVRAASIDVSVKKHSGISSNDVAGRCTLKDDTPIKKGNSTDRRTLKFRLKMNSNFLAQKTAEIYSGLGLDDSPSSSMENSPVESEGTPPLPPPPPPPPVSKAKAEDSAIEIIQAMTSCAIPGGVLLSPLPESLLFSVKNEKVHGDVRYMSSREPRSMSTDESDSFVADGHLKKRIVRIVRQKEKKFEAKQINDTLSGSDMALHVKKRLGNRTPDCNELLSIDMKSTPLSSSICDAGETAIATAKASNVSKKFCENGVQGRMVSVQPLKEESLESISGQDFKKIEKQSVGNGFRKNVLEEKLENPLKDSSADPKNESKCNTYTVSKNVERDTGKRKIDKRYETPQRVKVVSEGKNKSKGDDSPGKPEYVGRKDSFGGANNAMVSDKGSAGFGMDSRNKMSKTKVLKDSKVRDSSKVSLKEKNSDQKFDGFPGNSAIKTSKSNNNNEKQIAFGAKVKEKSSGNKVVNHSLAGPRKTDDLGSFPMAENNAALEMIPTAVAAPQLIAEDWVACDSCQKWRLLPTGLKPEQLPEKWLCVMLNWLPGMNSCEFSEDETTKALYASYQMPISEGQNNLQTHAFETAFGVSSADASQFGLNHKKSNSNMLHDQGKKKPVFKEKIMSGKLSVQASGKNRNLNQHPTDSKPMKMKHPSRSNNTIDEKHTSEEREKQTSEGTRKYIKLKRKVDADQSSSGTHKKSKTEHVPYADKQPNPGMGLGKVVLNARGSLPTKASRKEVRKYDEFGLPEDDEDSLLVPEKKEGDQAEVTSGVGSLNVKSSSKNGSLRKKQKFNDWPDDETEKHNSSSSLHGNKQCGEQGSTSKLRKENQYKILNKEENFNADGDDKLRKGMVRRVSLPGSRDQTTVETEARYVDKDYQPMKRRKSIASHQALDGIAPLGSGRQLAFAATSSSSKVSGSHKVRTNFDDVKGSPVESVTSSPLRSSNLDKHVSTARHISVKDDAVKVNLSSKRGANNGKGKLSLKLKGDKISYNAHPAPNKLTSAECQVKEAKDKVRVQAKTSEIKSNRLLGVPVEEHDNNGMRHEEKVNKNKWDELYGKKPDKVASLQSIEKNRRSGSQVAMDKMKVLTSESFSKNGERHDSQVDPIGTETSYDAKYRSPMSKCDIDSVSQKSALRHGSTEAGKLTELKQNDFEKSVMKMDTQRLTGSKRKGAFQQNVTQDAEEENKSNHMCTERDRKSKVLLSAEGEIKRETFAVPRYQKGDISNENPASAGNSGLSKLVRNSADSSGNVLVTCSSGSVAPGQQLTVSSPVRTSAEQTAVGTLDDATKLKESADHYKNSGFDFESNVVYFDAGLKFLHGGSLLESSHNETSKYGEMSQMQIYSTAAKLFKSCAHEYESRQEMAAAALAYKCMEVAYMRVVYCKHSSTNRDRCELQSTLQVVSQGESPSSSASDVDNLNNQVAMDKATLPKVTNAHVAGNHVISVRTRPSLVRLLDFTQDINFAMEAATKCHSTFSAANATMEETRNRDCITSIKRVIDFSFQDVDELVRLVRNATKAISGAGLGGARD >CAK8577139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539920320:539921411:-1 gene:gene-LATHSAT_LOCUS29270 transcript:rna-LATHSAT_LOCUS29270 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKDNINLGEDLEIEILWQLPAKSLMRFRCVRKSWNILITSHFFVTGRSKLQIHENTSLLVLYTTPNVKFLLCDRDDEKPMLTKSVFSNNVATIKFYGSCNGVFCLKGIYPYTTHHNELIMWNPTTNQVHFIPPAPSLGNCYIDESLYGFGAVNNDFKVVKLNISTNHGRTRMLYLLSAEVYNINTKSWTPIINHPPVITVTRQDPPRYNTLVNGVYHWITVSHRSNASNILCFDFHNNQFQQLQAPYSRYLESFFFDDVAVIQDSLGYVVQHRFSTTILFEIWTREQNGWSKKYNIDPFSSMFKIFGPWSNGDEILIGKVGQVLRSYDRHGNALRQFQIDILENECFWIYEFVPSIALLLK >CAK8535757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879689552:879690047:1 gene:gene-LATHSAT_LOCUS5182 transcript:rna-LATHSAT_LOCUS5182 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPIMIADLKLGNNVWKLAIHIVDLWTVTERNGQQHFECVIQDSKCDKIHVVTRNRDFDLWKQRLQEHMTYMVYNGDPLNNNIPLKICENPLKLFFNSGTTITMVDLPEIPPHQFHFKPVVDFLHEDFQVNRLYDI >CAK8563730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627314920:627319891:-1 gene:gene-LATHSAT_LOCUS17109 transcript:rna-LATHSAT_LOCUS17109 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEQLADTKKRKRINTESHKSPSKPKVTKFVPSKKPKPHSDDKEKKTVPLTGRERRINAKELADARKKKRRRHYTLEQDLARLWEKMRRHEIAKADRSKLVTEALTKMKGKIHEITGSHITSRVLQTCVKHCSQAERDEVFEELRPHFLTLAYNAYAVHLVKKMLDNASKKQLAGFISTLRGHVAPLLRHMVGSVVVEHAYDLASAAQKQELLLELYSTELQLFKDLVSAKETRLLDVMSKLGLQKGAVSRHMSSVIQPILEKGIVDHSILHRVLLEYFSIADKSSVTDILQQLSSPLLVRMIGTKDGAKIGVLCLKYGNAKERKKIIKGLKGHVGKTAFHQHGCMVLVSILSVVDDTKLITKVIIRELQSILKELVLDKNGRRPLLQLLHPNCSRYFSPDELASMNSSIPSLSLKDQSEASSQTENSKVSIDDNDSKEDIEVKVPEVNEDKTSEDDTDLAESGKKDPFVRRQELLINSGLAESLLDICIENVGELIRSNFGKEVIYEVATGGFGGILHPTLDDKINSLHKAIASLAGVPKSEDSQEEHVFENFFSSRTIRKLILDCPDFASVLWEEALKGKTELWAHGHSCKIILAFLESSDSKVQKLAKKELQPLIDNGTLKNPKSKEQASQ >CAK8577696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583893609:583894085:-1 gene:gene-LATHSAT_LOCUS29778 transcript:rna-LATHSAT_LOCUS29778 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNVYDPFSLDLWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKMEQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8530745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46512496:46513168:1 gene:gene-LATHSAT_LOCUS588 transcript:rna-LATHSAT_LOCUS588 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVRSNNNSPDFAILVEGVSALVSNVIDTGCYRGFMVKDEVSVEIIQFADDTLLIGRGGWHNLWTIKEILRGFELVSGLCVNFNKTHLIGLNVSSHFLFFLLRNSNWLDPETWSYLIDDFEKNFGLWKGRYLWFAGGSVEKSKIHWVKWDSVCRPKEQRDLGIKWIEVFNLEHLSKWKWRIL >CAK8569586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6070273:6077999:1 gene:gene-LATHSAT_LOCUS22423 transcript:rna-LATHSAT_LOCUS22423 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQLTCKRRKLRSKRRPTGFVHHQTSNSHIGIRTVGVDKACNFTTSNLCISIVTWNMNGQVSFEDLAEMVGGNVHDFDLLAVGLQEAPGNKVATMLSTALDETHTLIGKVTMQSLQLFLFGPKNAGSFIQELKADKQSIGGCGGIIGRKKGAVAIRINYKGIRLVFISCHLSAHGYNVEERNAECRHISHTLFSKTWNPYSRPSHVTIWLGDLNYRLQGIDTYPARNLIDKDLHYELHDNDQLLQQAGEGQIFNGFCEGTLTFKPTYKYNKGSSNYDTSYKVRVPAWTDRILFKIEDSDNIEATLHSYESLDQIYGSDHKPVKAHICLRLRQLQTES >CAK8543998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:654926853:654928535:1 gene:gene-LATHSAT_LOCUS12712 transcript:rna-LATHSAT_LOCUS12712 gene_biotype:protein_coding transcript_biotype:protein_coding MVYILLLISFPLIFLFLLNHRRKVSATTRRLPPGPPGWPIFGNMFQLGEMPHRTLTNLRQTYGPILWLKIGAINTMAILSAKEATIFFKNHDHSFADRTITETMRAHNYDKSSLALAPYGSYWRLMRRLVTVDMLVMKRINDTVSVRRRCVNDMLTWVAKEAVQLKDGRGLHLSQFVFFMSFNLFGNLMLSRDMFDMESENGSEFFKAVMGLMEWTGHANVSDLFPWLRWLDPQGLRRKMDRDMGKAIKFASTFVKERLDLEGVRDDDARTRDFLDVLLEFQRNENQNSLNISDKDLNIFILEIFLAGSETTSSTIEWAMTELLCNRECMLKVKTELNSVVGAKDLEESDIENLPYLQAVVKESLRLHPPIPLLVPRKAVEETEFMGYLIPKDTQVFVNTWAIGRDPDVWEEPLVFKPERFCDSDSKSYKTDYKGQHYEFIPFGAGRRMCAGVPLAHRILHLVLGSLLHRFDWELDSNVTALTMDMRDNLGITMRKFEPLLAVPKLVGF >CAK8573125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587459744:587467792:1 gene:gene-LATHSAT_LOCUS25609 transcript:rna-LATHSAT_LOCUS25609 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMMKVERFLSLPVLGVLSAMLFLYYTTIFIFLDDWVGLRTSPGTLNSFFFSLFASLSLFSFFICVLTDPGHVPPSFYPDVESTNSKDNAEQKKCDKCFGYKPPRTHHCRVCRKCVLKMDHHCLWINNCVGYWNYKAFFDFIVYATIASVYSTVLFICCVFQKEWGQIKESSLKFFYVMYGTMVVGLTLTLLSLTGWHIYLILHNMTTIEYYEGNRAKWLAAKTGQSYRHPYNIGAYKNITLILGPSMLKWLCPTAVSHLKDGVSFPTIRDNS >CAK8576888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522484785:522530574:1 gene:gene-LATHSAT_LOCUS29048 transcript:rna-LATHSAT_LOCUS29048 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGSDQKQIVATKVLPANWKKGKIYDSDIQITEIAQEECPICADEKWE >CAK8576890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522484785:522487265:1 gene:gene-LATHSAT_LOCUS29048 transcript:rna-LATHSAT_LOCUS29048-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQVVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8576889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522484785:522487265:1 gene:gene-LATHSAT_LOCUS29048 transcript:rna-LATHSAT_LOCUS29048-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQVVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8576891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522529475:522530574:1 gene:gene-LATHSAT_LOCUS29048 transcript:rna-LATHSAT_LOCUS29048-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDAPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEFADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGSDQKQIVATKVLPANWKKGKIYDSDIQITEIAQEECPICADEKWE >CAK8571837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482271498:482273183:-1 gene:gene-LATHSAT_LOCUS24463 transcript:rna-LATHSAT_LOCUS24463 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTPDFEEGVKGFVTWTFVQECCRHEGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWIWTYNGEQLPSNVHAETTNTHASSSRSHMEFDEQFNLIDEMIGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLIKMNTPLFEGSADSKLSMCERLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCSSLRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTCSGIMRHPSDGEAWKHFDRVHSDFAGEPRNVRLGLCSDGFTPYVQASAIAYSCWLVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGINAYLQPLIDDLKRLWIGEWTYDISHKQNFTLQAALIWTINNFLAYGMLSGWGTHGKMGCPHCIEFTKAFILEFGGKILWFDSHRRFLPRDHVFRRNKTDFKKDVRVKDLPPPRLSPEEIWNRVSELPKFTDYGEACRIEGYGVKHNWTKRSIFWDLPY >CAK8564423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673402111:673402578:1 gene:gene-LATHSAT_LOCUS17729 transcript:rna-LATHSAT_LOCUS17729 gene_biotype:protein_coding transcript_biotype:protein_coding MKASKQQRRCKALCCSSNRYSVSSSEEPESSSSSSVSDRFPSVSTLAHAMVQERLDQMIREKLETRHVERKMEKKREETKFVVMLAMEKCSYDPREDFRESMMEMITVNRLRDAKDLRSLLNYYLSMNSDEYQSLILEIFHEVCTNLFLSCKCKW >CAK8561477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241111542:241112714:-1 gene:gene-LATHSAT_LOCUS15065 transcript:rna-LATHSAT_LOCUS15065 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLEWKELGKEGSNDKWKNQKVRRRKNFLVRRMGLAKHFLLTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINKLYKIVIFWNNTLIELLRTSGFTPAELVMSQEKLVQEAVDALLDNGIRGQPMRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGHSVIVVGPLLSLHRCGLPPEIEIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRDICLHPLVCKGFNADFDGDQMAVHMPLSLEAQAEAHLLMFSTMNLLSPTIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNWFNCRNSQNEKMSNNNFKNLKYMKGKKKNPVFAIPMMQLELIDRKE >CAK8566706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453887701:453891049:-1 gene:gene-LATHSAT_LOCUS19818 transcript:rna-LATHSAT_LOCUS19818 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSDTADSTTLGYWLNWRVYLCVCSVLLCMLLASFTIWKRENSRNLAIDKRQNQQDRDLCGDEAWKPCLKSIHPACLLAFRVFSFSSLFATLTTKLHFSRGVTFYYYTQWTFTLVTVYFGFGSVLSVYGCYMYRKSTDSAFNDNISRIDAEQGPHLPLLYQDATNASRMERPADPDVNIQKSQIAPIWSYIFHILFQISAGAVVFTDCVYWLIIFPFLTLRDYDLNFMTVNLHTVNFILLFGDAVLNCLRIPWSGMSFCVLWTGVYVIFQWIIHACVSIWWPYPFLDLSSPYAPLWYLLLALMHIPCYGFFMLILEMKHYFLSKWFPSSYQC >CAK8567959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559421362:559434105:1 gene:gene-LATHSAT_LOCUS20964 transcript:rna-LATHSAT_LOCUS20964 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLLCTDFKLKQQGKSAPISSKGSLNFTKTSSLNFDSTLRSSRSHNQDSALFSDLFNVDSISRSSSSGFAPHSVNSPVYDKPVYDDESVSRATAFDDLLGGFGHSKKTVKNEKGVDDFDDLISGFGNSKPSSRQRPTPDTVSSSESTFSASKTISNTTEDTFKIFESASAPTGSSSGHFTDPLEEISKFTSSRSTKTYSSSNSNGVVYDNTDPFDGLGNSVPAFSSERTYRNGTSSLMPRSNTSSSWTRDKESFEKLSVRSPDRRTHNRIPVEHDQEFHQTPYGMPTYSSDSNKPVFGQRSTSPFYANDGFRQVDSRVDMSPKYEEKLVSEDDIWLTVLEIPLSTQPTAAPPPLRPPPPRPVHIPKSETGSPAFVNVRKENGFSSVPSSTRCSQDPKYAPATAKSSSASQFDEPKDFTMARSHGDDDERENGDPDEELEMNSAATAMKEAMERAEFKFRHAKEVRGRENTKPARSKESIHSEKGDRAVPEGRGRLDHEQKQKEMEEMERRRIERKMEEKEREQRRLEREREKERQAVERATREARERAAAVARQRAEKAAVEKANAEARVRAERAAVQRVQAEARERAAAEAKERAEKAAAGAKGREARERAAAGRTEAEMRVKVERAAVERAAAEARERAAAAARMYQQKKENDLESFFSMSGRANSAPRPPRSSSSDSTFDAQFQPDVSRKSTSTSSNVKKASSSNNIVHDLSSIFGASSSAGGFQEIEGESEERRKARLERLQRAQERATIALAEKNQRDLQTQREQAERHRFAETLDFEVKRWAAGKEGNLRALLSTLQYVLWPECGWQAVSLTDLITAATVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >CAK8577905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596680270:596685212:-1 gene:gene-LATHSAT_LOCUS29968 transcript:rna-LATHSAT_LOCUS29968 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPEPEPIPATSSLSSSSIPVPSVEQDMATKSTMIPATSWFTPKRLLAIFCVINMLNYLDRGAIASNGVNGHRETCTGGTCKSGSGIQGDFNLNNFEDGVLSSAFMVGLLLASPIFASLSKSVNPFRLIGVGLTVWTIATLCCGLSFDFWSITFCRMLVGVGEASFISLAAPFIDDNAPVAQKTVWLSIFYMCIPGGYALGYVYGGLVGSHFGWRYAFWVEAILMLPFAILGFVMKPLQLKGFVPADSKKVSALETVPLGVQDVEASNGNNESHEPSKPKCRCKVLNQVSIFLKDMKALLSEKVYVVNVLGYIAYNFVIGAYSYWGPKAGYSIYNMTNADMIFGGITIVCGILGTLAGGFALDYMTNTLSNAFKLLSFTTFVGGAFCFGAFVFKSMYGFLAFFAIGELLVFATQGPVNYVCLHSVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGVVQDSINNWRTTALILTTIFFPAAAIWFIGIFLHSVDKFDEESEHQVSRVVGTSTTTAPLLEDKTRETLPFSQS >CAK8539207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506676361:506679498:1 gene:gene-LATHSAT_LOCUS8325 transcript:rna-LATHSAT_LOCUS8325 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRSGLSESSNKAASPATPSKATLGKVPPATPNKTSPATPRVSKLGRGVSKPESESPSPSPLQASRLSAEKSSPRSLNSKPIAERKSPRPTTPADKPAPRIAVKSSELQTQLNVAQEDLKKAKEQLVQAEKEKVKAINELKEAQKVSEEANEKLREAMVAQKRAEDDSEIEKFRAVELEQAGIEAAQKKEEEWQKELESVRNQHALDVSALLTTTQELQRVKQELVMTCDAKNQALSHADDATKIAELHVEKAEILSAELIRLKGLLDSKMETEASENKIVLELQTEIEALRQELEKAKGYGERLAEKEALIEQLNIEFEAAKMTESYAHSVLDEWGKKVEELELKVEEANELERSASLSFESATKQLESKNELLHDAQSEISSLKEKVAMLEMTIGRQRGDLEDSENCLLVAKEESLEMSKKIESLESEIEAVNKEKAQALNNEKISASSVQALLEEKSKLINELEISRDEEEKTKKAMDSLASALHEVSAEARESKEKLLSYQAEHENYETQIHDLKSVLEASEEKFESMLNNAHHEIDVLTSSIENSNIDIANSKAEWEQKEHHLVSCLKKTEVENSSLGNEINRLISLLKETEEEANVKREEEAQLKENMKEVEAEVIHLQEALKEAHAENMKLKESLLDKENEFQNIFQENEDLRSREYTSIKKVEELSKSLEEATTRNRNEENGDLTDSEKDYDLLPKVVEFSEENGHGGESGISKDELLVSVSANEENIVLKDKFEKTESFKSDNVNGKPKKVDEGKGKDDSVELKMWESCKIEKKEFSPEKVTEPEESFEEEVESKIDDSEPNGTSVTENIDDGGSSPTKQQVVKKKKKALLGKFGSLLKKKSGGK >CAK8535279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833792322:833792825:1 gene:gene-LATHSAT_LOCUS4751 transcript:rna-LATHSAT_LOCUS4751 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNIHVASAQDYPEDYLNLHNEARSALSGWNMSDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLYGENIAVSTGNMSGKEAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8541961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:442989412:442990956:-1 gene:gene-LATHSAT_LOCUS10841 transcript:rna-LATHSAT_LOCUS10841 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVATDVAATEIHVVGLPPLGKKRKPNANGPRKSSPAWDHFIKLPNETEPVAACKHCHKKYLCDPKSHGTSNMLAHTKICTKRPQNDPTQTALSFASGEGGGLVAASQRFNLAACRKAIALFVILDEHSFRVVEGEGFKFLCKQLQPQLTIPSRRTVARDFFQLFVDEKVRLKGYFKSDCIRVALTTDCWTSIQNLSYMTLTAHFINNDWKYENRILSFCLVPNHKGETIGRQVEEILREWGIRNVSTITVDNATSNDVAVAYLKKRINNMGGLMSDGSFFHLRCCAHILNLVVRDGLKQNELSICAIRNAVRFVRSSPQRSSKFKECIEFARINCKKLLCLDVPIRWNSCYLMLDAAEKYQAAFEKMEGEDFSYLEFFGLVGPPTLNDWDNVRCLVSFFKIFYEATMEFSSSKQVSLHKAFHQLASIHCELKRSCMNLNTILASMGYEMKQKYDKYWGEIENINKFIYFGVIFDPRYKLGYVEWCFNDMCCTQICPTFT >CAK8542069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:468325425:468326222:-1 gene:gene-LATHSAT_LOCUS10941 transcript:rna-LATHSAT_LOCUS10941 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTTIIGPQYCAPYQLDLAVVKKVMTISDGNFAVTDVNGNIVFKVKGSLLTLRAHRVLLDAAGNPITTLRRKILTMHDGWEAYRGESTNAKNLIFTLKRSSLIQFKTKLDVFLASNTKKHVCDFRIKGSWLKRSCKVYAGESNNIVAKMHKKHTVKSILIGKDHFMVTVYPNVDYAFIVSLIVILNEINADEENG >CAK8562895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554171507:554171932:-1 gene:gene-LATHSAT_LOCUS16357 transcript:rna-LATHSAT_LOCUS16357 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDMMEFQRVLSYFDEDGDGKISLTELRSRMRKIGGEFELKEAEIAIESLDSDGDGLLSLEDLITLMESGCEEEKLKDLKEAFEMYDNEGCGFITPKSLKRMLKKLGDSKSIDECKLMIGRFDLNGDGVLSFEEFRIMMK >CAK8533458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620299043:620301469:1 gene:gene-LATHSAT_LOCUS3081 transcript:rna-LATHSAT_LOCUS3081 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLLKPTSFYKPSTPFSSFHLTTRGSSFSFNPISSFPQKSNLSLKVQAAAIGSVPIKKRIDGSENLTLDHIRHSLIRQEDSIIFSLLERAQYCYNKETYDPDAFSMDGFHGSLVEYMVKETEKLHAMVGRYKSPDEHPFFPDGLPEPLLPPLQYPQVLHPIAESININGNVWSVYFRNLIPQLVKEGDDGNYGSSAVCDVMCLQALSKRIHYGKFVAEAKFQAAPDSYKAAIIAQDKEKLMEMLTYPEVEEAIKRRVEMKAKTYGQEVIINMEEQKSEPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRKLD >CAK8530749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46820755:46822036:-1 gene:gene-LATHSAT_LOCUS592 transcript:rna-LATHSAT_LOCUS592 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNSTFNGNANAVLNVNDDATKIYDDSSIEDHVPLLQKLVAEVVGTFFLILIGCGCVVTNLNNDNVVTLPGIAFVWGFCVMTLVYSLGHISGAHFNPAVTIAHASTKRFPLSQVPPYIIAQFIGSLLASGALKLIFSGKENQFVGTLPAGSNLQAFVIEFIITFYLMFIISGVATDDRAIGELAGLAVGSTIILNVLFAGPITGASMNPVRSLGPAIVHHEYRGIWAYVFAPILGALAGTWAYTFIRITNKPVRELTKSGSFLKDSRCK >CAK8544176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670591406:670593319:1 gene:gene-LATHSAT_LOCUS12877 transcript:rna-LATHSAT_LOCUS12877 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENEVALEEEKRVIGVTDEKDINKEDANVCGAEIQNENEASELESHVSGDDTVESEASKHAKETKSRESVASKNNKVVKDKSNLKGTTSISRKQRPTLSQSFSFPAKSTGGDSMQKSIDDSLVKTKVKNAKASNLRHSRKSTNSVFGRSTSLGAATESHTSDASLSATLPQRNKCSGFSSRLEIRAEKRKEFFSKLEEKVQAKEVEKSHQQVKSKENQEAEIKQLRKSLSFKATPMPSFYKEPPPKVELKKIPTTRPRSPKLGRNKESSCSSPRENQPQSDSAKAKIIKGHKDVILKKPIMKTQDIVKFQENETKGSNEERTNESETDHSTNDHALSLNSTAPEIVSYEASVEV >CAK8564860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13607611:13608544:-1 gene:gene-LATHSAT_LOCUS18117 transcript:rna-LATHSAT_LOCUS18117 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMCPPPEKIKTKGGVKNKDKKPIGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPLQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDHLTVVRESLMIEEFGPQPPHKWLTLPYMGYVIANRYNVVLVCLGIDCWSFFPMTSSFSSNVAIYCVGFVNRNHWVNMKEGFPLPPVIVDWKKFRSPAPTSWMIGFAGRLQHWQQLTPILPTHYVL >CAK8571604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447440352:447443572:1 gene:gene-LATHSAT_LOCUS24248 transcript:rna-LATHSAT_LOCUS24248 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGVLLGMGNPLLDISAVVDEDFLKKFDIQLNNAILAEDKHKSMYDEMSAKFNVEYIAGGATQNSIKVAQWLLQVPGATSYIGCIGKDKYGEEMTKNSRLAGVNVQYYEDENTPTGTCAVCVVGGERSLIANLAAANCYKVDHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVGEHAAANNKVFTMNLSAPFICEFFKDVQDKALAYVDYVFGNETEARTYSKVHGWETDNVEEIALKISQLPKANEARKRITVITQGADPVCVAKDGKVTLYPVILLPKEKLVDTNGAGDAFVGGFLAQLVQEKPIEECVRAGCYAANIVIQRSGCTYPEKPDFH >CAK8568547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615391628:615395082:-1 gene:gene-LATHSAT_LOCUS21494 transcript:rna-LATHSAT_LOCUS21494 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSIVFSTVLLCLVHSAFCFYLPGVAPQDFVKGEVLEVKVNKLTSIKTQLPYSYYSLPYPAPKKIQDSAENLGEVLRGDRIENSLYVFKMREPQMCNIVGKVKLDAKNAKEFKEKINDEYRVNMILDNLPLVVPIKRNDQDSTVYQLGFHVGLKGQYSGSKEEKYFIHNHLAFTVKFHRDVQTESARIVGFEVKPFSVKHELDGKWDEQKTRLTTCDPHAKHTVMNNNSPQEVDENKEIIFTYDVDFLESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIAKYNELETQEEAQEETGWKLVHGDVFRPPVSSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLVWVFMGIFAGYSSTRLYKMFKGSEWKKIALKTATLFPAIVSVIFFILNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFRKPAIENPVKTNKIPRQIPEQAWYMNPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILVVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAIFYFGYMLIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >CAK8537861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442581447:442581938:-1 gene:gene-LATHSAT_LOCUS7114 transcript:rna-LATHSAT_LOCUS7114 gene_biotype:protein_coding transcript_biotype:protein_coding MDITKELPQSITIADHAGEKMQQSIEYEWRPLFCNKCQKVGHCCEKPKMRKQWIPRNVKQPEANEMSNKSGDANKEPLEIGNVNLDIVQSRKENDGSTAVEVTSIRNKDVEKVMEKWTEVIKSGRDRGKKLGNVEAAGVSSHDNGFDALEILKDLLEAQNTGQ >CAK8579001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666632048:666633994:1 gene:gene-LATHSAT_LOCUS30978 transcript:rna-LATHSAT_LOCUS30978 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQGCEVEAIGINYRIHTNKTEHPFKIFSKSPQLDNANGQETEDEEEGRGEKSCSGVRRVLKNVSFQAKPWEILAIVGPSGAGKSSLLEILAGKHTPQKGSVLLNQKPVDKSQFRKLSGYVTQKDTLFPLLTVEETMMFSARLRLKLPQQQLCSRVKSLIHELGLDHVAGTRIGDDRVRGISGGERRRVSIGVEIVHDPKVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFRIVKLFNSLLLLANGSVLHHGSADLLSLNLRLMGLELPLHVNVVEFAIDSIDTIQRQQQCQVETEMPRLLQGTMQQKKVADNEQQPGESKIGKFTLQQLFQQSKVIDEDIVNNKGTGMDFSYDFANSRLRETMILTHRFSKNIFRTRELFACRTIQMLISGLVLGSIFCNLKDDLKGTEEKVGLFAFILTFLLSSSIEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAILFTVPLYWLVGLNTNFTAFLHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVINGVIGSFFLFSGYFISNHEIPNYWIFMHYISLFKYPFEGFLVNEFSNSKKCLEYMFGTCVMKGEDVLKEEGYGGEGSRWKNVGVTVGFIMVYRFISYVILRYKCSERRV >CAK8571303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:367816275:367816874:-1 gene:gene-LATHSAT_LOCUS23977 transcript:rna-LATHSAT_LOCUS23977 gene_biotype:protein_coding transcript_biotype:protein_coding MLVENGVPDSSISRLLQANSMVLNTNGLLNLVQELKDLGFNPSQSIFSIALHAKRTVMKARWKEKVEAFKKWGWSDEDVLEAFRKQPHCMLTSVDKINIVMNFWVNQLGWDAMAIAKVPRILGASMERKVIPRALVVQYLLKKGLQKKNASLTSPFLISDKKFIDKYINPFKEEASYLLKLYEEKLSLAHDKDNKDVMI >CAK8540338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553538052:553538462:-1 gene:gene-LATHSAT_LOCUS9352 transcript:rna-LATHSAT_LOCUS9352 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8536632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3048472:3053800:1 gene:gene-LATHSAT_LOCUS5976 transcript:rna-LATHSAT_LOCUS5976-3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVAASSATSLGGLTNESGDSVVTLDQVPRWIDVDHFLESDNGDSSYSNPYFPDPLESKSGTESGAGGSVSRFPVDHEINLRIYLWTGNPWNLEVDAVVNSTNEVMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVSNAYDLPARKVIHTVGPKYAMKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKSYPREPAAHVAIRTVRRFLEKQKNNVTAVVFCTTSTIDIDIYKRLLTLYFPRDKHEEEVALSKLPADVGDENGETTIDERKIRIKPLPKKKGPKPSQEPVDLPVSDVGMFRRTSSYLDSFLDPAFMSLIKDPDERRLEQWEKTVQAQRGWNFANFLGFGDLGGPPLSAAEEYSLHSRFLSKAKSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYSHSAASLQVQPDLGWMKRLQQILGRKHQQNLHVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >CAK8536630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3048472:3059400:1 gene:gene-LATHSAT_LOCUS5976 transcript:rna-LATHSAT_LOCUS5976 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVAASSATSLGGLTNESGDSVVTLDQVPRWIDVDHFLESDNGDSSYSNPYFPDPLESKSGTESGAGGSVSRFPVDHEINLRIYLWTGNPWNLEVDAVVNSTNEVMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVSNAYDLPARKVIHTVGPKYAMKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKSYPREPAAHVAIRTVRRFLEKQKNNVTAVVFCTTSTIDIDIYKRLLTLYFPRDKHEEEVALSKLPADVGDENGETTIDERKIRIKPLPKKKGPKPSQEPVDLPVSDVGMFRRTSSYLDSFLDPAFMSLIKDPDERRLEQWEKTVQAQRGWNFANFLGFGDLGGPPLSAAEEYSLHSRFLSKAKSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYSHSAASLQVQPDLGWMKRLQQILGRKHQQNLHAIYILHPTLGLKVTVLALQLLVNNVVWKKVVYVDRLLQLFRYVLHEQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >CAK8536631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3048472:3053800:1 gene:gene-LATHSAT_LOCUS5976 transcript:rna-LATHSAT_LOCUS5976-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVAASSATSLGGLTNESGDSVVTLDQVPRWIDVDHFLESDNGDSSYSNPYFPDPLESKSGTESGAGGSVSRFPVDHEINLRIYLWTGNPWNLEVDAVVNSTNEVMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVSNAYDLPARKVIHTVGPKYAMKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKSYPREPAAHVAIRTVRRFLEKQKNNVTAVVFCTTSTIDIDIYKRLLTLYFPRDKHEEEVALSKLPADVGDENGETTIDERKIRIKPLPKKKGPKPSQEPVDLPVSDVGMFRRTSSYLDSFLDPAFMSLIKDPDERRLEQWEKTVQAQRGWNFANFLGFGDLGGPPLSAAEEYSLHSRFLSKAKSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYSHSAASLQVQPDLGWMKRLQQILGRKHQQNLHAIYILHPTLGLKVTVLALQLLVNNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >CAK8568985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661689739:661690509:-1 gene:gene-LATHSAT_LOCUS21885 transcript:rna-LATHSAT_LOCUS21885 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFKMKFTIPSLQMCRSNDLSSFPGNPVPAIYRLSPVNSKAHDIGYPNISPSSSPEHQCKVSSKINKAKSKGRKSTSSVPSKKSEFLIDKINEEESETLISCLSRLSNDNDYTAIHDNTGSKEIISRDRRRINSVKKVERVRFQSTIENQRGVETKVTRSRMEEKVKESFAVVKKSKDPYEDFKKSMMEMIEEMEMSEAKDLEQLLQCFLALNSRDYHGVIVRAFMEIWQQMFVWNPKSSRNIQTNVQSMEVEK >CAK8537116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:101064629:101065645:1 gene:gene-LATHSAT_LOCUS6430 transcript:rna-LATHSAT_LOCUS6430 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDETQQTSKSAKDNSINNQPGSGGRKTSSSTSSSTKPQEQNMKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKRVKSSSRLSCDSKDSATSSSEFVGGLKFLHSLSSPSMDFHLGNGLPFPRLQLQHHPPLFNHFPSYGETSSAMNYPATSNSVAAMNYPFSTGNSNYNGAIQGLSSMNINHGNNNIASSIESLSSMNQDLHWKLQQQRLSMLFGGENQKDNNNQTEKPLQPILFQNLEVSKPAGGNFSVGNSRREGTNGGGDTPTEWFFGNSYGSAAATPTASGGAGHDNGSNWNGGGVHAWNDVQQQYSAMP >CAK8559968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2664871:2669876:-1 gene:gene-LATHSAT_LOCUS13693 transcript:rna-LATHSAT_LOCUS13693 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEDEEIAEEVEAMKSVYENDCIILHSVPPHFHLSLKPRTADVSSHQFVEIVLEVHATPQYPKEPPSVALVDCKGLDQQRQKHLLNHIQTKANELSPGLMLVALCEEAVEKLSDMNHPDGDCPLCLFPLVTEDHQSETLPFMKLMSCFHCFHSECIIRWWNWLESSKQTGFSNSDNATAHRTRDNNEKLEEGAGNCPVCRKPFHAKDLDHVLDLVGSHSSRESLNNEVDNEEDILQSEDEIIRKQRFDAILSLQKENNGLIEPKKDIVILPGMYLQQPVAVPDSTSTKEPNETEQQHESDLPAVGSGKHIRGTSNGPSSSGNRNFGARRHRPRGDHHHSSSTARPRDDQNHSSSTARPRGDHHHSSSTVRPRDDQNHSSSTARPRGDHHHSSSTVRPRDDQNHSSSTARPRGDHHHSSSTARHPRKPAQQWVRRDAPSNKQ >CAK8536761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14467267:14468851:1 gene:gene-LATHSAT_LOCUS6094 transcript:rna-LATHSAT_LOCUS6094 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGGAKANNLEVIFLPIFITSHTIPMIDMARIFAMHGVNITIFTTAGNTGIFQKCIERDISHGRCIKMQILEFPAKQVGLPVGLETVNANTPYETAIKILEGCQLLRPQIENYLFDKLFEVDCIVSDMFYTWSVETAAKLGVPRFVFCPSSIFSRCAELSFMQHTAHTEGKSEYEKVMIVGLPHKLEIARMQLADWLAKPTEFRSIMKVVDDSTKSSYGAVFNSFYDLEGAYEEHYKTVFGTKCWSLGPVSFWANQDLSDKAERGDEKVEEGDVLLQWLNSKKENSVIYVSFGSINKFLTSQLIEIAHALEASTHDFVWVVGKNSDVGGSEGEEGFMKEFEKRVKESNQGYLIWGWAPQLLILENKAIGGIVTHCGWNTTMESINVGLPMVTWPLFAEQFFHEKLLVEVLGVGVSVGVRKWREWDDMGNDVVKREDIGKAVGLVMGNGKEANEMRSRAKALSEDSKKAILVGGSSHTNLMQIIEELESLKLQRLNGGM >CAK8569783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:10729484:10735118:-1 gene:gene-LATHSAT_LOCUS22593 transcript:rna-LATHSAT_LOCUS22593 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKIDTAEKKLLVDIVKLVQKKGMKGKLGGWKEFLNTKDKKFGSCMSDPSKRSHEVLAEFLKTFSSDEDLKFIGNIMRQHSNQYTLERLKDRSHDSPEQSLVQATLQHPLYTSEYSLPYIDEGWLVINIKKKSKGIRSTTMLAVDCEMVLCEDGTEAVVKVCVVDNNLEVKLHELVKPEKAIIDYRTEITGVSSKDLETVTCSLADIQKSLKKLLSSGAILVGHSLHNDLSVLKLNYVRVVDTAYIFQPTDGSIRAKPSLNNLCQAVLGHEVRKKGAPHDCLDDACATMKLVLAKIKHGVDKPFPLTLVLEPVSESEMSKLLIHRIPTTVNSETLHEIVPGDFTIEQKPARSGQNDKYSALAIFKNQREACEAYENVQGSQTTDSNGRLQKRVTCRLSTGINVSVFVRTMGMDNHKKKLPSKRDLQDDETLDGSKNKKLKMDPEFEKDDALKALQVKHEIEKKVRLREIQALKQRLKESESEHLKEIDTLNNRLKERELEIESMKEQLLNQRSKESELEIESMKEQLRKKDFEISTLHRMVDCIQKKRKPPIKKAGKAGM >CAK8538663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:491147531:491148337:-1 gene:gene-LATHSAT_LOCUS7839 transcript:rna-LATHSAT_LOCUS7839 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNVFSPSPSFSRCISQPQESSEDLSTPPSKRSKGRPKGSKNKPKPPSIIKVEPETYMEPILIEIPAGEDVVESIIKTAWRHEADISVLRGFGLVSGITLLDSASQNSPFTIRGECQMISLSGTYVYPNSDRVPSEFIVAPDCSSFSIHLSGNHGQVFGGVVGGKVIASSVVMVTATLLRKPKFYRVASFDGSVREVEKTDRGGAIVRADAVALAPEHSSHNNTANVFHYGVGSSSSAQLNRQVLNAPHAYVNAMQWSNSRINNNH >CAK8564510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678938663:678942228:-1 gene:gene-LATHSAT_LOCUS17810 transcript:rna-LATHSAT_LOCUS17810 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRADYNPDQYLWEKEFSLAGRTYQRQDLELKNARGYTLKCSHYLPSPFPEDTSLPCVVYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKDDLKIVVSHLRSNKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYNLMMELVDVYKIRLPKFTVKMAVQYMRRVIEKKAKFDIMKLNCVLVAPKTFIPVLFGHASDDKFIQPHHSDLISESYAGDKNIIKFDGDHNSSRPQFFYDSVSIFFYNVLRPPQVSIAEKLEKYYDLGDLKLGSGVDESVLYEILSSLRSATTDAASSSSAFPTISATKSVTELLSEAAPLADAEPLFEDDTTDKNDRIGHDESTNGQGKLNGQIEDCCSYTSSTRESWGRCSSLGGSDQESFADLSADDKHSQNTVKVFATPLRSMKEKSSDPKEDEKTHKKNKKKKNKAETVVKKPKSDRFEKLEALSRRLRLCLLKGSIHRRNKST >CAK8577029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532689093:532689326:-1 gene:gene-LATHSAT_LOCUS29173 transcript:rna-LATHSAT_LOCUS29173 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEDEVKKASHGNDWEVISLTASTYAAAPGPDKVELKDDDKEDAYAPNEAERLNTLFLFDHFAFPPSRHEFAIGT >CAK8577607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578002680:578005720:1 gene:gene-LATHSAT_LOCUS29698 transcript:rna-LATHSAT_LOCUS29698 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPFTKPQALARTCFSYLFISLVFINGYQAEITREDNKVIPIGAIVDVNSRVGKEQRIAMDIAAQNYNKTSTTYKLALHFQEPTKNSFRATEAEEMIKKKVEVIVGMQTWTEAASVAELVHEAQAEVPIISFVAPTVSPPLMSIRWPSLVRLANNGTAYVKCIADLVHVYNWRSVVAIYEDDAYGGDSGMLALLSEALQEIDSTIEYRLALPSLSYLRDPGTFIHDELFKITENTQSRVFIVLQSSLEMAIHLFKEASQMGLVNGDSVWIISESVTNLLDSVNKSSISYMQGALGIKNYYSENSNEYKHFEAQFRRAFRDKYPDEDNRDPGYYALQAYDSIQMVGHAIDRMASGNGNHKRTLLQEIVSTNFLGLSGKIQFESQQLLQNPTLRVVNVDGKSYRELDYWTLENGFFTNISTEIGKVGSFRNLESLSGVVIWPGKLHRVPKGWNLPTKQKPMRIAVPGRTSFSKFVKVDYDDIDGQGNPKCSGFCIDIFEKVLELLGYDLPHEYYPINGTYPDLVQLVYNKTYDGVVGDMTILADRLQYVDFTVPYAESGLSMIVTENSRESTWMFMKPFTWQLWVATGAILVYTMFVVWYLEKDHNQEFQGTWKNQISTSFLFTFSSLFFAHREKMHSNLSRVVMVSWLFLVLILNSSYTASLSSMLTVQQLQTNVTDIEWLKKNDMKIGCDGDSFVRTYLEQVEKFKPGNIIQISNENNYDPEFKNYSIAAAFLELPYEKVYMSKYCKGYSASTPTTRFGGLGFMFQKGSPLARDVSKAILQLLEKGELKKLEDIWLNPKDACSNSLNSESTESLKLGNLWILYVISGATSTICFIVSAIHSLKSSQTPSDDSEEINGSRSYVNRWKKVVKLTSSKIYSRKLNKANMVKEDVNDCPSSTPQHQQQGMALQLPEIITVSSPPSE >CAK8574435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681674329:681676016:-1 gene:gene-LATHSAT_LOCUS26783 transcript:rna-LATHSAT_LOCUS26783 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPPSSSASTSSSSSQQQQSSISQTGLTRYGSAPGSLLTSTVDAVLGGSRLLPGTGHYFSGDSSHLQPQQQQPQQQQHQQQRSSYEGFDGSSLVRQKSSPAGFLNHLATLNHNNSAGFTITRGGNGGSRLKSELSFTGGGQGQECLSRISENGVDYAAVAAGNGSLHNSNWSGGPDSNNNNNNNNSNSIVFSSSVSQNQTNNKRSSRNDDDPDLLLHCLNALESQYSLPQTSMEMDKLMHIPQDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQTQVQKLHEDLENCTCGCKQNT >CAK8543562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620068570:620071246:1 gene:gene-LATHSAT_LOCUS12312 transcript:rna-LATHSAT_LOCUS12312 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFLGRVLFASVFILSAYQEFHDYGVDGGPAAKAIRPKYEAFINKVDSHVGFQLPEIDIKFLVAGAIGLKGVGGIFFILGSSLGATLLLLHQVITTPILYDFYNYDHEDKEYIQLFIKFTQNMALFGALLFFIGMKNSIPRRQPKKKVPKTKTY >CAK8540820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23544551:23545031:1 gene:gene-LATHSAT_LOCUS9787 transcript:rna-LATHSAT_LOCUS9787 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKGVKAPVAASKKKPEKVTNPRFSKSPKQFEIGGALPPKRDLTRFVMWPKDVQVQRKKRILKQRLKVPPALNQFTKTLGKNLGQ >CAK8571635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:453261263:453266349:-1 gene:gene-LATHSAT_LOCUS24277 transcript:rna-LATHSAT_LOCUS24277 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASEQWKWSEMQGIELLSSSPTTTSISTLELERGESKEQMELEGESSLPNNKSCDFSDGNEKIKNVTSVGFGELFRFADGLDYILMTVGTLGAIVHGCSLPLFLRFFADLVNSFGSNADDLDKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIKYLEAALDQDIQFFDTEVRTSDVVFAINADAVMVQDAISEKLGNFVHYMATFVSGFAVGFTAVWQLALVTLAVVPMIAVIGGIHTTTLAKLSGKSQEALSHAGNIVEQTIVQIRVVLAYVGETKALQGYSSTLRVAQKIGYRTGLAKGIGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLALGQSAPSMAAFTKARVAAAKIFRVIDHKPCIDKKSESGLELETVTGLVELKNVNFSYPSRPEVQILQNFSLSVPSGKTIALVGSSGSGKSTVVSLIERFYDPSSGEVLIDGYDVKTLKLKWLRQQIGLVSQEPALFATTIKENILLGRPDADQVEIEEAARVANAHSFIFKLPQGYETQVGERGLQLSGGQKQRIAIARAMLKNPSILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTICKADLVAVLQQGSVSEVGTHDELFAKGENGVYAKLIRMQETANESSTSNARKSSARPSSARNSVSSPIITRNSSYGGRSPYSRRFSDFSTSDFNLSHDASYPNYKLEKLAFKEQASSFCRLAKMNSPEWLYALIGSIGSIVCGSLSAFFAYVLSAVLSVYYNPNYKHMIREIEKYCYLLIGLSSAALFFNTLQHFFWDIVGENLTKRVREKMLSAVLKNEMAWFDREENESARIAARLALDANNVRSAIGDRISVIVQNTALMIVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMSGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSEKKIVGLFASNLETPLRRCFWKGQISGIGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRRTEIEPDDLDAAHVPDSLRGEVELKHVDFSYPSRPDMPVFRDLSLRAKAGKTLALVGPSGCGKSSVIALIQRFYDPTSGRVTIDGKDIRKYNLKSLRKHIAVVPQEPCLFATTISENIAYGHDSASESEIIEAATLANARKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARAFIRKAELMLLDEATSALDAESERSVQEALDRACLGKTTIIVAHRLSTIRNANLIAVIDDGKVEEQGSHSHLLKNHPDGIYARMIQLQRLTNDQVVGTASSSGSSARTKDDEVEC >CAK8579387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695228374:695232364:1 gene:gene-LATHSAT_LOCUS31337 transcript:rna-LATHSAT_LOCUS31337-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEPSIPLVSFLERLQQTAFDTFNDSKFDPKTYVDLPLKFPLSATEHAFQSLPKSSNGSVSVHDLKVFIDKHFEGAGDDLVYFHPEDFVPEPEGFLPKVKNHEVRAWAVKVHSLWKNLSRKVSSEVKAHPSYHTLLPVPGSVVIPGSRFREVYYWDSYWVIRGLLVSKMYKTAKSIVINLISFIDEYGFVLNGARAYYTNRSQPPLLSAMIYEIYSHTGDVELVKRSLPALLKEYEFWNSDLHKVTVSDAQGCTHTLNRYNARWNKPRPESSTMDKASASKFSTVSEKQHFYRQLASAAESGWDFSTRWMRHPPNFTTLSTTSVIPVDLNAFILGMELNIAFFAKVTGDNSTAKHFLQNSDVRKEAINSVFWNTNKKQWFDCWLSNATSEVHVWDTLHQNQNAFASNFVPLWMKPFYSDATLVTNVLKSLKTSGLLHAAGVATSLTNSGQQWDFPNGWAPLQHMLVEGLVKSGLEEARSLAEEIAFRWITTNYIVYKKTGVMHEKFDVEKCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRNIKC >CAK8579388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695228374:695232364:1 gene:gene-LATHSAT_LOCUS31337 transcript:rna-LATHSAT_LOCUS31337-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEPSIPLVSFLERLQQTAFDTFNDSKFDPKTYVDLPLKFPLSATEHAFQSLPKSSNGSVSVHDLKVFIDKHFEGAGDDLVYFHPEDFVPEPEGFLPKVKNHEVRAWAVKVHSLWKNLSRKVSSEVKAHPSYHTLLPVPGSVVIPGSRFREVYYWDSYWVIRGLLVSKMYKTAKSIVINLISFIDEYGFVLNGARAYYTNRSQPPLLSAMIYEIYSHTGDVELVKRSLPALLKEYEFWNSDLHKVTVSDAQGCTHTLNRYNARWNKPRPESSTMDKASASKFSTVSEKQHFYRQLASAAESGWDFSTRWMRHPPNFTTLSTTSVIPVDLNAFILGMELNIAFFAKVTGDNSTAKHFLQNSDVRKEAINSVFWNTNKKQWFDCWLSNATSEKVHVWDTLHQNQNAFASNFVPLWMKPFYSDATLVTNVLKSLKTSGLLHAAGVATSLTNSGQQWDFPNGWAPLQHMLVEGLVKSGLEEARSLAEEIAFRWITTNYIVYKKTGVMHEKFDVEKCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRNIKC >CAK8579386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695228287:695232364:1 gene:gene-LATHSAT_LOCUS31337 transcript:rna-LATHSAT_LOCUS31337 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFYLSLSFSLLFTLTLIVTVTESSNFMDDVEPSIPLVSFLERLQQTAFDTFNDSKFDPKTYVDLPLKFPLSATEHAFQSLPKSSNGSVSVHDLKVFIDKHFEGAGDDLVYFHPEDFVPEPEGFLPKVKNHEVRAWAVKVHSLWKNLSRKVSSEVKAHPSYHTLLPVPGSVVIPGSRFREVYYWDSYWVIRGLLVSKMYKTAKSIVINLISFIDEYGFVLNGARAYYTNRSQPPLLSAMIYEIYSHTGDVELVKRSLPALLKEYEFWNSDLHKVTVSDAQGCTHTLNRYNARWNKPRPESSTMVCLDKASASKFSTVSEKQHFYRQLASAAESGWDFSTRWMRHPPNFTTLSTTSVIPVDLNAFILGMELNIAFFAKVTGDNSTAKHFLQNSDVRKEAINSVFWNTNKKQWFDCWLSNATSEKVHVWDTLHQNQNAFASNFVPLWMKPFYSDATLVTNVLKSLKTSGLLHAAGVATSLTNSGQQWDFPNGWAPLQHMLVEGLVKSGLEEARSLAEEIAFRWITTNYIVYKKTGVMHEKFDVEKCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRNIKC >CAK8567499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518867470:518869119:1 gene:gene-LATHSAT_LOCUS20546 transcript:rna-LATHSAT_LOCUS20546 gene_biotype:protein_coding transcript_biotype:protein_coding MRFICQRVISLSLVLVFLSTLSCAINTGDEFANKNIKSATFISKMFEIGPGKVAAKTFMDVEFPKGHVGIKSFDAELVDEEGNSVPLYEAYLHHWFAVKYHVKDWKMLKIIPKDPLEGAKYIRNQGTCSDYILPAYWGLGGESRGTKSNIPDPYAVEQGNPSYVPIGYDEEKWLLNLMLIDTRGTKHRKHCTECRCNHFNLPKNFYNVTRGIDGKPLSSNYKGGIFCCQDDLQCKLKKGFEAPTRKLALRYKITWVDWNQRQIPVRFYILDSTDRVRTNGSQIIHDCRAEFTIPPNNGKKHSPPHIQKANIPIERGGYLIYGTSHVHTGVINATLYGQDGRILYTSKPTYGNGKEPGNEKGYVVGMSGSYPKPGSIKIKDGEIVTVEVRYKSGFLTGAMGHMYIYLADRLP >CAK8561609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:319230486:319232934:-1 gene:gene-LATHSAT_LOCUS15186 transcript:rna-LATHSAT_LOCUS15186 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESGSSITNNNADEFAVGCFLSIRTTLGDEFEGQVVTFDRATNFLGLLEGSKHGPLRNIRILSADYIKDFTFLGQGHDPLPIDSHLDLHTLQSRELLAIRQAEAEAERIGVGVSSEAQIIFDALSKTLPVHWDKTVIVVMNEVRVSSPYHSECVIGGTPAANDRVKKVLEFERKRLQLRG >CAK8532304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:244140364:244140837:1 gene:gene-LATHSAT_LOCUS2028 transcript:rna-LATHSAT_LOCUS2028 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQDWPHDKAGKRGKVQVNSSFTLRAGRRLYLKQDSTSEKLSFGPSGNEEALAQKFTSKKWRKITPFKEFPSSLPAAKLASDDIDVTMNLDDPLNQNIDIAKNVLQELRTQIPPEIFDLSKVVCGTCVDSRLLVLRGVNGSALLFTRSFVDSNSSS >CAK8566724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456034861:456040437:1 gene:gene-LATHSAT_LOCUS19836 transcript:rna-LATHSAT_LOCUS19836 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQKTTSPSASPCCPPRDSSGLAVVAAAAVKSDRIPNTVALIRPLDSIPESTPTNVPSAKGIPSMTRPQSSHPLDPLTAAEISVAVATVRAAGATPELRDSMRFIEVVLLEPDKNVVALADAYFFPPFQPSLLPRSKGGPVIPTKLPPRCARLVVYNKKSNVTALWIVELSQVHAVTRGGHHRGKVISSRVVPDVQPPMDAEEYAECEAVVKSFPPFIEAMKKRGIEDMDLVMVDPWCVGYHSEADAPGRRLAKPLLFCRSESDCPMENGYARPIEGIYILVDMQNMVVIEFEDRKLVPLPPVDPLRNYTPGESRGGSDRSDVKPLQIVQPEGPSFRVNGYFVEWQKWNLRIGFTPKEGLIIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFVCTVANYEYAFFWHFYQDGKIEAEVKLTGILSLGALMPGEYRKYGTMIAPGLYAPVHQHFFVARMDMSVDSRPGEALNQVVEVNMKVEEPGENNIHHNAFYAEETLLKSESEAMRDCNTLTARHWVVRNTRTGNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNVWVTSYARDEMFPGGEFPNQNPRAGEGLATWIKQNRPLEETNIVLWYVFGITHVPRLEDWPVMPVEHIGFMLMPHGFFNCSPAIDVPPNSCEVESKDTDIKDNGASSKPIQNGLASKL >CAK8540861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26299303:26300493:-1 gene:gene-LATHSAT_LOCUS9823 transcript:rna-LATHSAT_LOCUS9823 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTYCSLGSYPSYNPNSTSSSSSHSYPPFTYLTPDQNASSNNNTNNINTFLHDPTISVPYTQTSHHHQHHHVPMNPETLTNWAVADYAAMLKQDLSGSSSHYNFSNLLAKKPAKKPAKKDRHSKIHTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLEWLFNKSKEAIEELTRSKNNIASGDDDVDDHSFSTSSSEGEDEARKMKRAQKESSKMKDSREKARTRARERTSEMKIQDLKEKYPETDHNQQILHQLMPNDEENLKLAQRDDIFNFIEESIVIKRKLKKSSSQHHHQQNISFDHNDSPMITPNWDTNNNNNAAATGRSNFSAISRMNLSSGLQIFGKSWEECNNSPNRY >CAK8531796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152329354:152329698:1 gene:gene-LATHSAT_LOCUS1562 transcript:rna-LATHSAT_LOCUS1562 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEELKFDDGTRERAYGHALVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEIVTNDALLSKDKKVTALKEAKKRFEERFKTGKNRWFFTKLRF >CAK8568814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643552178:643552748:1 gene:gene-LATHSAT_LOCUS21737 transcript:rna-LATHSAT_LOCUS21737 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGPCGDAFRHVVSAMQYQNFNPETFVDPCYMREAYKICYENNVSPINGMDMWHAVDVENMFPHQYKKGPSRPKKLRFREQDESGSRIKRHGVAYRCTKCDKFGHNLRKCQSKEQDPNALKRKKKTPRTKASSSAAKIEGNTETNVGESVSEMKEPHDDNL >CAK8566757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459993510:459996404:1 gene:gene-LATHSAT_LOCUS19867 transcript:rna-LATHSAT_LOCUS19867 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYSVSCKRHSKHHTKRQHHVVSKQPSAQNHSLILSTSQNIPPMLPAFVSLLLLLTSITPSHSHHTIVSDSTQHIKSNQTFKAGEVFLKLSRIRTHLKKINKPPVKTIQSPDGDLIDCVLSHQQPAFDHPKLKGHTPVNPPERPKGYNNNGENVSERSFQLWTVSGEACPEGTIPIRRTKEEDLLRANSIQRFGRKPKPVKKDSTSSDHEHAILFVNGNQYFGAKANINVWTPQVTNVYEFSLSQIWVIAGSFGDDLNTIEAGWQVSPELYGDKYPRFFTYWTTDAYQTTGCYNLLCSGFIQTNNKIAIGAAISPISAYNSKQFDIGIMIWKDPKHGHWWLEYGSGLLVGYWPATMFSHLRNHASMVQFGGEIVNTHSKGYHTGTQMGSGHFAEQGFRKAAYFRNLQVIDWDNNLLPLANIHQLADHSSCYDIRQGSSNDWGTYFYYGGPGRNVKCP >CAK8562039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430169389:430171375:-1 gene:gene-LATHSAT_LOCUS15574 transcript:rna-LATHSAT_LOCUS15574 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLFPNGMPVPFVSEMFVLARDGVEFEVDKIPGAGHGGRLKAKGVIYLSNVRMVFVAKDPVDGIVAFDMPLLYINGEKFNQPIFHCNNISGFVEPVVPADQHRALYSTHSFKIIFKEGGCGTFIPLFFNLIASVRRYNQLASAPTESRIDPLPVSQAPVDEMMRHAYVDPNDPTRIFLQQPNTDSQLRRRTYQPQTDGGHV >CAK8562038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430169389:430171375:-1 gene:gene-LATHSAT_LOCUS15574 transcript:rna-LATHSAT_LOCUS15574-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLFPNGMPVPFVSEMFVLARDGVEFEVDKIPGAGSHGGRLKAKGVIYLSNVRMVFVAKDPVDGIVAFDMPLLYINGEKFNQPIFHCNNISGFVEPVVPADQHRALYSTHSFKIIFKEGGCGTFIPLFFNLIASVRRYNQLASAPTESRIDPLPVSQAPVDEMMRHAYVDPNDPTRIFLQQPNTDSQLRRRTYQPQTDGGHV >CAK8576158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416238450:416239196:1 gene:gene-LATHSAT_LOCUS28369 transcript:rna-LATHSAT_LOCUS28369 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLLQPSFLISKTRSCALILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRILYVHVPAAQMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8576159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416238549:416239196:1 gene:gene-LATHSAT_LOCUS28369 transcript:rna-LATHSAT_LOCUS28369-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRILYVHVPAAQMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8579533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706347370:706349634:1 gene:gene-LATHSAT_LOCUS31473 transcript:rna-LATHSAT_LOCUS31473 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERPYKSWSIHTRSEIIANYKVMERIGSGAYADVYRARRLSDDLTVALKEIHDYQSAFREIEALQMLQCSPNVIVMHEYFWREDEDAVLVLEYLTTDLATVISNAAKEGVSLPVGEMKRWMIQILSGLDACHRNMIVHRDLKPSNLLISDSGVLKLADFGQARILTEPGFDAFEGNPPPCEHDGFNHESSLHHPEAFPLTNNLSQFGSGNQEQGSSHNEYFSVLDELKTKRPVDDIEKDTNIPDGNTSCLATCTTSDMDNDPLRTSFSFETMEQEDKEDGGFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCIFAELLTLKPLFPGTADIDQLSKIINVLGNLDERAWPGCSELPDYRIISFSKVENPPGVEACLPNCSADEVSLVKNLVCYDPARRATTMELLRDKYFNEEPLPVPISDLRVPLTRNTEDEDSIGGWQDYNDMGSDSDFDDFGPMNFTRTDTGFSIQFP >CAK8566323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406978735:406981411:1 gene:gene-LATHSAT_LOCUS19460 transcript:rna-LATHSAT_LOCUS19460 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVCNHAIGTLQSHSYLSGLNHCRNLGSLRFATKDFVSSNNGLSKGRSSHFGRRNCSVIRSSASHSQTSVVDPVLSPSRSNTGDSYKKSNEAALILIRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKKISNIPVDVIFTSALIRAQMTAMLAMTQHRRGKVPVVLHDESEQAKAWSQVFSEDTKKQSIPVTTAWQLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFRDQIEPKLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFIRRGSPIGPTEAGVYAYTKHLALYRQKLDEMFQ >CAK8561610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:320053676:320055361:1 gene:gene-LATHSAT_LOCUS15187 transcript:rna-LATHSAT_LOCUS15187 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGSRFCPKLLPSSLQPSQDDQSHSSRAPSDLVEAGENIAGISNSSISPETVSLAEREASFMLNLFPDGYSIGKPSENGAANQSFPKLLLPYDRSSETLFLAIESGHLPGDILDDIPAKYVDGALICEVRDYRRFSSEKGAGMVSTDSSPTIDKVCLKMSLENIVKDIPSITDKSWTYGDLMEVESKILKALKPSLHLDPTPKLDRLCESSVPTKLNLQRKRLRNIPEFTVTSSNKTHGKKVCIDRVQENSNSRLGDPGITTSNATGQQTLENQAMQNLNSSFAMAMRSKNIMPDSSIPGFSMMSQQSRYPMTVGTPRSLQEHGSISGINSSGASPAAQDVMISYADNPNASVSLHAKREYPDGQSSPLSNIAKRMRSASTGVDAMQQQQLGSHVDALQGLDMNWQNTLLQQQAIARGIQYNSSGIQNFPRQVFEGGLNQETGAIQFTSGHQGMRLVAKEEQFEMERIDGAGINRNKSEWKMGDVLEEEIRVINNTVGPKEHVICALSGGVDSTVAATIVYKAIGDRLHCVFVDNGLLRSISHKAKRCDGSGS >CAK8567502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519036937:519038940:-1 gene:gene-LATHSAT_LOCUS20549 transcript:rna-LATHSAT_LOCUS20549 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLIQIQRWMTDTKVWRFVGFASAIVGLLCYALSSSFNHLFGNWNFMKIFLYTVFSFIICLIILFARTWRDSTSLRFKAHSAFLVLVITSFYSFFSDKVITGKPDLYSPISYAAFALMSLSLSRQIQCGFEVDLMYFYLGCLIIQLMKIKLPLAIIGACYSYCLIILRSTFSSLSVSPENQYLEEQRIIIRVDSQHHENINTGTNVMQEFMTCMDELTQNNSNIAKMLLDKVKGNYKLVATDHNFIVDAIAVETIDHLQKTVKSMVDAGSEKVCSDLYIVMRKEWLESLLINKLLGLGKMGFQDYMIGRWIKVFKLALRILFPSEQRLYDIFFSESTCISSDICFTKVCHGATIELLNFADLFANRITSPWRLFKILDLFETIDDLIPEFESLFTDSLVNEAIKMKNKLGVISRDIFMEFENLIFLTPVGKLDSWVDGGVHPMTCEATGNILVAFWSRQNLEKVLREYSVVGADGAGTSLFYSRMELIMEQFERNLEAKSQIYEDPALRYFFMMNNTSQVEYLLETFWDDRFCKNATQYLELYCKNSWEKVIDFLKMDINESVAPDSKADLIKDKLNLFDQKFKEMCRIQSKWRVFNRQLKKQIIIYVENMLMPAYENLIAKFENHDGKNADEYTMYGVSDIQDQLNNLFLLQDVEFVRGVVSNQ >CAK8535057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809016227:809017327:-1 gene:gene-LATHSAT_LOCUS4540 transcript:rna-LATHSAT_LOCUS4540 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDNSSCESSFCKDEKVEAMNLLEECWFFDNLLNIKPKMLRSHSDPYPSTRLIDSEFLVKDKSAFVCSNKFQRTPSMPPIRVKKEDDEEDDKIGSKLVHQPLDPSKQHHCAKMKGLHHRSDCNRRKSKLLRTPSLPPSIGREDKSQETYPRIGRSKKQPSTPTNSEKLPPRLTSKSCSIPRCRPSKNIEVESLNKEGIMDMRRKVLNQKTIRRSLSDLEYEEVQGFKDLGFSFEKEALSPSLANIIPGLQEKNRDESEEDKAARGPYLSEAWLVQSCATPPVPNWGDNMSADDMKKHIKFWARAVASTKC >CAK8532712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:497537032:497537598:1 gene:gene-LATHSAT_LOCUS2390 transcript:rna-LATHSAT_LOCUS2390 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWNEMTAKYSVGKVYQYLKKDAPDVGWKHMLSNNNARPRARFTMWMACHRRLVTRGRLKRLGLTADDSCKFCKKEETMDHLLFDFPPFKTCWQQILVWLGYQQFPCDCSEALEWLTAHCKGKGWRKCILRSSVAETVHEIWRYRNNVVFGNTVNFLEIRDPVTSTLANRGWGNTSMRPHIAQLLLE >CAK8566762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460638238:460645439:1 gene:gene-LATHSAT_LOCUS19872 transcript:rna-LATHSAT_LOCUS19872 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSPDPLDSFPRLRVRQSDATSRRSSFGADSELERYCSANSMMGTPNTSMSMCSAITVFHDFSDVDFGSSRSFEDGINRKNFRFGSSGLDLYGDDCDELDMTTIDSSELIGNKRSEESDGKGNEGEVGEREVEMEEDEEFSDGEDSMFNYGSGCEGDNENEKNEFYSSRRVRFYEETEVRNENPLFMNSSVAFGSHDLDDFLIENGSVSVESDLFHNPRENNNRVQENEVSSSHKEEKNEVILNDEVDETKDIGDREALEEVRDMERNIPVACCEVQGAGELVGCFKTDDLGLLPEEDPQKSLNTNGGGSEGKGNGHINSDEAGTSGDAQWENPELGSGVDVSSSTVSVHVGNLNAKSFENLKPLVLPSNGGTRQTLERTSTSTNVLENSHVVSKIEDFELNEFYDEVVQDMEEILLESRGSPAARFSMGNRMLDPLVSMPSRDGGLTASTSSADDAYLLVQRPRRIDRIEVVGARQKTGDVSFSERLVGVKEYTVYKMKVWSGKDQWEVEKRYRDFLTLHRCMKTLFNEQGWTLPLPWSSVEKESKIFRSASLDIIAKRSVLIQECLQSILSSRFFSSPPRALVWFLSPEDSHLSSPVSNSTVSLSSFTRGENIRNFSTWGKTISLIVEIPSNKSTRQLLEAQHHRCAGCHKHFDDGNTSIWDFVQTFGWGKPRLCEYTGQLFCSSCHTNETAVLPARVLHHWDFTHYPVSQLAKSYLDSIHEHPMLCVTAVNPFLLSKVPALLHVMNVRKKIGTMLPYVRCPFRRSINRGVGNRRYLLESNDFFALRDLIDLSKGVFAALPVMVETVLRKILEHITDQCLVCCDVGNPCSARQDCSDPSSLIFPFQEDDIERCKSCQSVFHKPCFKKLVSCPCGEQLRLNKTRSLSNRASQWGDLGKGLSSGLSPKFLSGLFSKEKPEKTREHQGENIILMGSLPSNSL >CAK8540631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13526053:13527138:-1 gene:gene-LATHSAT_LOCUS9617 transcript:rna-LATHSAT_LOCUS9617 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPTPTQTPTPSTTPTPLPTILTTSTTTFTKSPEHETETPTKITTPTTTTTSKISSFSNGVLKRLHNHHHHHNLNNHHNNNLVTVTYKECLKNHAANLGGHALDGCGEFMTSPTATSADPTSLKCAACGCHRNFHRREPEEPPLSTTTHVIEYQPHHRHQPLPPPPFSTRSPNSSSPPPISSTYYPSAPHMLLALSTALPENVSAPNSAMISPGSHSRKRFRTKFTQDQKEKMLKFAEKVGWKMQKKDEDYVHEFCNEICVDRSVLKVWMHNNKNTFAKKDNNLNNIDNNLNNIDNNLINMNNEVNVGVKSFMPLENEEHNINNINKGETKLEIHTHNHNHHYQNDVGVTVRANGSSSS >CAK8541235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:93181030:93182499:1 gene:gene-LATHSAT_LOCUS10168 transcript:rna-LATHSAT_LOCUS10168 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEESVKKKEGYNLLGSPTFKEIENGRFKCVETGHEVLSKDIPFYSNSKKCRLGLIDFALSNNKSPLNMFNQDPLCRSKLICKLTGDNVNKSEEHIWKHMTGKRFLNKLDQQEEGKLSCQGKETDESLKEKQSVDCGTKAEMKKKNKQKNKKKRTKKKGKKVEEIISEVMKSSNEETDTEEDDFWMPPAGERWDNDDGGDRWCSDSDSESDQETEEGDVIDGVADEDCKESEELSSRTKRMSIEVGPSSFASRKKKSKKNDET >CAK8539813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526365273:526370844:1 gene:gene-LATHSAT_LOCUS8874 transcript:rna-LATHSAT_LOCUS8874 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAAASVPFPSSSSVIYLKKHHNQTLKFCSSSNSMSQDYQQAIFGFSSNGFERSSQQQQQIQRDKMRLLQGFDSSAAASLDEEERGGGGVYETAGMLSEMFNFADPSTTAELLETARFRSSSSSSSRHHHHHQQQQQQQQTTSENNNWYGNNRQGMQHQISSINVAADSAAAMQLFLTNPSQSHQKTTSSSSPPPPQNSSTLHMLLPSPPSNNSLQGFHTSGNNFGQFTWGGDSVEAQGQGQGQGQGLSLSLSSSLEAAKAEEELRMGGEGGDNSFLYYNNQGVGGPSSSYPYKPNHQALNLQLQGGGGGTNMNGGYQLQTHQGGFGSVVVNVLRNSKYMKATQELLQEFCSVGRGQFIKKKIKFNSNPNNSSSNASGDPIIPSSSSKDNPPLPLSAADKIEHQRRKVKLLSMLDEVDRRYNHYCEQMQVVVNSFDVMMGFGAAIPYTALAQKAMSRHFRCLKDAITAEVKKSCEMLGEKEGAGGGLTKGETPRLKALEQSLRQQRAFHQMGMMDQDAWRPQRGLPERSVNVLRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQELNEAEMAEERERNNQSNSNSDHQTQTPPTSSTATDQPPPPQPQPTRKRSDINAHENDSSLVAINRQQDFSENQAIQSTSTTATTTTINITTVSDMALATPFDSDLSPHRSMVMDDTCRYGSLVAEDYETAADVNADIGSSTLIRFGPTNGDVSLTLGLRHAGNMPDKNNFLY >CAK8579112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675760666:675761750:-1 gene:gene-LATHSAT_LOCUS31080 transcript:rna-LATHSAT_LOCUS31080 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGNSRINSSQDQGESISNKVRATIIGRFEDFRKNRNVESTLSKKQLLKNGEEEDGSSSVSRSTSHETNEAQECKVSVSTKETTVVPLTTIENISRIVPVENIECETIEKVNIKNEIDVNKHKEIKTKVEIIERIVEEVKKEQEQEVSAKSEENDENDCDDDDETDLGRFLCPGSPSFRIYCIEADDRKTQEKEDIEEDEEDDEEEEFKSRPATAVLQKSRSDNCLGKSSLKNTRISNEVSQIVENVPSRKRKGHMMRRFGAVRTLLKVKSCYHPICTCTGNDNKSNLISAKARN >CAK8542300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499554658:499558415:-1 gene:gene-LATHSAT_LOCUS11147 transcript:rna-LATHSAT_LOCUS11147 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEAKLETFLQWLQANRVELRGCNIKYCDSRKGFGIFSDKDVSDGIMLVVPLELAITPMRVLQDPFLGPECRALFEEGDVDDRFLMMLFLTVERLRKGSLWKPYLDMLPTTFGNTLWFSEEELRELRGTNLHRATELQKKSLLNLYETKVKDLVMKLLNLDGDSEIEVCFEDFLWANSVFWSRALNIPMPRSYVFPEMQDVHQSCTPEADEKGSEATKSDDLTRETTHSTVHGETVWVEGLVPGIDFCNHDLKPIATWEVDGIGLTTGVPVSMYLLSAAQSPLQIDKEISISYGNKGNEELLYLYGFVIDGNTDDYLMVHYPAEAINTISFSESKSQLLEVQKAEMRCLLPKTLLDNGFFPLGTKKSGENNQCNQVCNYSWSGQRKIPSYVNKLVFPEKFMATLRTIAMQEDELFKVSSMLEELVGPEGERQLSDTDVQSAIWEVCGDSGALQVLVDLLHVKLMDLEEHSGTEESDYELLKKALIIDSQEDSKQTGNDTDETRFMTRNKWSAIVYRRGQKQLTRLFLNEAEHALQLSMNEETSVP >CAK8538065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461324077:461324769:1 gene:gene-LATHSAT_LOCUS7305 transcript:rna-LATHSAT_LOCUS7305 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIIDLEVEAYAEYESEVTSQVASNLSTQEASSNITNPNYDDISLDLSLNFKNNETPTARDSNLIGFSLSSTSESSNEPASSTVPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSDRYTASLASLPLHGSFRSLGIKTHSSMHYGFSVPAATRPHHRHETKINTIFLEDEESELLWPGSFRKASDSEEGDGQENFILTEVNPCVDREKSTPDLTLKL >CAK8542024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456432887:456443174:1 gene:gene-LATHSAT_LOCUS10898 transcript:rna-LATHSAT_LOCUS10898 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKQQKIVLPPDLPPEIPDDEVEYSDDDIKFVKENRAFASLLSTLDTQSITKHVTRVADAKEEALEKLYEQRMQRNALKKEKEETGLQVDRVDALPVKTLDGKIYFRTATKTAAVNGPSEEEAGEDGNADKGFFKLTKAEKRAKLKKIRKEGKKQDKEVAKEEVEEAPQAAALAEVEEDLKVEEAFESKKFKLAELGNALLTDPESNIKDLKEMVQLSKDNDRTIVKLGLLSLLAVFRDIIPGYRIRLPTEKEQEMKVSKTIKKMRFYESTLLSAYKAYLQRLIALEKQPLFQLVAVRCICSLLESNPHFNFRETLLNATIRNISSSNDAIRQLCCSTIKSLFTNEGKHGGEATVEAVRLISYQVKDHNCQLHPDSLEVFLSLSFDEDLGKSEKTDRDQKYKNKKDKKRKHMEGSNQLPENDRKKSRKESISKTREEVEADYKAASFTLDATEKRQMQTQTLSAVFETYFRILKNTMQSIVARPEGNPGVLSAAVGGEPHPLLDPCLKGLAKFAHLIDLDFLGDLMNHLKILASGGRNSGNTSEKFTKCLTVSERLQCCIVAFKVMRTNLDALNVDLQDFTVHLYNLLLEYRPGRDQGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATLSLSVGSADSMAALVTVKHLLLKNIKCRNLLENDTGGGSVSGTIPKYLPYSTDPILSGALASVLWELSLLSKHYHPAISTMATGLSSMSTEQNQVFLSKSSPQLAFKDMSIDQELCFEQSGSIKLNNKKRRSNGNATSDTIGSTTVTSSFDEDDLRRKFSSHFMILHEIKENERLRSKLNKTAQSLQLYEQYKKQKKKRSKPSK >CAK8565501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:248243804:248244481:1 gene:gene-LATHSAT_LOCUS18712 transcript:rna-LATHSAT_LOCUS18712 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTTKLLTKKDLKPFKNYNFIHNGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAPMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIPICYTIYYKVLTTLNLKAKHLSFPGTTTLVQENLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDID >CAK8574921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15269107:15270813:-1 gene:gene-LATHSAT_LOCUS27219 transcript:rna-LATHSAT_LOCUS27219 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFGRPVNSNSFQNDVANMKNAAEFSSCNEFLKTQPCKSSNQLPHIKCEDLVKDKLSGNGKNCALITSTVEGVPLQRKSSKSNRSNSSGSKRSRMSQSDDYTSPNGTEESKDSFEKLGSHNLKCTSPEKSPLPKQKGNNSKRGDKKNFKVPSSKAKFESSSMKMGTSIFSSSTGGNNFFGLYGLKHDFHDVTNLMDEPPLDELLKGTFDCPIISKDKGKKASNNNESFLSSVRKACSIIQSPKLVQSQNMEMDYSSNKKMSTSQFSSICAVENDVNEDKEQSCSTDISSSQKDSCSETDCKASPLDFPLCQPKDVLEQIALHPFREFESLLIDVSKLAISTKNSNDLRSGKQVSRRPSLPSFPWSHAFGGNFRTNSDTAKLSTSRSTCRGKWARIGLIASSSDIDRSSFTDLDSFSYDQSLVPSFGNSDNQLIQSFFASLPFRQLDSSSSVSCSKDFQANTEFGGQVDTKENDETVLAAAQTLCEIKTRSQRQSSDGILRWQRKPSHMAGLGLPDNDAWYMIETIAKRKSILSRPRVCFQLRIKKYQINKFVSVKLLCYHSENHE >CAK8574269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672996690:672997910:1 gene:gene-LATHSAT_LOCUS26629 transcript:rna-LATHSAT_LOCUS26629 gene_biotype:protein_coding transcript_biotype:protein_coding MTADNEANGQNSAASTEKATSTGDLLSPLHKNCFHVPHLPFDLVADILCRLPVKHLLQLRCVCKSWNSLIAGDSMFAKKHLRLSTSNHEHHHLILTPELSSKEELVVCQSPISSILSFASNTSIKQLRYSIGEILIKEGDYRASTCDGIICFKIDDCSALLCNPSIRKFKILPPLKIRRRSDFVITYTLVYDRFINNYKVIALAASGYKIEVKVHTFGTNGWRRVRDYFPGPNLFPMAEPGIFVNDSANWLTYNSADWLTHGSPCYGMLIVSLNLEKKSFQKLALPFFEHFINPSMTLGTLRGCLSLLRSDKFSDIWIMKEYGNENSWTKLVTLPSREESHFYYCTKALYISSDDQVLMECCTGDGNNLVVYDSINNTFNIPKFQNNIHSMKVAHDLYVESLISPL >CAK8568750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639034122:639035890:1 gene:gene-LATHSAT_LOCUS21681 transcript:rna-LATHSAT_LOCUS21681 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYIGNLDSRVSERDLEDDFHVFGVIRSVWVARRPPGYAFIDFDDRRDALDAIRELDGKNGWRVELSHNSKTGGGGGGRGGGGGGRGRSGGGGSDLKCYECGEPGHFARECHSSRGGGRRRSRSPPRLRRSPSYGRRSYSPRERSPRRRSPSPRRRSPSPRRRSYSRSPPPYREREAVAHANGNGIRDAPRSRS >CAK8544182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671030593:671031125:-1 gene:gene-LATHSAT_LOCUS12883 transcript:rna-LATHSAT_LOCUS12883 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEAETSNGKPSAAVVQEYLVEAYETKVAEKKKLLVPISLVHVKDSKEQVGQNNIQYYFLEDSKNVGMTV >CAK8561586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310402480:310402701:1 gene:gene-LATHSAT_LOCUS15164 transcript:rna-LATHSAT_LOCUS15164 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYVQEFWELVEEEFMYDSDEEQQLQNEVVKKGTIDYSMTTSRKTQYTQMFNFEEGSECIGMYFFEF >CAK8568597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621547553:621548638:-1 gene:gene-LATHSAT_LOCUS21539 transcript:rna-LATHSAT_LOCUS21539 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHSSKHRVITLLNALKEASKHLQTKTNPFTFLFKPDSKSAIEALLELESKATIIFSSHPNLHSLSHSLTTLKTLIENLQNCKPHGVKSILQRQIIAYKISQASSSLESEIQIYLDRVIVINLVKALQEQENEEEQVQAIVEFQQRLSKGFDLEFQDLILRAKVFTLLETTLVESFYSKRVREESAMAIAELVKFNKNVFVGLVLMGPTIKALISMASECSIKVLCLLVRIIRSPLVDEIFSKGEISTIVEFLLSRDLCVRVAALDCVLELGYIGRREVIEAMMEQSLVKILMDLQRRDDCVFDGCVSRFAIQLEVGEGLSCEEKSEVKLEMLRLVKEASRSDAEFASVSAEILWGSSP >CAK8542725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541185847:541186176:-1 gene:gene-LATHSAT_LOCUS11539 transcript:rna-LATHSAT_LOCUS11539 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITIIKSLALAFVLIILLQNSHGVSSTTTEATISASPGVLPSPDISSFFPTPMSSFEAPFEAEAPAPAPSSGEFHGNKSSSSTRLEYCVAAFIVGVMFSSFFLSIA >CAK8540649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15213414:15214339:-1 gene:gene-LATHSAT_LOCUS9635 transcript:rna-LATHSAT_LOCUS9635 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGLYILAPLILPFLLVYFCLGYIIYRNQLLYVYVPKFETRGEFWPTVHNYTIFSLILMHIIVIGIFGMKKLPIASGLILPLSIATLLFNEYCQKRFFPIFQAYPVECLIKKDRKDQNNPNMSEFYDKLTKAYNDPALMPIKYSGRFRSRRTPLLSSESQKSVRESPAQDMLV >CAK8543089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575270993:575274319:1 gene:gene-LATHSAT_LOCUS11873 transcript:rna-LATHSAT_LOCUS11873 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKDGGVFKAWEATVRRTHAVAKKRANSIFGIGTLSISNTDEEEHHIDDNEDIGSDTLEVYNEEKFLPNGDYYTGQWAENFPHGEGKYLWIDGCMYVGQWCKGKTKGKGRFSWPSGATYEGEFKSGFMDGVGTYTGCNGETYKGQWVMNLKHGHGFKSYVNGDWYEGDWRRGVQDGKGRYEWADMSHYIGEWKNGTIWGKGSFFWPNGNNFEGFWEDGFPKGHGTYRWQDGTYYVGNFSKDDDDEDGEKGTLYPFDPTSDETHLDWDPQDLYGELSCYSVCPGEKVLVLPSQKRLAVMKSTKAVDCGKARRMSVDGRVSVSGDRMNLWDGGGSSHGGGGAGDFDLTSTGNRTPPPILGSDHDDESIGSRVDDANDALNQLQPLKAPKKAKRQGETICKGHKNFELMLNLQLGIRHSVARPAPTASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPSCDFKWKDYCPVVFRTLRKLFNVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLIKMLPAYYNHFREHQNTLLTKYYGLHCVKLNGAIQKKVRFIIMGNLFCSEYITHRRYDLKGSSLGRTSDKLETEISETTILKDLDLNFIFLLQKSWFQEFCRQIDKDCELLEQEGIMDYSLLLGIHFKNISQDGDVIPLISLTPTGESENEGTPVTPVEDIDQLPSDPTSTLLGVNIPAKAERTVRRGDIETQLVGEPIEEFYDVQLTFGVIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFIFRIFIEDTIET >CAK8579166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681109430:681110035:-1 gene:gene-LATHSAT_LOCUS31132 transcript:rna-LATHSAT_LOCUS31132 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYPNQESTTSSQSHDNQCVSNKRERYTLWMKSLVLHSNGCTVYDSNGNIVYRVDNYDTKGGREVNLMDLQGNVLCTIKKRLLAFGCWEGHKYHSSNSNSRSQEEQPWFQVKRCLRGKTACQIKAGSQNLCIERMSNGKSFGFRIVNKNGEIIAEAKQKESCSGIVLSNDVLTLDLEAGTDHSLIMALITVYGLICGKM >CAK8576944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527135842:527138073:-1 gene:gene-LATHSAT_LOCUS29098 transcript:rna-LATHSAT_LOCUS29098 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSSSTRRPKWHHHPPPPPTPRILHFPRRPRRRLPSSSSSTRNDHKLSNSNNNSNMLGSLFDREKRAPPAEGTVNVPVVVLEEERRRERVVTESGDGLEEEKWKFQAEMLRAECNLLRMEKEIAVKKLERSRSKMERTLRSVVHTLVSGRIKICEGKSIDMVLDEEIHELTKKLQKLQRRSRVKEFEGRKSSRNFDKQVSVLQRRLEKIGGPSDGIYLREFEEMENVSLNVRRSSRFDDSVVASGKLNVEILRRKMEGLSKGILLERMEEEYNSLLLSTASSSLTSSGSTSKRVEFQLPQEKLSCERNLCSGHCKTIVRKIVEQVRVETEQWSQMQEMLGQVREEMEELQASRDFWEDRALHSDSQIQSLHNAVQEWKQKAVSSESKKNELEEKLSMLCGDLERFRNEQNAVKETKCSSTPLDTQNEFEKRIVVCSTKENSSNVGENRKHREVTMNGERKTHAVSARGGLLAPKRSPFRDIGNNSSLLTRPQNGKAVFPLHCHLSSNTAKTNRSNEN >CAK8575815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330399151:330400520:1 gene:gene-LATHSAT_LOCUS28049 transcript:rna-LATHSAT_LOCUS28049 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIDDSVNDETLRDSAMVEVDSLRDENREEGSSAEPAYKQDQDGNMTHDFSGALIIPSEVLPLSVASADEPYVGQEFESEAAAHAFYNAYAAEVGFIIRVSKLSRSRRDGTAIGRALVCNKEGYRMPDKREKIVRQRAETRVGCRAMIMVRKVGSGKWVVTKFVKEHTHPLNPGSGRRDCMFEQYPSQNEHDKIRELSQQLALEKKRSVTYKRQLEVIFDYIEEHNVSLSKKIQHIVDSVKQMEPKEEEHNHS >CAK8531044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:74579554:74581602:-1 gene:gene-LATHSAT_LOCUS860 transcript:rna-LATHSAT_LOCUS860 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWQYMFSKLELHVLILGIDKAGKTTLLEKMKSVYTNVEGLPPDRIVPTVGLNIGRIEVANRKLVFWDLGGQLGLRSIWEKYYEEAHAVVFVVDASCPSRFEDSKSALEKVLRHEDLKGAPLLILANKQDLPEAVSSEELARYLDLKKLDERVYMFEAVSAYDGLGIRESAEWLVEVMERSKRTEMLRLRAGAMGPGSA >CAK8533490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625207550:625207981:1 gene:gene-LATHSAT_LOCUS3113 transcript:rna-LATHSAT_LOCUS3113 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHRLLQGLHLNPNFNFHPKCEKLRIINLCFADDILVFTRGDLESVKLVMDRFRIFANTIELNISNPKSKLYLGGVDMDTKTIMQQVTGFELGSLHFKYIGVPLHSKKLSIANFQPLIEKKFLREFNIGVPDCCHMLTDYN >CAK8538875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498597059:498602599:-1 gene:gene-LATHSAT_LOCUS8025 transcript:rna-LATHSAT_LOCUS8025 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFAILDDEPESEIEFQHLLVSNYHLEDDDEEPVSFSVLPIQWSDSEVSNVDDDKRGKIFLHGSSDSGLQKIFMQVTAWKFDISGLKPEVLLLSKDERWIKLQKPRKSFQETVKTVLITLYFLHCVKKKPRLSTVSFWSNLCKDRDLSSYGFKPSHKDLLDHMPLIGEATRRDVVLARSKLLLTVLGDKPEYQKQSYEEFEDLSQTGFREDDTDNDIIKETDEDSKLEDDLFDSVCAMCDNGGNLIMCDGACMRSFHATKADGRESLCDSLGFTKKQVDDIETFYCKNCEYRQHQCFACGELGSSDEAKGAEVIKCASETCDRFYHPHCVAKLLPPEKHIAEGKPFTCPIHFCCVCKGLENKMEHELQFGICNRCPKSYHRKCLPIGIAFDIKGVQTRAWEGLLPNNRILIYCLNHEIIDELGTPARDHVKFPDIGASVLENNISNKRMKPTTKERVMLENNVKSSGKRTANHKINDKLETHVRNHIKFPDAKASVREINTSSKRLKPTTEERVILENNVGFDESFGKSTAAGSKATNGRVALENNVDLFKSSGESTAAASKVTGKLPSNKPKSNETSGRVLTKSKKSVSDLTGQKLNASMSIKPTQHDNHVDNADNETLSIKPVRNILPPASMSKGLKQSKQLDDADNQTLSIKPIRSVLSPLGADSEKGLVDSFKEARSSILLEGVVGKQKLGDIEDKLVLNHDLPESTDIQEEQVCINESQKRSSQLGSLDGKSDKRQEFKLNQSWKTSGKRKQIKKNDRRLGVSSQLFKKEGAVSKAKTKTQPLKEGSSHRRKTRSETKSFQTPERVVPAGFAAGPNYEYSLHHSAGWLDE >CAK8565303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:110971991:110972819:-1 gene:gene-LATHSAT_LOCUS18527 transcript:rna-LATHSAT_LOCUS18527 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPIGRKVDLKAYHSYENLSIAVDELFRGLLKAQGDSCGSKKNEDEEKVVSGILDGSGEYTLVYENNEGDRMLVGDVPWHMFVSTVKRLRVLKSTELSAFTLRTTKQEKICT >CAK8560828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47923413:47926918:1 gene:gene-LATHSAT_LOCUS14473 transcript:rna-LATHSAT_LOCUS14473 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINPKTDKLVRRLTIAATITASYFLLTADYGPQPNALDPIKKQILSAKSTVKDYILGSKTESKEKPLEKLNSNKDHP >CAK8536268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922948378:922950057:-1 gene:gene-LATHSAT_LOCUS5652 transcript:rna-LATHSAT_LOCUS5652 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDEAVSVPSNLIRSTSNSNSNPNPPNPNKRKRSLPGTPDPASEVIALSPKSLMTTNRFICEVCNKGFKRDQNLQLHLRGHNLPWKLKQRNHQEVVRKKVYVCPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTIFSRKDSFLTHRAFCESLVERSGRIGSAPAVLSNFGNNLLINTQAQAQAPRIPHGLFGLNQEFGGPVPEQFMGNFLNNNPPPHHHHHQINIPHHNYLSSNATTTTSVFSTSEANSDLELLQTNMNTFGSIPNGQWMNYRYTDQQEVSMPMLTQGVLKLEQENNKMNDLSHLFYQNQLQGGPSHVSMESQSTTRKLNNGNNSAPPNIVEIKKLFKQGNHAGNLNEDQLSLTRDFLGVGVGDDSLKKPLLQQEIPRFNPIGPVMNMQSDQFGGHY >CAK8566063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:375763858:375764277:-1 gene:gene-LATHSAT_LOCUS19230 transcript:rna-LATHSAT_LOCUS19230 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGVLYYGRLVDIIVISYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDVNEHGWSIPVHLKPRDLYDMGRNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8540170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542825052:542827968:-1 gene:gene-LATHSAT_LOCUS9200 transcript:rna-LATHSAT_LOCUS9200-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTWKAHLAMAFVQLLYGGYHVITKVALNVGVNQLVFCFYRDLLALIILSPIAFFKERQTRPPITKKLLMSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAALQPAIPVFTFLFAVIMSVERVNLKSYEGLAKVGGTLICVSGAVLMVLYRGPSLIGYAELVIIPQNGINVGGLPETSGWLISGLKDLGLDNFELGVVFLIGNCMCMAAFLTILAPVLKKYPANLSVTAYSFFFGVVLMAIVSLFMTDLSSDWILTQPEILAIVYAGTIASAFNYAVISWCNKILGPALVSLYNPLQPGFSALLSQIFLGSPIYLGSIIGGSLIIAGLYTVTWATYKEKQAIVEITSHESWVSEPLIHEKNAQQRDHIFSASSSASSNPKSSD >CAK8540169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542825052:542827968:-1 gene:gene-LATHSAT_LOCUS9200 transcript:rna-LATHSAT_LOCUS9200 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTWKAHLAMAFVQLLYGGYHVITKVALNVGVNQLVFCFYRDLLALIILSPIAFFKERQTRPPITKKLLMSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAALQPAIPVFTFLFAVIMSVERVNLKSYEGLAKVGGTLICVSGAVLMVLYRGPSLIGYAELVIIPQNGINVGGLPETSGWLISGLKDLGLDNFELGVVFLIGNCMCMAAFLTILAPVLKKYPANLSVTAYSFFFGVVLMAIVSLFMTDLSSDWILTQPEILAIVYAGTIASAFNYAVISWCNKILGPALVSLYNPLQPGFSALLSQIFLGSPIYLGSIIGGSLIIAGLYTVTWATYKEKQAIVEITSHESWVSEPLIHEKNAQQRDHIFSASSSASSNPKSSD >CAK8538544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486765151:486766623:1 gene:gene-LATHSAT_LOCUS7731 transcript:rna-LATHSAT_LOCUS7731 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPKKKRHIVMTPFMAHGHLIPFLALARKIQETTSSTFTITIATTPLNIQYLKSAISTTFSDISIHLADLPFNHRQYGLPPNIENTEKLPLTDVIKLFHASTSLEAPLSSLISNITEQEGHPPICVISDVFLGWVTNVAKSLGSRSITFTTCGAYGTLGYISIWSNLPHRRTDSDEFWTPGFPQNYRFHRTQLHRHLREADGTDGWSKFFPPQIALSMKSDGWICNTVEEIETLGLELLKNYLQLPVWCVGPLLPPKSSNSKYRAGKESGIALEECIEWLDLKDESSVLYISFGSQNTVSASQMAALAEGLEESGIFFIWVIRPPFGFDINAAFKAEWLPEGFEERMKRSKRGLLVHKWGPQLEILSHKSTGAFLSHCGWNSILESLSQGVPIISWPLAAEQGYNAKMLVEEMCVSVELTRTVESVISKDDVKSVIKIVMDQEGKGKEMKEKANEIAVHMREATIDRGEEKGSSLRAIDDLVRTILQI >CAK8569700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8689994:8690791:1 gene:gene-LATHSAT_LOCUS22521 transcript:rna-LATHSAT_LOCUS22521 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQRSVAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFDVSGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPLFKCEWANTTNPRGIKIDKLGFTSINFTKLLHFGEHEDNDSYIQASEVQMVFYVDDKNEQGWSIPVHLKPRDLYEMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8565856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:344152792:344154117:1 gene:gene-LATHSAT_LOCUS19035 transcript:rna-LATHSAT_LOCUS19035 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAISVIGSSMMDSHTGPCLCVDSLPTTNVKSGCGDVVLWKNLMGRKRSASRGGRSMGLSSSFTDPGREWRLKFSRSCMKQSSNDRRVTIVSELGGQYDDTFEDVKTQMLNYFTYKAVRTVLQQLYEMNPPKYTWFYNFVVSNKPADGKRFIRMLGKEQQELAERVMLTRLHLYGKWVKKCNHAEIYKQISDENLELMRERLIETVIWPSDDSNTEKIG >CAK8530152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3135928:3138125:-1 gene:gene-LATHSAT_LOCUS42 transcript:rna-LATHSAT_LOCUS42 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSWIPVADERKAYGTSTNHSSVFSDERKSSNTTDAVSMIDFFTHDQIIEHVNSLKMEPLQITTEDGAGIDATNTCQLCERKTLYFEPVPVYCICCRLLIKRKNAYFGRKDEEFDAERCFCSTCYNTSKGGCIAFNGTYVSKENLEKKKNDVVEELWVECNKCKRWQHQICALYNNKKDLDCSAEYICALCRLKEIKNGVHVPFQKANPYGAKDLPRTMLSDHLEKRLFKRLMKGSENLEKVLAADSISIREVLSIGEQFKVRKQFLDIIPKKNYPAEFSQRSRVILLFQKIDGADICIFGMYVQEFGSECGNPNRRCVYISYLDSVKYFRPEKVIVEGEALRTFVYHEILIGYLDFCKKRGFSTCYIWACPPYSKRNDYILYCHPIEQKIPDKDKLRSWYHSMLKKATKEKIIVGLTNIYDHFFVPTEKGALK >CAK8563567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616982098:616985452:-1 gene:gene-LATHSAT_LOCUS16963 transcript:rna-LATHSAT_LOCUS16963 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENGTPKPRVEEKEEEEEPILMKQTQRFCMFPIRYKQLWEMYKKAEASFWTAEEVDLSYDVHHWATLSDSEKHFISHVLAFFATSDGIVLENLAARFLNDVQIPEARAFYGFQIAIENIHSEMYSLLLETYIKDSIEKHKLFNAIENLPCVARKAEWALSWINSSTSFAERLVGFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLLRKLDCERVHSIVHEAVEIETEFVCDALPCALIGMNSTLMSQYIKFVADRLLVSLGCTKNYKVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQDDGKNFVFKLDEDF >CAK8543944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650729027:650730520:-1 gene:gene-LATHSAT_LOCUS12665 transcript:rna-LATHSAT_LOCUS12665 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDQKLHFVLFPMMAQGHMIPMMDIAKILAQHSNVVVTILTTPKNASRFSSIFQRFLQSGLQIHVIHLQFPSKESGLPEGCENLDMLTSLGAASDFFNSTKFQQEEAEKIFEELTPRPSCIISDMCLPYTINIARKFNVPRVSFLGACCFFLLSMYNLRVCNMRQTMANNPNEYFALPGFSEKFEINISQLDLGLMDEAWKQFSKDMIKAEVASYGVIVNSFEELESAFVKDYKKIKNDKVWCIGPVSLSNTDSLDKVQRGHNDKNVSVDEWIHLKWLDSQKPGSVIYACFGSLCNFTSPQMIELGLALEATKRPFIWVIRKRNRLDELKKWMEESGFEGRINGRGLVIEGWAPQLLILSHPSVGGFLTHCGWNSTIEAICAGVPMVTWPRFNDQFFDEILIVQVLKVGVKIGVKNPMKWGEEEEISVLVKKEDIERGIERLMDETSESEERRKRIRELSQTAKKAVEKGGSSHTNVTLLIQDIMKQQSNTKVEA >CAK8560777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41598270:41601420:1 gene:gene-LATHSAT_LOCUS14427 transcript:rna-LATHSAT_LOCUS14427 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYQLLSKIATNDQHGENSPYFHGWKAYENNPFHPTKNPHGVIQMGLAENQLCFDLIEEWIKNNPKASICTLEGMHKFRDIANFQDYHGLPEFTSAIAKFMSKVRGGRVRFDPNRILMSGGATGANELIMFCLADEGDAFLVPTPYYPGFIRDLCWRTKVQLIPVHCDSSNNFKITREALEIAYKKAKENNINVKGLIITNPSNPLGTTLDKETLKSIVSFINEKTIHLVCDEIYAATVFTSPTYVSVSEVIQEMKCNLDLIHIIYSLSKDLGFPGFRVGIVYSYNDQVVSCGRKMSSFGLVSSQTQHMLASMLCDEKFVENFLLESSRRLAKRHEKFTKGLEEVKISRFPSNAGLFCWMNLKSLLKEETIEEELKLWHVIINEVKLNVSPGSSFNCSEVGWFRVCFANIDDETVEVALKRIRVFIGKETKKKVEQVKRWQPNLRLSFTSRRFDENVLSPHNHIIISPHSPLVRAT >CAK8537200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:131549212:131551624:-1 gene:gene-LATHSAT_LOCUS6506 transcript:rna-LATHSAT_LOCUS6506 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSESIRSVSSEVSSDLIIQVRGSRYLLHKFPLLSKCLCLQRLCSESPPDSSHHQIVQLPDFPGGVEAFEVCAKFCYGITITLSPYNIVAARCAAEYLQMTEEVEKGNLIHKLEVFFNSCILHGWKDTIVSLQTTKALHLWSEDLGITSRCIEIIASKVLRHPTKVSLSHSHSRRVRDDISCNDTESLRTKSGSKGWWAEDLAELSIDLYWRTMIAIKSGGKVPSNFIGDALKIYASRWLPNISKNGNRKKNVSQAESESDSASEKTSKHRLLLESIVSLLPTEKGAVSCSFLLKLLKASNILNSSSSSKMELARRVGLQLEEATVNDLLIPTLSYTNDTVYDVELVMTILEQFMLQGQSPPTSPQRSLKTFERRRSRSAENINFELQESRRSSSASHSSKLKVAKLVDRYLQEVARDVNFSLSKFITLADIIPEFARHDHDDLYRAIDIYLKAHPELNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAASGGKVTELQTNIKALLTTHGIDPSKHTTAQLSTTTSIQGEDNWSVSGFKSPKLRSTTLRMKLAEDHDEFAENGLANDGGIGRNSRFKSICALPTQPKKMLSKLWSTK >CAK8530899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:60703737:60715706:-1 gene:gene-LATHSAT_LOCUS729 transcript:rna-LATHSAT_LOCUS729 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSGGASTTPMKKAKSIFDPSSNDTVLDPSLSLSDLKPDLPNPRGPTMAANLARKKATPPQPKKLLIKFTKGKPVAPTNLEEQTWATLKSAICAIFLKQPNSCDLEKLYQAVNDLCIHKMGGNLYQRIEKECDVHISAALQSLVGQSPDLVVFLSLVERCWQDLCDQILMIRDIALYLDRTYVKQSSNVRSLWDMGLQLFRKHLSQSLEVQHKTVTGLLRMIESERLGEAVDRTLLNHLLKMFTALGIYAENFEKAFLECTSEFYATEGVKYMQQSDVPDYLKHVETRLQEEHERCLIYLDASTRKPLIATTEKQLLERHIPAILDKGFFMLMDGNRIEDLQRMYSLFSRVNALESLRQALSSYIRKTGQGIVMDEEKDKDMVQSLLEFKAALDTTWEESYAKNEAFSNTIKDAFEHLINLRQNRPAELIAKFLDDKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMQFNDAEKLSFQDIKDCTGIEDKELRRTLQSLACGKVRVLLKMPKGRDVEDDDSFVFNDTFTAPLYRIKVNAIQLKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQVYNYLA >CAK8538028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459384854:459388431:-1 gene:gene-LATHSAT_LOCUS7269 transcript:rna-LATHSAT_LOCUS7269 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILSLGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDCYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8576822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516889272:516889430:-1 gene:gene-LATHSAT_LOCUS28986 transcript:rna-LATHSAT_LOCUS28986-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVKTRLRNRMGDEWMNGCLVTYIESDVLKYIDNEQIIQRFQNMKSRKGQL >CAK8576821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516889272:516889901:-1 gene:gene-LATHSAT_LOCUS28986 transcript:rna-LATHSAT_LOCUS28986 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTYFSRRSRCGSNVESITIKKHYHIDLFYIVVDMKLKEINNHFNETNSRLLICMSCFNPTNLFSSFDKEKLVKFGKFYPWEFYQTSLLLLDNQLETYIPDMRSSIEFASLNGIGDLSKKLVETRRHVVYLLVHQLLKLAVIFPVSTATIKQSFSAMKIVKTRLRNRMGDEWMNGCLVTYIESDVLKYIDNEQIIQRFQNMKSRKGQL >CAK8566947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474796163:474799817:-1 gene:gene-LATHSAT_LOCUS20042 transcript:rna-LATHSAT_LOCUS20042 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSREDNNITCPLLSKENETEENSPIKQVALTVPTTDDSSLPVLTFRMWVLGTLSCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMASKITKRVFFKGKSWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHIVTAVKVFYHKHISFPVSLLVVITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEKRTKGALTRSQFFIIAFLCSFAYYVFPGYLFQMLTSLSWVCWVFPHNVLAQQLGSGLKGLGIGAIGLDWSAISAYLGSPLASPWFATANVAAGFVFVMYILTPLCYWLNVYSAKTFPIFSNKLFTSEGSVYNITDIIDSNFHMDLAAYEKQGRLHLSTFFAMTYGVGFAALTATIMHVALFHGREIWEQSKSSFNEKSMDIHTKLMRKYKQVPEWWFVCILIGTIATTIFACEYYNEQLQLPWWGVLLACGIAIFFTLPIGIITAITNQSPGLNIITEYIIGYLYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAGLVYLTTAWWLMESIPDICKDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNLGTYENVNWFFLGGAIAPLLVWLAARAFPQQEWIKLINMPVLIGATGMMPPATAVNYTSWIIVGFLSGFVVYRYKPDWWQRHNYVLSGALDAGLAFMGVVLYLCLGLEDVSINWWGNDLDGCPLAHCPTAKGFEVQGCPVFT >CAK8534224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707280908:707281875:1 gene:gene-LATHSAT_LOCUS3784 transcript:rna-LATHSAT_LOCUS3784 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRHGLKQQLQQLKHVCVDFRPFFEYVKDTWLTLHRHRFCEARIDKVLHLGNTVTNRAALRHIPEEWLRVDMVGTNTQMCRCNHRKVYKLPCACEQGRYTLSGEPIPIDDIHIHWRKLSMEGEQEVDTEDGEELDMTNSIDALCKRFRLLDVARKRALKIRVCEIFYPTTTNMCPPPEKIKTKKRVKRKGKKYVGYDVYRDPSYHEYVYQAQQFSKIFKEVMFTVILNSKEETI >CAK8579480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701914243:701915397:1 gene:gene-LATHSAT_LOCUS31424 transcript:rna-LATHSAT_LOCUS31424 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNIIQTFLVLLILLPISSKASVGIGIGVGIGNGNGNGSSEQNLNNAYKALQAWKSSITNDPLNILDTWVGPNVCSYKGIFCETTATINIVAGIDLNHANLQGTLVKELSLLSDITLLHLNSNNFSGTVPQSFKDFTFLQELDLSNNQLSGSFPTVTLNMPSLIYLDLRFNTFKGSLPEELFNKKLDAIFLNNNQFSGEIPQSFGNSPASVINLANNKLTGNIPASFGFMSPKLKEIIFLNNQLSGCIPQGVGVFTEMQVLDFSFNSLVGHLPDSISCLQDIEVLNLGHNKLSGDVSDVICSLKRLWNLTVAYNFFSGFSQECSRLFNIGFDFSDNCIPGRNLQRPEPECSLIPGVDLNCLRIPSPKSLVCGALASNIDSSLP >CAK8579373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694443826:694447006:-1 gene:gene-LATHSAT_LOCUS31324 transcript:rna-LATHSAT_LOCUS31324 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATAHIARTVVGIIGNIISGFLFLSPVPTFYEIWKKGSVEQFSPAPYLATLVNCMVWTLYGLPMVNPDNVLVLTINGSGSVVEIVYVTLFLIYSSRNKRLKVLMWLVVELLFIGVLAFVTLILVHSAKKRSAIVGTTCIVFNIMMYAAPLAVMKLVIVTKSVEYMPLSISLAAFGNGVAWTTYALIQFDAFITVPNGIGTLFSVVQLILYATYYKSTKKQIAERKANKTEVNLSQVVVGNGDQQSKTNY >CAK8561655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:341095904:341096213:-1 gene:gene-LATHSAT_LOCUS15226 transcript:rna-LATHSAT_LOCUS15226 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVEYPGGPHDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPRKM >CAK8563566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:616818796:616818948:-1 gene:gene-LATHSAT_LOCUS16962 transcript:rna-LATHSAT_LOCUS16962 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSLSMRVPRAHRLMRRCSVQFKEQKTRLYIMWRCTILLLRWDDKLDN >CAK8572125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512811322:512817087:-1 gene:gene-LATHSAT_LOCUS24724 transcript:rna-LATHSAT_LOCUS24724 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGSDDVPGKGQSSSSWILLDATGQGLIMEMDKCDIMHRVEIDARDLRILDPLLSYPSTIFSRHKLIVLNFEHIKAIITSEKVFLRDPNNENVVPIVEKLQRQLSKLSVTHQQQEQYLSALPFEFLALEVALEAICSFLAARAMELEIDAYSALDELTSKISTRSLDKVRKLKSAMKRMSARVQKIRDKLEQLLDDDNDMADLYLSRKADSASPLSGSNVSRASCGDENDMDELEQLLETYFKQIDGTLNTLTTLRKYIDYTDDYIKIQLDNHRNQLIQLDMFLATGTLCLSVYCLVAGILCMNIPYTWNDDHDYMFKWVVIVGGVFFAIMFLMITLFAGKKGLLGT >CAK8562991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564777060:564777441:1 gene:gene-LATHSAT_LOCUS16445 transcript:rna-LATHSAT_LOCUS16445 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALQEVLETFKTLYGNPPMFIHENGQRTLSNASLDDVSRVEYLQAYIGSVLDSLFLLSFSCFFRCFFH >CAK8570269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:32699605:32700788:-1 gene:gene-LATHSAT_LOCUS23032 transcript:rna-LATHSAT_LOCUS23032 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLRMAVAVLGNFSSLSLYAAPIVTFKRVIRKKSTEEFSCVPYIIGMLNGLLLTWYGLPVVSYKWEKFALVPVNGVGFLLELSYVLIYFWYSSNKGKVKVAMIALPVLLLFCVIALVSSFAFPDHRHRKNLVGSVGLGVSIALYASPLIVMKKVIQTKSVEFMPLPLSFCTFLASLLWLTYGLLIRDMFVAGPNVIGTPLGILQLVLHCIYWKRKVIIEEPNKVDLVHKGVSLENLDLEKNVTSS >CAK8541535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:233313632:233318008:-1 gene:gene-LATHSAT_LOCUS10450 transcript:rna-LATHSAT_LOCUS10450 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVQKPKPDSPINENEIRITTQGAIRNYITYATSLLQEKQAKEIVLKAMGQAISKTVAISEILKKRIAHLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSTRELDTNSPGYQSPSNVEQPKPHSNHQQQPMKPAQDSYNAVNEDSYGRGRGRGRGRGRGRNWGRGGYGNYQGGYGNYQGGYDYYQGGYANYQDNGGYSNRGRGGGRGRGWGYRGTGYGGGRGGGYEGGRGGGYDGGRGGGYDGGRGGGYDGGRGGGYEGGRGGGYEGGRGGGYDSGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYVGGRGGGMGYVGGRGGGMGYEGGRGGGMGYERGRGGGRGYGRGRGRIGGRGRSGDNQA >CAK8567506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519367745:519368194:1 gene:gene-LATHSAT_LOCUS20553 transcript:rna-LATHSAT_LOCUS20553 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGAAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAAESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8576084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:402868675:402871610:-1 gene:gene-LATHSAT_LOCUS28300 transcript:rna-LATHSAT_LOCUS28300 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKMILTVPSSQALFGAFLFATTFLWTSPEFVLATSHSGSVTRHYKFDIKLANVTRLCHTKSIITVNGKFPGPRIVVREGDRLLVKVVNHVPNNITLHWHGVRQLGSGWSDGPSYITQCPIKTGQSYVYNFTIVGQRGTLFWHAHFSWLRATLYGPLILLPSHNQSYPFQKPYKEVPILFGEWWNADPEAVIAQALQTGGGPNVSDAYTINGLPGPLYNCSKDTYKLKVKPGKTYLLRLINAALNDELFFTIANHTLIIVEADASYVKPFESDTILLGPGQTTNVLLKTKPNYPNSTFYMLARPYFTGMGTFDNSTVAGILEYTKPYNNQTIITNLPIIKPSLPSINDTNFVANFSNRFLSLNSPKYPANVPKTIDKNFFFTVGLGTSPCPKNQTCQGPNNSSKFAASMNNESFALPSIALLQQHYFSGQANNGSYTTDFPVVPLRPFNYTGTPPNNTMVSNGTKTVVIPYNTRVQVVLQETSILGAESHPLHLHGFNFFVVGQGFGNFNESSDPAKFNLVDPVERNTVAVPSGGWVAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVEDGKLPNQKLPPPPKDLPKC >CAK8576085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:402868675:402871598:-1 gene:gene-LATHSAT_LOCUS28300 transcript:rna-LATHSAT_LOCUS28300-2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVPSSQALFGAFLFATTFLWTSPEFVLATSHSGSVTRHYKFDIKLANVTRLCHTKSIITVNGKFPGPRIVVREGDRLLVKVVNHVPNNITLHWHGVRQLGSGWSDGPSYITQCPIKTGQSYVYNFTIVGQRGTLFWHAHFSWLRATLYGPLILLPSHNQSYPFQKPYKEVPILFGEWWNADPEAVIAQALQTGGGPNVSDAYTINGLPGPLYNCSKDTYKLKVKPGKTYLLRLINAALNDELFFTIANHTLIIVEADASYVKPFESDTILLGPGQTTNVLLKTKPNYPNSTFYMLARPYFTGMGTFDNSTVAGILEYTKPYNNQTIITNLPIIKPSLPSINDTNFVANFSNRFLSLNSPKYPANVPKTIDKNFFFTVGLGTSPCPKNQTCQGPNNSSKFAASMNNESFALPSIALLQQHYFSGQANNGSYTTDFPVVPLRPFNYTGTPPNNTMVSNGTKTVVIPYNTRVQVVLQETSILGAESHPLHLHGFNFFVVGQGFGNFNESSDPAKFNLVDPVERNTVAVPSGGWVAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVEDGKLPNQKLPPPPKDLPKC >CAK8561183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:114741716:114742444:-1 gene:gene-LATHSAT_LOCUS14795 transcript:rna-LATHSAT_LOCUS14795 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHNTNSMVHFFLLSSMIIGIGMSDSSKDKQECTPQLASLATCLPYVEGEGKTPATDCCDGLKTLLKTNEKCLCLIIKDRNDPDLGGIVINVTLALNLPKVCNAPANVSKCPELLHMNPKSTEAQVFYNLKNGSTNIGPSVAPSSSHGATTHANSTTEKSDAFSKEKLFGLDVLAVGILVWFLMSSRDGNIFI >CAK8536339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932106450:932110103:-1 gene:gene-LATHSAT_LOCUS5716 transcript:rna-LATHSAT_LOCUS5716 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFDGNAAFSGGGFMPQTTPVADSPLTPSKNRDTQTLLPLTIKQINDALQSCDDRTNLTIDGVDVGTISLVGRMCNKTEHVTDVKFVLDDGTGMIECTKWLQEPADSIQVESILNGMYVRVYGHLKGFQGKKNINVFSFRPVTDFNEIAHHFIHCIYVHLYNSKLRASIPNQQRAPNSTQITPTKGYQPQAIPPNQFSGQHNNGQKSVEVLVLDVLHLPANRIKDEGTSVDVIAQRVGIPMDKLMLAIDNLIGEGAIYETMPNKYKSAVDG >CAK8578730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650399989:650400294:1 gene:gene-LATHSAT_LOCUS30716 transcript:rna-LATHSAT_LOCUS30716 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQHVILLALIMLAMIGLSRAADPPMPSEDDYEEDDDEFLIGTRSGDPPSASRGVVAAPIGGPVPPGAFDSTKSAAPSTQFSAIVGTAVSAAVAGLFYF >CAK8536770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:17527048:17527620:-1 gene:gene-LATHSAT_LOCUS6103 transcript:rna-LATHSAT_LOCUS6103 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKMPKRPREPQMERDLDFEESSKKHKPYNHILSLLDLEEEESTQDLSPMIRTLQQEITRASDNYQDTLLISQNDQNNLTSFGCNILEDDSTLSCFSSTTSQHVLKEEEEEDEKVKVMRHLLQASDDELGIPSTSGDDYGVVGFGGEDYGFNGGDEFSSSLCDKLWELEDERANYYSLLQSELFLWGN >CAK8537492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:374515768:374517021:-1 gene:gene-LATHSAT_LOCUS6781 transcript:rna-LATHSAT_LOCUS6781 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSISSSGDEEYDSRTHHPTLLPSNFFNQQQPSLQFHSISHNPQSFHLGSNSNSNSVSFFDLSPNYLQNLPQSQPNIDTSSFPPSSQGLSSSSSLSFSLQQQQHSNQCLLTTPQALGLNDNNNNNARTTSTPSPSPATTNNATRNSKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFSGSSYSRRLDLLTSSSSLRSSNSSHHFDPTATTTTSFYPLRPSPQKLYHQNPLLLSSSSSSPHNNNMVDATVNNNYHQQLPISDLGLPYNNHQQQQQHNFMLSMQNQQPIHTFNNLSASSSQQFHPFSLGAFGAKSQQQQQQTSLSVQSLEDLGVNQGQVNAHVGGDKVVGSSSTGGGVGSNCKVNFSGSGSITLNHEKTMENNNNNNDNSNNSNRGVEGVDSWICSSD >CAK8535523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:858064040:858064408:-1 gene:gene-LATHSAT_LOCUS4978 transcript:rna-LATHSAT_LOCUS4978 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKKNQVSSKQDENEDIKRAMNMKTPKREEDSLKKKVRFKIQDGDSSTMRIKLVVGKEELKRVLRNKNIENGVKNSSLEELLNDMKLKEKSVAKFEEVDGGLSCWRPDLDSIPEDYSMK >CAK8544153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668141971:668143233:1 gene:gene-LATHSAT_LOCUS12855 transcript:rna-LATHSAT_LOCUS12855 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDEIDVPTYFLCPISLEIMKDPVTVSTGITYDRESIEKWLFSKKNKTCPITKQQLSDYTDLTPNHTLRRLIQAWCTLNASQGIERIPTPKPPINKIQIAKLIKEASHSNLNIQIKSLKKLKSFASGSETNKRCMEDAGAVEFLASIVINNSCNIDSASLLVSETNVNPVDEALSILHNLHVSEAGLKNLLAFKNCEFIESLTKVMQKGFFESRAYAVFLLKSMSEVAEQVQLLHQKTELFVELVQVLKDQISQKVSKAALQTLIHLCPCGRNRIKGVEAGTVPVLIELLLENCKDRKPNEMMLVLLECLCQCAEGRAELLRHGAGMAVVSKKILRVSSVANDRAVRILLSVSRFSATHNVVQEMLKLGVVAKLCLVLQVDCGSKTKEKAREILKMHARVWRDSPCIPSNLLSSYPNYI >CAK8543084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:575164114:575164593:1 gene:gene-LATHSAT_LOCUS11870 transcript:rna-LATHSAT_LOCUS11870 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISTGSTNSVISSLQQKTPTKIFKSKKKNNKPIKVVYISNPMKVNTSASEFMALVQELTGQYAESPPDPSKFQEFVGDISVADPECENIRMVCDENDHTVVTVPPLVGSDEQVVKPGGGCSYEGFDEDVLLTPQLVENVWDLLPTTAFYETFQLDSY >CAK8571104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:297321556:297322834:1 gene:gene-LATHSAT_LOCUS23797 transcript:rna-LATHSAT_LOCUS23797 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQPNTQSTNIWSLNTLLSLKQRARDLVGHRYLKYLLVGSLYQLGIISLQRLANKYIIVTLLRLGQTPTMVVSSTRLANEALKTNVALEIQPRNIPDEDHEILEEFNVVILPKEEQRQPPIHICFI >CAK8535332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838884241:838891628:-1 gene:gene-LATHSAT_LOCUS4798 transcript:rna-LATHSAT_LOCUS4798 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRKTEAMEPPPVPKRRGRPRKQRRTENGAVTDVSKKPKPIVLIGRYVLKQFSKRYRIGKVVSYKIGLYRVEFENRVSEDLDSAVIRKILLMDCDFNANLIRRKKKLDELLSRKMDEAMEKSSSELRAENEKEQDVTGEVTDDSMDLGLEAETRLELPTIDLPPKLQLPPSSKTIGVPENYVSHLFSVYAFLRSFSFRLFLSPFTLDEFVGALNCCVSNALLDAVHVSLMRALKRHLEYLSAEGSKIASKYLRYSDWSLLDALTWPVFLIQYVTVYGYTKGSEWKGFYNEILCGEYYSLPASRKLMILQILCEDVLESEELKAEMNMREALEVGKDYDAEDIPHDDNGLNRVHQQHAKTADCQDEESLKSVSKLDAVNSHGNSEDEVDNNGDECRLCGMDGTLLCCDGCPAVYHSRCIGVMKMYIPEGEWYCPECKINMTGPTIARGTSLKGAEIFGVDLYGQLFMGTCDHLLVLNDSSSEFCLKYYNQNDIPEVIRVLYASMQHRHLYSSICTAILQYWNISESFILPCVPNGVHINSEYSKIDENTSVTLLPHVVENDHRGVSLGKAEDGLTSVNGICSDNMVPSLDASLVTTCPTHETGDNTITKESPSTNMKLQKETVTNSGLFTGNHQSDTSCTNPDNRSTADILAKCSSVSNQFNNNGHANDMGLPMNLSLQTKGDQTGFGKDKGNITNDFVYMGCSYKPQSYINYYMHGDFAASAAANLAILSSEESRSEGHVTDLRRATPENTNLIAKAFSLTVSRFVWPSSDKKLAEVPRERCGWCLSCKAPASSKKGCMLNHAAICATKSALRIFSGLAPVRIGEGIGPTVATYVIYMEESLHGLIDGPFLSGNYRKQWREQMERATSFNNIKPLLLKLEENIRSIAFCGEWLKLTDEWLVESLTIQSATSILGTTQTRASCDICRKQPIKYAVDPCHENFSWRNSKFTKSVFQKAALPKFMVRKAARQGGSKKIMSIIYPDSGVSEIPKRSRQLVWRAAVQMSRNASQLALQVRYLDFHIRWIDLIRPECNLQDGKVQDTEVSAFRNANICDKKRGVGKTSYDIDFGSQKHIPSRVLKNAKIVQGPKREKYWFSETRIPLYLIKEFEVSNEKEPSYKDHLNIASQWHKRRLNAIFVDIFSYLTHKRDNLDMPSCSVCKQGVFFRNALKCSACLGYCHEGCSIIPTFPTYTDTEFLTTCKQCYHTRLLTQKETNNKSPTSPLLLKEHEHSSLTILKGLKPKCDNQILLSTREKDCQSDMEKVASYSSLEAKSSRRKSSWGIIWKKNNSEDTGLDFRLKKIILKRRTSLPQLEPVCQVCQMPYRPDLMYIGCETCTRWYHAEALELEESKIFSVLGFKCCRCRKIKSPACPYAQASNKKDPEADSGSGTLADARASEPDTLIFPAEEVSKRRTLADARASEPDTLIFPAEKVSRRRTLADTRASEPDTPIFPAEKVSRRRTLADTRASEPDTPIFPAEEVSKRRTLANARAIEPDSPIFPAEEVSKCRTLADARASEPNTLIFPTEEVSKQKNNPLSFSLPNVELIAEPNFEVDAGIESNLVSGSDLQKMPTRSHFIPEGDSNCSFGGQIPHAKISNEMDNLPAEVFPPFVEQDSLAAHYKFLNYSEIIGNEYTEFETESELMDIDFEEDYAPGDLSGITKDSCTLDVPVELASTSLNNNQGPTISSNQNVHSCTECSQTEPAPDLNCKGCPKRIHRKCSRWIESSMLEEVWKCGDCRDWS >CAK8578385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625764281:625768216:-1 gene:gene-LATHSAT_LOCUS30402 transcript:rna-LATHSAT_LOCUS30402 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVAAEVEENLFAVSDAKLHGQMCKALSIVYCKVLSVFPSLEAARPRSKTGIQALCSLHVALEKAKNVLHHCSVCSKLYLAVTGDSVLLKFEKAKCALEDSLRRVEDIVPQSIGYQVQEIVNEFASVEFALDPLEKQVGDDLIALLQQGKKFDDDSESYELESFHQAATRLGITSSRAALAERRALKKVIDKARAEEDKRKESIVAYLLHLMRKYSKLFRNEFSDDTDSQGSGPCSPTVQGSNEDGVPVCHSQAFGRQLSKLSSFSFTPNNNMEPGQMALPPEELRCPISLHLMYDPVIIASGQTYERLCIEKWFSDGHNNCPKTQQNLPHLCLTPNYCVKGLVASWCEQNGIPIPEGPPESLDLNYWRLVISESESANSRSVNSVSSCKLQGVKVVPLEESCISKEYGEIEAESVSAQEEDTEQYLSFLKVLTEESNGKRKYEVVEQLRLLLRDDEEARILMGANGFVEALIQFLQSALHERNLMAQESGAMALFNLAVNNDRNKEIMLSAGVLSLLEEMISNTSSYGCATALYLNLSCLEEAKPMIGMSQAVRFLTQLLQSDYDIQYKHDSLHALYNLSTLPSNIPYLLSSGIVNGLQSLLVDQGDDCTWTEKCIAILINLATSQVGREEMVSTPGLISALASILDTDELEVQEQAVSCLLILCNRSEQCSDMVLQEGVIPALVSISVNGTQRGQEKAQKLLMLFRQQRRDESPVEAHECPPETSDLSVPPAEVKPLCKSMTRRKTGKGFGLFWRSKSYSVYQC >CAK8562359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:477908873:477911454:-1 gene:gene-LATHSAT_LOCUS15861 transcript:rna-LATHSAT_LOCUS15861 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILTPIIFGVLACWSTLSVIAEDRSLIFTWEITKGTIFPLGVPQEAILINGQFPGPTIDAITNDNIVVNVINKLDDKFLITWSGVKQRRTSWQDGVLGTNCPIPPKTNWTYKFQLKDQIGTYTYFPSTKIHKAAGGFGGLNIAQRSVIAIPYPAPDGEFTLLIGDWYKTNHKVLRRLLDLGKSLPLPDALLINGQKDIAVFTGEAGKTYKFRVSNVGIATSINFQIQDHSLKLIEVEGAHTLQETYKSLDIHVGQSMTFLVTLDKSVSDYYIVASSRFVQPNLTSTATLRYSGSNRKVSGQLPIDPTIDLDWSMKQARTIRLNLTANAARPNPQGSFHYGTIPVMRILQLANSKSVINGKLRYAVNGISHINPSTPLKLADYFNIPGIFDLNTIKDAPSPGSIVKFGTSVVGFTLHDFAEIIFQNNENTIQSWHMDGSSFYVVGFGNGIWTPNVRNTYNLDDGISRHTVQVYPKSWTAILVSLDNKGMWNLRSAIWENRYLGQELYMRVWNNEQSLYTETNVPLNALFCGKAKHLPKF >CAK8573178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591649595:591650866:-1 gene:gene-LATHSAT_LOCUS25655 transcript:rna-LATHSAT_LOCUS25655 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQVCSSVDAIRAFLEHFVDLMLPEKPSIQDDPPLSQQQKIANQDHSVVLLYNYYHRKQNPDLSYVGFREFCKLIVDMRPALLPYMKFTAKPNETDLVDVEEQLSLTGKAITSSYDICTILNPSRSVPNIEGWPISKVAVLLVDSKENCFLRFCSTTGGVWSLIEKDVDTSGQISEVTRDVKSTYQKRRVIKKPSKDGLNEGRILEVGYSAVKEAAGTGVNSIDIMLLKSYIVYSQSKEKTASRFYIMKCSKLISEGFIQVPIIDLVKSFQGPLVKRSSSSWMVTPVVKHFHMLPYSEIISEWISSETFSNSLQDSKPAEKQLLTRGVTESRVSNEDMSFGLDNKTCSDPVEALNQKGNNGFCSNKRCSTVKKDQDMDMNNSLVFRSKIKEECQQHIANTLQVSEDQKIENPSAAKFLHFG >CAK8540964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36200777:36201619:-1 gene:gene-LATHSAT_LOCUS9918 transcript:rna-LATHSAT_LOCUS9918 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTEWATLTEFVKYLGRTGKCMVEETPKGWFITYIDRDSETLFKEKTKNKRIKADLVDEEKQEKEIQKQIERAEQLMQLSNPESDKPSQVETTRELNAEDGIKIGFSLGSSAKPISKDKGEASRMSFDEEKYEEKSPVNNLKRNENGGGKSTLDEMISEEERKKEKINRKDYWLHEGIVVKVMSKVLAEKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQEELETIPQVWGRVKIVNGAYRGSLARLLGVDTDRICAKVQIEKGAYDGRVLKAVEY >CAK8533958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675033651:675037360:-1 gene:gene-LATHSAT_LOCUS3540 transcript:rna-LATHSAT_LOCUS3540 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIGEKFKLGRKIGSGSFGEIYLASHIDTFEIVAVKIETGKTKHPQLLYEAKLYNILQGGSGIPSIRWSGVDGEDNVLVMDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMTRIEYVHSKGFLHRDIKPDNFLMGLGRKANLVYVIDFGLAKRYRDSATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQNLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRELFAREGYKFDYVFDWTILKYQQSQKSRVQPHISPVPVVSNNRAMPMDVDNHQGDISAEQIKSDNATTSGVKFQFKSSGGKNIASENSLGKNIFGEAPIPSTSYSFEASRRNSLKPSPSPEAANHRHGQGSSKIGPSSSRMNVLHLNSAK >CAK8535106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814476002:814480793:-1 gene:gene-LATHSAT_LOCUS4583 transcript:rna-LATHSAT_LOCUS4583 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCCKPSAIEDSNESPRERLSNKAVVDSRVSSRGTSSRREEVYRVKDRGDSNNDARTALIDKQGQGNGSVRVHGDNFERKREKMEYVVAQHPGIGTVPKALEGEHVAAGWPSWLAAVAGEAIKGWLPRRADSFEKLDKIGQGTYSNVYRARDLEQRKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTESQVKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDNNGVLKIADFGLASFFDPNLNQPLTSRVVTLWYRPPELLLGATYYATAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKDFPAPAIDLIETLLSIDPADRGTSASALMSEFFSIKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQGATGSKGQRHDPERRGVRESRAVPAPDANAELVVSMQKRQGQNYSQSRSEKFNPHPEEAASGFPIEPPRPSQVAEASVDPQANQHKRASHSGPLTHRAAWAKAGKNQDDAPKISVGGDLSTISGLVAARRSMLSDDRRESSGSSQVEAPKLITRFPGSFKEASESLMQQNQNQKHHVHASQKEEAKGNNKDPNVAGYGSKGYKIHYSGPLLVPSSNMDQMLKDHDRQIQEAVRRARLDKAKMRRLQAEGNQISNSLFVSGR >CAK8536511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948550052:948551309:-1 gene:gene-LATHSAT_LOCUS5872 transcript:rna-LATHSAT_LOCUS5872 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSCGDKNGLRKGKWTPEEDNKLIAYVTRYGSWNWRQLPKFAGLERCGKSCRLRWLNYLKPDLKRGNFTQQEEDTIIKLHQKLGNRWIVIAANLPGRTDNEIKNHWHTNLKKRFVKNTKSDTDTNSGTGNSKHTNSDNPTMAEENKHEGVLENNCGPNIKTPLSSIPSSSGTKDTPTTTEISYENYLLDEFPLMDAYMDVLNDNFWTEPYMIDNSYVPPSDEATLIAVGYEHEYFSPVYHEQLWRHGE >CAK8561179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:113099172:113100996:1 gene:gene-LATHSAT_LOCUS14791 transcript:rna-LATHSAT_LOCUS14791 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKTKVTTVATEITSTATSPVAKINGRPVLQPTCNRVPNIERRNSIKKLPPKSLSPPTSPPLQTKTSSTPPLSPKLLKSPRPTPTPTKRINETNLLNTSSEKIVTPRNSTKTSALKKSKSFKEGSCGNSTESCLSYSSSLITDSPGSIAGLRREHMALQHAQRKMKIAHYGRSKSAKFESVVVPLDTLNHLASKTSEVEEKRCSFITANSDPIYIAYHDEEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQDFRTAFSEFNPATLANLTDKKMISISLEYGIDISRVRGVVDNANRILEVNKEFGSFDKYIWSFVNHKPISTQYKFGHKIPVKTSKSESISKDMIRRGFRFVGPTMVHSFMQAAGLTNDHLITCHRHLQCTLLSC >CAK8543924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649608520:649612310:1 gene:gene-LATHSAT_LOCUS12646 transcript:rna-LATHSAT_LOCUS12646 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFKSSCFLQLNKPESCFRVSFSTQKTIVSVKRYTPVAAIKTMETVGLSETFKRLKEQGKVALIPYVTAGDPDLLTTSEALKVLDSCGCDIIELGVPYSDPLADGPVIQAAATRALARGTNFDSIIAMLKEVIPQLSTPIALFTYYNPILKRGTGKFMATVRDTGVHGLVVPDVPLEETKMLREEAKKNGIELVLLTTPTTPANRMKAIVDAAEGFVYLVSSVGVTGARASVSAKVQALLREIKEATTKPVAVGFGISTPEHVKQIAGWGADGVIVGSAIVKVLGDAKSPQEGLKELEIFTRSLKSALD >CAK8560912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57336819:57338580:-1 gene:gene-LATHSAT_LOCUS14551 transcript:rna-LATHSAT_LOCUS14551 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTIEFGGGLELLCNSKKIHHVNVEFEPQNGEDKLTMRELLSWVQTNLIKERPEMFIKGDTVRPGVLVLVNDCDWELSGQLNTSLEEKDVVVFISTLHGG >CAK8576756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511411557:511412318:1 gene:gene-LATHSAT_LOCUS28925 transcript:rna-LATHSAT_LOCUS28925 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKHCEFNIPKVTLSADPITSPDDYVVAAIYTNRGALAFIKVGQEFWTYIQENHPCGFVDLTFYKGLVYTVSRWKKIVSFELCYSSDPHDPYGRERRNPNVVLERSEDEIYSPLTYLVKSLEGELWMVRRFITREEDSTNKGTKDFHVFKLKLDHKGENLIHLLKLESLGDNVLFLGDGDSTSISASYFSNSLQKNSIYYSDNYFDDEPNSYPQGPFDLGFYNVKHASFGLHCPYKSYFKNMAPPIWIAPYF >CAK8565138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44869042:44869993:-1 gene:gene-LATHSAT_LOCUS18374 transcript:rna-LATHSAT_LOCUS18374 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAIKQQRRVERSSNFRGLAPLYQIYIAVTKIKQKEEEIKVPPTYEAEMASEYVECGGHGNADKTRGTSNKFEHCERRLSFVELNIRGGKGDD >CAK8576295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:446774646:446776212:-1 gene:gene-LATHSAT_LOCUS28495 transcript:rna-LATHSAT_LOCUS28495 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSTFSTYFLLLLLVPINGKPVVPALFMFGDSSLDVGNNNNLITLAKANYSPYGRDYENHIPTGRFCNGKLTIDYASESFGFTSPQPPYANLNTKGDNLLHGASFASSGSGFLDSTAKLYNTITMNQQLEYYKDYQKELMKIAGKSDALSIISDGVYFIGSGSCDFLLNYYIYPWNYNVYTPYQYSDIMIQHYSDLIQNLYALGARKIGVPTLVPIGCLPAAITVFGFHSNKCVEKLNDVAVYFNKKLNSTSQNLQKMLPGVNLKVLETYQLFYDIANNPSKYGFIEARKGCCGIGVIEVSVLCNQNSIGTCVDASQYVFWDSFHPTGAFNKIMAAHLVSEASPLLS >CAK8572171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:516890581:516894228:1 gene:gene-LATHSAT_LOCUS24764 transcript:rna-LATHSAT_LOCUS24764 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGTKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIGQEDYVMLSATQERNEAKEYYELTREGEQPLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMTMILELIKDAFEYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGEDEERETCKNCNTSKWKTNEAVFVNKKKKKIPAKVLRYFPLKPRLQRLFLSSKTAEDMRWHAIDANNDGMLRHPRDSEAWKKFDLTHTWFSSDPRNVRLALASDGFNPFGVMSTNNSIWPVVLIPYNTPPWVCMKQTSFIMSMIIPGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHRRFLDRRHRFRLNRIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVREKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMGIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPIHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8579034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:668349085:668349929:1 gene:gene-LATHSAT_LOCUS31009 transcript:rna-LATHSAT_LOCUS31009 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNDFNKSSRTEYYRRILGEAVSGDSPAESRMRGDLHVRSFVLSSLNYLLNIEFARYKISTNWDHKADWANGKGGVSRSAIDYKKTFPELQPSLSIQDTNNYLYHNH >CAK8561731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:368226182:368231439:-1 gene:gene-LATHSAT_LOCUS15292 transcript:rna-LATHSAT_LOCUS15292 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPMVLDPKPVSEPPPSLLSSKSDYIYGGDSASDEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYNDIGGCDIQKQEIREAVELPLTHHDLYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >CAK8532961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:555400768:555401232:1 gene:gene-LATHSAT_LOCUS2616 transcript:rna-LATHSAT_LOCUS2616-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEQMPLMKSVVYESFRIEPPVPLQYGKAKYDFVIENDENVFQVKEGEMLFGFQPFATKDPKIFERADEFVAERFIGEEGEKLLKHVLWSNGPETEQPTVGNKQCAGKDFVVLFSRLLVVELFLRYDTFGVHVEKAPIGSAITFTSLKRATY >CAK8532960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:555400651:555401232:1 gene:gene-LATHSAT_LOCUS2616 transcript:rna-LATHSAT_LOCUS2616 gene_biotype:protein_coding transcript_biotype:protein_coding MACPKDVNRGGGGGGGVNLHAKLAKEIRSVVKSNGGKVTMAALEQMPLMKSVVYESFRIEPPVPLQYGKAKYDFVIENDENVFQVKEGEMLFGFQPFATKDPKIFERADEFVAERFIGEEGEKLLKHVLWSNGPETEQPTVGNKQCAGKDFVVLFSRLLVVELFLRYDTFGVHVEKAPIGSAITFTSLKRATY >CAK8539800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525674189:525678075:1 gene:gene-LATHSAT_LOCUS8862 transcript:rna-LATHSAT_LOCUS8862 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHKMNFVITISTVFFWSILSNITSAQNSTNCLKRCGDEIVQYPFGFSEGCGIKLDCYDNTPRIGEFLIQNVTEKSLLIYLPAKCNRSMESIRPLFRENFAPTRNNSFLVQGCSAPLGGCVIPASSFVGNQIEVESCDSKSSNISCFNEHKGEVDVLSYEELNKTKCSYLFSAVSVEQNKGISLQFQAVELGWWIQGSCDCSNNATCSKVRLQGNGFGFRCQCLDGFRGDGFAKGTGCRRAPRCSASTLTSGGCGSATKIGVAVGVIITGVVIVAALVLLCYCARRRFTWLRKHTRVKRLLREAAGNSIVPLYTYKDIEKATNSFSDKQTLGTGAFGTVYAGKLHNDEFVAIKKIRHRDTNSVDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEQILVYEYMPNGTLSQHLQRERGKGLPWTIRLTIASETANAIAYLHSAIHPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGMIETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFGRPQSEINLAALAVDRIRRGSIDEIVDPFIEPNRDAWTLYSIHKVAELAFRCLAFHSDTRPTMMEVAEELEYIRRRAWTTMEEAICLGSSVGSVSSSPRDGSEKSVSGIKLNKVGQESEGLIVPPKNEDLLQSMELEVKDSSPVSVHNPWSSGNSSPSTNSLLGNIVR >CAK8576388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:469062157:469063689:-1 gene:gene-LATHSAT_LOCUS28577 transcript:rna-LATHSAT_LOCUS28577 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIRADEISQIIRERIEQYNTEVKIVNTGTVLQVGDDIARIYGLDEVMAGELVEFKEGTVGIALNLESKNVGVVLMGDGLMIQEGSSVKAIGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTTVATDTILNQQGQNVVCVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPSREAYPGDVFYLHSRLLERAAKLSCQLGEGSMTALPIVETQAGDVSAYIPTNVISITDGQIFLSADLFNVGIRPAINVGLSVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFSIDLEKTTQNQLARGQRLRELLKQSQSSPITVEEQIITIYTGTNSYLDSLEIQQVRKFLVKLRAYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEQMELFLLQEQVEKN >CAK8538996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501308953:501316415:1 gene:gene-LATHSAT_LOCUS8136 transcript:rna-LATHSAT_LOCUS8136 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCGTKKNSAREKTRTPTPLSSHSPVTPSSLRRNLFNDNEIPNRPHTGTPAPWTPRLSVLARVPQVNRNGKEDHTDPIKPVFVSEFPQIVCDEQATSPHKRVPFEDCGGCGGIDKSTSLAWIICGSKVFVWSYLSPAASMNCVVLEIPLNDGDVADYDAGSWLVSVVNCDSSSFGSNKVAKHIAVVLCNRKTRAVVYWPDIYSQSRNAPVTSLASFDELEAVGEKTPFKRQTRQSKQATDLNGLNVFNSLIASAVPSYTFACVALACSSRGELWQFECSPTGIRRRKVYENIVNFSHEGGDLGKLVSNKGYPRSLTWRFPHHSTKESVRQFLVLTDCEIQCFEIKFSSDMLVARLWSQEIVGTDAELGIKKDLAGQKGIWPLDVQVDDHGKVITILVATFCKDRISSSSYMQYSLLTMQYKSGYDAEGTNERILEKKVPIEVIIPKARVEDEDFLYSMRLRIGGKPSGSKVIISGDGTATVSHYHRNATRLYQFDLPYDAGKVLDASVLPSADDYEEGAWVVLTEKAGIWVIPEKAVVLGGVEPPERSLSRKGSSNERSAQEEIRNLTFTGNFAPRRASSEAWGTGDRQRAALSGITRRTAQDEESEALLNHFFNEFLSSGQVNGSLEKLETSGSFERDGETNVFMRMSKSIIDTLAKHWTTTRGAEILSMAVVSTQLLEKQQKHQKFLHFLALSKSHEELCSRQRHALQIILEHGEKLSAMIQLRELQNLISQNRSTSVGSSNSNVDIQMSGALWDMIQLVGDRARRNTVLLMDRDNAEVFYSKVSDLENFFYCLDADLEYVIGPEHPFATQVQRACELSNVCVSIIRTCFDYKNENRLWYPPPEGLTPWYCQPVVRKGIWGVGSVLLQLLNDTSGFDKTAKLELYSHLEALAEVLLEAYSGAVTAKIEREEEHKGLLNEYWERRDALLESLYQQVKEFEAIYKDSIEGAEELNEEATMKITSHLLSIAKRHGCYKVMWTICCDVNDSVLLRNVMHESLGPTGGFSYYVFQKLHESKQFSELLRLGEEFPEELSIFLKEHPDLLWLHDLFLHHFSSASETLHTLALTQNMQSTEEEEQMDMKLKLTDRKNLLYLSKIAAFAAGKDAGTQVKVDRVEADLKILKLQEEVMKCLASLEDKQLVDDRLLHPEDLIKLCLEGEEPELLLWTFDAFAWTSSSFRKTHRKLLEDCWKKAASQDDWSKFHDAYTVEGWSDEETLQNLKNTVLFQASSRCYAPQSETFEEGFDQVLPLRQDNMETSTLGDMSSSVETILMQHKDFPVAGKLMLMAVMLGSEHSGDDRIEEGPSPME >CAK8533261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597705830:597710349:1 gene:gene-LATHSAT_LOCUS2904 transcript:rna-LATHSAT_LOCUS2904 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEASKLTLPTPSSLSSPHTTTTTSILFEPTTLSLAITHSNSSISLFPSFSPLSLSSSLQFPQTLIPKPSSSSTFLILQQSPISTNPNSVIFLVCGPHRAGSQILLRFYILNRITNCFSRVNRISCGSQSESRFLRFEPELGVLMDAKHGVSVEVVGSVNYFAVYSVSSFKVWVFAVKMVEDEEGGGLRLMKCAVIRCSRPVWSLSISFGFLVLGEENGVRVFGLRRLVKGKMVGRRVGNLNSNSNSKLGLKQLQNGDHHGKYKGGGDGGGKTRSGDEATCNGGLEGKNEKHGVAVKQTIAKYKHDNKDGGACFLALKGNEVETKSMPKVSKSVKAISIKALSQRMFLILDSHGDLHLLCLYNSGLGVDIAGHVKQLPRVMKVQSLAVHLDESTTSQTIWISDGCHSVHMFMMDTEKALNEADGNDGDEKKPMHFPVTQVLFSSEKIQDIISISANSILILGQGSLYAHAIS >CAK8542902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557602623:557604229:1 gene:gene-LATHSAT_LOCUS11700 transcript:rna-LATHSAT_LOCUS11700 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSTRPQVQPQTLHIQAQDSSFTNTTTVTGQAVKWIFQILFYLQLFLISALVIFITRYYRTSDSSTTHHFHPHKWYPPLLASTLCAGILGFTWHCIIACYPKRAFIAAFWLSPLLTLAMGILFMLIQSAWSWIPGLFSLLSAAIQSLYGLWVNNNNRFKYATEMFQDAIYFPLPKAKCLTFLSIIVATLYCCFLVYGIGGARAMENKTKLTDICIIVIIVSLVWTMQVMKNAMQVTISRVKYIFFTNNREMKIGNAFCDTIKHLIGSVSLGSILVPFIVLFRGFARSQHLLGGKNGECMFSCDPCAMGLAALLVSYGNRWGFVHVGVYNKGFVQASCDTWEMFMSRVGLQELIDLDLTGAFCFLSGVAVGAICCLVSGIWSLIVYKDYAMELSIYAFIIGYFICRLAIAWPQASVLAYYVAYAHNPEDMQFEETIKARLEQLRVSHLQRQNTADTEEIRRAYLQSP >CAK8569230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685240481:685242992:1 gene:gene-LATHSAT_LOCUS22108 transcript:rna-LATHSAT_LOCUS22108 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIVMSILPFLLLLLINDHGSFARDMNQVDQPYLDGWLKNTPLKNQKSSHNSNQVYLDGWLKDTRAEKENSNQVYLDGWLKDTRAEKENSNQVYVDGWLKDTRGEKENSNQVYLDGWLKDIRGEKEKLNHDSNQVYLDGWLKDARGEKEKSNPDSNQVYLDGWLKDTRAEKEKVNPDSNQVYLDGWLKNTRAEKAKANLNSNQVYLDGWLKDTRVENAKASPDSNQVYLDGWLKDTQVEKAKTNTDINQVYLDGWLKDIRAEKAKANPDSNQVYLDGWLKDTRAEEAKVNPDSNQVYLDGWLKDTRSEKLNVNPNSNQVYLDGWLKDTQANNEKSNINSNKVYLDGWLKDIRDLKEKSTIDSNQVYLDGWLKDTQAEKENSSPDSNQVYLDGWLKDSHVENAKSISNSKQAYLDGWLKDSHAENHMKIRHDFAESNENLSSKVDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSTQGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYDIHSTSYPTTSGAQLQNYTVMDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDTMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPRPPHATK >CAK8533363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:609460721:609462364:1 gene:gene-LATHSAT_LOCUS2998 transcript:rna-LATHSAT_LOCUS2998 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPTKTINVPPGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVANDPSGNKINALQQHITNLLCPSTPLFFNTLYDPFREGADFVRGYPFSLREGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIFHSKASNPFVNLRKEYKGIFWQEEIIPFFQNLVLSQECTTVQKCYIEMSKQVKEKLGKVDPYFDKLADAMVTWIEAWDELNPSKAS >CAK8531890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171882730:171885720:-1 gene:gene-LATHSAT_LOCUS1651 transcript:rna-LATHSAT_LOCUS1651 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLPETVSVSLRSQPSLSHPSSSSSSSQKSPQDPPQAPELSNFSQFPGGYYQMFPGIHPALIPGLTQPQIEEHANRGAGIYAVPVNPFDRHVTGLPYTTLIPLTYRTPTPSSEAAAAGENQGQTAQHPQQQQAAPQRQVVVRRFQIAFQIDLLLMLKLAAVIFLFNQDGSRQRLVVLVLFAVLVYLYQTGALTPIIRWLSQNMQRAAAPPRPPRPAARADNVPPARLEGDNAAPAEGQPEAAAGNQPANETDRAIENENGAEADRGNDGNQWWGIVKEIKMIVFGFITSLLPGFHNHMD >CAK8531891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:171882730:171885720:-1 gene:gene-LATHSAT_LOCUS1651 transcript:rna-LATHSAT_LOCUS1651-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLPETVSVSLRSQPSLSHPSSSSSSSQKSPQDPPQPQAPELSNFSQFPGGYYQMFPGIHPALIPGLTQPQIEEHANRGAGIYAVPVNPFDRHVTGLPYTTLIPLTYRTPTPSSEAAAAGENQGQTAQHPQQQQAAPQRQVVVRRFQIAFQIDLLLMLKLAAVIFLFNQDGSRQRLVVLVLFAVLVYLYQTGALTPIIRWLSQNMQRAAAPPRPPRPAARADNVPPARLEGDNAAPAEGQPEAAAGNQPANETDRAIENENGAEADRGNDGNQWWGIVKEIKMIVFGFITSLLPGFHNHMD >CAK8561546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:286991442:286995487:-1 gene:gene-LATHSAT_LOCUS15127 transcript:rna-LATHSAT_LOCUS15127 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPAIFLVFLIPSSLAQLSKAETRILFQVQKLLEYPQVLQGWNNWTNFCFLPPSLSLKIVCSNGRLIELTVIGDRTSPSSHSSEPFQALSGTFSTDSFFTVLTKLSNLKVLSLVSLGLWGTIPEKINRFSSLEILNISSNFISGEIPDSISSMKNLKSVVFADNLLNGNIPDLTSLSSLEEVNFDGNKLGPEFPSIGNNIVKIILRKNSIRSQILLDLSNFEKLQIFDVSINNLIGRIPNSIFSLPSLHYLNLSSNKFSGNLSTNLPCSSSSSLNYVDISHNFLIGKLPLCMVKSKAKVLYSWNCLSMKGLKDEQHSLSYCKRDAALAVKPQKRKVEKGSSMKLGLVLVIVGGVVGIACVLALIIVFVLWKSKPERLNRNMGGSVAHKFSEKSNMNARHVPQTMRLVTHGQPPYNIFTEEEIEDATNNFDQSNLIGEGSQGQIYKGWLRNGSVVLINCIKIKQKGLPHSIMQQLDVLQNLRHRHMVSVLGHCLITHQDPPQVTSTVFIVLEYISNVSLRDQLTDGKKKDMLKWPQRMAISIGIAKGVQFLHTGVAPGIFGNNLKIENILLDDSLNAKVSGYRIPLPSKSTVIEQSDAEKEDIYQLGVILLEVITGRQIASSNEVDQLKDEFERSSSEPPSSILRSTIDPSLRGSYAYESMNTAVQITINCLSKVSSKRPSIEDVLWNLQYSMQVQESWTSSGNLSTKF >CAK8565620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:293948849:293949655:-1 gene:gene-LATHSAT_LOCUS18817 transcript:rna-LATHSAT_LOCUS18817 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWTKKNPASKEYQNGLDYFLDSAYTKGKPRGKEISCPCANCYNSNWFTRNEKSKLGDLNDNHMNEEEDQLDDIDGLLHERFRDVVQEDNDVNISLNEDAKKIYNLVEEAKQDLYPGCKNFSKLSFIIRLYLSKCLYGWSNVSFDALLELLREAMPSLNIPDTFNKTKGMIRDLGLDYKKIDACPNDCMIYWKDHENDTSCHVCGAPRWNEDIKGNDHVEKNHKSHKVPSKVLRHFPLIPKLQ >CAK8564944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18045617:18047327:1 gene:gene-LATHSAT_LOCUS18194 transcript:rna-LATHSAT_LOCUS18194 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGLNIQKVVVPLDHASREIPMEENEEEIEDEDEDEVVVGEGNVPPHLIIRDDNGKVIIQTCGSGFVPAKEVANAINYVIHKQFYRGFYNWTTISADVKEKWFALFADKVSRDPQYEMFVRKTFYSKATIRLNDIMRKVRLKGTRPSWIGEDAWNELETYWKSDSFLKLSSQNKANRSLARGGAVHTSGRKAHVDVALELT >CAK8543047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:571283589:571284572:1 gene:gene-LATHSAT_LOCUS11834 transcript:rna-LATHSAT_LOCUS11834 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRIFIRNTEDKNLKPKMIEKSKSKSSEKLKNIAPSSEADSEKGKRVEETEAKLHDELKVITPSVESKDLLTLRLGNNNKPTSILLQNNENSSSSENVISKVLLEQEVKEFHCLFCNKKFSNSQALGGHQNAHKRKRVSKKIEQKMSEEEMDTILRYKPSFPYPYPYSSPTHYQGHPYFCGNLQQPVDTLTNNTMSSWLGSPYGGYGGTYMPNTPFPQTPFVMSMPRSPLISQKFGMPNFLARNQTHPLPIPQRSNTMELRLFVQDNQTPSSDEGAEGSSNAQFHSRDLLIETRDFGGESNLLVESDVSSSSKQSTLEELDLNLKL >CAK8571589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444854707:444855168:1 gene:gene-LATHSAT_LOCUS24234 transcript:rna-LATHSAT_LOCUS24234 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSFLTNQSYIFPNITKKSSEEEGMFEFDEAELYDSCTPPKKGGGNSISGLKKPSRKNIDGRVSPIGSASLPVSIPNWPNILEEKKKKKQHRKWESDDDDDDEDRVPPHEYLARTRGASLSVHEGFGRTLKGRDLCSVRNAIWKRVGFED >CAK8563821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632882165:632882698:1 gene:gene-LATHSAT_LOCUS17192 transcript:rna-LATHSAT_LOCUS17192 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLASFVLILYTTFVATHSQVVQPNVPKRIHNTCLETSHYDECLKYLTDDPKSSTADVHGLALIMVNVMKSKANVGVEKINQLIGSSSPDQNVALKSCADKYNAIIAVNIPQATESLQKKSSKLAMDSALNASDVVSDCEDGFSGKSPLTTENLVMDRVSAITAQICRQLVLGGQ >CAK8541211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89393296:89399595:-1 gene:gene-LATHSAT_LOCUS10146 transcript:rna-LATHSAT_LOCUS10146 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFYASLWSFIHFLPFFIGLLVLGSIKGVILLPLTCIIMTIGNSAIILGLWPAHVIWSYYCVLRARKLGPLLKLVICICVLPVLLISWPVLGIIGSIVGGAAYGFLQPIFATIQAVEEGKDDKLLHCFTDGTWSTIERSCMVVRDLKDVCFLSYFSVMDDLRLNGPPNSKYYEISLLYLPGAFLAAVIGLLIDVPVISFVAICKGPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVAGAVLASILASYFLGAYAGVVAYQESSFRFGLRYVIAALSLYDEYSNDILDMPEGTCFPRPQYRKNDDSSLRTSGSASVSRPSSFKKAPSRSSSIKNNIAELKSLELLDGLFRECCIVGEKLISEGLITRKDIEEAKSGKGSNVITIGLPAYCLLQGLLRSAKVNSMGILINDDTELTLTNRPREKFFEWFLNPLLIIKEQIKAENLSVSEEDYLCKLVLLNGEAERIKKSSIGPAPESEVKRAELDALARRLQGITKSMSRFPTFKRRFDDLVKTLSDDHAEKHGPPSTPTINRSKSAFGRLISFKSVRGARTYGSGHGSEHIVRDEENS >CAK8539998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534800433:534801179:-1 gene:gene-LATHSAT_LOCUS9041 transcript:rna-LATHSAT_LOCUS9041 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGGTLAMGRAEIDTGAPFKSVKEAVLLFGERVLVGEIYANKLKEMQNEVSETGNVQPKAGALAAELEETKRKLEGVKEEANLLSQSAKSLEKELELTKKELEESKVREMKLLQRRDDPETNEEIKFIANATNVEIKTQTDDDDNEETVQKRRYVKFASPHVLAQVIPNKDELLGRPTSVKKGKKKPALMPLIGWLFSKKKASYEVDHSPKS >CAK8531942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:180683964:180688481:1 gene:gene-LATHSAT_LOCUS1695 transcript:rna-LATHSAT_LOCUS1695 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCQVCSLPPEYCEFGSDFDKCKPWLIQNVPDLYPNLLNEANEKEADKVADKLQATGISSASSAGAASSGKPEEVKRLPGGKIKKKDKQEVIIEKVVRNKRKSITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPERAIFFIEDGKKVPAA >CAK8567852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550989070:550989505:1 gene:gene-LATHSAT_LOCUS20869 transcript:rna-LATHSAT_LOCUS20869 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVFTPKGSLLISQSRSREDLAHKLQKHGPMTLKSFAENDIFQLVELLIAEKKWLEESPSQAFPFRLTQSVWKSTRMGQCSLNHSTD >CAK8562964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562392074:562393993:1 gene:gene-LATHSAT_LOCUS16423 transcript:rna-LATHSAT_LOCUS16423 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFKATLTNLRFLNSSFPLRVTPTRSPQLNHNHQVSHFSPYTPPPLFTPFPFHDLRFPTTQQKRYFSSKPVSIVDLVLTNAWSQGFEHELEKCYPSLTHETVVYILKHLENDPQKASCFFNWVCKKEWFLASSSLCNLVLRILANKVTIDQFWVTLTMMKKKGFYLDRVTYTMILKGFRREKLNRDFACLKTFFKEMLKENVREGVFTKVVGIISGSEWSDEVMNELAELKIKYSDSFVIRVLKELRICPLKAYKFFCWVGKQSGFQQNSVTYNAVARVLARPGSVDEFWSVLEEMKSVNHELDIDTYMNIKMQFVKNQMMEDAVKLYEVMMNGPYEPSARDCCVLLNAIATNDSPNSELAFRVAEQYESAGHTLTKEIYDGIHQCLTSAGKFDEAENIVKTMRNAGYEPDNTTYRQMVFGLCKMKRVEEACKVLEEMESSGCIPDNKTWSILIQGHCAANEVEKASLCLVTMAQKGCDADANAIGVLVDSFLNREKIDNAYKFLVEMVRNHGASPRQRTYERLIENLLGIEKIEDAIDLLCLMRKHNYSPFIKPIVQYVSKSGTVEDAEKFLKAWRKKSPQSHSAYLHVFESFVGEGRLTEAKDLLSKCPSRIRKRKQVNGFFASVENQIAASASAN >CAK8567247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497770166:497776939:1 gene:gene-LATHSAT_LOCUS20316 transcript:rna-LATHSAT_LOCUS20316 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKLDLRLPSQRLDDIAPDPEPDWSFDALVYELNALENKLGANSTTQPLHIHKTTSRLNLSHGKEIEKNKRFVLRAPEFETESEDEDDKALVVASTGKHFTCDDLYLSDSDDSDVDPAFEVQPYLMNKGGEVEGALIELTHEHQLRVTDEVRNKISALETALMNESQNSISSLLRVEKYKETRQELDKKFDTQYQRQIAEALDNHLTAVQRDRELRSQIEERKIRNDAAYEEAKRKVALQEEKQQQEKAKAEAEAKLRAEEVKQAALEAERKAAMEAKTKAAMEAEKRAAAEAERRAEKDSVENSKIVTSGVTLNTTSSLLNTEAKESGYVYRAAGSALNIEHGRLQKLKELCDRNQAVRSSSNQDYTRYESHISRNIRQIRGIRDNVRSKASEIIKIFNDRQCPQTFSVEIFVKKVVSSCSSPASAPFAIAYVIVLITSQVPYVMDIFLAELHKACLYTVPKHMVYKKTIFQSQEAYFRSIGYREEDEKLESTEDYLKRLELYMKVYGALVQTEIPNIQNLHGLQEGWAWLARFLNSLPANQYTAVSLNAFLQVAGYALFRRYKSQFLKMLNVVSNNFLVDLKSRNVPESTKIVANIQAYIEDKMFLQVPEGKNLQSNTLSSEKEP >CAK8574258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672626586:672627419:-1 gene:gene-LATHSAT_LOCUS26618 transcript:rna-LATHSAT_LOCUS26618 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHELVIGTPIIYDQFQKSDNRYLLDSASHQCQYPSKHQSICRASSNQKKCSRKANIHSDSSARLGTNISETIKRKLSLGARILQVGGVEKMFTKYFNVIEGERLLKVCHCYLSTTSGPLAGLLFISTEKVSFCSDRYIKVFNQKGQMCRIRYKVVIPVKKIKCVNQSENVEKPRQKYINIVTVDNFDFWFMGVFKYQKTIKYLEQAISQV >CAK8570684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:87274862:87275134:-1 gene:gene-LATHSAT_LOCUS23419 transcript:rna-LATHSAT_LOCUS23419 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQLLPGKIQIAIEDEDVETELKFWESSLIMYVLGGDLSMNIVKNFMERMWNIIKLPDIHYHEEGYFILKFHSHTDMDTVMLKGPYTI >CAK8531238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92098891:92100820:1 gene:gene-LATHSAT_LOCUS1044 transcript:rna-LATHSAT_LOCUS1044 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRFAAVFSLLFLCWVLVPMAESAIGVNWGTLSSHRLKPSTVVDLLRDNKISKVKLFEADPHVLKALMGSGIQVMVGVPNEMLSLLGSSSVAADFWVRQNVSAYITKGGADIRYVAVGNEPFLTSYNGQFVNLVMPAVINIQQSLVKANLAGYVKIVVPCNADAYESSGGLPSQGVFRSELTQIMTQLVQFLNSNGSPFVVNIYPFLSLYDNGDFPQDYAFFQGTSHAVTDGSNVYTNAFDGNYDTLVAALGKLGYNQMPIVIGEIGWPSDGAVGANITAARVFNQGLVYHIASNKGTPLRPNAPPMDVYLFGLLDEGAKSTLPGNFERHWGIFSFDGQAKYSLNLGLGNKELRNARNVEYLPSRWCVAKPSSDLTNVVNHMKIACSVADCTTLNYGGSCNEIGEKGNISYAFNSYYQLRMQDSQSCEFDGLGMVTFLDPSVGDCHFLVGVIDKGLNSSASQSTYQWWVLALFLTLHWIFLLSM >CAK8544585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693803880:693804962:1 gene:gene-LATHSAT_LOCUS13246 transcript:rna-LATHSAT_LOCUS13246 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASIISLPEDCVSAILSRTSPQDACRFSMVSKTFVSVANSDLVWKNFLPSDYKNILSRAVNQFTLKFISSCKQLFRFLSRPLLLDGGNKSFKLEKCWGKKCYMLSATELAIAWSIDPMFWSWKSIPESRFLMVAELRTVNWLEIEGKIRTNILTPNTLYGAYLVMKVSHRAYGLDSAPSEVSVRKGNMVKRGKAYLCNKDENKCNMETLFYGNRRNRMVQEQEDGENVGVACKREDGWMEIEIGEFFSGEGDEEIQMSVAEVGHQLKGGLVLEGIEVRPKCS >CAK8560580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26598695:26599398:-1 gene:gene-LATHSAT_LOCUS14241 transcript:rna-LATHSAT_LOCUS14241 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGEVACSYSLMILHDDNIAVTGEKISTLLKAAKVDVETYWPNLFAKLAEKKNLDDLILNAVGGGAPASVSAPVAAASATAVAAPTEEKKEEPKEESDDDMGFSLFD >CAK8568234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584072330:584073169:-1 gene:gene-LATHSAT_LOCUS21213 transcript:rna-LATHSAT_LOCUS21213 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELTPFQRMFSEPNLPPQPQPSPIFSHPIPPPQPLIPPPQRPILYFSPGPSHPPPPSPPHQPPRVRARLNPRSEKSETIPIPFPWATNRRAKLHSLHYLRHNGIVNIIGDVQCKRCDTIFEMSFDVTKKFPKLWTYILENRQFMNDRAPPNVWMNPTLPDCVHCNQENCVKPIIVKKKKNINWLFLLLGQLLGCCNLAQLKYCCKHTNNHRTDTKDIVLYLTYLALCKQLDSTRPFNL >CAK8568235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584072330:584073058:-1 gene:gene-LATHSAT_LOCUS21213 transcript:rna-LATHSAT_LOCUS21213-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNQIPSPQPTVQRLVPQLRPFHFQPIFSHPIPPPQPLIPPPQRPILYFSPGPSHPPPPSPPHQPPRVRARLNPRSEKSETIPIPFPWATNRRAKLHSLHYLRHNGIVNIIGDVQCKRCDTIFEMSFDVTKKFPKLWTYILENRQFMNDRAPPNVWMNPTLPDCVHCNQENCVKPIIVKKKKNINWLFLLLGQLLGCCNLAQLKYCCKHTNNHRTDTKDIVLYLTYLALCKQLDSTRPFNL >CAK8560461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20657606:20658384:-1 gene:gene-LATHSAT_LOCUS14130 transcript:rna-LATHSAT_LOCUS14130 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEFTSPGAKLANRFNNGGGPPTLRIQGQSCHRIGSLLPLKGQPPKFAQLYIYETENEVHNRMQGLRDTKNIDPLIVHQLSEMLYEHNPRAKSFQMAKQWLSDGNTQNFKLRLISNRKTNGQIYNQPTVSEVAALVVGDIDTAEMRDIVMQTKGGQLQQINDIHASYLAYQYPLIFPYGEDGYRPNIAHRYLDIFQDNKRNRLTIREWLSF >CAK8531360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104714393:104718456:1 gene:gene-LATHSAT_LOCUS1157 transcript:rna-LATHSAT_LOCUS1157 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPHCLASLCLSSLLLTLVLFASDITCSSNNVTKIGNGYSLISIQETSDGALVGLLQINKKTKIYGPDIPLLRFYAKHETDNRLRVHITDANKQRWEVPYNLIPREQPPSLTQTIGKFKKVDPIEVSEYSGSELLFSYISNPFSFSVKRKSNGETLFNSTSTFSDPFSSLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPSDPYTLYTTDISAINLNADLYGSHPMYMDLRNNGGQASAHAVLLLNSNGMDVFYRGNSLTYKVIGGVFDFYFFSGPTPLNVVDQYTSLIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVDSYKKAQIPLDVIWNDDDHMDGHKDFTLNPNNYPRPKLLNFLNKIHSIGMKYIVIIDPGIGVNSSYGVYQRGLANDVFIKYEGEPFLAQVWPGAVNFPDFLNPKTVSWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKICPSGTGPGWICCLDCKNITKTRWDDPPYKINASGIQAPIGYKTIATSATHYNGVLEYDAHSIYGFSQSVATHKGLLAIEGKRPFILSRSTYVGSGKYAAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDSVAQSARNALGIRYKILPYLYTLNYEAHVSGSPIARPLFFTFPTYTECYGLSTQFLLGSSLMISPVLEQGKTQVKALFPPGSWYSLLDWTHTITSKDGTYVTLDAPLHVVNVHLYQNTILPMQQGGLISKEARTTPFTLIVTFPGGATEGEAKGTLFIDDDERPEIKLGNGYSSFINLYASVKQGGVKVWSEVQEGKFALDKGLIIDSISVLGLDGNVGAVASLELDGKPLIGKSGLDVTTSEHVDLNGEGNGESKTVMVALRGLSIPVGKNFAMTWKMG >CAK8570347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38491606:38494000:1 gene:gene-LATHSAT_LOCUS23101 transcript:rna-LATHSAT_LOCUS23101 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLNLPTPSFSPRYLTHNNNRTISCSFSSQSLKCKREYTSVMIVPTGVGAAIGGFAGDSLPVARALSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWALQPVHQNRVGIVLDAGIEEELRIRQLQVADAARASLGLPVVEYIVTDTPLEVEKWIDPKTGKSTGRIKHPDSLLRAVQTLVSRSKVNAVAVVGRFPDDDTDDVDEYRQGLGVDLLAGVEAVISHLVVKEFQIPCAHAPAMAPIPLSLSLSPKSAAEEIGYTFLPCVLAGLSKAPQYSVMHSESMEKGCILASDVDSVVLPKDACGGDGTLAFARNEKHKPLIITVEENETVLDDTADKLGFEALHVSNYWEAIGVIAAHKAGIDPFSLRRNKIPNIGCTASKPVNGRFNTRERAIC >CAK8561405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:200089246:200089614:1 gene:gene-LATHSAT_LOCUS15003 transcript:rna-LATHSAT_LOCUS15003 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFIRKLSCISDSSSYTLVRSNSTKPRHAESVQSDYVPEGHVPVYVGEEMQRFVLNAELLNHPVFVNLLNLSAQEYGYKQKGVLRIPCRVSVFEQVLEAVRLGRHPQIIRDLLGSLADEAS >CAK8532972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:558201188:558203966:1 gene:gene-LATHSAT_LOCUS2626 transcript:rna-LATHSAT_LOCUS2626 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRWVFVIMMMSEILVWSYVEGLGVNWGTQATHPLKPDTIVEMLKDNGIGKVKLFDADDETMSALGGSGIEVMVGIPNKQLPEMSNYDRALQWVRKNITRYNFKSGGVNIKYVAVGNEPFLKSYNNSYLNVTFPALQNIQNALNELGLGDSIKATVPSNADIYEYPDPNNAVPSSGIFRPDLSNLMTQIVQFLNKNNAPFTVNIYPFLSLYENDNFPFDYAFFDGVTNPLNDNGISYTNVFDANFDTLVSALKAIGFGNMEILVGEVGWPTDGNKNANIQNALRFYNGLLPKLSSNKGTPKRNGYIEVYLFGLIDEDAKDIAPGNFERHWGIFSFDGKPKFPMHVSSKNGENKLLVGAKDMHYLDPKWCMFNPNSNNLSKLDDNINYACSYADCTPLGDGSSCNDLDANGKASYAFNMYYQVQDQNDLACDFEGLAMITTNNISTSSCDFIIQINPSSSSSLLPSFVGFLFVGVVSYLNMC >CAK8567292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501613700:501618864:-1 gene:gene-LATHSAT_LOCUS20357 transcript:rna-LATHSAT_LOCUS20357 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPFGNTSATQWGIRPQLLARSTTMAKFASATHNATSRVNFMAAPSSTFFARDSLRFDVGSYQTLHRRRGSRLIVRAETDYYTVLGVSRNSTKSEIKTAYRKLARNYHPDVNKDPGAEDKFKEISNAYEVLSDDEKRSIYDKYGEAGLKGSGMGGMGDFSNPFDLFETLFEGMGSGGMGSRGSWNGAADGEDEYYSLVLNFKEAIFGVEKEIEIRRLENCGTCDGSGAKPGTKSSRCNTCGGQGRVVTQTRTPLGIFQQAMACSSCNGTGETRTPCGTCSGDGRVRKTKRISLKVPPGVDSGSRLRVRNEGNTGRRGGSPGDLFVVLEVIPDPVLKREDTNILYSCKVSYIDAILGTTLKVPTVDGMVDLKIPSGTQPGSTLVMAKKGVPVLNKKNMRGDQLVRVQVEIPKKLSSDERKLIEELSELSKGKTAAGRR >CAK8577570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574968320:574978918:-1 gene:gene-LATHSAT_LOCUS29667 transcript:rna-LATHSAT_LOCUS29667-2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCKYNYLFLHSHFLWRRVPFPRRRVTVTSTAGIYSRNFQSPKLLSSSRAPLSKGYCTESLDSRGDGVVSSTSQSNATLAPEISYGAAPAVQLGSVSNAEAVGSSDSFNGRVMLIDGTSVIHRAYYKLLAKLHHGHLAHADGNGDWVLTIFSALSFIIDVLELIPSHVVVVFDHDGIPFRHTCNSSKESFTAKGQNFRHNLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDEVVSFGVEDFEKRYGGLKPSQFVDMIALSGDRSDNIPGVNGIGDTYAVQLISKFGTLERLLESVDQIKEDRIRKTLIENAEQARLSKELALLRSDLPSYMVPFAGKDLLFHKPEDNGSKFKSLLTAISAYAEGFSADPILRRTVRLWEKLDSR >CAK8577571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574968320:574978918:-1 gene:gene-LATHSAT_LOCUS29667 transcript:rna-LATHSAT_LOCUS29667 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCKYNYLFLHSHFLWRRVPFPRRRVTVTSTAGIYSRNFQSPKLLSSSRAPLSKGYCTESLDSRGDGVVSSTSQSNATLAPEISYGAAPAVQLGSVSNAEAVGSSDSFNGRVMLIDGTSVIHRAYYKLLAKLHHGHLAHADGNGDWVLTIFSALSFIIDVLELIPSHVVVVFDHDGQNFRHNLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDEVVSFGVEDFEKRYGGLKPSQFVDMIALSGDRSDNIPGVNGIGDTYAVQLISKFGTLERLLESVDQIKEDRIRKTLIENAEQARLSKELALLRSDLPSYMVPFAGKDLLFHKPEDNGSKFKSLLTAISAYAEGFSADPILRRTVRLWEKLDSR >CAK8539880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530130571:530130879:-1 gene:gene-LATHSAT_LOCUS8938 transcript:rna-LATHSAT_LOCUS8938 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRSFSMSRSERILLSSESVTSECAKIAGYERLSQSTKLDEEDEHKKNNKGIGFLSKVLTLTKTSTTHEGNEVVEKKEKKEKKRSSWLPDPDKRWPIQGW >CAK8544911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711618825:711619540:-1 gene:gene-LATHSAT_LOCUS13554 transcript:rna-LATHSAT_LOCUS13554-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSWVSFPSALTFKNMLASKTASNENRQEICYLQNGGGLEVALMENATSDFLATLPSNPTFVSAMLALFMAQSSKVFLNFFPKRQWNFRHMLASQGMPSTRSALCSALATSVALTHGVADSVFPVSLGFSLIVMLDAVAARTHVSYHALVVNTLVDVMFKDHRILDKRLEENVGDTLRQVLAGALVGSAVAIPCCLGFMQLR >CAK8544910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711618557:711619540:-1 gene:gene-LATHSAT_LOCUS13554 transcript:rna-LATHSAT_LOCUS13554 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSWVSFPSALTFKNMLASKTASNENRQEICYLQNGGGLEVALMENATSDFLATLPSNPTFVSAMLALFMAQSSKVFLNFFPKRQWNFRHMLASQGMPSTRSALCSALATSVALTHGVADSVFPVSLGFSLIVMLDAVAARTHVSYHALVVNTLVDVMFKDHRILDKRLEENVGDTLRQVLAGALVGSAVAIPCCLGCVLVG >CAK8544504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689141427:689142997:-1 gene:gene-LATHSAT_LOCUS13176 transcript:rna-LATHSAT_LOCUS13176-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHEFYRMSEQKVDHKEINNSHTLPSSSTILNFSHFAKPVAIGKANLQKIGLCSSKSESVGVKNNRADLKYLEPESQDADVSSPACKDVSKVDRTLNQVLGESGRNGQEDVEKCTKPAEVSSSVSSDNGAYRSSDVPNQNLKGKNIYSEDFDWHSHSEDVEDESVGIKRTAYGRGDFGSKRNRLAEVHNLSQKKRRDTINEKMRTLQELIPNCNKVDKASMLDDAIEYLKTLQLQLRIMSMRGGDLYMPMMLPAGMQQMHMSPFSPMGFAMQTWVGARSNPQMLGLPGHGLHIPMPGAPMFSFPMPNVNSQVMQNINDCNSENPMSIQCEATIGRVSSTNDKEAFTLSKEDNPAIDKSNE >CAK8544503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689141427:689143000:-1 gene:gene-LATHSAT_LOCUS13176 transcript:rna-LATHSAT_LOCUS13176 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLHEFYRMSEQKVDHKEINNSHTLPSSSTILNFSHFAKPVAIGKANLQKIGLCSSKSESVGVKNNRADLKYLEPESQDADVSSPACKDVSKVDRTLNQVLGESGRNGQEDVEKCTKPAEVSSSVSSDNGAYRSSDVPNQNLKGKNIYSEDFDWHSHSEDVEDESVGIKRTAYGRGDFGSKRNRLAEVHNLSQKKRRDTINEKMRTLQELIPNCNKVDKASMLDDAIEYLKTLQLQLRIMSMRGGDLYMPMMLPAGMQQMHMSPFSPMGFAMQTWVGARSNPQMLGLPGHGLHIPMPGAPMFSFPMPNVNSQVMQNINDCNSENPMSIQCEATIGRVSSTNDKEAFTLSKEDNPAIDKSNE >CAK8535930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892199666:892200231:-1 gene:gene-LATHSAT_LOCUS5345 transcript:rna-LATHSAT_LOCUS5345 gene_biotype:protein_coding transcript_biotype:protein_coding MEECFWKAKSRTIWHLKGDRNTTFFHRVSKIKSKLKPISMFKHGDADMSMVDDTIPRLVDDNMNVVLSQLPSLEEISTNVFALNKDSAPGPNGFGATFFQLFWNIVKVDVSNPVTDFFINGWILPSYNFNSIALIPKVKGADGLESSSHRLMEFQVQADI >CAK8566823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465492027:465493198:-1 gene:gene-LATHSAT_LOCUS19928 transcript:rna-LATHSAT_LOCUS19928 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQAIGTIVPWPMNLLEFVAEREKNPDQSQNKVKNTQRSVDSVSSPNKSNIKFKIEESPRVGGSTNLVNLPFLDMYVKKMMRVGSLIQIKMEENIFGEEFIEYLRVESIKEILDHNWLSASVITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVHKVSKSKKISWSKIRICPN >CAK8536234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920155637:920163727:-1 gene:gene-LATHSAT_LOCUS5623 transcript:rna-LATHSAT_LOCUS5623-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLASLSASSPVEAILDKDNFTLEELLDEEEVIQECKALNSRLINFLRDPAQVEQLLRYIIEEPPQDAESKRTFKFPFIACEIFTCEIDVILKTLVDEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMIRKTVSLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSCPAEVNANAAETLCTITRNASSTLAIKLSSPSFVAKILGYALEDSQSKSSLVNSLSVCISLLDPKRSNVPSPLFHSFRSQHMYEPHIPVNPETIGAMLPKLSDLLMLLNVSSDEKVLPTTYGELRPPLGKHRLKIVEFIAVLLKTGNESAEKEMVNSGTIQRVIDLFFEYPYNNSLHHHVESIILSCLESKTDAIVNHLLRDCDLIGRVIQADKHSVLSSDRNLPTVPATGKQAPRAGNIGHITRIVNKLIHLTHSRSHILTCLQENSEWNEWQATVLQNRNVVENVNRWACGRPTALQDRMRDSDDEDIHDRDYDVAALANNLSQAFRYKLYGNEDNEEERGSHDRDDEDVYFDDDSAQVVISSLRLGDDQGSSLFTNSNWFAFQDDRIGDANGGTTSSDMMDEINLNGAANGGNNSDDEVVVGEDEELDESKNTMNDTSSSSTNFFGGLPGSNSGNGGALNFESEKASPSNDMGFFRFEASDKDECFGDRPLPDWVGWGESPDMQVTGASMNPFVDHDESGSNPSTKPQIGSPNSNSPKSESVSSNGSPNSRDLIDGVGDSTQRSAAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNMSPKAAEKENSEDGNPGMKEFNDANYWRVDQEVAVLE >CAK8536235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920155637:920163727:-1 gene:gene-LATHSAT_LOCUS5623 transcript:rna-LATHSAT_LOCUS5623 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLASLSASSPVEAILDKDNFTLEELLDEEEVIQECKALNSRLINFLRDPAQVEQLLRYIIEEPPQDAESKRTFKFPFIACEIFTCEIDVILKTLVDEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMIRKTVSLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSCPAEVNANAAETLCTITRNASSTLAIKLSSPSFVAKILGYALEDSQSKSSLVNSLSVCISLLDPKRSNVPSPLFHSFRSQHMYEPHIPVNPETIGAMLPKLSDLLMLLNVSSDEKVLPTTYGELRPPLGKHRLKIVEFIAVLLKTGNESAEKEMVNSGTIQRVIDLFFEYPYNNSLHHHVESIILSCLESKTDAIVNHLLRDCDLIGRVIQADKHSVLSSDRNLPTVPATGKQAPRAGNIGHITRIVNKLIHLTHSRSHILTCLQENSEWNEWQATVLQNRNVVENVNRWACGRPTALQDRMRDSDDEDIHDRDYDVAALANNLSQAFRYKLYGNEDNEEERGSHDRDDEDVYFDDDSAQVVISSLRLGDDQGSLFTNSNWFAFQDDRIGDANGGTTSSDMMDEINLNGAANGGNNSDDEVVVGEDEELDESKNTMNDTSSSSTNFFGGLPGSNSGNGGALNFESEKASPSNDMGFFRFEASDKDECFGDRPLPDWVGWGESPDMQVTGASMNPFVDHDESGSNPSTKPQIGSPNSNSPKSESVSSNGSPNSRDLIDGVGDSTQRSAAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNMSPKAAEKENSEDGNPGMKEFNDANYWRVDQEVAVLE >CAK8577401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561020848:561021977:-1 gene:gene-LATHSAT_LOCUS29514 transcript:rna-LATHSAT_LOCUS29514 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSFHERLEQMDRTRIQRLSLLQAEKELQAHKSRVLASKLSNIRAMEQRCLLFDRKIASQNFNILSLKSQIENLEAKYDSLSQEIRSMQNEVVELEELHKMKDGFYEVKKLEMKEFKQMACDFVVKCELEVQSLRNGVNELRSSYMDLKGNKRNSCNSEIAAAEAKRLRLLAEKENVCRDVDSNHQLKAQLQKQLQSIL >CAK8566526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433164801:433165210:-1 gene:gene-LATHSAT_LOCUS19650 transcript:rna-LATHSAT_LOCUS19650 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRVVDLWIVKEKSGLHHFKMVIQDSKGDQIHVTTRNRELKDWSEQLTEHETYCLYNGESMLNHGTFKVFPNKLKLVFNGGTTVSKLPIPEIPTHQYKFKPIVDFLTGNFHH >CAK8565286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:101273640:101274560:1 gene:gene-LATHSAT_LOCUS18511 transcript:rna-LATHSAT_LOCUS18511 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHNMQISLSSSSNDSSDQETPFTTAMNPTIDTGGSFHQQTPTDSPQPYRKPRGRPPGSKNKPKPPLVITQDNEQAMKPAIIEVAAGTDVLQAVIQFAVRLRSCLTILSGSGTIAVATLHYPVCQSPAFTLHGPFSLLTLTGTFFFPPSPPPPRPPTPPLPISIPIASSSSSNPNPNQLETPTPASTFGITLAGMQGQIFGGIIGGKVIAGGDGVKIVASLFKNPELHRAAGILLEADDDVEDDDNNGDGNSNGGGDHGAGSSGGAAAENVSGFNVPNPMTMNPQHSDPSFMPWNHPSHPRPLHF >CAK8576789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515383880:515385832:1 gene:gene-LATHSAT_LOCUS28957 transcript:rna-LATHSAT_LOCUS28957 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPCDLQIKVNGQQIFLLKEKIISKYCGKVRKILNHQKRRCHVKEMGIRINDFPGGSEGFELVSRFCYNNGEIPIDVANVSLLHCGAIYLGMTEEVFSNNLLQQTQTFLEGIHYWKWNDIVLSLMSCRMFYEYADCYGLLEKIISAVLTKIVQNSDANLNISFTSLSSSSLSSSSTPSSPESNYAKRFSSSTQTTSEKARLSLTSRAWWFEDLETLPPKIIEKLFQCIESYKDDNDSLIFTRFLLQYLKTATQTRNADYRNSSEYAALAETAAYGVIFVGKKNSSCRGLFWVLRIVSRFGLRKSCRTELEKLIGGMLEQATLDDLLVSGQDMGIYYDVNLVIRLVRQFVDTNGYDGISLQKMKRVGRLIDKYLREISPDQNLKISKFLGVAECLPDSARDCFDGIYRAIDIYLESHPNVPFEERSRLCKCLNYSKLSFGASKDLAKNHRIPPMVAMQALISQQTKIPTSNFVTESPRKSRSQIALYNEGKNDSFSQEKKHMKVNLEILKCKAVKVEKLEEMNGQISNMFSSNVLLTPARARALPRYC >CAK8573617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:625151088:625152584:-1 gene:gene-LATHSAT_LOCUS26047 transcript:rna-LATHSAT_LOCUS26047 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSLRSSLAFRNDTKTKTSFLTILQSFFSVQNPRAWILLTVLFIQILLFFNLRRFPISVPPPHHHFPTRLTLTQTAAIQNATVLDSSPTINNDQCNSGRVFVYDLPKFFNQEILDNCDNLNPWSSRCDALSNDGFGQSAIGLAGIIPESLLPSWYWTDQFASEVIFHNRILNHKCRTMEPESAAAFYIPFYAGLAVGKYLWVNSSTAKDRDRHCEMMLTWLQEQTPYKKSKGWDHFITMGRITWDFRRSKDEDWGSSCIYKPGLRNITRLLIERNPWDYFDIGVPYPTGFHPDSYSDVIRWQSFVRGRRRNSLFCFAGAPRRTFRNDFRAILLNQCRESGGSCRTVDCGGARCFNGTSAITETFLDSDFCLQPRGDSFTRRSIFDCMVAGSIPVFFWQRSAYLQYQWFLPDEPGSYSVYIDREAVKNGTSVKAVLESYTKEEVRNMREKVIEYIPRMVYAKHSKGIEGVKDAFDFAMEGVLTRFKEQLQPGFHKWK >CAK8560623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:30203091:30203486:1 gene:gene-LATHSAT_LOCUS14284 transcript:rna-LATHSAT_LOCUS14284 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSICDMVKCWEAMNDNLKLQLSNIRASFQKSFYEVEHAHVSPFYNNLRGSVSREALRHIPEELKRVDYVGTNKEICCCTLRTTYGLPCACELTRYRIDGLPIPIDVVHVHWRKLSMEVKLDEDVDDGS >CAK8538523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486129622:486130166:1 gene:gene-LATHSAT_LOCUS7711 transcript:rna-LATHSAT_LOCUS7711 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRVVGTPGYIAPEKAFDIVAGRKSDVYNYGVVLLELITRKKLLVPSLYDEAMETHIVTWARSIMMETGKIENIVDPYLVSAFPSSIALVKQVNAVLSLALQCTESKSGPILTKPFNWFFLSC >CAK8573328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603496235:603496591:1 gene:gene-LATHSAT_LOCUS25789 transcript:rna-LATHSAT_LOCUS25789 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHLCEKLRVKLVGRKGLGLNADSLIAAIKDERGNRKPISTSMSLKPGLGVSKLKAGIMKQKIFRKAKVVKKSNRSIPRANLNVGSASKVSEENQIIDQVLPNVVVSGNDLSKSNP >CAK8570303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35113495:35115366:1 gene:gene-LATHSAT_LOCUS23065 transcript:rna-LATHSAT_LOCUS23065 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNHRFSFLSFLVFFTFFIATTNSASSSASCPIDLSYVETFPWDTSTCRDPIDTNHCCQSLLSLVGIGLAKHLKETQLFQFPNENISSACLQDFKLKLSSLKIQPLLVSSCFPNSTQFVTNTSSCAGISNITDWKQKVGVMSPLDTSCNSNMKSEATCSMCTDAGFKVTTQLADINPKNSSKCFYFSILYAIGIVNQFGPTDPAAAACILGMPLSSKGSSESNNGKILKLVFGLLGAIVGVVLAFVLIIMYKKWDKMRRENLYHRSVENSVRDSVLPNTGAKWFHISELEKATNKFSLKNKIGQGGDGVVYKGTLSDGTLVAVKEIFDLETRGDEEFCYEVEIISKIKHRYLLALRGCCVTSHSVRGKRRFLVYDYMPNGSLSYQLSVNGANKLTWPQRKNIILDVAKGLAYLHYEIKPPIFHRDIKATNILLDSKMKAKVADFGLAKQGNEGQSHLTTRVAGTYGYLAPEYALYGQLTEKSDVYSFGIVILEVMSGRKVLDTMNSPVVLITDWAWSLAKSGKVDEIFDESIRDQGPEKIMERFVLVGILCAHAMVALRPTIAEALKMLEGDIDIPNLPDRPVPLGHESFQSSLLNGMQQSGRSTPFTSFYSSSLSTISRN >CAK8569627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6965961:6969080:-1 gene:gene-LATHSAT_LOCUS22458 transcript:rna-LATHSAT_LOCUS22458 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMKSGITVSVVMVMFLLVELAMSLSSRAERLALLELRSSLGIRGKNWPIKTEPCRNWTGVECKNSQVVGINVSGLKRTHKGRLMPNFEVDSLANFTLLESFNASGFMLNGSIPDWFGETLGALKELDLRSCSISGVIPDSLGGLSSLKVLLLSGNNLTSRVPLNLGLLSSLSILDLSGNLFSGSIPESFSNLGNLTKLDLSNNYLSGSIPPELGVLSNLQFLNLSDNAFTASIPSQLGNLSKLVELDLSMNSLSGSLPGSLFSSRFFAIRVMILSSNSIDGDLPDALWSMPRLQLFDVSGNSLTGPLPILSGSNVSSNGGVFNLSNNLFYGPVNGLTNKLIVIDLSGNYLEGDVQNGLSNVTLARNCLQRIPNQRNLKECRMFYVQRNVTFDHDDIQESEKKKRVIFILASVFGGFGFIVALVLVLMLVLKQCLKSKDMEIERGTTTRGPVTEGESPIPKDSVFVTAAGESFTFEQILHFTGNFDEANIIKHGHSGVFLFGVLDSGVTVVVKRVDLSLFKRESYIAELGLLSKVSHARLVPILGHCMDNEKDKCIVYKYMINGDLATSLHRVVGSDGKLQSLDWITRLKIATGAAEGLAYLHDCSPPLVHRDIRASSILLDDKFEVRLGSLSEVTAQEDFHQNVVSRVFSKPLSLNQGNYGKSSATCAYDVYCFGKILLELVTGNIDISESDDAITKDWIEQTLNYITIFDKERLAKIVDPSLIVDEDLLEEVWAMAIVAKSCLNPKPSKRPPMKHVLKALENPLKIVREESFSSAKLRTTSSNRSWSTAFFGSWRHSSSDSGATATNREGSSGIKQTGRVSSHGSGGHDHSSSNKRSSNEIFPEPLGMPLGMQDLENGELR >CAK8569628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6965961:6969074:-1 gene:gene-LATHSAT_LOCUS22458 transcript:rna-LATHSAT_LOCUS22458-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSGITVSVVMVMFLLVELAMSLSSRAERLALLELRSSLGIRGKNWPIKTEPCRNWTGVECKNSQVVGINVSGLKRTHKGRLMPNFEVDSLANFTLLESFNASGFMLNGSIPDWFGETLGALKELDLRSCSISGVIPDSLGGLSSLKVLLLSGNNLTSRVPLNLGLLSSLSILDLSGNLFSGSIPESFSNLGNLTKLDLSNNYLSGSIPPELGVLSNLQFLNLSDNAFTASIPSQLGNLSKLVELDLSMNSLSGSLPGSLFSSRFFAIRVMILSSNSIDGDLPDALWSMPRLQLFDVSGNSLTGPLPILSGSNVSSNGGVFNLSNNLFYGPVNGLTNKLIVIDLSGNYLEGDVQNGLSNVTLARNCLQRIPNQRNLKECRMFYVQRNVTFDHDDIQESEKKKRVIFILASVFGGFGFIVALVLVLMLVLKQCLKSKDMEIERGTTTRGPVTEGESPIPKDSVFVTAAGESFTFEQILHFTGNFDEANIIKHGHSGVFLFGVLDSGVTVVVKRVDLSLFKRESYIAELGLLSKVSHARLVPILGHCMDNEKDKCIVYKYMINGDLATSLHRVVGSDGKLQSLDWITRLKIATGAAEGLAYLHDCSPPLVHRDIRASSILLDDKFEVRLGSLSEVTAQEDFHQNVVSRVFSKPLSLNQGNYGKSSATCAYDVYCFGKILLELVTGNIDISESDDAITKDWIEQTLNYITIFDKERLAKIVDPSLIVDEDLLEEVWAMAIVAKSCLNPKPSKRPPMKHVLKALENPLKIVREESFSSAKLRTTSSNRSWSTAFFGSWRHSSSDSGATATNREGSSGIKQTGRVSSHGSGGHDHSSSNKRSSNEIFPEPLGMPLGMQDLENGELR >CAK8532044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196529227:196532938:1 gene:gene-LATHSAT_LOCUS1783 transcript:rna-LATHSAT_LOCUS1783 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNNLGFFKEIGLGANNIGSFINGKWKANGPTVDSVNPSTNQVIGSVTEASLDDYEEGLKASSEAAKTWRNVPAPKRGEIVRQIGDALRAKLDPLGRLVALEMGKILAEGIGEVQEIIDMCDYSVGLSRQLNGSIIPSERPEHMMFEVWNPLGIVGVITAFNFPCAVLGWNACIALVGGNTVVWKGAPTTPLITVAVTKLIAEVLERNNLPGAIFTSLCGGADIGHAIAKDTRIPLVSFTGSSKVGALVQQTVSQRFGKTLLELSGNNAIIVMDDADITLAVRSIFFAAVGTAGQRCTTCRRLYLHESVYANVLEQLTALYKQVKIGNPLEEGTLVGPLHTRSAVENFKNGISAIKSQARKIVTGGSVLESEGNFVVPTIVEISADAAVVKEELFAPVLYVMKFKDLEEAIALNNSVSQGLSSSIFTQKPATIFKWIGPSGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSKLPLAQGINFG >CAK8531997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188070330:188072021:1 gene:gene-LATHSAT_LOCUS1742 transcript:rna-LATHSAT_LOCUS1742 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRGSSPPLISLPLLIILLPILTLTLLFLAVPPLLSAATNILQHSPVKTTWNWNWNSFNIILVFVAILFGVFARRNDDESSPQQSSDDAVPDQIHAFRRVSVSSERVETSGGYESQQWFGFSGDETLNRLQSPVTGVNRLRRSSSSYPDLRQMETDDSRYKFRFFDDFEIEKQFRSPSRAAFSTPYHGKQLPEYRSQEEEQVHVKEIPVDTFQTRPSPVKSSSPPPPPPPPPTSRRRQSQSMHQTVETRSEITELEDPEFTRLDSSPPTPPRPSAKTRSEQKHGKNERKKSNVKREIAMVWASVLSNQRKRKKKERPRNDHNHRYDNVDELTNNATAPPVIPPPPPPLPPPSVFHSIFRKGMGKSKKIHSVPAPPPPPPPSRRSAKPKNQIPQPPLSPPLSRRSSKPQNQIPQPPPTPPRPGNRTKPPLPNKSTNFINDTLNVGNQSPLIPIPPPLPPFRIPAMKFELRGDFVKILSNQSSRCTSPEREHVDEEVSETSTCSNSVPSHKSDRNGHVFCPSPDVNAKAATFIARLRGEWRLQKLNSIKEKGNGSLPLARDLLH >CAK8531996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188070330:188072021:1 gene:gene-LATHSAT_LOCUS1742 transcript:rna-LATHSAT_LOCUS1742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRGSSPPLISLPLLIILLPILTLTLLFLAVPPLLSAATNILQHSPVKTTWNWNWNSFNIILVFVAILFGVFARRNDDESSPQQSSDDAVPDQIHAFRRVSVSSERVETSGGYESQQWFGFSGDETLNRLQSPVTGVNRLRRSSSSYPDLRQMETDDSRYKFRFFDDFEIEKQFRSPSRAAFSTPYHGKQLPEYRSQEEEQVHVKEIPVDTFQTRPSPVKSSSPPPPPPPPPTSRRRQSQSMHQTVETRSEITELEDPEFTRLDSSPPTPPRPSAKTRSEQKHGKNERKKSNVKREIAMVWASVLSNQRKRKKKERPRNDHNHRYDNVDELTNNATAPPVIPPPPPPLPPPSVFHSIFRKGMGKSKKIHSVPAPPPPPPPRSSKPQNQIPQPPPTPPRPGNRTKPPLPNKSTNFINDTLNVGNQSPLIPIPPPLPPFRIPAMKFELRGDFVKILSNQSSRCTSPEREHVDEEVSETSTCSNSVPSHKSDRNGHVFCPSPDVNAKAATFIARLRGEWRLQKLNSIKEKGNGSLPLARDLLH >CAK8535573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864087707:864093283:1 gene:gene-LATHSAT_LOCUS5019 transcript:rna-LATHSAT_LOCUS5019 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLFLPLCLPTPNLSFKFKPQQFCVRCCDRNDADTNNNKRLSKQSSWETKDSEGRDYLYRLGKEADNMNIAVGQRAGIIDDLFVGDFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFMDKVACHIVKNYISHILNAKVPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESENAGEPGRLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGFTQMTVNNQIVVGTLMNLCDNPKRVSVGQDWRESDVTNRIPIIVTGNDLSTIYAPLIRDGRMDKFYWQPNREDILNIVQRMYEKDGISRDEVEKIVNTFPNQALDFYGALRSRTYDKSILKWIDDIGGAENFASNFLKRRKDKNLPVFIPPEQTTEALLESGYSLLKEQELIMETKLSKEYMKNIED >CAK8535429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847686701:847687675:1 gene:gene-LATHSAT_LOCUS4893 transcript:rna-LATHSAT_LOCUS4893 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDSTNSPIANIHPDIIQSHILSRLDGTSLTSAASTASHLNSLCTENHLWQKITTATWPSLNDPFATSLISTFPSSHRSIFSDSFPSVDYCSPSISTVSSSSSPTGELISAVDLYYKGKSVFSKIHRTETHKGWFLCSPLWIEILDSNEIIQTPIKFEENDVVEWLEENLTLSWIMIEPTCKRSVNLSSRLPVTVRRHWLTGELEVLYAVVLGLVQCTIKVTCCGKAGGEMHVREVSFSMEDMDGRHVVGRDSLVILQGAMENGERKKLDVEESKKRFEEFGLMKRERRERKLRREKAMDMFTMLVAIVIFAFLFRFVRFWV >CAK8542192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487366607:487367155:1 gene:gene-LATHSAT_LOCUS11053 transcript:rna-LATHSAT_LOCUS11053 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKAITSVKRDVVISFLIEKVLLTIREKWPRDEFESTIFIQQDNARTHINHDDPLFCEAATKDGFDIRLMWQSTKSPDLNILDLDFFSAIQLLQYKEAPKTIDQLIDAVVKSLENFPSIKSNCIFVSLQLCMIEIVKEKCSNKYKIPHVNKERLERVGQLPVQIKCDLILVQEVKNYLNLE >CAK8544694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699604084:699605002:1 gene:gene-LATHSAT_LOCUS13350 transcript:rna-LATHSAT_LOCUS13350 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSKVFVPNSNIRSQSEVEISNKVAQPSKVHVLNSNKRQMEVEATKEITEPRKVFTLNNNTKKKLKSTADHQVKGSIESQVSKNTSDQTKKVLQSLNNTMNKSQQSFEKKKSLLKCPTMPLTECLDKNKEKNGVEEFDDEDTEENEMEEYMENDSIYNSKEVEGIYVNKVEGNTLGASSSGLTKKRGKMLCQKIHGRQFKDRQEITLNEEEQPIGPDEKIVSELSSFLGTLGRSSDLCPLTFTSWIDLVKH >CAK8533196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589708855:589710128:-1 gene:gene-LATHSAT_LOCUS2841 transcript:rna-LATHSAT_LOCUS2841 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSLSISFVVVLVFGGILFVEAIPRTFLVFGDSLVDNGNNNYLATTARADAPPYGIDYPTHRPTGRFSNGYNIPDLISQRLGAEATLPYLSPELRGEKLLVGANFASAGIGILNDTGIQFVNIIRMYRQYQYFEEYQNRLSALIGASQAKTRVNQALVLITVGGNDFVNNYYLVPYSARSQQYPLPQYVKFLISEYKKLLQKLYDLGARRVLVTGTGPMGCVPSEIAQRGRNGECSTEIQRASSLFNPQLESMLLALNKKLGRDVFIAANTGKTHLNFVNNPGQYGFRTSKIACCGQGPNNGIGLCTALSNLCSNREEYAFWDAFHPSEKANKLIVNDIMSGSKAYMNPMNLSTILALDETI >CAK8564766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8995495:8996367:1 gene:gene-LATHSAT_LOCUS18036 transcript:rna-LATHSAT_LOCUS18036 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFKKEFVGIVSKSSNTYKIQEALNVEGYFMIKATPLGAHMCLLEENNPSDISELVKKDSAWMERWFDNIHPWTPDDVDREKIDLGEMFWFPCHAWNPKFFKFLSGRVGEYISSDIDTEKHNHFDVTRFLIKKKFAINLNEVFNVEINDNIYCIMLLEDFHGRKQMTIHRENDGSSGLEEDDETRSDEEDGVSKSGSHEEDEDLMFRHDIQEDLDHLEVKSSTLHEDYREQAHQSLWCEMLRGFHDDLKNIVGEKVSSIVLQSNNKVFGSPMQSNHTVGKELELANNKK >CAK8560053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6194440:6195735:1 gene:gene-LATHSAT_LOCUS13768 transcript:rna-LATHSAT_LOCUS13768 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRNIGIELSTGKTEIQRLSSSYVCEIRLRGFPVQTSPVPLITSADVIHTQNGASSFYLEESDLKALLAPGCFYNPHAYLEIIVFSGKKGSHCGVSVKRQQIGVFKMQVGPEWCEGKSLILFNGWIGIGKNKQENGKPGAELHLKVKLDPDPRYVFRFEDITTSSPQVVLLQGSIKQPIFSCKFSKDRVSQMDSLSTYWSGSNDTSDLDAERRERKGWKVKIHDLSGSAVAAAFITTPFVPSSGCDWVARSNPGAWLIVRPDVGRSESWQPWGKLEAWRERGIKDTICCKFHLLSEPQDGGNFLMSEMHINAEKGGEFFIDTEKHMRTVTSATTPIPSPQSSGDFGALSPVVGGFVMSCRVQGEGKRSKPLVQLALRHVTCVEDAAIFMALAAAVDLSIEACKPFRRKIRRAFRNSM >CAK8533121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580612195:580613209:1 gene:gene-LATHSAT_LOCUS2769 transcript:rna-LATHSAT_LOCUS2769 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8577187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543637845:543638618:-1 gene:gene-LATHSAT_LOCUS29316 transcript:rna-LATHSAT_LOCUS29316 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLLRSNKMVHALTWFCLVLMIHNGASYEFIVGGRKGWSVQGDSSFNPFNQWAEKSRFQVGDSLVFNYQTGQDSVFYVKSEDYASCNTASPYAEFSDGHTVFKLNQSGPHFFISGNKDNCVKNEKIKIIVLSDRSKSNSGSGSSNTNQTSNVSPPSPQSSSSPPSPAPSKPDGQSPSPSSDHCHPIRNAASSLFVSFAGFVGTFLASVLILSKYV >CAK8539719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522797895:522798824:-1 gene:gene-LATHSAT_LOCUS8791 transcript:rna-LATHSAT_LOCUS8791 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVRGDFLGSGSFATVNLATHTKNSLNFPSITAVKSSEEYASHFLRKEKHILDCLGSSPHIIQCLGHDRTFEDGQECYNIFLEYAAGGTLFDQLKNHGGKLSETLVRRYTRSILQGLKYIHENGFVHCDVKLQNILVFDHGNVKISDFGLAKEKGLEHGDKKLECRGTPIFMAPESINDGVYESPVDIWALGCAVVEMISGKPAWNMSSKKNMWSLMIRIAIGDELPLIPDELSEEGKDFLRKCFVKDSSKRWRAEMLLNHPFISDDESVSNLKDESLLLMSPRTHFDFFSSSTESTLSTERFQQLPN >CAK8559914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672220:675445:1 gene:gene-LATHSAT_LOCUS13648 transcript:rna-LATHSAT_LOCUS13648 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTANRVVSYDTAKEFADQIGIPFMETSAKDATNVEGAFMAMAAAIKDRMASQPSANNARPPTVQIRGQPVGQKGGCCSS >CAK8570278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33830019:33831999:1 gene:gene-LATHSAT_LOCUS23041 transcript:rna-LATHSAT_LOCUS23041 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKREMEKKSEISCVIEELSVVVIVKPSEENHEAAHIPTKPFLSICYFVLQVLDKIGPTMAVLRQDVYQNIKRLELMHESNPTTISNLVEILKLEATEGNARKGSSCSKAFVWLTRALDFTSSLLEILSKDPEKKMDKAVEESYNVTLKPWHGWIASTASRVALRLVPESKTFIDILKAEDEEIDMLMQKMQILVSLLVPFLEDIHFILRLYNLDKLKST >CAK8563438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603940856:603942679:-1 gene:gene-LATHSAT_LOCUS16850 transcript:rna-LATHSAT_LOCUS16850 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSRTIRKAIGVMKDQTSISIAKVTGNLAPHIEVLVVKATSHDEIPADDKYVREIFNFTSYSKSYVNACLMSIMKRLSKTSDWIVAVKSLMLVHRFLTDRHPTFEDEIVCASRDGMKVLKMSDFRDEAHSNSLDHARFVRVYATYLDEKVGFLVYKRNLKGVESGDGEFGSVKRNEVVTPAREMKAERVLDRLKHLLRILSCALDCKPNGAAENNRLLLVAIHHIVRDSFKVYVEICDVLGVLMDRFMEMEYEQCGKAFEFYVRASKKMDELTGFYGWCKDMGIARSSEYPEVQKITDNLLGTLNGLLKEMGDRTKSPEMKAKVIGLVKEQEIGINEVKALPAPEIENLIPQSQSPPLAPEPKEAPRILQETGDLLSLRDDDGVSADEQGNKLAMLLFSEVSTVKTDGLWEELSSSGVNSAWQIPAAKIGKADWELALVETTSNLSKPKADLEGGFDSLLLNGMYDQGAVRQHVSSTDMSGGSFSSVALSGAGKNTTPILALPAPDGTMQAVGTQDPFAASLSVPPPSYVQIAEMERRQHLLVQEQHLWQQYGRDGMQGQVGLTSVGGGSSLYHHAPSSQPMMSYGMPQVGGIGQPGGYYNAPF >CAK8538929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499696523:499696912:1 gene:gene-LATHSAT_LOCUS8075 transcript:rna-LATHSAT_LOCUS8075 gene_biotype:protein_coding transcript_biotype:protein_coding MILFTLRKKKVLQSSLRDVAHTEASETSQSQSEAHSYSSLALHIESNNIIDNEDNDEDEDGEESEKEEEEEDLIEINISRSLDFIFKQEDLMDLLEEINEDENLIEIDIFKGFTKYQDFRFKELACLGD >CAK8538590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487976117:487978753:1 gene:gene-LATHSAT_LOCUS7771 transcript:rna-LATHSAT_LOCUS7771 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKHVVTKHKHKFKLKPVFSSSNSILNQIPNFHYASYTSLSSPTTSSFSITQNDVASTFSTWFEIHRHSKDPLLIRIYQILSSGDDFSPSLSALSLPLSEPVVLHVLRHGGNNNEILSCLKFFDWAGRQPGFNHTRATFVAIFRILTRASHVPLVLEFLQTFRKRVFAHRVRFNDTLVVGYAIAGKPEVALHVFGKMRFQGLDLDGFSYHILLNALAEEDYFNAFRIVLSQIQMKGYATSVTDAIVVKFLCKQGKLDEAEDYLNCLLGSGKELHGSEVSFLVGSLCENNRFDHAVELVKEFGDLGLGSLEQVYGVFVKGLVKGGRLDEALEFFRQKRDSEGYAPGSQRYNMLICRLLRESRLHDVYGLLMDMNESSIPPDMVTMNAVLCFFCKAGMVNVALQLYNSRSQFGLNPNQMAYKYLILTLCWDGSVKEACTVLKSSLSNGFFPDRQAFTTIVHVLCRECKVDEVKELIHLALERDFTPSAVTYDKFITALCRAGRVEDGYVVHGELNNAAARFSYSKMIRSFIKSNRGDIGARLLVEMKEKDFVLTRTSCRAVICSLLNMDNPVSRVFNLLDMLTHGKPDVQIFNFFIDGAGHANKTELARNVYELMIRNNIVPNMVSQILVLNSYLKSGMIFDALNFFNSLRRQDVVNRKLYNSVIIGLCKANKADIAHDILFEMLNTQLNPSIECYECLVQALCSLKRYHEAINLVHVYMKMGRRLTSFLGNVLLFHSLISPDVYDTCVHLRCATEGESSPISTLSFVIGAFSGCLKVNHSIEELEELIAMCFPLDIYTYNLLLRRVTNYDMDQACELFNRIRQRGYEPNGWTYDIMVHGFSNHGRNDEAKHWVEIMHEKGFYPTENTRRNVIKSFFQ >CAK8574281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:673735455:673737517:-1 gene:gene-LATHSAT_LOCUS26641 transcript:rna-LATHSAT_LOCUS26641 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPLSSSISPMKEINFNFPISFSLCKDKPTNKFFNFTPFSKSSLQVCYSRITRVQALQSDGTNSKRKGREPYSDSDSDDDDEDDAPSSSKGNDPYAMSLEERREWRRKIRQVMEKNTDIQEVVDPDEKKKKMEKLINDYQLVVEEEDPDWPQDADGWGFNLGQFFDKITIENKKKEDNDDDDNDDVDDGKKIQWQDDKCIYPIKDVKAAAWEETVFKDISPLIILVHNRYRRPKNNEKIRDELEKAVHIIWNCGLPSPRCVALDAVVETELVAALKVSTFPEVIFTKAGKILFRDKEIRNADEWSKIMAFYYYRGGKPPCLTNIPDLQENIPSFTIDSRMS >CAK8541775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392915201:392915981:-1 gene:gene-LATHSAT_LOCUS10670 transcript:rna-LATHSAT_LOCUS10670 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEEVKLFGMRGSPLVARVDIALNLKGIEYEYVEEKLGNFSETLIKYNPVYKKVPVLVHNEKPISESILILEYIDETWKQNPILPSDPYKRALARFWSKFIDDKFLGAVLKASSSIDEKEREESFKEIEVVLQFLEDELKEKYFGGEEIGIVDITAVFIAFWFPVIQEAAGWKLFTSEKFSKLYKWSEDLNNHAVVKKQLPQRETLLAFFQARFESLVASK >CAK8575091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28173245:28175336:1 gene:gene-LATHSAT_LOCUS27381 transcript:rna-LATHSAT_LOCUS27381 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIARRGIHFMQRLNSANVSAALLEKGQNRVIDASLTLIRERAKLKAKLVRALGGAVATSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKDLKDARVLTDVGDVPIQEIRDCSVDDERLMNVISESVKLVMEEAPLRPLVLGGDHSISFPVIRAVSEKLGGPVDVLHIDAHPDNYDAFEGNIYSHASPFARVMEGDYVRRLLQVGLRTITPEGRAQAKKFGVEQYEMRTFSRDRPFLESLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELAAKIAK >CAK8538269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474223855:474227916:-1 gene:gene-LATHSAT_LOCUS7485 transcript:rna-LATHSAT_LOCUS7485 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKNNGTFQQMCQVVKHEGWERLYGGLTPSLVGTATSQGVYYYFYQIFRNRAEAAALEKKMLGNGDGSVGMFSSLIVAALSGCVNVLLTNPIWLVVTRMQTHKKESKRTIPDPRLSDATEQKLLSTVEPHAYGTSHVIQEVYDESGVLGFWKGVLPTLVMVSNPSIQFMLYETLLAKLKKRSASNTVSALQIFLLGAIAKLGATVVTYPLLVVKARLQARQVKNGDKRHHYKGTRDAIFKMIRYEGFNGFYKGMGTKIVQSVLAAAVLFMVKEELVKQTRFLLGKNVPKTIKP >CAK8578342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621905377:621905727:1 gene:gene-LATHSAT_LOCUS30359 transcript:rna-LATHSAT_LOCUS30359 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSVTKGSASGFTFMDHWLLMISITLICGILGYLIYDAIMATASELLQRLLVISPLLLIIIVHWLSTGSQLNFPMPGSEPSAIHRAGGSPWGVAFVLLLLFLLISYQPSLHDLIS >CAK8562449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:493964617:493966712:1 gene:gene-LATHSAT_LOCUS15944 transcript:rna-LATHSAT_LOCUS15944 gene_biotype:protein_coding transcript_biotype:protein_coding MALDFSYIPIIDISPLLAKSDDPKMAQDPGVLDVVAQLDKACTQAGFFYVKGHGIPDTLLKGVRDITRTFFELPYEEKEKIKMTPANGSRGYEKIGENITEGTPDMHEAIDCYREVTKGMYGDLGKVMEGCNQWPQNPPKFKALMEEYISICKDLAKKIMRGIALALGGSPYEFEGDRAGDPFWVMWIISYPGVSTVNEIGCGAHTDYGLLTLLNQDDDINALQVKNLSGEWISAPPVPGSFVCNIGDMLKIYSNGLYESTLHRVINNSPKYRVSIVYFYETNFDTAVEPLDTYKTRTNGNKRFERTVYGEHLVRKVHTNFVEE >CAK8564083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650201002:650217780:1 gene:gene-LATHSAT_LOCUS17422 transcript:rna-LATHSAT_LOCUS17422 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSFWRSRDRFSLDQLSYLTDQLAKIQVVTDDNKDFVIEALRSIAELMTYGDQHDSSYFEFFMEKQVMGEFVRILKISKIVSVPLQLLQTVSILVQNLRNEHAIYYLFSNQHINYLITYSFDFQNEELLSYYISFLRAISGKLNRNTVSLLVKIKGDEVVSFPLYVEAIRFAFNEENMVRTAVRAVTLNVYHVGDDSVNRYISSAPHTDYFSNLISFFRKQSMDLNKLVSHTMINPGPDSTSTIIVAIDEIEDNLYYFSDIVSAGIPDVGRLITDSILMVLIFPLLLPSLRISIDNDMQSSFVTSIYLLCRILRIIKIKDLANTIAAALFYPSEAFTKSSGGKLNSHISGFHPDSVFMQNGCSSPNLSIRDVLLSYVVKGDDIQVLGSLTVLATLLQTKELDESMLDELGILPQRKQHKKLLLQALVGESSGEEELFSSKSSLTKDSTGSDIAVYHKKIKDQYGISFQSSDVGISSHANRFQVIDALVSLFCRSNISAETLWGGGWLLRQLLPYSESEFNSHHHEVLKVSYKTCASALVEEAKGIWTDLLISVLSDEWRKCKRAMESSSPPKGPNSMLLQPHPHKFSLEDNTPKGSSFSAGERMQELVKVFVLLHQLQLFTLGRALPEQPPIHPPGDLPANCRAQTYGLDVSGPKAGTEISLVNAVPCRIAFKSGKEHHLCFQAISLGVSGWLVLAEELPSQKSRGIVRVVAPLAGCNPRVDDTHSTWLHLRIRSSSLPFQDPAKSNDYGKMKTKSLVDGRWTLAFRDVESCNSALEMIVEEVNFVSDEVHRRLKPLLNLDATKDLSSSHLCVPEDSSNRILPKSL >CAK8565769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330215177:330217573:1 gene:gene-LATHSAT_LOCUS18954 transcript:rna-LATHSAT_LOCUS18954 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNMILILCLVFHILSTQICYCQNNSPQNIETFYQNETTIVSSPPKAQPSSPPPREPIAVSKSSSSNGKIEKAVAATAASTIIICGLVFILVKRCLRKRKRNNIASNGDRQQVVVAKGNVFEKIDGNVRGLIVDEDGLDVIYWRKLEEKNSNKDLYKDILNSPKNKVEEDSHEEENQEKKSKYIQETPLLKGQSSSSHIIQEEDDLYRIPRIQSQPSKNEGFVKKGVGKQEFQSQPSILPSIVAPPPPPPPMKTTPPPPPPMSSSKAISKSNQRNSLGKGVDESNNDQVKLKPLHWDKVNTNAADHSMVWDKVDRGSFRVDQNLMEALFGTVATNRMSPKQKTTIPSNDASIKTFLLNPRKSQNIAIVLKSLAISRDEILDGLIEGKGLNGDTIEKLSRVSPTEEEKSLILQYKEDPSRLAAAESFLYHILTAIPSAFNRVNAMLFKLNYDSKVVEIKDSLQTIEFGCKELRSQGLFLKLLEAVLKAGNRMNDGTSRGNAQAFNLNSLRKLNDVKSNNGKTTLLHFVVEEVVHSEGKRAVLNRNNSLTRNMSRKRNNNMNENIETDAVSDEKRERKYIMLGLSIVGGISSEFSNVKKGANLDYKSLVESISSLSMRLTEIQELVSQCESSERGNFVKEMNYFIGNAEEELKLVREKETIVLQILRKTTQYYECGGSKEKEEKNLQLFVIVKDFLEMVDRVCIEIARDLQKKNPKGMSEL >CAK8543360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603036490:603038126:1 gene:gene-LATHSAT_LOCUS12122 transcript:rna-LATHSAT_LOCUS12122 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDGLMKILTERGYTFTTSAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTFNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CAK8539331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509604751:509609309:1 gene:gene-LATHSAT_LOCUS8440 transcript:rna-LATHSAT_LOCUS8440 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSRTKTKPSSDSQTDARSKSNPHNSTLTSFPPSNQPTPTPTHSSPVGRVLGRPMEDVRSTYIFGRELGRGQFGVTYLVTHRVTKEQFACKSIATRKLIRRDDLDDIRREVQIMHHLTGYRNIVELKGAYEDRHSVNLIMELCAGGELFDRIISKGHYSERAASELCRQMLAVVHNCHTMGVMHRDLKPENFLFLSKHETSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRSYGPEADIWSAGVILYILLSGVPPFWAENEQGIFDAILHGHIDFASEPWPKISSNAKDLIKKMLRAEPKERLSAVEALNHPWMRHDGAPDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTVTFEELKAGLPKLGTKISESEVRQLMEAADVDGNGSIDYIEFITATMHLNRMEREDHLFKAFEHFDKDKSGYITKEELENALTKYNMGDEQTIKEIIDEVDSDNDGRINYEEFVAMMRQGNPDLNKHRK >CAK8538056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460844667:460846965:1 gene:gene-LATHSAT_LOCUS7296 transcript:rna-LATHSAT_LOCUS7296 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKKEMKEVIEEEKIRNSQTATISKSAPSSSRSSFSCEREYKVRDVRDGMKSSRASRFNLLENELGLKSSWRQFSRKALFPEFVIDPNNRWYRAWTVFILLWAMYSSFFTPMEFGFFRGLPEDLFILDIIGQIAFLVDIVLRFFVAYRDSQTYRMVYSRAPIAMRYLKSSFVIDLLGCMPWDLIYKVLILLDKP >CAK8576924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525043409:525044505:1 gene:gene-LATHSAT_LOCUS29080 transcript:rna-LATHSAT_LOCUS29080 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFLLFAILSIALEFTGVQSIGVCYGANGNNLPSRQEVIDLYKSNGIAGMRIYYPDEEALQALRGSNIELILDVPRDTLSSLTDANQATNWVTKYVTPYSQDVKIKYITVGNEIHPDYNEAQYVLTALQNIQNAISAANLQGQIKVSTAIDMSLIGNSYPPKDGAFTDQAKSYLQPIITFLVNNGSPLLANVYPYFAYVGNEQDIHLDYALFNQQGNNDAGYQNLFDAQLDSVYAALAQVGGSNLQIVVSESGWPSAGGDGATTAENAATYYNNLINHVKSGNGTPLKPGVAIETYLFAMFDENQKNGASTEQHFGLFNPDKSPKYQIGFN >CAK8532727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:504414282:504427334:-1 gene:gene-LATHSAT_LOCUS2405 transcript:rna-LATHSAT_LOCUS2405 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANSTSPKPFKPNKSTSFFNCKKTNLYSLLALLCIISYLLGSYQNNTTTTTSKKITTPQCLQKNPTTNPNQNQKTANLDFFPHHNLTDPTTSSTTSSSTNHRYPPCTPSLTDYTPCEDRARSLKHTRDRMIYRERHCPKKNEILKCRVPAPKGYKNPFPWPTSRDMAWYANVPYRHLTVEKAVQNWIRFDGDRFRFPGGGTMFPGGADKYIDDIGKLINLKDGSVRTAVDTGCGVASWGAYLLSRDILTVSIAPRDTHEAQVQFALERGVPAIIGVLASKRLPFPSRAFDMAHCSRCLIPWPEYDGLYLNEIDRVLRPGGYWILSGPPIRWKKYWKGWERTKEDLNEEEIKIENVAKSLCWNKLVEKGDIAIWQKPKNHLDCKITQNRPFCQEDNNPDKAWYTNMQTCLNPLPEVSNKEETSGGALNNWPQRLKSSPPRISKGTIKSVTPQTFSKDNEQWNKRVSYYKSVNSQLGKAGRYRNLLDMNAYLGGFAASLVKYPIWVMNVVPIHAKVDTLGAVYERGLIGMYHNWCEAMSTYPRTYDLIHADSVFSLYSDRCELEDILLEMDRILRPEGSIIIRDDVDILVKVKSIINGLDWESQIVDHEDGPLEREKLLFAVKKYWTSSVSIDNSS >CAK8541824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409824157:409825810:1 gene:gene-LATHSAT_LOCUS10718 transcript:rna-LATHSAT_LOCUS10718 gene_biotype:protein_coding transcript_biotype:protein_coding MATPISLRILINEEKNKVLFAQAGKDFTDVLLSFLTFPLATIARLVSTESNMQKVSFGSISKLYQSVANLEEHQFWTPACKQMLLQPKNSMEHYYQNLKINIDVAQKPCYYVCENWYCSRELSGGLLTTFSNLKCRCGKFMSQKICLSDTEKVQNFDGFFADAVTFCISDDLSLKPDSFQNFICQPMNLLGFEDFNAIKFITVDVTHKEILDLLKLSLTSESPLTDMFLLKKQSIENTQLNSVLDFAIGIVEENDGKKIEVKVVMRKSNSKILFALGDADFADFILSFLTFPIGGVEHMLKGNSCGSSIDNLYKSILELDSDTYLKTSDLKEKLVKSKLAHQFKLRNQLLPFDEMPHVDYFCVTRHNRKKTGFHAYLTAFEELSICTKELSVPLRYLEPQISIGEVFARSGGKGFMKSPSLYMVTDDLVVTPSSSVSVISFLSNLGIPSSDLEERMITIGKFEGLSILKASLISSSALSNGLGPFLKVKD >CAK8536930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40147869:40148199:1 gene:gene-LATHSAT_LOCUS6254 transcript:rna-LATHSAT_LOCUS6254 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVEFAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEAEHAHVSPFYNNLRGSVSRDAWRHIAEELK >CAK8544748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703928471:703929938:1 gene:gene-LATHSAT_LOCUS13403 transcript:rna-LATHSAT_LOCUS13403 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRAEMGAANMLNEGVSKQFEFQPLTTTTTTSLLHDQFSLQTQSDYLFYPSILSALPHHSSFNFQIQNHHHHHHLPPSHLIDSEWTNDELLALFKIRSTNENCFPDRLTWDHVSRKLEEVGFKKSADKCKEKFEDENTSLLKINNHNDFANELQSLYQTCCVDEPDEHEKTQHEEEHLRDEDNIDIVVNKQCDDKVVMEESKLDRNRKRKSRRRNRFKMMKCFCEAVVNKMMAQQEEIHNKLIQDMLKRDQEKLAREEEWKKQETERMNMMTQEQAISNHRQATIIDFLKKHLSTNENNNVILNNKTTKACSSSQQLHSQNPSSSETALLEDKRRWPRDEVLALINLKCTTSVINRRSNKKGPVWERISEGMSELGYKRSAKRCKEKWENINKYFRKTKDGVVNKRKRRMDSRTCPYFYQLSSLYNRQQHGKVTAPQNQLTFNSTGQGDDQHQPLAQSYDFS >CAK8542981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564107096:564109719:1 gene:gene-LATHSAT_LOCUS11774 transcript:rna-LATHSAT_LOCUS11774 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLKALTPTPTGWSHKTHFCKWNGIVCMSGGVRSITLPSSNLTGTLPPNLNLLTNLTTIDLHNNSLTGPLPDLSALFLLETASFGHNNFSSIPDGCFRVIPNLRTLNLSNNLNLLPWLFPTDLSYSSLMQTLDLEATNILATLPSEMFDWFPTLHTVFVSHNNITGKLPVSLGKSVVRYLRFNDQGMWNRLTGSIDVISSMKFLSQAWLHNNGFTGPIPNLSNSNYLFDLQLHSNILTGLATPSLFPLSTLKNISLHDNYLQGPVPLFPDDIEATWEENNFCVGPCDPQTTILLQIFESFGFPVFLSSKANNACSVGSLFMCQSGKIVSVDMKNLSLTGTISMAFSNLTSLVNLNLGGNNLTGSIPRSLATLPRLQLLDVSDNNLSGRVPKFSSKVTLITRGNTWLGLNGSRRGGEENATDPHHGGTSKTAGFRPLWIVGASMFSVGIVIFIVIICKRKRYSIAVKRLILRSTKKSIDNNVEDFIQTYNLSVPIKQYRYAEVKRMTNSFRDQLGRGGYGIVYKASLPDGRQVAVKMINESKGNGEEFINEVASISRTSHVNIVSLVGFCYENKRALIYEFMPNGSLDKYIFKNGFPDAICNLDWNTLYQIAIGIARGLDYLHQGCISRILHLDIKPQNILLDEDFCPKISDFGLAQICRRNDSVVSILGTRGTIGYIAPEVFSRTFGAISHKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFADWIYKDLELRNNLVNGLAYSEEERDLIRKITMVSIWCIQTNPSDRPSMSKVIEMLQGPLESVAYPPKPCLYSPGMPSLQTSYEASNNLLEENSTTLLENDSAKSNNICKDKSENMSVM >CAK8577863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594835549:594840804:-1 gene:gene-LATHSAT_LOCUS29932 transcript:rna-LATHSAT_LOCUS29932 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGNTWVVDASHCQGCSAQFTFINRKHHCRRCGGLFCGSCTQQRMALRGQGDSPVRICEPCKKLEEAARFEMRQGRRAGRGSLKSAPKEEDEILSQILGQNEEPLSSGKQSTSDKGRSGQRSVGVGSSSSTKGFSIHDDEDIQKIISNERISSLGMDVESTTPDELRQQALAEKNRYKILKGDGKSDEALRAFKRGKELERQADALEMQLRKAARKKLLPSENVSDMHIKDIPVDSGRKIKSLPKIHKDKDDLTSELRELGWSELDLHKEDKKSANLSLEGELSSLIGETFAKTGEEKGGRIDKSEVVAMKRKALTLKREGKLAEAKEELKRAKILEKQLEEQELLGDAEDSDDELSALIRGMDDDKESSNLHDREHDFDFDNLLGISDNLDGNLEVTDEDLMDPELAGALESLGWTEPENAFSKSQTFDKEALLSEIQSLKREAVNQKRAGNTEEAMALLKKSKLLERDLSDIGSDDDNAMLKKFTPVKKSVFSEVTGNERNNNATSNATSTVAPKSRMMIQRELLSSKKKVLTLRREGKLNEAEEEMRKGAALEHQLMEMDNAPSHKSSLMNTDNVLHAASRNPPVEEGSEDDVTDKDMSDPTYLSLLTDLGWNDDNDKPSNSLNKPSKKYDDNFIPIDDTSLSKRSTDILSEAPRRSKAELQRELLSLKRKALALRREGKAEDADEVLKMAKTLEAKIEEMDALKNKVQVEAPMKKELFNSPVDTAVDEEKDVVVSEEDMQDPTLNSLLTSLGWKDDGFEPSTIKEKPVKEATSTVTTSRNKGEIQKELLILKRKALALRRKGEIEEADDILRMAKNLEAQMEYFESQNKDSLLNASLDKKSVPSELSDFQERHGSWAVAAEVGNNSASSLAGSLKNGIESDNLSPATSHFADNKHPISSEVGTSSENLSKKMKVENINGHRSSSGNSMHMPDLLTGDGCISSEMLSKKQKEEYKAGSAGSSQAGPTIHLDPSANLSQDQSNTSQSNAVLDYASQDDHSLRQEILTHKKKALALKREGKLTEARDELRQAKLLEKRLEDGSVQPNTASTSNVSNASNAVQKKQDSPSAPAKPLTSRDRFKLQQESLAHKRQALKLRRDGRTEEAEAEFELAKAIEIQLEELAAHDANKSDAVDDVIVEDFLDPQLLSALKSVGLEGLSVASKKSPEKQETVKSVAKIENSNQEKIQLEERIKEEKLKAVSLKRSGKQAEALDALRRAKMYEKKLNSLTSG >CAK8575805.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329152356:329152729:-1 gene:gene-LATHSAT_LOCUS28040 transcript:rna-LATHSAT_LOCUS28040 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEDEIEDEDADEVVVGEGNVPPHLIIRDDNGKVIIQTCGSGLIPEKEVANAINYAIHKQFYRGFYNWTAVSDDVKEKWFTLFAVSIY >CAK8535022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804287384:804290550:-1 gene:gene-LATHSAT_LOCUS4507 transcript:rna-LATHSAT_LOCUS4507 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPGNSKPDAIGTGKIADRFREAVILEANKPDLLRQRDHGSPVSPLRTTGGGPAVSSSSGSSGSFSGKTGSKPVSKKSSSAPIHSSNSGELSGSSDNSPTASRSGGNVRASKLKAGDSRSNSGSGATPKKHSGQTVNSPPLNVLPAGNICPSGKVINTGMANKNTKSDVLGYGTGNYGHGSIMRGGAGRVDTSSTRAGIGSDSGKRGVESVDPEQLKLVGNEHYKRGEFSEALSFYDRAVASLPRSASYRSNRAAALIGLGRLSEAVRECQQALNFDPDYDRAHHRLASLFIRLGQVENARRHLCHPGLTPNPTEMLKLQLVDKHITKCTGVRRVGDWKSVLRELDGASTAGADASPQLFMCRAEALLQLRQIDDAESVLSHAPKSDPQINNTPFEAIFFGMFAEAYSNYVRSQIEMALGRFENAVTSIEKANKIDPQNIEIAVMLNNVRKVARARTRGNDLFKSERFTEASSAYGDGLKLDPTNSVLYCNRAACWYKLGLWQKSVEDSNQALHYQPNYTKALLRRATSYNKLQKWEEAVKDYEVLRKELPNDNDVAEAHFHAHVSLKKSRGEEVTNLKFGGEVERVSGLEQLRTAISSSGVSVVHFEAASNSKCRQISPFLDTLCTKHPSVNFLKVDIHENAEVATVENVRVVPTFKIYKNGNQVKVIVCPSRDVLEQSVKHYSL >CAK8538361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478924159:478924809:-1 gene:gene-LATHSAT_LOCUS7573 transcript:rna-LATHSAT_LOCUS7573 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPTLPVSTSQSNINTSNASSQSQPPIATPAFRAFISRISSSLRQAFSQRRPWYELVDRSSISRPETLAEAYSRIRKNFTYFRVNYLTLIIFALAISLITHPFSLLVLLGLLASWSFLYLFRPSDQPLVIFGRTFADRETLGILVVLTIFVVFLTSVGSLLISALMVGLSIVCAHGAFRVPEDLFLDDQEVNSSGFLSFLGGAASAAAPVVGRV >CAK8571745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466792654:466795971:-1 gene:gene-LATHSAT_LOCUS24379 transcript:rna-LATHSAT_LOCUS24379 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGGLWSVLMKRSGTRELSDFYPIRPECQADVPTTRFKPRYGKTLSERRWQASFDEEGRLDIAKVLRRIQRGGVHPEIKGVVMEFLLGCYDPNSTYDERNDLKQRRRGQYDMWKAECQKIVPVLGSGKFVTTPLINEDGQPIDPSLVTVKNPDKKVAQWLLLLHQIGLDVVRTDRSLVFYEDEGNQAKLWDVLSIYAWLDNDIGYVQGMNDICSPLVILIENEADCYWCFDRAMRRMRENFRCTASSMGVQSQLGTLSQIIKTVDPKLHHHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWELMWGMEYNPNIFARYEDPERAKTKAVTPAANDKVLKQYGKFERKYLKAGHTEENSALAVFLVASVLETKNKRILTEAKGVDDVVKILGDITSSLDAKKACDEALKLQKRYLLKTTKKA >CAK8572847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567380579:567382170:1 gene:gene-LATHSAT_LOCUS25365 transcript:rna-LATHSAT_LOCUS25365 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVTMKNQPSKTHWWWLDEHTAVRRSPWLQSTLTELNEKTKAMLKLIEEDADSFAQRAEMFYKKRPELVSMVEDFYKIHRLLAERYDQVRPEAGTRLLPPSACLKHSQSEKLMSFDYRGYDSYSENCDVEESVESEIDDPEHEGEVRFAAPNEVMKLLKDIERVGEVKKIDKDQIKQKDEILDEVMMLREQIERIRKENEAHKDELAQKDIVFNDVVLNLREEIEKLKKDNKTKNEVHQKYAIRGEVMKLREEIERLRKENEAQKEELKKKDTYKNGVMKLREEIERLRKENEAQKEELKKKDSICNEVMKLRKELERHKEENKAQNNELKHKDTIHDEITMLKEERESLREQNKTQKADLKRKDKEKIEVIRQLSSTIDLLKQETVKMKSFIAKESAKKWKTPFEFNKLVETFSGKLFKGGPRRNKPSVVAL >CAK8568261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:587821143:587822096:-1 gene:gene-LATHSAT_LOCUS21235 transcript:rna-LATHSAT_LOCUS21235 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQISVDVPPYLRVHTNGTVERLVGIDVAPPGLDPQTNVISKDITILPKTGVTARLYSPTKKTSTKLPLILYLHGGAYCISSPSDPLYHNSLNNLVAEANIIAISVNYRLAPEHPLPTAYNDCWEALQWVASHAVEDGFDRFEGQESWIKEKVDFNRVFLAGDSAGANMGHYMCLKDHNFVNFKILGLIMVNPYFWGKEPIGVEISDYERKKMVDKWWEFVCPSDKGNDDPLINPFVEEAPDIEGLCVEKVLVTVAEKDILRERGKFYHKKLVNSSWKGSAEFYETHGEDHVFHIFNPDCDKAKSLIQRIAVFINE >CAK8540302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549995285:549997148:-1 gene:gene-LATHSAT_LOCUS9317 transcript:rna-LATHSAT_LOCUS9317 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLVNGIPQFLPQPTSQVVKGSSVQVKLNPNSTKLRASAFKVRANSYEDDQVDNFLRRDYKWGFNQEIDSFSLPNGLSEQTVRLISARKNEPDWMLDFRLKAYHKFLKMKDPNWSDNKYPKINFQDLCYYSEPKKKPTSNSVDEPAPKLLAYFDRLGVPLLENKSANVAVDIVCDSVSIATTHKKTLEEKSGVIFCAISEAVREYPDLVKKYLGKVVPYDDNFYAALNSAVHGDGTFVYIPKNVKCPMQISTYFRINAKELGQFERTLIVAEEGSFVEYLEGCTAPIYDTNQLHAAVVELYCHEGAEIKYLTKQDWYAGDEHGKGGIYNFVTKRGLCAGVRSKISWTQVEIGSAITWKYPSVVLEGDESVGEFHSVALTNNYQQADTGTKMLHKGKNTRSRIISKGISAGHSRNCYRGLVQVMSGADGAKSSSQCDSMLVGDTAAANTYPYIQSKNPSAQIEHEATTSKIGEDQLFYFQQRGIDCENAMSAMIVGFCRDVIDDLPYEFASETKQLLSLKLKGSVG >CAK8530783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49769870:49770672:1 gene:gene-LATHSAT_LOCUS625 transcript:rna-LATHSAT_LOCUS625 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMRQPPPLLQQLLFDRTSHYSRKFQAKIRTYNAMFSLTLPGMKFDTTYSRGTVLQLCDYMVKLAIGLVPCFQKSVNLCNMLSYTYLTLIMRSKNRIQCFRDNKNIEKDIITNLKVMLDDKNVHAIVFRMARDVLKDNAFQDLKLKLISSRPGDSRVYNTPTVSEVAALIVGDVDIAELRDIIIHEHDGGLQRIDEFHPTYLGYQYPLIFAYGKDGYRDNILHKYQHKTIVTK >CAK8578042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603468829:603470933:-1 gene:gene-LATHSAT_LOCUS30086 transcript:rna-LATHSAT_LOCUS30086 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGIAVQSTSNSIKGNKDKKKKKKNKNRGGSKKKMTHEQVLAFKSVTEWVFLAHPSSSLALAPSSLVDDFGVQKPLGKNGDRVLFELHSHSKCSDGFLSPSKVVERAHMNGVKVLALTDHDTMAGIPEAVESARKYGIKIIPGVEISTIYSPSGETDTEGEEPVHILAYYSSIGPSKFKKLDKFLSNIRDGRYLRAKNMILKLNKLKMALKWEHVCRIAGNGVAPGRLHVARAMVEAGYVENLKQAFARYLFDGGPAYSKGSEPDVEEAIKMISDTGGVSVLAHPWALKNPVAIVRRLKEAGLHGMEVYKSDGRLAAYSDLADTYGLLKIGGADYHGRGHHESELGSVNLPVLVLHDFLKVARPIWCNAIREILECYAEEPSDTNLETITRFGRSRVFKGGSTLNCGQDLIDHCLPLWLSSQEMENEEFEAIKLKLSNVSSSTSERGIQVLIET >CAK8575633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:219273049:219273636:-1 gene:gene-LATHSAT_LOCUS27877 transcript:rna-LATHSAT_LOCUS27877 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPKEVCIKVFTPRQNPRIPSIADPFATPLEILPEWYFFPVFQILCTVPNKLLGVLLMVSVPAGLLTVPFLENVNKFQNPFRRPVVTTVFLIGTVVALWLGIGATLPIEKSLTLGIF >CAK8530172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4162079:4164678:1 gene:gene-LATHSAT_LOCUS61 transcript:rna-LATHSAT_LOCUS61 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHHQSNLSLSFSSSHASPPRRPPIPDDSISLHLESSFRDPSHPVPTVPLQLMEPQTEKENGSIDTDLNVNEEDDREVEEFRILGHSMCLKRRRDCDSSTVMAKRASVERDLESRKLAVRSWGDQPLHLADPDIFAIMEKEKNRQYKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQHIDEIETLCCERALKAFNLDPKCWGVNVQPYSCTSANFAVYTGLLSPGDRIMGLDTPSGGNTSHGYYTPNGKKVSSASIFFESLPYKINPQSGFIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLGDIAQISGIIAAKECVNPFDYCDVVTSTTHKSLRGPRGGIIFYRKGTKPRKRGILVQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKIYEKVCEACHITLNKIAIFGDNGTIIPGGVRIGTPAMTSRGCLESDFETMADYLFRAAQIAIMLQREHGKLQNTIYKVLESNRDIIELRARVEAFATQFVLPGYDI >CAK8530173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4162079:4164678:1 gene:gene-LATHSAT_LOCUS61 transcript:rna-LATHSAT_LOCUS61-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHHQSNLSLSFSSSHASPPRRPPIPDDSISLHLESSFRDPSHPVPTVPLQLMEPQTEKENGSIDTDLNVNEEDDREVEEFRILGHSMCLKRRRDCDSSTVMAKRASVERDLESRKLAVRSWGDQPLHLADPDIFAIMEKEKNRQYKGDRIMGLDTPSGGNTSHGYYTPNGKKVSSASIFFESLPYKINPQSGFIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLGDIAQISGIIAAKECVNPFDYCDVVTSTTHKSLRGPRGGIIFYRKGTKPRKRGILVQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKIYEKVCEACHITLNKIAIFGDNGTIIPGGVRIGTPAMTSRGCLESDFETMADYLFRAAQIAIMLQREHGKLQNTIYKVLESNRDIIELRARVEAFATQFVLPGYDI >CAK8564251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662625725:662626156:1 gene:gene-LATHSAT_LOCUS17574 transcript:rna-LATHSAT_LOCUS17574 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWSRCLLAMLVLMSVIESESRIARKDLGLDLGGLGIGLGAGVGLGIGGGSGSGSGAGAGSGSGSSSSSSSSSSSSSSSGSGSGAGSEAGSYAGSRAGSGSGRSRGRGGGGGGGGGGGGGGGSGYGEGYGHGGGYGEGGGD >CAK8531146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84255088:84257860:-1 gene:gene-LATHSAT_LOCUS957 transcript:rna-LATHSAT_LOCUS957 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKSRKKKFRDPFFDDDSIKRRKVAADEDQDAEIDSESDEDGVISSRDESEQESEKETADETRKRIAEDYLRKLRESAKKEKEQRDEEGEGSDDDDDDDEGARDSLLAQKMIKEQQEESGRIRKNIASRVELGGDGGFETLVKHKQCVTAVALSEDDVKGFSASKDGGIVQWDVESRKCDRYKWPSDSVLKSHGLKDPQGSAKKQSRQILTLAASSDGRYLATGGLDRHVHIWDTRTREHLQAFPGHRGPVSSLAFREGSTELFSGSFDRTVKIWNVEDRTYMNTLFGHQSEVLNIDCLRKERVLAVGRDRSMQLFKVHEESRLVFRAPASSLECCCFVNNEEFLSGSDDGSIELWGAVRKKPIHILRNAHALLTDSKKSDLKDNGKFPNGNLENGYHHLENYHCSTVHSWISAVTVCRNSDLAASGAGNGFVRLWAVENETKDIKSLHNIPLAGFVNSLAFAKSAKFLVAGVGQEPRLGRWGRICEARNGVSILPLKLS >CAK8531147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84255088:84257860:-1 gene:gene-LATHSAT_LOCUS957 transcript:rna-LATHSAT_LOCUS957-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKSRKKKFRDPFFDDDSIKRRKVAADEDQDAEIDSESDEDGVISSRDESEQESEKETADETRKRIAEDYLRKLRESAKKEKEQRDEEGEGSDDDDDDDEGARDSLLAQKMIKEQQEESGRIRKNIASRVELGGDGGFETLVKHKQCVTAVALSEDDVKGFSASKDGGIVQWDVESRKCDRYKWPSDSVLKSHGLKDPQGSAKKQSRQILTLAASSDGRYLATGGLDRHVHIWDTRTREHLQAFPGHRGPVSSLAFREGSTELFSGSFDRTVKIWNVEDRTYMNTLFGHQSEVLNIDCLRKERVLAVGRDRSMQLFKVHEESRLVFRAPASSLECCCFVNNEEFLSGSDDGSIELWGAVRKKPIHILRNAHALLTDSKKSDLKDNGKFPNGNLGKENGYHHLENYHCSTVHSWISAVTVCRNSDLAASGAGNGFVRLWAVENETKDIKSLHNIPLAGFVNSLAFAKSAKFLVAGVGQEPRLGRWGRICEARNGVSILPLKLS >CAK8531013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69714094:69714405:1 gene:gene-LATHSAT_LOCUS833 transcript:rna-LATHSAT_LOCUS833 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEYVALKIVNGDIKTEIDEEDIESEVKFWESTLIMYVLGGEVNMHIVKHFMMKTWNYVQLPDMYYHEEWYFLLKFRLHEDIETMMMKGPYTIRNMPMILKE >CAK8560079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6900687:6901172:-1 gene:gene-LATHSAT_LOCUS13792 transcript:rna-LATHSAT_LOCUS13792 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSWSSNSRWIRDVFINFRPQDNSNTFVSHITHAFTKAGINAFIDDQLHMGTELEPELLRTIEKSRLSILVFSKNYTESSWCLKELQKIIECRRNLGQRVVSVFYDVYLSVVRHQNGSFGKKLKATAKRICFNSRTRKREMLTSWKSALKEAAGFCVS >CAK8538937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499831436:499833454:1 gene:gene-LATHSAT_LOCUS8082 transcript:rna-LATHSAT_LOCUS8082 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFKPTLFTLFSTFILAVTGNIQLDFPYFTTTNLTFTGDSSLTNHIISLTKPTTFSTGAILYKNPIPLFDKQTNTTSSFSTTFSFSITNPNPTSFGDGICFFLSPNNLSSTSSSSPSFSPFGLPTNFVAVEFDTRFDPRFNDPNENHIGFNIDTLNSLRTVDPIFNGIDLKSGNIITSWIDYKTDQSSLSVFLSYSSTIKPREPILFVNVDLSEYFRDNEDVYVGFSASAEKSTELHQIESWSFYTVGFESARPRLHSHNVSDNSVIGNEINTNGNRSRSSNLKKRFGFGFAVAGPVFFCVVFSLLGYYSFLKWKKMKKESSKDFDPGFVACPREFDYKELKSATREFHPSRIIGHGSFGTVYKAFFISSGTIAAVKRSRHSHEGKTEFLSELSIIAGLRHKNLVQLLGWCVEKGELLLVYDFMPNGSLDKMLYKEPERGKLLTWSNRFNIVVGLASVLVYLHQECEQRVIHRDIKTGNVLLDRNVNARLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSYGVVVLEVACGRRPIEREGQKMVNLVDWVWGLYSEGKIIEAVDKRLDGEFEVEEMKKLLMLGLSCANPDSAERPTMRRVLQILKNEAVPFAMPKVKPTLTFSSDLPLSIDDIVSDEDGDGCEEFSTSQSMCEIKID >CAK8573447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612925272:612926312:-1 gene:gene-LATHSAT_LOCUS25894 transcript:rna-LATHSAT_LOCUS25894 gene_biotype:protein_coding transcript_biotype:protein_coding MACTNKDQKTTNETTNTVSSSSSSPIFISTENLRTILTHQILMNHIDSNLPKVSTFLQTPIRQHYSLSPSSSLLLMPSWSSSSSFPYVGVKLVTHFPQNSSINLPGVQGSYVLFNSTTGQTLASMDSTELTLYRTSCVSGLASRYLSRDDSEVLVMVGAGSLAPHLIRAHFSARPSLRKVLIWNRTVEKAEALAEKLRESDEFSLSGMSFEGCGCLDEVVGFGDIVSCATNSETALVKGERLKVGAHLDLVGSFKPSMKECDDEALKRGKVFVDNEAALVEAGELVGGFERRVIKEDEIEANLVELIRGDKVGRRSSEEITVFKSVGSAVVDMLAAQFVYETYTGK >CAK8535819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883387403:883389419:-1 gene:gene-LATHSAT_LOCUS5239 transcript:rna-LATHSAT_LOCUS5239 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGVELSSVVDADHEISQQSEKRKGGLITLPFIIANESLARMATLGLLPNMITYLMGSYRLHLGNATQILLLSSAASNFTPVVGAFIADSYLGRFLGVGLGSAVTFLGITLLWLTAMFPQARPPACIHPTGGCKSATKGQMAVLLSAFGLMSIGNGGLSCSLAFGADQVNRKDNPNNHRVLEIFFSWYYAFTTIAVIIALTIIVYSQDHLGWKIGFGVPAALMLLSTLLFFLASPLYIKITKRTTLLTGFAQVTVAAYKNRKFQLPSQNSAEFYHHNKDSDLLVPTDRLRFMNKACVIKNREQDIASDGSAINPWRLCTVDQVEELKAIVRVIPLWSTGIMMSLNIGGSFGLLQAKSLDRHITSHFEVPAGSFSVILVGAIFIWIVLYDRVVIPVASKIKGKPVRISPKRRMGIGLFLSFLPLVTAATFESIRRKKAIREGYLNDPDGVLKMSAMWLAPQLCLGGIADAMNGIGQNEFYYTEFPRSMSSIATSLGVLGMAVGNLLSSFVFSTIENVTSRGGKQGWISDNINKGRFDKYYWVIAGLSVVNLMYYLVCSWAYGPTVDQVSKEGTNDVNTLFDDKGSDATSSKEKEFIEFKNQVEKVLKISEENGLKEEELKSD >CAK8541126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69028054:69029657:1 gene:gene-LATHSAT_LOCUS10073 transcript:rna-LATHSAT_LOCUS10073-3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTEGSAPERGVQWVMIGEPGAKRHMFAERLSKLLEVPHISMASLLHQELNPRSSLYQQIANALDHGKLVPEEIIFALLSKRLEDGYYRGETGFILDGIPRTRIQADILNHIAHVDLVVNFKCPQEDIMKKKLGLHKFTPGQEHIFTTSSRSPTKQSQDEHIHKRVEESKMLEDYYRKQKKLLNFEVAGGAGETWKGLLAALHLQHINALSSSQKLTA >CAK8541125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69027922:69027990:1 gene:gene-LATHSAT_LOCUS10073 transcript:rna-LATHSAT_LOCUS10073-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGRLRAIARLRFHHNRPFGS >CAK8541124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:69027922:69029657:1 gene:gene-LATHSAT_LOCUS10073 transcript:rna-LATHSAT_LOCUS10073 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGRLRAIARLRFHHNRPFGSAAALEYNYDDEEEFEYTAPRGMLDTEGSAPERGVQWVMIGEPGAKRHMFAERLSKLLEVPHISMASLLHQELNPRSSLYQQIANALDHGKLVPEEIIFALLSKRLEDGYYRGETGFILDGIPRTRIQADILNHIAHVDLVVNFKCPQEDIMKKKLGLHKFTPGQEHIFTTSSRSPTKQSQDEHIHKRVEESKMLEDYYRKQKKLLNFEVAGGAGETWKGLLAALHLQHINALSSSQKLTA >CAK8567697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:537189669:537190139:1 gene:gene-LATHSAT_LOCUS20724 transcript:rna-LATHSAT_LOCUS20724 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYSVSSNRNVSRSNHVECKCGIPSTLMTAWTDQNSGHHFFGCGMYKNQRYKRCSHFVWYDEEMSQRAKEVISPMHQKLNQEKVKLNEAIVREDQLKLKIKYLKVMNKFTMRMTLVLLIGLVVSNVIH >CAK8537554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:395344328:395345470:1 gene:gene-LATHSAT_LOCUS6843 transcript:rna-LATHSAT_LOCUS6843 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNNHFTLLSFDHAQAWLTLWLVIAVIQISPLVTCQPMGPEDPTENNKSVATIMGIVALMFVFSGFLSLYSARCSDRQQGVIYDLTLPNGANGVRAPNNEPSNGLNQDVIDTFPTFRYSNVKGLKIGKSTLACAVCLNEFQDDETLRLIPKCSHVYHHGCIDIWLVSHNTCPVCRANLVPIPDEDATESPIISIQIPEGELHHNEEEETEHVVEEEQKGDIIEVIYSPKVDLLRRSRTYSAPTRSRSTGFLSSLLLSRSNSTGVLVQPGEDCERFTLRLPDEVRNQMMMNTTTLKRAKSCVSFTRMSSGKCGYRSRSFGCGSGNGQVQYERFGSEEEENSGFVRNNWNNKSARKSHMKCLGVDMENNGDKRSYDLLGHV >CAK8573012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:577124769:577126468:-1 gene:gene-LATHSAT_LOCUS25507 transcript:rna-LATHSAT_LOCUS25507 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGSSALLFLLTCIVTYFVGSLYARTKNSNYKLPPGPSFFTIMSNVVDLYNKPQQTLAKFAKFYGPVMLINLCTETTIIISSSDMAKQILHTHDSLFSDRSVPHNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSTKTLDGSQELRRMKLKDLLNDMRKSSLTGEAVDIGRAAFKACINFLSYTFVSQDFVESLDDEYKDIVSTLLKAVGTPNISDHFPVLKIFDPQGIKKHTTNYVSKVFYALDIIIDKRMKLRESEDYISNNDMLDTLLDISKEDKQKMDKKQIKHLLLDLLVAGTDTTAYGLERAMSELVRNPEIMSKAKKELEEIIGLGNPVEESDIDRLPYLQAVVKESLRLHPPAPLLLPRKARVDVEISGYTIPKGAQVLINEWAIGRTDIWEDAHLFSPERFIGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEFKDMDLEKSLRAIPVVLNKVY >CAK8539576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516788740:516790278:-1 gene:gene-LATHSAT_LOCUS8659 transcript:rna-LATHSAT_LOCUS8659 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFILDDEVVKLSPHARAIRDVEDEELWSFFYGMSPLQFILMVAASSVGEELFYRAAVQGALADIFLRGSNLMQDVRGMASLTGVLPPFVPFAQALAAVLTAILTGSLYYVAASPKDPTYIVAPVLQSRTSRQDLKKLFEAWYEKRQMKKIYSPLLEGLLALYLGFEWIQTNNILSPIITHGIYSTVILGHGLWKIHDHRRKLRQRIQQLKSEERNSN >CAK8560553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24654482:24654905:1 gene:gene-LATHSAT_LOCUS14216 transcript:rna-LATHSAT_LOCUS14216 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDALAGRKTCGYIQGDIRISGFPKNQQTFARISGYCEQTDIHSPQVTVKESVIYSAFLRLPREVNNDEKMKFVDEVMNLVELDNLRDAITSSPKETAETFSLQK >CAK8562245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460882156:460885036:-1 gene:gene-LATHSAT_LOCUS15758 transcript:rna-LATHSAT_LOCUS15758 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTCEVVEPLPDPRSFKVHRRLCTELRKLVARVLRIFPQIEETRPRSSSGIAALCLLTSTLDKAKQLLQNCSESSILYLAITGESILSKCQKARKSLEKSLVQIQDIVPVMLAAEISRIIGDLRCVTFALDSVDEEAGRVMRELLQQGPSTSDNDSKENSDIKSLQFVAARLNITSATTIIIEIRSIRKLLQKLGPKEENKMTILKNLLYLLITHRKSIIGEQMEVYSRPDEPATTENSEPYLNHDHYETPASELSRVAPPEEYTCPISLRLMFDPVVIASGETCERMWVQKWFDEGNVICPKTKKELLHMSLTPNVALKELISKWCKANGVSIPNPSRQVEDIRSWEASVTSIRSFGSSMNGLNLPMDISNMSLGSLDNSYNSDSSFVKASHGLNSMLVKTRGGSRDQKSHSQIHDTHLTHLSKLHERLWDLQCQFVEDMKIDFKCNYQAFCSTSSTENFIDPLVRFLRTAYDKHDTKALRSGSELLLEFTKYCRNGVTNLSEDTCSVLASLLESEVIGEALAILEVLSNHWSDKANIAASSALTSFSKILDSGNKEYHRKAIRIMRNFSSSASLCSYMVSLGCISKVLPFFEDKYLSRDCICILKNLFDTEDGRVSVVETKGCMSCVVEILGSGTDEEKEPALAIVLSLCSQRLDYCELVMHEGIIPYLVNISNLGNDSTKAKALELLRLLRDVEYESCFEPNPNNSRDHNEIFEEKKPSKKPAFFKKLSQLGKSSPVASKNKR >CAK8572664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554181133:554183189:-1 gene:gene-LATHSAT_LOCUS25199 transcript:rna-LATHSAT_LOCUS25199 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCGEDEFHKVAESGPYIVKNPAGNDGNYHASESTKPGAQTVKVQPIEVPEIQADELKEVTDNFGQDSLIGEGSYGRVYYGVLKNGQAAAIKKLDASKQPDEEFLAQVSMVSRLKHDNFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFEDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDTRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLTARAGPAGETAN >CAK8538480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484436709:484437562:-1 gene:gene-LATHSAT_LOCUS7671 transcript:rna-LATHSAT_LOCUS7671 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYGKYEVVRYILQNDQIREHDKKHKLINQKDSKGNTPLHLAAKSFHPKTVFYLIWDKRVNYDLVNQNNQTPLDVANEISQLGRSSTRQQLTRTALNSVGAKSSFKRVLHSKWRQSDSNSAKSKQKESDTNPNESNEIVSNTAQYFFLAGADTQYKDRVETLILVSTLIITASVAACFAVPGEADGRALISLTLAFMAGLYTVISPLRWLANLFLVVAVIFVVLVVLLYTVLFLPSASTRKAMRYISYYPFLFLAWLAE >CAK8536521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:949556958:949560431:1 gene:gene-LATHSAT_LOCUS5881 transcript:rna-LATHSAT_LOCUS5881 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSPVRPEPDHPARFALPPGSHRKVAIAVDLSDESAYAVRWAVQNYLRPGDTVILLHVRPTSVLYGADWGPVEKTLNINDGDSSEESQQKIEDEFANFTATKASDLAQPLVESQTPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASKRASTGRLGSVSDYCVRHCVCPVVVVRYPEESNGGGVQGKDSGGVGEKVELHSVPEEEHEEYHDANDEQKDA >CAK8563047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569403755:569405340:-1 gene:gene-LATHSAT_LOCUS16495 transcript:rna-LATHSAT_LOCUS16495 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSSKNSDSSSHFDSPHSPLRFRSSPLSDGGDPFHSTKNSPENDNSRAIVIIEPSLQYSQVAAPLPDSEHRNPPSNDHPAVVVNRALRNDPPPTATNLGHTVTGGGREDGGGRGRPRTNTPTSTAWSKSDVMTGKVALGFRLSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLSMTVIAFVYAGYQACELAYQLIKGKHIINHHLRFHFDFFMDQVLAYLLISSASSAATRVDDWQTNWGKDEFTEMVLFK >CAK8563048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569404105:569405340:-1 gene:gene-LATHSAT_LOCUS16495 transcript:rna-LATHSAT_LOCUS16495-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSSKNSDSSSHFDSPHSPLRFRSSPLSDGGDPFHSTKNSPENDNSRAIVIIEPSLQYSQVAAPLPDSEHRNPPSNDHPAVVVNRALRNDPPPTATNLGHTVTGGGREDGGGRGRPRTNTPTSTAWSKSDVMTGKVALGFRLSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLSMTVIAFVYAGYQACELAYQLIKGKHIINHHLRFHFDFFMDQVLAYLLISSASSAATRVDDWQTNWGKDEFTEMASASIAMAFLAFFAFAISSLISGYNLCNRYP >CAK8569994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19638403:19641280:1 gene:gene-LATHSAT_LOCUS22786 transcript:rna-LATHSAT_LOCUS22786 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKVRKPSHAGSWYTDNPKKLSEELEGWLNSSGLTKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPSNIKRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDIEVNEQLKATGKFETMDIRVDEAEHSMEMHLPYLAKVFEGHPVKIVPILVGAVSAESEAMYGETLSQFVDDPTNFFSISSDFCHWGSRFNYMYHDKNHGPIYKSIEALDKMGMDIIETGDPDSFKKYLSEYDNTICGRHPISVFLHMLKNSSTKIKIKFLCYEQSSQCHNTRDSSVSYASAAAKVDDSNSN >CAK8540657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15358060:15367855:1 gene:gene-LATHSAT_LOCUS9642 transcript:rna-LATHSAT_LOCUS9642 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTQHQFRYTQTPSKVLHLRNLPWECSEEELVELCSPFGRIVNTKCNVGANRNQAFVEFVDQNQAISMVSYYASSSEPAHVRGKTVYIQYSNRHEIVNNKSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKTAGFQALIQFTDAETAASARDALDGRSIPRYLLPDHVGSCNLRISYSAHKDLNIKFQSNRSRDYTNPMLPVNHSAIEGAVQPTIGPDGKRIEPESNVLLASIENMQYAVTVEVINTVFSAFGTVQKIAMFEKNGQTQALVQYPDVTAAAAAREALEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTVPHPSMLAAQGPPTVWHNPQAAPMYHTSSPGFQTQVPGGQGPSWDLTQHAVRPGYLSVPGAYPVQTAPYPTMPAYMSAAMPSASSPHAQSSHPGAPHNVNAQPSGGLTSSPGSPFMVRPGAPPNVRPGGASPSGQHYYG >CAK8562600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514554487:514559367:1 gene:gene-LATHSAT_LOCUS16085 transcript:rna-LATHSAT_LOCUS16085 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVREKHIRANRRPRSVKPDSDSSDNKDAISKSIAESGLKPFKYDISRVDSSSSHTLVPLPYPNPNSDETGWGYCTEEQLEEILLKNLEFVYNEAVSKIVALGYDEDAALKVILRNGHCYGGMDVLTNILHNSLSYLNTSGSVAGGVGFGDGVSSNRNSDETEPVFSDLRHLEEYSLAGMVCLLQQVRPNLSKGDAMWCLLMSDLHVGKASTMEIPVPGGAVPSRPPAIVESGQSGRSSVGVRASPLCKFHGGWGFENGDYPTNRVLNCGPDLQREIEFPKRFDLSPSMKDLLKRNVTMFAAGFRANTKLQLQAKANLPGRSAMSNLDSPVVSGPDVTVDQCGHSRFPDNQDAVNSVLSKFRDLNLDENLEFAAEDQKDEVIVSIFHQIKDLEKQAKERKEWAHLKALQAAKKLSGDMTELKTLRMEREETQKLKKGKQALEDTTMKKLSEMENALRKASGQVDRANGAVRRLETENAEIRAEMEASKLSASESVTACLEVAKKEKKCLKKLLAWEKQKAKLQKEVSNMKEKISEAQEVLAQTSQRQKEAEVKWKEELKAQEDALALVEEERRAKETAESNNKRGFEALRLKIDIDFQRHKDDLRRLEHDLSRLKASVHSAVLQHQSITSPVSDFEGTKPQRESIAKLLLDLDNLDDLSEKEANNNRECIICMKDEVSVVFLPCAHQVMCAKCSDEYGKKGKASCPCCRVQIQQRIRVFGASS >CAK8565207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:68412769:68415507:-1 gene:gene-LATHSAT_LOCUS18440 transcript:rna-LATHSAT_LOCUS18440 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWFIAFSTFILCILIYRFLKFATKSSSSSLPLPPGPKPWPIIGNIPHLGTAPHQSLAALAKTYGPLMYLKLGSVDAVVAASAAVAEQFLKVHDANFSSRPPNAGAKYIGYNYQDLVFGPYGPRWRLLRKITSVHLFCNKSLDEFKHLRQEEVTRLIQNLASNSGSKAVNLGQLLNVCTTNALAKVVLGRRVFNDGNGGFDPKADEFKDMVDELMVLGGVFNIGDFFPSLEWLDLQGVQAKMKKLHKKFDAFLTNIIKEHETSNYSKSEKHKDLLSTLLSLKEEIDEDGNKLNDVEMKGLLLNMFVAGTETTSITIEWAIAELLRNPKILTKIQEELEKVVGRDTNVKEEDIPNLPYLQAVIKETFRLHPPTPLSLPRIASKSCEIFGYHIPKDSTLLVNVWAIARDPKKWVDPLEFKPERFLSNGENYDVDVKGNDFEVIPFGAGRRICAGMNLGIRMVQLQVATLVHSFDWELENGVSGENINMDEAFGLGILRAVPLLVHPKCRLSPHVYSSSL >CAK8568370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:599653428:599657355:1 gene:gene-LATHSAT_LOCUS21332 transcript:rna-LATHSAT_LOCUS21332 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSRSKAAAMFDSDDDSSVTSSSTARSDLMSVSGTEDVQFDQDSLLDQALDALDEKRGSTRENAFSTIIDAFKSNMQHQFVEKKFATLLHQCLASIKKGSKKASAKEITLASHAIGCLALTVGCSDNAREIFEDSVSPLDESLARSIDVMKAPSLLDCLAIITFVGGNAQEETERSMDIMWRVIHPKLGSNVVAVKPSAQLITAVVSAWSFLLSTVGNLKLNSKNWQNSISYLSSLLDKEDRSVRIAAGEALALIFEIGAIDKFNASDAIQEESKPQESYIFLQGLKGKVINQCKNLSVEAGGKGSAKKDLNNQRNLFKDILDFFEDGYAPEISMKIGGDSLQTSSWSQMIQLNFIKHFLGGGFIKHMQDNEFLHDVFGFTPKKKLNNGEHRMSGGEKRMFKSPNSVLNKARTQLLNKQRELSEGRNFGHYAVSMVDDET >CAK8540199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544696181:544699521:1 gene:gene-LATHSAT_LOCUS9227 transcript:rna-LATHSAT_LOCUS9227 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFSFVSPILLYLHLLFLFTLTLICFGSNKIVAVTILGNQTDHLALLKFKESISIDPYRTLESWNSSIHFCSWFGITCSPMHQRVIELNLYGSELHGSLSPYVGNLTFLKILFLRNNSFFGEIPHELGHLLHLQQLFLTNNSFSGEIPTNLTYCSNLTHLYLGHNNLIGKIPIEIRSLKKLQFFDVSENNLTGGIPSFIGNLSSLERFTCAANNIKGDIPQEICRLKNLSFLLLGENKLSGIIPSCLFNISSLTTISIALNNFHGPLPLSMFNTLPNLQVFEIEKNQFSGPITSIINASSIRILALNGNHFVGQVPSLGRLKDIDILNLELNQLGNSSSKDLEFLNSLTNCTKLSVFSIGQNNFGGILPNSIGNLSTVIKKLIFGDNTISGQIPPELGRLVSLILLEMGNNQLEGNIPTTFGKFQNMQWLSLSGNKLSGDIPPFIGNLSQLFHLEIQANMFRGNIPQSIGNCQNLQHLDLSHNKLRGTIPLEVFNLFSLSNLLDLSHNSFSSSLPEKVGMLKNIGRLDVSHNHLSGDIPISIGECTVLEYLSLQGNSFNGTIPPSLAFLKGLQYLDLSINRFSGTIPDVIQNISGLKYLNVSFNMLEGEVPISGVFGNASLVAMIGNKKLCGGIPPMRLPACSVKDREHPKHHKFMFVVIGVISLLLLIVSIFLTIYWMKKRIQKKSFHSPIIDQLDKVSYRDLHQGTNGFSITNLIGSGSFGSVYKGNLVSEDNFVAIKVFNLQKKGARKSFILECNALKNIRHRNLVKIITCCSSSDYKGQEFKALVFDYVKNGSLEQWLHPEISNVENQTSLDLTHRVNIITDVASALHYLHQECEQLIIHCDLKPSNVLLDDDMVAHVSDFGIARLVSSIDGTSNKDTSTIGIKGTVGYAPPEYGMGYEVSTCGDMYSFGILMLEMLTGRRPTNEVFEDGQNLHNLVAVSFPNNLINVLDPGLVPRDAEVEIQDRNRENAIQTVEECLVSLFRIGLNCSMEPPKERMNIVDVTKELSIRKTLFAGVRTYN >CAK8544740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703647538:703654489:1 gene:gene-LATHSAT_LOCUS13395 transcript:rna-LATHSAT_LOCUS13395 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSTPLLIQPCPFHFKWKNQFAFSNNRVHTPHSFTPITKPLNTIKSHLIHKTPSSISSNPNPNSNSKSTFESLVTSIWNTLRKPVVAAILIGVLLMFGPNPALAASGGRMGGRSFSSSSSSSSSSYSSSSRSYSVPSPSPGFSYSTPFSGGGYYGSTVGVGVLGLGAGAGSSFFLILVGFASFVLVSGFLSDRSEDSVLTAAGKTTVLKLQVGLLGMGRTLQRDLNRIAEVADTSSSEGLSYVLTETTLALLRHPDYCISGYSSVDIKRGIEDGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTRNQRSNGFSNEYIVITILAAAEGELKLPSINGSGNLKEALQKLGSIPSSKLLAVEVLWTPQNENDTLSERELLEDYPLLRPL >CAK8563006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565974456:565978327:1 gene:gene-LATHSAT_LOCUS16456 transcript:rna-LATHSAT_LOCUS16456 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPWTLFFLSIFLLLLHHSFLSFAVNQQGEALLSWKRTLNGSLEILSNWDPIEETPCSWFGVSCNLKNQVEELDLRDVDLLGKLPTNFISLFSLTSLILMGTNLTGSIPKELGALVELSYLDLSDNALSGEIPSELCYLPKLEELHLNSNELVGSIPIAIGNLTKLTKLILYDNQLSGEIPNTIGNMRSLQVIRAGGNKDLEGQLPHEIGNCSSLIMLGLAETSISGFMPPTIGLLKKLETLAIYTSLLSGQIPSEIGDCTNLQNIYLYENSLTGSIPTKLGNLKNLRNLLLWQNNLVGTIPQEIGNCYQLSVIDASVNSLTGSIPKSFGNLTLLEELQLSANQISGEIPKELGNCQQLTHVELDNNLITGTIPSELGNLGNLTLLFLWHNKLQGNIPSSLSNCQNLEAIDLSQNVLTGPIPEGIFQLQNLNKLLLLSNNLSGKIPSQIGNCSSLIRFRANDNNITGAIPSEIGNLKNLNFLDLGNNRVSGVIPEEISGCGNLTFLDLHSNFIAGSLPESLSQLVSLQFLDVSDNMLEGSLSPSLGSLAALTKLVLRNNRISGLIPSKLGSCVKLQLLDLSSNEFSGKIPGSIGKIPALEIALNLSTNHLAGNIPREFTDLTKLGVLDLSHNILTGNLNYLAGLENLVVLNISSNKFSGRVPNTPFFTKLPLNVLSENPSLCFAGNGCYNEGGGKSGKRAREARVVMVILLSVACVLLMAALYVVVAAKRRGEQESDVEINGKECDAEMIPPWEVTLYQKLDLSISDVVKCLSAGNIIGHGRSGVVYKVTMPATGLTIAAKKFRLSEKFSASSFSSEIATLARIRHRNIVRLLGWGANRRTKLLFYDYLPNGNLDAMLHDGCTGLAVEWETRLKIAIGVAEGLAYLHHDCVPAILHRDVKAQNILLGDRYEACLADFGFARFVEEHHSSFSVNPQFAGSYGYIAPEYACMMKITEKSDVYSFGVVLLEIITGKRPVDPSFPDGIHVIQWVREHLKSKKDPIDILDSKLQGHPDTQIQEMLQALGISLLCTSNRADDRPTMKDVAALLREIQHDTTSASEPQKPKRSETSTYSSSSVTPAQLLFLQSNSHSHSSSIAYSSSSAAAAYHSPRN >CAK8569020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663197420:663199720:-1 gene:gene-LATHSAT_LOCUS21918 transcript:rna-LATHSAT_LOCUS21918 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAHQRSSGIKMLSQLVKEHSYMCYHVGLILVSLSCFKMLALWCKNNKSSSVGSPPGNRGLPFIGETLNFMAAINSTKGVYEFVRLRRLRYGNCFKTKLFGETHVFISSKDSAKMILRNEGGKFSKKYMKSISKLLGSDSLLCAPEQHHKLIRSRLFSLFSPHSLSSFVQLFDRLVVEATSTWTCGSLLILQDEALKLACKAMCKMLISIENGDELMVMQKNVTCVSEAMLALPLRLPWTRFYKGLQARKQIMDILEKVIIERRREITTSHVDFLQQLLANDDKKLEKDEVITRLTDEEIKDNILTMIIAGQDTIAIAMTWMIKFVDENQEVLNELKKEQVEIEKKCRGSVNLTLETLSQMQYASKVVKEALRMASVVQWLPRVVLEDCEIEGFEIKKGWNINIDARSIHHDPSIHSDPNVFNPSRFPSESKPNSFLAFGVGGRMCLGKSMAEGMMLVFLHRFVTRFKWKVIDSDSSIQKSALFTKLKSGYPIRLIDVKEEDMNKKVM >CAK8560007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4856732:4859473:1 gene:gene-LATHSAT_LOCUS13729 transcript:rna-LATHSAT_LOCUS13729 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEMSTIMKVLFGGILVILVHILNILVLRPKSVRAKLERQGIHGPSPHFYFGNIPEIKRLLLETQSAQENNKHLPISHNWHSNLFPHIYKWKKQYGPIFLFCSGSIQWVVVTDIDMVKEIVLHTSLNLGKPSFLSKDNRPLLGQGILSSSGQYWAHQRKIIAPELYLDKIKAKVNMIMDSTNVLLKLWETKLKAVGDVLEIKIDQDLRNLSSDIIAKACFGSNYVEGREIFTKLRELQNLISNIFAGVPGYRYLPNKTNRQMWKLEKEINSKISKLVKKRQNDARDEQDLLQMILDSAKKCESGDSFLPNSISRDIFIIDNCKNIFFAGYETTAITASWCLMLLSTHQDWQDRVRAEVLEVVGKDGNIDATMLKSLKMITMVIQETLRLYPPASSVNREVFKDIVFKGLLVPKGMNINIPMPILHQDSKLWGDDAHEFNPERFANGVQGACKIPQVYMPFGMGSRVCVGQHLAMVELKVVLSLILLKFRFSVSPSYRHLPSFHMLIEPGHGVVLNMTRI >CAK8544438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684255115:684258635:1 gene:gene-LATHSAT_LOCUS13116 transcript:rna-LATHSAT_LOCUS13116 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLEGIKNDLRGRLMCYKQDWTSGIKAGVRILAPTTYIFFASAIPVISFGEQLERNTEGVLTAVQTLVSTSICGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERPELGRNLFLGWTGWVCVWTALILFLLAILGACSIINRFTRIAGELFGMLIAMLFMQQAIKGLVDEFRIPKRENTKSIEFLPSWRFANGMFALVLSFGLLLTALKSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGVSYMPTASVPNGIPRRLFSPNPWSPGAYDNWTVVKDMVQVPVVFIIGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNKLVITARKSIGKNASLGQLYGNMQEVYHQMQTPLIYQDPSARVQGLKELKETTIQAATSMGSVDAPVDESIFDVEKEIDDLLPVEVKEQRVSNLLQSVMVGGCVAAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHASFVETVPFKTIATFTIFQTIYLLICFGLTWVPIAGVMFPLMIMLLVPVRQYFLPKFFKGAHLQDLDAAEYEEQTALPFNLASQSEFGAGVSHIGEGEIFDEVITRSRGEFRHTINSPKINSSTPTPRNDPKSRLSPRLSFNSRVGELTTEQSPRSGARGPNSPIMPREMRLSTRGISPLKPDSKQQDKK >CAK8531777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:150667931:150668392:1 gene:gene-LATHSAT_LOCUS1543 transcript:rna-LATHSAT_LOCUS1543 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVVNRTTELYLRNIIAFEDRHIPDQDPHFRDQDPHFVSHYLTMLDFLINTEKDVSILVDKGIIMNKMGDANAVVKMVKDLCKNLVAPGQNPKYVYVRKMLNGFYENPMNKYKAIFVHEYFNTPWKIASTVAAVLLLLLTLIQTVCSIVSL >CAK8541164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:79821946:79823094:1 gene:gene-LATHSAT_LOCUS10104 transcript:rna-LATHSAT_LOCUS10104 gene_biotype:protein_coding transcript_biotype:protein_coding MKIETLRMYAPDVNDRFLALSRAGPNDFYFTVASSSLLVLCDVRKPLMPILQWKHNIDEPYYMNVLSLSMLRSHSKVDNFKLASEMGFCIILGSFWNFEFNIFCYGPTFPFQKGSITSKLSKISTTFCAWELPSEINLSSRECHCGICLFREELSNDALPEWIDWQLKKEMVLGFGIVSNDLASLLHEPDEHGGFTLVRIMSSGRFELQRYHASWSLDRKLEDCHKANLCMESHLLCPRNVKENKSVELHYLKLDYLCAYANGNLAQVLTTKLDKTYSNDQEEAPFCLEVHELLCKKLNACGLGHSRSSPTITSIFNDVKLPASFHEVALRKLWIDLPLELLQLAFLSYSECREVNGFNQHQTNSHTQNQQSNLPQPYTKME >CAK8571154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:318082710:318083477:1 gene:gene-LATHSAT_LOCUS23844 transcript:rna-LATHSAT_LOCUS23844 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFVEISECLAEMIKYVANEPSAGLFFIQQHTQNAVPNVIKLKNKVIEKSHETRLHTEDLEDSITMVKSMKECGFPIIDEMIGDIKKTLATMTSKQPKRVLPSLPASNLQSERPSFWSNSAVDPQEGSEKRGNYFSSVFKFSKQKESSLKWPQHDSTGSINPKTEKAELYPNEPLSVTYASSCTSSDKLPMPRDVEYESLPEQSDIGIKLLSVTEKYDDFKASKQAKLEEWLEGTNNHDCRTSDENRP >CAK8574385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679256694:679259067:-1 gene:gene-LATHSAT_LOCUS26738 transcript:rna-LATHSAT_LOCUS26738 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCVMKSPLAMKETGSSCGQYPPPMATYEEVVDNPKLFLHSLEKLHATMGTKFMVPIIGGKELDLHRLFVEVTSRGGIEKIIKDRKWKDITLVFNFPSTATNASFVLRKYYTSLLYHYEQIYYFKAHNWTHAASDVLQSPSFTPVSAPKIQFSHPSSEIQPAVVQPLNVNAAGLPEAMASSSAGSHVVGVIDGKFESGYLVTVRIGSEKLKGVLYQAPQNTVLPAPPVPGHSASVNNNNAGVHRRRRRKKSEMKKRDPAHPKPNRSGYNFFFAEQHARLKPLNQGRDREISRTIGELWNKLNESEKAVYQEQAMKDKERYKTEMESYREKLKMDQDQVISDAVPLQQRHPEADTDMKFDEAEADSLQTPEESSSVGSEYEDDKGMEKDFSVDAYPVIGIGAEDMDSVEKSSQGGL >CAK8531765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:148735246:148737102:-1 gene:gene-LATHSAT_LOCUS1531 transcript:rna-LATHSAT_LOCUS1531 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIGFQKSFISPNVRSSALYFHRFQFHHHCGKIDFSFSVARVQRFKHKNSCYKGALVACLSNKRIFSSNARSHVPDNPLKRFGNGGSMLKFTSEEKHLVLQAPSLQHWFKNWQNLRKQKLTASTFAAAIGFWRRRRSQLWLEKIGAIEPFSGNLATCWSNIKEEDALERYKLITGNNVLFPEFQVYNAKPEDSWLAASPDGIVDRLVYELPSHGVLEVKCPYFGGDMSKAFPWSRIPVHYIPQAQGLMEILGRDWMDFYVWTINGSSLFRIHRDPEYWDIMKIALSDFWLKHVQPARELYSSGIITDPLFQLRSLAPAPRHQLCRDIVYKSKVIVDNSKFLIREIHGKMIN >CAK8569337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695330158:695333895:1 gene:gene-LATHSAT_LOCUS22198 transcript:rna-LATHSAT_LOCUS22198 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGEKKMLDVGVNEESVGGYNELKNLERNEVFEEAIDPVKHFNDQVDDIVGEGDVADTVTALPLALVDEMRDKADELDNFHEAIEVADARVEILKDEMEVEVVANQDVLDDRCLESSSDFSGGKEIADLNTDGSVAFQEARGLVNRNSGLLSGKDENEDLVFMTPKLNSGMRLGNGNFGLLSGKDENEDLEFMTPKLNGGMLFENGNFGLLSGKDENDDLEFMTPKLNGALLFGNGNSGLLSGKDENDDLEFMTPKQNGNKVDYDVAEFHTESGSIEDMRNQGADAGYLKEGGLDPNLRDDKIEEQCNASSDPCGEIQNSSAHGDLEPNGEIFIEMDDETVGTDISHGDTDGKEMGISDTQMTECRDYGNDETKDMETIGEIGDFSPVVDERKVIETTGSSSQSENSFATEVPAVQTTATNSEEESMDVYRSKVSNEESQGNNENLSVVGEPKKILENNRKEKQTTQITQEQNREFVSSSGKSVAISTPLVHPAGLGSSAPLLKPAPRVVQQARANYTVSNTQSQKVEDSSTVEAEEYDETREKLQMIRVKFLRLANRFGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLESAGQELLDFSCTIMIIGKTGVGKSATINSIFDEVKFNTDAFNMGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRHNVKILHSVKRFIKKSPPDIVLYLDRIDTPSRDFSDMPLLRTITDIFGPSIWFNAIVGLTHAASAPPDGPNGAPSSYDMFVTQRSHVVQQAIRQAAGDMRLVNPVSLVENHSACRIDTSGQRVLPNGLVWKPHLLLLSFASKILAEANALLKLQDSPPEKQYTARSRGLPLPYLLSSLLQSRPQLKLPEEQFSDEDSLDEPSDSGDETDPDDLPPFKPLTKAQIRNLSRAQKKAYLDEIEYREKLFMKKQLKDEKKQRKIMKKMAESLKDMPSDYSKNVEEESGDTASVPVPMPDMSLPASFDSETPTHRYRFLDSSNQWLVRPVLETHGWDHDVGYEGLNVERLFVLKNKLPLSFSGQVTKDKKDANVQMEIASSAKYGEGKATSLGFDMQTVGKDLAYTLRSETKFCNFRRNKATAGLSFTLLGDALSAGVKVEDKLIANKQFEIVIAGGAMTGHDDVAYGGSLEARLRDKNYPLGRALSALGLSVMDWHGDVAVGCNLQSQIPIGRNTNLVARGNLNNRAAGQISIRLNSSEQLQIALIGLIPLLKKIVGYSQQLQFEH >CAK8573094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:583248540:583254081:-1 gene:gene-LATHSAT_LOCUS25581 transcript:rna-LATHSAT_LOCUS25581-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTASRSSSAADSYIGCLISLTSKSEIRYEGVLYNINTDESSIGLKNVRSFGTEGRKKDGPQILPGDKVYEYILFRGTDIKDLQVKSSPPVQPTAPTNTDPAIIQSQYPRLTTPSTSLPAASGSLTDASPHTTQLGHPGSNFQGPLYQPGGNVVSWGASSPSPNVNGGGLAMPMYWQGYYGAPNGLPQLHQQTLLRPPGLSMPSSMQQPMPSSLQQPMHSSMQQPMPSSLQQHMPSSLQQHMPSSLQQPLPSSMQQPLQYPNFSPSLPTGPSNFPEFPSAFLPVGTSSPNITSTSAPPSNLPTTVSPPAPLATLAPETFQVSVPNKAQSVSLPAVTLGANLSSLASFTNGGSDMSASVPMANKPNAISAPSLSYQTVPQQMAPSIVGSSSSIRTEPPVPSLVTPAQLLQSGQSVVASSKPSQTAHKDVEVVPVSSTSPTEPPVSVAAESQPPILPLPVTSRPTHRPGGASSQTPHGYGYRGRGRGRGIQGFRPAERFTEDFDFTAMNEKFKKDEVWGHLGKSNKKDGEENASDEDGGQYEDDGDVSNLEVKPVYNKDDFFDSLSCNSLNNDPQNGRIRYSDQIKMDTETFGDFTRHRGGWGGRGPWRGGGGGRARGGGFYGRGYGYGGGRGRGGRGMPGGRF >CAK8573093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:583248540:583254081:-1 gene:gene-LATHSAT_LOCUS25581 transcript:rna-LATHSAT_LOCUS25581 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTASRSSSAADSYIGCLISLTSKSEIRYEGVLYNINTDESSIGLKNVRSFGTEGRKKDGPQILPGDKVYEYILFRGTDIKDLQVKSSPPVQPTAPTNTDPAIIQSQYPRLTTPSTSLPAASGSLTDASPHTTQLGHPGSNFQGPLYQPGGNVVSWGASSPSPNVNGGGLAMPMYWQGYYGAPNGLPQLHQQTLLRPPGLSMPSSMQQPMPSSLQQPMHSSMQQPMPSSLQQHMPSSLQQHMPSSLQQPLPSSMQQPLQYPNFSPSLPTGPSNFPEFPSAFLPVGTSSPNITSTSAPPSNLPTTVSPPAPLATLAPETFQVSVPNKAQSVSLPAVTLAPSLSYQTVPQQMAPSIVGSSSSIRTEPPVPSLVTPAQLLQSGQSVVASSKPSQTAHKDVEVVPVSSTSPTEPPVSVAAESQPPILPLPVTSRPTHRPGGASSQTPHGYGYRGRGRGRGIQGFRPAERFTEDFDFTAMNEKFKKDEVWGHLGKSNKKDGEENASDEDGGQYEDDGDVSNLEVKPVYNKDDFFDSLSCNSLNNDPQNGRIRYSDQIKMDTETFGDFTRHRGGWGGRGPWRGGGGGRARGGGFYGRGYGYGGGRGRGGRGMPGGRF >CAK8575553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:165235990:165238470:1 gene:gene-LATHSAT_LOCUS27809 transcript:rna-LATHSAT_LOCUS27809 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSWLISCFLIISFSLHTYPSLSALTTISSNQSLSGDQTLVSKDGNFELGFFNIGNSSNYYIGMWYKKISLRTYVWIANRDDPVSNKSASRLAISDGNLVLLDQSQTIVWSTNLSFASSNFVFAVLLDTGNLILSNRPNASASEALWQSFDFPSDTWLPGGKIKLNKITKKPQYLTSWKNSEDPATGLFSLELDPNGTSSYLILWNKTQQYWTSGSWNGQIFSLVPEMRANYIYNFTFENSANESYFTYSLYSNSIISRFVMDVSGQIKQYTWLESTQQWNLFWSQPRGQCQVYAFCGAFGSCNEISKPSCNCLNGYKPKSQSDWNLGDYSNGCVKTNKFQCEVSSNPSSGANDRFLTKSNLALPQRAQPVVKAGLSEECESTCLSNCSCTAYTYNSSGCFIWRGELLNLQQLSQDDSNGQTLFLKLAASEFHDSKSNKGTTIGIVGGAVGGIVILLVLVLILVIRRRKRLAGTRTSVEGSLIAFAYRDLQNATKNFSEKLGGGGFGSVFKGILPDSSVIAVKKLESISQGEKQFRTEVSTIGTVQHVNLVRLRGFCSEGDKRLLVYDYMPNGSLDSNLFQNSNMLNWKVRFQITLGVARGLTYLHEKCRDCIIHCDVKPENILIDSEFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFELVSGRRNSDPSVDGQIRFFPTLAANVVHQGGNVISLLDSRLEGDADVEEITRVIKIAAWCVQDDETHRPSMGQVVQILEGVLDVALPPIPRSLQAFIDDHEDIVFFTDSNSTHNSQIKSSVSTASSQAKSHISSTSISP >CAK8531433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:110155743:110156132:1 gene:gene-LATHSAT_LOCUS1226 transcript:rna-LATHSAT_LOCUS1226 gene_biotype:protein_coding transcript_biotype:protein_coding MTITFSIPLNIFFFHQTQTTPTSSFLHHQTVTFSCRKTTLKTACFGSQQNPQQRQSQRKKKPFNTNDTDSDGEKGYDPVRFLVQRDISHKAFTQFLRERQVIWFPFCRISVWIIWVCFVFVLLLESFIL >CAK8566579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438286569:438290471:1 gene:gene-LATHSAT_LOCUS19700 transcript:rna-LATHSAT_LOCUS19700 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPINLNFLKSTHALKIALVLFISITFFYLGKHWSDGYQQLVFFTQDSDPDPNPTQAVSISPNYNKSFDISSLIDNNSTEPVREKALTLTQPPPPPPPTEDSVAKFGIVNDNGTMSEEFEVGEFDPGMVDDWVNETQAEKEGSESVQSFAIKKFGLCSRDMSEYIPCLDNVEAIQKLPSTEKGERFERHCPEEGKRLNCLVPAPKGYRAPIPWPKSRDEVWFSNVPHTRLVEDKGGQNWISRDKNKFKFPGGGTQFIHGADEYLDHISKMIPDITFGRHIRVALDVGCGVASFGAYLLQRNVVTMSVAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEALEEQWEVMLNLTTRLCWKFLKKDGYIAVWQKPFDNSCYLSREAGTTPPLCDPSDDPDNVWYADLKACISDLPKNGYEANVTDWPARLQTPPNRLQSIKLDAFISRKELFKAESKYWNEIIESYVRALRWKKMRLRNVMDMRAGFGGFAAAMIEQKLDSWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAANLLSVEKKRCNVSSIMVEMDRILRPGGHVYIRDSLVIMDELQEIAKAIGWHTSLRDTAEGPHASYRVLVCDKHLLRA >CAK8578221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613163750:613166306:1 gene:gene-LATHSAT_LOCUS30253 transcript:rna-LATHSAT_LOCUS30253 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFNNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNEDDCMIFYIMTPIKTDEDVKAMFRCHVMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEIRMQDIFGNSDDEDNEDEDIVVPSTQPIRAQPISLYNPPAHMQNICAEYDDTTSVFGNAIQSHIGDEIDIGMEFKNKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYDNWETSYDDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKLIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYHGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAGAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAECSSIRQDYAIHIPEVFTILNVFKIYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRQCGICREIGHMRRKCPNVVGPSNRPNR >CAK8542340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502538677:502539900:-1 gene:gene-LATHSAT_LOCUS11186 transcript:rna-LATHSAT_LOCUS11186 gene_biotype:protein_coding transcript_biotype:protein_coding MVCREGYSLRPTDLLGAYSYSKRVNLGAATSGSARGECVYTTVSYFNTIHFQCHQEAKRADAALKIPKKKWDGAALRNNESLCNSLFPVRGPSVPLTQYIRFVDQHWDNLNALGRADGNRLRLLTYDIVLMLARFATGALFSVDCRGGGQESNSRFLPFMFQMARHLLDQGSPLQRRTMARAVSAYITSSTSDLKPSSLSGTQITLGTEETVQFMMVNSLLSESYESWLQHRRAFLQRGIYHAYMQHTHGRTTARPSSVSPSVQAVGSGSIGQSATTETGQSDELLFIIRPMLVYTGLIEQLQHFFKFKKSAIATSASTGAAVSSVTEGEDESGNLEGWELVMKERLSNVKELLSFPKEMLSWLNDINSASDLQEAFDIVGVLPEVLSGGFTRCEDFVQAAINAGKS >CAK8566196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390142942:390144075:-1 gene:gene-LATHSAT_LOCUS19350 transcript:rna-LATHSAT_LOCUS19350 gene_biotype:protein_coding transcript_biotype:protein_coding MEQENSQTIEATTFHDSIVDLSCHYQFENGSSQFLFLDLDHNEKNGTFVNHSLCFSVESFVQTLASCNGLILLSGYVTDQPCYYVVNPLAKDSITIPQPCIQEHVIRVGLASNDYNQFKVVLVEAKSSQLMNENGLEFHVFSSVTSEWSKVNHFVDLTLPSLPEFEFKELSTQPLYSNGSIHWEMGGKLLVYHVEKNTCELIELPNFSKDWPWQSSMMYRRCLCESRSCVYYCYTDFDGFHVWELLKEKYDLGPFCDSENFRWKLVHTIMHETFASTHHEFSDTLFEWEPLTPIAYTKQAHTIYLQIPGAVFGYDFDTETLRLICRYSYPDMNFNCCTFLCSTSFVTHNVPREKDLVTDGERMELNLPIEDVEILLV >CAK8535151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817851777:817852637:1 gene:gene-LATHSAT_LOCUS4627 transcript:rna-LATHSAT_LOCUS4627 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPITASTIGFHFTAATEKPIFSVPFMKVSSRFSHTKPCYFLHKTRLLSSGTTIIPRAAAPVTDVQDGNQGETDTVPTPIVIIDQDSDLNATVVKITFGDRLGALLDTMSALKSLGLNVVKANVFLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTIINNLIQYHPESSSQLAMGAAFGLLPPKEQVDVDIATRIYVSDDGPDRSLFYVETADHPGLLVELVKSITDIDIAVESGEFDTEGLLAKAKFHVSYKGKAISKQLQQVLANSLRYFLRRPATEESSF >CAK8569992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19613694:19618486:1 gene:gene-LATHSAT_LOCUS22784 transcript:rna-LATHSAT_LOCUS22784 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISTLRRSISPFIPHFSIQRFHILHPSTSSSPSRNALFAVILAGTSLGFLLYTTNFDSTLFQPSLSSSVDLSTPNSEDQNRSRFSPSSFLTKFSLPETSGTFIFGEAYRRKVFFNYEKRMRLRSPPEKVFEYFASYRSPQREVLMMPADLMRAVVPVFPPSESHFVRDGYLIGERSPGHLCCSPSEFFMLFDLNNDGLISFKEYIFFVTLLSIPESSFSVAFKMFDVNNNGEITKEEFKKVMALMRTHHRQGVHHRDGLRTGLKVNDSVENGGLLEYFFGEDGKGCLKLDKFVQFLRDLHDEMLKLEFAHYDYKSRKTISAKDFALSMVASADMSHLGKLLERVDEMNDTPCFKDMHITFEDFKNFANLRKKLFPFSLALFSFGEVNGLLTRDDFQRAASHVCGISLSDNVVEIVFHLFDTNQDGNLSTDEFIRVLHKRERDIGQPVETGMLGLLSSCWNRKQNISPSQLFSG >CAK8570315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:36011005:36012990:-1 gene:gene-LATHSAT_LOCUS23075 transcript:rna-LATHSAT_LOCUS23075 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKSSNNNHQQQQSFEVSNIATADSKFFDDDGRLKRTGNKWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPSMMLLFAFIIYYTSTLLSVCYRTGDQLNGKRNYTYTDAVRAYLGGYKVKICGIIQYVNLFGVAIGYTIAASISMMAIKRSNCFHSSGGKNPCHMNGNFYMISFGIVEIFFSQIPDFDQLWWLSSLAAAMSFTYSTIGLGLGVGKVIENKGFKGTLTGITVGTVTQTQKIWRSFQALGNIAFAYSYSLILIEIQDTVKSPPSESKTMKQATLISNIVTTFFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAFQVYCQPIYSAVEKVAAKRFPESDFINKEIQIPIPGIVSPLKINLFRLVWRSLFVIVTTIISMLLPFFNDIVGLIGAFAFWPITVYFPVEMYIVQMKIPKWSTKWICLHILSAACLMISLAAGVGSVAGIVTDLGVYKPFHAMY >CAK8564873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14854354:14855305:-1 gene:gene-LATHSAT_LOCUS18129 transcript:rna-LATHSAT_LOCUS18129 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFVEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPPLKNIFIKNPINQQSTLSGISKETQYEILQNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKHQLFGFFTNMHGLSRNLHPF >CAK8568118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574581358:574584315:-1 gene:gene-LATHSAT_LOCUS21113 transcript:rna-LATHSAT_LOCUS21113 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSDNRSAKPQTGTKEKKKIEFEFCKVCNINYDQGIRHKYFPKHKKSLSTFLSRFQNKLSDVRSFLKTPIPLSPQLASSNCFWCVFCDQDINELDSSFASENAIRHLASVEHVSNLKHFFWKYGGAMDQLDVFKVSDNDFAKWEKRCAAVKKEASLQSEGSPGAVFGPSSDIHNQLNNGNIDSFENIYSHSMKSYPSNVVLPLHCHTNKYQVSSSGHSGVGNTGLLDIDNSSLPSEACSSANPLALQDFAVERSSHSLPCSGGQWSSTGNKVFLDNGKVVNGDSNQQGIQMLTRISSVPSENNDGNVHSGAPPPWFETNEGVPIYSKPVSRDVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGEIVRNECDANWLPNFGRVWQSGSRRESRKEFEKEKQELLNVGTQPEMPIKIQPYVSKRMRMDSGTDHASG >CAK8568119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574581358:574584315:-1 gene:gene-LATHSAT_LOCUS21113 transcript:rna-LATHSAT_LOCUS21113-2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSDNRSAKPQTGTKEKKKIEFEFCKVCNINYDQGIRHKYFPKHKKSLSTFLSRFQNKLSDVRSFLKTPIPLSPQLASSNCFWCVFCDQDINELDSSFASENAIRHLASVEHVSNLKHFFWKYGGAMDQLDVFKVSDNDFAKWEKRCAAVKKEASLQSEGSPGAVFGPSSDIHNQLNNGNIDSFENIYSHSMKSYPSNVVLPLHCHTNKYQVSSSGHSGVGNTGLLDIDNSSLPSEACSSANPLALQDFAVERSSHSLPCSGGQWSSTGNKVQFLDNGKVVNGDSNQQGIQMLTRISSVPSENNDGNVHSGAPPPWFETNEGVPIYSKPVSRDVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGEIVRNECDANWLPNFGRVWQSGSRRESRKEFEKEKQELLNVGTQPEMPIKIQPYVSKRMRMDSGTDHASG >CAK8542472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518444289:518446387:1 gene:gene-LATHSAT_LOCUS11311 transcript:rna-LATHSAT_LOCUS11311 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPYIYILSTTILLLFLFPYSVICQQLYINNTVTECEDPLAPNGYLCNTPQRSSCNSFLVFRSKPPYDNPISIAYLLGSEASTIASINNISKDTKLPSNKTIIVPILCSCSGNIYQHNTPYTVKENDTYFQLVYATYQSLTTCQALKGQNYYTSLSIKIGDELTIPVLCACPTTKQMAKGITSLLVYTVNYGETVESIAEAYGVDEQSILEANELQVAPNENRRVNLFALTPILVPLRGKSCKEDPDSFYCTCSQGRLAEGSCNESHGQKFPAKLVAALGVGIGAAFLILFLVGYKLYQYIQKRRASIRKEKLFRQNGGYLLQEKLSSYGNGEMAKLFTGEELQRATDNYSQSRFLGQGGYGTVYKGMLPDGTIVAVKKSKQLDRNQVEAFVNEVVILSQINHRNIVKLLGCCLETETPLLVYEYINNGNLSQHIHRRDNESPLSWEIRLRIACEVAGAVAYMHFSASIPIFHRDIKPTNILLDSNNGAKVSDFGTSRTIPLDKTHLTTAVGGTFGYMDPEYFQSNQFTDKSDVYSFGVVLVEIITGRKPIMFNDEDEGQNMTAHFLSAMKYNQLSDVVDKTVLKEARKDDILAIANLAMRCLRLNGKKRPTMKEVSAELEALRKVQSFIYIKDDQKKPSDEQLFEHSTNDIFRESTVESFSLSSQMDY >CAK8542356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504048351:504048668:-1 gene:gene-LATHSAT_LOCUS11201 transcript:rna-LATHSAT_LOCUS11201 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMKIALLFLFLSLATITEARFEPNSLITQVLSNGVKSTTCAPCCDNCGCTKSIPPQCRCTDVKPTCHSACKSCRCFETLPLKCDCLDITDFCYEPCTSTTIA >CAK8537239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174393491:174393886:1 gene:gene-LATHSAT_LOCUS6542 transcript:rna-LATHSAT_LOCUS6542-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPTETLPAPYRNPVRNSIRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYCKRSMMEDPWKCLKPIIWCSTYHFSNISFTAENLKPQAPSESTSTKREGPSAVFSKSNSGPSHAEYLASAFNEAANTKE >CAK8537238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174393446:174393886:1 gene:gene-LATHSAT_LOCUS6542 transcript:rna-LATHSAT_LOCUS6542 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSSPHIESTNLQMSPSPTETLPAPYRNPVRNSIRGPVSGRGGRQGSGSHGRWSNKDRSCGPERYCKRSMMEDPWKCLKPIIWCSTYHFSNISFTAENLKPQAPSESTSTKREGPSAVFSKSNSGPSHAEYLASAFNEAANTKE >CAK8570709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:92192847:92196322:-1 gene:gene-LATHSAT_LOCUS23442 transcript:rna-LATHSAT_LOCUS23442 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLRPFTISTPYRSPPHLLRHHRQQQQPGRRRTEWTNLKVRASSSYLDMWKKAIERERNTTHFNKIAAASDNGVEEDVEKKTQEFQKLLEVSSEERDRIQRLQVIDRASAAIAAARALLKDANSNSVRSGSGTLQQNESDSGKKNESVFMPDSGTQNGILFVPESGTQNGTLSVPESGAQNGTLSVPKSGTQNGTLSVPKSGTQKDGIPGPDFWSWTPPSDDNVPSDDADGLQLDTKSSVNPTLPNPVLEKERSSQTLSIPFESLLTQSKHFPKLPPLQSSLEVETSASSVESPSLEEEQKRDALSSDYAAEIVRALDTDISPIGVNPDGTRWWRETGIEQRPDGVICRWTVIRGVSADKALEWQDKFWEASDELGYKELGSEKSGRDAEGNVWREFWRESMREENGLMHFEKTADKWGSNGKGDEWHEKWFEHYDASGQAEKWAHKWCSIDPNTPLDAGHAHIWHERWGETYDGYGASIKYTDKWAERSGDGGWEKWGDKWDENFDQNGHGVKQGETWWEGKYGERWNRTWGEQHNGSGWIHKYGKSSSGEHWDTHQMQDTWYERFPHFGFYHCFENSVQLREVQKPSERQEP >CAK8577955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598901386:598902398:1 gene:gene-LATHSAT_LOCUS30011 transcript:rna-LATHSAT_LOCUS30011 gene_biotype:protein_coding transcript_biotype:protein_coding MACQMGSMNLQWRLSVIENEGSKRSFTPFATSRIYRCCCESSLSGVTPNVGMNSSSFSQSRFLGRRAGWKVVLALNTDGLSGNDEQQSLNDSGSSFGGTRLGRILSAGGRQLLDKLNSARKNFPMKIFLVLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLIYKNPATRTGRLQSFLVMVNYWKAGICLGLFVDAFKLGS >CAK8569098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670747671:670749059:-1 gene:gene-LATHSAT_LOCUS21987 transcript:rna-LATHSAT_LOCUS21987 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDFVMREPIVPWKFLELEDECSRVKPKAHEELMVSSSKPPKSFADAVNNVCDIPVSKLPKPCVKGDRLSIVIPKEEYLLGVESCKHNLHGRIIWPKGSTPLTVQNLKTKLLNLWKSIGKWGITSLGKGYYELSFSTLEDVRRVRSISSWNLNPGFLKLFPWTKDFNPSFLKQSSAQVWILIHGLSQEYWRPKIIFAIASSVGIPLYTDSASNRCCFERSFGHFVRVLVDLDLNSDICYKVLVERSGFAFFVDLEYENLPEFCKHCNSIGHSLENCKHRGDEGESGAQKQKAVEEADNGKSHNSKKHIYVQVPINKPTIIKPVDLSQIHTAVDNSHDKDKQNQVNIGGPTGILEVDNTVDDVVMVDDTDKTLALVSEAENNFADAECIANTQGNSVEEVPETNSELQQDLMFLNASWNNMVHQEMVKQGIGDNGLNSLGVDDDSFVKVKSKSKKRSRKTML >CAK8570010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20596780:20599772:1 gene:gene-LATHSAT_LOCUS22801 transcript:rna-LATHSAT_LOCUS22801 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSASSLRPTSSPSYSQLLCSLRYNGKLRRQISFVVTQGRKDRWLRNGVTVRSVLSDNRPSFNSYGVPESARLLERLFEQTHKLEDRMAGEEPYLRDFESDLLSALMELKEKEDHLQEVERTVLLENGKLKHAKEELERQESEIEAARKKYERLEEEMKDATASLVSQNRQIEELKIQLRDRDSEIGGLHDAMSLKEEEVEKMRVALAKKSEEAAYVDSELRDKVRLLSEANEVVNKQEIELQELRQFVRQREDELRVTVAERELEGEKLKIAEASLEKQAMEWLLTKEELKRLEEEASRHAHESSETLEDFRRVKKLLRNVRSELVSSQQSLASSRNKMEVQERLMEQQMAELADQRTSVMLYMENLKNAQIEVESERTKLRVAEALNKKLELDLSAEKELMKKLQEELKKERASLEQAVQEMSLLQQELDIKSAEFKKTSALLDFKESELVDAKLEIQHLKSEKASLQILLEEKDLELSSARKMLVELNQEISDLKMLMNNKETQLIEATNMLKEKDEHVKIIENKLNNTSLKAFEAETVVERVLDLTNKLVASIQNEDIINSSRPLDELSNQLTMQLSEEPTNELSLQQKRLENVLELTKESLKTKEMEILAVQKALTMKDEELKMTIARLEAKEEELRGARDKVTEDANDHERLHTLAQARINEKNMDDLAIEKLQLETAQHEVKAATSALQKLAEMSQQLLNKSKTIPSVEADNYISAMQNNNDIKLDLITNINRIDCLAVVKAGVARLSALTEQLVTDAGLLAAS >CAK8572572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547544077:547544886:-1 gene:gene-LATHSAT_LOCUS25125 transcript:rna-LATHSAT_LOCUS25125 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQFLGYSDGEVMRSDAKPCSRLMRHTAGIFSVGGALGFWVLCRMHYGPRITVPRSLRWAACGAVTVSSSTAMLVRLFSPECEPQNIAAYDNKK >CAK8563833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633654437:633654892:-1 gene:gene-LATHSAT_LOCUS17202 transcript:rna-LATHSAT_LOCUS17202 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVTEELKSKAEVYYGDKLCREKFSLLLAEKGLPDGLLTIQDIEECGHVKEIGFVWLKLGKKMESKFDNIVVGYDSVVTAYMEPNKIKNLTGVRARDFLVWFTLNEIYVKPVKGGSFVTFKSLVGLSMSFPVSLFQGRKLVSNEDQIVVN >CAK8570831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:124265615:124265887:1 gene:gene-LATHSAT_LOCUS23547 transcript:rna-LATHSAT_LOCUS23547 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMNNRTVHHDDKPFPGCLGRTVNLFDLTTTTTVNGNKLLTDKPHRDHAASLSRSQPDVSRIAGPSFADQIEDRPIVSNLTRASSNKK >CAK8561990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422547795:422549699:-1 gene:gene-LATHSAT_LOCUS15529 transcript:rna-LATHSAT_LOCUS15529 gene_biotype:protein_coding transcript_biotype:protein_coding MGFITWSPSHTWQPTMTTDTTTSSYWLNWRFFFCALWLLISMSLASYLIFKYEGFNKQRSSERRENHQDTDGLLYEDEAWNTCLIGIHPSWLLLYRIISFIVLLALIIANVAADGPGIFYYYTQLTFTLVTIYFGLGTCFSIFGCLLKQNEFRGRTVNGASLDAEFHVRKIAGVWGYIFQIIYQICAGAVFLTDFVFWFILYPLRTSNHYSLDFLVFCMHTINAVFLIGDTSLNCMRFPVFRFAYFVLWTAAFVIIQWIIHACVTVWWPYPFLDLSSSYAPLWYLVVALMHFPCYGLFILIVKLKHFWLSRSFPGSTRIVY >CAK8534746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763226206:763227348:1 gene:gene-LATHSAT_LOCUS4262 transcript:rna-LATHSAT_LOCUS4262 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQHLQIDDDVPLPGFRFHPTDQELVTFYLQRKLDMKPISIDLIKQIDIYKYDPWDLPKSCAPGAEKEGYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSNGGEGNDCVGLKKTLVYYRGSAGKGTKTDWMMHEFRHPSNIATNTNLAHAKNDKDVSHEAEIWTLCRVFRRNISQRKQQMAGVKPLTTKRETIYDKSARMSSNMELMLNQQTYINFGLSREDHHNQNKPVLPVNNYTSSYQRNQFSSVAQQPQQILIPSSNFWIQEAANELLSFDNWDELGSVVKFAVDSPSL >CAK8578388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626003026:626005454:1 gene:gene-LATHSAT_LOCUS30404 transcript:rna-LATHSAT_LOCUS30404-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTERTTARNRLRSSSPEPDADSLHESSNRGTEPHLPRVVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARTPQSLTAGLMWIGVKDGSNHLRHVCKHEDELSAYGWIKHNGRDYGHQVLVDHGLILTTEFLKSNGDGSGYGGDWAVRINVQIDKSKWNEEFGKGGQLFFYLADEGGNVLNVGREKLSIRESSLLASGSRTDIGDWQLHLKSTEDLIRCKISGYHMIQMRLIALICKALTHKMVVSTSKPNKFTCSLIVIAC >CAK8578389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626003026:626005234:1 gene:gene-LATHSAT_LOCUS30404 transcript:rna-LATHSAT_LOCUS30404-3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTERTTARNRLRSSSPEPDADSLHESSNRGTGRSHGNSIPLFKNVKIKLLIAVTFFFITLFLIRHFVDSVAEPHLPRVVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARTPQSLTAGLMWIGVKDGSNHLRHVCKHEDELSAYGWIKHNGRDYGHQVLVDHGLILTTEFLKSNGDGSGYGGDWAVRINVQIDKSKWNEEFGKGGQLFFYLADEGGNVLNVGREKLSIRESSLLASGSRTDIGDWQLHLKSTVMLGNGIMIEYSSISCLYYH >CAK8578387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626003026:626005454:1 gene:gene-LATHSAT_LOCUS30404 transcript:rna-LATHSAT_LOCUS30404 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTERTTARNRLRSSSPEPDADSLHESSNRGTGRSHGNSIPLFKNVKIKLLIAVTFFFITLFLIRHFVDSVAEPHLPRVVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARTPQSLTAGLMWIGVKDGSNHLRHVCKHEDELSAYGWIKHNGRDYGHQVLVDHGLILTTEFLKSNGDGSGYGGDWAVRINVQIDKSKWNEEFGKGGQLFFYLADEGGNVLNVGREKLSIRESSLLASGSRTDIGDWQLHLKSTEDLIRCKISGYHMIQMRLIALICKALTHKMVVSTSKPNKFTCSLIVIAC >CAK8536945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:42498168:42499199:1 gene:gene-LATHSAT_LOCUS6268 transcript:rna-LATHSAT_LOCUS6268 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPSWEISPGQKFSLGVSQVDNGINFVFFSQHATAVTLCLVLPERESIDTLDGGMIELALDPHLNKTGDIWHICIEDLPRSNVLYGYRIDGSQDWGKGHRYDRSIVLVDPYTKLVEGRRYFGDTSKKLSKFLGTYDFDNLPFDWGQNYKLPNIAEKDLVIYEMNVSAFTMDESSGLDNNIRGRYLGVIEKIPHLLELGINAVELLPIFEFDELELQRRPSPRDHMINTGGYSTINFFAPMSRYASAGGGPANASQEFKQMVKAFHYANIEVVLDVVYNHTNEADDPNPYTTSFHGIDNKVYYMMDDKGQLLNFSDCGNTLNCNHPVVMELILDSLRHWVTE >CAK8533530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:632912376:632915894:1 gene:gene-LATHSAT_LOCUS3152 transcript:rna-LATHSAT_LOCUS3152 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKLPRVRRPKNLQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELTMKTDPQNSDYIVESGATRNFEPWRAEDEETDEVRKKREAEEMGDAMKSLENRTLDSKRDMDILAALDEMKSMKSRHATVSVDEMLAALQRTVADKEKRIEEEDEALIKSIFSNSSEVSISRIRDEDFETEEELFQLSSGHGEASNNNPKRQKISEDDLPGKATDTLTKASLDDSGKEEKVSSSRGKPNPLVTISVIKKPETTNVKSPVEPKEMETTNATTGLLSLFQSYGSDDD >CAK8566931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473749605:473774527:1 gene:gene-LATHSAT_LOCUS20026 transcript:rna-LATHSAT_LOCUS20026 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGGAIAPTPLVRSWRAAFLTLRDETLTNPPRNSTAQLLQSLIFSHSHTLLSAAPELSSHEILSDIAFLMELVAATSSDEEDCVHIYTQTSRLVHDICRQVKFDINSSSFGSVLGSFGKMLDRFLGKDATGDKLTGICRAAAIVSAVECLHAIRCIITLPHRRWLQSEDTILVKFLLHVIVSSQESSSSELQAVSFEMLGEAISRAGSSFPVDIWRSMFEVVRKTMDVMVLKTSVVEDNAMSRFYESFLSCLHLILTDAKCSVSEHVSVFVAVLRMFLNYGLSGRTPSTLLVGHTKKNLNYGSPKNLNYGSPKVHRDQLNKSDHSVYRPPHLRKKDCSNVKPNRTTYFQYISDSESSAINVTSSDSDFSDGDGSAKESRVQYSRVRVATIICMQDLCQADSKSFSMQWSLLLPTSDVLQPRMRDATLMTCLLFDPCLKARMASASTLAAMLDGPSSIFLQAAEYKESSKVGSFTALSSSLGQILLEIHKGILYLIQNEAHGKLLALLFKIIRLLILHTPYSRMPPNLLPTVITSLRTRIEEGFRSKSDRNNLLDAAVGCLTLALSSSPSSAQVKKLLYNEVSSGYLEKEKKPGVLSLLFEYASQGSSPSICLEALQALKAVSHNYPSIVTTCWGQVSSTVYSFLSIVCPEVPSKQSNEHAGSTTSSINEKILIAAIKVLDECLRAVSGFQGTEDLSDDKVVDVPFTSDCIRLKKVSSAPSYELECKEDAVVNSEECESGIEQWCDAMEKHMPLILCHSSAMVRAASVTCFAGMTSSVFISFTKEKQNFILSSLVHTAVHDNASSVRSAACRAIGVISCFPQVCQSAEVLDKFIHAIEINTRDALISVRITASWALANICDAIRHCVRILHFGQIDSNSNPQFIVSLSECALRLTEDGDKVKSNAVRALGYISQIFNCSTPRSQDTFVHNPENPMMCKRRCLLDSLEDFHRLEKIVQAFISCITTGNVKVQWNVCHALGNLFLNETLRLQDMSWAPVVFGILLQLLHNSSNYKIRIQAAAALAVPLSVQDYGRSFSDIVRSIEHIMENIDQDSTSGPSNFKYRVSLQKQLTLTMLHVLRFTSSTNDQMLKDFLVQKASILEDWLKELCSSVEDTVDVQDKSIADRKKVMISSAIQSLIEVYKEKQQYAIAQKFVELNNNI >CAK8543243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591529744:591532501:1 gene:gene-LATHSAT_LOCUS12013 transcript:rna-LATHSAT_LOCUS12013 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMCLLYIVLGLYLSVGEFVGAELQDQAILHAINQELRVPEWSDANISDYCTWRGVLCNNHSLVEKLDLSHRSLRGNVSLISELKSLKLLDLSNNNFGGLIPLAFGDLSELEILDLSSNKFEGSIPSQFGDLRSLKSFNISNNLLVGELPMELHGLKKLQDLQLSSNQLSGFIPSWVGNLTDLRVFSAYENRLDGRIPDNLGLIPELQILNLHSNQLEGPIPASIFASGKLEVLVLTQNNFSGDLPEEIGNCRALSSVRIGNNHLVGNIPKTIGNLSSLTYFEADNNNLSGELVSEFARCSNLTLLNLASNGFSGTIPQEFGQLMNLQELILSGNGLFGDIPKPILSCKSLNKLDISNNRFNGTIPNEICNISRLQYLLLNLNSIRGEIPHEIGSCAKLLELQLGSNYLTGTIPPEIGRIRNLQIALNLSFNHLHGPLPPELGKLDKLVSLDVSNNRLSGNIPTELKGMLSLIEVNFSNNLFGGPVPTFVPFQKSPSSSFVGNKGLCGDPLNTSCGNIYDDHGSYHHKVSYRIVLAVIGSGLAVFTSVTIVVLLFMIRERQEKAAKEAAGIVDDPTDDKPTIIAGTVFVDNLQQAIDLDAVVNATLKDSNKLSSGTFSSVYKATMPSGVVLSVRRLKSVDKTIIHHQNKMIRELERLSKVCHDNLVRPIGYVIYEDVALLLHNYFPNGTLSQLLHESTKQPEYQPDWPARLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDSNFKPLVGEIEISKLLDPTRGTGSISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTSRQPVEEDFGEGVDLVKWVQTAPVRGETPEQILDARLSTVSFGWRKEMLAALKVALLCTDGTPAKRPKMKNVIEMLREIK >CAK8539622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518469232:518471231:-1 gene:gene-LATHSAT_LOCUS8701 transcript:rna-LATHSAT_LOCUS8701-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNSIDKDSIGLLENEKQGKGKRLWKKVKYQLVEYHSLPAFLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFLFLALTIYTAMKIPQVVDLNSLQHLPDILKNADLHKLQSELLTCLPSMPDLQRLRDEISSWHIKELLYNCLPVRFSSSNHSDVCVLHNVKEDLANLIAPLVIRPITRWPFYAFLGGAMFCLLASSVCHLLSCHSERVSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYMGFITILGIATILVSLLPVFQTPEFRTIRASLFLGMGLSGAGPILHKLFLFWGEPEVFYTTGYEILMGSFYGLGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLRWRDIRGC >CAK8539621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518469232:518471231:-1 gene:gene-LATHSAT_LOCUS8701 transcript:rna-LATHSAT_LOCUS8701 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNSIDKDSIGLLENEKQGKGKRLWKKVKYQLVEYHSLPAFLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFLFLALTIYTAMKIPQVVDLNSLQHLPDILKNADLHKLQSELLTCLPSMPDLQRLRDEISSWHIKELLYNCLPHNVKEDLANLIAPLVIRPITRWPFYAFLGGAMFCLLASSVCHLLSCHSERVSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYMGFITILGIATILVSLLPVFQTPEFRTIRASLFLGMGLSGAGPILHKLFLFWGEPEVFYTTGYEILMGSFYGLGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLRWRDIRGC >CAK8575609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:203900997:203901608:-1 gene:gene-LATHSAT_LOCUS27858 transcript:rna-LATHSAT_LOCUS27858 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFIQSSNKLPIMKYYDEVKIQAVAVEDDNGPLTGSVWRSSVWETVGTIKWYGFGLILIYVVTLAIFPGYITEDVHSELLKDWYPILLITCFNVFDLVGKSFTAVYLLENAKMAIGCCVARLLFFPLFLGCLHGPKFFRTEIPVTILTCVLGLTNGYLTSVLMILAPKTVKLQDAETAGIVSVLFLVVGLASGSIIAWFWVI >CAK8561135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:101570563:101571906:-1 gene:gene-LATHSAT_LOCUS14750 transcript:rna-LATHSAT_LOCUS14750 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPINKNSFTFPYAKKWSVLGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVMTTQPFLSQPTYLSDPREHGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYNPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYESACDMGPPGYWGQMMQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8561136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:101570563:101571585:-1 gene:gene-LATHSAT_LOCUS14750 transcript:rna-LATHSAT_LOCUS14750-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVMTTQPFLSQPTYLSDPREHGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYNPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYESACDMGPPGYWGQMMQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8576690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:506131229:506132308:1 gene:gene-LATHSAT_LOCUS28863 transcript:rna-LATHSAT_LOCUS28863 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFIEDGSDEAIIDVIHALIAQLTTLPVTTSTNLTRRQERANVFCEAIRVLDATDLFANSVKDHLLPAIQNLLKYLDALDPAHKEALDIIMKERSVTSYSSISNKVVSTHVCLASSMSSFFGDGGLLGKRDNTKVLLENSTSPRSVASPPPPAEDTRFRRIMLGHFGDILHGKGRSSEENHN >CAK8570915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:169661522:169666053:1 gene:gene-LATHSAT_LOCUS23624 transcript:rna-LATHSAT_LOCUS23624 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVRLHLPSDIPIVGIELSPYVLIRRPDSTVTADDIPETSPVDGHFLRYKWYRVQSDKNVAVCSIHPSEPAKLQCLACLKPQIPVAKSYHCTPKCFRDAWPHHRALHECAANAVNGNEDKFWRVNNSVSSANLTIGYTPPIYPAGIKHGDETWFEVGRSKTYTPTADDIGHVLKFECSIASTVTKLRVGQPNTLLTNRVITAPSPTPRQLIHVDGMGHLGLDRRRSFTVMSYKVLSETCASNDLYNYCPPWALSWPYRRQNLLREIVGYQADIICLQEVQNDHYDDFFSPELDKHGYYGIFKRKTTEVLGGKTLDGCATFYRRDKFAHVKKYEVEFNKAAHSLTEAVIPTTQKKIALNRLVKDNVALIMVLEMKVNNQIADNLAKKQLVCVANTHVHVQQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHELLALGKVDPSHHDLTVDPLNILHPHSKLVHQLPLVSAYTAFARTVGVALEQHKKRLDSRINEPLFTSITRDFVGTLDYIFYSADLLTVASLLELLDEESLRKDTALPSPGWSSDHIALLAEFRCQNKSWH >CAK8571499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432147563:432148739:1 gene:gene-LATHSAT_LOCUS24159 transcript:rna-LATHSAT_LOCUS24159 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAAKKRRRATKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERVKKTKDEKKAKKAESQAKAQKSVGKGNVTKGAASKGPKLGGGGGKR >CAK8561421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203952185:203952649:1 gene:gene-LATHSAT_LOCUS15016 transcript:rna-LATHSAT_LOCUS15016 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYLADGIYPEWATFVKTIPMSQGEKRKLFAQRQESARKDVERAFGVLQARFAIGRGPARAWPVNTMKHIMLACIVLHNMIVKDERDTYAGNFDYDHFDNNFSTTEVSTGPIPNLTTMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8561422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203952248:203952649:1 gene:gene-LATHSAT_LOCUS15016 transcript:rna-LATHSAT_LOCUS15016-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGEKRKLFAQRQESARKDVERAFGVLQARFAIGRGPARAWPVNTMKHIMLACIVLHNMIVKDERDTYAGNFDYDHFDNNFSTTEVSTGPIPNLTTMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8559905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:456598:458598:-1 gene:gene-LATHSAT_LOCUS13641 transcript:rna-LATHSAT_LOCUS13641 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYFLLFVFVFASQVIGGFNTKLPPPSYGNTITILSIDGGGIKGIIPSVVLERLENALKIVSNDEKAAVADYFDVIAGTSTGGLIAAMLAAPNSNDPTRPASTAAEILKFYVDFGPSIFNKTSAAGWNQTSPRPKYDGKFLHEKAREILHESRLHDTLTNLVIPTFDILKVHPVIFSSFKIDEVPSLDAKLSDISIGTSAAPTLLPPYYFKDGDNEFNLVDGGVAAGNPALVALSEVAQQLNAKNPDFVRVKNSNEPIKIVLLSLGCGRLVPPGVGARLAYFLPAVQWIPLGVLGLATAAADMNEYHLASVFPDLPSSENYYLRVEEYNLDPSIGFDDTSNESLEKLVKAGEDLLEQTVKTLDVTSFVPHEKPSEGTNDEALERLAKFLYIEKVLRLKKKSMEKGGRPFVE >CAK8544453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685951217:685951912:-1 gene:gene-LATHSAT_LOCUS13129 transcript:rna-LATHSAT_LOCUS13129 gene_biotype:protein_coding transcript_biotype:protein_coding METPEPNTQPITTTSSLSLPKLCKKTNSHKIENLIEYSHVPEDVQISETIPPLLSPYNIFKRQRSVTRSIRNLISTNHPHMKEYAQSSRLDQCSLRATNQEQYVDLEIPQFLINHWKTEGYTALHFGAVRLILSLHGRKNQPVFCKIALLNSSYLHYENVVIEIVLTTLDARSVVLAIFPNYNVSLNDNTLSTRLKVHIQIIGTDQVSEAMSATLHHQIIYRLQNQRASNF >CAK8579462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701060441:701061428:1 gene:gene-LATHSAT_LOCUS31408 transcript:rna-LATHSAT_LOCUS31408 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTGAGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKAIWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >CAK8568596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:621493612:621493899:1 gene:gene-LATHSAT_LOCUS21538 transcript:rna-LATHSAT_LOCUS21538 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCAHILNLVVNDGIKEQDSSVESIRNVVRYVRSSPQRFKRFKGCVDEEMINSQSLVCLDVSTRWNSTYLMLERAERFEKAFGKLYGRESDFQK >CAK8540573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11150125:11152286:1 gene:gene-LATHSAT_LOCUS9566 transcript:rna-LATHSAT_LOCUS9566 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSCYSHLPLAFSKDIKLCRTVGQEKLNFPFSIRSNGVELCTRCISRRRSRVGLSGDWSFIGGSKIVVKPKATTSFCNPKRSQIHASWFVGSQLASTVFTWGTIAVLPYYTLMVFAPKSELTKKSMESNLPCVVLGVLYAYLLYLSWTPETVRLIFASKYLLPELSSIGKMFSSELTLASAWIHLLVVDLFAARHIFRDGIQNEIETRHSVSFCLFFCPIGILTHVITKAMTKTTRTESHDL >CAK8537368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:311475701:311476306:1 gene:gene-LATHSAT_LOCUS6663 transcript:rna-LATHSAT_LOCUS6663 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNASDTSNTFAASAATPTPANATNSNTLTSNGLALSPSSITLIIATDHQWLVSGLSGTSVSNSVVTSNITGVEPSTVITVSTAPTIVTGSSGIAAANTVDSKIPSIADNQATRDSTTSFNRAPLQDVEEAKRGFPVVGQTNATPSEEKTNDGETFVYANKLEAKMHLKYFWNLRMFITLGRTSKSVVHSSHMLKTIHVI >CAK8534450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727721318:727722125:1 gene:gene-LATHSAT_LOCUS3995 transcript:rna-LATHSAT_LOCUS3995 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGNGEDFSPKYGIWNFDNNKFVQPVKIVNWAVVNFSAKWDVQGLVRDLTKCGGMKGIDIEQPLGIYEEETDVQFSGALPVERVTKIVEACSVQTSKEFCFWLYRTRTACLHGIVHSYAAADEMVGLSLFDGSNDCYFHSGTFSRC >CAK8530509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26449869:26450564:-1 gene:gene-LATHSAT_LOCUS367 transcript:rna-LATHSAT_LOCUS367 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGHINAAFRSSFHNEIYFFVDDKYFLFELFANERTSERIFYELTPLRDGFKSLNHTIFGTYGIDCSFDTDNNEAYIFYENFCALIDYAPHSDKDKIISGPKKISDAFPFLKGTVFENGVDAAYRSTIGKEVYLFKGDKYARIDYGKNELVQSIKKITEGFPCFSGTILENGVDAAFACHIPNEVFFFKDEYYARVVVTPGATDDYIKNGVRKTLEFWPNLNGIKGLLD >CAK8532077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200586190:200592790:1 gene:gene-LATHSAT_LOCUS1814 transcript:rna-LATHSAT_LOCUS1814 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSPLCTWLVAACMSVTCESHSSLSPRSRRRTKNLLNLNLNLPLSTPNRHRSGKVKAVALEPAQEGTTTREPPLKQRRVVVTGLGVVTSLGHDPDVFYNNLLDGVSGISEINAFDCAEFPTRIAGEIKSFSTDGWVAPKFSKRMDKFMLYLLTAGKKALVDAGVTGDVMDELDKAKCGVLIGSAMGGMQVFHDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADLMLCGGSDAAIIPIGLGGFVACRALSQRNDDPTKASRPWDTNRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHITEPRPDGAGVILCIEKALANSGVSREDVNYINAHATSTPAGDLKEYQALIHCFGQNSDLRVNSTKSMIGHLLGAAGGVEAVATIQAIKTGWVHPNINLENPDEAVDTNVLVGPKKERLDIKAGLSNSFGFGGHNSSIIFAPFK >CAK8532830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:524242703:524243426:1 gene:gene-LATHSAT_LOCUS2494 transcript:rna-LATHSAT_LOCUS2494 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSTFKIVFILLLLSYLYVAAVPSTRIYMTKEMDIDIQTKEDLIMDLKNNEKLFDMKNEFEEGRMMMDISDYPGTGPNHHHDPKPPGKA >CAK8532716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498428661:498429617:1 gene:gene-LATHSAT_LOCUS2394 transcript:rna-LATHSAT_LOCUS2394 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNKWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPRSEIIVICYRIYYKILTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWNEINFPETWSLPQEIDPEPILNRDIDQIIQTTEEDLEINFTSKRITRIPRSISARHSVSEFYTAPNQLPRPSTSQIREEIEAVENISLRENRIPHGIYQKPHTPRVESPTQSDMDFHL >CAK8536181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914732635:914732880:1 gene:gene-LATHSAT_LOCUS5574 transcript:rna-LATHSAT_LOCUS5574 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVDKIKEAIFKFFENHFKKVGVVRHILKADDIPELNNEESEDFEKVFTADEIREPVFDCDNSKCPGLDGFNLDFLKNC >CAK8564943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17989838:17990137:1 gene:gene-LATHSAT_LOCUS18193 transcript:rna-LATHSAT_LOCUS18193 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNPLLGFVLANQTNIAEEDLKERSNKKVKWKDANIDLRKNDLVSNQGAATTSYKEIVLRESLRAREERQRKVTQVENLKELGEMKIEERKIREYECP >CAK8572906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570709102:570711618:1 gene:gene-LATHSAT_LOCUS25412 transcript:rna-LATHSAT_LOCUS25412 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLKLLYPHTPIFAYGNTNTDKTEQLSNTTLRKQRLPLNTTVRKQRLPLNTGTTIVKRVQRSGVRKSQDTLLKKQGPEKNSQDGVLQNKKLGVHTKCSTKRVSYGGIIPSILNDLDTIQDVEEALRPWENKINNKERSIILKQQLKWGRALEIFNWFNHNSHELNVIHYNIMIRILGKSREWTFLEGLWNQMNARGIVATNSTYGTLIDVYSKAGLKEDALFWLETMLEHGIEPDEVTMVSVVQLYKKAGEFQKAEEFFKKWSLGEPLRPSNNNHMKAALELDERASFSNVSFGSHTYNTLIDTYGKAGQLKEASETFAKMLKQGIPPTTVTFNTMIHICGNHGRLEELSSLLQKMEELRCSPDTRTYNILISLHTKHNDIDMATKYFKRMKAARLEPDLVSYRTLLYAYSIRKMVCEAEELISEMDKKGLQIDQFTQSALTRMYIEAGMLERSLLWFHRFHLAGNMTSECYAANIDAYGEDGHILEAEKVFIWCQERKKLSVLEFNVMIKAYGKGKCYDKACQLFDSMDKHSVAADRCSYSSLIQILASADQPHIAKPYLKKMQVAGLVTNCIPYCAVISSFVKLGQLEMAEDVYKEMIGYGVKPDVIVYGVLINALFDAGRVKEALYYADELKRAGLPGNAVIYNSLMKLYTKVGYLKEAQETYKLLQSSEEGPAVYSSNCMIGLYTKQSMVDPAKEIFETLKKNGTANEFSYAMMLFLYKKSERFDEAIQIAKQMRKLGLLTDSLSYNNVLDLYANAGRPKEAIQTFKDMVTASIQLDDCSLRSLRNLLLRYGASRQALDKLQVSMKKDTSNGLRAWMSALTSVLEMDEYGNK >CAK8567200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494111983:494114765:1 gene:gene-LATHSAT_LOCUS20271 transcript:rna-LATHSAT_LOCUS20271 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGSNCVTLRTATFAAAGNRKINQIHQWSPSMNHLRPLSGIHCRSKTSFNSTAVRAQVATAEEAVTGAVQKVEAPVVIVTGASRGIGRAIALALGKAGCKVLVNYARSSKEAEEVSKEIEALGGQALTFGGDVSNEADVNSMIKTAVDAWGTVDVLINNAGITRDGLLMRMKKSQWQEVIDLNLTGVFLSTQAAAKIMMKNKKGRIINIASVVGLIGNAGQANYAAAKAGVIGLTKSVAKEYSSRGITVNAVAPGFIASDMTAKLGKDIEKKILEAIPLGRYGQPEEVAGLVEFLALNQASSYITGQVFTIDGGMVM >CAK8538998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501337868:501338713:-1 gene:gene-LATHSAT_LOCUS8138 transcript:rna-LATHSAT_LOCUS8138 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISPITVSIITLFLLFHPATSQNNEILKSTTQTQNDCENRWIHIRKLPSIFNLDLLSNCSEYTFLDDLCPFLANHGLGQKTHNRSHSWYRTDPSMLELIFHRRMLEYPCLTEDPNTANAVYLPYYAGFDALRYLYGPEYNSSEEHGVQLFHFLQNDDPSIWNRFSGHDHFLVMARPAWDFCQPLDTDPRLWGTSFLELPQFFNVTALTLEARAWPWQEHAVPFPTSFHPPNLALLDSWIQRVRRTKRYSLALFAGGGGYSSTPNIRRSIRIECSDNNE >CAK8571047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273796479:273797801:-1 gene:gene-LATHSAT_LOCUS23744 transcript:rna-LATHSAT_LOCUS23744 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTITTVVSLITILFLAITPPSTAEIKSLTITSDTRPMILLEKFGFTHTGHVRIAVSEVSVAASGSQPDPSRLGFFLLSEESLLQVLIEIQQNPSFCVLDSRYITRLFTFRDLSPPPTASFNRSYPVTSPNEFSLFFSNCAPETSVSMAVRTELFNFDADGSSDYLSAGQTQLPSLFFLFSIIYFGFFGVWLYVCYNNKLSVHRIHLLMAALLLMKALNLICAAEDKHYVKITGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEREKKVLMVVIPLQVLANLASVIIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIKSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTITAYKYRWVSNLAEEGASLVFYIVMFYMFMPVEKNEYFVLDDVEEEAAEIALKEEEFEL >CAK8563639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621606938:621607381:-1 gene:gene-LATHSAT_LOCUS17030 transcript:rna-LATHSAT_LOCUS17030 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFNQKVVVICMLMLLSVQYVPGVHVNVVNSPGNLFRVHVNIVNSLEDNLDLTLHCKSKEDDLGVHLLHHGEGFSFSFRPAFIIGQTLFFCSFAWNGELHWFDIYIDGDNARANCDYCNWNVFKSGPCRTPEPKSPTHNPICLPWN >CAK8533064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573932317:573932802:-1 gene:gene-LATHSAT_LOCUS2716 transcript:rna-LATHSAT_LOCUS2716 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFKCVIHHSGEFSKEFSNFTKSGYVGLEEIWDVDPDYWSYFEILDKLRELGYHTIDRIWYYDDMISNDIVQLENDKGTDRMRTIAVLTGECHLYVTHLVFVPDVIEEPVLSLPYVSILGEEMCGEGPDMVNSEDGTTVAEDVVEEGIRVDEVEENVRI >CAK8570483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49882356:49882667:-1 gene:gene-LATHSAT_LOCUS23228 transcript:rna-LATHSAT_LOCUS23228 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKRRTKRHMMIMRDNIWGITKSSIRRLVRRGGVKRISGLIYEEIMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRHGRTLYGFGG >CAK8572234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523501354:523503630:-1 gene:gene-LATHSAT_LOCUS24821 transcript:rna-LATHSAT_LOCUS24821 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHFNLSLPLMLLIITPFLLLSLHVKAETSSTYIVHMDKSLMPQLFTSHHNWYESTLDSTKSSQNLVYTYNHAMHGFSAVLSKQELNNLKKSHGFVTAYPDRTATVDTTHTFEFLSLDPSKGLWNASNLGENVIVGLIDTGIWPESESFQDNGMSKNIPTKWKGKCEIGQDFNTSMCNLKLIGARYFNKGVIASKPNVKISMNSARDTQGHGSHTSSTVAGNYVDGASFFGYAKGVARGIAPKARIAMYKVLWDEGRQASDVLAGMDQAIADNVDVISISLGFDNVSLYEDPVAIASFAAMEKNVVVSSSAGNEGPHLGTLHNGIPWVITVAAGTIDRTFGSLKLGSGETIVGWTLFPATNAIVENLLLVYNKTLSSCNSISLLSEAAKRGIIVCEALESVSVISQIKAITSAGVVGAVFISEDPILLETGRVFSPSIVINPKDKKALIHYIKSVEFPIASINFQQTFVGVKPAPAAAYYSSRGPSKSYPRILKPDVMAPGSNVLAAYVPNLSSATIGSNVFLPSNYNLLSGTSMSCPHVSGVAALLKAAKPDWSSAAIRSAIVTTANPLDNKQNPISDNGNPSQYASPLAMGAGEIDPNKALDPGLIYDATPQDYVNLLCDSGYTHSQILTITRSKKYNCDNPSSDLNYPSFIALYGNKRRRMVQKFLRTVTNVGDGGASYNVKVRKPKGCDVNVLPEKMEFGYKNEKKSYSVVVKCKRKNKKEKNVTFGDIVWVEVGGGGRNVRSPIVVAPSDFV >CAK8572235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523501354:523503519:-1 gene:gene-LATHSAT_LOCUS24821 transcript:rna-LATHSAT_LOCUS24821-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLMPQLFTSHHNWYESTLDSTKSSQNLVYTYNHAMHGFSAVLSKQELNNLKKSHGFVTAYPDRTATVDTTHTFEFLSLDPSKGLWNASNLGENVIVGLIDTGIWPESESFQDNGMSKNIPTKWKGKCEIGQDFNTSMCNLKLIGARYFNKGVIASKPNVKISMNSARDTQGHGSHTSSTVAGNYVDGASFFGYAKGVARGIAPKARIAMYKVLWDEGRQASDVLAGMDQAIADNVDVISISLGFDNVSLYEDPVAIASFAAMEKNVVVSSSAGNEGPHLGTLHNGIPWVITVAAGTIDRTFGSLKLGSGETIVGWTLFPATNAIVENLLLVYNKTLSSCNSISLLSEAAKRGIIVCEALESVSVISQIKAITSAGVVGAVFISEDPILLETGRVFSPSIVINPKDKKALIHYIKSVEFPIASINFQQTFVGVKPAPAAAYYSSRGPSKSYPRILKPDVMAPGSNVLAAYVPNLSSATIGSNVFLPSNYNLLSGTSMSCPHVSGVAALLKAAKPDWSSAAIRSAIVTTANPLDNKQNPISDNGNPSQYASPLAMGAGEIDPNKALDPGLIYDATPQDYVNLLCDSGYTHSQILTITRSKKYNCDNPSSDLNYPSFIALYGNKRRRMVQKFLRTVTNVGDGGASYNVKVRKPKGCDVNVLPEKMEFGYKNEKKSYSVVVKCKRKNKKEKNVTFGDIVWVEVGGGGRNVRSPIVVAPSDFV >CAK8570529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56449174:56449977:1 gene:gene-LATHSAT_LOCUS23269 transcript:rna-LATHSAT_LOCUS23269 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKDHASTSSSSSYHSFSILNGGDLASCSSGSKRKCPLQETNNSSSLSDLSSYPNETNVCLHLSLYFCDCIQIAKKQKLAHQETNVDAVVLVGTSSDPWKIKKQKLVHQETNADAAVTDTVGTSFHSSISSDAAVTDTVGTSFHSSISSDDPWKIKKVLTTSDLENNSRLLLKKEVARKWVVPFVDKDKAEKDGVQLSVFDVDTQTLRSLVFKIWPSNNSHVFNNTWIKEFVDKRNLKAGDEIGFKWDQDNKRFDFSVLHRGVNN >CAK8535610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:868797661:868799337:1 gene:gene-LATHSAT_LOCUS5053 transcript:rna-LATHSAT_LOCUS5053 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDKDPSIKVSVCISKIVSEFNFTLSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGAVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNINIFPVAFALVEGETAEGWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNAENGWHDPPSVHVYCIRHIAQNFMREIKDKNLRKKIINMGYALNQPTFHYYRSEIGMANADALRWIDSIPADKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNFPITALLRATYYRLGSLFAERGGKWSAVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMRHYKVDLLNGWCDCGMFQAFRVPCSHVIAACSNVRHDAYSLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRKNKKDRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8531809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:153845238:153846131:1 gene:gene-LATHSAT_LOCUS1574 transcript:rna-LATHSAT_LOCUS1574 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGKRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMKFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLFKEMNTPLFEGSADSKLSMCVRLLGAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKLCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFP >CAK8565816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:338658734:338659915:1 gene:gene-LATHSAT_LOCUS18997 transcript:rna-LATHSAT_LOCUS18997 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVTVIATKKTSKVRKQLHIHHDIALSILSKLSIKPLKRFECVCKSWSFLSDNPYFMSHYRNSFLTKYHSYYDDAYFLPLQRFPIFHNQRFELHSLYEERFPSNVKIDWPYLHCFPRMVGCGSVHGILCFSIVTQNDIILCNPSTKDYKAIPLDRNHHECYRRGYSNSGFGYDCVEDDYKVMCIYHLDNEPMEDLYLDPFIWEIFSLKNNSWKKLDVDIKCNPTFWNDEQLYIDGFSHRVCQIEEYDYKTYVLSFDWHREVFTTTLIPFNIEDILDFLYHWTNLVLLNGSIALILNYTRTSTFHIFILGELGVKESWTKLCTLEHLPYLEHPIGMGKKSDMLFRKKDGGLVCFDLITQKTTDLSITNKACSNIVIHKQNPISLLAYVGKSI >CAK8532832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:524544872:524545429:-1 gene:gene-LATHSAT_LOCUS2496 transcript:rna-LATHSAT_LOCUS2496 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFFLVFVILLSFSYVLHVSSIPTTRTKNLNFVDASVFPSLPQENGFMELENGEEMKEERLMGRRVNLELHDYEGPGANKEHDPKSPGGNG >CAK8568353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598252891:598255194:-1 gene:gene-LATHSAT_LOCUS21316 transcript:rna-LATHSAT_LOCUS21316 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLIFKCLQMALLLVFSGRYTIAETKTQHPKRTYIVHMDKFNMPASFDDHIQWYDSSLKSVTDTAEMMYTYKHVAHGFSTRLTAEEADLLTKQPGILSIIPEVRYELHTTRTPEFLGLEKTTAFLVSSGKQSEVIVGVIDTGVWPELKSFDDTGLGAVRSSWKGECETGQNFNSSNCNKKLVGARFFAKGYEAAFGPIDEKEESKSPRDDDGHGSHTSTTAAGSAVAGASLFGFASGTAKGMATQARVAIYKVCWLGGCFTTDIVAAIDKAIEDGVNILSMSLGGGLTDYYKDSVAMGTFAAIEHGILVSSSAGNAGPSQASLANVAPWITTVGAGTIDRDFPAYITLRNGKRYNGVSLYNGKLPPDSLLPLVYAANVSLNSDSSDNLCSTGSLIPSKVSGKIVICDRGGNPRAEKSLVVKRAGGIGMILANNQDYGEELVADSYLLPAAALGEKASSEVKKYVSSTRNPTAKIAFGGTKLQVQPSPVVAAFSSRGPNILTPKILKPDLIAPGVNILAGWSGKVGPTGLSVDTRHVSFNILSGTSMSCPHVSGLAALLKGAHPEWSPAAIRSALMTTSYRTYKNGQALKDIATGIPATPFDYGAGHVDPVAALDPGLVYDATADDYLSFLCALNYTSFQIKLVARREFTCDKTIKYRVEDLNYPSFAVPFDSASGGSHKLSTVQYKRILTNVGTSSTYKVSVSSQSPLIKIVVEPQTLSFKNLYEKKSYTVTFTSNSMSSGTTSFAHLEWSDGKHKVTSPIAFSWT >CAK8536534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:952928246:952932372:-1 gene:gene-LATHSAT_LOCUS5893 transcript:rna-LATHSAT_LOCUS5893 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTKPGYVVVCVILICAIVNGGSERKRWKHEDFKMDHDGEKDELSLFDQVTQAEQVWGYCRKEVIERSYGIKEFDLHLLDETSGKRFKYLPPHMRQHFLDCLRKRNYPIPVSEEEGHLLKKLYESLFGLADGHKYLLSESLINQTPPSPDSESSPKLKSHVAAQTTSSPPTPEFSFHDFALPPKLFVPFLSPPPPPPPLLATFDQKEIVMAVVASGIVILIGFLLCCREVRKRKKVDKDVRPLLILTSSDMSGGSSQQSMSFENNDMKEYGINYGMNPSTVKTSSNKHEDNNASLAEIASSDNKGQVPVSPLKHPSGRSAPEASLPPPPPPPLAPCSPPPPPPLAPRPPPPPRMVRPPPAPPKLMEGQNLKSPLGPPRASDDDESGAPKPKLKPFFWDKVVASPDQAMVWHEIRAGSFQFSEEKIESLFGCANRNERKKDSSSLEPSVQYIQIIDPKKAQNLSILLRALNVSTKEVIDAIKEGNEIPVELIQTVLKMAPTTDEELKLRLFTDDVSRLSSAERFLKALVDIPLAFKRLESLLFMFTLPEEASNLRECFTILDVSCNKLRKSRVFQKLLEAVLKTGNRLNNGTYRGGAQAFKLDTLLKLADVKGTDGKTTLLHFMVHEIIRSEGIRAVRTEKASQSHASMKTEDFIDESKGESEEHYRNLGLQVVSGLSTELEDVKKAAVIDGDALASTVLKLYNRLVKSEELLNKDMKNLEEDSEFQRSLAIFVEKAREEVVWLIGEEKRIRTGIKSTADYFHGNSGKDEGLRLFVIVRDFLVMLDKVCKEVQASTTKTLAAKGSTSSKKEAPSSSPDSHKQSLSDMHRRLFPAIAERRMHDSSSSSSDDDDDDEMLPT >CAK8575118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:32793591:32794078:-1 gene:gene-LATHSAT_LOCUS27407 transcript:rna-LATHSAT_LOCUS27407 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLCPLLYVRPARLTTIGGRDDYDIGNGVSLGWWRLDLMILGQETWVSKSKHEELDRIDARLWLKTKKSRAFGIKYLIELGFISCNFLVILCIWAL >CAK8572695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556586545:556591952:1 gene:gene-LATHSAT_LOCUS25228 transcript:rna-LATHSAT_LOCUS25228 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSVEVEEGREGRNGKLSVGPVYRNLLSKDDFPPMDPDFNSAWDIFSTSVKKHPQNRMLGWRKLVDGKFGPYVWKTYKEAYDEVLQIASALRASGAKPGSKVGIYGYNCPQWIIAMEACCAQNLICVPLYDTLGAGAVNFIIDHAEIDFVFIQDKKVKEILDPKCISSKRLKAIVGFTSLTEAEKDEATNNGIKPYSWDQFLGMGKENPSSISPPLSQDICTIMYTSGTSGDPKGVVLTHENVLALVRGMDLFMEQFEDKMNDSDVYLSFLPLAHILDRTIEEYFFRNGASVGYYHGDLNALLEDLGELKPTLFAGVPRVFEKVYEGVKRAVAQLNPIRRTAFGLLYNHKLGWMNKGYKQKDASPFADLLAFRKVKARLGGRVRLIISGGAALSSEIEEFLRVTTCAFVCQGYGLTETCGPTTLTYPDEMCMLGNVGVVTVYNELQLEEVPDMGYNPLGNPPCGEICIRGKTVFTGYHKNPELTKEAIKDGWFHTGDIGEILPTGVVKIIDRKKNLIKLSQGEYIALEYLENVYTITPIVEDIWVYGNSFKSILVAVVVPNEEFANKWAYANGHIASFSNLCALDQLKKYVLSELKSTAVRNKLKGFEHIKGVILDPLPFDMERELVTATLKKKRNNMLKYYKVEIDELFQSLTGDKLKF >CAK8536121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909216736:909217291:-1 gene:gene-LATHSAT_LOCUS5520 transcript:rna-LATHSAT_LOCUS5520 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTKVKKGAGGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTENAAASTPKSPSKARKSPKKA >CAK8541596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:283362804:283363763:1 gene:gene-LATHSAT_LOCUS10505 transcript:rna-LATHSAT_LOCUS10505 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNEPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSRALPPIEKDTAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8542607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530106172:530106311:-1 gene:gene-LATHSAT_LOCUS11432 transcript:rna-LATHSAT_LOCUS11432 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHYMCS >CAK8567134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489966185:489966580:1 gene:gene-LATHSAT_LOCUS20211 transcript:rna-LATHSAT_LOCUS20211 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNIAILIASLLCILSSVSCGRVIVGAKTEITDVRTNMEVQELGKFAVEEYNYKHSNGGGEAMKFVEVVEAEKQVVSGMKYYLNISAVDHNGVHRMFTSVVVVKPWLQYKKVLHFGDSPSTLHQLQHTSM >CAK8563057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570203584:570204197:1 gene:gene-LATHSAT_LOCUS16504 transcript:rna-LATHSAT_LOCUS16504 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRATPTFTVFALFVIAILPHVTIQGHSFSPFASSLETLQKQLGYTFKTISLLRRAMTHASFSEENNKAFSIFGATVIETSVSFNLLSKDVDVSAKEMNRRLSLISNVDSSCAVDGVRLGLHKVVRVSPKTNSSSTAVVCGAFRSIFAAIALDAGNTDTAGNVFLTVHGRDLGFSMDM >CAK8537002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:53009127:53011678:-1 gene:gene-LATHSAT_LOCUS6322 transcript:rna-LATHSAT_LOCUS6322 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSQDHTDPWVYKPAQLWQLDDKTPCPTKRLHGSSVYTLKEMEEATCSFSEENLLGKGGFGKVYRGTLRSGEVVAIKKMELPAIKEAEGEREFRVEVDILSRLSHPNLVSLIGYCADGKHRFLVYEYMLNGNLQDHLNGIGERNIDWPQRLQVALGAAKGLAYLHSSSDVGIPIVHRDFKSTNILLDANYEAKISDFGLAKLMPEGQETHVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHILNDRKKLRKVIDPDMARNSYTIQSIVMFANLASRCVRTESNERPSMADCVKEIQMIIYTNSKGLGMVMHSLRLI >CAK8543281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595108821:595111315:1 gene:gene-LATHSAT_LOCUS12048 transcript:rna-LATHSAT_LOCUS12048 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIPNGEVSTNRVIEDEYSINDSPIEQVRLTVPITDDPSQPALTFRTWILGLASCVLLAFVNQFLGYRTNPLKITSVSAQIIALPLGKLMAATLPTQPIQVPLTTCSFSLNPGPFSMKEHVLITIFASSGSSGVYAISIITIVKAFYHKNIHPVAAYLLALSTQMLGYGWAGIFRRFLVDSPYMWWPENLVQVSLFRAFHEREKRPKGGTSRLQFFFIVFVASFAYYIVPGYFFQALSTVSFVCLVWKDSIIAQQIGSGMKGLGVGSFGLDWNTVAGFLGSPLAVPGFAIINIMAGFLLYMYVLIPIAYWNNLYDAQKFPLISSHTFDSTGSTYNVTRILNTKTFDIDMESYNNYSKIYMSVAFAFKYGLSFTALTATISHVVLFHGKMILQMWKKTTSSLKQLGDVHTRIMKRNYEQVPDWWFITILILMVMMALVACEGFGRQLQLPWWGVLLSLSMALLSTLPIGVIEATTNIRTGLNVITELVIGFTYPGKPLANVAFKTYGHISMVQALAFLGDFKLGHYMKIPPKSMFIVQLVGTVVSSSVHFGTAWWLLTSIENICDESLLPKGSPWTCPGDDVFYNASIIWGVVGPKRMFTKDGVYPEMNWFFLVGLLSPVPVWLLSRKFPNQKWIKLINIPTVAVGASGIPPVRSVNYISWGIVGIFFNFYVYRKFKAWWARHTYILSAGLDAGVAFIGLVLYFALQSYGMFGPSWWGLEADHCPLAGCPTAQGVHAEGCSVL >CAK8578588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640443651:640444393:1 gene:gene-LATHSAT_LOCUS30585 transcript:rna-LATHSAT_LOCUS30585 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDLKLVCFWASPYSKRVEWALKLKGVDYEYIEEDIYNKSNLLLELNPVHKKIPVLVHGKKSIAESLIILEYIDETWKQYPLLSPHPYQRAQARFWADYAEQKLLHTAFVAMCSSGEYKETTVKLAREAIEKIEEEIKGKKFFGGDNIGYLDLALGWISYWLPVFEEVGSMQIIEPLKCSAITAWMHNFLSHPVIKDNLPPRDNMLVYFHSRRKALSGAFHG >CAK8577322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:555408423:555414914:-1 gene:gene-LATHSAT_LOCUS29438 transcript:rna-LATHSAT_LOCUS29438 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDSLSSSNGEDAPPLPTSASASLSSIAENFQRSAIESARTMQHSSSTHFHTFQKFLPEAVSQYRTYEDAFFSKIKDGLMVARENPALGVGFAVSAAFLVMRAPRRFLFRQTLGRFQSEEARYASAEKNVKDLNLSVNLLRKESIKLLQRTALAEKEMKYGHDELMNTGAQLQRLAKSSYKVEARATDLIDRLREIPSREALALRAEVASLASNLKRQRSVLDKRIMKISELGISV >CAK8576872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521495817:521501321:-1 gene:gene-LATHSAT_LOCUS29034 transcript:rna-LATHSAT_LOCUS29034 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSGKAVDVLDGSNIIELVGNKDVFSNFVNHKFQELDRDRDGKLSVNELKPAVSDIGAALGLPPKGNNPDSDHIYSEVLNEFTHGKHEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFLNGSVYEPEIVSIFSHIESPHASLHDLIIQALSKLNVDQGIPPTSDPWVINNIVEPALALLSEHGSYLNKPVSQEIFMEEFKRVALLIANRLREQPVIVAHSESKFDGSGVRRLLSNKFELDKILNSAIETIPKDRNGKMSKDYLRVALDVVAPSAALPPFGAVEEMDKVIGEVFKMVNADDSKLVKEDEFKKLLTEILGSLMLQLEGTPISVSSNSVVHEPLGASSTLLQPSPTTETTIA >CAK8543814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641226364:641226768:1 gene:gene-LATHSAT_LOCUS12546 transcript:rna-LATHSAT_LOCUS12546 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGLVPSALFLCVGVLYDRHKTRLVRYYGGFVSTMPNLSTISFSSTLANMSSPGTSSFIGEFPISVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKADFLHKFSDPNGREVSIFIPFLVGGATVR >CAK8577544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572739138:572741999:1 gene:gene-LATHSAT_LOCUS29642 transcript:rna-LATHSAT_LOCUS29642 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNGLCFVEWKEQFVSQERGHRVVHYYLKDSAGESFLAVVGTERSVRHMCYVIAEDFLEICGMEGLFPPGFKWRSRREVVDWLTSMLSKQHLQGDRSVRPSHNLELAHEITNGDVKEVTGLSAQMVDDKDFPKSNSKISNSDIVWSGLAWTCGKQLKHYPAFCRNGIQIGIQSFVFVMGNGENHYVAYLDDMYEDRRGQKKVKVRWFHHNQEVKGAIPVRNPHPREVFITSYSQVISAECVDGPATVLTREHYEKCTPYFSPSSIDRIHLCFRQLKGNKVKPFDLSKLRGYYTQPALSSLHVDGIHNTEAHSNSLTGEDEDLDVGDDTKRGAKRSRNVKDSPPSCNGRQGVRKLIRSNQIMGYQTFQVVNYVRPDRRLLSLKPIQCQHWYNPIYKVDDKIELLCQDSGIRGCWFRCTILQVARKKLKVLYDDVQDEDGSGNLEEWIPAFKLAKPDKLGMRQPGRLTIRPAPPFEEQEVIVEVGTAVDAWWSDGWWEGVVTTIENCGDDSVQVYLPGESLQMTVHKKDLRISRDWFDDTWISIKAKPDITPTILTSDSFNTSLSLSPSMAKDVDSVGFANSCHDIPASEKSNELNIFEEKLVCCNVAEDEVCLQNDNSPSEKNTQVVDIEDNGCNDDKDSSENDDNKNDYSNNRDVEVIGTSGVDCKGAQLMEVAV >CAK8565621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294004205:294004975:1 gene:gene-LATHSAT_LOCUS18818 transcript:rna-LATHSAT_LOCUS18818 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKDNNTNGYHHQPPPPPSEMVHNHINRDRARRVSRCSRVSTSNSLVRVSDTVQPQHLQQSNRSPCTDYDMAYFHSYAHLGIHQEMIKDRVRTDTYREAIMRHQSLIAGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIALQANEVVKANNLSDVVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDHWLKPGGLILPSSASLYIAPVTHTDRYSDSVDFWRNVYGIDMSAIVSLEKQCDV >CAK8535487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853203166:853205028:-1 gene:gene-LATHSAT_LOCUS4945 transcript:rna-LATHSAT_LOCUS4945 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHYRIHGVLLLVLFFLSIFSSSSSPTSQINSNSVLVALLDSHYTELAELIEKAMLLQTLEDTVATHNITIFAPNNEALERNLDTDFKQFLLEPGNIHSLQTLLLFHVIPTRLETQQQTGSTRHHHQHKTLSNHNLHLTTNFTTGQWTVDQTEVTHPNFITRPDGIIHGIQRLLIPISVENDFNNRRSLRSITAVKPEGSPEIDTRNHRLKKSTPPENSSPPTISIYEAIAPGPSLAPAPAPGPGGPHHHFNGEAQVKDFIKTLLHYGGYNEMADILVNLTSLATEMSRLVSEGYVLTVLAPNDEAMAKLTTEQLSEPGSPEEIMYYHIIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAEEADGSVKFGHGGVAGYLFDPDIYTDGRISVQGIDGVLFPMEEVMEQVKPVSEMGQPGKVLVKHRRGKLLETACWMLGTFGQHSRFASCLQ >CAK8533364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:609583572:609587525:-1 gene:gene-LATHSAT_LOCUS2999 transcript:rna-LATHSAT_LOCUS2999 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVTESRTLKLQLKLVRASIRIASNPFSVFNSPSSSFAGEPEEEKEKKEEQTMAFNPEDFKIIKEGEAEILMHKKNTVFFNKAQVNNRDLSIAVLRTFISKRKQEHEANLLKRAKGAKKTSENGSSESVVEEVDNKAPPEDDKSNGKSESVEEASPEESCTTMEGSGKIDEECDADEEQIDCSDVKGPKELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDPASVEACKRNIQFNGSVAISKVESHLADARVYMLANPKEFDVVDLDPYGSPSVFLDSAVQAVADGGILMCTATDMAVLCGGNGEVCYSKYGSYPTKGKYCHEMALRIVLASIESHANRYKRYIVPVLSVQKDFYLRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPLGRASTKNASVRYLPGFGPVVPQDCTDCGKKFIMGGPIWSAPIHDQEWVSSIITDVNRMKSRYPAYEHISAILNTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISRSHVCAIGLKSDAPMDVIWDIMRCWVKNHPVKGQPADQPGSIILAKEPVLQANFARAVASLSKAQAKKVSRFLPNPERHWGPKLRAGRTITSKHVSLIGEAALNALNHEEDNEEEEPKSKKPKTEEDNNATS >CAK8533365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:609583572:609587363:-1 gene:gene-LATHSAT_LOCUS2999 transcript:rna-LATHSAT_LOCUS2999-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPEDFKIIKEGEAEILMHKKNTVFFNKAQVNNRDLSIAVLRTFISKRKQEHEANLLKRAKGAKKTSENGSSESVVEEVDNKAPPEDDKSNGKSESVEEASPEESCTTMEGSGKIDEECDADEEQIDCSDVKGPKELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDPASVEACKRNIQFNGSVAISKVESHLADARVYMLANPKEFDVVDLDPYGSPSVFLDSAVQAVADGGILMCTATDMAVLCGGNGEVCYSKYGSYPTKGKYCHEMALRIVLASIESHANRYKRYIVPVLSVQKDFYLRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPLGRASTKNASVRYLPGFGPVVPQDCTDCGKKFIMGGPIWSAPIHDQEWVSSIITDVNRMKSRYPAYEHISAILNTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISRSHVCAIGLKSDAPMDVIWDIMRCWVKNHPVKGQPADQPGSIILAKEPVLQANFARAVASLSKAQAKKVSRFLPNPERHWGPKLRAGRTITSKHVSLIGEAALNALNHEEDNEEEEPKSKKPKTEEDNNATS >CAK8569321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694372048:694372988:1 gene:gene-LATHSAT_LOCUS22184 transcript:rna-LATHSAT_LOCUS22184 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCLPFPPPNYMKNGIGGEALLQQLELRRVKCSKEKEEEKKHKKKDKKSEEDDKKLRRSHEKKRRNDDKESENEDDSKRSDEKKKERRRRRKEQKHDVEKVVTHSEYTNLTMNWVPLEMQMVLTHLEHEDWLSRKNHSNGESERKTTEQTEHVGNRCCTDNGSCRGIQPFATYLPNADVYALPYVLPF >CAK8538501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485052078:485052871:1 gene:gene-LATHSAT_LOCUS7690 transcript:rna-LATHSAT_LOCUS7690 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSSKLNLILCLSSTLLLLFSSHAQAESENLKYCQKDVDYAVKVSSVEILPDPVVRGEPFTFKIEAYTDEPIQSGDLIYEISYAGIEGQPAIFHHALSEETPLPVRPGRFLLTHTELLPPVTPPGTYNVKLTFDDNDGDQLTCVIFPFTIGAKSSVSAI >CAK8534866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779442325:779450989:-1 gene:gene-LATHSAT_LOCUS4372 transcript:rna-LATHSAT_LOCUS4372 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVSGIIFFIFILGLICSWKLLNWLWLRPKKLEKLLREQGLHGNSYRILVGDVKDLFKMEKEAKSKPMNLTHDIIPRVSPYIQQSVKLHGKNSFIWFGTKPRVTLTEPEQIKDVLNKISEFPKTNYKIFKLLAGGLASHQGEKWSKHRRLVNPAFHLEKLKIMTPSFFTSCNDMISEWKKMLSSDGSCEIDIWPSLQNLASDAISRTAFGSSYEEGKRIFQLQRELAELLMKVVTKSVIPFWMFLPAIVHRKMNEIDKDIKSSLKDIINKREKALKTGESTANDLLSILLESNHREIEENENNKNVGMTLDDVVEECKLFYFAGQETTSVLLVWTMILLSRYPDWQQRAREEVLHIFGNKKPDFDGLNNLKIVSMILYEVLRLYPPVAGLARNIEKDVKLGNLILPAGVEVFLPILLLHHDCELWGEDAKDFNPERFSGGISKATNGRVSFFPFGWGPRICIGQNFSLLEAKMAITMILQYFSFELSPTYAHAPSTLVTLQPQYGAQIIIRKMET >CAK8568886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651416619:651417119:-1 gene:gene-LATHSAT_LOCUS21795 transcript:rna-LATHSAT_LOCUS21795 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESNPLWEGKLTVELPSTAPEQAWSALEDFCNLHKWIPIQTSHYVEGVQGQPGLVRYCSSTVKGADDESETTVKWAKEKLLMMDPLQRCLSYEIGENNMGFKSYVATMKVIPVEGDGETVGCLIEWGFVCDPVEGWSFQDFSSYVEYCLQFMAKKIELEGSVPG >CAK8531027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:70374256:70377372:-1 gene:gene-LATHSAT_LOCUS844 transcript:rna-LATHSAT_LOCUS844 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDQRKKSAVEVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAFDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIMTDFLGTPSPDAIARVRNEKARRYLSSMRKKKPVPFAHKFPNTDPLALRLLERMLAFEAKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLEGSEPTGFMYPSAVDLFKKQFAYLEEHYGKGGTVAPPERQHASSLPRACVLYSDNTMQNTAEAEVAEDLSKCCIKEVEKPPVDRSSAILPMTRLPLQPPQNIQGLAARPGKVVGSAMHYNNCGVAAETEQRRVVRNASVSSQYAASSYPRRNPNYKSERIEDDGIEGSNGIQPKPQYIARKVAAAQGGAGSQWY >CAK8565938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:356479966:356481427:1 gene:gene-LATHSAT_LOCUS19111 transcript:rna-LATHSAT_LOCUS19111 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTPTTSCSNVSPSTSSNTPMCSSKSTTTGCLTAIMHKILCSGNLPKEQSNQVTEFDSTYSVLSGKDQNFDTAEENSMATPPPPLVARLMGLESMGDIQIPFELKPGSLSRSKSMNSMDYLEESNGKIQSLNHKRVNSTLSFREPPTFRLSENDNFFVLSFENEGENAKSLKSNGRKKQTGCSESKQKVAATERIELMEKKKEKKNMKENVYAEKNLIEKEKTGKRVSSELQEITNTLRQFKALSEKKCFDSSEVELLKQKNYNEAVVGEKLKKRKRIRKKRTNCYAEKKIETESIKSEDSSPVSVLEFDRKSCAAGVDSVAVGLNSRRKLTAELEKGKHILMRCDDNLMIDENKDKEIEKNKCEGSKKKMKEYIEIWGEACKLVEDELSGSKNQVDAWMKEQCGHLESEIFDQMLNEVVSELVG >CAK8531847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:163629663:163630400:-1 gene:gene-LATHSAT_LOCUS1611 transcript:rna-LATHSAT_LOCUS1611 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCARCFVRGSYKVGTSNTDFKRVEISEETKPDWTEKETLKLLECITNFGDDWKRVSHLVIGKTDKECVSHFLKLPFGDQFTHSQRFESAHIADDSCSDLLKPSVNAGCESETAGLGKSSKRMRLTPLADASNPIMAQAAFLSALAGTEVAQAAAQAALRSLSDVYKSS >CAK8565585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:285214358:285215272:1 gene:gene-LATHSAT_LOCUS18784 transcript:rna-LATHSAT_LOCUS18784 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNPPTFHYYQPEIGMANADALRWIDSIPAEKWTRAFDGGRRWGHMTTNLVKSMNVVFKGTRNFPITALVRATYYRLGSLFAERGGKWSVVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMRHYKVDLLNGWCDCGKFQAFRVHCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYDGDQICHNPRMRRNKKGRHVSTRITTEMDNFDKLERKCSMCCQTGHNRTRCPNVGTSSR >CAK8570730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:99863231:99863410:1 gene:gene-LATHSAT_LOCUS23461 transcript:rna-LATHSAT_LOCUS23461 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSWNCRGLSNPRAILHLRKLAQQHQPDVLFLLETLAKAQKLEGIRVLLKFDVCFNR >CAK8541989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448225849:448226715:1 gene:gene-LATHSAT_LOCUS10866 transcript:rna-LATHSAT_LOCUS10866 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSTIPEKKNVLFIMGATGTGKTKLSINIGIQFPSEIINSDKIQVYNGLDIVTNKIPEIERCSIPHHMLGIIDNPDYDFTVHDFCKKMLKTLDIIVQNGHLPIIVGGSNSYLQVLVDDPIYAFRLKYNCCFIWVDVSLSILFPYLDKRVNEMVEAGLIDEIRDFFVPGENCTRGIRRAIGVPELQRYFEIENDEGIDKGHKEKILKESIAKMKENTCILAENQLIKIDNMVNILGWNMHKIDSTKVFEAVLKGEDYQHLYQEIVVKPSLEIVKSFLEEATHENTK >CAK8563092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572965302:572965551:-1 gene:gene-LATHSAT_LOCUS16536 transcript:rna-LATHSAT_LOCUS16536 gene_biotype:protein_coding transcript_biotype:protein_coding MVENIAEELKCEGCNEKVSMEKQLMLNQTPSIAAFHLKRFKTDGFFVEKINKHIDIWCHE >CAK8534278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:712244742:712246471:-1 gene:gene-LATHSAT_LOCUS3835 transcript:rna-LATHSAT_LOCUS3835 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVKCNCGEGNCVEWGVVELQGVVEPQPGFQGSLANLQIGTLCRPASQEVYTLTIGYHELTGSKVFLKKPLLVLKKVKHMDGENCSDVELQVVGIIRHKILFKTRPKALISKPQITSREKQKSTMLGSAASSQAV >CAK8541345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:131313206:131314447:-1 gene:gene-LATHSAT_LOCUS10274 transcript:rna-LATHSAT_LOCUS10274 gene_biotype:protein_coding transcript_biotype:protein_coding MGALICCMSEQNEEKSLERNLEKKISEIRRNKFGQSKLKSIDTIVMLFPMFKERLKTLRGMFQQYDEDSNGSIEPDELKTFLQDLELHLQEIEIENIFQYCDIDGSKGIQFNEFIVLLCLIHVLTEPLSSDDTPKAELAQIGEVFDTIVEIFLFFDKNGDGKLNKKDMMRTMNETNPKERSPAHVTKNRFREMDWDKNGQVTFREFLFGFINWVGIDVDE >CAK8569132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674888900:674891334:-1 gene:gene-LATHSAT_LOCUS22016 transcript:rna-LATHSAT_LOCUS22016 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPDANPAANFPRNNLTSPWAQVVRGADSDSIDNKSPPESSSSSVDSGNSVAPVVVASVVVAGESSNADRDNNAGNPRRSAWNNLPNGGVAEVSPVMGAVSWPALSAKPSGKLASDSSSSCEGSSSVPQGPMTLNNPREQAIANGNVEPTPPVSHGGANRQQVRRGAGNSSSNISNGPGSFPNGFSNPPQADLRPQAPPPPYPVIQIPPNTTFVNGVPPYRNNNGWGPRGPVGAYGVSVDEHRNPNRRSNYGNRPNNNNNNNNNVRRNQDPGNINTRDVHGHQHRVQSGRFSRPTVPNSASFLGSQPIRPYVTPTGYHDFYYYPTVQLEPFGGMPFLTHPPPPAMFVPVPDNPLIIAIVKQIDYYFSDVNLAKKDDYLQLHMDEQGWVPISVIANFPRVRSLTSNVELILDSLRTSSVVEVHGDKLRRRNDWMRWLPSVHQRASSGSGSGSVSQVDQIAIT >CAK8571108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:298781277:298785788:1 gene:gene-LATHSAT_LOCUS23801 transcript:rna-LATHSAT_LOCUS23801 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNQSLNYGYGYGAPPPSQSYGAPPPSQSYGAPPPSQSYGAPPPSQYGAPPLGQSYSASPYGQPSAPYAAPHQKPPKEESHSSGGGAYPPPAHGSPFAALLPSTFPPGTDPSIVACFQVADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYHFTNTSVKKIGPKEFTSLFYSLQSWRGIFERFDKDRSGKIDSNELRDALLSLGYAVSPTVLDLLVSKFDKTGGKNKAVEYDNFIECCLTVKGLTDKFKEKDTGYTGSATFSYEAFMLTVLPFLVA >CAK8568058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567166425:567167174:-1 gene:gene-LATHSAT_LOCUS21055 transcript:rna-LATHSAT_LOCUS21055 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQATLHTTRSTRPSLLSPTLSSPSALKSSFLSRSLNLVLHPNQQHSLVYGPPRFTMRVASKQTYICRDCGYIYSERNPFEKLPDKYFCPVCGAPKRRFKPYAPPVNKNANEKDVRKARKAELQRDEAIGKALPIAVAVGVVALAGLYFYLNSTF >CAK8540247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546919586:546922701:1 gene:gene-LATHSAT_LOCUS9272 transcript:rna-LATHSAT_LOCUS9272-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQHDEMKKLFSSYIGLSFSVFLALLPNKLREHSLRAFRAEEELSQIKSRRQEDYKANARVAEIFASHRNSWRDEEKRLLRRIDAASEEIDRLRAMVEDSKARVEELEREVVERDEMIGFISRRFQEEGLGGCGSREHYGGNNYNNSGGTGGGGGGGGEWFHKEEDEMVGTTADSRVDEEVDVIYQQHLNNGFDSEFIASSGSKFWAEKASLWQDVQYESLESMYNTKQFVARRESPWKVDGDSAGVSSKLKLLEQELLNLEKISKDVPSKVSSSIKKQAKRFQSLSEKIDDLCRRIASDPCEPSLGSEFRTQTQTEFLLEAFRLQQGASETGQKLMALHTEVGKTHYRDELRGETTLTTRRSLDSIRNNFRELQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFVQ >CAK8540248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546919586:546922701:1 gene:gene-LATHSAT_LOCUS9272 transcript:rna-LATHSAT_LOCUS9272 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQHDEMKKLFSSYIGLSFSVFLALLPNKLREHSLRAFRAEEELSQIKSRRQEDYKANARVAEIFASHRNSWRDEEKRLLRRIDAASEEIDRLRAMVEDSKARVEELEREVVERDEMIGFISRRFQEEGLGGCGSREHYGGNNYNNSGGTGGGGGGGGEWFHKEEDEMVGTTADSRVDEEVDVIYQQHLNNGFDSEFIASSGSKFWAEKASLWQDVQYESLESMYNTKQFVARRESPWKVDGDSAGVSSKLKLLEQELLNLEKISKDVPSKVSSSIKKQAKRFQSLSEKIDDLCRRIQASDPCEPSLGSEFRTQTQTEFLLEAFRLQQGASETGQKLMALHTEVGKTHYRDELRGETTLTTRRSLDSIRNNFRELQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFVQ >CAK8539541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515342151:515344126:-1 gene:gene-LATHSAT_LOCUS8624 transcript:rna-LATHSAT_LOCUS8624 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSISFMSKRETRVLKSLDDFSSNKVSCPKTKHSGHKLIIKSSMQETHFTTENNNSAVKKDTKWERTHTPSVTHNHDANSNSVPVFVMLPLDTVTMGGHLNKARAMNASLMALKSAGVEGVMVDAWWGLVEKDGPMKYNWDAYAELVQMVQKHGLKLQIVMSFHQCGGNVGDSCSIPLPPWVLEEIRNNPELVYTDKLGRRNPEYISLGCDSVPVLAGRTPLQVYSDYMRSFRDRFADYLGNVIIEIQVGLGPCGELRYPSYPETDGTWKFPGIGEFQCYDKYMRSSLEASAEAIGKKEWGTSGPHDSGQYNQYPEDTGFFKREGTWNTEYGDFFLDWYSSKLVEHGEKILVSAKSIFQTTGAKLSAKIAGIHWHYNARSHATELTAGYYNTRYHDGYLPIAQMLAKHGVILNFTCMEMKDREQPDHANCSPEGLVNQVKMATKIAGGELAGENALERYDSSAYGQVLATSGLSAFTYLRINKRLLEGENWRQFVDFVVSMSDGGKHRLSEADSYGTDLYVGHIKGIKESDVIIEIALV >CAK8572314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528496973:528498062:-1 gene:gene-LATHSAT_LOCUS24892 transcript:rna-LATHSAT_LOCUS24892 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLTECAENQSRLQRNLMYLAAIADSQPQPPTMPGQYPSSGMMQQGGHYMQAQQAQQMTQQQLMAARSSLLYAQQLQQQHALQSQLGMNSSGTQGLHMMHGEGTNVGGFPDFGRVSTGDGLNGSGKQDIGSGGDGRGGSSSGHSGDGGGETLYLKSSGDGN >CAK8569936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16633511:16635579:-1 gene:gene-LATHSAT_LOCUS22734 transcript:rna-LATHSAT_LOCUS22734 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSKSPRRTVIDAEPEDRITCLPGHVIDQILSHLPIRHAVTTSVLSKIWRYKWTTIPNLVFVRECVSAASHRCPSRIASELLTIIDHILSLHSGPINKFVLFYQEPFCVANLDNWILYLTRRSIKELELEVWNGQPYKLPCCLFSCQSLHRLELYLCWINPPSTFKGFRNLKILRLDDVSITQDALENLISGCPLLEDLTLAFLDSFTQAIIRAPNLKIFGIFHIVGDELESIIFENTFQLIKVTLDLNKYLNIESNQSRSDGCSNNLLNFFSHLPHLQSLEIHSCFLKYLAAAGVVPVKLPTSCTNLSYLRLSIRFKYLKEISAVLCLLRSSPNLRKIELYETEDEDEEDRGAALLPQVSYRRKDVFSRPTIPLGVRHVRIVDISGTRSELALIKYLLLYSPLLEQMYVKPSINVKPELVTKLNSLKRASKQVEVIYDGKTN >CAK8575516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:148336881:148337332:-1 gene:gene-LATHSAT_LOCUS27773 transcript:rna-LATHSAT_LOCUS27773 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSECYFGLNLSPLCEPSEVCYTIIPTMAYFEFLPLNKFDGDDDSISSTEQEHLVDLVDVELGQEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFICRKNVVLSIDSEKTDEC >CAK8539828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527857033:527860152:1 gene:gene-LATHSAT_LOCUS8888 transcript:rna-LATHSAT_LOCUS8888 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSYCVKENKPCVGWVQNYFSDCLCNLNDDISFTLGFISLLCWGAAEIPQLITNFRAKSSHGVSLVFLLTWVAGDIFNLVGCILEPATLPTQYYTALLYTITTIVLVLQSLYYDYIYKCRNRRQKINIEEAYEEEKKPLKPKQALESGIPIRSGKHRSVPSKPEYYYGSARSLAGNMTPPSRTYLRVAKSGPSAMGLNEDSSSDDEAHAPPTTQPRLIPRSAGSYGTFLATSISLPLQGNALKVGYIALTGRKLLSQEHATHHSALGQWLGWLMAAIYCGGRIPQIWLNIKRGSVEGLNPFMFIFALVANTTYVGSILVRTTEWESIKANMPWLLDAVVCVALDLFIILQYINYRYNRKTTANDDYGNYENHKVRKSIVS >CAK8539589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517323960:517327065:1 gene:gene-LATHSAT_LOCUS8671 transcript:rna-LATHSAT_LOCUS8671 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKLILILIFSLLCSNANSFSLSRDYEILLHVKNTQINDQNKSLKDWLPNTQHYPCNWTGITCDSRNRSVVSIDLSEIGIYGDFPFNFCRIPSLQNLSLAVNFLGNSISPHSILPCSKLHLLNISDNLFVGNLPEFNSETFQLRVLDLSLNNFTGNIPASFGRFPQLKILILSSNLFTGTIPSFLGNLSELTHFELTYTETMKPCSLPSELGNLTKLQYLYLSDINLVGNIPNSIGNLISIENFDLSRNSLSGKIPYAISGMKNVKRIILFDNNLSGEIPQGLSNLTSLSLLDLSQNALTGKFSQELGSLNLSILNLNDNFLSGEIPESLALNTNLLNLSLFNNSFSGKLPQDLGRNSALRNIDVSTNNFTGELPEFLCIRKKLQRLVAFKNGFSGSLPDEYGDCDSLVYVRIENNEFSGWVPLKFWNLPELDVVKMDHNKLEGSISGSVSRGITKLILFGNRFSGEIPVGVCELVELVELDIGNNRFSGEVPTCITGVKKLQKLKMQENSFTGEIPGNVTSWTELTELNLSHNQFTGSIPPELGNLPDLIYLDLSVNFLTGKIPVELTNLKLNQFNVSDNKLSGEVPSVFNSQVYLSGLTGNPSLCSNVMKTISPCSKHKPFSAVVIIVLVGSVVLIFASVLWFLKRKSKSFVGKSKRAFTTTSFQRVGFNEEDIIPFLTNENLIGRGGSGLVYKVKVKTGQVVAVKKLWGGNGRQKPEMELVFKSEIETLGRIRHANIVKLLFCCSSDDFRILVYEYMENGSLGDVLHEEKCDELLDWSKRFRIALGAAQGLAYLHHDCVPAIVHRDVKSNNILLDHDFVPRVADFGLAKTLQIQGSEDAMSRIAGSCGYIAPEYGYTLKVTEKSDVYSFGVVLMELITGKRPNDSCFGENKDIVKWVTEIALSTSHEGVEDCVVTQIVDPRLNLDTSDYEEVEKVLNVALLCTSAFPISRPSMRRVVEFLKDHKLAHPKS >CAK8577557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574400070:574400479:1 gene:gene-LATHSAT_LOCUS29655 transcript:rna-LATHSAT_LOCUS29655 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALMWTINNFLVYGMFSGWGTHGKMGRPHCMGHTKAFTLQMGGKSSWNSFSDLPKFIDNGKTCRIPGYGDTHSWTKRSKKKDNEKARKDLELLCN >CAK8562432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:490380046:490380525:1 gene:gene-LATHSAT_LOCUS15928 transcript:rna-LATHSAT_LOCUS15928 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYLADGIYPEWATFVKTISMPQGEKRKLFAQHQESARKDVERTFGVLQSRFAIIRGPARGWHMETLKHTIYACIILHNMIVEDERHTYEGDFDYSYDNVDDMYSTTEIFNGPHLNLATRLQRRASLREKQVHRQLQGDLVEHIWERFGQRMMKIKFE >CAK8532636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:336037366:336038383:1 gene:gene-LATHSAT_LOCUS2320 transcript:rna-LATHSAT_LOCUS2320-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSGSYGGWKVGAIDANNQAAQSILKQDYKDDITKEEAVQLALTEWPEGPLEEIVQNGIKSWEMELSHKIRLQDFKTIVPKKLKLFFNGSLCYFGHLAPIAENSYWEAEISPNYDSCRE >CAK8532635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:336037366:336040587:1 gene:gene-LATHSAT_LOCUS2320 transcript:rna-LATHSAT_LOCUS2320 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSGSYGGWKVGAIDANNQAAQSILKQDYKDDITKEEAVQLALTEWPEGPLEEIVQNGIKSWEMELSHKIRLQDFKTIVPKKLKLFFNGSLCYFGHLAPIAD >CAK8561275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:133386664:133413681:-1 gene:gene-LATHSAT_LOCUS14883 transcript:rna-LATHSAT_LOCUS14883 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIEQPPPPPPISLSEHSFQSSNSRSVYSGSSSHSFMDPDVIEVPPPPRTNKPHKEVIFRDVIDIDNDDDSTDLVLIGENIVESNKGKTTESVHNGYGDHQTMEDIDNIYYLPAIDKSGPSSRAESSNGYTSVSNNLINIDGDESDHSYDDDDDGFSDIIPDDYMDVDNYTLLQEHFDNANIPPGIEAPVPWLKEYDLSSKNAESSLFYPNFHIPQSDSKKSQVNGLFQPSWSFEPIKPETQGTVVGSSSVQNHLPSQLFSQIDPIKKIIDATQSRRRKLKLALGVESSTSSLSLGPSGKKKPYFFGSSTNHGSVDNSGVMKLPHGGGSPHWKLLESAKKAAGAGSISSHYSNFYGPVDGSFHFPGAEFVNPWLNSSHFNPFPNYTAYPGFSNPFVPPHTTHAQMFNNPWVHNTARDGNNGTTADSTVVTISDKAREEILEKFQNFKQFDTIDDTSDHYFVRTNSSTTHHSKNWVKRVQEEWKLLEKDLPDSIFVRVYESRIDLLRAVIIGAEGTPYHDGLFFFDVSFPSGFPNVPPQVYYHSGGLRLNPNLYNCGKVCLSLLNTWSGNKNEKWLPGVSTILQVLVSIQGLILNTQPYFNEPGYARLSGSAEGETRSLRYNEDTFLLSLRTMVYLIRRPPKSFEDFVKGHFCRRGKDILVACKAYKDGAQVGCLVKGGVQDVDQGDKSCSKEFKNSLAAYVDMLVKEFTQVGAKNCEKFLSSSTVSNKPME >CAK8535325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838338695:838342472:-1 gene:gene-LATHSAT_LOCUS4791 transcript:rna-LATHSAT_LOCUS4791-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLTSTSTHLRFQPLLSRTNYFIFSDLTEFRSLETRNHDSLTLLRRRKISIASQSALKPVKCSRSTEEKQWSEAESVASDADEALDDSNGPALRQTSSIEPQRIATTSSGDSPSLGIREPVYEVVEVKSDGAVSTRKINRRQLLKSSGLRPRDVRSVDPSLFLTNSMPSLLVREYAILLNLGSLRAIAMQDCVLIFDYNRKGGQAFLDTLLPRLNPKNNNGGPSMPFEIEVVEAALLSRIQRLERRLMDLEPRVSMETQFKLFLRRCQIG >CAK8535324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:838337963:838342472:-1 gene:gene-LATHSAT_LOCUS4791 transcript:rna-LATHSAT_LOCUS4791 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLTSTSTHLRFQPLLSRTNYFIFSDLTEFRSLETRNHDSLTLLRRRKISIASQSALKPVKCSRSTEEKQWSEAESVASDADEALDDSNGPALRQTSSIEPQRIATTSSGDSPSLGIREPVYEVVEVKSDGAVSTRKINRRQLLKSSGLRPRDVRSVDPSLFLTNSMPSLLVREYAILLNLGSLRAIAMQDCVLIFDYNRKGGQAFLDTLLPRLNPKNNNGGPSMPFEIEVVEAALLSRIQRLERRLMDLEPRVQALLEALPNRITGDILEQLRISKQTLVELGSRAGALRQMLLDLLEDPNEIRRMCIMGRNCTLNKGNNNVECSVPLEKQVADEEEEEIEMLLENYLQRLFSF >CAK8544223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672933665:672939866:-1 gene:gene-LATHSAT_LOCUS12922 transcript:rna-LATHSAT_LOCUS12922 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRIMRPNIVSEAGLSTRVNQYWESIPFLTSAVVIVCGVIYFVCLLVGYDSFAEICFLPSAVVTQFQVYRIYTSIFFHLSMLHVLFNMMAFIPLGSELERIMGSVRLLYLTILLATSNAIFHVLVAVLVKYNPFVTNVYLMNECAVGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLSGIVSGFAYTYGLFNFLIPGPSFYSSIEASSWLSSCVRRPKFIVCTGGNPSSHIPTQTSQNSTSGGLFSGNIWRNLSSWMPRREAQPLSVEDSRFPGRGRTLGAVQGQTASGFHSDSNLQARLLEDSSPDHISDSPILNTTQRSTEGRHPVANVATTGAGVPHHQVAVVSEDEIKKLVAMGFDRTQVEVALAAADGDLNVAVEILMSQQG >CAK8534211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:705965952:705971708:-1 gene:gene-LATHSAT_LOCUS3771 transcript:rna-LATHSAT_LOCUS3771 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGGNSNASAAKSELIGNGTVSTLANSRQRLRLNPNKEHKPEAYADLELDFSPSIFSSLERHLPPNMLVISRDDKAKFMTEILLKYLPTGERNRTQKHKEYRQKIKSYYQPLHPELYTMNPTIFFVPTFLKAISDNTEQSFRSIISEPSPDILVFQMFQPDFCELLLSEIEHFEKWVTEANFRIMRPNRMNKFGAVLDDFGLEPMLDKLMDDFIRPLSRVFFPEVGGSTLDSHHGFVVEYGKDKDVDLGFHVDDSEVTLNVCLGKEFSGGELFFRGSRCEKHVNTGSQPEEVFDYNHVPGRAVLHRGRHRHGARATTSGHRINFLMWCRSSVFREMKLYQKDFSSWCGECNRMKQERQHSTCAATRLELITREGESAP >CAK8564707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7431695:7431907:1 gene:gene-LATHSAT_LOCUS17982 transcript:rna-LATHSAT_LOCUS17982 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYVWKKKPSNPSDPRGIFLVHAKNWNHSLYGYLSMKDAYLHLVPAGQHVPWSSTLWNLAIPPSKSLLV >CAK8566656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446152324:446154212:-1 gene:gene-LATHSAT_LOCUS19772 transcript:rna-LATHSAT_LOCUS19772 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTVEEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKVLFTNEIDQTQTKSEQHQQESSSIEHDTNVVESENDKNKEPQKPETSFDSSTITEEHDQIMTPFFDSLELMNEFLIEEVPIVTNVPSSSSTTTITTTSSSSTSSSNFLEDLLLPDFDWSHDNNNIENSDNDNNDINMALWDDDFIRSWDFVINDDDNGDRKQVFDAAQNQYPRVVMDSESWAYGLF >CAK8536397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937745311:937745529:1 gene:gene-LATHSAT_LOCUS5770 transcript:rna-LATHSAT_LOCUS5770 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKTMLVVFFSALLVCSFFPQNVDAATIGYGAMRRDTISCNRKNPNSCKPVAVNPYTRGCEQANRCRGAN >CAK8564141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654303647:654303958:1 gene:gene-LATHSAT_LOCUS17471 transcript:rna-LATHSAT_LOCUS17471 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8579162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680563414:680564543:-1 gene:gene-LATHSAT_LOCUS31128 transcript:rna-LATHSAT_LOCUS31128 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGTQQKCKTCDKTVHLVDTVSADGNVYHKNCFRCHQCNGLLAMSSYTSNEGVLYCKTHAEQLLKDSAIKRSLSGKPSELPRAPSKLSAFFSGTQEKCSACKKTVYPLEKLTVEGEFYHKSCFRCTHAGCFLSPSSYAALDGFIYCKPHFSQLFKAKGSYSYLSKQASLKKNEEAMQQAAEEKSGDNSEAASDAETKEEEQDPAAVTEEA >CAK8579161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680563414:680564543:-1 gene:gene-LATHSAT_LOCUS31128 transcript:rna-LATHSAT_LOCUS31128-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGTQQKCKTCDKTVHLVDTVSADGNVYHKNCFRCHQCNGLLAMSSYTSNEGVLYCKTHAEQLLKDSAIKRSLSAGKPSELPRAPSKLSAFFSGTQEKCSACKKTVYPLEKLTVEGEFYHKSCFRCTHAGCFLSPSSYAALDGFIYCKPHFSQLFKAKGSYSYLSKQASLKKNEEAMQQAAEEKSGDNSEAASDAETKEEEQDPAAVTEEA >CAK8540786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21172408:21177113:1 gene:gene-LATHSAT_LOCUS9756 transcript:rna-LATHSAT_LOCUS9756 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGIGVDEATGKNKITGVSTRENVDVLKLCTKRANSIVALYIKNTSASLTVLYSHGNAADLGQMYELFSELSIHLRVNLLCYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEMYGAKEEDIILYGQSVGSGPTTDLASRLPNLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLVIHGTADDVVDCSHGKQLWEHCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFIAAIEKSSRQRTEPGPAPDQLDIPRDSKDFREKPRPSMDLRENSRRSIEFKEKSRISTDLKEKSRSGVDKRDKSRKSVDRTDKVNNGVADIPEKARNSIDRFGGMVRSVGLCNIDCFRPSATHA >CAK8578327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620660620:620662212:1 gene:gene-LATHSAT_LOCUS30348 transcript:rna-LATHSAT_LOCUS30348 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHNHNQEHEDSSMTGKSEICWEDDGGMDELLAVVGYKVKSSDMAEVAQKLEQLEQAMGNFQDQDEATIAQHLSNDTVHYNPADISNWLQTLLSNCDSQPNPSVSSSSDNDLNAIPGKAIYANTDESLPSRKRVKRVDSSASTESTRPVVMVVETQEKGIILVHTLMACAEAVEQNNRPVAEALVKQIGYLAVSQEGAMRKVATYFAEGLARRIYDVFPQHSVSDSLQIHFYETCPYLKFAHFTANQAILEAFQGKSRVHVIDFSINQGMQWPALMQALALRPGGPPAFRLTGIGPPASDNSDHLQQVGWRLAQFAQTIHVQFEYRGFVANSLADLDASMLELRSPETESVAVNSVFELHKLNARPGALEKVFSVIRQIRPEIVTVVEQEANHNGPAFLDRFTESLHYYSTLFDSLESSLVEPQDKAMSEVYLGKQICNVVACEGTDRVERHETLNQWRNRFGSAGFSPVHLGSNAFKQASMLLALYAGGDGYKVEENDGCLMLGWHTRPLIATSAWKLAANSMVVSH >CAK8531335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101807948:101810250:-1 gene:gene-LATHSAT_LOCUS1135 transcript:rna-LATHSAT_LOCUS1135 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERENVSLAMNKQNSGLYQDGAELRKFRAYLGWVYVDQSNLCKTGLSWSLFFTLAYVVPILSHFLLDCSTTCDADHTRPYHVPVQISLSAFATLSFVSLSKWDRRYGFSRFLFLDKVTDESLKIQRGYAQQMKRTMKLILLWGLPCFIAECAYKIWWYISGSSQIPHYGEKYVSSIIMCTLELCSWLYRTSTFFLVCVLFRLIGYLQIQRLDEFAPVFQRETEVGSILLEHLRIRRNLRVISHRFRAFILSSLLLVTASQLIFLLMVIKPHADADILKSGELGLVSITLVSGLYILLRSATKITHKAQSITGLAAKWHICATINSFENIEGETPIAEATSTPVIATSINWGLSDEDELGDEEDELNNTKLMPIQTHTISFNKRQALVTYMENNRAGITVYGFMLDRSWLHSIFGIQLALCLWLLNKTVGI >CAK8543614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626497310:626505670:1 gene:gene-LATHSAT_LOCUS12362 transcript:rna-LATHSAT_LOCUS12362 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLSKFQPRDKLRASTRKKDDVMGGAEDSDPSVDGESLSNVTKQKVAAAKQYIENHYKEQMKSLQERRERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVEDFEMLTMIGKGAFGEVRVCREKTTDHVFAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDDDYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKYGHLKLSDFGLCKPLDCSTLEESDFSIGQNANGTGHSDDRAGPKRTQQEQLENWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLSPEAIDLISKLLCNVNQRLGSNGAVEIKSHPFFDGVQWEKLYQMEAAFIPEVNDELDTQNFEKFEEADIQAHSSSRAGPWRKMLSSKDFNFVGYTYKNFEIVNDYQVPGMAELKKTSKPKRPSVKALFDEDSETSDVSDMSDVTASNQPTQ >CAK8536926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40064143:40064494:1 gene:gene-LATHSAT_LOCUS6250 transcript:rna-LATHSAT_LOCUS6250 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLGNTTTNRVEFAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEAEHAHVSPFYNNLRGSVSRDAWRHIAEELK >CAK8564521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679471155:679471941:-1 gene:gene-LATHSAT_LOCUS17820 transcript:rna-LATHSAT_LOCUS17820 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRNKALRKTVVNMGYALIEVTFNYYRGELRRTDRAALEWIDNIPREKWSRAFDGGQRWGHMTTNLVESMNSVLKAIRNLPITALVKSTCYHLGSLFGKRGHDWTKMLASGQTFTQNCNKGMADEASKSSSHNVIQFDRERFCFVVAESINQRDGRPLSTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYNQSFLGLPHQQN >CAK8544415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683098370:683099239:1 gene:gene-LATHSAT_LOCUS13098 transcript:rna-LATHSAT_LOCUS13098 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHKTKNLFNSFASPREIFYLFTHTLLSLFLPLSFLLLAKLSEIQYYFQRYPKYYYSSPQNFPYILTLALHVNPIILYFLVFIISIASLVHALSDKIINLGDSSTIVRQPRLYIAWILLCVFQVFVGLGIEGTILVGLYDYESFSFVGVETSFLSRVVFLVGLHETTRVWCRMVVKPVINDTVLGGVDRKERWGEKVVLAMCLAILWWWKLRDDVEILVVMSEAKKDQLMEVGINDFVGGWLYYLTIIIGMVKVGKGLVCIFMAMICSCRREMRNSMVETSENDEKV >CAK8566701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453814209:453817197:1 gene:gene-LATHSAT_LOCUS19813 transcript:rna-LATHSAT_LOCUS19813 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLSLPTITVTNSNLNHVSLSAASSYASLSSLQHRPSTSTTLFTRKKSVVRVQAENEDFELKQVRDMAAAKKRWEALLREEKIKILTPREAGYAVQLSNKPLLDVRPSNEHNKAWVKGSTWIPIFDVDDGLDIGTIPRKVTNFAMGGWWSGMPTLSFDNSFLPKVMEKFPKDAELIVACQKGLRSLAACEQLYNAGYKNLFWVQGGFEAAEEEDLVVEGSVPLKFAGIGGVSEFLGWTDQQRAAAAKEGWGYRLMFSARLIGLFLVADALFIGAQQVGRYLQEIRTH >CAK8543343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601882023:601884911:1 gene:gene-LATHSAT_LOCUS12106 transcript:rna-LATHSAT_LOCUS12106 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRIDHIISAKRESNTSMRDDNSNGDKNSNGDVDYQTPNGRRDLRSRYLAVKKMIHGDEQENIAKTDSEVFGSIFNEIEDLHKSVTKTREQVADAQALLDITKSLVVSAKGHSSNGLTPSAFVTHVIEKFGKRGGTSTSREDCNSIAWKDIGVAVSSVFGRGYGCSTMIGPMDTKIKQKTVCRKKRLKPTELARPEQLVEGSREERNDTDKTMLTMFNILRTNRSVKLENLVLNRNSFAQTVENLFALSFLVKDGRAEIKVDKAGHHLVSPRNAPAAKSVISKDVALSHFVFRLDYIDWKLMVRTVAGEELMPHRNIQQQT >CAK8543344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601882023:601884911:1 gene:gene-LATHSAT_LOCUS12106 transcript:rna-LATHSAT_LOCUS12106-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRIDHIISAKRESNTSMRDDNSNGDKNSNGDVDYQTPNGRRDLRSRYLAVKKMIHDEQENIAKTDSEVFGSIFNEIEDLHKSVTKTREQVADAQALLDITKSLVVSAKGHSSNGLTPSAFVTHVIEKFGKRGGTSTSREDCNSIAWKDIGVAVSSVFGRGYGCSTMIGPMDTKIKQKTVCRKKRLKPTELARPEQLVEGSREERNDTDKTMLTMFNILRTNRSVKLENLVLNRNSFAQTVENLFALSFLVKDGRAEIKVDKAGHHLVSPRNAPAAKSVISKDVALSHFVFRLDYIDWKLMVRTVAGEELMPHRNIQQQT >CAK8532923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:550694980:550698621:1 gene:gene-LATHSAT_LOCUS2583 transcript:rna-LATHSAT_LOCUS2583 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEEESGGGTREKNVASAGGGTREKNVAKNVASAGGGGGGARYVDTSKALNPIWLMKCPPVVAQSLRALPSSSDPSLPGAKVIVSVDPLKPEDYPSEFTMELGGGEGNASRCFAMDMSKDFMPMSVFSDSTQGKISVEGKVVSKIDMRSDNQNLDVYGKICRERTKKYTVKNRQIQVIDNKNGVHMRPLPGMISFSVAGPAPEKKKAPARGSETKRTRRDRGEMEEIIFKLFERQPNWSLRNLIQETDQPEQFLKDILKELCVYNNKGTNQGTYELKPEYRKAGD >CAK8541365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:137578258:137579576:-1 gene:gene-LATHSAT_LOCUS10290 transcript:rna-LATHSAT_LOCUS10290 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFSPVHFFKIIVSESLDQGKLMIPVKFVNNYGEGLPPAICLKTPHGADWKINLAKNDGKIWLEKGWKEFAEFHSLSHGHLLVFRYEGTSHFEVQIFDKSDLEIKYPLKIVEVNKEVVDQTHEMNTGKHVNTIERAKLFKTCNPSFVRVMRASYVEHHFRLSLPIKFGKRHFDLDKKRGDIYFQVLDNARVWSVRYTIRMSTTGIRFELSREWRNFVKDNDLKVGDACNFELILRTKITFQVHIFRKTNEVTTICSTSAKSS >CAK8544163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:669847890:669849107:1 gene:gene-LATHSAT_LOCUS12865 transcript:rna-LATHSAT_LOCUS12865 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHPSQSRRRPPNASPSPIAFPNDLIIEVLSLLAVKSIMRMRCVSKFCNSLYTDPFFVKLHFHRSPQEPHLALVTADPNTFRLVPFPVRNLLENTPVTLADDPCYVVNNYFSQLDLVHHVIGSCNGLISILSYADIESFFWLSFWNPSTRAMSKQLGFNFDLFSGKIKDPTSFKFSFGYDNSTNNYKVVMLKFHSDKLMVVEAKIFSLRDNVWRDIQNFPVVPFQMIRFRHQVNESVYLNGTLNWLAIYDFNVTYVEVIISLDLGTEKYRQIHLPPSFDEKPYVDPIIGVLMNVLCFSYHFKHTHFIIWKMMEFGVEESWTQFLKISYESLDIDPYYMGRRFYLIPLVPLYLSENGETLILATSLEDQAILYNIRDNNSVKTRITNNISWFSVKNYVKSLASIR >CAK8534534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739495639:739497114:1 gene:gene-LATHSAT_LOCUS4075 transcript:rna-LATHSAT_LOCUS4075 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARSWHIVLFLLSLSFYSGLCRNSITLREDSSYNFSNTQLDSIPIVNPTTPGSGNPYPTVNPTSPQPPDTSTGGQSPTTPDTSPTNPYSNPPASTTPYSNPPASTNPYSNPTSPTVTPTTPTTTPTGPSGSSGGSGGGQWCVASPSAAETTLKVALDYACGYGADCSQIQQGGTCFDPNTLVDHASYAFNDYFQKNPAPTSCVFGGVASLTTKDPSHGNCHYSSSKTTSMSPPNPPTPPTTMTPPSPTTMTPPTPTLMTPSSPGMTMPDPDGGSSSVYGSPPGGSPNIATSTSFSILLLLTTSLFATLHLQNHI >CAK8573784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636660444:636663131:1 gene:gene-LATHSAT_LOCUS26190 transcript:rna-LATHSAT_LOCUS26190 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLCSLFLLLVLFQAKALDPFSCDNTKGNYTANSTYDNNLKTLISSFSSHKQINYGFYNFSYGQNPDKVYAIGMCWGDLKPNECLTNINTTFSDLREQCPNQKESIMWGSHLTLWYSNRSIFGVVETDPTMYVVYNRGANDVEKYRQALSNLMIKLKDKAASGDSRRKYGADNVYDSANFATIYGVVQCVPDLSGQDCNDCLVRAISEIPNCCTGKMGGHVLKPSCRLRFDPYRFYSPTTELDSVANPPTDSTNNTSSGHNQTRTFIAIAVPLVFVGLVLILICLCLWLRKPKHSFEANNEEYEDEEESEVMALESLQFSFDTIRVATNHFSNSNKIGHGGFGDVYRGKLSNGQMIAVKRLSIDSAQGDNEFKNEVTLVAKLQHRNLVRLLGFSLEGRERLLIYEFVVNKSLDYFIFDPTKKTLLNWSKRYEIIRGVARGLLYLHEDSRLRIIHRDLKASNILLDSEMNPKIADFGLAKLFVIDQTQGNTNRIVGTYGYMAPEYAMRGQFSVKSDVFSFGVLVLEIISGHKNSTTICLGDDVDYLLSYAWRSWKEGRATNIIDPSLNNISQNEIMRCIHIGLLCLQEDVEDRPTMANVALMLNSHSLTLSIPSKPAYFYGSETRSLQNMQILDGNSDTAISRESINQASITDPYPR >CAK8573785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636660444:636663131:1 gene:gene-LATHSAT_LOCUS26190 transcript:rna-LATHSAT_LOCUS26190-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLCSLFLLLVLFQAKALDPFSCDNTKGNYTANSTYDNNLKTLISSFSSHKQINYGFYNFSYGQNPDKVYAIGMCWGDLKPNECLTNINTTFSDLREQCPNQKESIMWGSHLTLWYSNRSIFGVVETDPTMYVVYNRGANDVEKYRQALSNLMIKLKDKAASGDSRRHNQTRTFIAIAVPLVFVGLVLILICLCLWLRKPKHSFEANNEEYEDEEESEVMALESLQFSFDTIRVATNHFSNSNKIGHGGFGDVYRGKLSNGQMIAVKRLSIDSAQGDNEFKNEVTLVAKLQHRNLVRLLGFSLEGRERLLIYEFVVNKSLDYFIFDPTKKTLLNWSKRYEIIRGVARGLLYLHEDSRLRIIHRDLKASNILLDSEMNPKIADFGLAKLFVIDQTQGNTNRIVGTYGYMAPEYAMRGQFSVKSDVFSFGVLVLEIISGHKNSTTICLGDDVDYLLSYAWRSWKEGRATNIIDPSLNNISQNEIMRCIHIGLLCLQEDVEDRPTMANVALMLNSHSLTLSIPSKPAYFYGSETRSLQNMQILDGNSDTAISRESINQASITDPYPR >CAK8561680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:353869415:353872009:1 gene:gene-LATHSAT_LOCUS15248 transcript:rna-LATHSAT_LOCUS15248 gene_biotype:protein_coding transcript_biotype:protein_coding MMGESEGTKFGLDVRKNEKDGFQKRLNPVISKFFVQLPHKFQNVVKNQLSRLAKHEGIHWGRSDQRNGSGTFSVSEAELNEQLQAWKENPSWVDKPSEIKVTVPKGSLCNLNVEVDVGFPPDAVYNIVTDPDNRRVFKNIKEVISRKVLVDEGHRQVVDLEQAAIWKFLWWSGTISINVLVDQNRNDYSMKFKQTKTGFMKKFEGHWRVEPLFVDEATCYPFKPSTKEDYNMCTRGKGRIGSKVRLEQILQPAIVPPPPISWYLRGITAKTTEMLITDLQAETARIRGGYEIEKSKEEIQEKTDKSFDLIANTNDIKERWSIRRKNAKQSHRRPIDC >CAK8575013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:21454355:21454767:-1 gene:gene-LATHSAT_LOCUS27306 transcript:rna-LATHSAT_LOCUS27306 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGCGSSCNCGDSCKCNKRSSGLSYSEKETTESVILGVAPAKIHFDGAEMSVTAEDGGCKCGDNCTCDPCNCK >CAK8571532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435296627:435300633:1 gene:gene-LATHSAT_LOCUS24184 transcript:rna-LATHSAT_LOCUS24184 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASVVHNGVQTPLNNNGVLRVRVSSSKSSTLHFFHHPISSFSSVDHFHRFLRLQLPCSKPIPHAHPFHASEVKRITAQPKDDISSDEISSKDESQNSAVRTVALWVFAAVAFGVGLGFKEGYEKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPALYQNRVLSYGIAGAIVFRLTIILLGTATIQRFEAVNLLLAAILLFSSFKLFASEEEESDLSDNFLVKTCQKLIPVTTNYDGNKFITKQDGIWMATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYLIISEGMSELKYLQPSIAVVLGFIGCKMILDYFGIHVSTEASLGFVASSLSIGVILSLANKSD >CAK8574563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:2304026:2304448:1 gene:gene-LATHSAT_LOCUS26895 transcript:rna-LATHSAT_LOCUS26895 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGISLSTPKLSMPINLHCRSKSAATHRMPLMVEAGESSDGKKPSKVPKGYLAVIVGPKFRRFVIPIRFLSMPEMKNLMDIVAEEFGCDYHADGALHIPCDEDYFSNVLINCYATQRSVSSKKNKIKLGSKIPLINTH >CAK8537081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:78345938:78346255:-1 gene:gene-LATHSAT_LOCUS6397 transcript:rna-LATHSAT_LOCUS6397 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVYDHLSVLNGIVPELETIGVKIDDEDKALRLIWSLPSSYVHIKPVLIYENETLSFEEVASKIVSEERRLKGEENTSSNSVLVARGRSCVKKNNETSVRC >CAK8569538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4499081:4499775:1 gene:gene-LATHSAT_LOCUS22380 transcript:rna-LATHSAT_LOCUS22380 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLQTQFNKLKWVSDFNFHSKCEKLKIINLSFVYELLLFSRGNTKFVELMMKKFHEVSKATGLVVNLPYCKAYYGGVDDQINNEIQHLTSYAEGKLLFRYLSIPLTTKKLFNHHCFELVEKLVKKTMHWSNALLSYASRTQLIWSSFEISRKSLMVWKNVCEPKSFRGLNLISFTYWNKASLSKLLWDIKGKANTL >CAK8562394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:483488524:483503429:-1 gene:gene-LATHSAT_LOCUS15894 transcript:rna-LATHSAT_LOCUS15894 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPVNWEALDTVVIEFAKLENLIEDSSSAPSPSSSPSSSSYHSRLIIRQIRRFLETGAIDAAVDLLRLHAPSILNDHRILFRLHKQKFVELLRKGTSEDRDSAIDCLRTALAPCALDAYPEAYEEFKHVLLAFIYDKEDKTSPVANEWSERRRLDLAGFLSSMLRAHLNAYDPIFSMALRYLISIHRTYCLRQGITSPISDLTERLLLEERDPPATPQDILYELPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFLAFQNELCRMRLDVPFLDQLVREYCVYRGIVNSAFGRQHLPEPVKPNQQDPGYCSSRDCSLELDYNASKHSDGETSVTNAQMDDSPENNADVTSMQGIDFEVRCVSELASIHEDCSTSGSQHLEDPNVLYRSRFSGNGERAKRKRWRGRYDDNSYMANASLEEHNKQEHSMSNTVSTLSKEKQGSEKLSAQDGSNVENKYEILLGMKELASKGMAAEAVQEINTIDPNFFGQNSVLLFQLKQVEFLKLVGSGDYNAALKVACSHLGPLAASDPTLLKPLKETLLALLRPNEDALGNALPLHALAASLQVAVGRRLGIEEPQLMKIMRATLYSHNEWFKLQMCKDRFEGLLKIDSLKEANTPFLAPVYTSKSFADSCTNGSSQVTVSSGTKMSEDGSSPTQESSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFT >CAK8570828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:123139636:123141639:1 gene:gene-LATHSAT_LOCUS23544 transcript:rna-LATHSAT_LOCUS23544 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPFPSNLLPNDGSPEWLNKSDNAWQLMAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMSLYAFACVFFCWVIWGYRMSFGDRLLPFWGKPGVALDEKYLFKQAFLGAFPTATMVYFQCVFAAITLILIAGALLGRMNFYAWMLFVPLWLTFSYTITAFSIWSPNGFLSKMGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLNKDRERFPPNNLLLMLAGAGLLWMGWTGFNGGDPYSVGLDASLAVLNTHACTATSLLTWVFLDVIFFRKPSVIGAVQGMITGLVCITPAAGVVQGWAALIMGLFSGSIPWFTMMVIHKRSKLLQKVDDTMAVLHTHAIAGSLGGILTGLFAEPKLNYLFYEAYNKYVGLFYGIQMNIAGTGLRQIGIQFLGILFVIFVNVVSTSLICLVIRIFVPLRMSEEDMEIGDEAAHGEEAYAIWGQGDKLENSNSKYGSSLYEDVEVAATKKNKRGSSSQIEMM >CAK8569261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688292353:688293243:1 gene:gene-LATHSAT_LOCUS22136 transcript:rna-LATHSAT_LOCUS22136 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLNTKSNFHGRSISLPSRPHPLILKCNQHLETLLSSSNETSSSSLIFHKIDGLRDLIESVENLIQLPLTQDALIHDQLQENRVNNLLDGFLRLLDVCSAAKDAVIHTKECTRELQSIIRRRGGGAEVTAEAKKFLTSRKVVRKAISKALTNLKGNTKNCNMLSTNKDYQTVALIKLLQDVEEATLSTFQTMLQYMSGTTQSKSNSWGSISKLIQAKRVACSQLTDDSEFAKVDAALESFLNTKARKVEGIIDLQNHLEKTESCIQDLEQGLEFLFMRLIKIRVSLLNTLNN >CAK8539096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503583353:503597173:1 gene:gene-LATHSAT_LOCUS8227 transcript:rna-LATHSAT_LOCUS8227-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSFRSSLAPNSKRVSSNRSNDNTYNTNRKKQKTTATTTNQKTLGVAWGSNSRKPPSSDFGSYMTEKNRKLHNQFNADASTSLFSDSASGKPIFAGVSIFVDGFTVPSSQELRSYMINYGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSAGLPVVKPTWILDSVASNKLLTWMPYQLEQLSSNKQPKLSTFFSSRSSKNSEDTFTNTLCQVEPDIEDSLASVGKSEDINSPKVGDMVESSREISIEADDNVLENTDAIVMEEQLASVGVKCDDEIPAGGSNGAANDEKNLQGELEPNCQEPSTSARSLCADDQNVKEVPTSASTRPSKQCHSTLSDPNFVENYFKNSRLHFIGTWRNRYRKRFPIPSSGFNNEISNINASSISGNSVVIHVDMDCFFVAVVIRNRPELLDKPVAVCHSDNSKGTSEISSANYPARSYGIKAGMFVRDAKALCPNLVIFPYNFEAYGEVADQFYSILHRNCNKVQAVSCDEAYLDVTHSKVEGPELLASSIRKEIYETTGCTASVGIAGNMLMARIATRTAKPNGQYHITLEKVEDHLRQLPINALPGVGHVLQEKLKKQNVQTCGQLMMISKASLQKDYGMKTGEMLWNYSRGIDNRLVGDFQECKSIGTDVNWGVRFQDMKDCENFLSNLCKEVSLRLQCSGMQGRTFSLKIKKRRKDADEPVKFMGCGDCENLSHSETIPVATDNVEVLQRIVKRLFGCFYIDVKQIRGIGLHVSRLESSETSKQGAEKYNLKSWFTSGPASMDKRKHPTGHDKQNADGPSVHECGNLPGSSVPMENNIQDNQARADPMLTTPPLSGLDMEVMRNLPPELFSEFNEVYGGKLADYITKGKGISENSCALRNSLLEKEAIKKKEELLDVEPIPQKKPLSEIEAMQHEAEGGEVVPDSVSEPSFNVTHKSSFEKDDLLPASLSQVDGSVLQELPEDLKAVIVLQLPAHRKQEICSNVALVPPSENHQVSIGVNDSENLGSNHALNECLWDGNPPKWVEEFKFSSCLILKKLAEMYYKSGLTSTFSSVLRHIISEFHQLNPVYQISDDSVNITCELLKQYIKVKIGKDIEEIYICIRLLKRFAAASQFFLQVYNGVFPYLQEAVDDNYGGSLFITP >CAK8539097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503583353:503597173:1 gene:gene-LATHSAT_LOCUS8227 transcript:rna-LATHSAT_LOCUS8227 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSFRSSLAPNSKRVSSNRSNDNTYNTNRKKQKTTATTTNQKTLGVAWGSNSRKPPSSDFGSYMTEKNRKLHNQFNADASTSLFSDSASGKPIFAGVSIFVDGFTVPSSQELRSYMINYGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSAGLPVVKPTWILDSVASNKLLTWMPYQLEQLSSNKQPKLSTFFSSRSSKNSEDTFTNTLCQVEPDIEDSLASVGKSEDINSPKVGDMVESSREISIEADDNVLENTDAIVMEEQLASVGVKCDDEIPAGGSNGAANDEKNLQGELEPNCQEPSTSARSLCADDQNVKEVPTSASTRPSKQCHSTLSDPNFVENYFKNSRLHFIGTWRNRYRKRFPIPSSGFNNEISNINASSISGNSVVIHVDMDCFFVAVVIRNRPELLDKPVAVCHSDNSKGTSEISSANYPARSYGIKAGMFVRDAKALCPNLVIFPYNFEAYGEVADQFYSILHRNCNKVQAVSCDEAYLDVTHSKVEGPELLASSIRKEIYETTGCTASVGIAGNMLMARIATRTAKPNGQYHITLEKVEDHLRQLPINALPGVGHVLQEKLKKQNVQTCGQLMMISKASLQKDYGMKTGEMLWNYSRGIDNRLVGDFQECKSIGTDVNWGVRFQDMKDCENFLSNLCKEVSLRLQCSGMQGRTFSLKIKKRRKDADEPVKFMGCGDCENLSHSETIPVATDNVEVLQRIVKRLFGCFYIDVKQIRGIGLHVSRLESSETSKQGSAEKYNLKSWFTSGPASMDKRKHPTGHDKQNADGPSVHECGNLPGSSVPMENNIQDNQARADPMLTTPPLSGLDMEVMRNLPPELFSEFNEVYGGKLADYITKGKGISENSCALRNSLLEKEAIKKKEELLDVEPIPQKKPLSEIEAMQHEAEGGEVVPDSVSEPSFNVTHKSSFEKDDLLPASLSQVDGSVLQELPEDLKAVIVLQLPAHRKQEICSNVALVPPSENHQVSIGVNDSENLGSNHALNECLWDGNPPKWVEEFKFSSCLILKKLAEMYYKSGLTSTFSSVLRHIISEFHQLNPVYQISDDSVNITCELLKQYIKVKIGKDIEEIYICIRLLKRFAAASQFFLQVYNGVFPYLQEAVDDNYGGSLFITP >CAK8539098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503583353:503597173:1 gene:gene-LATHSAT_LOCUS8227 transcript:rna-LATHSAT_LOCUS8227-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSFRSSLAPNSKRVSSNRSNDNTYNTNRKKQKTTATTTNQKTLGVAWGSNSRKPPSSDFGSYMTEKNRKLHNQFNADASTSLFSDSASGKPIFAGVSIFVDGFTVPSSQELRSYMINYGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSAGLPVVKPTWILDSVASNKLLTWMPYQLEQLSSNKQPKLSTFFSSRSSKNSEDTFTNTLCQVEPDIEDSLASVGKSEDINSPKVGDMVESSREISIEADDNVLENTDAIVMEEQLASVGVKCDDEIPAGGSNGAANDEKNLQGELEPNCQEPSTSARSLCADDQNVKEVPTSASTRPSKQCHSTLSDPNFVENYFKNSRLHFIGTWRNRYRKRFPIPSSGFNNEISNINASSISGNSVVIHVDMDCFFVAVVIRNRPELLDKPVAVCHSDNSKGTSEISSANYPARSYGIKAGMFVRDAKALCPNLVIFPYNFEAYGEVADQFYSILHRNCNKVQAVSCDEAYLDVTHSKVEGPELLASSIRKEIYETTGCTASVGIAGNMLMARIATRTAKPNGQYHITLEKVEDHLRQLPINALPGVGHVLQEKLKKQNVQTCGQLMMISKASLQKDYGMKTGEMLWNYSRGIDNRLVGDFQECKSIGTDVNWGVRFQDMKDCENFLSNLCKEVSLRLQCSGMQGRTFSLKIKKRRKDADEPVKFMGCGDCENLSHSETIPVATDNVEVLQRIVKRLFGCFYIDVKQIRGIGLHVSRLESSETSKQGKKYNLKSWFTSGPASMDKRKHPTGHDKQNADGPSVHECGNLPGSSVPMENNIQDNQARADPMLTTPPLSGLDMEVMRNLPPELFSEFNEVYGGKLADYITKGKGISENSCALRNSLLEKEAIKKKEELLDVEPIPQKKPLSEIEAMQHEAEGGEVVPDSVSEPSFNVTHKSSFEKDDLLPASLSQVDGSVLQELPEDLKAVIVLQLPAHRKQEICSNVALVPPSENHQVSIGVNDSENLGSNHALNECLWDGNPPKWVEEFKFSSCLILKKLAEMYYKSGLTSTFSSVLRHIISEFHQLNPVYQISDDSVNITCELLKQYIKVKIGKDIEEIYICIRLLKRFAAASQFFLQVYNGVFPYLQEAVDDNYGGSLFITP >CAK8567093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486089149:486094368:1 gene:gene-LATHSAT_LOCUS20177 transcript:rna-LATHSAT_LOCUS20177 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIPKLPSFKFPSSITTRTSSCVSVGFRRLSSLPVRASTVNQTVAEPATGSPSLGHSTRPHFPILHQEVNGSKLVYIDNAATSQKPTAVIKALQNYYEGYNSNVHRGIHFLSAKATDEYESARKKVAAFINVSDSREIVFTRNATEAINLVAYSWGLSNLKPGDEIILTVAEHHSAIVPWQLVAQKVGAVLKFVNLNEDEVPDIDKLKEMLSRKTKIVVVHHVSNVLASVLPIRDIAHWAHDVGAKILVDACQSVPHMTVDVQNLDVDFLVASSHKMCGPTGIGFLYGKIDLLSSMPPFLGGGEMIADVYFDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQAIHDYEVELGNYLYERLLSVPNIRIYGPAPSKEVKRASLCSFNIENLHPTDLATFLDQQHGVAIRSGHHCAQPLHRYLGVSASARASLYFYNTKEDVDNFIHALHDTVSFFNSFK >CAK8573206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593449181:593460876:-1 gene:gene-LATHSAT_LOCUS25680 transcript:rna-LATHSAT_LOCUS25680 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPKANHLPEVDSLPDGFVEGAAEPVAPPIPITKLDKPLCDDYKDNGFIDCGHSNELSNELGEKVFQNDHDVVSPLDSPVSESTLSGSCEVKEQQQGECQSSDKSIQPLETKALPIKDACAPGIVDSSKNKKSEIGEKRKVSKRTLKAEKELLEFSLKYQQVLAERDSALAVRDKLESLCRELQRQNKMLMEECKRVSTEGQNLRLDLSAKFQDAIKDMSSRLEERKDDCLSQLKENDMLRNNLKQLAEQYELLEQQHAQKLKQNSLELQIAELKIKQHEEKLAHEQSQMKIYAEQVSQLLATEKNLRLQLTTDGEKFQQFQEALTKSNDVFETFKQEIEKMAKSMKELKKENQFLKSKSEKSDVTLIELVDERERMKKQLEKTKNQKEKLESLCRSLQAERKQSLSENKSNDNISNNSVPT >CAK8573205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593449181:593460876:-1 gene:gene-LATHSAT_LOCUS25680 transcript:rna-LATHSAT_LOCUS25680-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPKANHLPEVDSLPDGFVEGAAEPVAPPIPITKLDKPLCDDYKDNGFIDCGHSNELSNELGEKVFQNDHGTDVVSPLDSPVSESTLSGSCEVKEQQQGECQSSDKSIQPLETKALPIKDACAPGIVDSSKNKKSEIGEKRKVSKRTLKAEKELLEFSLKYQQVLAERDSALAVRDKLESLCRELQRQNKMLMEECKRVSTEGQNLRLDLSAKFQDAIKDMSSRLEERKDDCLSQLKENDMLRNNLKQLAEQYELLEQQHAQKLKQNSLELQIAELKIKQHEEKLAHEQSQMKIYAEQVSQLLATEKNLRLQLTTDGEKFQQFQEALTKSNDVFETFKQEIEKMAKSMKELKKENQFLKSKSEKSDVTLIELVDERERMKKQLEKTKNQKEKLESLCRSLQAERKQSLSENKSNDNISNNSVPT >CAK8569691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8364947:8367440:1 gene:gene-LATHSAT_LOCUS22514 transcript:rna-LATHSAT_LOCUS22514 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTNPPQQSHSKKTLPKQKHKTQQQQQQQNQKQKQKQKQKPPSSWDQIKNILTCKQIEGSTVHDPSKPNNSYSKLGSSCSSICSFRDAVHGNTRVVHRSDNSSPESSILGQETSLLKRKSVAATASSSSSRTRSSASCSGKSNGGNNYTSSSSRGMQFRKLSGCYECHMIVDPSRLAIPRSTVCACDQCGEVFPKIESLQLHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKRESPMCKIERILKVHNTQRTIQRFEECRDAVKSRATATTKKNPRCAADGNELLRFHSTTLSCALGARGSSALCATVPGCGVCTIIRHGFQNKGGVKTNASSGRAHDNSIGRTGEDSRRAMLVCRVIAGKVKRVAEEGAPPITEEETVSFDSVAGDAGIYSNLEDLTVFNPRAILPCFVVIYKVLHAE >CAK8541920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:433018685:433021406:-1 gene:gene-LATHSAT_LOCUS10808 transcript:rna-LATHSAT_LOCUS10808 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMRPQIVLFGSSIIQMSFDNGGWGAILANLYARKADIVLRGYSGWNSRRALEVLDEVFPKDAYVQPSLVIIYFGGNDSIHPHPSGLGPHVPLEEYVENMRKIANYLKSLSDHIRLIFLTSPPISEVQIRKKLSATQSGRTNEHCGIYAKALVELCDEMNLKVINLWSAIQQREDWLDVCFTDGVHLSGEGSQVVLKEILRVLREADWKPSLHWMSLPTEFAEDSPYYPPSPDGTTTINVSYSIPRRHLQWDL >CAK8560768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41206150:41206679:-1 gene:gene-LATHSAT_LOCUS14418 transcript:rna-LATHSAT_LOCUS14418 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVNKVKNFVSDKFHGVAKPEASVADMEFKRATMNSVEYLAKVSVHNPYSHSLPICEVNYSFKSATREIVSGTILDPGSLKAKDTTMVDVLVKVPYNILISLAKDIGADWDIDYQLDLGLVIDLPVVGNFTIPLSRKGEVKLPKPF >CAK8532704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495103246:495104268:1 gene:gene-LATHSAT_LOCUS2384 transcript:rna-LATHSAT_LOCUS2384 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8532705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495103783:495104268:1 gene:gene-LATHSAT_LOCUS2384 transcript:rna-LATHSAT_LOCUS2384-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8537838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440306893:440309436:-1 gene:gene-LATHSAT_LOCUS7092 transcript:rna-LATHSAT_LOCUS7092 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSDRNDLNADDILFDRENEVLGFDSSTRSNVSVSEALIGDVGGTDGSMDLFSGSDAVGFHMNSVINSCEKEGFFQGNVNGLEDGGMFGVVDGRCDEKVEKVSCGLVKNEDENVEKMSCGLVKNEDEKSVIQCSGEGVESDKGLVFGLNDCVQIEHEFENVEKVALSNEVQEDEDGVENMDVDAQESSDMNVSVSKKAFLSDSQVNVIQNYVIGIGNSGEANSEDNRYDCGGLDLVVDLNSYKNTQEDNVAKDSVFSDVNYHVSDLVWGKVRGHPWWPGQIYDPSVASEMAKRHRKENCYLIAYFGDQTFAWNDVSMIKPFHKHFSKFEKQSDSEVFRHAVDCAVEEVSRRIEFGLYCRCTPEEVFANAETLDQSSRRNGGDRFIGATSFEPAECVGIVKSLAQSPLTEFDRLDFVSARAQVSAFNRSKGYSELPEFAVLGRLFENDMETLPLIEKEQFDRVNEQVLKPDQGFLQNSGSTSRRGRKPKEAYDTSDYCYQNFQNAGINSTSRRGRKRKLLACNSSDCCCNNFQTGNPTQLQNVSVDDLWSQLCSVAKDPIGESCYSEVIHYFAEFGKFSGRNNFAFFQEGLSLEQEQDAETRVITSVEPAATASISTPMEVCNDSYWTDRIIQSTPEEETLLKKQDEREKLLEAAKIIPNSSLMHQDSDENPGSNPSNIMERDTNVNLISEPSNNVEHVNESSPTALNLISEPSNNAEYDTDVNLMPEPLNNVEHVNESSPTALTLKFTNFESVPSATHLNQIFTSYGPLIESKTVLLEKTNCARVVFKRRVDAESAFSSSGKYSIFGPSLKSYRLKILPPTPQKGTGKRGRKSKKEKSSVDAAAV >CAK8536893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:34434949:34435785:1 gene:gene-LATHSAT_LOCUS6219 transcript:rna-LATHSAT_LOCUS6219 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRDSENLWGRFCNWITSTGNRLYIGWFGVLMIPTLLIATSVFIIAFIAIPLVDIDGIREPVSGSLLYGNNIISGANIPTSAAIDLHFYSIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIVVAYSAPVAAATAVFLIYPIGQGSFSEGMPLGISGTFKFMIIFQAEHNILMHPFHMLGVASVFGGSLFSAMHGSLVTSSLIRETTENEYANEGYRFGQEEINFNYKIIIMKLVKINLKNKIRENVENSNKIIIDN >CAK8567991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561684942:561685268:-1 gene:gene-LATHSAT_LOCUS20994 transcript:rna-LATHSAT_LOCUS20994 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDKKMKVKKGWLAVQVGLEEEEEGGSSSQKFLIPISYLYHPLFKTLLDEAYDVYGYHTNGPLKLPCSVDDFLHLRWRIEKESSHHSQHNVNHHQHRLPHALIFHSC >CAK8538494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484836871:484839180:-1 gene:gene-LATHSAT_LOCUS7684 transcript:rna-LATHSAT_LOCUS7684 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGGNSCSDVSSMDHHHHHHMMNNIESSDRKFLPFPLNNNSSGVQNHHHHHHNFTHHHNNNNNNTSTSVVVRDKIMAHPLFPRLLSSYLNCLKVGAPPEVVASLEESCAKCEILNGSSGRAVTSSCIGEDPGLDQFMEAYCEMLIKYEQELTKPFKEAMLFLSRIESQLKALAVSTDFGQSEPPSMNEIDIHDNNFDTQGEDQELKVQLLRKYSGYLGSLKKEFLKKKKNGKLPKEARQQLLDWWNRHYKWPYPSESQKQALAESTGLDLKQINNWFINQRKRHWKPSEDMQFAVMDATNYYMENVMCKPFPMDAMPMLL >CAK8538495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484836871:484839138:-1 gene:gene-LATHSAT_LOCUS7684 transcript:rna-LATHSAT_LOCUS7684-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHHMMNNIESSDRKFLPFPLNNNSSGVQNHHHHHHNFTHHHNNNNNNTSTSVVVRDKIMAHPLFPRLLSSYLNCLKVGAPPEVVASLEESCAKCEILNGSSGRAVTSSCIGEDPGLDQFMEAYCEMLIKYEQELTKPFKEAMLFLSRIESQLKALAVSTDFGQSEPPSMNEIDIHDNNFDTQGEDQELKVQLLRKYSGYLGSLKKEFLKKKKNGKLPKEARQQLLDWWNRHYKWPYPSESQKQALAESTGLDLKQINNWFINQRKRHWKPSEDMQFAVMDATNYYMENVMCKPFPMDAMPMLL >CAK8567190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493278140:493280851:1 gene:gene-LATHSAT_LOCUS20262 transcript:rna-LATHSAT_LOCUS20262 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVNSGSALRKQVFPIDFETEMSQLLVDAAHHGDTDTAMECIANSSSVDVNFIGTVMLKSKTTEISLHDESPHRVNSVYEEFKTEVTPLFLAAYSGNMNLLRKLMNVGANVNVRLFRGYATTAAVREGHLNVLEVLIKGGASQLACEEALLESSYVGRARFAELLMQSNMIRPRVAVHALVSACCRGFVEVVDVLIKQGVDINAIDRILLQSSKPFLHTNVDCNALFSAVVSRQISVVKLLLQVGVRLDIKVKLGAWSWETDTGEEFRVGVGLAEPYPITWCAVEYFESTGTILNMLLHYLSPNSFHIGRTLLHHTIICNNERALNILMNNGVDTELALETTEETNLHPIHMAARLGLCNILQCLINGNCNLDSQTKFGDTALMICARYKHEKCLRVLVSSGADLGIVNSSGHCATSTAISIHWTKEYQKAVLDIIKVGKVVKSSNASRFSALLFATRANDIEALKKLIENRNINLDEQTEDGFSAVMISASEGSVEAFKLLLHAGADVTNLKNKHGLTALDIIDLKQNGENVHKVMFEYALKKGCLNISTLAEANPLHRAACYGDINMVEKLLKEGNYDVNGFDENGYTPLMLAARESNGEMCGLLISHGAKCDIKNDRHETALLLARENNVKGNTAENVIMDELARRVVLCGARVKKHTKCGKGLPHRKQLVMVGAAGILRWGKSNKRNVVCKEAEVGPSERFRWNRRKKFDVDEVGMFHVVTTKNKEVHFVCEGGVEMAELWVRGIRLVTREAIFGSRANTS >CAK8567191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493280360:493280851:1 gene:gene-LATHSAT_LOCUS20262 transcript:rna-LATHSAT_LOCUS20262-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLLISHGAKCDIKNDRHETALLLARENNVKGNTAENVIMDELARRVVLCGARVKKHTKCGKGLPHRKQLVMVGAAGILRWGKSNKRNVVCKEAEVGPSERFRWNRRKKFDVDEVGMFHVVTTKNKEVHFVCEGGVEMAELWVRGIRLVTREAIFGSRANTS >CAK8575566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:172840626:172850547:-1 gene:gene-LATHSAT_LOCUS27819 transcript:rna-LATHSAT_LOCUS27819 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDPNPFEEEETHVNPFADGTAKGKGSGQSSYGGGAFYTTDVKAKEKELQARESELKRREQELKRREDAISRAGIVIEEKNWPPFFPIIHHDIGNEIPIHLQRMQYVAFTTWLGLVLCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGAPGSYVMWYRPLYRAMRTDSALKFGWFFVSYLLHIGFCIFAAVAPPIIFKGKSLTGILAAIDVIGGSALVGVFYFIGFGFFCLESLMSIWVFQQVLMYFRGSGKAAELKREAARGTMMAAL >CAK8575565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:172840626:172850547:-1 gene:gene-LATHSAT_LOCUS27819 transcript:rna-LATHSAT_LOCUS27819-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDPNPFEEEETHVNPFADGTAKGKGSGQSSYGGGAFYTTSPGSVPSATSRLSPLPPEPYDRGATIDIPLDSSKDVKAKEKELQARESELKRREQELKRREDAISRAGIVIEEKNWPPFFPIIHHDIGNEIPIHLQRMQYVAFTTWLGLVLCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGAPGSYVMWYRPLYRAMRTDSALKFGWFFVSYLLHIGFCIFAAVAPPIIFKGKSLTGILAAIDVIGGSALVGVFYFIGFGFFCLESLMSIWVFQQVLMYFRGSGKAAELKREAARGTMMAAL >CAK8534748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763242755:763243913:1 gene:gene-LATHSAT_LOCUS4264 transcript:rna-LATHSAT_LOCUS4264 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNNGFKMDNQLLQDDDDDLPLPGFRFHPTDQELVTFYLQRKLDKKPISIDLIKQVDIYKYDPWDLPKSSVHGAENKEGYFFCKRGRKYKNSIRPNRVTGSGFWKATGIDKAIYSNGGEGNDCVGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSNIATKTNLAHAKNDTDMAHEAEIWTLCRIFKRNVSQRKQQMAEVKPLATKRQAIHDKSTRMSSNMEFMLNQQAYINFGPSHQDHHNQDKPVLPVNNYTSSYQRNQFSSVAQQPQQILIPSSNFWIQEAANELLSFDNWDELGSVVKFAVDSPSL >CAK8544356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679535649:679536476:1 gene:gene-LATHSAT_LOCUS13043 transcript:rna-LATHSAT_LOCUS13043 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTTVSYKFNVAVRITRSMKAKRGLKQGDPISPLLFVLTMEYFHGLLHQLSKVPDYNFHAKCKKMQIIDISFADDVLLFTRGDRKYVQLLMNHLQTFSQSIGLVVNPAKCRVYFGGVENETKNDILASTSYKEGDLPFRYLGVPLTCKRLSTPHYMSLVDKIVSRIHHWSSKLLSYAGRLQLINSIITVIAVYWMSCLPFPKHVIKTIKSICRTFPWTGSDVKSRKSLIAWKNVCKPRRKGGLDVLDLSDWNTACLTKLMWNLCNKKDTLWVK >CAK8530311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13971248:13974812:-1 gene:gene-LATHSAT_LOCUS186 transcript:rna-LATHSAT_LOCUS186 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASATLIGFAPSLSTSHHRLQRRSIIVSSSSQPSKSTATTSQSISTTTAWKIDSWKTKKALQLPEYPDQNEVDQVLQTLSSFPPIVFAGEARHLEDKLAQASMGNAFLLMGGDCAESFKEFSANNIRDTFRVILQMGVVLMFGAQMPVIKVGRMAGQFAKPRSDSFEEKNGVKLPSYRGDNVNGDAFDAVSRTPDPQRMVRAYCQSVATLNLLRAFATGGYAAMQRVNEWNLDFMKRSEQGDRYRELAHRVDEALGFMSCAGLTADHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGFHYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKASDKMDPNELVKLVDILNPKNKPGRITVIVRMGAENMRVKLPHLIRAVRSAGQIVTWVSDPMHGNTIKAPSGLKTRSFDSIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITFDDLSSRYHTHCDPRLNASQSLELAFAIAERLRKRRLNSTQSLPSSFTI >CAK8541265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99674126:99674839:-1 gene:gene-LATHSAT_LOCUS10197 transcript:rna-LATHSAT_LOCUS10197 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLTIMVIFLSIFSCFARKEPSLAHHNPQNIQNQTETQTQTQTRTKTMDYIASSCQGTRYPGLCIRSLASFAKYSTIDGPDHLAHIALSVSLIKALQTRSYLLKIVKEIEAINNGSQPGYAYLTMQDCVKQIGDSVDQLSQAIKELRRVNKGTIIDDKMLWHISNVETWVSTALTDASYCVQSFPGHRMSKRTATIKVKAQNVAEVTSNGLALFHRYAAKYRAAAAARAAKKLP >CAK8538692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493098732:493099935:-1 gene:gene-LATHSAT_LOCUS7865 transcript:rna-LATHSAT_LOCUS7865-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKISAEKLLNNFVETLAEKQKSASYFQDVTANSMTSQFNRLFGREKPVHHILGGGKSADVLLWRNKKISASVLTAATAIWVLFEWLNYNFLSLLFLGLVLVMSVQFLWTNASGVFNSRPSKVPRLVLPEDFFVNIATAVGAEINRGLRVLQNVSCGGNLKQFILVVVCLWAGAVIGGWCNFLTVIYIGFVAAHTLPVLYERYDDQIDNFVYKVLDQMQNQYKKVDSGLLSKIPKGNLKGKKFE >CAK8538691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493098732:493099935:-1 gene:gene-LATHSAT_LOCUS7865 transcript:rna-LATHSAT_LOCUS7865 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKISAEKLLNNFVETLAEKQKSASYFQDVTANSMTSQFNRLFGREKPVHHILGGGKSADVLLWRNKKISASVLTAATAIWVLFEWLNYNFLSLLFLGLVLVMSVQFLWTNASGVFNRPSKVPRLVLPEDFFVNIATAVGAEINRGLRVLQNVSCGGNLKQFILVVVCLWAGAVIGGWCNFLTVIYIGFVAAHTLPVLYERYDDQIDNFVYKVLDQMQNQYKKVDSGLLSKIPKGNLKGKKFE >CAK8563145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577399880:577406375:-1 gene:gene-LATHSAT_LOCUS16582 transcript:rna-LATHSAT_LOCUS16582 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLFLTRAARVSSLTKQNAVAAFNSSRCVHSLPLAATVQAEEISGANPAEVLNLVQGKWIGSSNWNTILDPLNGEPFIKVAEVEESGIQPFVESLSSCPKHGLHNPFKAPERYLMYGDITTKAAHMLSLPKVTDFFARLIQRVSPKSYQQAFSEVYVTQKFLENFCGDQVRFLARSFSVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMLRLLHSCGLPVEDVDFINCDGKTMNKLLLEANPRMTLFTGSSRVAEKLADDLKGRVKLEDAGFDWKILGPDVHEEDYVAWVCDQDAYACSGQKCSAQSLLIMHENWSKTSLVSKLKELAERRKLADLTIGPVLTFTTDALLEHTKNLLEIPGSKLLFGGQPLEDHTIPQIYGAIKPTAVYVPLEEIMKDKNYELVTKEIFGPFQVVTDYKDHQLPIVLEALEKMHAHLTAAVVSNDPLFLQEVIGKSVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDYGPVSKGWKIPPST >CAK8570962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:198736896:198737363:1 gene:gene-LATHSAT_LOCUS23666 transcript:rna-LATHSAT_LOCUS23666 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRLGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8578174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611069974:611072839:-1 gene:gene-LATHSAT_LOCUS30212 transcript:rna-LATHSAT_LOCUS30212 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEEPTAKKRVVTESLGWLTESSIMPKKHRAIEGVGASSILELKAQLYKSQEDSKKSRELSGADAEYQRAKNFITSKDHFSNKNSGVDARANKDKLELKAVNDGSVSYAALEKKAALYDKLVKGELSDEEDKEKYCVDFVRKGNDGDDVSTTSNVFQENERGDGDGDGDAFSLFNVKPVGLGRAAGVFDGAEHKRNVREVHEEANLAREKTSEIKLRRQEQLAAQRKKLKQAYLRKKLEQLKSASVGSESEIKHT >CAK8532347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248793966:248796785:-1 gene:gene-LATHSAT_LOCUS2064 transcript:rna-LATHSAT_LOCUS2064 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLQYSSLGWNFKFSIMNMLVLCFLMVLSNANSSYASLLLNRTVIMFREQHRRIMLDNGLGQTPPMGWNSWNHFHCDVSEDLIKQTANAMVSTGLVDLGYNYINIDDCWGELNRDSTGNLVAKSSTFPSGIKALADYVHSKGLKLGIYSDAGNQTCSKKMPGSLGHEVQDAKTFASWGIDYLKYDNCENNNIDPKERYPTMSEALLKSGRPIFFSLCEWGSEDPATWAKSVGNSWRTTGDIKDKWDSMISRADLNNKWASYAGPGGWNDPDMLEVGNGGMTTEEYRAHFSIWALAKAPLLIGCDIRALDNTTKELLSNSEVIAVNQDKLGVQGEKVKSNGDLEVWAGPLSDNKLAVVLWNRSAWKATVTASWSDLGLKPGTSVNARDLWLHSTQSSVSGNISAELDTHSCKMYILTPN >CAK8542761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543828598:543829829:1 gene:gene-LATHSAT_LOCUS11570 transcript:rna-LATHSAT_LOCUS11570 gene_biotype:protein_coding transcript_biotype:protein_coding MTATDVVARGLDVKDIKCMVNYDLPSSLEDYVHRIGRTGRAGAKGTAYSFFTRGNAKYARDLIKILQDVGQVVSPALSELTRSAGFGQHASRGGFRSRGHGGGYGNRGSTGSNDIPVGGKRPWQYV >CAK8537568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:398580726:398581927:1 gene:gene-LATHSAT_LOCUS6854 transcript:rna-LATHSAT_LOCUS6854 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDTKECYLTPYFNNHHWQLFIINPKKFEVAFLCSLGKKPDKKIYDVIELALGAYNKLQRVRKQKKVEWFYPTSQKQQVSYDCGYYIMAHMLNIISATVVGSWTHIFQDSNPLQKEEVKNVQELCANMILEHIEESTDNDIN >CAK8541993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448543116:448543997:1 gene:gene-LATHSAT_LOCUS10870 transcript:rna-LATHSAT_LOCUS10870 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSTIPEKKNVLFIMGATGTGKTKLSINIGIQFPSEIINSDKIQVYKGLDIVTNKIPKSEQCLIPHHMLGVIDDPDYDFTVHDFCKKMLETLDVIVENGRLPIIVGGSNSYLQALVDDPIYAICLKYNCCFIWVDVSLSTLFPYLDKRVNEMIEVGLINEIREFFVPGEDCTRGIRRAIGVPELQRYFEIENDEDIDERHKEKILKESITKMKENTCILAENQLIKIDNMVNILGWNMHKIDSTKVFEAILKGEDYQHLYQEIVVKPSLEIVKSFLKEATHENMKTQNNY >CAK8543617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626562007:626563239:-1 gene:gene-LATHSAT_LOCUS12365 transcript:rna-LATHSAT_LOCUS12365 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDNKMKGLVKGLKFISQIFDNSEKEQEIEIGDPTDVKHVAHIGWDGPTENAPSWMSEYNSVSGLSSSAPQNMNGDREIDDSHNWGRSDSIKRGSRSMRIENTHELPLPKSSMNQSNSTGNMRELHAKEKADRPRQPKRNSKHSTTNDTLNESNLATREQPISMHTDSPQLQETTDDNLPPKIQSYHHKKTNYKKTKDVQGVSGSSKSRLKPQSKEHNSSEDMQSLTSSKSKDQISNEDIHSRTCSKSKEYKSKEGSHLKANLKSRDSNLNERRPSRPNSKHKTKPRHNEEDGQFERESNEDILSNCSN >CAK8533181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588687112:588687613:1 gene:gene-LATHSAT_LOCUS2828 transcript:rna-LATHSAT_LOCUS2828 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFSSENRERVLNPQHPYRKSRLGCARLEADMVEKSGEDEINHSQVWKVACVNKNGVIDNENVQRVVDQCEKLTEALTEEERQDLSPTDILSEALNLPN >CAK8579731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719595426:719600320:1 gene:gene-LATHSAT_LOCUS31655 transcript:rna-LATHSAT_LOCUS31655 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKKLHIMSNNQSEEAQGERSGKVNDASSSSPTTRKKLSNWLQSVSSTNRQSPCSPPSPNLARGERVELSDSVSLDMVCESGKRDSESSSSRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCDPDNTPAEVVAYRYWNYNALGYDDKISDGFYDLYGVLNESTSTRMPSLIDLQGTPTVNDVKWEAVLVNRVADSNLLKLEQKAVELAMKSREDFEIVVDRNLVHKLAILVAEYMGGSVEDPESMLRAWRSLSFSLKATLGSMVLPLGSLTMGLARHRALLFKVLADSLGIPCRLVKGMQYTGSDDVAMNFVKIDEGREYIVDLMAAPGTLIPSDAAGSHIEYDDSSFVASPSSRDHDSSRVASFSSGVGSSSGETSEFGTFEKGNRYKHFAYAGKESDTGKEEVKKPLNEFKNMPNVEKIKARESVSRPNNYPYTHGRSPSWTEGISSPAAHRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEIYHDQIGSQNEANPNEDNAPTEEKDEYKHGSALKEAKVGDNLAPPRFLPPLPPHRTHPKASPSNQTEHPKSVEGLGTGLSLDTKEAAGQQIQSDTEAAQVKYGKNVPVAAAAAAAAAVVASSMVAAVAKSSTDSNFEIPVAAAATATAAAVVATTAAVNKQYEQGSRSDGDTGSGDGENNALGANSEGERKSDTSVSNDSTKSDSALEDVAEYDIPWEEISMGERIGIGSYGEVYRGEWHGTEVAVKRFLLQDISGESLEEFKSEVQIMRRLRHPNVVLFMGAITRPPNLSIVTEFLPRGSLYRLLHRPNSQLDERRRLRMALDAARGMNYLHNSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKYSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELCTLRQPWGGMNPMQVVGAVGFQHRRLDIPDNVDPAVANIIRQCWQTDPKLRPTFAEIMALLKPLQKPITASQVHRANAHTPSSRVPEDPEA >CAK8579658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715047949:715049610:-1 gene:gene-LATHSAT_LOCUS31588 transcript:rna-LATHSAT_LOCUS31588 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWNVRGLNRAGKYKEISSHLLSLKADINILLETRVKKENAKNIRDKLKLPGQYLDNYSHHINGRIWLNWNDGNIDIISNKITDQMVHCEVRDAQGGFKFWLTVVYAHNKLELRRKLWHDIEHSQQPGPWCIVGDFNNVLRTKDRIGGNRVTETEYIDLQNMMQRIGLFEMESKGDYYTWFNKHNVDPIYSRIDRVLGNVDWFQTFQDVNLNVLAPNVSDHAILHVIGQENSDYRKRKFKFLNCVTKMAGYSEIVARSWHTPVNGSRPMEVVWKKLKRLQKDLGPLTKHTTQAKVHLAKAREALKEAQQALNDDRMNKEKIDLVKDCTEDVIKWNEIDEAILKQRSKIDWLKLGDGNNSYFHASIRAKNKATSIETLQLENGSIATEQVDIQAEVLRFYGDLMGKQAESTQAIDIVAMREGYQIDTDQADMLTGPVTEKEIVAALHSIGDLKSPGIDGYGAKFFKESWQIVGRDVIAAVREFFEHDQIFLAVNCTLIRLIPKHEEAKIIKEYRPIAGCTTLYKIISKILTMRLSKIMANLIGCSQAAFVPG >CAK8578522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634777451:634778017:1 gene:gene-LATHSAT_LOCUS30526 transcript:rna-LATHSAT_LOCUS30526 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQNFTPIEVMQENLTVSNADESNVVASNGPNVEINSTPNEIESPISCEVDTEGLKRKLTSEVWNHFKRQKIDGKLKAICNYCGAKLLGVPKQGTPHLKSHIMSCPRRTNRDIKQALIKTEQVDGQTVMVGSYAFNQDIARYGVAKIILHEYPLAMVEHIGFREFCAFMQPLFKVVSRNTIKNDIR >CAK8579169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681235666:681236268:-1 gene:gene-LATHSAT_LOCUS31135 transcript:rna-LATHSAT_LOCUS31135 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIHPQEQESTTTSVSYDHCLNKRERYTVWMKSLVLHSNGCTVYDSKGNIVYRVDNYDTKGGREVNLMNLKGNVVCTLKKRLLAFGCWEGYKYHSRNSNSRSQIEQPWFQVKRCFTRKTVCEITVGSQKLCIERMSNGKSFGFQIVNKDGQIIAEAKQKLSSSGVVLSNDVLTLDLESGTDHSLITAFITVYGLICGKM >CAK8562945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560112651:560114927:1 gene:gene-LATHSAT_LOCUS16405 transcript:rna-LATHSAT_LOCUS16405 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQRPSNDNSLTLNLEHDQQNGSSNDSYVSTAHTIDRDSWQQVGLMLVTGFNCGWIFSFSNLIMVPLGWTWGVILLFVVGLYTAYANWLLAAFHFIDGRRFIRYRDLMGFVYGKKMYHLTWISQFLTLLLGNMGFILLGGKALKEINSEFSDSPLRLQYFIVITGVAYLIFSFSIPTLSSMRNWLGVSAVVTTAYIAFLLAVAVKDGKSNPNKDFTVSGSKVNKVFNSFGAISAIIVTNTSGMLPEIQSTLRKPAVKNMRKALFSQYTVGALFYYGVTIIGYWAYGSMVSSYLPENLSGPRWINVIVNVIVFLQSIVSQHMFVAPIHEAMDTRFLEIGKGMHSGENFKRLFLLRVCFYTGNTFIAAAFPFMGDFVNLLGSFSLVPLTFMFPSMIFLKVKGKTARTEKKAWHWINIVFSFLLTIATTISALRFIINNIQKYQFFADA >CAK8536572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955927954:955929371:-1 gene:gene-LATHSAT_LOCUS5928 transcript:rna-LATHSAT_LOCUS5928 gene_biotype:protein_coding transcript_biotype:protein_coding MKMENLSNYFKVLIICLLAASTHAQLELGFYSKSCPKAEQIIQKFVHEHIRNAPSLAAALIRMHFHDCFVRGCDGSVLLNSTTNQAEKNAPPNLTVRGFDFIDRIKSLVEAECPGVVSCADIIALSARDSIVVTGGPYWKVPTGRRDGVISNLLESNQNIPAPFNNFTTLQTLFANQGLDLKDLVLLSGAHTIGISLCTSFSNRLYNFTGKGDEDPSLDSEYAKNLKTFKCKNINDNTTIVEMDPGSRNTFDLSYYSQVVKRRGLFESDSALLTNSVTKSLVTQFLQGSLENFYAEFAKSIEKMGQIKVKTGSQGEIRKHCALVNS >CAK8566915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472303802:472304299:1 gene:gene-LATHSAT_LOCUS20011 transcript:rna-LATHSAT_LOCUS20011 gene_biotype:protein_coding transcript_biotype:protein_coding MIGERGMQLSGGVGQKQRIAIARVILEDPRILLLDEATSALDSESEKNRGTRQNHDKQNYCYYSPRLSTVRNAIAVIHQGIIVEKDEELTKDPDGAYGHNS >CAK8562817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:541480780:541481313:-1 gene:gene-LATHSAT_LOCUS16282 transcript:rna-LATHSAT_LOCUS16282 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKSESTELPDCIISHIFSKLSLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCNPELPKTPLFPPFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGVNRIELLFAYPRPLPDFEKAMKAMPFPYNESDFEKAMIPYNESDFEIEPYNFFL >CAK8542754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542952876:542954145:-1 gene:gene-LATHSAT_LOCUS11563 transcript:rna-LATHSAT_LOCUS11563 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEEIRNAQRSKGPATILAVGTATPPNCVTQAEYPDYYFRITNSEHMTDLKEKFKRMCDRSMIKKRYMHLTEEFLKENPNMCEYMAPSLDARQDIVVVEVPKLGKDAAKKAIAEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLKPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKNARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAAMIIGADPDLTVERPIFEIVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGIISKNIEKSLVEAFEPIGINDWNSIFWVAHPGGPAILDQVEEKLRLKEEKLRSTRHVLSEYGNMSSACVLFILDEMRKRSKEEGKVTTGEGLEWGVLFGFGPGLTVETVVLHSVPVQA >CAK8578973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665621801:665623670:1 gene:gene-LATHSAT_LOCUS30950 transcript:rna-LATHSAT_LOCUS30950 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSHLNRSISINRRSHSQSQQSLGSPKPVSSTRFGCVSLGLRFQVLVIIASVISFFIAIGGGYIYVLPSLTHAFFHTQGFFSDQNNNNNNDTESLFTKCDVFDGTWVQVSSGYPLYNATECPFVEQGFDCLGNGRSDLDFLTWRWKPKGCDIPDFDVAAVLEMLRSKRVVFVGDSMSRTQWESLICMLMTGVEDKMNVYEVNQNQITKRIRFLGVRFSDFNFTIEFFRSVYLVQQGQVPRHAPKRVKSTLLLDKLDDISHQWINSDILIFNTGHWWVPSKLFHMGCYFQVGSSLKLGMSIPSAYRIALETWTSWIEREIDKNRTRVFFRTFEPSHWSDQTRRTCNVTQYPTFETNATDQNSFSDTVMEVVKNVDFPINILHVTSMSAPRSDAHVGNWNDNPLSQDCSHWCLPGVPDMWNEIILSQIFPEYDIPLWANGTAQLDD >CAK8576481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482868702:482868908:-1 gene:gene-LATHSAT_LOCUS28664 transcript:rna-LATHSAT_LOCUS28664 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQRRGWPKSKVPPSPENHTKPEVQGEKPSTKTESDDDVFNNNEKSKIEQLETLKEDVKSMPKERKL >CAK8578002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601449465:601450086:-1 gene:gene-LATHSAT_LOCUS30053 transcript:rna-LATHSAT_LOCUS30053 gene_biotype:protein_coding transcript_biotype:protein_coding MCCARLSQMMMVTMFEHVGCRFCGISLEGGISISSVKSGATSRKFKSVNILFSEFLRNFSNLEILSNTSFIGHIIAFSRIIWEKIFSAIIRCHLFNQWLLVHLLCKLIIIQIKITSMT >CAK8571505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432805750:432809875:1 gene:gene-LATHSAT_LOCUS24165 transcript:rna-LATHSAT_LOCUS24165 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDDDFPILRDDNHHSHHLHQTFAPHHHHHHHHHFSTASVQSKPVADDDTDDYGNPFDDDAGSEKRKDRDEIGDGTTSYGFNKRPKPASSGNGNGGVGVGLAGAEYRKDREEWSDTAIVCLLEAYTEKFTQLNRGNLRGRDWEEVASVVSERCENQSKSVEQCKNKVDNLKKRYKLERHRMNNGCISASHWPWYKQMENIVGNSLPSKFADEDKAIVPASNSPRQSKRYGAATSSSGGQVNSLKSKVLSNLRWRRVVLKISGSALTGSDTCNIDPKVAMLVSSEVAIASRLGVEIAIVVGGRNFFCGDAWVTATGLERCTAYQVGMMATVMNSLLLQSTLEKMGVQTRVQTSVSMQEFAEPYNRQRAIRHLEKGRVVIFGGIGFGAGNPLFSTDIAAALRASEVNAQAVLKATNLDGLYDCNSRDSNFTFEHISFRELVSRGVTSMDMSALTFCEENAIPVVVFNLLAPGNISKALCGEQVGTLIDQTGAIS >CAK8541829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:410161988:410162698:1 gene:gene-LATHSAT_LOCUS10723 transcript:rna-LATHSAT_LOCUS10723 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRRDRNGSLIATPTPTPPPQQPFVITVVDHSLTYDTHNIYDITFDSNLTIQTLLTSTPSIVDTWFLETLRLPSPSPLLIGLDIEWRPNFQRGQSNPAAVLQLCINNRCLVFQILHSPFIPDSLLTFLANPNNRFVGVGIGADVKKLLEDYNINVVNFVDLRNLAADVLNDREMLRTGIKSLARHVIGKSVQKPKRVSMSRWDNVWLNAEQVKYATVDAFVSFEIGRRLYSNQD >CAK8564326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668320862:668321290:1 gene:gene-LATHSAT_LOCUS17641 transcript:rna-LATHSAT_LOCUS17641 gene_biotype:protein_coding transcript_biotype:protein_coding MWMACHRRLAIRGRLKKLGLTTDDNCKFCNKEETIDHLLFDCLPFKNCWQQILACLGIQRFPCEWRDELEWLVTQCKGKGWRKCILRSAVAETIYEVWKYHNNSVFGNNVNLEIRDLVISTLANREWVNSRMRHHIATLLIE >CAK8577379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559063316:559064188:-1 gene:gene-LATHSAT_LOCUS29493 transcript:rna-LATHSAT_LOCUS29493 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKDRNLRKKVVNAGYALNQPSFMYYRKEIRLLSAEALRWVDSIPGEKWTRAFDGGCRWGHMTTNLVESLNDVFKGTRNLPIIALVRAIYYKLGSLFAARGKKWSAVLESGQIFSESCMKYMKDETSKAASHQVRPFDRHDYNFIVNETMDHNEGRPMGHYSVEIHKNWCDYGKFQTFRIPCSHVIAACSSARHNPFLQLSEVYKVVNLFGIYNNSFPVVASEEYWPTYHGDTIYHNENMRRNKKCRLKSTRITTEMDTNEKMERLCEICRLPGHTRNNCPNVGTSSR >CAK8563865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636231088:636233530:1 gene:gene-LATHSAT_LOCUS17230 transcript:rna-LATHSAT_LOCUS17230 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTLFLTYFYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFLLVRVFKVVSPVKMSFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLVAVLCGIDKARCDVFFNMLLVSVGVVISSYGEIHFNIVGTLYQVTGIFAEAFRLVLTQVLLQKKGLSLNPITSLYYIAPCSFVFLFVPWYLLEKPMIEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYGIALCGVVMYNYIKVRDVRASQLTAESIPDRITKDWKLEKKSSDIYVPDNGGNNEGGSGGNGSASDTNINVETPLISSSRLSHIGRTQLTSHATGK >CAK8575696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:258022332:258022661:-1 gene:gene-LATHSAT_LOCUS27936 transcript:rna-LATHSAT_LOCUS27936 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQNFTPIEVMQENLAVSNADESNVVASNGPNVEINSTPNEIESPISCEVDTEGLKRKLTSEVWNHFKRQKIDGKLETICNYCGAKLLGVSKQGTSHLKSHIKSCPR >CAK8534968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798150231:798150678:-1 gene:gene-LATHSAT_LOCUS4458 transcript:rna-LATHSAT_LOCUS4458 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLGNFTKTRTVQVQNSMLSLIRSSFKTARGGSRKSELSKDNGGQINSINGTKEETKGLTNNNKYSVSE >CAK8532081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200650851:200651332:-1 gene:gene-LATHSAT_LOCUS1818 transcript:rna-LATHSAT_LOCUS1818 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCSANSTSAITMLLLCMFAFHTKMTHAVRFTVGDDKGWSFGVQSWPTGKNFKAGDTLVFNYVPPMHNVVKVNETNFNLCVAQPLSGSVILTSGADNITLVKGTNFFLCGVGTHCGAGMKIAVNAN >CAK8578285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:617184700:617191739:-1 gene:gene-LATHSAT_LOCUS30310 transcript:rna-LATHSAT_LOCUS30310 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSLIVPSVQEIAQQRLTTVPDRYIRPHHDRPIISTITPLPQVPIIDLTKLLSQDLNFKQPELHNLHSACKEWGFFQLINHGVSSSLMENVKNGAKEFYNLPYEEKRKFGQKEGEVEGYGQAFVISEEQKLDWADMFFMVTLPPQLRKPHLFPKLPLPFRDHLETYATELKNLAMQVIDFMANALKVEPKEIRELFGESAHQSTRINYYPPCPQPELVTGLSSHSDGGGLTILLQGNDVEGLQIKKDGLWIPVKPLPNAFIINLGDMLEMITNGIYGSIDHRATVNSKMERFSIATFYSPSMEGMVYPAPTLVTPNTPAVFKTISVKDFYIGYLGRELRGKSFLDSLRIQNEDVK >CAK8530693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41236992:41238789:1 gene:gene-LATHSAT_LOCUS538 transcript:rna-LATHSAT_LOCUS538 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSYISNRFLIFIIFTACLCIYFAIQMIKTNENKDIPISEYSSILGPKLDKLPNQDDVIQLFQLWKKDHGRVYSDIKEMAMKFDTFVSNLKYIVETNAKRYSPNSAFLGLTNFADLSNKEYKERYMTLKTGAMDIWNDDDIQDVTCTDPPATLDWRSNGAVTSVKDQRGCGGCWAFSTVASIEGIVAIKTGTLISLSEQELLDCVPDGGCVEGGYVPDGFKWVEGNQGVASRADYPYTASKGDCRNTTIQNSENSNIDSDQAVTRSDGALLCAVANQPISISVYADSPTFKNYKGGIFRGEDCPADPKNVTHGMVIVGYNSLDGEDYWIVKNSHGTTWGIEGYMWIKRDYTKQYGVCGINGHAFFPVKK >CAK8539125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504240200:504240666:1 gene:gene-LATHSAT_LOCUS8251 transcript:rna-LATHSAT_LOCUS8251 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPQKTWHRIQQGQSTKRSSVQNKSQRTSLRRLLFVDFSSSTLSQFLRFCLNQVCESVSPFIFLFSTEITVQEPRLTSAES >CAK8577035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532863197:532864468:-1 gene:gene-LATHSAT_LOCUS29178 transcript:rna-LATHSAT_LOCUS29178 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSHSSSTCILLFLFFLYFSCFFNNLNAKHSKTNNHKHLHKHNNNHNPPLNIRLHRAFLALQAWKHVIYSDPHNTTSNWVGPSVCNYTGIYCAPSLDDPKTKVVAGIDLNHADIAGFLPDELAFLCDLSILHLNSNRFCGIVPETFANLTHLSELDLSNNRFVGPFPSVVLSLPSLVYLDLRYNEFEGSLPPQLFDKPFDAFFLNNNRFTSSIPRNLGKSKASVMVFANNKFGGCLPESIVNFADTLEELLLINTSISGCLPIQVGFLYKLRVLDVSFNNIVGPIPYSLSGLSHLEQLNIGHNMMSGIVPMGVCALPNLMNFTFSYNFFCEEEGICKNLTSKKIVYDDRRNCLPNKALQRSEKECRAKLEQPPVDCFEVCCVESGFGKNVSVGSVAIPPSAIPVAAMPVSAPVLAPRYP >CAK8574440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681878315:681889460:1 gene:gene-LATHSAT_LOCUS26787 transcript:rna-LATHSAT_LOCUS26787 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGVILQATLSPNPDERKAAELRLDQIQYAPHHLPTLLQIIVHANSDIAVRQVAAIHFKNFIAKNWSPPSPSDSQHTISIADKDLVRNHILLFLPQLPSLLRVQLGECLKTILHSDYPEQCPNLLDWIKHNLQDQQQVYSALFVLRILSIKYEFKSDDERTPAYHIVEETFPHLLNIFNTLVQIANPSIEVADLIKLICKIFWSSIYLELPKLLFDQNVFNAWMVLFLNVLERPVPSEGQPVDPDLRKSWGWWKVKKWTIHILNRLYTRFGDLKPRNPENKAFAQMFHKHYAGKILDCHLNLLNVIRVGGYLPDRVINLVLQYLSNSISKNSMYTVMQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPKTASVDFVSELLRKRREDNFHKFVQFIVEILKRYDEAPVEYKSYRQKDGALLAIGTLCDKLKQTEPYKSELERMLVQHVFPEFVSPVGHLRAKAAWVAGQYAHISFSDQNNFRKALQCVVCGMRDPELPVRIDSVFALRSFIEACKDLDEIRPLLPPLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNSADADDEDDDPSSLAAVGCLRAISTILESVSSLPQIFVQIEPTLLPIMRSMLTTDGQEVFEEILEIASYMTFFSPTISLDLWSLWPIIMEALADWAIDFFPNIMVPLDNYISRGTVHFITCKEPDYQQSLWNMISSFMADKNMEDSDIEPAPKLIEVVFLNCRGLVDHWVEPYLRITVERLHRTGKSNLKCLLMQVIADGLYYNAALTLSILQKLGVASEIFNLWFHMLQEVKKSGVRANFKREHGKKVCCLGLTSLLVLPADQVPGEVLGRVFRATLDLLVAYKEQVAEAAKEEEEEEDDDDMDGFQTDDEVEDDSGSDREMGADAEDGDEAASSNLRKLADQAKSFRPNDDDSDDDFSDDEDLQSPINEVDPFIFFVDTMKVMQSSDPIKFQNLTQTLEFSYQALASGVAQHAEMRRSEIEKEKSEKLSAIPDS >CAK8563829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633363726:633364075:-1 gene:gene-LATHSAT_LOCUS17199 transcript:rna-LATHSAT_LOCUS17199 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLGTQPLDKWMTLPDMGYMIAKQYNVILVSLGYPSLSLFPMMTSHSPNVPIYCIGFVNQNHWVQVNMNEGFPLPPVTLYWKKYRTSDATS >CAK8542084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471278654:471279451:-1 gene:gene-LATHSAT_LOCUS10955 transcript:rna-LATHSAT_LOCUS10955 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSISFIVSEVMFLFAFFRASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >CAK8574360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677064335:677066587:1 gene:gene-LATHSAT_LOCUS26714 transcript:rna-LATHSAT_LOCUS26714 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIVAENVTDSYLDFMEMDNLTHRSYADMPTLGQLLKHVGDVRKEATGDGSETPVHHIIDVGTESRSLPFVLAFNNLTYSVKVRHKMSFSDVFSCCRRDSPVAEASGEPVFTRTRTKTLLNDISGEARDGEIMAFLGASGSGKSTLIDALANRIAKGKLKGSVKLNGESVESRLLKVISAYVMQDDLLFPMLTVEETLTFAAEFRLPRSLSKSKKNARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRMIFLSRGQTVYSGSPSQLPSYFAEFGHPIPEGDNRTEFALDLIRELEGSPGGTKSLVEFNKSWQSMTKLHHVEDLPQNSTSSLSLKEAISASISKGKLVSGATATKNSNTKSSSSAASMVPTYANPFWIELLTLSKRSITNSRRMPELFGIRLGAVMVTGFILATMFWQLDNTPKGVQERLGFFAFAMSTTFYTTADALPVFIQERYIFMRETSHNAYRRWSYVISHALVALPALLFLSLGFAALTFWAVGLDGGFSGFLFYFVIIFASFWAGNSFVSFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPSYWIWFHYMSLVKYPYEAVLQNEFSDAVKCFVRGVQIFDNTPLSSVPDALKLKLLENMSQTLGMNITASTCLTTGTDLLKQNGVLDLSKWNCLWVTVAWGFFFRILFYVALLVGSKNKRS >CAK8536863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29903632:29904508:1 gene:gene-LATHSAT_LOCUS6190 transcript:rna-LATHSAT_LOCUS6190 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPYSSSSSTSSSNNTPQEKHEVFISFRSEDTRKTFTSHLNGALKRLDIKTYIDNNLKSGDEIPNSLVKAIEEAKLSIVVFSKNYAESKWCLEELMKILKCKETRGQVVVPVFYDTDPSNVRNQRGSYAEAFAKHERKLEETKKVQEWRNGLAEAANFSGWDCNVNRTELELVEEIAMDVLEKVDRVYVGDLDHEIDKLEKLAKLQNEFYHRIITVDNLNKYNATVKRITELKMERSLRLLRLTPDLLSHLEGFSNNNSWSPF >CAK8537410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:344849604:344849996:-1 gene:gene-LATHSAT_LOCUS6703 transcript:rna-LATHSAT_LOCUS6703 gene_biotype:protein_coding transcript_biotype:protein_coding MENHREDEIEDNMSMSPPSVGSMKIAGSNGFGHSMEFMSQAYLHNRYPEIDIQVEDSTFNQDPPLLVYLKFEDVEFKVRNCQVTSKNPVKTMVSKVATQNNVE >CAK8576020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385599320:385600681:-1 gene:gene-LATHSAT_LOCUS28239 transcript:rna-LATHSAT_LOCUS28239 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRTKAINHNLLCRYLYSKNNSQTLFHTHSHSHHHPQNLRNRKHIPFITEIKHAQTSEQALSLFHHYNQLGYKHYYPSYAALLYKLARSRTFQAVETILQHMKDNDIQCNETLFIALFQHYGPVKAIELFRSMNEFNCVRTLQSFNSLLNLLVDNCMFSEANDAFDRCYEMGFRPNTVTFNIMIKGWLVRGEWEKAWEVFDEMLQKKVQPSVVTYNSFIGFLSRKGDLDKATALVEDMRRKGKRANGVTYALLMEGLCCLGKYEEAKKLMFDMAYRGCKPQVVNFSVLMNDLGKRGKINEAMVLLREMRKRRLKPDVVTYNVFVNYLCKEGKTAEAYKVLTEMQIGGCHPNAATYRMMLDGLCRNGDFEVGLNVLNAMLASRHCPRSDTFNCLVVGLLKSGNFDGGCFVLEEMMKRKVDFGLESWETVIKYACSEDDNGSSMLMNILSSLSI >CAK8566829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466001467:466002324:-1 gene:gene-LATHSAT_LOCUS19934 transcript:rna-LATHSAT_LOCUS19934 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELSLRGGMARSINNNSEISHQIADSSSQLASDSHNIKETEERQARELKAGLHPLKRKFVFWYARRVPGIRNQSYEDNTKKIVEFNTVEGLCVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNDGKWIIQFKKAVSGCFWEDLVLALVGDQLDYGDNICGAVLSIRFNKDVVSVWNHNASDNQAVMALRDSIKRHLKLPHSYVMEYKSHDTSLRDNSSYRNTWSRGKIMGNSKEHHKCNNKDSRPESNLQQQFTVTRKMRVKLRGINHSINKSKKGSV >CAK8534027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681984518:681988630:-1 gene:gene-LATHSAT_LOCUS3603 transcript:rna-LATHSAT_LOCUS3603 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLILSSPATLLTANSLKLSPFSYLLHHRYNPNSSSISSSSLCSSTSQQRSIDISKFRQSFSNRMDMAGIKPHHRVALGVSGGPDSIALCVLTAGWKTAAVNSVGTDHSGFIPGLLAIIVDHGLRAESKDEANVVRNRVSEMGIRCEIVSCDWPSGKPKQGQLQKAAREMRYQVFHDVCAKHQIGVLLIAHHADDQAELFILRLSRSSGVLGLAGTPFTSQIFPMHTHSYGEVPTNQGILLVRPLLDFSKEDMYKICQGSTEEWVEDPTNQSMLFTRNRIRMALNYLSSLAFKHELQRVISACRKTRAYVDQVCHSLIRHAVVINDHGYALIDLQILCPSKIEDICLMKFLSLVLQFISQRQRQIRGSALKLLMDYIGTFPCKNSITAAGCYLCPDPGSRGSRVLVCCSVDFALPLKMEFFETRSSGQREFCVINESRKIIEDGKSYANHLVLDSSDIHFLDVNNESVLDEAKRLNIISESTYSSIRGLQKQETDRFRSKVGAISDFTSKHEVEFVTPFGKSFQPGKSCYFMDRFVLIWKLNDKMDTDVVSGLVDYDMDFSGDSQNFCVVDHDQVLEVRHMIESDWLYLAELSKYSLSENFTEHEVMSANGNVKTKEKTAPYLHYAGLSAKKALVLLKSIPVAARKSLPVLINQDGKLISIPSVNFRQCPCLMVHVEFKPKIPLGGGHKSFI >CAK8536236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920292174:920296518:-1 gene:gene-LATHSAT_LOCUS5624 transcript:rna-LATHSAT_LOCUS5624 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAQQQRDNSIERHIDSSGKYVRYTAEQIEALEKVYVECSKPSSLRRQQLIRECPVLGNIEPKQIKVWFQNRRCREKQRKEASQLQTVNRKLAAMNKLLTEENERLQKQVSELVNENGFMRQQLQPAVSAAPNADGNGNDSAAATPRSTMRDANSPAGFQSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSVGIFAISQGCNGVAARACGLVSLEPSKIVEILKDRSTWFRDCRSSEMFTMFSTGNGGTIELLYTQTYSPMTLSTARDFWTLRYTTNLENGSIVVCERSLSGSGAGPNAAAASQFVRAEMLPSGYLVRPCEGGGSIIHIVDHLDLQAWSVPEVLRPYYESSKMVAQRMTISALRYIKQIAQETSGEVVYSMGRQPAVLRTFSQRLIRGFNDAVNGFNDDGWSVVHCDGDGGVTISVNSIKNLSSTSNPASSLSLLGGIVCAKASMLLQNTTPAVLVRFLREHRSEWADFSVDAFSAASLKAGTYGYPGMRSTRFTGNQAIMPLGQTIEHEEMLEIIRLEGHALGQEDSFVSRDVHLLQLCNGIDENAVGACSELIFAPIDDMFPEDAPLVPSGFRIALLNSQPGDKNNAMTANRTLDLTSGLEIGTTTTHATGDVSCPNNRCVLTVAFQFPFESGLQDNVAAMASQYVRRVISAVQAVAIAISPSNTNPSVGAKLSPGSPEALTLAQWISKSYRNCFATELLRSESLTGDMVLKHLWHHPDAILCCSLKTVPVFIFANQAGLDMLETTLVALQDISLDKIFDDPARKNLIGYFAKLMQQGFACMPAGICMSTMGRHASYDQAVAWKVLAEDNSVHCLAFSFMNWSFI >CAK8563993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644423587:644424132:-1 gene:gene-LATHSAT_LOCUS17343 transcript:rna-LATHSAT_LOCUS17343 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLRAAELTTLLECRITNFYTDFQVDEIGRVVSVEDGVARVYGLNEIQAGELVEFSSGVKGIALNLENENVRIVVFGSDTSIKEGYLVKRTGSIVDVPAGKAMLGRVVDTLGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTRLKAVDSLVPIGRGQRELIIGCRLRENKVSA >CAK8536586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:305584:312535:1 gene:gene-LATHSAT_LOCUS5941 transcript:rna-LATHSAT_LOCUS5941 gene_biotype:protein_coding transcript_biotype:protein_coding MERAALVRSLSFTSTRYLSRCSLLFPFTLTPSRFSPPPPPPFLRRHSTSTRLRLTSSYFPNRNRSHFSTRASLVSSSDIGGQVVDDEVARRLGFEKISDEFIPECKSKAVLFRHVKTGAQVMSVSNQDENKVFGIVFRTPPNDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDVQTFQQEGWHYELNHPSEDITYKGVVFNEMKGVYSQPDNILGRASQQALFPDNTYGVDSGGDPQVIPRLSFEEFKEFHRKYYHPSNSRIWFYGDDDPNERLRILSEYLDLFDASSAPNESKIEQQKLFSKPVRIVETYPAGEESDLKKQMVCLNWLLSDKPLDLETELALGFLNHLLLGTPASPLRKSLLESGLGDAIVGGGLEDELLQPQFSIGMKGVSEDNIHKVEELIVSSLKKLVEEGFDTDAIEASMNTIEFSLRENNTGSFPRGLSLMLQSIGKWIYDMNPLEPLKYEKPLQDLKTKIAKEGSKSVFSPLIEKFILNNPHKVTVQMQPDPEKAARDEAAEKKILQEVKASMTTEDLAELTRATHELKLKQETPDPPEALKTVPSLTLQDIPKEPIHVPTEVGDINGVKVLQHDLFTNDVLYTEIVFDMSSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSVRGKEDPCSHMIVRGKAMGGRVEDLYDLINSVIQDVQFTDQQRFKQFVSQSRARMENRLRGSGHGIAAARMDAKLNAAGWMSEKMGGLSYLEFLQTLEKRVDQDWADISSSLEEIRKTVFSKQGCLINITADGKNLVNTDKFVSKFVDMLPTSSPIATTNIWNVRLPLTNEAIVIPTQVNYVGKATNVYEAGYKLHGSAYVISKYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLEVYDGTGDFLRELEIDNDTLTKSIIGTIGDVDSYQLPDAKGYSSLLRYLLGITEEERQRRREEILSTSLENFKEFIGAMEAVKDKGVVVAVASPDDVQAANKELSNFFQINKAL >CAK8579544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706513290:706515603:1 gene:gene-LATHSAT_LOCUS31482 transcript:rna-LATHSAT_LOCUS31482 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILKAWNWYQNSLSLHPVKTQVTTSGVLWAVGDITAQYITHTAAAKKQLSLTLTEADTKFVIDWRRVAVTSLFGIGFVGPVGHFWYEGLDKFINNKLQLMPKTAQSVATKVAMDGLIFGPIHLFVFFSYMGLSAGKTIPEVKEDLKRNYFPALVLEGGVWPIVQIFNFRYVPVKYQLLYVNLFCLLDSAFLSWLEQQKDAPWKQWFQPFHSSNGKGTQ >CAK8574007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653882709:653883821:-1 gene:gene-LATHSAT_LOCUS26399 transcript:rna-LATHSAT_LOCUS26399 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRYVGSGLFGRPLHSVLGGGKIADILLWKDKYLSAAIVAGFSMIWFLFEVVEYNLVTLLCHILIALMLILFVWHNAAGLITWRLPDIYDFEISDSTTRFIHNKLNMFLRIFFDISTGKDLRFFFVTIAGLWIMSTIGTFFTTVNLLYTIFVCLVTLPIMYERYEEEVDYLASKGSQDVKRLFSKLDSTVLNRIPRGPVKEKKHK >CAK8532840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:529144247:529144639:1 gene:gene-LATHSAT_LOCUS2504 transcript:rna-LATHSAT_LOCUS2504 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSFLSPTQRYAAAALFGLSLHESQVNQTRILPLPASDDSISNTYRISSSSFSSIDSVSNDPDLWVHHHSGLLQPVFKFLDIDSSAWYGLEETAGSSSATHHVGPYMRLLSQEFDEGSAESSQRLD >CAK8562591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:513484645:513485559:1 gene:gene-LATHSAT_LOCUS16077 transcript:rna-LATHSAT_LOCUS16077 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGSASRFMQNLHRQDLHLQQQQHQQHHHDSEEQESNRVGGGLGGGAAPFSSNDEDDRSQGLELGSAAGPGDVVGRRPRGRPPGSKNKAKPPVIITRESANTLRAHILEVASGSDVFECVTNYARRRQRGICVLSGTGTVTNVSLRQPAAAGGAVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELIAAGPVIVIAASFTNVAYERLPLDDEEQVQMQAVAGGGGSQGSGSGGAGGVGGGNTSPFPDPSSGLPFFNLPLNMQNVQLPVDGGGWAGNNSASRQPF >CAK8566807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464503085:464503294:1 gene:gene-LATHSAT_LOCUS19913 transcript:rna-LATHSAT_LOCUS19913 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGTSWADQWGEGPDPINGSNQSKKKSNNVLGKTKTVASTGVKKLKEGTSVGLQWIKTKYNKTTNKH >CAK8530249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10183962:10185928:-1 gene:gene-LATHSAT_LOCUS130 transcript:rna-LATHSAT_LOCUS130 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIGNLVHGLKPALMMLMVQMAFASVNVLYKLAINNGMSVRVLTAYRLIFAAATTIPLAIFFERKNRPKLTWRVVFMSFFCGLFGGSLFQNLYFESLALISATFASAVYNLIPAVTFILAVSFGYERLNFQRAAGKAKVLGTITGIGGAMMLTFLKGVEINIWTFHINLLHKGKNGTINDDSGSKLLGIFFGLGSCFCFALWLIIQAKMSKEYQGHYSSSALMNLMGATQATIFALCMEKDWSQWRLGWSIRLLTAAYSGVVASGLMIVVIAWCVGMRGPLYASVFNPLMLVLVAIAGSLMLDENLYLGSVIGAVLIMVGLYMVLWGKSKEMKKVTHLEITSETEEIEIVVLSNTTGDGNSKIESNIVDKNDEHEAENNGNEELVSSLQVKS >CAK8533263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597744143:597749376:-1 gene:gene-LATHSAT_LOCUS2906 transcript:rna-LATHSAT_LOCUS2906 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGATVCSGHRFQCLPLLPHSTRPQLTNSTRSRLVSVNPQSLSCFHTKLFFNSNRFSVTLTRAADSSTTTTSQSSDVPNSSITLVPDDEITITKISFGTIGLSLGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAFRLQEKCATPILKQVKSDVTRFRYGDEQHLEEALKRIFQYGQGGGIPRRSAPVLQIIREEVTQDGKYSLVLVFEAKSLKLSDFEQRQAKFTSFFGPGITAEVGKGENDFYEVRLISNTDPNATSS >CAK8560871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51653718:51660393:-1 gene:gene-LATHSAT_LOCUS14511 transcript:rna-LATHSAT_LOCUS14511 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFGVVSLSKLARSRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMNSYRSLEKNTQGQSEKVVSLAKWRILNRLHDRNEILYYRVLIDNIKEFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAHEVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQMKWAFETLERYKKRFCMFNDDIQGTAGVALAGLLGTVRSQGRPLSDFVNQKIVVVGAGSAGLGVLKMAIEAVARISGCSEIAAKSQFFLIDKNGLVTTERKNLDPAAAAFAKNPSDIDGLTEGASIIEVVKTVKPHVLLGLSGVGGIFNTEVLKAMKESVSTKPAIFAMSNPTLNAECTAVDAFNHVGEHIVFASGSPFENVDFGDGKVGHVNQANNMYLFPGIGLGTLLSGAHLITDGMLQAAAECLASYMAEEDVLKGILYPSVNSIRDVTAEVGAAVLRAAVQEDLAEGHGEVGARELTHMSKEETVEYVRHNMWYPVYAPLVHER >CAK8579497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704131088:704133376:1 gene:gene-LATHSAT_LOCUS31441 transcript:rna-LATHSAT_LOCUS31441 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDGEWSKLSIYKAARTIKKRDNSLYNALRSIYQDSVFVSEISQLWPQLPLLANLRCGLWYSSNFHSTCYFKSTDGHTNNCSFSTSRLNLHVAQLAAQKGGCMVVDSTRRGKRFPDSMSKTIPIWTCVLNRAISQFRTDSNIHENDAALPQEDCNGCGEIARDWDCSLHLPLWVPQTEKASIEEHLEEWTKQLKASGADIASLAVSVKKPLRPLWISQRTVIWLNEVPHHDSWDFTPIILVSASSSSNGVSQHNRTTSEFSWNYIPGAGDDEESWSRGLTPPLFWNHVYDLINSGPEMCNQKVADIVEKSRVRRAYRGENAPQIRVKSLSHEEPSLASDISNIEVDANESCDDFEVSWLGSTNLAVGASQFAIDAADVDCILNCDSESISVSLPSTDAYLHLPMVSSKFDRFSIFNNLSKAVSFAKFNLSQGKRLLVCCNNGEDISVCVCLAILMSLFDEKGTFDDGESFNIIHVSKWDMRRRLVFVCKFATNARPSRGNLRQVFNFLMGGKCILQPQEDDGSDE >CAK8567299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502313069:502315606:1 gene:gene-LATHSAT_LOCUS20364 transcript:rna-LATHSAT_LOCUS20364 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8579210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683458997:683463600:-1 gene:gene-LATHSAT_LOCUS31174 transcript:rna-LATHSAT_LOCUS31174 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWWGKPSSKEAKNKKTNRESIFDMIQRKLKNVSEAKSNYKSGGSRGHHNCSISKKGSRSFGPSTRSSSSSPTVSRCLSFADHHYSRPNPVPGSPIHTASNASSGIILTSKLERATEDPSSRPSIYFPLPEPGYLTMKIETEPPLTDVEGDIVTASVSCDSSIDSGDSSDSHLVSPLASDCENRNRATINTSKSMVNRDQSQTTIQKNLRASSKPAHKLRNNKPLSSSPKGVPLHLQIGRAGGFCSAPDSSMSSPRSPMRAFGPEQMLNSGLWTGKPYPDIASGHCFSPGSGHNSSNNSVGGDPSAFRAHNKCSAECSPIPSPRMTSPGPSSRIQSSNVSPLHPKAGGAAAELPTRRPDVNKQQSHRLPLPPIKVTKPCPFSPTYSVSSTPSAPRSPARPEISTSPGSRWTKGHLLGRGTFGHVYLGFSRESGEMCAMKEVTLFSDDPKSRECAQQLAQEIALLSQLRHPNIVQYYGSETVDDRLYIYLEYVSGGSIYKLLQEYGQLGEIAIRNYTRQILSGLAYLHAKNTVHRDIKGANILVDPNGHIKLADFGMAKHVSGQSLPFSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSRELPKIPDHLSEEGKSFVRLCLQRDPVDRPSACQLLEHPFVKSAFPERLFMTADPSESPSSVINAMRSLAIGSPKHTLCLDSEEVAGIHGIPPARNFRTGYESSYAHKGRIVSCPVSPSDGLRVPPRSMNLNGRISPSPTPNPHAAAASGPSTPQICRCGANPYYQTKQPIFPHEALGMTHKSPTGNQSNGRTAGQCQCSNHAQLQRNMKPPIQSNEGTACQCQCSKHGQLQRNMKPTIQSNGGAACLCQCSKHGQFQRNAQPRQLCCRQDIISPENNALANHSRRAVEGVPRESRRAVEGVPRESRNGRSSNCVSRQQRARPNTSRKSSAPVVGRTNGL >CAK8571750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:467150280:467161387:-1 gene:gene-LATHSAT_LOCUS24384 transcript:rna-LATHSAT_LOCUS24384 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKTKVKKRDVDGNNDGNGDLTSPVDEAALSDVTKQKVAAAKQYIENHYKEQMKNLQERKERRTVLEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRAKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVFAMKKLKKSEMLRRGQVEHVKAERNVLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEEKDFSMGQNGNGSTQNEERSAPKRTQQEQLQNWQKNRRTLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMYEMLVGYPPFYSDDPMTTCRKIVNWKSHLKFPEEANLSPEAKDLISRLLCNVNQRLGSKGADEIKAHRFFKGVEWDTLYQIEAAFIPEVNDELDTQNFEKFDESDSQVRPSSKTGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMAELKKKPSKSKRPSIKSLFDSDSETTEVSDKSQSDRPAQGSFLNLLPPRLGASHSERNLSHKS >CAK8560612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28478377:28480662:1 gene:gene-LATHSAT_LOCUS14273 transcript:rna-LATHSAT_LOCUS14273 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIYLYLLFFYITSLHFVIFTFAQSDNYIIHMDSSVMPKVFSNQHTWYHSTLSQVTTTNNNLNSASSKILYTYTNVMNGFSANLSPQDHESLKNSPGYVSSIRDLPLKLDTTHTPQFLGLNPYKGAWPASEYGKDVIVGVIDTGVWPESESFKDDGMTEIPSKWKGKLCQFDNSNHSSFCNKKLIGARFFNKGFLAKYSNLSKTIGNDSRDTAGHGTHTSTTAAGSKVDGASFFGYANGTARGIASLSRVAIYKTVWGAEGNAMSSDIIASIDAALSDGVDVLSMSIGYNNVSLYEDAVAIATFAAMEKGVFVSTSAGNSGPSSQTLHNGVPWVINVAASTSDRKFIGNLTLGNGVSLTGLSSYVGNFSATNIPVVFMDTCENPEELIKIKHKIVVCEDKKGFLSSQFYNAYSTNVVGGVFISNASQQDLSQFLWYPLPSIVINQKDGKIVKDYILRNSNSSKIQNMSFKKTAFDVKPSPRVDSYSSRGPSNSCPYVLKPDITAPGTSILAAWPTNIPVLNFGTKVYNNFKFLSGTSMACPHVAGVAALLKGAHPDWSPAAIRSAMMTTSDILDNTKKPIKEIANDNKDATPLALGAGHINPNRALDPGLVYDAGIQDYVNLLCALNFTQQNIATITRSPFNDCSKPSLDLNYPSFIAFIKAGNSSVRTTHNFRRTVTSVDEGQSTYFASITPIKGFNISVVPNKLVFNKKNRKISFKLKIEGQKVTKNNEVSFGYLTWNDGKHVVRSPIVVTTPNFNL >CAK8578003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601473517:601474140:-1 gene:gene-LATHSAT_LOCUS30054 transcript:rna-LATHSAT_LOCUS30054 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTQHQPSTLTSGVVATYVAATEIHVVGIPPLGKKRKPNANGPRKSSPAWDHFIKLPNETEPVVACKHCHKKYLCDPKSHGTSNMLAHTKICTKRPQNDPTQTALSFANGEGGGLVAASQRFNLAACRKAIALFVILDEHSFRVVEGEGFKLLCKQLQPQLTIPSRRTVARDCFQLFVDEKVRLKGYFKYDCNRVALTTDCWTSI >CAK8543473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610264686:610266836:1 gene:gene-LATHSAT_LOCUS12229 transcript:rna-LATHSAT_LOCUS12229 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLVTEREYEDLQKMMGNAGLSEMDSIGDHFTWSNKQAAGPIYSRNDRVLGNTDWFLDNMETLLKILPPNISDHALLYLEYKQEQRKPSKHFKFSNCLTELPGYDILIKKNWDGHIRGSPMYVLWHKLKRLKHELKHLSKPLSDINNKLMSVRANLKETQGKLSEDRMNNTLIEETKDLTEEVISLNELEWKILQKRAKIDWIRKGDGNNQYFCASIKGRHHSNCLTNLRKSDGRQITTKTNIEEEVINFYRNLMGKDINNISHIDIEAMRMGRQLNIEQREYITRTISEADFTKALRGIGDLKAPGLDGYGAKFFKASWTTIKTDVIAAVEEYFETGKIYKAFNSVVVSLIPKGHNACEIKDYRPIVVCTTFYKIISKILTDRLGSVLPSVVSHNQEAFVPGQNIHNHIMLATELLKGYTRKWGTPRIMLQIDLQKAYDMVNWSALECIMKEMGFPNKFIQWTMLGITTVSYIFNIMGEYTDILQAKRGIRQGDPLSPMFFVLIMEYMNRLLVKMQRDPNFNYHAKCEKLKITNLTFTDDVLLFCRGDDISMQMILNSFRDFSNSTGLIMNPNKCKIYFGGLDNESRKTLKELPGFQEGTLPFKYLGIPLSSKKLTINHFMPLMDKIVARIHHWSSRLLSYAGRIQLVKSISAAMLQYWMQYLPMPKSVIRKIDTISQSFIWTGKDTISIKCPVAWKRTCCPTAQGGMNLLNL >CAK8565301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:110953196:110954395:-1 gene:gene-LATHSAT_LOCUS18525 transcript:rna-LATHSAT_LOCUS18525 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQAAFREELDSIKGKIDQILEAIIAARREEEQCEAAAIVNNNGQGQGSTAIPLVPIPNPHGMPLNFNNAAEGSTSQPIPAPGVTVGAIPKAQPTVMQIPAPHTEDTLMDHYDDVQNYHAAIPISSPVAAQDSEAMKMCRDLAEKLQAMEGHSSNPLSALEMCLVSDVVIPPKFKVPEFSKYKGLSCPNIHLKMYCRKMVAYARDEKLMIHCFQDSLSGASLEWYMQLERNSVRTWAELADAFVKQYKYNTDLAPNRTQLQSMTQKDNESFKEYAQRWRELAARVHPPLIDRELIDIFMGTLQGQYYEKLIGSVSAGFSDLVIVGERIEERLKSGKIPGGSNSQANAKKPFNGYKKKEGETNAVSLQKGQASQQAPAPMPYQVPYYQYPYVAAA >CAK8560451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19950007:19950639:1 gene:gene-LATHSAT_LOCUS14120 transcript:rna-LATHSAT_LOCUS14120 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIFFVYAFLSSYTFHAVSSATDFCVADLSLPKNPLGHYPCKAETNVTVNDFVFSGLVEGKPVAPFNAGLTAATVENLPGLNGLDITAARVDIGVNGTVPVHSHPDASELLIIFEGEVTVGFITPTKAYVKTLKPSDVIVIPKGLLHFVINSGAGKAVTFGALSSSSPGVHIYDTLLFGNDLSTSIIAKTTLLDEAQIVKLKAVFGGKA >CAK8577717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584834550:584835218:1 gene:gene-LATHSAT_LOCUS29798 transcript:rna-LATHSAT_LOCUS29798 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEHEDYFSKEWNSIGSSMTETEKNCDSCFDCNICLDFAHEPVVTLCGHLFCWSCIYKWLYVQSASLAPDEPPQCPVCKHEISHTKMVPLYGRGQTAIRRDRDRKTTLQDVSIPPRPSASGIQSLFAMATLPQTGPQQQQLPYRNPYQSQNINQENDAAAQMLNLMTPVFPRFIFGNSENSDHVMGSSNPGWRRQEMLANKSLNRMSFFLFLCFLLCFIFF >CAK8562174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:450940681:450942156:-1 gene:gene-LATHSAT_LOCUS15693 transcript:rna-LATHSAT_LOCUS15693 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >CAK8544466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686588907:686592678:-1 gene:gene-LATHSAT_LOCUS13142 transcript:rna-LATHSAT_LOCUS13142 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAARPIRIMNFVSEEQLLESKKTRGERVEDGTAHRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDSYEASRKEYERKVADEEAQQLRSFQAAIAVQSNTVIEIKEKAPVLAIQEEKPAAGKKNPASRPLSMIIKVKPQAKKAKVDEGNTEEVSKAGITSVNDKSRPLESVQLLNGIADKSNEVGLTGLVSYSDESDDDL >CAK8572980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574222229:574222645:1 gene:gene-LATHSAT_LOCUS25477 transcript:rna-LATHSAT_LOCUS25477 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPAEKKPAEKAAVEKPKAEKKIPKDASSTDKKKKRNKKSVETYKMYIFKVLKQVHPDIGVSSKAMGIMNSFVNDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKVVTKFTSSD >CAK8531502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116732828:116738226:1 gene:gene-LATHSAT_LOCUS1290 transcript:rna-LATHSAT_LOCUS1290 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVASPNSMIGEKILPIDLPMIDLSAERSMVMKLIVKACEEYGFFNVINHGVPYDIISKMEEVGFEFFAKPMDQKKLVALDKPFGYGCKNIGFNGDMGEVEYLLLNPNVPSIPNDSSNFSSSVRAYTEGVKELACEILELMAEGLGVPNTSIFSTFITQLDNDSILRFNHYPPKNSKDRDNSNSYNVGFGEHSDPQILTILRSNDVPGLQISLQHGVWNPVVPDPSAFCVNVGDLLQVMTNGRFVSVRHRAVTNSYKSRMSVAYFVAPPLDACIVAPSVMVTPNRPSLLFKPFTWEEYKKVTYSLRLGDTRIHLFRNCTQLE >CAK8571149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:314140908:314141867:1 gene:gene-LATHSAT_LOCUS23839 transcript:rna-LATHSAT_LOCUS23839 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRNSYLVQDVPNSYDKVEQNAVKRFKLLHIWLLREYLELDMKIQDPPKNCIVDFERIVDDFIFICFFAGNDFLPQLPSLDIYEGAIDLLMTVYKKEFHKLGGYLVDITKMGEKHSAFVKLSRVEKFVIMVGTYEEKIFNRRSGIRAKKLRRLIIDHENSKQDEQDACNFIDIENENSSDCALRINKAASFRILQNMIVFT >CAK8576026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:386038697:386042366:-1 gene:gene-LATHSAT_LOCUS28244 transcript:rna-LATHSAT_LOCUS28244 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSKSAASSLEALMTSFNGRILELQELVIARNMYPASSIPDLSAIDAAVSALELQVQTIKDNFRNEMEAIPKAKKFIDACMQQQKKLQNMALYVPSQKADRMTLSNSETSRALFPEFSGLDTGSFEALKLDVEPAALPKEKKGRGPPPTWYVTGSELDSLSSYMRGRLTLEKVNAAITDMASYAEANTQLIAAPKKKLAENLWEKALEIRDIATMEGIKGKHFFLEADIKGPALKLDHTGKAILTVLRHLGRFNETRVGHHRVFVLHKPH >CAK8540221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545865091:545865498:-1 gene:gene-LATHSAT_LOCUS9247 transcript:rna-LATHSAT_LOCUS9247 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIVSDVRCQTLMHYAASYGERGSKKTLSSPQNYSYHKIKNSKIYTGEGNFKEMYNNKESLDQKGAKSSTNLAQAQKLQRLGFSYLATSFLYMAIKVKAFYKGFIGDVASESRMVGIEAPVAEAYFSVPVLPN >CAK8570736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:102034179:102035667:-1 gene:gene-LATHSAT_LOCUS23466 transcript:rna-LATHSAT_LOCUS23466 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLRFTVKRGAPELVTPSKPTPNEVKSLSDIDDQDLIRVQVPGILFYNYDPNMKGKDPVDIIRKALAKTLVFYYPFAGRLREGDGRKLMVDCTGEGVLFIEADADVTLKDFGNNILPPFPFMDEVLYDVPGSSDIINAPLMLIQVTRLKCGGFIFAIRVNHTMCDASGLVQFMNAIAEISRGMNEPSVSPVWCRELLSARNPPRVTCYHPELEQAPNNKGTINLISLDNMVRRTFFFGPNEVATIRSLLPTNQQQQYSKFEIITAFLWRYRTIALQLDSNQEVSMYFAVNGRSKYVNLQLPNGYYGNVLANPAIVTTAGKIVENTLGYMYVLNLVKNAKAKVTREYMHSLADLIVSKGRPSFTPTEFMLLVSDVTHSGFKDVDFGWGKAVYGGPAVDSPIHSIGCLYLPFTNAKGEEGLVIPLYLPAQAMERFVIELDSVLKGNSDQSVKSDPNKSGIIKSRL >CAK8533361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:608393475:608399404:1 gene:gene-LATHSAT_LOCUS2996 transcript:rna-LATHSAT_LOCUS2996 gene_biotype:protein_coding transcript_biotype:protein_coding METMDQRLVSTWSNAISSVPLSFVQPPECRPGKVTNPSTKTIPLIDLGGHDHPHIISQVLKASQEYGFFQVINHGVSKNLVDEALNVFKEFHGMPPKEKVNECSKDSNGINCKIYASSENYKKDAIQYWKDTLTHPCPPSREFMEFWPQKPPKYREIVGEYTQELNKLGHEILEMLCEGLGLKPGYFIGELSENPIILAHHYPPCPDPSLTLGLAKHRDPTLITLLLQDQEVHGLQVLKDDQWIPVEPIPNAFVVNIGLILQIITNGRLVGAEHRVVTNLRSARTSVAYFIYPSFSRMIEPAQELIGETTPPIYKSMSFGEFRKNFYEKGPKIEQVLHS >CAK8562952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:560885391:560885561:1 gene:gene-LATHSAT_LOCUS16412 transcript:rna-LATHSAT_LOCUS16412 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNGWHEYQSNEYLKSHADQQLQPQQQLTNGNQQFSRLPEQFQGQSIWTGRYLV >CAK8541771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:392202013:392202777:1 gene:gene-LATHSAT_LOCUS10666 transcript:rna-LATHSAT_LOCUS10666 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWMFSNRASKEYEDGVQEFVRFAIAHAEDTSKIICPCLKYCYTDVSANVLSYFGYIINKYTFYTKEHDHQSTMPNSGVTLVVEYVHISSSKDRNLAFSKLSYFGVIECIWELDYSCFQVLVFGCKWVDKHNGLQVDPGFMKVDLNKVRYKDEPFILASQAHQVFYVTDPADEKWSIVFLSNKINDQNTQSIDVEDDPFFNTSQSLEDEPIIDDILYMRNDHNDGIWINPSFYVSRKHKSRNPITRERKSPT >CAK8563239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584970062:584982714:-1 gene:gene-LATHSAT_LOCUS16670 transcript:rna-LATHSAT_LOCUS16670 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRCNQAPPTSSNLKRKKKEDNGKEKKKGRREEKAINVDIIESESLLENDSDGEGFDDDSHRSNVVESMLQDPDDNDSGLIEGSETEEDIEFSYSDEEDIRTNGGATSKDSKDLSSFNLHLQHNLSKEEIDNPKNLKFSWDVPVIGVSNCKWIGTGENILEDFDINSCPGLKTNLHEHWMDVSKTSGGKDINSPKQQMFFSLCSSYRDILHCNKRPFYLKGLEDISIMDAYIVHSLNHVFRTRDCVKKNDAKLSRLEEGADSERFRDQGFLRPKVLILLPLASIMYRVVKRLIQLTPSAYKVNVEHMDRFSTKFGGEEHKGDKENGEEPENADAQKSSKPDDFQLLFEGNNEDDFMIGIKFTRKTIKLFSDFHTSDLIIASPLCLVNKIEETGRDKEKDVDFLSSIEVLIIDHADVIAMQNWSHVHTVIEHLNRLPSKQPRTDVMRIRPWYLDEHARFYRQTIILGFFSNPDINASFNHQCSNYEGKVKLMCEYKGVLHKVLPKIQQVYVRFNIDSIVDADDNRFDYFVKKVFPRIKDSDEGGIMLFISSYFEFIRIRNFLKSQDASFCLLGEYSTQKDISRARLWFFEGKRKIMLYTERSHFYHRYKIRGVKNLIMYSLPERKELYPEIVNVLDGSENTACTVLFSPLDKFRLERIVGTTPAKTMVASEKPVFVFCE >CAK8536937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41013599:41013865:1 gene:gene-LATHSAT_LOCUS6261 transcript:rna-LATHSAT_LOCUS6261 gene_biotype:protein_coding transcript_biotype:protein_coding MITQNKCYYKHIFALPILTLISITLTSVSARLFPNPSEIPTWMTNHAHQARGTDTETSPAVLVAKHTTDSPNSKTIFNISVTSPTRHR >CAK8532515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:275161051:275161485:1 gene:gene-LATHSAT_LOCUS2213 transcript:rna-LATHSAT_LOCUS2213 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADIYKIIEEFCCRMTGTMKEWYHNLGAFKQDELHRLETTAIVHGVLHREFIGDMEIFDRKNRQEFFEMKCCSLKTKDLDKHYHRMAQRYYVLNGYNELSLKNTCVSSLPQELQLEIHRMLTTTQKDIKTMSLGQIHQVT >CAK8535029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804884667:804887657:1 gene:gene-LATHSAT_LOCUS4514 transcript:rna-LATHSAT_LOCUS4514 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHIRFHPHTLVSRNFTPKLKLNRNRFLFTPSHASSSTVTAMASNARKVLVPIADGTEPMEAIITIDVIRRSGAEVTVASAANSLSVKALHGVKIIADASVSDVANTSFDLVALPGGVPGVDNLRDSAVLEELVKKHVEDGKLYAAVCAAPAVILGPWGLLKGLKATCHPSFMEKLASYTTSVESRVQLDGKVVTSRAPGTTMEFAVAIVEQLFGKEKADEVAGPLVMRSNHSDEHTFLELNPVQWTFDNPPKILVPIANGTEEMEAVIIIDILRRAKANVVVASVEERLEIAASRKVKLEADILLDEAAKVSYDLIVLPGGIGGAQAFANSETLVNLLKKQRESNRYYGAICASPALALEPHGLLKGKKATSFPALCNKLSDQSEVENRVVVDGNLITSRGPGTTIEFALVIVEKLFGRKLALELAKTTVFTSP >CAK8535914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891254909:891256486:1 gene:gene-LATHSAT_LOCUS5332 transcript:rna-LATHSAT_LOCUS5332 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMASNIAERVQYPLDSSSYKIIDEIGAGNSAVVYKAICIPINSTPVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAGGSLQSIISHSFQNGLTEQSIAVILKDTLNALSYLHGQGHLHRDIKSGNILVDSNGLVKLADFGVSASIYESNNSVGVCASYSSSSSNSSSSLMFTDFAGTPYWMAPEVIHSHNGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLNITKRFRFSDFDKYIYKGHSGGSNKFSKAFKDMVASCLNQDPTKRPSAEKLLKHSFFKNCKGPEFLVKNVLNGLPSVEKRYKEIKVTMGADSKGSDDGDDEDSVVNVKQRRISGWNFNEDGLKLEPVFPKDQCREDHDVVKQVRFEEENAIQEEEDVASSGTVTETTNLNASDEVDIDAVSGVVKNREATLATLSVLKGSLEQELGQVKFLMSLIGGNGEENHVADSEEKTVQEISKLRMELETERKKNLQLELQLENIKLHLISSTVNSPTS >CAK8564382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671283400:671290141:1 gene:gene-LATHSAT_LOCUS17694 transcript:rna-LATHSAT_LOCUS17694 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIKVGGVEVGARTVVHRKWALMLCLASFCAGMLFTNRMRPSEYKEISRISREIEKRNLNADGCNLKLKFVKPSYNYSKVEVSNIQSVIKKSSKTIYAGKPDRVESTPRKKCFMVVGINTAFSSRKRRDSVRETWMPQAEDRKKLEEEKGIVIRFVIGHSSTMGGILDKAIEAEEKLHADFLRLNHVEGYMELSAKTKTYFSTAVALWDAEFYVKVDDDVHVNLANLGSTLSMHRLKPRVYVGCMKSGPVLAQRGVKYHEPEYWKFGEIGNKYFRHATGQLYAISQDLATYISINQDVLHKYANEDVSLGSWFIGLDVEHIDDRRICCGSPPDCEWKAQAGNTCIATFDWKCSGICRSVTRMKYVHERCAEDENALWSTTF >CAK8566861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467604671:467611274:1 gene:gene-LATHSAT_LOCUS19962 transcript:rna-LATHSAT_LOCUS19962 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLLKKPFVHQSFIISNTAFSSSFKGRKDMICHNRSNWFLKPSIVKEGCIRKCVSPKFVRVVMATTDIMSHTNVKALVSVKQSDGGIINNIVTDIVGNSHLVLELVSADLHPKTKSEKETIKAHAHETQKNENDVQFETTFKLPADFGNVGAVLVQNEHDKEIFLNNIVLDGFPNGPIHLSCQSWIQPNHSDSPTERVFFTNKMYLPSQTPSGLTKLRENELKELRGNGEGERKKSDRIYDYDVYNDLGDPDISTELKRPVLGSTKQYPYPRRCRTGQTHSDVDQLYEKRSTLDFYVPRDESFSETKQTQFNASTISLGLTTIIQSLDSILTDLKLGFASFEDIDAIYKEGFQLPTLESNDSTILQKVIPKFIKDADDSKDILRFDTPESFKRDRFFWFSDVEFARETLAGANPYGIELVKE >CAK8560256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12021050:12021607:1 gene:gene-LATHSAT_LOCUS13945 transcript:rna-LATHSAT_LOCUS13945 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSQTFTENCNKGMIDEASKSSSHNVIQFDRERFCFMVAENINQRDGRPLGTFSVDLKRWWCDCGRFQTFHLPCSHVIATCASIRQDHNMNIPDVFKVLSVFKVYSKSFFGLPHHQNWPTYEGFTLCRDETMRRNKKGCPNSTRIRTKMDDLEKEKRRCGICREIDHMRRKCLNVACPSNRPV >CAK8561256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:129637883:129640081:1 gene:gene-LATHSAT_LOCUS14865 transcript:rna-LATHSAT_LOCUS14865 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGTRKLSDVYDVSEILGRGGFSVVRKGTRKSNNDDEKSQSQSQVAIKTLRRLGTSNNLPRKKDGGEKSTETMMKFPTMRQVSVSDALLTNEILVMRRIVENVSPHPNVIDLYDVYEDTNGVHLVLELCSGGELFDRIVAQDKYSETEASTVVHQIVAGLEAIHGANIIHRDLKPENCLFLDVGKDSSLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKITTKSDMWSLGVILYILLSGYPPFIAQNNRQKQQMILNGNFSFYEKTWKGISQSAKNLISSLLTVDPNKRPSAQELLSDPWVKGEKAKDDQMDPEIVSRLQRFNARRKLRAAAIASVWSSTIFLRTKKLKSLVGSYDLKEDEIETLRTHFKKICADRDNATLCEFEEVLKAMKMPSLIPFAARIFDLFDNNRDGTVDMREILCGFSSLKNSKGEDALRLCFQMYDTDRSGCISKEEVASMLRALPYDCLPTDITEPGKLDEIFDLMDANSDGKVTFDEFKAAMQRDSSLQDVVLSSLRPL >CAK8534822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:772010039:772010893:1 gene:gene-LATHSAT_LOCUS4332 transcript:rna-LATHSAT_LOCUS4332 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQPFNFEAQIPQELLISQIMQIHATISKLESLRPSKQVNTLLTHLVKLCTLPSSIDIEALPQEVKKMRESLIPICGKAEGLLELEFSSFISLTPNPMKNLTLFPYYGNYVKLANYEYKILKENGVANVKKVAFVGSGPMPLSSIILATHHMESTQFDNFDIDEKANEVASKIVDSDKALEKRMKFVTQDVMEVKERLGQYDCIFLAALVGISRCEKVKILGHIRKYMKEGGVLLVRSAKGSRGFLYPIVEEDDMVDFEVLAIFHPTDDVINSVVLLRKPNA >CAK8570911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:165792684:165793130:-1 gene:gene-LATHSAT_LOCUS23620 transcript:rna-LATHSAT_LOCUS23620 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPSFLLHQLDFKLQKFLELYFEDKPQCANDAWRKLHFDPLLMICQRKQETLAKIKNNPVKMVMSIKSNYGRTAIS >CAK8568401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:602895280:602898794:-1 gene:gene-LATHSAT_LOCUS21360 transcript:rna-LATHSAT_LOCUS21360 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDARNDESEDPKLWGAVLFGLIGATATTLVFGQLRKTAEWLSLLSRSQAWKGKSGSSYRTAFQEEAWRKHNKRMQEEYEEEMERVERIRRMQNVFNRERNKYKRGYESWKEQGPSPYHQHFQREDWYWKTDTSYKDRRANYRETPRESRNYALSHHYSVLGLDRFRKAPYSDAEIKSAFRTKAKEYHPDQNQDNKDVAEAKFKEVMTSFEAIKQERNNQNL >CAK8533811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661877079:661884310:-1 gene:gene-LATHSAT_LOCUS3407 transcript:rna-LATHSAT_LOCUS3407 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKSSLCNCVVNFLLEENYILTAFELLHELLDDGRSDQAIRLQQYFADPSRFPPNHISRLNSLPSADPQALLQNKEETEQKLAITDYELRLALEDITKLKEELQSKGACNIIHDATMKSGGDVLVNGGGGEVQTQQQQGNNQSPVAALGQVKDTERRDLNCAVKEYLLIAGYRLTAMTFYEEVTDQNLDVWQNSNALIPDALRHYYYHYLSSNSEAAEENIGLVQENEAFMKENQRLNEEKESLLKGKDLADGQIRALTKSLGALQEDLKQKENMVQVLKQSLENQRKELHDSRVEINNLKMHIKGFGSGNNVAINDVDNALPDLLDKYKEEIKKLQMEIERLKEKNRGTPEHRNFGSSENELMQTEDKVIEMHEDQGATLDVVHNEDAHSPALQTLNEFADKHTDSQLDLFNPAHTNTVSENIEHVSEQNGSKQGEDIRLHVKTEGVNDKAISEKTGLGTIQILADALPKIVPYVLINHREELLPLIMCAIEHHPDSRTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRLEIRDSLILSIVQQLIEDSATIVREAAAHNLAKLLPLFPNTDKYFKVEELMFQLICDPTGVVVETTLKEMVPAVVKWGNKLDHVLGVLLSHIFSSAQHCPPLSVVEGCIESYLHVLGERERWNIDVLLKMLTDLLSFVYQKAIETCPFLSSIETTQFVLSTTLLELYARGNFKWDAFEWVHVECFPKLIQLACLLPWKEDNLRSRISKFLLSVSERFGDTYVTCIMLPVFLTAVGDDADLTFFPSVIHSRIKGLRPKSSVAERLSTSCVLPLLLAGVLGGPGKRKELIDYSRKLLLEDNSKENTSTKHTPEIINAIRFICIYEENQGMIFEILWEMVVSSNINMKVTAAKLLKVLVPHIDAKVVSIHALPALVTLGSEQDLDVKCASIDAFGAVAKHFKNEMIVDKIRVQMGAFIEDGSHEAIIAVIHALVVAVPHTTEQLRDYLLSKIAQLTTLPVTTSTNLTRRQERANVFCEAIRALDATDLSANSVKDHLLPAIQNLFKDLDALDPAHKEALDIIMKERSGTSYSSISNKVVSSHVGLASSMSSFFGDGGLLGRRDSTEVLSEKSTSPRSAASPPPPAEDTRFRRIMLGHFGDMLRGKGRSEENHN >CAK8572543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545445743:545448017:-1 gene:gene-LATHSAT_LOCUS25096 transcript:rna-LATHSAT_LOCUS25096 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKTRSSSPASSIFKPGTLVEISSDDDGFRGSWFTGKIVRRLVGDKFMVEYDNLMVDEDITKRLKESIRLHQLRPIPPKEITRDFKFGDEVDAYHNDGWWEGHVAGILEDGRKTVYFRVSREQLEFRDEELRLQREWVNGGWIPPFPQQDDSEIKKKVCVKAAETVTRDKDDFKFKEGDLVEVCSDEDGFKGAWFSATLVEPKAGGKFVVEYESLLDDDSKLLREEGSILQIRPRPPKTDEVDQFKFLDEVDTYYNDGWWVGVVSKVLGDSKYIVYFRNSNEELEFEHSQLRLHQDWVDNKWVMASKALKF >CAK8566179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388553457:388562894:1 gene:gene-LATHSAT_LOCUS19336 transcript:rna-LATHSAT_LOCUS19336 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLKLVLTTLVCWNIIASYNYVAGDSQTSVVLNRGCSTYNAANLRKFYANLNGTFSDLRNQISNGSKHFATAQEARGEVIVYSLFQCRNYLSKKDCVACFNTASTKIRNCSASNGARLIYDGCFLRYESERFYDQTNQPGGGILCGNKTAKKGTSFGTIGQKVLMDLQLATPKIKGFFAATKTLVNPGSNSSIYAIAQCVETATESTCLDCMTVGFNNLQTCLPNTDGRAYDAGCFMRYSETPFFADNQAIDITAYLNQGGSSNKWAIIGAVVGGVGLVAIILAILSWRQYRKPKRAPRGNILGATELKGPVNYKYSDLKAATKNFSEENKLGEGGFGDVYKGTLKNGNVVAVKKLVLGKSSKIDSDFESEVKLISNVHHRNLVRLLGCCSKKQERILVYEYMANSSLDKFLFGDKQGSLNWKQRCDIILGTARGLAYLHEEFHISIIHRDIKTSNILLDDNLHPKIADFGLARLLPGDRSHLSTGVAGTLGYTAPEYAIHGQLSEKADTYSYGIVVLEIISGQKSTQVKEDGDGEYLLQKSWKLHERGMHLELMDKALDPNDYDREEVKKMIEIALLCTQASAGMRPTMSEVVVLLQTRSLVEHLQPTMPVFVDTSLRSREEHSTSTGSSTSNATASFSVLSAR >CAK8576448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:479539272:479544851:-1 gene:gene-LATHSAT_LOCUS28635 transcript:rna-LATHSAT_LOCUS28635 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRAPPAASPSNPPDQIMKRQPPFSSLKPPFVASGDYHRFAPDHRRILDQETEAIVVKTPQLKRKSEAAGFEADSGVRMTPGSTEAASSPSQTPVSGKMGKGGKSSRLTKCNRSGIQTPGSNIGSPAGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSKPGEADDSFASLQAEIENLTMEERRLDEQIREMQERLRVLSEDENNEKLLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAADYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEINGVEVAPKIPSSPEVSQHPSTVVPEDKGKEIEVHRQDGEGPSSDLTNSHDFVSGIMKIVPSDVASDADYWLLSDADVSITDMWRTEPGVEWNELNVLQEDYCMAHEDNTTPNHPLNIAGEDKTTPNHPSNIGEVSSAANPTVG >CAK8543030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568242248:568243419:1 gene:gene-LATHSAT_LOCUS11817 transcript:rna-LATHSAT_LOCUS11817 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFTSLLPFTSSTTSHSNLSPFRAFRVSASVSAPENHFQHIPRREFLKGVALSLPLIALTEPPPSQARDVAVGSFLPPSSSDPSFVLFKASAKDTPALRAGNVQPYQFILPPTWKQLRIANILSGNYCQPKCAEPWVEVKFEDEKQGKIQVVASPLIRLTNKPNATIEDIGSPEKVIASLGPFVTGNTFDPDELLEMSVEKVDDQTYYKYVLETPFALTGSHNLAKATAKGNTVILFVASANDKQWQTSEKILKTMLDSFKV >CAK8571426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:406043475:406046193:-1 gene:gene-LATHSAT_LOCUS24092 transcript:rna-LATHSAT_LOCUS24092 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRNNNSHHNQHQHQHHHHQQQYNGMSTDLRQLVNGPRSTHFSSIPSSDEFFTGHRNLTALLTTSHQNDQQHQYEMMMLGRGVNVLEDFNNITTHVQPPPPPSTVTTTTASVSTPETTGCIGGDASTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMCEEHGYQRNGKKCREKFENLYKYYKKTKEGKAGRHDGKNYRFFRQLEALYGENSNQTSIPETNFVSNFNFQNPSKTTNQEVFNNNDHSLSLTTNSTDFEIDTSSSDDEKGKRKSSGRRRSWKVKIKEFIDSQMKKLVDKQEEWLSKLVKTLEDKEKERVLREEEWRAQEAKRVEKEQRFWSKERAWIEARDAALMEALKNIGGREMKAELEGHNHKGINVITEGEIHQSNIENQNEDGSEILNSTVRGTDNNYQRDSLSLQEGNKKRKENFYFNNNEQCSMYNQGSGYIDVNHQREEIVKLQRNVDHGSSPSDSNVGNVANGDACFPFLINESGSLWENFGLKINKANQNN >CAK8539695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522160138:522162887:1 gene:gene-LATHSAT_LOCUS8771 transcript:rna-LATHSAT_LOCUS8771 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLNDSPDQRKNYESEGCSIDGDEVDEKGKGVGSVSNSSSSVVVIEDGSEEEGSNNSNSNGNGSGNGGLLKKRTSKIFGFSMDDSDDCPPVTRQFFPVEDSEMTAVVDSPSGGGSGGVSSSFPRAHWVGVKFCQSESVNGGKSIEASSQPIKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYDNELNNTESSGNAAEHNLDLSLGNSSSKGSNSQAFGNYNTNVVTDQHMAPESNWRNGGIRPKPVNILPKPCGISNMEGYVRDGYGESEALRMLSQTHLQSPVTNEMHRYGPYRSPVEQQHPQMLHSFPHLHPSNFHVSSSSSNGGRIGSDLSLSMSDQQKWQTGPPHLLATAAAASSGFPPQITRPSSSSQGWLQKNGFHTLMRPS >CAK8537407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:336828518:336828844:1 gene:gene-LATHSAT_LOCUS6700 transcript:rna-LATHSAT_LOCUS6700 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNHNNGSQFGDTTLTKVFVGGLAWETPKDTLREHFEKYGEILEVVIISDKVTGRSKGYKFVTFKEAEVANKACEEPTVMINGRRENCNIASHGAHRQRPAPAFKE >CAK8543224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590267118:590269745:-1 gene:gene-LATHSAT_LOCUS11995 transcript:rna-LATHSAT_LOCUS11995 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLLFLLRTRRRHHYHRPHTLTLFSSTSSSFHTDSSYYLTQFLPLPNRTTNFNPLTFITSRERRIIVLGLSTIIKNQQGFVLKAFSIRFCSYFLVKIMKLLHTRDAAFAFFKLAFGNSDSDEILRSCCISAHVLSAQSRNLLAQDMISWVFGRIEADRSKELVEFMWKNHAQYESDFSVLNTLMRGFLNVGMTLEALEVLNRMRDVGVIPSVTAMTILFKLLFRLGDYASMWKLFKDMIREGPPPSDITFNTMICGFCRQGRVVIGESLFYLMQKFGCDPDVFTYNVLINACCVGGRTSVAVSWLRLMMLRGCEPSISTFNTILHALCREGNVVEARKLFDRVLAMGITPNTAIHNSMMDGYVKARDIGQASLLYEEMRIKGVPPDCVTFNIFVGGHYKYGRREDWNRLLKALVVTGYFQECSLYDVTVSWLCWARRIDEAMKLLKDSLEKGQTFSVAAFNSLIAAYSREGLEDKAFEAYHIMVKCGFTPSSSTYNSLLMGLCRKGRLQEARTLLYRMTEKGFPIKKVAYTLLFDGCFKMNDMDGALFMWKEMKENGIYPDVVAFTALIDGLSKAGNIDEAYEVFSEMLAIGFVPNNFAYNSLIGGFCNCGKMKEALKLEKEMRVRGLLPDTFTFNIIIDGFCRQGDVKSAIDVFLEMHRIGLMPDIFTFNILVGGYCKAFDMVGADDMFNKIFTWGLDPDITTYNIRMHGYCSVRKMNRAILILDELVSAGIVPNTVTYNTVISGICSDILDRAMILTAKLIKMGFLPNVITTNILLSHFCKQGMPERALLWGQKLRDICFDFDEISYIILNRANHLVQNEVELVRGTYEKSLFLDFLMYITFDHFSRNRPHNTENENNLKLIESQYVAL >CAK8568039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566082048:566085663:-1 gene:gene-LATHSAT_LOCUS21038 transcript:rna-LATHSAT_LOCUS21038 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNSNVSDDPIPPENQNDAGAKIGQSVNKLNELSISIQAFMNRYDELQKHLDFIEQAISTRTNELEALGTTTANVVTVQPESKLESKTDGKGTKQQQQQQQQQQQQQQPEKEPDEEKAKEEEKAEEEEKKEENEEGDEFLLLCKTMNSRGLRRYIVMHLFETALLREQIPAALKAAKEPAKLVFECIGRFYLQGSKAYTVNSPMITSRQTSVLALEYYLISGCVVSEAEMEPSLKKEAAAAAVAWRKRLISEGGVGAASEMDARGLILFLACFGIPGVFRNEDIANLVRLSKPAEISHALCNSKVLFGRVSDIAEGMMKKGKVVEAIELAYTFGFEEKFSPQTALTSFLQKSNEAWKKAKEARDDPSLLKKSNEKYLTALKSVINCLEGFKVDIAKLLPEWKLKDTILQLEKDISDVAKKIEDNSVPKRKLDKSSTSKKVKGPDTKRTRYDVRDPFVASPSVTTLQGQRIASLIDGNSSYNNSLTAHYLEGRSYGYPNHYSAAASAQFGSVSGSLPEGYIGRGVSIDNVGASPNINSSLYSRLHSIDEGVLSYNRSVEQSFAGQPSLARANHLYGRVSAEGYPGLPDHHSIGAPSRGGGSDLYSFADSVFDL >CAK8560842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49449774:49450070:1 gene:gene-LATHSAT_LOCUS14487 transcript:rna-LATHSAT_LOCUS14487 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKQAFEVPLADVSQTNLQGKNDVILSFMWMTQLEPMRKIH >CAK8573304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601805993:601806442:-1 gene:gene-LATHSAT_LOCUS25771 transcript:rna-LATHSAT_LOCUS25771 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTPVPPPNFDQTGPRFQYQTFNTTFSQPTSTFNTTLTQPTSTFTPDDVYYPTLQQTQPETYPQPPQPPHSFQKFLLTDEQLMHMPNFNIDDLLDEQPGPSSRQANPPTTHHNKDMSFDSSQSPKNERLGRGYRERRTTRCGTGGHLR >CAK8576832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517392137:517393278:-1 gene:gene-LATHSAT_LOCUS28995 transcript:rna-LATHSAT_LOCUS28995 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRMITTTSSLVTLLLLATICDAQLSSTFYDTTCPDALTTIRTAIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDTTTIQSEKTALPNLNSVRGFQVIDNAKSQLEKLCPGVVSCADILAVAARDASFAVGGPSWTLKLGRRDSTTASKTLANTDLPLFSDDLQTLISKFSIKGLTAKDMVALSGAHTIGQAQCFTFRDRIYNNASDIDAGFASTRQRGCPSSSSTSNNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFSGGSTDSIVSQYSQNPTSFKSDFAAAMIKMGDILPLTGSAGIIRSVCNAAN >CAK8575185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:48962872:48966865:-1 gene:gene-LATHSAT_LOCUS27469 transcript:rna-LATHSAT_LOCUS27469 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLQKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYAAFENIYPVLTEFRKNQQWYEHEFIYDSYCYNFNESILVVSTTLLISSFSRQDTSPLGVDL >CAK8533096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577801881:577806785:1 gene:gene-LATHSAT_LOCUS2745 transcript:rna-LATHSAT_LOCUS2745 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPSDYSKEPPRHPSLQINSKEPFNAEPKRSALIASYVTPSDFFYKRNHGPIPIVEDIDRYCVVISGLVEHSKQLFMNDIRKLPKYNVTATLQCAGNRRTAMSKTRTVKGVGWDVSAIGNAVWGGAKLADVLELVGIPKLTSVTQFGGKHVEFVSIDRCKEENGGPYKASIPLSQAANPEADVLLAYEMNGEILNRDHGYPLRVIVPGVIGARSVKWLEAINIIAEECQGFFMQKDYKMFPPSVNWDNIDWSTRRPQMDFPVQCVICSLEDVSTVKPGKVKISGYAASGGGRGIERVDVSVDGGKTWMEASRFQKKDIHYVSDDANSEKWAWVLFEITADILHSTVIIAKAVDSAGNVQPEKVEDIWNLRGILNTSWHRVQVQASHSSL >CAK8566964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476706719:476713835:-1 gene:gene-LATHSAT_LOCUS20058 transcript:rna-LATHSAT_LOCUS20058 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAKLTLIAFALTFLFSPSHSAVFSIDLGSESLKVTVVNLKPGQSPISVAINEMSKRKSPVLVSFHDGDRLLGEEAAGLVARYPQQVYSQMRDLIGKPYASAKKFLDSLYLPFEAKEDSTRGTVNFVVDKNGTEYSPEELVAMVLSHAANLAEFHSKIPIKDAVIAVPPYFGQAERRGLLQAAELAGINVLSLINEHSGAALQYGIDKDFSNESRHVVFYDMGSSSTYAALVYFSSYKSKEYGKTVSVNQFQVKDVRWNPELGGQHMELRLVEYFANEFNAQVGGGIDVRKFPKAMAKLKKQVKRTKEILSANTGAPISVESLHGDVDFRSSITREKFEELCEDIWEKSLLPLKGLLEHSGLSADQIYAVELIGGATRVPKLQAKLQEFLGRKELDRHLDADEAVVLGAALHAANISDGIKLNRKLGMVDGSLYEFVIELSGADLPKSESSRQLLVPKMKKLPSKMFRSINHDKDFEVSLAYESEHLLPPGVTSPLIAQYQISGLTDASGKYSSRNLSSPIKANVHFSLSRSGVLSLDRADAVVEITEWVEVPRKNLTIENATISSNVSGASNKSEENNESVQSDSGISKTSNTSAEEQAAAEPATERKLKKRTFRVPLKIVEKTTGPGTTLSKDFLAEAKTKLQALDKKEAERKRTAESKNNLEGYIYTTKEKIETLEEFEKVSTSEERQSFVEKLNEVQDWLYTDGEDANATEFEERLNQLKAVGDPIFFRLKELTARPAAVEHARKYIDELKQIVEEWKAKKSWLPKERVDEVINIAEKLKNWLDEKETEQKKTSGFSKPAFTSEEVHLKVFELQNKVASINRIPKPKPKVQKSTKNESESNEQTTDNSDSTSTDSSSKSDESANPSNGESEEKVDEQPESHDEL >CAK8569494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2911202:2915660:1 gene:gene-LATHSAT_LOCUS22339 transcript:rna-LATHSAT_LOCUS22339 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQQAVPAPSNGVIATPNANQFVTTSLYVGDLELNVSDSQLYDLFNQVGQVVSVRVCRDLTTRRSLGYGYVNFNNPQDAARALDVLNFTPLNNKSIRVMYSHRDPSIRKSGTANIFIKNLDKTIDHKALHDTFSTFGHILSCKIATDGSGQSKGYGFVQFENSESAQNAIDKLNGMLINDKQVYVGHFLRKQDRDNVLSKTRFNNVYVKNLSESFSEEDLRVTFGEYGTITSAVLMRDADGRSKCFGFVNFESPDDAAKAVEALNGKKVDDKEWYVGKAQKKSERELELKGRFEQTVKESVDKYQGVNLYLKNLDDSISDEKLKEIFSEFGTITSYKIMRDPNGVSRGSGFVAFSTPEEASRALGEMNGKMIVTKPLYVAVAQRKEDRRARLQAQFSQMRPVAITPSVAPRMPLYPPGAPGLGQQFMYGQGPPAMMPPQAGFGYQQQLVPGMRPGGGPMPSYFVPMVQQGQQGQRPGGRRGGGPVQQSQQPVPMIQQQMLPRQRVYRYPSGRNNVQDAPVQNIAGGMMSYDMGGLPLRDVPPMPIHALATALANAPPEQQRTMLGEALYPLVDQLEHDSAAKVTGMLLEMDQPEVLHLIESPDALKAKVAEAMDVLRNVSQQGNSPADQLASLSLNDNLVS >CAK8540149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542203917:542205904:1 gene:gene-LATHSAT_LOCUS9182 transcript:rna-LATHSAT_LOCUS9182 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELFSVASFPVIKVLLLTAIGLLLALDNINLLGKDARNQTNHLVHYVFNPALVGGNLAATITYENVVLLWFMPVNILVTFLLGSALGWIIIKLTRPPKNSEGLIVGVCSAGNLGNLPIIIIPAICKDKGSPFGDSDVCYQYGMAYASLSMAIGAVFIWTYVYNIMRISSSKVQKEGNESSKSIALANSEDVSESLIEGCSESINSAKGNVDDAYRQLLCKNESEHKVHILDKIKHKCGNLLSNPNFRGIFSPATLGAIVGFTIGVVPQLHSLMIGGNAPLHVIEDSASMLGDAAIPTITLIMGANLLKGLKGASTPFWTIIGIIAVRYIMLPIFGVGIVKGATHLGLVQVDPLYQFILLLQYALPPAMSIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVA >CAK8568712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:634632011:634634900:1 gene:gene-LATHSAT_LOCUS21644 transcript:rna-LATHSAT_LOCUS21644 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLRSKNFSMRFLVAVALLVSCVCVSGIGVNWGTQCTHLLSPTTVVRMLKDNGIQKVKLFDADPNILDALKKSGIQVMVGIPNDMLYTLANSVQAAEKWVSKNVSAHVSSGGVDIRYVAVGNEPFLSTYNGTFEATTLPALQNIQAALTKAGLSTRVKVTVPLNADVYISSTNNPSDGDFRPDINNLMLQIVKFLSDNGAPFTVNIYPFISLYLDPSFPVDYAFFNGFQSAINDNGKIYDNVFDANHDTLVWALQKHGFGNLPIIVGEIGWPTDGDRNANLQSAQRFNQGFMSRYVSGKGTPMRPNPIDAYLFSFIDEDNKSIQPGNFERHWGLFYLDGQPKYQLNLGTGRANGLVAASGVEHLEKKWCVLKPSANLNSDQLAPSVSYACQNADCTSLGYGTSCGNLDVKGNISYAFNSYYQVNDQMDSACKFPGLSMITDKDPSFGTCKFRIMIQTDSAELNAMVGSTTTVLLALVVLLLCNVSF >CAK8538963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500442893:500444311:1 gene:gene-LATHSAT_LOCUS8107 transcript:rna-LATHSAT_LOCUS8107 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVKLSPAIKLSSSQKSSRDKAIRILLKSWRSRHLLPQNVAKKLWKGIFYCVYYSDKSLVQADLIDRIASYLSTFRHPSRSLQYFSTFFLTMRHEWSGINSVRLDKFYLLIRRFISNAFSLLDKNSWNLELVNLVMNCLDKSTFSAKDKFVKGKGKRVNYYVASVFLQELMPFLPVKLSVLQVLFRPFFSAVVKLRDKVLLGKIKSWFFDVLLMNGRILLEVKKSGCGDAHVVNLGTIALVMGFSSKLFELASDPGCVQSNRQVLFELHNEFLKLEKDVFDSGFEFSIPDSVDRVVLDSVDKVKKPKKKKRKIKKSDAEACLDDGVATGVVSKKRKRTTNSKGETSQGVVCKKRKRTENSKGETSQDFDLNTADVEDVSALAKSREKSSKNVKFSMESNLVWKQHTPLPPQSLRIPPSATPRGSALKKGLPPGPIREMPLPTKKVKQKKSKKTRKLLKEIVDFAKAFMR >CAK8569666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7522108:7524708:1 gene:gene-LATHSAT_LOCUS22493 transcript:rna-LATHSAT_LOCUS22493-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPRNYDDISINEKDVPDIVLSYLIHNCYDESAESFIAGAGTMRPTDCLDNMEKRKKIIHYAMEGNALKAIELTEQLTPEILEKNKDLLFDLLSLHFVELVRSRKCTEALEFAQTKLSPFGKEAKYMEKLEDFMALLAYKEPENSPMFHLLNLDYRQEFADNLNRTILEYFNLPSYTAMERLVQQATVVRQCLNDESGKDGPRPFSLKEFLKRR >CAK8569667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7522108:7524708:1 gene:gene-LATHSAT_LOCUS22493 transcript:rna-LATHSAT_LOCUS22493 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPRNYDDISINEKDVPDIVLSYLIHNCYDESAESFIAGAGTMRPTDCLDNMEKRKKIIHYAMEGNALKAIELTEQLTPEILEKNKDLLFDLLSLHFVELVRSRKCTEALEFAQTKLSPFGKEAKYMEKLEDFMALLAYKEPENSPMFHLLNLDYRQEFADNLNRTILAEYFNLPSYTAMERLVQQATVVRQCLNDESGKDGPRPFSLKEFLKRR >CAK8566125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382288786:382294545:-1 gene:gene-LATHSAT_LOCUS19286 transcript:rna-LATHSAT_LOCUS19286 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMVLDPKPVSEPPPSLPSTKSEYLYGGDTASDEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYNDIGGCDIQKQEIREAVELPLTHHDLYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >CAK8560493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22154622:22155752:-1 gene:gene-LATHSAT_LOCUS14161 transcript:rna-LATHSAT_LOCUS14161 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDEIHSNPSPLSGSPVSSPGAIGRISVTVAAPAPQEQPVVNSYALALPIQNQARGSNGGGREDCWSEGATAVLIEAWGERYLELSRGNLKQKHWKEVAEIVNGREDYLKAPKTDIQCKNRIDTVKKKYKSEKAKIAAGGGVAASKWPFYDRLEHLIGPTAKVTGVTGASTSGNSNLPPQKVPFGIPVNLRPGSVANQFNNSQKKNQKQQPHPQVQLNYQKVQLRPRAPAVDSDNSSDREALSPVSSDSLPPESHEKKRAKVNPTGGEGRTKGKGWGSAVRELTQAIVKFGEAYEQAETSKLQQVVEMEKQRMKFSKDLELQRMQFFMKTQLEISQLKLGRRKGVTGNGNPSNHHNNINENINNNNHNNNSDTE >CAK8571345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:385608870:385610583:-1 gene:gene-LATHSAT_LOCUS24013 transcript:rna-LATHSAT_LOCUS24013 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFINRILILLLGYAYPGFECYKTVEKNRVDIEELRFWCKYWIIVALFTVLEKFLDIFIAWLPMYGEVKLVFFVYMWYPKTKGTSYIYETVLRPYVSKHENDIDRTIQEWKARGWDYVIFYWQYCAQFGHTAFLQLLQQLASQSSKLTSRSTVPKSDEHGQSVEQTSTMQSSYFMKQSSLSKSKKWPTSPPSSPSTINRHISDTVKTQTMHVNVDGHTEYVDEEGDEAWESESVSIDEGQDEARANVSVKDRIDRARARLRRLDTQNPRSPKTPQRRQL >CAK8575370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:98058468:98060717:1 gene:gene-LATHSAT_LOCUS27640 transcript:rna-LATHSAT_LOCUS27640 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTEVKKNQYQNEKENPNPNPNPSSPLKKRGPRWSDLWLKHTKSKPLDQMVVAAAAAMQLQSLTSPRKSNSNTLIPSFSIIDRTLLLSDVILLKILSMLPDSDSQRNSNSLVCKRWLNLQGRLVRSIRILDWNFVLSGRLIHRFPNLNHVDLIPGCLILARSRDILMSGNVLSMHVDSGCSPNWYNCEENMLPVEVIDNGLSALASACPNLRRVQVIGASEMGLLSVAEECPTLQELELQRCSDNVLRGIAACGNLQILKLVGNVEGFYGSVVTDIGLTILAQGCKRLVKLELCGCEGSFDGIKAIGKCCQMLEELTLCDHRMDDGWLAAVSYCENLKTLRLQSCKRIDLNLGVDEYLGSFPALQRLHLQKCQLRDKKSVSALFSVCRTAREIIIQDCWGLDNGMFGFATVCRCVKLLHLEGCSLLTTEGLDSVIHSWKELQSLRVVSCKNIKDSEITLSLATLFTTLRELKWRPDTKSLLQSSLVAIGIGKKGGKFFKRTLT >CAK8533655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646471181:646476830:1 gene:gene-LATHSAT_LOCUS3264 transcript:rna-LATHSAT_LOCUS3264 gene_biotype:protein_coding transcript_biotype:protein_coding MWKWFRKEAEVPPPVVLVPPLFDFPPLAARDRMLESSYDVVFGKLALRCLFNDYFQQPKHFITRIMLKPIDDPHVDLIATVSGPLDQKPEENINGNASFRWQSDVNDPHTFVDLYVSTSDPVLRMRSCAYYPRYGFGAFGVFPLLLKKRETSQDYGLMGLRYGSGNLSCGVTLMPFAMKDEVPKSVWLVSKIGRVTAGVQFEPHHANAKLSNLMNWSCAMGYGVGSGSPLSPSFNFSLELVKSSQFVASFYQHMVVQRRVKNPLEENSVVGITNYIDFGFELQTSVDDAIAANSISDSTFQIGASWQANKNFLLKAKVGPKSSTMAVAFKSWWKPSFTFNISATRDRADGQLQYGFGLQSESLREASYQRADPNFVMLTPSKEHLAEGIVWQTGKRPMLQSDIDAGHFDGLPRELRPLDKIL >CAK8544978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715496337:715497661:1 gene:gene-LATHSAT_LOCUS13615 transcript:rna-LATHSAT_LOCUS13615 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNQHSNGNHDDALEFCTQVTGSIVLPFALRTAIDLGVFDILAKAGDGAELSAQDIAIQIGTKNPEGPTMLDRVLCFLSSHSILNSSVPQHPKSLQRFYSLSNRARFFVPDSDGVSLGPTLALLLDNVFYQSWSELKGAIMEGGVPFDRVHGMNAFEYPRVDPKFNEVFNKAMVCTTTINMKMVLNCYNGFENINKLVDVGGGLGINLKLIISKYSHIKGINFDLPHVVEHAPSYPDVEHVGGDMFESVPSGDAIFMKWILHDWSDEHCLKLLKNCYKAIPEDGKVIVVDAVLPILPETNAVAKTGYMSDLIMLTQNPGGKERNENEFEELAKGSGFSGVKHVCSVSGLVVMEFYK >CAK8568071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569171066:569173316:1 gene:gene-LATHSAT_LOCUS21067 transcript:rna-LATHSAT_LOCUS21067 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNINGWVEEREGENTTTSPSSWPNTNPNTPSPASTLLQNKQHFAAFKTMLEIDDDDEWYMKDMAFPPNLDTVLLNTVDSVSSSSCSPSSTVFNALDPSLSNLQYLLPQNHKPVDTLSSLLNNPFEIPCEAGFLEPQGSALPPSPKMGSLVDFTATEMMSLPHLAQTSNGFMGFQNSEEGSGKSLFLNRPKVLRPLDSLPPSGTQPTLFQKRAALRKNMGKGEIGEGSDKKRKFSGGDEIEDLSFDGSGLNYDSDDFTEGNRKTRGNASNGNSAVTNQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPAGSSLTPVSSFHPLTPTPSSMPSRIKEELCPSSLPSPNGQPARVEVRLREGRAVNIHMFCARKPGLLLSTMRAMDSLGLDIQQAVISCFNGFAMDIFRAEQCKEDQDVHPEQIKAVLLESAGFNGMM >CAK8566592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439767673:439768602:1 gene:gene-LATHSAT_LOCUS19713 transcript:rna-LATHSAT_LOCUS19713 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVATMPTSPTSLQQLKREQELMESNNNANSTPTNSSNSNNNEDDDNHNPEDQNPSEPGSSSGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEISSGSDVAESIASFANRRHRGVSVLSGSGIVTNVTLRQPAAPGGVITLHGRFEILSLSGAFLPSPSPPGATGLTVYLAGGQGQVVGGAVAGSLVASGPVMVIAATFANATYERLPLEDEQGGDQEEMQVEQQSGVDSATVAAAGVASSQGLEFVEQHQQHHHHHQQQQQVSMPMYNLPPNLMHNGNQIPHDMFWGPPPPRPPPPF >CAK8541244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:95846443:95849544:1 gene:gene-LATHSAT_LOCUS10176 transcript:rna-LATHSAT_LOCUS10176 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIISTPASKFRQFRRLRSGHTTITAASDEEQASHILLWRYQILDPDSDFVAYWNRVFLVTSLLALFIDPLYFFLPTVGGPACLTADPKLSIFLTVLRSFADLFYILHMVMKFRTAFVAPNSRIVGRGDLVMDPRQIAMRYLKSDFVIDLAATIPLPQIVVWLVIPAHTGRKTDHANNTLALFVLIQYVPRLFLIFPLNQRIQKTTGVIAKTPWVGAAYNLVLYMLASHVTGATWYLSSIGRQFNCWKTQCELENRSHSLSCLPSFLDCNSLDLPERQYWLNITRVITKCDAKTKINIKYKFGMFADAFLNDVVTTSFKERYFYCLWWGLRNLSSYGQNLDTTTYLLETLFCIVLCIAGLVLFSLLIGNMQTYLQSMTVRLDEWRIRKRDTEEWMRHRQLPQNLQERVRRFVQYKWLATRGVDEEAILLSLPLDLRREIQHHLCLSLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIFQEDDPVDEMLFIIRGTLESSTTNGGRYGFFNSITLRPGDFCGEELLTWALLPTSTLNLPASTRTVKAITEVEAFALKAEDLKFVASQFKRLHSKKLQHAFRYYSQQWRTWSSCFIQAAWRKHQKRKATRELSIKEGIYYMADPAIEESSEHGRNFNNLGATVLASRFAANTKKGNSKIQDSDPVPQLFKPHEPDFSRDHV >CAK8560605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28159855:28160982:-1 gene:gene-LATHSAT_LOCUS14266 transcript:rna-LATHSAT_LOCUS14266 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCDNITKLNKVKSNIVVCEEKNETLHNMVTAKVVAGVFISNIPDINEFDNIIPSIIINPINGKIVHSYVKSPNSKSSTASVSFKTTGLGVKPSPMVDSYSSRGPSKSCPYVLKPDITAPGSQILASWPTNLPVTTFEDRDLFNKFNILSGTSMACPHVAGVGALLKGAHNDWSPAAIRSAIMTTSYILDNTKEHIKDIGNNKVSTPLALGAGHVDPNRAIDPGLVYDVGVQDYVNLLCALNYTQKNIAVITRSTSNNCSKLSLDLNYPSFIAFSNSKNSTSRIIQQFYRTVTNVGEGQTTYVANFTPIKGFNTSVIPNKLVFNKKNEKISFKLKIEGSIVSQNDEVVFGYLTWEDGKHVVRSPIVVTTRNFSL >CAK8544732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702853149:702854841:1 gene:gene-LATHSAT_LOCUS13387 transcript:rna-LATHSAT_LOCUS13387 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLLFTVRRFQPELVLPAAPTPREVKLLSDIDDQQGLRFNIPVIFIYSHEPSMAEKDPIEVLRNALSQTLVYYYPLAGRIKEGAGRKLMVDCTGEGVMFVGAEADVTLDQFGDSLHPPFPCFQELLYDVPGSELVIDHPIRLIQVTRLNCGGFVVAMNWNHTNGDAASLKLFMNAWAEMARGARRPSIQPVWDRELLMARDPPCITCNHREYEQTLPPNTIKEEDSTNIIHRSFFFKPSDIARLRLLVPFHLSQCTAFDLITACFWYCRTKALQLEPEEEVRMMVIVNARSRFSAKHSSFVGYYGNCFAFPAALTTAGKLCGNSLGYAVELIRKLKDQVTEEYIQSVADFMVINERCSFTTVNSCIISDLTRAKFREVNFGWGEGVYSGVAKGGAGPFPGVTFIAPNKNAKGEECFMLPICLSSKVMKRFAKELDEILGSQNYPTMSVPSFVKSTL >CAK8570525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55425068:55436716:-1 gene:gene-LATHSAT_LOCUS23266 transcript:rna-LATHSAT_LOCUS23266-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMELVPIIDVPDTPDRSNVRNNDRKCVGNPENRERAFPIAGELSNCSKYIILSPDKPYPSQKPPIFRRAQTEKVFGLGTSHSSGAEKMEKGKTVSSMIPSKSSHHGGIPVFDLTEENGQLPQSKPAVLHRGSRDNIIEDKKELKASIGNSSLPLITDFSNKSRNDATGKCKLDNKTLPGPRLLMDRGKSISLSNDSQSQSKGENQVSLPPRLSTAPGGRGHKRLVRNGCILPQNIATRAKQSAEQSSSETNNVEQIRTGHSVSSNTVSPISVDDIVAEDRVRGRMKGKGLLIYPSSHGTNAGTIHIDSSSPVANYEEASGSFNKPRNSFENRERQGGWRTTHNGQHSYGVNGHHSRNNYNGERFVHKHNTNRLDRSDTGSSQNGKHISGSLSDHTPQPASLINPDVGQSTRPSITADSLTKRQRKPESSSRYPNVASQNFGTIVVNSSGESSSSSQSRVLAPEFVELLSIPSFTNGHIEGVNDNDNNSLVAREMQLEADEILARELQEQLYNDDYFESSWIDEHLALQLQDAGDILPTSSDNHQIPHPTRISRGNRQLRLRTHQNPSSRRTLPQVPLSNRASQMRSRMATRSSRPTASSRGRRPRFPTDMDLDMRLDILEALEEAVGDFNDMGMADNILNGHRDFNENDYEMLLALDDQNHQHTGASTNLINSLPQSTVQNDNFTENCAICIETPVKGDIIRHLPCLHKFHKDCIDPWLGRKRLCPVCKSSIT >CAK8570524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:55425068:55436716:-1 gene:gene-LATHSAT_LOCUS23266 transcript:rna-LATHSAT_LOCUS23266 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMELVPIIDVPDTPDRSNVRNNDRKCVGNPENRERAFPIAGELSNCSKYIILSPDKPYPSQKPPIFRRAQTEKVFGLGTSHSSGAEKMEKGKTVSSMIPSKSSHHGGIPVFDLTEENGQLPQSKPAVLHRGSRDNIIEDKKELKASIGNSSLPLITDFSNKSRNDATGKCKLDNKTLPGPRLLMDRGKSISLSNDSQSQSKGENQVSLPPRLSTAPGGRGHKRLVRNGCILPQNIATRAKQSAEQSSSETNNVEQIRTGHSVSSNTVSPISVDDIVAEDRVRGRMKGKGLLIYPSSHGTNAGTIHIDSSPVANYEEASGSFNKPRNSFENRERQGGWRTTHNGQHSYGVNGHHSRNNYNGERFVHKHNTNRLDRSDTGSSQNGKHISGSLSDHTPQPASLINPDVGQSTRPSITADSLTKRQRKPESSSRYPNVASQNFGTIVVNSSGESSSSSQSRVLAPEFVELLSIPSFTNGHIEGVNDNDNNSLVAREMQLEADEILARELQEQLYNDDYFESSWIDEHLALQLQDAGDILPTSSDNHQIPHPTRISRGNRQLRLRTHQNPSSRRTLPQVPLSNRASQMRSRMATRSSRPTASSRGRRPRFPTDMDLDMRLDILEALEEAVGDFNDMGMADNILNGHRDFNENDYEMLLALDDQNHQHTGASTNLINSLPQSTVQNDNFTENCAICIETPVKGDIIRHLPCLHKFHKDCIDPWLGRKRLCPVCKSSIT >CAK8562337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475431124:475434806:-1 gene:gene-LATHSAT_LOCUS15841 transcript:rna-LATHSAT_LOCUS15841-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHHKSKFSILIIITIFITVITADQNKNHCNRRCGDQTVNYPFGFSERCKIKLICSSNNNKNSQIKIGDLTVQKLNSDSIFISLPAKCNRNTSFIYPLFGTNYAPTWNNRFLVQRCNSTLNGCVIPTSIFAGTQMDVEGCDSISDSITCFTKSQRNRTQEDVLTRGDWNKTRCELLFSAIAVDNSTVDDVPLQFQVVELGWWLEGSCSCTDDANCTTVHLAGEKHGFRCRCHEGLVGDGFVNGTGCRRASHCSLSTLGSSKCAKALRIGLLLGVIIVGASVTAALSLVCYFANRRSTWLTKQLTVRRLLREAAGNYIVPLYPYKEVERATNFFSEKHRLGTGAYGTVYAGNLHNNELVAIKKIRYRDTNSVDQVMNEIKLLSSVSHPNLVRLLGCCIEKGEHILVYEYMPNGTLSQHLQRERGGTLPWTIRLTIASETANAVAFLHSSNPPIYHRDIKSSNILLDFSFQSKVADFGLSRLGKTEISHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFGRPQSEINLAALAVEKIRKGRIDDIIDPFLDLNRDAWTLYSVNKVAELAFRCLAFHSESRPNMIEVAEELDHIRRSGWATMEETICLGSSVGSGCSSPRYNGNENLASKHEILVVPQKSNSFLQTIEEVKDSSPVSVHGTWSSRPSSPSTNSLLGNVVQ >CAK8562336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475431124:475434860:-1 gene:gene-LATHSAT_LOCUS15841 transcript:rna-LATHSAT_LOCUS15841 gene_biotype:protein_coding transcript_biotype:protein_coding MTIINSCFYLTQFQFHQKMFLHHHKSKFSILIIITIFITVITADQNKNHCNRRCGDQTVNYPFGFSERCKIKLICSSNNNKNSQIKIGDLTVQKLNSDSIFISLPAKCNRNTSFIYPLFGTNYAPTWNNRFLVQRCNSTLNGCVIPTSIFAGTQMDVEGCDSISDSITCFTKSQRNRTQEDVLTRGDWNKTRCELLFSAIAVDNSTVDDVPLQFQVVELGWWLEGSCSCTDDANCTTVHLAGEKHGFRCRCHEGLVGDGFVNGTGCRRASHCSLSTLGSSKCAKALRIGLLLGVIIVGASVTAALSLVCYFANRRSTWLTKQLTVRRLLREAAGNYIVPLYPYKEVERATNFFSEKHRLGTGAYGTVYAGNLHNNELVAIKKIRYRDTNSVDQVMNEIKLLSSVSHPNLVRLLGCCIEKGEHILVYEYMPNGTLSQHLQRERGGTLPWTIRLTIASETANAVAFLHSSNPPIYHRDIKSSNILLDFSFQSKVADFGLSRLGKTEISHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFGRPQSEINLAALAVEKIRKGRIDDIIDPFLDLNRDAWTLYSVNKVAELAFRCLAFHSESRPNMIEVAEELDHIRRSGWATMEETICLGSSVGSGCSSPRYNGNENLASKHEILVVPQKSNSFLQTIEEVKDSSPVSVHGTWSSRPSSPSTNSLLGNVVQ >CAK8533037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:568812647:568813192:1 gene:gene-LATHSAT_LOCUS2689 transcript:rna-LATHSAT_LOCUS2689 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSILAAQRAKLLSHIEATHTSQQFRNRNMEGTLILAVKLLSKVFLQSLPVLSQLTTFCKLWLGVLTRMEKYMKVKVRGKRSEKLQETVPDLLKNSLLSMKMRGILAQRSALGGDSLWELTWLHVNNISLSLQLEVFPERDSEHLQHKQGESVGGLAPEEKVYVPSTGITSLEDTGFVG >CAK8542274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496666053:496668365:1 gene:gene-LATHSAT_LOCUS11122 transcript:rna-LATHSAT_LOCUS11122 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRRVFLFVALMATNSIALAVQQTYIVHMDKTKIEGSIHSQDSAKTWSESVIDFITQASMEGEELEDILSPQLLYAYETNMFGFAATLSEKQLKHLNQIDGFLSAIPDELSTLDTTHTPSFLGLTNGKGLWSASSLASDVIIGVLDSGIWPEHVSFKDSGFSPIPRKWKGVCQQGTKFTSSNCNKKLIGARYYFKGYEKFIGKINETTDYLSARDTQGHGTHTASTAAGNVVQNANIFGLAKGSATGMRHTSRIAAYKVCWLSGCANSDLLAAMDQAVSDGVDVLSLSLGSIPKPFYNDSIAIASFGATKNGVFVSCSAGNSGPFTSTVGNGAPWIMTVAASYIDRTFPTQVKLGNSKTFEGTSLYQTKNQSNQQLPLVHGTTAGKKREAMFCTKGSLDKTLVFGKIVVCERGINGRTEKGEVVKKSGGYGMILLNSENQGEELLSDAHVLPGTSLGASAGKALRIYLNTTKNPTASISFLGTRYGNIAPIMAAFSSRGPSIIGQDVIKPDITAPGVNILAAWPSKTSPSMIKTDKRRVLFNIVSGTSMSCPHVSGIAALIKSVHKDWSPAMIKSSLMTTAYTLNNKNLPISDLASNNVSASANPFAFGSGHVNPESASDPGLVYDINTNDYLNYFCSLNFTSSEIAILTKTNFTCSQKHDVQVGDLNYPSFSVLFSRTVQNVTYKRVVTNVGKSESGYYEVKVEEPNGVVVNVEPRKLKFEKLGEKLSYKVTFLAVGKTRVIGSSSFGSLIWVSGKYKVRSPIAVTWQ >CAK8539557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516249387:516252158:1 gene:gene-LATHSAT_LOCUS8640 transcript:rna-LATHSAT_LOCUS8640 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRGKRSEAFWPSIVMKKWLNIKPKVNDFSEDEVDTETESEDDVCSPRQSRMEVRCGEDNPFRTQGVQSIFSSQIPDASFKKGCKTKHRRGKSETLRAQYINTKEVRVAIGSWNVAGRHPSEDLDIDDWICAEEPSDIYIFGFQEVVPLNAGNVLGAEDNTPIQKWEAIIRRSLNKSSEPDSKHKSHSAPPSPVLRTSSAADVLAENMDTDNPIDIMNDEYMENVVEKYDLQQQLEANNVISIGNDIHVRKVYGIDLDWPERPLDAISQIVDSNPKLRRVLSSSARIGFDLNENAFIYSGGVGGLKRSHHSSGNLGLLLKEQQVIPKVVDSLDDVSEMLSNEDDDAFIELPENQDDDELGATKSHARYVRIISKQMVGIYVSVWVQRRLRRHINNLKVSPVGVGLMGYMGNKGSVSISMSLFQSRMCFVCSHLTSGTKDGAEQRRNSDVNEILRRTCFSSVFDTDQALTIPSHDQIFWFGDLNYRISMIDSEVRKLVALKKWNELMYYDQLSNELRVGRVFEGWKEGSINFAPTYKYEINSDRYVGEIPKEGEKKRAPAWCDRILWLGKGIKQLQYERAEIKLSDHRPVSSIFSVEVEVFDHRKLRRALNFTSTAAVHPEIFPDEEDGQFY >CAK8563277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588899077:588906491:-1 gene:gene-LATHSAT_LOCUS16705 transcript:rna-LATHSAT_LOCUS16705-3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQSRPCGAEEAGRKPTHDFLSLYSNSTAEQDPRSSSAQASYLKTHDFLRPLEQVETKSSAKEEATDEISSAVQKQPPLPTPASVEHLLPGGIGTYTISHINSYVNNTQRVPKPEASLFTVHQATSADRNDDSNCSSHTSSGFTLWEESEIKKGKTDKENNVGEKPIIGVADSAAKLGPWTTTERISQSFSNNRHGSFNSRSSSQTVGQKNQSFLEMMKSAQDCAQDEELENEGTFFLKRETLDTQRGELRVRVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWNNEPEKLMPWQRNNDRPAETFQPRGTNSGSNPSPTLLFPSKIEEKNIPISPTIHASTPNVESGLSTTAAFKTIDHQSGIMNKTFQIPTSSQPNIFPSTQIGGSGGTVSQLRHRLASDAENIIYQPSVESQTMTSTNEKLKEKELTIEGGAISISSVYSQGLLDTLTHALQTSGVDLSQASISVQIELGKQAKITPNVPISMCVSKDDEDPSKNQRKIRTRVAGSEKSDQAVKKLKTCRS >CAK8563279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588899077:588906491:-1 gene:gene-LATHSAT_LOCUS16705 transcript:rna-LATHSAT_LOCUS16705-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQSRPCGAEEAGRKPTHDFLSLYSNSTAEQDPRSSSAQASYLKTHDFLRPLEQVETKSSAKEEATDEISSAVQKQPPLPTPASVEHLLPGGIGTYTISHINSYVNNTQRVPKPEASLFTVHQATSADRNDDSNCSSHTSSGFTLWEESEIKKGKTDKENNVGEKPIIGVADSAAKLGPWTTTERISQSFSNNRHGSFNSRSSSQTVGQKNQSFLEMMKSAQDCAQDEELENEGTFFLKRETLDTQRGELRVRVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWNNEPEKLMPWRNNDRPAETFQPRGTNSGSNPSPTLLFPSKIEEKNIPISPTIHASTPNVESGLSTTAAFKTIDHQSGIMNKTFQIPTSSQPNIFPSTQIGGSGGTVSQLRHRLASDAENIIYQPSVESQTMTSTNEKLKEKELTIEGGAISISSVYSQGLLDTLTHALQTSGVDLSQASISVQIELGKQAKITPNVPISMCVSKDDEDPSKNQRKIRTRVAGSEKSDQAVKKLKTCRS >CAK8563278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588899077:588906491:-1 gene:gene-LATHSAT_LOCUS16705 transcript:rna-LATHSAT_LOCUS16705 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQSRPCGAEEAGRKPTHDFLSLYSNSTAEQDPRSSSAQASYLKTHDFLRPLEQVETKSSAKEEATDEISSAVQKQPPLPTPASVEHLLPGGIGTYTISHINSYVNNTQRVPKPEASLFTVHQATSADRNDDSNCSSHTSSGFTLWEESEIKKGKTDKENNVGEKPIIGDSAAKLGPWTTTERISQSFSNNRHGSFNSRSSSQTVGQKNQSFLEMMKSAQDCAQDEELENEGTFFLKRETLDTQRGELRVRVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWNNEPEKLMPWRNNDRPAETFQPRGTNSGSNPSPTLLFPSKIEEKNIPISPTIHASTPNVESGLSTTAAFKTIDHQSGIMNKTFQIPTSSQPNIFPSTQIGGSGGTVSQLRHRLASDAENIIYQPSVESQTMTSTNEKLKEKELTIEGGAISISSVYSQGLLDTLTHALQTSGVDLSQASISVQIELGKQAKITPNVPISMCVSKDDEDPSKNQRKIRTRVAGSEKSDQAVKKLKTCRS >CAK8565764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:329355977:329356320:1 gene:gene-LATHSAT_LOCUS18949 transcript:rna-LATHSAT_LOCUS18949 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEYVRGVHFDDSEEERMKGFNEGLDEVFDDGAKVEQKYGASINEEGIAHVDKKMFITEEMGKEHVIEDAYMIDELDNCGDDDSCDE >CAK8572064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506431447:506434585:-1 gene:gene-LATHSAT_LOCUS24670 transcript:rna-LATHSAT_LOCUS24670 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKEKGNDIFSKHASNDGHDVSPRIGHEYQAEIPSVLKKSEQDSFQMNPADSEAVHDKSLSFEIILEDNEHEEMGYHEDSENHKLALDRSSSTWNDADTKSFILGLFIFGKNFIQIERFLENKGMGEILSFYYGMFYRTDGYRRWSECRKLKGRKCMIGDKLFTELRQHELLSRLNPHVSEESQDTMLQVSKSYAEGRTSLEEYISSLKSAVGLRVLVEAVGIGNEKGDLTRFGMEPGKKNRALPAQTCKDLSSLGPNEIIQSLTGGFRLSKTKSNDLFWEAVWPRLLARGWHSEQPKNRGYLTSKDYLVFLIPGIDKFSRRKLVKGDHYFDSVHDVLSKVVAEPNILVLKEEEKAKVASCNEDKPVKGSNEDDLSVDHRQRYLKPRCSTYSKDHTKFMVPDTSLVHQGKPIDLRELKSVPVNSAPRVELDPAGKKYKGYKYTRKVKHKKDMSESIKQNLTKLTAIDTNRLSDGKLLKLKVKLKRPPVKSEDASTMTNGLLRESNQGSPTDYSPSMAEANMLIYGKRKINKTDCLMGVSNSGATSKKGACDNLVNDANNMLQSQKNQNTSVFDNIPLDKIIKHQFNRKVRSGDSNHAAVPIKRRRLTACVKAEKSRIIEKISGGLGSEKTGFSLSSSFPDANKNLCEPVGLQQNESSTASSVDRSVEENTEKNILYESNQCGSVSCVKVEKCESFTFNIPQVPSNSENNKTLAMAAEGEQDLKAKGPCLTSSTHKVVEELLRTPCHVDSLEQHPDIKPRRQSTRTRPLTVRALECIANEFLNAQKRQKKKDGQLHKDPFNPCRKARTRGKTMMSRNCLDNGNAVLVQEEKHLNGDGSVS >CAK8565966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:362173445:362175088:1 gene:gene-LATHSAT_LOCUS19139 transcript:rna-LATHSAT_LOCUS19139 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKESCRSELRIAIRQLSDRCLYSATKWASEQLVGIEQDPSKFTPSYTRFQRGSSSIRRKFRTHEVTATPPAGVSYVVTPVMEEDALVDTDFYLLAKSYFDCREYKRAAHVLRDQIGRKSLFLRCYALYLAGEKRKEEEMIELEGPLGKSNAGNQELVSLEKELSTLRKNGTIDPFCLYLYGIILKQKGNENLARTVLVESVYSYPWNWNAWTELQSLCNSVDTLNSLTLSSHWMKEFFLAGVYQELRMHSESMLKYEYLLGTFSFSNYIQAQIAKVQYSLKEFDQVEAIFEELQRNDPYRVEDMDMYSNVLYSKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKAVVYFKRALKLNKNYLSAWTLMGHEFVEMKNTPAAVDAYRRAVDGFVNQHRIPNNSGTMSDEQSLGKEEPELIKNLRAAMISLQNLLTSNPNLASIFSNKNKLLPLFECFSVAEASDSNILQLCLAVLSLLTAHAPCLQAMVADGSSLLLLLQMLHSAPSCREGSLHVLYALATTPELAWAAAKHGGVSLHS >CAK8560377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16546851:16548656:1 gene:gene-LATHSAT_LOCUS14054 transcript:rna-LATHSAT_LOCUS14054 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQDTSPMSPFWLPKPNNHRRLRRTYSIFLTSTSFLVLVFITALVFAVVVVPTLRSFSSNIFKPQAVKHSWDYLNLILVLFAVVCGFLTKNDTNETQTQTHTPRFDRSFSNPNTPPSWYQNSDRSFNRLRSVGSYPDLRQPPSLEVANEERYRFYDDTHFQFRHRYSRLEAEDEVYRETVTSGEVCLSPMRLPEMEPVMDCGSEDDRGSFERLYEVETIEKPEINHSVTENTLPPPLQPVPVGRKKKTRSASTENAATFSYEEFSSPPLQPLPVGRRKKTRSASTENAATFTYEEFSLPVLEPELNLPPVTRTKPVRRNVNRTYQSETIDKFERNDFVAESSQPPPAVAPPLTEERKIGIPVKKKRGNATKEFLTSLRGKKKKQRQKSVENFETIQNSQPFPHVSLPPGPPPPPPPPSVFHNMFYSNKSKHKKHYLVPVATHKPQFPTKREHSNYRLDKNVVITGNESPLIPIPPPPPPPPFKLPAWKFRVQGDYVRVDSIGSSRSGSPDSDENENVESPISQNESSQCSNSPYAQDGEENVTKIGNASEASLFCPSPDVDTKAHNFIQNFRAGLRMAKMNSMKEKQGIGRSNLGPIQN >CAK8539821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:527362607:527363119:-1 gene:gene-LATHSAT_LOCUS8881 transcript:rna-LATHSAT_LOCUS8881 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWMSLQVHRPCLHGKLIKTPGSVNYRRLLPFLKNHTKEDFGTLKFGDQAQEAGLCANELPLPSQSEEASINIQMAVSGPMQDKVDPNALANNILVNPTNMLTHGNLLELTSSQDFSELPMQLDAKKFISNEEPRPPS >CAK8537993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:455123576:455125225:-1 gene:gene-LATHSAT_LOCUS7237 transcript:rna-LATHSAT_LOCUS7237 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKPNPANTSPLTPLTFLERASTIYNDVPSIIYNDTVFTWSQTHRRCLQLASAITSLGIRRGNVVSVIAPNIPAMYELHFAVPFAGAILNNINTRLDARIVSNILIHSESKLVFVDYATRDLVLEALLLFPSSQQRPLLILIKDDEETASSTVGFISTYDDLIMNGDPDFNWVHPVSEWDPMILNYTSGTTSSPKGVVHSHRGAFIVTLDSLIEWSVPKQPVYLWTLPMFHANGWSFPWGIAAVGGTNICVRKFDVEIVYSLIKKHHVTHMCGAPVVLNMLTNSPDNKPLEKPVHILTAGAPPPAAVLFRTESLGFTVSHGYGLTETGGLIVCCTWKKKWNLLPAKERARLKSRQGVRTIGLTRVDVVSPTGESVKRDGVTLGEVVMRGGCVMLGYLKDPEGTASAMKNGWFYTGDVGVMHEDGYLEIKDRSKDVIISGGENLSSVEVESVLYGHPAVNEAAVVARADEFWGETPCAFVSLKDGLKESEIPTEKDIVEYCRKNLPHYMVPKTVVFKEELPKTSTGKVQKFVLRQIAKDMGPLKKSRL >CAK8544062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661026361:661027812:-1 gene:gene-LATHSAT_LOCUS12771 transcript:rna-LATHSAT_LOCUS12771 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCGIHDVNGVFQTWMTTIYALNQLEQRRKLWEDLKQIHDSQQGPWFLMGDFNNLTKSMDRIGGNLVTEKEFEDLRSLMDHAGLFEKDITGDYFTWTNKHSIGTIYSKIDHVLGNIDWLQDNIDLKLEILPPSISDHCLLGLNAEKINRAVHKKFKFINSVVKIADYHDTVKQNWNKEITGIPMARLWYKLMRLQAPLSRLSKQFSNLQQTIVQARNDLLQTQESLIMDRMNTEIIEKVKTYTDEFTHLQELQDQMLRQRTKINWLREGDTNSSFFYAYLKSRTTTTNISQLYKDDGTCIHNQEDIEKEVCEFYGKLMGTREPIINTIDIDVMREGPQLSIEQKADLISPVSVTEITNALKGIGDLKSPGIDGYGGKFFKASWDIVDKNVIEVVTEFFEQNVIYKAFNETIVTLIPKQPDAKILKDYMPIAGCSTIYKIISKILTTRLGKVLGNIISKAQAAFVPGQKIHSHVLLAMELLKG >CAK8542737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541726486:541733926:-1 gene:gene-LATHSAT_LOCUS11548 transcript:rna-LATHSAT_LOCUS11548 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPSQTQPPSSSSSSLPIPNPNSQHGISDTSSSLSPQLNHALGSLQISDYPGPSTPAAEDSGGPSEKVTELESSNGMKAPQRNSRSHSRSHSGRRTAGLSHSEGMTTGTVSSHRNQQATGSAYSQGSTPLAGRKSQMANGNHLLNFQYDPISRSQQRGPPPPPPARRQRKRRPYNKDLFLQANFKFMVLDSGNYSRESMDPDKMLQWEDIICVTYSTPSPIQCPICLEHPLCPQITSCGHIFCFPCILQYLLLGEEDHKGDCWKRCPLCFVMISVKDLYTVHITNVKQYQVGDNIEFTFLTRKKDSFTLSHKNKQETDNSSYGQGDVCDPFSKFTLTLDVDLSVRHAISDLDGWLARADSGLVDDLEKLPYVSVAMQQLKQRKKYWNEHKASYSEKSSILIDNALQVPSVSANAVDTDDESCSNGSRTSSTDFPDQSKVVILDKSIAGGSQDETLELEKILVEQEVNLSSSYEEKKCIQGHSNGIGDAKESDSYNFYQAADGQHLILHPLNTKCLLHHYGSYDKLPHRISGRILQLETVTQSEAVRRRYRFLSHFPLTTTFQLCEVDLSEMLPPEALAPFLDEIKNRANQRKQLAKKELKEKLKAEASSNYALSMSTHYQFISRDDPPTFSMDDFEALGSSTLSSSSPVVGERKLFSSVTRLGFAAAHDSPSFQAQETSDLHNNNSIADSSGSAGLRNVETLSYSNVISRAESNGSSNAPKTNDSGKKGKKPNRVLLSTAGGRRY >CAK8532465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266977299:266979973:1 gene:gene-LATHSAT_LOCUS2168 transcript:rna-LATHSAT_LOCUS2168 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTFCLFCGCVEQSSVGVVEQWGRFHRLAQPGFQFFNPLAGECLAGILSTRIASLDVKIETKTKDNVFVQLLCSIQYRVVKESADDAFYELQNPQEQIQAYVFDVTRAIVPKMYLDELFEQKGEVAKGVMEELGKVMGEYGYSIEHILMVDIIPDPSVRRAMNEINAAQRLLLASEFKGEADKVVIVKKAEAEAESKYLGGVGVARQRQAITDGLRENILEFSTKVEGTSAKEVMDLIMITQYFDTIKELGNSSKNTTVFIPHGPGHVRDISNQIRNGMMEASCAQVTDDVE >CAK8562601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514766338:514768613:1 gene:gene-LATHSAT_LOCUS16086 transcript:rna-LATHSAT_LOCUS16086 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVHSDLEMSMAQNESGEKIEKWKKRMHVFGERFKRFPCLAWKTIWKVGWDDPRRLIHAFKVGLSLTLVSLLYVLEPLFKGIGQNAIWAVMTVVVVFEFTAGATLCKGLNRGLGTLLAGLLAFLLDYIANASGHILQAVFIAVAVLIIGSVATYIRFIPSIKKNYDYGVVIFLLTFNLLTVSSYRVDHVFKLAHDRFYTIAIGCAVCLVMSLFVFPNWSGEDLHHSTAFKLDGLAKSIEVCVNEYFYGEVDFSGDVKSSEDPIYKGYKGVLDSKSTDETLALHASWEPRYFRYCHKFPSQQYVKVGTVLRQFGYTVVALHGCLRTEIQTPQSVRVLFKDPCIRLAAEVSKVLIELANSIRSRRHCSPEILSDHLHEALQDLNTAIKSQPRLFLSSKEIQANNMLATIAAAQAGATEQITKHGKASLPSVKTDSSALLDWKTKRVSAEQTKDVEQLPERKVLRSQMSKIAITSLELSEALPFAAFASLLVETVAKLDLIIDEVEELGRLACFKEYRHGDELFDSCEKPRVDVLENHLPSHGGE >CAK8575722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:280210573:280212717:1 gene:gene-LATHSAT_LOCUS27960 transcript:rna-LATHSAT_LOCUS27960 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGIGLVLARATELRFKITNCIHHNSKSSSPNAVDDEEDDDDVATDGLLNICDALEALETQLSSLQVLQQQQRYEREIALAEIENSRKMLINKLKEYKGKDLEVIHEASTFASETVEPNNDLLLPPYPTRPPYSMSLDKEYLSQNPSVNKSGRNGLITLDNVIEAKRNPNEKEKNHVEDGGKSSRKGLWCVITSAAKTILTVVGVVSILSLSGFGPNLHKTRFNAQAKHHRLENENVRSTTERLCPPGRVLVMENGEARCLVKERVEIPFSSDAATPDINYGSG >CAK8540294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549532510:549535626:1 gene:gene-LATHSAT_LOCUS9310 transcript:rna-LATHSAT_LOCUS9310 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSVSFLLDRLSSLLQEEVNLQRGVREDVQYIKDELERHKTILMKADSMEDKDPELKVWVKRVRDIAEDMEDAIDEYSIRLVDHQQGNKYSVIHRMVFGLKTLGARHRIASDIQGIKSKVEILSNGRAIISGVGSGSSQRLSSRLDSQGDALLLEEADLVGIEQPKKQVCDLLFKDESNRAGVSTYVISIYGMGGLGKTTLAKQVYDDPKVKKRFRIHAWANLSQSSKMEELLKDLYQQLHSVIGKPVPEDVGTMKNEKVKESIKNLLRGSRYLIVLDNVWHVSVWDAVKLALPNNNRGSRVMITTRNKDIALYSCAGFGKNFHLDFLPEQEAWSLFCRKTFQGNSCPPHLEEVCRNILRMCGGLPLAIVAVSGALATRGTSNIEEWEIVCRSFGSEINGNDKLEDMKKVLSLSFNELPYYLKSCLLYLSMFPGRHAIEHTRLIRLWIAEGFVNGEDGKTLEEVADSYLKELLNRSFLHVVEKTSDGRMKTCRLHDLLREIVSFKSKDQNFATIAGDQELVWPERVRRLSVINSSCDVLRQNKTRFKLRSLLMFALPDSLNHFSLHELCSSTGVKLLRVLDLKDSPLEDFPAEIVNLYLLKYLSLKNTKVKSIPGSIKKLQNLETLNLKHTYVTELPVEIAELKRLRHLLVYRYEIESYAHFDLRHGFKVIAPIGNMQSLQKLCFIEVDQGSAALMVELGKLTQLRRLGIRKMKKEDGPALCSAIEKMIHLRSLSITAINEDEIIDIQNISKPPQYLQQLYLSGRLEKFPQWINSLKNLFRVFLKWSRLNEDPLQYLQNLPNLRHLEFLQVYIGETFHFKKNGFPNLKVLGLDDLEGLNSMTIEEGAMQGLKKLVIQRCGSFKIVPLGIEHLTKLKTIEFFDMPDELITALLPNGGKDYWRVQHVPTVYSTYWRDGGWDVYSLETFGERVTDSNHSTAKRTLEPPTLWKV >CAK8541362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:136038192:136042846:-1 gene:gene-LATHSAT_LOCUS10287 transcript:rna-LATHSAT_LOCUS10287 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKELNLLNESLGLHSNNNLYGRFVLVEDTVDTSAAFVLHHILKRTFSSHPSSSVIFLALSNPFSHYDRILRKIGCNLAAHRDNNRFFFIDLLMLQFPDEGKSNQNGFAAVFEKIERVIKALPQDNTKFVTIMIDDISFLEVAANGSSNDVLDFLHYCYTLTSEYGCAFIALDHKDIYLNEEKPDIILEMEHLADILVKAEPLATGLAKDVHGQLMVLHKQHGISPVKIHNFHFKIKENSIECFYPGTKI >CAK8568015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:563048927:563051970:-1 gene:gene-LATHSAT_LOCUS21017 transcript:rna-LATHSAT_LOCUS21017 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEAVKDLGSGNFGVARLMRNKVTKELVAMKYIERGQKIDENVAREIMNHRSLRHPNIIRFKEVILTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHFCHTMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQDDPKNFRKTIQRIMAIQYRIPDYVHISQDCRHLISRIFVANPLRRITIREIKSHPWFLKNLPRELTESAQAMYYQRENPSFHLQSVDDVMKIVGEARNPPPISRPIRGFGWEGEEDEEAEDEEAELEEEEVEEDEYDKRVKEVHASGEFHVS >CAK8568073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569456989:569462883:1 gene:gene-LATHSAT_LOCUS21069 transcript:rna-LATHSAT_LOCUS21069 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAQSLSVPGLVAGHKHSQHKGSGKSKRSVKMTCALRTSGGFSGLRTFNHLNTMLRPGLDFHSKVSKAVSSRQARAKRFIPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQVIRMVGESADSVTATVGSGSSNNKTPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEAKELDKEVRKIVKEKEEYVRNQDFEKAGELRDKEMDLKAQISALIEKGKEMSKAETETADEGPIVTEVDIQHIVSSWTGIPVDKVSADESDRLLKMEDTLHQRIIGQDEAVEAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSGDAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDFDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFQRLKIKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLSREIKEGDSVIVDVDSDGNVIVLNGSSGTPESLPEALSI >CAK8576943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527062115:527063489:1 gene:gene-LATHSAT_LOCUS29097 transcript:rna-LATHSAT_LOCUS29097 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSEEGKVVCVTGASGYIASWIVKFLLQRGYTVRATVRNISNPNKVEHLLRLDGAKERLQLFKADLLEEGSFDSAIHGCHGVFHTASPVHFVVEDPLTQLIDPAVKGTLNVLKSCAKSASVKRVVLTSSIATALYNGTPRTPEVVVDETWFSSSDFLLENKMWYQFAKTSAEEAANKFLTENNIDFVVMNPAVALGPLLQPELNDSSTLILNLINGSETFMNAAFGWINVKDVANAHILAYENSSASGRYCVVERVIHFSELIKILRDMYPTLRIPNKCADEKPLMQIFQVSKEKAKKLGVEFIPLEVSLREIIESLREKKFVDF >CAK8530980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67576922:67581396:-1 gene:gene-LATHSAT_LOCUS802 transcript:rna-LATHSAT_LOCUS802 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAELSGTNLDIPEELLQALPSDPFEQLDVARKITSIALSTRVNALQSEVAALRAELAEKDELIAELQAQLEAPHATVAEAADKLALAEQDKERLVKENASLSSTVKKLSRDVSKLEVFRKALMQSLQEDEEKPGGSSNVAAMLHSQASITSTSHLGDEDASLPPRSSSMRNNTFDAANSSADDRESDGGGRSQVSSIPSHSFLLASQTTTPRLTPPGSPPIVSASVSPTRTSKPPSPRRHAVSLSIDRTSSVFSSHGSMSSYAGTGSQTARTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTKEETLKKADEIFGPENKDLYTIFEGLITRNVQ >CAK8562506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502567355:502569674:1 gene:gene-LATHSAT_LOCUS15998 transcript:rna-LATHSAT_LOCUS15998 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASEWNYSQEIPSDVTVQIGEASYSLHKFPLISKSGYIRKLISECCDANSDILLIELIDIPGGSEAFELAAKFCYGINFEISVENIAMLRCVAEYLEMTEDYSVGNLAGRTDSYLNDVALKSMSGAISILHISETLLPIAEKAKLVSRCIDAIAYIASKESQFCSSGRSDGSSNERVMNSSTVSHQRPVVDWWAEDLTVLRIDFFQRVLIAMMARGFKQCGIGPIIMLYSQKSLRGLEIFGKGRKEIEPHEEHEKRIILETLVSLMPREKNAISVGFLSIWLKAAKFLETTVACRFDLERRIAMQLGQAVLDDLLIPSYSGNTSFDVDTVQRIMMSYLESELENRSGYNDQDEYYSSPQRDVIRVGKLLESYLAEIATDRNLSVPKFICLAELMPEKSRTIEDGMYRAIDIYLKAHPFLSDADRKKVCSMMDCQKLSREARAHAAQNNRLPAQNVAQVLYHDQQRLRDNMDGTGSVGSDSPSTPDKRNVYSSELYPASNEVSKLRRENEELKLEITKLKMKIKEIEKCTIEYGVSTNSPLLNSPSAHKPPLHRKSFLNSVSRKLGRLSPFSRTDPSLTPAKDRIKLDKVRRYSVS >CAK8569388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698078713:698087437:-1 gene:gene-LATHSAT_LOCUS22244 transcript:rna-LATHSAT_LOCUS22244 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVDEAQPNQSPPHASDSPPKLSTNADDVPNGGDLETVVAGEEAGDATANTDVAVADVSSAGGGEMHAMDDASKEDETVVEEKGNEGADVGMESEKVEEDEANLIIEEVEVQVADDNTDEDPLTGGENLGGENLGGEKEEGGDDEKEEGGDDEKEEGGDDEKEKDSDGEKEEDEIEEDGEEQRHEDDEEQEHEEDAEEEADGGLAKDKEAAEETEVAEEQSANGGKRKRGNGKNSKASGRVPSKKKMEEDVCFICFDGGDLVLCDRRGCPKAYHPSCINRDEAFFRAKGKWNCGWHLCSNCEKTPHYFCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMKTVMLIEQNEEGNTTGQVDFDDKSSWEYLFKDYYVDLKEKLSLTFDELAEAKNPWKGSGKLPSKDESPDELFDPTNDKGSDSDSSYENVDLGRSKKRKSKRRAKSRSKRGADGLSTEESSEWASKELLEFVMHMRNGDKSMLSQFDVHALLLEYIKINKLRDPRRKSQIVCDARLQDLFGKPRVGHFEMLKLLESHFFLKEDSQPEDHQGSVVDTEVSHLEGDGSGDAYTKAGKDKKRKSRKKGDGRGLQSNVDDYAAIDNHNINLIYLKRNLVEDLLEDIDKFHDNAVGSFVRIRISGSGQKQDLYRLVQVVGTCKTAEPYKVGKRMTDILLEILNLNKTEIVSMDIISNQEFTEDECKRLRQSIKCGLINRMTVGDIQEKSLTLQAVRVKDWLEAEIVRLSHLRDRASEKGRRKELRECVEKLQLLKTPEERQRRLEEIPDIHVDPKMDPSYESDEGDEMEDKRQESFTRPRGSTAFGRKGREIASPRSGSISNDSWSGTRNYSHVNQELSRNLSNKGFSVKGDDFSNASEALNDAQLHQGRDRESQLSNSRERQKPRSSSWESGAKNIQPLVTTESFSNAVSEAATTPSSAGVAAPDVKINETEKMWRYQDPSGKVQGPFSMVQLRKWNNTGYFPTDLRIWKATEKQEESILLTDVLAGKFSKEPSIVEKTSPKAQNHSSSLSRMSPLAAQGLTGKTSPLAVEVPKNPGNGWGSDTGVRNESTNLPSPTPQTASGGSKDHTFENWSPTPVQMAGPVLGNSFPSGVRGLQASVAVNAQPGMATDTTQVHLQATEMAAQALAASNMQNQISHNSRAEAQGWGQNMVPKQEPQVWVGTPSQKVEPNNSATMPAQPTSHALWGDASSVQNSVSSNMGNQTGSLPTHGFPRMSAPEPWRPPVAGNQANVMAPPPPNVPWGMNMPGNQNVNWGGAIPANTNINWMPPQGPAPGNSLPGWVAPTQGLPPVNANAGSWVAPGQGHPHVNANANVGWVVPGQGPAPRSANPAWAASAGNPGMRGNEQSHNGDRFPNQGERGTRGDSGRGGKPWNRQSSFRGGSGGRGGDSSRPPGGGQRVICKFHEIGRCRRGATCEYQHN >CAK8538562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487288234:487290153:-1 gene:gene-LATHSAT_LOCUS7747 transcript:rna-LATHSAT_LOCUS7747 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDDMGFCGDLDMFCGALGEGDMSVRQTEPDSVVEDDYSDEEMDVDELERRMWRDKMRLKRLKEQTKAKEGIDAAKARQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQVDNAIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGNPPPWWPTGIEDWWPQIGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPEYCPTMSSGGGSGSMVINDCSEYDVDGAEDESNFDVEDRKPENLHPSNLGMDRMRGMFPVQQISPPIKGEVVTNLDFIRKRKISSDFSIMMDHKIYTCEHPQCPYSEVRLAFQDRASRDNHQLNCPHRIGSADYGSPNFHATEVKPVIFPQSFVQPNSVAQPTSLVPPSFDLTGLGVSEDSQKMISDLMSVYDTNIIGNKNASSTNCIAVGNQNLSQHNIQQQQQQNFFSSQGMAMESNFFTRDENQFDRFKAVNTPFETNQHPNINNNNINFMIGSPCDLASFDFREDIQQLQGVVGMDNLHKQPDGSIWGYN >CAK8538563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487288234:487290153:-1 gene:gene-LATHSAT_LOCUS7747 transcript:rna-LATHSAT_LOCUS7747-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDDMGFCGDLDMFCGALGEGDMSVRQTEPDSVVEDDYSDEEMDVDELERRMWRDKMRLKRLKEQTKAKEGIDAAKARQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQVDNAIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGNPPPWWPTGIEDWWPQIGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPEYCPTMSSGGGSGSMVINDCSEYDVDGAEDESNFDVEDRKPENLHPSNLGMDRMRGMFPVQQISPPIKGEVVTNLDFIRKRKISSDFSIMMDHKIYTCEHPQCPYSEVRLAFQDRASRDNHQLNCPHRIGSADYGSPNFHATEVKPVIFPQSFVQPNSVGQPTSLVPNSVGQPTSMVPNSVAQPTSLVPPSFDLTGLGVSEDSQKMISDLMSVYDTNIIGNKNASSTNCIAVGNQNLSQHNIQQQQQQNFFSSQGMAMESNFFTRDENQFDRFKAVNTPFETNQHPNINNNNINFMIGSPCDLASFDFREDIQQLQGVVGMDNLHKQPDGSIWGYN >CAK8562630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517794277:517798464:-1 gene:gene-LATHSAT_LOCUS16114 transcript:rna-LATHSAT_LOCUS16114 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTSKWIEEVRSERGSDVIVVLVGNKTDLVDKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSTTKQEDMVDVNLRSSAGHDSQPQSGGCAC >CAK8574603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3520410:3522116:-1 gene:gene-LATHSAT_LOCUS26932 transcript:rna-LATHSAT_LOCUS26932 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSTMSYNSKSNFDELRWVIHIRKTLEEEFEEEDGELSVTIFNVPKLLMASDPDSYVPQQVAIGPYHYWRPELYEMQSYKLAATKRFLKSLQTLKLDKLVDQLTKFEQRVRACYHKYLDLNGETMVWMMIVDASFLLELLQIYAMQEGVTKRVVSSSMSHLVDYAGRKSAHNAMLRDIVMLENQIPLFVLRKLLEFKFSSLEAADEMLIFMFIGLFKQISPFKMIENFPNIKVSESAHLLDFFYDMIVPKPETENDIAIDVEIHEEEEEQDNKGDDENSKGESSYVKQSFNEIWKILSKLNKGPMQLLKRALVSKPLKVLVKFPWKIITNLPGGKLLKQPIEYLFFSKEKGDEENQENETSSSFINKPPLIEEITIPCVKELINSGVRFFPTNDGTISSISFDAKTRIFYLPIIGLDVNTKVFLRNLVAYESSVGSGPLVITRYTELMNGIIDSEDDAKILREKGIILSHLKSDKEVANMWNGMSKSLRLSRVLFLDKTIEDVNKFYNSRMKVKVWKFMKSYVFGSWQILTFLAAIFLLLLMALQAFCSVYTCSRFFESTLQQSD >CAK8569056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667184544:667186929:1 gene:gene-LATHSAT_LOCUS21950 transcript:rna-LATHSAT_LOCUS21950 gene_biotype:protein_coding transcript_biotype:protein_coding MECAPQKNSTSNIELVIIEKNIINQNNDQKPTSLIVTKRFITCLLKKLHAGYFRISLSLGGQALLWKTLIGPTKDTNISRHVLSMLPNSVFILLWSLSLFILTLLSLLYLLRCLFFFKMVKAEFLHHVGVNYLFAPWISWFLILQSAPVDLITPETATYLILWWIFAVPVVVLDIKIYGQWFTKGKRFLSTVANPTSQLSVIGNLVGAQAAAEMGWKECAVCLFSLGMVHYLVLFVTLYQRFSGGDRVHVMLRPVLFLFFAAPGVASLAWESIVGDFDTLSKMLFFLSLFLFLSLICRPALFKRSMRKFNVAWWAYSFPLTVLALASTEYAQQVKGIFSHILMLFLLALSVLVSVSLMVFTLLNSKMLLPDNDPIASFLIV >CAK8569057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667184898:667186929:1 gene:gene-LATHSAT_LOCUS21950 transcript:rna-LATHSAT_LOCUS21950-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAEFLHHVGVNYLFAPWISWFLILQSAPVDLITPETATYLILWWIFAVPVVVLDIKIYGQWFTKGKRFLSTVANPTSQLSVIGNLVGAQAAAEMGWKECAVCLFSLGMVHYLVLFVTLYQRFSGGDRVHVMLRPVLFLFFAAPGVASLAWESIVGDFDTLSKMLFFLSLFLFLSLICRPALFKRSMRKFNVAWWAYSFPLTVLALASTEYAQQVKGIFSHILMLFLLALSVLVSVSLMVFTLLNSKMLLPDNDPIASFLIV >CAK8534164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701416206:701416976:-1 gene:gene-LATHSAT_LOCUS3731 transcript:rna-LATHSAT_LOCUS3731 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYQNQYGAQTGMTDEYGNPVNQLDQYGNPISGGGFTGDAGRQHFGTTGGATDHGHGQQHRGVDQTTGYGTHTGGVGGYGTTGGATDHGHGQQHRGVDQTTGYGTHTGGVGGYGTTGGATDHGHGQQHRGVDQTTGYGTHTGGVGSYGTKPEYGGTNTGSGYGRGTGYGGTGTNQYVREEHHGDKKGVMDKIKEKIPGTEQSRTNTDGAGYGTTGQEYVRGEHRADYGDEQHGEKKGIMEKIKEKLPGTGGCTGH >CAK8537645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:414850589:414851357:1 gene:gene-LATHSAT_LOCUS6911 transcript:rna-LATHSAT_LOCUS6911 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHQESRVPKGGSKNSSTDLNMLESEVMRFPLVQANIGSSSRKVKRKWNSREERKVDREYDVVLVPSDGGCVSGSESDDSDWSIGWLEPHGPGFPSDDETDNSFAVLVPCYGRDNGRIQEDTKTNLLNGVGIFLDSYSDESKKYVENWLSSLRNT >CAK8537440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:358685872:358686570:1 gene:gene-LATHSAT_LOCUS6732 transcript:rna-LATHSAT_LOCUS6732 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFADDVLLIGDGSVTNTWAFKAVLRAFELTSGLKINFSKSCPYGIGVDPAFLVAAEEFLHCKSGRLSFNFLGLLAPKKIVNDIIAIQRRFLWAGNSNKKFISWISWNSICKPKEHGGLGIKHVGRFNCALIEKWLWRFQSGGNEIWRKTLILRYGNLRMKVQTFTDVGSSKFDSS >CAK8563034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567992579:567993514:1 gene:gene-LATHSAT_LOCUS16483 transcript:rna-LATHSAT_LOCUS16483 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQDQRIVINRWFDLRRRAIVQLLCAIVYYYVRMSRKRKLCYSMSSERERVREEIIYRISNSETSRNILRMCPKTFMSLCGLLEREGGLQATQWSSVEEGGFQATRWSSVEDGGLQATRWSSVEEQVAKSIYILAHNAKNREVNFWFRRSGETISRHLHQVLRAILELEEKFLIQPDGSTVPPEISSSYRFYPYFKDCVGAIDETHIRVKVSAKDAPRYRGRKDYPTQNILAACTFDLKFTYVLAGWKVSASDSRIIKNALTREDKLKIPQGNIRVT >CAK8563220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583859963:583861945:1 gene:gene-LATHSAT_LOCUS16653 transcript:rna-LATHSAT_LOCUS16653 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYQVESEMIEPEDVDEKANPNEGLIVACGEETDVNQVETEMIEREDVDEKDNPNQGLIITKGEEISDNQEESEMIEPEDVDEKANHNEDFIITKGPAKILSRYLRERRSSCHDLCKYGIQHATEEKPWKTAKKRRERKANVTSLDGTDKSGSSQLRNYGVDIKEVITNDKTAISEKILTPYEEIEVSMEHNNSDMVRESAEVSSLHVKECSKSQRKSKLVKNKCAFGSSSKKETAIRSNQKTTSSNGGKDKSSASSFLLSSKQNVKKSSSSSETAKNLKRVSSTKNHENGEEVNNLPEKILHAIEPTMENLSEEPTTLACGATKPPSPSPSSLSALKDKSLKRTSKKTGRSAGSASSRKGLRHVGHGTLTHQSSGNKFKTNIQLKTHSISRSSSALSSVSSSNSSLRKQNGTTSKPNKTGHVNQGEHLKVGYKIRPKRTTVVGGANKAIPSRKLTFRRGNVIEIQPQSNNIPRRLKFKPVRLLGDDARRDANGTRKRIITKKEADGAELNGASIKTEKILKFKPVRLLSDDARREANGTRKRIITDGAELNAASIKTEKIDLKHQTTERGKSRSLARKVGGVDRTKVSGSKSGSEKVVLRHQNVEGRKQNTGLYNNVIEETASKLAGLRKSKVKALVGAFETVISLDSPREAATAEAF >CAK8564244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:661881760:661881996:-1 gene:gene-LATHSAT_LOCUS17567 transcript:rna-LATHSAT_LOCUS17567 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQWPKRGLNVKGRKKKAKLCLAKNANVGKSCLQKKLQELEGTVPGNNLFQSIEKYILQLEAKVTILRCLSNFYGV >CAK8533775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659406572:659406832:-1 gene:gene-LATHSAT_LOCUS3373 transcript:rna-LATHSAT_LOCUS3373 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIDRFLLSDALIDHWNIVGQSIGKRELSDHFPIWITINADNWGPKPFRFMDCWLDHNGFACFVENEWNSFAISRRSGFVIIQNA >CAK8562621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516679908:516680132:-1 gene:gene-LATHSAT_LOCUS16106 transcript:rna-LATHSAT_LOCUS16106 gene_biotype:protein_coding transcript_biotype:protein_coding MASANESFVYTAKLAEQAERYEEMVEAMKKVAKLDVELTVEERNLLSVAYMNVVGGRRASWRILSLIEQRKETM >CAK8578902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661250405:661255520:1 gene:gene-LATHSAT_LOCUS30881 transcript:rna-LATHSAT_LOCUS30881 gene_biotype:protein_coding transcript_biotype:protein_coding MANTENLDAKIEQLLNVEKQMRLAGEIVGTRKAAIDILQLCFEAKAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDETPDIETRIELIKTLNSVSAGKIYVEIERARLVKKLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDASKEKKKPKEGDNMVEEAPVDIPSLLELKRIYYELMIRYYSHNNEYLEICRCYKAIYEIPSVKENPAEFIPILRKICWFLVLAPHEPMQSSLLNSTLDDRNLSEIPNFKLLLKNVVTMEVIQWTALWDTYKNEFDSEMVSGNFLSEKAAEDLKQRIIEHNILVVSKYYARITLKRLAQLLCLSLEEAEKHLSEMVVSKALVAKIDRPMGIVCFQTAKDSNDILNSWAANLEKLLDLVEKSCHQIHKETMVHKAVLKV >CAK8578196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612045676:612046741:-1 gene:gene-LATHSAT_LOCUS30230 transcript:rna-LATHSAT_LOCUS30230 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNQDEVINDGEVPVNGKQSRGEPVIKSGRNIKNQKGKYGKYHDSHHEMHIWNERERRNEMRNMFASLHSLLPHLPSKVHKSTIVDAAVTEIKNLQQILENLEKKKQEKLKSMFPFVSDSSSVTNSPLNSYESRKYIIVDQGPSNNNNNNKFPISAIETSNALSLYAPPPQQVAFQTWSSKNVMLNICGGEAQFCICSSKKSGLLTIISFVLEKHMIDVVSINITRNGNMYMILVHGSHGSYNKISMEETYKQAAGEISMWIS >CAK8578197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612045676:612046732:-1 gene:gene-LATHSAT_LOCUS30230 transcript:rna-LATHSAT_LOCUS30230-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDEVINDGEVPVNGKQSRGEPVIKSGRNIKNQKGKYGKYHDSHHEMHIWNERERRNEMRNMFASLHSLLPHLPSKVHKSTIVDAAVTEIKNLQQILENLEKKKQEKLKSMFPFVSDSSSVTNSPLNSYESRKYIIVDQGPSNNNNNNKFPISAIETSNALSLYAPPPQQVAFQTWSSKNVMLNICGGEAQFCICSSKKSGLLTIISFVLEKHMIDVVSINITRNGNMYMILVHGSHGSYNKISMEETYKQAAGEISMWIS >CAK8570388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42495041:42497149:-1 gene:gene-LATHSAT_LOCUS23138 transcript:rna-LATHSAT_LOCUS23138 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLIPSTPFFSKTLTRLKPFLSLFSTLPHNNNNIAHSQYDTTGNSRLHSAVSQPELLLRVLNSVKHRPLVALRFFRWAEKQPHFNRSGSAYVEILDILARNGVMRGAYWVMEKAIEAKIDGVVLDILVGDGGGSGEVTGSEVVSVKLLDLLLWVFAKKSVLEKCLLIFYKMIHSGLLPDVRNCNRVLKLLRDRSMVNEAKEVYNVMVEYGIKPTIVTYNTLLDSFCKQGEVERAMELLFMMRKIGCDPNDVSYNVLVNGLSRKGDFDRAKELTEEMLKLGLKVSAYTYNPLIRAYCKEGMLEEASDLEQEMLGRGALPTVATYNTVMYGLCRLGRVSDARRLLDVMVSRNLVPDLVSYNTLIFGYSRLGNLGEAFLLFVELRYKNLVPSVVTYNTLIDGVCRLGDLDIAKRMKDDMIKHGPFPDVFTFTILVRGFCKMGNLPMAKELFDEMLHRGLQPDRYAYTTRIVGELKLGDPSKAFGMQEEMLAKGFPPDLITYNVFINGLYKLGNLDEANELVQKMLRNGFVPDHVTYTSIIHAHLMSGHLRKARVVFYDMLKKGIYPSVVTYTVLIHSYAVRGRLDLAIMYFFEMQDKGVNPNVITYNALINGLCKERKMDHAYNFFAEMESKGVSANKYTYTILINENCNLGHWQEALRLYKDMLDKEIEPDSCTHSALLKHLSKDYKLHAVQRLENVIRGGE >CAK8542529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523455296:523458428:1 gene:gene-LATHSAT_LOCUS11363 transcript:rna-LATHSAT_LOCUS11363 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLCTSPKWIGTTVFDSASSSKPSLASSFSTTSFSSSILSSKSVGLQSLSLRRNVSLSVRSSLETAGPTVTVGKVTEVNKDTFWPIVNAAGDKTVVLDMFTKWCGPCKVIAPLYEELSQKYLDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDNKIVKEVTGAKFDDLVAAIDTVRSS >CAK8571596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446152065:446157280:1 gene:gene-LATHSAT_LOCUS24241 transcript:rna-LATHSAT_LOCUS24241 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSISLKPFPNSLSISRPTQTIISGKSWDSLVFNKSENFRAHKLLCADGLKLRCSVDREMDVSTSALVDDGVVAECLNEEELREPSVSTMVMNFESKFDPYGSVSTPLYQTATFKQPSAIENGPYDYTRSGNPTRDALESILAKLDKADRAFCFTSGMAALTAVVHLLKNGDEILAGDDLYGGADRLLSQVVPRSGVLVKRVNTSDLDEVASAFGPKTKLVWLESPTNPRIQISDIRKIAEMAHAHGALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDIMAGVLAVKGERLAKEIYFLQNAEGSGLAPFDCWLCLRGIKTMSLRIEKQQDNAQKIAEFLASHPRVKKVNYAGLPGHPGRDLHYSQAKGAGSVFSFLTGSLALSKHIVETTKYFSITVSFGSVKSLISLPCFMSHASIPAAVREARGLTEDLVRISVGIEDVDDLIADLDNAFRTGPL >CAK8539407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512062744:512064614:1 gene:gene-LATHSAT_LOCUS8507 transcript:rna-LATHSAT_LOCUS8507 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLAFTLTSPRPFTASPTFSSLSSSTSASTLHHPIRHSCLRRRLFDFSPKAASDQQEDAVVDSKILQYCSIDQKEKKSIGELEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSSEQKFLEASIAYVTGKPILTDKEFDELKLKLKMEGSEIVAEGPRCSLRSRKVYSDLTVDYFKALLLKVPATVVALGLFFFLDDVTGFEINYLIAIPEPFSFILTWFAAVPFILWLAQSITNAIIKDFLILKGPCPNCGTENTSFFGTILSISSGDSTNKVKCENCATVMVYDSTTRLITLPEGS >CAK8532694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:485070852:485074448:1 gene:gene-LATHSAT_LOCUS2376 transcript:rna-LATHSAT_LOCUS2376 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRAVARPLMARIKQTTGIVGLDVVPNAREVLIGLYSKTLNEIQKVPEDEGYRKAVESFTNHRLKVCQEEQDWEKIESRLGCGQVEELIEEARDELKLIGYMIEWDPWGIPDDHEIEMVENDASIPKHVPQHRPLPLPKEFRETLEALMSQPGMTEKLEALVSKSVKDSAVASSSEEPSKPKP >CAK8560722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37983806:37987576:-1 gene:gene-LATHSAT_LOCUS14377 transcript:rna-LATHSAT_LOCUS14377 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFYFFLCIFFILLTSFTPSYSYQPRRFLHQPFDPQNPLSPSQQPNPSPSPPSLSPPPPPPNTKYPFSTNTPNASSSSSTPFFPTYPSPPPPPSPSTFASFPANISSLILPQTPKPNSSSSKLLAVAIVSVLAALAVVSVSAFIYCRRRRKRFSGDDKTLRSDSSIRLFPRDGGPVVAKSRNVSSTSSEFLYLGTIVNSRGDELPESHRNTRKMDSPELQPLPRLARQGSRVYDGGAAPVGEGEDEEEEFYSPRGSLNGNGSGSRRIFAGISAENLVGRSSNESTSSSSYSSSSASPDRSHSISLSPPVSLSPRRSQSKSPENVLSQPPTETIHGSDGGRSSVSSSQASSNRNVRSASSMSSTPEKIFAGECQSPSLSPLNLSPSKNSNGPVVNLVKTESCNGDASSPRLSNASSGKSSSSAFTLPSPEKVAMMHHHNNHGLDQSPTISDVSDRFRHSPLSSLPLSPTLLSSPEREMNPMNTRLPPPPPPPPSRKHWEIPDLLTPIVESPSVLIPHGIASQRKQWEIPVLSTPIAPSVKVSAPPPPPPPPPAMSQRQRKQWEVPSPTTPVGQPVVCRPPELKPPSRPFVLQTPSNTLVSPVELPPSFEENAEEVLKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVVNTPNPKPKDATPRSVLAPQNHEDRVLDPKKSQNISILLRAVNVTVEEVCEALLEGVTDTLNTELLESLLKMAPSKEEERKLKEYKEDTPNKLGPAEKFLKAVLDVPFAFKRVEAMLYIANFESEVEYLRKSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARHSDTTTTTTTTTSVTNQTPSTTLSDDAKCRRLGLQVVSSLSSDLANVKKAAAMDSEVLTSEVSKLSKGIKHIIEIVKLNQTAGSDENVRKFTESMNKFMRMAEEEIVRIQAQESVALSLVKEITEYFHGNLSKEEAHPFRIFMVVRDFLTVLDRVCKEVGNINERTMISSAHRFPVPVNPMLPQPLPGLHGRKHYSSSDDDNPSP >CAK8579140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679302880:679308266:1 gene:gene-LATHSAT_LOCUS31108 transcript:rna-LATHSAT_LOCUS31108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGGSSRKSGSFTTSPRRSKKKGTEFLPPDTTFRKPFPSMRTTTAGERTVKSLRLSKALTVPESTTIYEACRRMAARRVDALLLTDSNALLCGILTDKDITTRVISCEVNLEDTPCSKVMTRNPVFVLTDTLAVEALQKMVQGRFRHLPVVENGEVVAILDIAKCLYDAIARMERAAEKGKAIAVAVEGIEKHCGASASGSNSSFFETLREQIFKPSLSTIIPENSNVVTVSSTDSVLTTTKKMLELRASAAVVVVDNKPCGILTSKDILMRVIAQNLSPSSTLVETVMTANPECAVIDTPIVDALHLMHNGKFLHLPVVDRDGIVVATLDVIHITHAAVATVSQAGNTANFNNEAANSMIQKFWDSAMTFTPNDDEEDSNSDTSFKMVSEGGETGRSIPYNVSSTQTTFSFKLQDKKGRLHRFTCDTRSLTEVITSIIQRVGDDIDTNNLPQILYEDEEHDLVVLASDSDLAAAVDHAKTAGLKGLRLHLDYSGASGYGAESSLNLEYANSEAWNSAFHTVAAGAAVIAALGLLVFFSKKQ >CAK8561402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:196712961:196715263:1 gene:gene-LATHSAT_LOCUS15000 transcript:rna-LATHSAT_LOCUS15000 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFAFLFGKKVSSDIRRDPEIDEGLSGIRIKVYTYKELKNASDNFSPANKIGEGGFGSVYKGVLKGGKLAAIKVLSTESKQGVKEFLTEINVISEIQHENLVILYGCCVEGNHRILVYNYLENNSLAQTLLAGGHSNIYFNWQTRCKICIGVARGLAFLHEEVQPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPSYMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLIEIVSGRSNTNIRLPIADQYILETTWELYERNELLHLVDISLNGGFDPAEACKILKIALLCTQDTPKLRPTMSSVVKMLTGEIDINESKITKPGLISDVMDLKVRGAKKNKDMESTSSYNASSSSDSQGTTITTTVSLAASSSTATTSTFTVKNDKSR >CAK8530604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:34762485:34763302:1 gene:gene-LATHSAT_LOCUS457 transcript:rna-LATHSAT_LOCUS457 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHKEYLDLILVPCGLLIMFAYHLILLHRYIIRPHTTAMGFENNDKRAWVDRIMQAEKRDVSTALSVIQSNTSAATFLASVSLTLSSLIGAWIANNSNIFFQSQLIYGDTKAATISVKYICLLTCFLLAFSCFIQSARHFVHANYLVSIPDSFVPISSVELAVIRGGDFWSLGLRALYFALNLLLWFFGPIPMFICSLVMVMVLHYLDSNSRPLHLHPPRSQGGKFQTTKSNGINNV >CAK8574931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16126853:16127574:1 gene:gene-LATHSAT_LOCUS27229 transcript:rna-LATHSAT_LOCUS27229 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNKLSLVLLLSSFLVHASFGEMICEDLPKEVCTFSIASSGKRCLLETEKGINGETEYQCRTSEVVVERMSEYIETDECVGGCGVDRSSVGISSDAFFEPQFTGKLCSPACYEKCPNIVDLFFNLAAGEGVFLPELCEKHKSNPRRAMVELVSSGAAFGPVSAVSEDIVVAPAPSPL >CAK8537976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452641522:452644975:-1 gene:gene-LATHSAT_LOCUS7222 transcript:rna-LATHSAT_LOCUS7222 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCSSNNYEEARKLRLEENKKRFEDLGISTISKKLTEIASPAKKSANRLYTPKSKAIVVVEPRRSSRARNPVPSYSEEFCTDLPNHWKRSRSRSRSIPSSWESYIARPLDEIKEATSQERSHALEAAEALLTNLNSSKPSFIKSMVRSHVYSCFWLGLPSRFCEEYLPKTVYNMVLEDEEGSEYKAIYIGSRAGLSGGWRAFALEHKLDDGDALVFELVEPARFKIYIVRAFPDAYEEEKEEENDTLMEEENMHTSKAKKETKAANNRKSEPKTKKQKCAIVCETKESKSSEESLFESSIEKDVTQQRANPSRKTKSSQKKMPKKSELPTPPDSEAKIETPKPNATTVRNTRSSQKIVQKKSEASTTLEPKEEAQVETTKKELVEHDDIKLKKVKSVGRSRKNPVSK >CAK8532474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:268810815:268811261:1 gene:gene-LATHSAT_LOCUS2176 transcript:rna-LATHSAT_LOCUS2176 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSILELITQAASSSTFIFCFCNLIIVIILLDLKPSVNVHQNSEIHLSICETETQKQEINSNSIESLTQEKEVSRVVIDVEAKEEEEEVVEIEVENNEDVEIEENDDELRKRVEEFIEKVNKKWKEELLITSRLVYGKNNNNEILAI >CAK8531571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126040891:126041187:1 gene:gene-LATHSAT_LOCUS1354 transcript:rna-LATHSAT_LOCUS1354 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVLKNLIAMLEAEEAEAAKSNNHGTADAFSNHGSGDQNFSNAKINSGANSGDRIKRRTTNNRGGRTVNNSGNFHGNGNGGYTQGDFNASTSNIHK >CAK8538346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478339480:478351109:-1 gene:gene-LATHSAT_LOCUS7558 transcript:rna-LATHSAT_LOCUS7558 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTAVTASSSPSISLFNSENSRSVPFLHRGSKPDRLAVCATKGSSNNRVLTGVLFEPFVEVKKELDLVPVSPKESLARHKFHEETEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGFAKFFKESSEEERGHAEKLMEYQNKRGGKVKLQSIVTPLSEFDHADKGDALYAMELALSLEKLTNEKLLNLHSVASKNGDVQLADFVESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLKEEAAA >CAK8541263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:99170228:99170587:1 gene:gene-LATHSAT_LOCUS10195 transcript:rna-LATHSAT_LOCUS10195 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLKYIAIFLALLLSYSLCNASEVSINIRVNLADGIMLTCLSGGGIIGHLDPQKPYHWTYPADKSESCNANWNGLQAQFIAYDPQSDQGHFDIYWNVEKDGLYRSWDNKNFEKKVGWS >CAK8537357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:301506659:301510432:1 gene:gene-LATHSAT_LOCUS6653 transcript:rna-LATHSAT_LOCUS6653 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFLDPDLISDLPQSIVETILIQLPIRDAVRTSILSRKWRYKWSTITQLVFDEKCYPTCHDRELVQKSVVEFITRLLFLHQGPIHKFQIVNTSLQSCPAINQWILFLSRNDIKDLNLELGEGEFFRMPSCFFNCEKLTRLELSRCELYPPASFKGFLCLKSLNLHQVLISPEAIESLISSCPLLESLSLSYFDCLALTVCAPNLKYLCLEGEFTEICLVNTPLLVELSVAMYMTDDVAEHMEHSSTCNFVKFLGGVPNLERLVGLIDFTKYLSIGNDLGHPAIMYNNLETIEMYQVSFEDMKEILVILRLITSSPNLKELHISGSSNISAGTYVAGMEFWEKECPSDSVLSQLKLVKLTEMSGVPHEIEFIKFLLGCSPVLETMSIIPSMYDLECQLKMLVELMKFRRASTKAEVYFIRGD >CAK8566587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:439150429:439152208:-1 gene:gene-LATHSAT_LOCUS19708 transcript:rna-LATHSAT_LOCUS19708 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDRLETCHDPLRIIRLTFIPEKLVDLVSMAMKIGILFCLIGSIAIAIQSTFAKPDRWFPLPEHLHIIQNDSIIEIDTEATNISHIVFGIAGSSNTWHSRSNYGKIWWNPNTCRGYVWLDKRPKNLKLDDKLIPYKISKGWARFKHTHSASAVRIARIVYESFRLGLPNVRWFVMGDDDTVFFPENLVTVLGKYDHSQFYYIGGNSESVEQDVMHSFDMAFGGGGIAISYALAARLANIMDSCLHRYFYFYGSDQRLWACIKELGVPLTRESGFHQFDVQGNPYGILAAHPLAPLVSLHHLDQLNSVFPNQTQMSSLRKLISAYQLDPARIVQQSICYDHSRKWSISISWGYTIQIYSLLVSAADLQMPLQTFKTWRSWSDGPFTFNTRWLNPDVCEQPSIFFLDRAKKVGDGSVMTYKRSVDQEAKNCKRADGEIRGIKVSALRLDSEYWNNVPRRHCCQFMNGGSIKDGNVHIRVRKCRPQETIST >CAK8568393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601922412:601924957:-1 gene:gene-LATHSAT_LOCUS21353 transcript:rna-LATHSAT_LOCUS21353 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNSDFSHLKDRMEKKLTRSVSEIFYRHPTLIEDARTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEARMQDIFGDSDDEDNEDMDVTPPIRAQPISLYNPPTHMQNICDENDNTTSVFENATQNHVGEEIEVGMEFDDKDACVFALQHWHITHSVDYWVHKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIISYKKAWIAKCKAVESLFGNWETSYNDLPQWLLVMKTFLPGTIIDLETLPVISNEGYQLSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLMAVAQDGNANIFPIAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWLQPTSSHVYCIRHIAQNFMREIKDKALRKKLVNMGYALTEATFNYYRGEIRKTNIEASNWIDNIPREKWARAFDGGQRWGHMTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPQSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8563300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590931523:590932343:-1 gene:gene-LATHSAT_LOCUS16725 transcript:rna-LATHSAT_LOCUS16725 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHSALHFDNSEPKAQQMCSTFTPCTNEDFNGDTECDSDIDPFANHQSDDDSNYDFEEYHAPFSINGNSQTSSEEYYDIGDPLVECRYCKAMMWYQERMNKSSHSANPKFSLCCGNGKVELPLLKQPPPLLAHLLFDEDIVSRKFQQQIRIYNMMFSFTSPGAKLDNRFNNGGGPPTLQIQGQSCHRIGSLLPPEG >CAK8573503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616862374:616871293:-1 gene:gene-LATHSAT_LOCUS25945 transcript:rna-LATHSAT_LOCUS25945 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQWGINFAVYLITNHFGKIVAKVCENLLNHGALTLDLAIRYTELSSEHVKNSLLVLIQHNCVQAFVAEGGDDDGSRVKTQYMVLFDNILHRLRFPKFMEIVSGELDDKCAKIFEGLLRDGRLNMKQMVDRESQGKENAAAAAAVRESLLKLLMARFVERCPLPEARVGEEEVITKKRGAKGAQNFKAPETKEQRVKEAAVRGDMIRFSLNADIGYNSDGETIPADTSIAENDAKEDLILWRANFDEFTRYLRDKALVENVRTRMDDGAAIVLSAILKATRDKDKEVKIEKSVPLPLDTIFSEVIKTENGRTLTIDRVKAALVQLGCSNQMLYEYIVDLKHIIRWARNEEVESIVLKRYGRDAYRMFRHLSKENQFCPTDKLADATLVEKKEAPKLLYKLWKENYLHMEKLSVTGGNTKPVSILMWKVNQPVLWKHVLDEMYHGALNLKLRMASEQEKEEEIINTPKAKINESVPLMKKYKRLQSVLLLLGTSLMKLDDALMLFHDF >CAK8565871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345718821:345720986:1 gene:gene-LATHSAT_LOCUS19049 transcript:rna-LATHSAT_LOCUS19049 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPIMEETILVGDDLMMGPPSPIVPPEIASHVLQGVDLCDGILRNLFLCLQINDIEPFCQDEIALYKQCAERRDKEIRQRLQNSEFKLGSSMPLDAAKERTTQLEAEVTSLERRLILASGVEGIEGFRQRWSLHGRLTDSKKRLEFLKRGIDVRKV >CAK8571284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:363179620:363179999:-1 gene:gene-LATHSAT_LOCUS23960 transcript:rna-LATHSAT_LOCUS23960 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHCAPGYRTFFDHFEKDDFLWSPYLKLEDEDPTESDMWSSTTFILSFTYVEMHHSDRVKLQFGIKQDIPGQPEMCNLLLVEPTNAYVDRVEIN >CAK8577568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574907124:574912024:1 gene:gene-LATHSAT_LOCUS29665 transcript:rna-LATHSAT_LOCUS29665 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRRRNKKEENSSSSESEHASITKTAKTEGDAVVVSFSSSHYEQIREQRIKENAERMQMLGLLNLSLKLKRTPRTFVRKIASPTNQPERRSSRLMSVPPVDYCFKLPKAQRQSQRSRGKKEVEIFIPEGTKPEVYTEEHEKLLGDCETDWELYVDGYDEDGDRIYDPTKGEKCHQCRLITISQMTSCNKCELPQGTICGDCLYTRYGENVTEANFNLKWTCPSCREICNCFICRRKNGWMPTGNIYHKVTKLGFKSVAHYLIKTRRLEKNMEGSDSEIVAQEIPEASPDSTLIAPVRTRRAFRS >CAK8566219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:392945579:392947809:-1 gene:gene-LATHSAT_LOCUS19372 transcript:rna-LATHSAT_LOCUS19372 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAKAMAMASANSIISISSASLLNRIPQQKTTPLISLLKHNQDHEQRCTSKSSSTFTSLKISTPTTRRTCKLSCSASASEASTLPSALLFDCDGVLVDTEKDGHRISFNDTFQEKELGVTWDVELYGELLKIGGGKERMTAYFNKTGWPANAPTGEQERKDFIASLHKRKTELFMVLIEKKLLPLRPGVAKLIDQALTQGVNVAVCSTSNEKAVSAIVSFLLGPERAEKIQIFAGDVVPRKKPDPAIYLLAASTLGVEPSRCVVVEDSAIGLAAAKGAGMKCIVTKSGYTADEDFLNADAVFDFIGDPPEERFDLAFCGSLLEKQYVR >CAK8563472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608347094:608351246:-1 gene:gene-LATHSAT_LOCUS16877 transcript:rna-LATHSAT_LOCUS16877 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDLPKGESESLIRQPLLSSQRSIVNSTSQVAIVGANVCPIESLDYEIFENEFFKQDWRSRGVVQIFQYICMKWLLCLMIGVIVGFIGFCNNLAVENLAGIKFVITSNMMLQRRFLLAFVIFFASNLILTLFACIITAFIAPTAAGSGISEVKAYLNGVDAPGIFTVPTLFVKIIGSITAVSSSLLVGKAGPMVHTGACVASLLGQGGSKRYGITWRWLRFFKNDRDRRDLIVCGSAAGIAAAFRAPVGGVLFALEEMASWWRTSLLWRAFFTTATVAIFLRAMIDVCLSGKCGLFGKGGLIMFDAYSSSISYHLVDVPPVFILAVIGGLLGSLFNFMTNKVLRMYNVINEKGIICKLLLACLISIFTSCILFGLPFFASCRPCPPDAVEPCPTIGRSGIYKKFQCPPNHYNGLASLIFNTNDDAIKNLFSMNTDNEFEFSSMLIFFIICLSLSIFSCGIVAPVGMFVPIIVTGASYGRMVGLLIGERSNLCHGLFAVLGAASLLGGSMRTTVSLCVIMLELTNNLLLLPLIMMVLLVSKSVANVFNANIYDLIMKAKGLPYLETHAEPYMRQLTVGDVVTGPLQVFNGIEKVRNIMFILRTTTHNGFPVIDVPPGLETPVLFGIILRNHLITLLKKKAFLPTPGANNYDVLRQFSSNDFAKKGSTERVKIEDIRLTEEEMGMYIDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVIPKIPGISPVVGILTRHDFTPEHILGMHPFLVRSRWKRLRFWQTFLEKILSGL >CAK8538290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:475567292:475568080:-1 gene:gene-LATHSAT_LOCUS7505 transcript:rna-LATHSAT_LOCUS7505 gene_biotype:protein_coding transcript_biotype:protein_coding MALEATTRGRRNRVSPSTPEIIDLDSLRSYDKRTIDDDIKILKFTPENIPLRKRKRNFERGESSNSSNIPFVCEICTETKTMKEAFYISGCSHAYCSDCVANYIGSKLEDNVINISCPVPECKGSLEAQFCQNILPVGVSEKWSKALCEALNNVSQKFYCPFPDCSAILINDETKAVRNSECPNCNRMLCAQCKVPWHDGIKCSKFQKFYLFSPWNLLHLIPSCQGILHCALNILLQLGHSEFLKALVSSLIKIAEQSRNEQ >CAK8540721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17103778:17105754:1 gene:gene-LATHSAT_LOCUS9697 transcript:rna-LATHSAT_LOCUS9697 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHPYLFPQTQSTILPNPSNFFSQNLLSTPLPTSSFFQNFALKSGDQPEYIHPYLIQTSNSSLSVSYPLLLFSTALLYQVFSPDLTISSTQKPQTNIPQSNHVISSYSDLGVTLDIPTANLRFFLVRGSPFVTALVTKPTPLSIKTIHTIVSFSSFDNKKTKYTLSLNNGQKWIIYTSSPINFNHDGSEVKSDPFFGIIRIAGVSNSNNEKILDEFSSSYPVSGYARIKNKFGLVYNWKTKNSGDLLMLAHPLHVKLLSKNSKYHNVTILNDFTYRSVDGELVGVVGKSWLLKTDYVDVTWHSSKGVTKESYEEVVSALEKDVNELNVASINTTSSYFYGKLVARAARFALIAEEVSHEKVIPIVKDFLKSTIEPWLDGKFKGNGFLYEKTWGGLVTQQGVNDSSADFGFGVYNDHHYHIGYFLYGIGVLAKIDQDWGQKYKPIVYSLLKDFMNLGPRDNKNYPTLRSFDPYKLHSWASGLTEFRDGRNQESTSEAVNAYYSAVLVGLAYGDQDLVAIGSTLLALEINAAQIWWHVKAENNVYGTDFAKQNPIVGVLWANKRDSGLWWASSACRECRLSIQVLPLLPITENLFNDGVYAKELVEWTWPSLSNEGWKGFTYALQGVYDRENALKNIRTLKGFDDGNSLSNLLWWIHSR >CAK8569573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5557665:5559272:-1 gene:gene-LATHSAT_LOCUS22412 transcript:rna-LATHSAT_LOCUS22412 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDNRKNSSFGNDNNNNVPRPQFAQSEPRLSTTEAYSDDDIAKRSSSAASPMSPYYYDPVRLSGEGSPMMMSPWNQTTNSPFSKPQWSQCEEAPPQNSLIGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNLQEYCGFKSNSGLVKAIIISGQKIFTGHQDGKIRVWKVSVKNPSIHKRAGTLPTLKDIFKSSIKPSNYVEVRKHRTALWIKHSDAVSCLSLSPDKTYLYSASWDRTIKVWRIGDSKCLESITSHEDAVNSVVCGNDGIVFSGSADGTVKVWRREIRGKGTKHAAVKTLLKQECAVTALAVDPSGSMVYCGASDGLVNFWERDKQFEHGGVLKGHKLAVLCLSSAGNLVFSGSADKTICVWKRDGVIHTCVSVLTGHDGPVKCLAVEQSRDCDGGRDQRWVLYSGSLDKSVKVWNVSESLQNQRIASDCDSAPSESDGSFSSSRAGNNKRQ >CAK8533757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657524471:657558272:1 gene:gene-LATHSAT_LOCUS3357 transcript:rna-LATHSAT_LOCUS3357 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNMTLFSLGCVVIAITAFVEHAASSSPPHASSGPHIADVNLLLPPKMTFPVEYRLQGSDGCFKWSWDHHDILSVLPEYNSSHKCSTSARLRSIAPYSGRKETAVYATDVKTGIVIRCKVFIDNISRIQIFHNSIKLDLDGLATLHVRAFDNEENVFSSLAGLQFMWSLMPEANGLPYRLVNVPIKDSPLSDCGGLCGDLDVQIKLEDDGVFSDLFVVKGIEIGHEIVSVHMLEPQLHNLADEILLTVAEAMSLDPPSPVFVLVGAVIPYTLKVIRGNIPQVVTLPSPHHQWSASNASVAQVGSKTGSAYAWNLGTTAIIVEDTRVSGHVQVSSLNVVLPASLSLYITPLSSSGDPLEEIESIPLMARWYVVSGRQYLIQIKVFAHAHGTQEIYITESDGVKLDDYQSDYWRTVPVSNDIALKHGWRNTRILKAYSPGLGNLAASVNYLDGANDKKETITVVQEVMVCDKVRFTLGNESGIIFLPWAPGVYQDAELKAVGGCAKAASDYKWLSSDISTVSVSVSGTIHAKKLGKATIKVLSVYDSLNYDEVLVEVSAPSSMVMLHNFPVETVVGSHLQAAVTMRTANGAFFYRCGAFNSLIKWKAGSETFVIVNASQELSFLETVPNSQVHPSDDGFPCSQTYIHASNTGQALIHAILSKEYHQFSNGPAVLKASLRIAAYLPFIISQAGDGNHFGGYWLDLAQAENNKQWHNLEELYLAPGTNLDLLLVGGPEPWNKHVDFIETVDVLGGENALTDDGVLVHRISDNNRTLYRVLCQTLGTFKLLFRRGNLVGDDHPLPSVADAWLPVICSIPSSIVLIADESVNENEIIRAAAQAERGSRRLRDTPIIVANGRTIRTSAAGVSASGKVFANSSSLSLKWELSSCEGLAYWDYAFDIIKSNSWERFLVLQNESGLCIVRATVTGFLGGLGDDTFHQFPKTENVLTDAIRVQLVSMLRVDPEFSLIYFNPNAKVNLSITGGSCFLEAVTNDSQVVEVTQPQTGLECQQLILSPKGLGIANLTLYDMGLTPPLRASALVQVADIEWIQITSGEEISLMEGSLHTIDLLAGINGGTSFHASQFVYMNIHVHIEDTIIELLDADSLSSLVGRHVNAPSFKIKGRYLGITTLYVSAMQQFGHVIQSQAIRVEVYKAPRIHPHEIFLLPGASYVLTVEGGPSLGVHVEYAVENDKIASVERYSGRLLANSIGNTTILASLFVNGNAMVCEARSILRVGVSSTIKLHMQSEQLGVGRKLPIYPLFPEGNLFSFYELCKNYLWTVEDEKVLSFRVSETLHGDRYGSASEESQVGGNFDENDLGFINVLYGRSAGKTNVAVSFSCEFVTSGSKTQSRLYSSSLSVVVVPDLPLALGLPATWILPPYYTTTSLLPSSFESYTQRGGQNRKGTIKYSLLSNFDKNALQKEAVFIDGDRIKTTESNNLACIQAKDRTTGRIEIASCVKVAEVTQIRIASNEVLLKVIDLAVGAELVLPTTFYDTLGNPFYEACNAMRFYAETNYPDVLAVNKTADGKGNVGIKAIRHGKALVRVAFSEAQQKSDYVLIRVGAHVYPRNPVLHIGSPLNLSIRGLNDKVSGKWSTTNESVISVDVLSGMAKAVGEGSAQVYFHYARSKLQTTITVLKGHSISVDAPKGMLTNVPYPTKGYNFSVKFSTTYGETLDAPGRNKIISFDCRVDPPYVGYVKPWLDLDTGNSYCLFFPYSPEHLVHSIPKSEGIIRPDISVSICASLREHEHVLGSASALFIGGFSIVEMGKDPMQLNLTSSSNKTFITIVGNTDVEIHWNRRNLIMILPISKDDFGIRGFARYEVKLLKAERFEDKIIITLPANGQRMEIGITHEPESEEKALSNVTSNKILWASILGCLVLLIPSIVAFTYFMDRPDRSQQTSTPVTATIAAPASPYRSSPSLSPTVTNDMSPRTPQPFVDYVRRTIDETPYYKREGRRRTNPQNTF >CAK8533226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:592714153:592715373:1 gene:gene-LATHSAT_LOCUS2871 transcript:rna-LATHSAT_LOCUS2871 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYSLQQNAFAACEEMRGSVTVMDQKEPLICPKPRRVGVLSNVHMRQFRFHFNQQAECSDSRAGAELLDMIFEKECHGDDFAYQAASSPPYFCVSPPVRAANPLVHDARFGDEIISSSPSGLPSPTSASRKGGCARVSFGLKPAAVRVEGFDCLNRDRQNSSISAVA >CAK8540161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542652575:542654840:1 gene:gene-LATHSAT_LOCUS9193 transcript:rna-LATHSAT_LOCUS9193 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKEKIEELWREVREVSLGSNGSIERLESPPTPLQFLKNFINPNKPCIISNSINHWPALSSWTNPSYLTQTLSSSTVSLHLTPTGAADSITSLPSSSSICFASAHVERVPFPDALRLINSSDPSKCVAYAQQQNDCFRSEYSSLAEDCDSHIGWATEAFGSEPEAVNLWIGNRHSNTSFHKDHYENLYAVVTGEKRFLLFPPTDVHRFYIRHYPAATYKHSLETGEFDLELDKPTRYVPWCSVDPFPLPENLEDEISKFPLYFNGPPPFECTVKAGEILYLPSMWFHHVRQSGEDGELTIAVNYWYDMQFDIKYAYFNFLQSIDCRSPTSPMMPDKLCEEIDSGPDNDDIR >CAK8538850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497865072:497865647:1 gene:gene-LATHSAT_LOCUS8005 transcript:rna-LATHSAT_LOCUS8005 gene_biotype:protein_coding transcript_biotype:protein_coding MNALEEVYLVARAQTIMDICSTLPGYLFTVLLVDRMRRFAIQLIGFFFMAVFVFVTTFLYDYWIKEDKQIVFMLFYNLTFFFANFGPNVIVFIVSEEIFTARFRFTCIGISSTTDTFGAMVASYGFMYLSQNKDKSKAAVGYPTRIGVKNSLIVLVVINTIAFLFTFLVHKAKGNFLKKTSPEKAETTREC >CAK8533848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665063696:665067879:1 gene:gene-LATHSAT_LOCUS3439 transcript:rna-LATHSAT_LOCUS3439 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAENSKPQSTPETTAENIEALLEAARYDDMDDVVSLISNGVPLHSKDAQGRTALHMAAANGHVDIVEYLISRGADLNSENEEKNTPLHWACLNGHVEVVKKLIVAGANVSVLNSHERTPVDEAVTGGKLAVMDAINEAEALVELRSALVSSKET >CAK8542488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:519964559:519967387:-1 gene:gene-LATHSAT_LOCUS11327 transcript:rna-LATHSAT_LOCUS11327 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDTDGEQLGRGTKITLYLKEDQLEYLEERRIKDLVKKHSEFISYPISLWTEKTIEKEISDDEDDEPKKEEEGSVEEVDEDKEKDSKKKKIKEVSHEWELINKQKPIWLRKPEEISKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKKAPFDLFDTKKKMSNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEITENKEDYNKFYDAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQRDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFEDLCRVMKDILGDKVEKVVVSDRVVDSPCCLVTSEYGWTANMERIMKAQALRDSSMGSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDEEENGVNDADMPPLEEDGVEESKMEEVD >CAK8575393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106568842:106569434:-1 gene:gene-LATHSAT_LOCUS27662 transcript:rna-LATHSAT_LOCUS27662 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIDRFDPHEHESPSSCLNVGHPIGGKRKGEAISLDFKSRSLAHRYILFNHEDVQKFISEHENLNSNKRKGWSKAKSQGLDFVEWFRKRALLSGVSGNLRTLSRGPNKIARSFYGYVINGYRFHTKGRDARRKTQNSGVTLAAITESYSSTKDENPITQSITYYRLNT >CAK8537155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:113047907:113048221:1 gene:gene-LATHSAT_LOCUS6465 transcript:rna-LATHSAT_LOCUS6465 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQQRARRGENERKRRQNMNIEQRENYLSIRCDNYRQRKEQHKQAQTSHTMNSRRRVPFQNFTNMRSPISHFKGNHDNEAGPGRITHVNDVELSW >CAK8575854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337584298:337586933:1 gene:gene-LATHSAT_LOCUS28082 transcript:rna-LATHSAT_LOCUS28082 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVYGESKSEKLSVPYAADFCHEVHKNEKDMTLKSYHRSHHKHGNHGREYEDEMVKYMSNLPGYLQRGREESREKVLNVGVLDWNRLEQWKNSRKHASHRNRRSLSSNNESSSVSKDGLSGHSSKDQGNILRQSLKSHFMASSIQDHSQTVKLSRRSIGHCQDFRGSFGNINTKDHPNRRLKEFNTESLEQHIDKESGIIRNEQLHKAASSAMLEIRTRDGGMGKRVEKLNEPNIDNVAQGVLRKREPVVQNLPTKSEDHSLSFLAQKSEDHTRLSFSEQPKEFFRKVPNHDISHSGALPDELSCNDSQDKGSACSSTDMESFKLPASTFSSPASTPSSPLSVRVEISPPKSRNAKERKQTMAKTSSANVPLHELDQKVTSEKSRSSSPLRQLSIGYTSRGSACKETGHVPHQNSLTAAEYSSDNVRSCDNLNISNDKPGDAARSRTSPLRRLLDPLLKPKTAKFSHSLDSSQKDSLSINKNYRSANGRFSTLHPIKEVDRDHKAGCSAIKTVDSSKDRKHGPSTTQALLRIAVKNGLPLFTFAVNQIDGNILAAKVKNLGGSGKDECNRIYTFLTFSEVKKKNGSWMSKAGRSKEPDYLPHAVAQMKASDLHYYDLTGQNCMDSSTMKEFVLFSVKLGQGDAQEADYQPNDELAAIAIKIPKAISFINNQHHNSRHSDNHDAVHATVVLPGGVHGFPSKGGPSSLLERWKSGGSCDCGGWDLACKLKILASENQASRKPLSSKPYFADYQFDLFVQGNEQDPRPAFSLTPLENGMSSVAFDSSLSLLQAFAICIALVDSKMPCELSGSRKSQTEELKAFGKSEDIPTSYVSNPPVSPVGRV >CAK8575857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:338792926:338793300:-1 gene:gene-LATHSAT_LOCUS28085 transcript:rna-LATHSAT_LOCUS28085 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNINCCFCTGEESLNHLFFECLTMRNIWMEVLDWIQLQHIPGDWNQELIWLTQQCKGKGRNVAMIKLSITETAYELWKLRNEKSFSKVDTNNRICNRIIESIMYKGWNNLKLRKYIASLMVT >CAK8533381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611142335:611148741:-1 gene:gene-LATHSAT_LOCUS3014 transcript:rna-LATHSAT_LOCUS3014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLASLDVPHSSSPELSSISKYLPSLRSLWVECSSEDRLSHDTTIILEALYAINSRLEPMATTSQVSKNSLKSLFIQLGMNCQVANILKEKVLQYMTGYESGGCVLLGDSYPDWLSFSCDGSSVTFEVPQVEGHNLKSMICIADSSTSDNITSDGLKNVLVKNYTKATIQLYKKETLASFKDEEGERVVSSIDPGDRVEVVVVFRNGFIVKKTTVYLVYDEPMGEKKEQCEAPEENTVACTVDENESIQCEAPEESTVSCSVDENESILCEALEENMVACSVDENERIQCEAPERNTVSCSVDENESILCEAPDENTVACSVDNESIQFEAPEENAVSCSVEENESIQCEAPEENTIAGSVDENESIQCEAPEEKTNACSADENESMVRTSSPQVELVKENVGTGNCCGFVENGFRQWITNFMCRLVECWR >CAK8532542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:287690399:287693070:1 gene:gene-LATHSAT_LOCUS2237 transcript:rna-LATHSAT_LOCUS2237 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVVLERIENKINRQVTFSKRRSGLLKKAFELCVLCDAEVALIIFSSRGKLFQYSSTDVNKIIERYRQCRYNKSQAGNSFGQNESQNLYQDYLKLKTKYESLNRKQRHFNGEELEELNLKELQSLEERLDLTLTQARQQQMKKLLARAEELREKVHNLEELNKTLEPKVFT >CAK8566828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465988159:465989022:-1 gene:gene-LATHSAT_LOCUS19933 transcript:rna-LATHSAT_LOCUS19933 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELSLRGGGGMARSINKNSEISHQIADSSSQLASDSHNIKETEERQARELKAGLHPLKRKFVFWYARRVPGIRNQSYEDNTKKIVEFNTVEGLCVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNDGKWIIQFKKVVSGCFWEDLVLALVGDQLDYGDNICGAVLSIRFNKDVVSVWNHNASDNQAVMALRDSIKRHLKLPHSYVMEYKSHDASLRDNSSYRNTWSRGKIMGNSKEHHKCNNKDSRPESNLQQQFTVTRKMRVKLRGINHSINKSKKGSV >CAK8574374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:678448541:678455204:-1 gene:gene-LATHSAT_LOCUS26728 transcript:rna-LATHSAT_LOCUS26728 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRVSRLATFTRRSQHRFSISLAFSSLPQSQILHNFLPWLEKKATSTISSSLSIANSSYGNSLFASNSIQTGDCILQVPYSVQITADNLAPEIRTSISEDVGNIAKLAIVLLIHKNLGQDSEWHPYISCLPPQGEMHNTIFWNESELDMIRASSVYQETIYHKSQIEKDFLAIRPVLETFCQSFGDFTCKDFMHACTLVGSRAWGGTKGLSLIPFADFLNHDGISESIVMSDDDKQCSEVTADRDYVPGEQVLIRYGKFSNATLMLDFGFTIPYNIYDQVQIQFDIPKHDPLHDMKLELLQQYSVPPTNDAKGLKCSVNSFTIKEVKSARGKGKGVPQSLRALARILSCTMPQELDHLVTEAGQTDGRLARRPLQDMNKEIQAHQMLSSLFIRLIEERNTTLMSLDSCDFSSLCERLPVRKQMAQHLLHGELRVLKSASTWLDNYCFSLT >CAK8571017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:264626480:264626877:1 gene:gene-LATHSAT_LOCUS23716 transcript:rna-LATHSAT_LOCUS23716 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTNAASKASISDSEVCKSKQQYDLKLDFQSKLASGYVEIEDDVTSFDSQRLKEPEVHGEPCTVGNIGSIATDNEGGYEPQLHGSRALCNGYSKKLESTSTYSLLQE >CAK8567665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534591635:534597717:-1 gene:gene-LATHSAT_LOCUS20697 transcript:rna-LATHSAT_LOCUS20697 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKNTAVDNDTVATDHNLKSSNYDASQASQPTGNSVSGVSGVSDATPGRQTPATSFSTSPFNSPLPAGVAPSPAARTPGRKFRWPLPPPSPAKPIMAALLRRQGKTKPKDGPIPEEQGESGEGERTLDKSFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGDLKGVSVAVKIITKAKMTSAIAIEDVRREVKMLKALSGHKNLVKFYEAFEDVNNVYIVMELCEGGELLDRILDRGGRYTEEDAKVILLQILNVVAFCHLQGVVHRDLKPENFLFLSKDEDAVLKVIDFGLSDFVRPDQRLNDIVGSAYYVAPEVLHRSYSVEGDLWSVGVISYILLCGSRPFWARTESGIFRSVLRANPNFDDSPWPSISPEAKDFVKRLLNKDHRKRMTAAQALSHPWLRDERNDIPLDILIYKLVKSYVRASPLKRSALKALSKGLPEDDVTYLKAQFSLLEPKDGCVSLENFRVALMKNATDAMKEARVPDILNLMDPLSYKKLDFEEFCAAAISVYQLEVHPEWDKISTTAFDYFDEAGNRVISLEELAQEMNLGPSAYSLMGDWIRKSDGKLSMVGYTKYLHGVTIRSTNTRHR >CAK8537773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:430159542:430163283:-1 gene:gene-LATHSAT_LOCUS7027 transcript:rna-LATHSAT_LOCUS7027 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLEPPSHSYSHPLHQTTTRNPFFSPRFHYLNNNASKFYLFPSKSTVSLTLSTTPNNITHAPSCTRIDSPSPTQQPEPVMETGLDPTRDRRRVVRVAWEKLVRWSRSWRSKSNTDVLQRTKKVVVLGGGSFGTAMAAHVANRKDQLEVVMLVRDPQVCSCINEKHCNRNYFPDHTLPENVIATTDAKSALSDADYCLHAVPVQFSAAFLESVSDYVDPGLPFISLSKGLELNTLRMMAQIIPQALRNPRQPFVALSGPSFALELMNKLPTAMVVASKDKELADAVQQLLASNRLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVSQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLEDILNSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVYELMSLPQVEEV >CAK8530769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48340039:48340872:1 gene:gene-LATHSAT_LOCUS611 transcript:rna-LATHSAT_LOCUS611 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIIFFSLLILFAHIFFITTSAQSPAAAPKPPAKPAPATPAPAAAPTKPLVPSLPQSPSSSDSSSGQDIIKILRKAKSFNTLIRLLKTTQIINQINAQLVTTKSGGLTILAPDDGAFSQLKAGYFNSLGERQQKELIQFHVLPVYVSSSNFDSLSNPVLTLASDSPSGYQMNVTAYGNNVNISTGSVNATLTGIVYSDKTLAIYHVDKVLIPLDFSKPKALAPAPSIAKAPKAAKDSSSDDDQGVTTKATSGAMILIGFQGTLFVSLFVAALTMIS >CAK8535960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894231942:894232909:1 gene:gene-LATHSAT_LOCUS5373 transcript:rna-LATHSAT_LOCUS5373 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMENKSLNMSIATLAIVFFIMIIVPKNVSAQNCGCAEGLCCSQYGYCGDTDAYCGTGCQEGPCKGGNIPPSTPTTSNDVNVADIVTPEFFNSIIDQADSSCAGKSFYSRAAFLDALNSYNQFGRAGSSDDSKREVAAAFAHFTHETGHFCYIEEIDGASKDYCDENNTEYPCVPNKGYYGRGPIQLSWNYNYGPAGKDNGFDGLNSPETVANDPTVSFKTALWYWMKNVHRVVNQGFGATIRAINGRLECDGANPNTVKARVDYYTQYCSKLGVAPGDNLTC >CAK8537897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444929162:444931321:1 gene:gene-LATHSAT_LOCUS7147 transcript:rna-LATHSAT_LOCUS7147 gene_biotype:protein_coding transcript_biotype:protein_coding MMHILTQIWRLVLQPKVWRLVGFASAVVGLLCYALSSSFNHLFGNWNLWKIILYTVFSFIISLMILYANIWKSSTSLRFKAHAAFLVLTITSVYSFFFDKVVNGKPDAYSLISCASFAIMSLSLSRQTHCGVEIDLLYFFLGCLIVQLMKISLQLLILGAGFSYSLIILHSSFSSIDDAIENEYFYLQDENSVVLKLDSLLLQQLKTCMTEIEEENLNLIDRLMELVKEYNQDKSELHLLDKCDFVMDTLSSRKIHNLNEIVKLMIAAGYKKECYDVYSSWRRVFLQECLINKIFGLRTTNINITMDEYDTEQYLDTMFERWMTALDVAVTILFPIEQKLCNLVFSGFSTAAFSCFFEVCHGATSQLLGFADVIASGNPTVWRLFKMLRIFGHLDKHIPKFQSLFPDSTLLNEAIAVRNRLGESSKDLFIEMHNVIIRIPTAHETVLSRGLIHPITFQVMSYVSLACKSRQKLEQILQAYLKVDNEVEASSFFLKQMEQIMEMLPRKLIAKLKNFKDPALCHIFMVNNRSYIEAMNQSSELETIFGNDWFQKNKAKIRQNIELYKRISWNRVLDFLKLDNNDNITEELLKEKIHLFNTHFEEVCKVQSDWFGFDNKLREEIISSVENILLPAYGIFIGRLQDMLGNQAYNDIKYGIFEIQDRLNQLFRKMQMYEYKSEYMYKTLERSRSEMMTLAGLMSMPFWLERGERPCSGKAAIPD >CAK8537466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:364543624:364543950:-1 gene:gene-LATHSAT_LOCUS6756 transcript:rna-LATHSAT_LOCUS6756 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLYPIAVLIDELKNDDIQLLLNSIRRLSMIARALGEERTRRELIPFLTKNNDDEDEVLLAMAEELGVFIPYVGGVEHSSALLPPLEALCSAEETCVRDKAIESL >CAK8541230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92379638:92380498:1 gene:gene-LATHSAT_LOCUS10163 transcript:rna-LATHSAT_LOCUS10163 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQPRKRLIIKLKYPPGSRKHDSDSCGRDENKRRKIEDSVKPIVTCYWIDSNYRTKSSALSQPKNNDNVVEDKKMIKNQVPKTTALSQPEDNDNVVEDKKTIKNKVFKTRALSQPKDNDKKVIKNQVSNTIMPNNIVVENKNQVSKTEIAFNGRKESSRGEPMECVKRRQCWLILNRMMVDRDGWDLKDPPKIAMIDKSESKSKAIGLKDIERKLRLYATPDEFASDIRLVFSIAMFMYPPRNYIYRIAKRFSENFEHTWKSLKDTWKLEDRKRSKTHKSIRY >CAK8532525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:279196249:279197892:1 gene:gene-LATHSAT_LOCUS2223 transcript:rna-LATHSAT_LOCUS2223 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRLRCVFVPNFSHFQCQNPIFLPCFLSSYSMQYDQDNLVSEFNQLLHQNPTPTIFQFGNILGSLVKANHYSTVVSLHRQLELKTGIESNLVTLNILMNCFCQLGLNSLSFSVFANILKKGYQPNTITLTTLIKGHCLKGEVHKALQLHDKMVAQGFHFNQVCYGTLINGLCKVGETAAALQLLRQVDGKLIQPNAVMYNTIIDSMCKSKLVNDAFDLYSEMLAKRIYPNVLTYNALIYGFCIVGELKNAVGLFCKMILKNINPDVYSFNILVDAFSKEGKMKQAKAVVAAMMRKGIKPDVVAYNSLIDGYCMRKQVNKANDIFNALSLRGAAADSWSYNIMINGFCKIKMVDEAINLFKEMHYRKIIPNTITYNALIDGVCKSGRISYALELVDEMHDRGQPPDIFTYNSILGALCKNHHIDKAIALLRKFEDQSIQPNLRTYNILIRGLCQSRRLEDARKVFKDLLVKGYDLNVYTYTIMIQGFCDEGLFDEALALLSKMKNSGCNPNAKTYEIVILSLFEKDENDKAEKLVREMIARGLFKD >CAK8532526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:279197305:279197892:1 gene:gene-LATHSAT_LOCUS2223 transcript:rna-LATHSAT_LOCUS2223-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAINLFKEMHYRKIIPNTITYNALIDGVCKSGRISYALELVDEMHDRGQPPDIFTYNSILGALCKNHHIDKAIALLRKFEDQSIQPNLRTYNILIRGLCQSRRLEDARKVFKDLLVKGYDLNVYTYTIMIQGFCDEGLFDEALALLSKMKNSGCNPNAKTYEIVILSLFEKDENDKAEKLVREMIARGLFKD >CAK8573169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591019957:591022257:1 gene:gene-LATHSAT_LOCUS25647 transcript:rna-LATHSAT_LOCUS25647 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVMDSMEKTSEKCLDSQLWHACAGAMVQMPPLNTKVFYFPQGHAEHAHGKVDFTKTRVPPLILCRISAMKYMADPDTDEVYVKMRLTPLRENELDLDQDCFLGNNGVEGQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDMHGQCWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKKGGIGGGTDQFSNSSGNWNRVSPLFGGGFLGGNENTKSDSLIRKVASESVVEAVNCAVNGRSFEVVYYPRASTPEFCVKVSSVKSAMQIQWCSGMRFKMPFETEDSSRISWFMGTISSVKVQDPIRWPDSPWRLLQVVWDEPDLLQNVKCVNPWLVELVSNMPNFNLSPFTPPRKKPRFIQDPYFHLINQLPMPTTTTSSSASSFSNINLLNYANASLCNIQDTTTTNSYSASSIQGARHAQFGQNNQSDFHFNKLHHDMFLSNLSLSRFDQQQTVIRPYKSINNNTKNTVDLSCLLSVGNSGQSFKEVSNIEAKAPHKHILLFGKLIQTEDNNSGSSISKSGSLSDGTCLKTSNVSSSEPVENSSDGGSTWYKDQQQHKTDIVGTENVTTLCMAS >CAK8534975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799011324:799013831:1 gene:gene-LATHSAT_LOCUS4465 transcript:rna-LATHSAT_LOCUS4465 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAVVFFLFLITISHCFIFTNSHSAIVLPTQHHRDSLTSSSTSCPAPDPDLYYRPVIGILAHPGDGATGRLSNVTDVYNIPASYVKFVESAGARVIPLISTEPHETLLKKLELVNGVLFTGGWAKEGLYFENVKTIFKKAIEKYDAGDYFPLYGICLGFELITMIVSEDNNILEEFAAANEASSLQFVENANIEGSVFQRFPPDLIKKLSTDCLIMQNHRLGISPSKLLANEKLSSFFEILTTCTDENDKVYVSTVRSRKYPVTGFQWHPEKNAFEWGSAKVPHTEDAVRVTQHVANFLVSEARKSLNRPVAREVLDNLIYNYKPTYGGKAGKGYDEVYLFE >CAK8539144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505018687:505026652:-1 gene:gene-LATHSAT_LOCUS8266 transcript:rna-LATHSAT_LOCUS8266 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKRPDHNLPEKKGQKRKLEEESQQDSQISLPPTGDARDALVSDVNQHVSILLSTFSWNESDRASAKRATHALADLAKNEEVVNVIVEGGAVPALIKHLQPPPLPEHGGPKPFEHEVEKGSAFALGLLAVRPEHQQLIVDNGALKHLVDLLKRHNNGLTSRAINSLIRRAADAITNLAHENSSIKTRVRTEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNSLPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVILAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKRGAVRPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHTGGLVPLLKLLDSKNGSLQHNAAFALYGLAENEDNVPDFIRVGGIKKLQDGEFIIQATKDCVSKTLKRLEEKIHGRVLNHLLYLIRVSEKAFQRRVALALAHLCSAGDQRKIFIDHNGLELLIGLLGSSCPKQQLDGAVALCKLANKAMALSPIDAAPPSPTPQVYLGEQFVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDLTVEIAQDLLRAADQYLLEGLKRLCEYTIAQDVSLESVSNMYELSEAFNAISLRHTCILFILEHFDKLSATPGHTDLIQRTIPEIRNYFVKALTKGNSNIQA >CAK8539143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505018687:505026652:-1 gene:gene-LATHSAT_LOCUS8266 transcript:rna-LATHSAT_LOCUS8266-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKRPDHNLPEKKGQKRKLEEESQQDSQISLPPTGDARDALVSDVNQHVSILLSTFSWNESDRASAKRATHALADLAKNEEVVNVIVEGGAVPALIKHLQPPPLPEHGGPKPFEHEVEKGSAFALGLLAVRPEHQQLIVDNGALKHLVDLLKRHNNGLTSRAINSLIRRAADAITNLAHENSSIKTRVRTEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNSLPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVILAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHTGGLVPLLKLLDSKNGSLQHNAAFALYGLAENEDNVPDFIRVGGIKKLQDGEFIIQATKDCVSKTLKRLEEKIHGRVLNHLLYLIRVSEKAFQRRVALALAHLCSAGDQRKIFIDHNGLELLIGLLGSSCPKQQLDGAVALCKLANKAMALSPIDAAPPSPTPQVYLGEQFVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDLTVEIAQDLLRAADQYLLEGLKRLCEYTIAQDVSLESVSNMYELSEAFNAISLRHTCILFILEHFDKLSATPGHTDLIQRTIPEIRNYFVKALTKGNSNIQA >CAK8530887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59538978:59539430:1 gene:gene-LATHSAT_LOCUS718 transcript:rna-LATHSAT_LOCUS718 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEHNNISGNNGNSSNSSTTELIYFLLFALEMHEQKSYMDLADPRLEGHVTFDEVEKLVPIALCCVHEDPALRPNMVTVVGMLEGGTPLPQPRMECLNFLRFYGRRFSEASVVAEENEHGSVRVQQPRDSTGLVSGFSYISSQQISGPR >CAK8534630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750805391:750805987:1 gene:gene-LATHSAT_LOCUS4161 transcript:rna-LATHSAT_LOCUS4161 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDATPTKDNFPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKVINRKQKRVAVKSMFYLPIIPRLKRLFSSMHSASQMTWHHTKKTCSGMMRHPSDGEAWKHFDRVHSDFAAEPRNVRLRLCSDGFTPYIQASATAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGP >CAK8535714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876034897:876038309:-1 gene:gene-LATHSAT_LOCUS5143 transcript:rna-LATHSAT_LOCUS5143 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLFTSPHLKTLRSSSLSHPRSALAGPRCVDLSRHVATQSAGSLKKRVEDVVPIATGHEREEIQAELEGRNILEIDHPEGPFGTKEAPAVVRSFYDRRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQHFKLEVVGPGGDPYGHH >CAK8571577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:442561379:442562833:1 gene:gene-LATHSAT_LOCUS24222 transcript:rna-LATHSAT_LOCUS24222 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSNPNSKSSTRFHNPFGSRIVKTVFFRTLLLATAISIVSLLHSLPTMDLVPKTYDHDCTIELEDSNITVSPGSYLFQSRIINNFWGSFDSLNCKKEINLVSSVVKELKSQHRLMNHSVETLCIGEASNIAVSTLQKLGFSNVINHSFFSFNKKNFVYSLDRYRDSSFDFVLSDDFGKVTVPALLVLEVERILKPNGIGVLLLDFDTESESVFSIHNINNINMIRTASPVSSLLRFSSIFHVGVVNNHGLIVFKKNSESEPEPEPQSENRRSLFYHEDLPEDCRSVNSTKKFMNLMEPLMKERSYEKKITYLPKFVNVSTYKKNLVYVNIGESEVNYWFPESYPIDKKDFNVYFVHYNASVILSHVKEPRVTFVYHPKLNENYNLSLEGEANDVDEYMEEEEFDLVVWFKETVKNADFVVLKMNAGRVEMKFLYDIYKNGVMCFVDEMFLSCEESEDGEKCMDVYNGLRTNGVFVHQWWNSE >CAK8566712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454441854:454442189:-1 gene:gene-LATHSAT_LOCUS19824 transcript:rna-LATHSAT_LOCUS19824 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRVAAWTVQVFACGENRMMEVDWLRAKDFLKKVKSENWQGDLGCMELGINEEGEAAFIGHFEEGRMGFVYEILLVKLRGIVAVFLLFTFGVWFCATLHFVIVELMLAGT >CAK8532786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516374401:516374817:1 gene:gene-LATHSAT_LOCUS2458 transcript:rna-LATHSAT_LOCUS2458 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNAYHYLQLCYRLLSNHKKVKYVLRARQKCKQINTKAELKLRELNHLQKQYRAVNKQERDSNLEIKFFTKNVSRACVELLLFEPADETQGIVAAEAMNHCSKYHHRLSFVVSCDFVVVFALNPPHLIVTSQLVLKW >CAK8542449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515611881:515613203:1 gene:gene-LATHSAT_LOCUS11288 transcript:rna-LATHSAT_LOCUS11288 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVLKLDLADDKAKQKALKIVSTLSGIDAITMDMKEKKLTVVGIVDPVKIVSKLRKYWQADLISVGPAKEPEKKEEAKKEEPKKEEEKKEEGKKEGEKKEEGKKEGEKKEEEKKEEGKKEEEKKEEEKKKEPAPAPDPVLEMVKAYRAYNPHMTTYYYVQSMEENPNACVIC >CAK8573102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:584535424:584537553:-1 gene:gene-LATHSAT_LOCUS25588 transcript:rna-LATHSAT_LOCUS25588 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSTSTTPLQHPSIHPDQQHNLHLIHNITTATQPPPPPPPSSAREYRKGNWTIQETLILITAKKLDDERRLKNPSTSTTPSSSSQDPTRPTNTASLTGIASPSSSSTSRNSGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVRDYESKSESSPNNNNINKDHFPSYWILNKQQRKEQNLPSNMVFEIYQAIFEVLQRKQTQRITTPQQQQQQHHHQQQQQGLVTLVSSSPLPALPLPVQAQLLPPPPQPQPLPPPLPLQQPPPPPHAPASSTTPAVSERTESSDSEKSEEEDEDDDDGGGGSESKRRKVKNLGSSIMRSASVLARALRRCEEKKEKRHRELIELEQRRIQMEEARNEVHRQGIATIVATVSNLSGAIHSFINSEHHGRR >CAK8563395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599666516:599667454:1 gene:gene-LATHSAT_LOCUS16812 transcript:rna-LATHSAT_LOCUS16812 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVIIVVVATLGNLLNGWESSTIAGAMTYIKQDFELEKDPSLEGLIMSMSFITATVVTIFSGTISDMVGRRPMLITSSVMFIIGGLVMLWAPKVTGILLSRIIKGVAMALVVTFNPLYISEIAPPDIRGQLNTLSQFSCSVGMFLAYILVFLMSLMSSPSWRVMFSAVSIPSVVYFLLTVFYLSEPPWWLVSKGRILEAEKVLKRFRRVDDVSGEMALLAEGLSPRGEDISIEEYVVAPASEILINQEAVKNFV >CAK8535160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818767244:818768503:1 gene:gene-LATHSAT_LOCUS4636 transcript:rna-LATHSAT_LOCUS4636 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQENDTLSQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLPIIAEIDLYKFDPWILPSKAIFGEKEWYFFSPRDRKYPNGTRPNRVAGSGYWKATGTDKIITNEGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLLDSSRNNGGTKLDDWVLCRIYKKNSSAQKANPNGVVSSREYTQYSNGSSSSSSSHIDEVLETLPEIDDRCFMLPRVNSLRTMQHRQQEEEKVNLQNSFMDWSNPSSILNTVTEFQEAQTQGMVNFGGCNDVYVPSVSALSVPEKKPTEEEVQSGARANRVGDSGLFQRGGSSDFTQGMGYSNSVDPFGFRYPVQPVGYGFGL >CAK8544616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695944475:695945359:1 gene:gene-LATHSAT_LOCUS13275 transcript:rna-LATHSAT_LOCUS13275 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYRQVSPERAKVWTEKPPKYHQNLKVPVVYYLCRNRQLEHPHFMEVPLSSPDGLYLRDVIDRLNSLRGRGMASLYSWSCKRSYKNGFMWHDLCEDDLILPAHGNEYVLKGSELFDESNSDRFSPINDVKIQSVKLLPGSASCRSHDEASSSYSMNGKETRHSQDDELSQEQHTGSFDVSPESSAEKSDPVSLALTEQRGLLSLNKVLEKSMFVLRDFIQLFLMLLRFENDIDAGEEFVLMTTKGEAISLGIVEMTTAVMAICDHGVVAKIKRLATENQRLAATHGVLR >CAK8560820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47142686:47146248:-1 gene:gene-LATHSAT_LOCUS14465 transcript:rna-LATHSAT_LOCUS14465 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLKDYLKRYESKPEEDKKKKKRKKKTLPQATGLLVVDEDPAWQKPIDLAEENDDNLSDGEKPIVDEDIEVKRMKRLEQLKARRSYHDISEDGSGWIPLSSKSENLSDSNRMDADLSPSRRQLKRNDTPSPERDSQPARSEGPSPDLSPRKQRDSDMSPPRRKLNPDLSPRKQRDSDMSPPRRKLNPDLSPPRRKLNPDLSPPRKQRDSDMSPPRRKHVTNPSPDISPPRRGSHQTFEYNGRKKHDTYDLDDLSPPRRGRHDSPSQDTLHGSVSSDLSPPRKRQHSGARSNLSDVSHRGSHPSLDRDLSPPRKNPKELSIPASVNERKTGLISGKDIRKEIDRKKKDDLLRLKQMDPVISGRGAEPVFRDNKGVRITKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREFEAKQKELEVEKEKPFARTRDDPELDKSLKERIRWGDPMAHLVKKKYPEPVLPNLGDSDKMKASGFVVPQDIPDHSWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKGMFKRTNERQAKDKEAYLWSVSDM >CAK8565571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:280932991:280933761:-1 gene:gene-LATHSAT_LOCUS18771 transcript:rna-LATHSAT_LOCUS18771 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYREEIRRTNIEASNWIDNIHREKWARAFDEGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKQGHKWTKILSSGKVFTDGCNKGMADEVAKANTHNVMQFDRERFCFMVQEKINHNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIAACSSIQQDYIFTFQRSSQFLTYSKSTRKASWDYHYKKIGKLRRFILTYYDGFNRRNL >CAK8530714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43879018:43879320:-1 gene:gene-LATHSAT_LOCUS558 transcript:rna-LATHSAT_LOCUS558 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHVIEDDYMIDELDSGADEDSYDDRPAMIMFNKEETLRNNFTFKAGMKFSSLNKFKKVILEHNVLNGNEACFAKNDGFKCRVVCKDKKHCNYIVLCS >CAK8538824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497088827:497093578:-1 gene:gene-LATHSAT_LOCUS7980 transcript:rna-LATHSAT_LOCUS7980 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVRRKKKGRPSKADLARRSGQSPVTSQSDLRRSRRQRNVRYNIDYDDYIDEEDEEEDEDERRREKKKLKLVEKLNQGVDEEEDEDEEDSAPSRGRPRVAHARAVQSEKRKFGRKNDDDEEEYEEDREEEEEEEVEEGNENAEEHEDEEEGEAERGEVKGIKVDSKGLHSVTGTPLKVLSVIPLPDKRTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIDNPMDFATVRNKLANGSYTTLEQFESDVFLICLNAMQYNSSDTIYHKQARSIQELARKKFEKLRINLERTQSEQKSEQKTRSNSLGKNLAKRPLGYASQEPVASDFFSGATLPTTGDVLPISHPMQGIPSERPGNVEGLVAGNAFFIDANQEKAEDFMSGRSLLSKMGRKSSVQDYERKSYVQDYERRATYNMSNPSVTGSDSVFTTFESEIKQLVTVGLQAEYSYARSLARYAATLGPTAWKIASQRIQQALPSGCKFGRGWVGEYEPLPTPVLMLDNCVQKQHSLATTLQPTTKLTKVGKDGKNVESTLEHRVNEPIFEGKQPSIRPGSGLTSEGRPSLFGSAGLRPNTSTNLTHPQPNVQTRNIGKSENTDLKQVELNSLPSSDQNNASLVAKLKSNAPAAVSKPREMIPSNMNILTSMPFKQPDANGVVSGELPNGNVRNSSFNRRMTAPSSESTSTQTVRSAPFVTHGQEQSLSDPVQMMRMLSEKAQKQQASSSSNHPPTETPPVQMMRMLSEKAQKQQASSSHNHPPTVTPPVRMMRMSSENAQKPQASSSSNHPPTETPPVQMMRMLSENAQKPQASSSSNHSPIETPPITPNPPGRREDLSNASAAAARAWMSVGAAGFKQGPENSSSPKSQISAESLYNPAREYQQHLSRSRGEFPSGGMPFQSEKNCFPFQALVPQHHAIGVSQFSNRPMVFPQQLAASDLSRFQMQPPWQAVRPHSQPRQKQESLPPDLNIGFQSPGSPAKQSSGVQVDSQQPDLALQL >CAK8535127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:816078182:816079228:-1 gene:gene-LATHSAT_LOCUS4604 transcript:rna-LATHSAT_LOCUS4604 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTVKFSLLFVLWFWNFNFLPLSQGKDDYPYIKKASSFSSPSISDTSLNKAYDYIVVGGGGAGCPLAATLSQNFSVLLLERGGVPFTNPNVTFLENFHITLADLSSTSASQYFVSTDGVFNARGRVLDGGTSINAGFYTRASSRFISKVGWDAKLVNESYPWVEKQIVHRPKFSPFQRAVRDSLIDTGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAVGVIFNDENGKQHEAMLGNGMRSEVILSSGVIGSPQMLLLSGIGPKAELENLNISVVLDNRFVGKGMIDNPMNALYVPSKRPVWHASSDASG >CAK8536186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915012335:915015060:-1 gene:gene-LATHSAT_LOCUS5579 transcript:rna-LATHSAT_LOCUS5579 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRFRFSIKTQLLLLYSSLIHLSSTLKPPPLPILPLPTSFQLQWQNDKMAIFFHFGTNTFTDAEWGSGHADPTTFNPTKLNASQWIHVVKESGFSSVLLTAKHHDGFCLWPSEYTDYSVRSSGWRNGNGDVVAELADAARDAGVGFGVYLSPWDRHEECYGDTLRYNEFYLAQMNELLTRYGEIKDVFLDGANGGKGMKYLFESWFSLIHQLHPGAIIFSDGGPDTRWVGNEYGVAGSTCWSLFNSSAVQIGGDIDPQYQKQGDPYGSAWLPAFCDVSIRPGWFWHASEHPKSARELLEIYYKSAGRNCKLLLNVPPNSSGLISPEDIQVLREFSELRRSIFSHNLAASAFFNASSTRGGIQDSRFNPYKVLEEGIHTYWAPEENQSKWILYIDLKELVSFNVLKVQEPIHMGQRVIEFHLEVLNRDGFWKRVVNGTTIGYQRLLLFPKLKSQYLKLVVDKSRADPLISYLGIYLDRVTVLSKDMPDKKSGTYFNGTQVLCSTTNNSSRSASM >CAK8574483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:568513:569143:-1 gene:gene-LATHSAT_LOCUS26827 transcript:rna-LATHSAT_LOCUS26827 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCKQLGGYPALLQQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQCALKVDDLRPILDELTLADVIWRPFENHRTWRQFHELCLYRGCLRWGDIIVPYMPDRCLRQFGYKQYIPHPPLDSRMAGDIDVDWISYHQSVQNVIRPTTPATTPPKSTTTYFFVSSNIINSF >CAK8575542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:158203541:158204687:1 gene:gene-LATHSAT_LOCUS27798 transcript:rna-LATHSAT_LOCUS27798 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTSILACFLFSSSLLLLLPPFSHAGLLSQPVTDPNQPLKPGEYPPSNTVPAFPVQTQAQTCKLDLSNELFGGVKDACGKDLDRSRCCPVLAAWLFAAHARSALEVTADAPAPSSGELPMMPDDSQKCVNSLQDSLLTRNIRIPQPNATCDAILCFCGIRLHQISSLSCPAAFNVSGSHKNATPTAAVRSLENNCRNASYSGCTKCLSALQKVKSFKNGTKGGSERVKKMFNRDCELMGLTWLLAKNKTTFIPTVSAVLRAMMYSAHPHESKCSPDQENMPLAVDSLQFESGHAPSWPSKLWVTVLTLIMFCCFV >CAK8562096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439839449:439841436:1 gene:gene-LATHSAT_LOCUS15622 transcript:rna-LATHSAT_LOCUS15622 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTQKERNWKVEKGRRKSRCTGGKYLKPGTLAQLRGNRSLGFGSVVGRVCSDIGKKRDADLNSEKRDVLEGKVFDESPVMLSPVNLVKSSLVGTPKTPRIEEWQSESRLESLPTELLVKILCHLHHDQLRPVFHVSQRVRKAVIFARHFHFNYTTPDRSRQEMLNIMTPRPSQHWPFLRKRDGIMSPSPNTPKAPRHGPRPPSRVKVEMRQVTAVLFREPGFSSRYLMPSSRVLFCEDELCKAVSQNKL >CAK8560463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20744568:20756677:1 gene:gene-LATHSAT_LOCUS14132 transcript:rna-LATHSAT_LOCUS14132 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSGDGGEMATPAPLTVSGSFKEGKNSLRRRGSARQPSMDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRHSERLREKAVEELTEELSKVDGKLKLTESLLETKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAEKTVQVALLKASMVDDLQNKNQELMKQIEICQEENKILDKMHRLKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQELNEEIKTLERELARAKVSANRVAAVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAIVERRAKSEAQLKEKYQLRLKVLEESLRGNSNGSNRSTPEGRSVSNSRRQSLGGADHFSKPTSNGFLPKRLPSFQLRPSLSSSAVLKSAKGTSKSFDGGTRSLERSKMQLNGASQSHSFNESLEETREREADVNWKEDSDDKANEFPTVDTEDSVPGVLHELLQKEVMALRKAVNEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVANMEKEVAAMRVEKEQESRAKRFSNIKGPVNSAQNQLISGRSVTRGGLTRSTQ >CAK8538442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:482700868:482703059:1 gene:gene-LATHSAT_LOCUS7635 transcript:rna-LATHSAT_LOCUS7635 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKYEEGEVCSICGHRVPVGSEKSSIQVSVFPSVILPEFLYLGSYDNASRSELLKTQGISRILNTVPSCQNLYKNSFTYHCLPDDKSFQFDEANQFLEQCEKDKERVLVHCMSGKSRSPAVVIGYLMKSRGWRLAQSYQWVKERRPSVELSGAAYQHLQEYEKTLFGSAGNSFLLPSAFSSLAGPSSISFGFPKPNDLPPLAAFPAFPAFNCAGTPSIFTRQPLDIAPTEFTFGAGQNVTGNPFNTNPPNPNPNVTDIQMDGS >CAK8544747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703918123:703927415:1 gene:gene-LATHSAT_LOCUS13402 transcript:rna-LATHSAT_LOCUS13402 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMEEHDVGISCYISSLPGFRGVLKQRYSDFIVHEVDTDGKVVELSSLEAIAEESKVSAVESKSVQENQTDASETEVISYATQIEAFKSLAGDSDASCLEEFINQINAGGEESLSPIILSPDSDKSHRTAVHIFFRENFNFLVTDTVDGPDASSKCIRVRLNSGKSNREKNSRKRKERDNKPFDSRGSENWPDAGKFLRFHLYKENKDTQEALGVLGHMLGIRSKSFGFAGTKDKRAVTTQRVTVYKQQASKLASLNGKLFGIKVGDFCYVNEGLCLGQLLGNRFTITLRGVVADSVDTIKAAADSLGRHGFVNYFGLQRFGSGSVPTHLIGAALFRGEWKRAVDLILDPRDGEKYIIAKARKYYKDTNDIAGTLKQLPQFLVAERAVLKCLKQFPGSYLQALKSIPRTLRMMYVHSYQSYLWNNAASTRVQKYGTEQVVLGDLVYCKENPSEKVAEIVGLDTGDDCGDSYDTNNEDEVSGDIHDKSSSNVKVVNAEDLNSKCYTIDDVILPMPGSRSIYPTNDVVKVYNDLTNKDGVSLTESVHNAKEFSITSVTGSYRRVFQKPIDFEWELLTYTDSNKPLVETDLDKIGKSKPINVNPVSAPNEQKEKASDCISQFESSDDGPKVETDHDEIGETEVTLPHDESVRDSSSKDSHTALKLTFTLPSSSYATMAIRELLKTSTSVAYQKTLNQ >CAK8539281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508268648:508270966:-1 gene:gene-LATHSAT_LOCUS8391 transcript:rna-LATHSAT_LOCUS8391 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSLNLLNLLQSCITNRSLLSAKIIHAQVFRFTLFSDTFLSNRLVELYSKCNDITSAHHVFDKIPHKNIFSFNAILSAYCKSNNLQNACRLFLQMPERNTVSLNTIITSMVRNGYERQALDTYDSMMVHESVKPSHITFATVFSACGGLLDANCGRRNHGVVLKVGLDSNIYVANALLCMYSKCGLNVDAFHVFEDIREPNEVTFTTMMGGLSQTNQVMEALELFRLMLRKGIRVDSVSLSTILGICAKGVSFDVCDDSHGFSTNAQGKNIHTLAIKVGFERDLHLCNSLLDMYAKTGDMDDAENVFVNLDKHSVVSWNIMISGYGNRCDTEKVVECFQRMQCCGYEPDDVTYINMLTACVKSGDVKIGRQIFDCMPCPSLISWNAILSGYNQSADHGEAVELFRKMQFQWQHPDRTTLAIILSSCAELGLLKAGKQVHAVSQKLGFYDDVYVGSSLINVYSKCGKMELSKHVFSKFSEQDVVCWNSMIAGFSTNSLEQDALAFFKRMRQFGFSPTEFSFATIVSSCAKLSSLFQGQQIHAQIIKDGYVDDVFVGSSLTEMYCKCGDVGAARCYFDMMPSKNIVTWNEMIHGYAQNGYGHEAVCLYKEMISCGEKPDDITFVAVLTACSHSALVDEGVEIFNAMLQKFEVVPMLDHYTCIIDCLGRAGRFHEVEVILDTMPYKDDTIVWEVVLSSCRVHANLSLAKRAAEELYRLNPQNSAPYVLLANMYSSMGRWDDAHVVRDLMSDNKVHKGPGYSRSEFKYDVQSTL >CAK8541968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:444567998:444577594:-1 gene:gene-LATHSAT_LOCUS10847 transcript:rna-LATHSAT_LOCUS10847 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYVLQLAMAALVGASTVAISAYFLHRKTLLQLLEFARDGDDDEDDEVVSAATDFPNRIPGGGRVRRKVNEYLRRGSSSLPDVTMMSEGEEKKNGQVTVQGIPAGLPQLHAVHQGTSKRKITRPISPKSPVASASAFDSVEESDDDDIADHTKLDTTYLHTNGDVGQEVKNQNQLLTDSLNANGEQMPVAVSSMIHSHSVSGDLHGVQPDPITADILRKEPEHETFARLIITPLEAPLPDEVEAYMVLQECLEMRKRYVFTEVVAPWEKEVISDPGTPKPNPEPFFYTPEGKSEHYFKMQDGVVHVYPNIDSKEELFPVADATTFFTDLHHINRVTAAGNIRTLCYHRLNLLEQQFILHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVFSDLEASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSQNVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNKYNPAFSYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHKNPFPKFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLSEIARNSVYQSGFSHALKSHWIGSEYYKRGPNGNDIHKTNVPHIRLEFRDTIWRDEMQLVYLGRANIPEVVDK >CAK8566963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476697938:476700179:1 gene:gene-LATHSAT_LOCUS20057 transcript:rna-LATHSAT_LOCUS20057 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLRNGSESSSSSLNSSFRDTEDDQTIARILAEERTLNTGSSRQFGKRLSHLDSIPHTPRVNGEIPDVNDATLDHETLSERLVTYGLSELQMEGDGNCQFRAIADQLFGKPDYHKYVRRQVIKQLKHHKKLYEAYVPMEYKSYIKQMKKSGEWGDHVTLQAAADRFDAKICLVTSFRDTCYIEILPTNKRPTRELWLSFWSEVHYNSLYTNGDVPTRLPKKKYWLF >CAK8561968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419331598:419331972:-1 gene:gene-LATHSAT_LOCUS15509 transcript:rna-LATHSAT_LOCUS15509 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSEKAQVEGGIESENNKKWVIAGISLRSPLKPILTIPIEKQQQEQEQKQQQEEDGIVTEEECSTPTSEESKIPTLFTCPPAPRKQKSSLKWNRNYHGSGSVVREFFTAPDLETVFVRHVETA >CAK8564347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669152859:669159003:1 gene:gene-LATHSAT_LOCUS17662 transcript:rna-LATHSAT_LOCUS17662 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHTLSLSRLLPLRVLSSQNPNSSSSSSSRIPRSHNNYHFLKLFSPTHINRVTTTPLAIANPSPTIPTTDDSITNPTLRELCQSHVPHQLLQRMEEVGYVMPTEVQKQALPHLFSGRDCILHAQTGSGKTLAYLLLIYSIINTKKSSFQALVLVPTRELGMQVAKVARILAAKPTGVEGEQRSCTIMALLDGGTLKRHKSWFKAEPPAIVVATVESLCRMLERHLFSLGTLRVLVVDEVDFIFSSSKQVSSLRKILTSYSSCNNRQTVFASASIPQHNRFLNEAMQQKWTKRDVIHIHVNPVEPMPSRLYHRFIVCDTKRKLQTLLSLIQSDAPESGIIFVGEQSEKSKKTGNAASTSLVIDFLKTSYHGSLDIILLEQDMNFNSRAASLLEVKKGGGYLLVATDVAARGVDFPEMTHIYNFDLPKTSIDYLHRAGRTCRKPFSDVNCSVTSIIISEERFVLQGYENELRFVCEELIP >CAK8562466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:497214125:497215687:1 gene:gene-LATHSAT_LOCUS15958 transcript:rna-LATHSAT_LOCUS15958-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPSTSVPSPDINRRDVSNINRFGYGYGDSSDGFNGGSRRDYTDEIPDECLAGILQFLDAGDRKSCSVVSRRWLRVEGESRQRLSLNAEEKLLDFIPSLFARFDSVSKLALRCNRKSTSVNDDAMILISLRCKNLTRLKLRGCREITEIGMLGLAKNCKNLKKLSIVSCVFGVKGIHAVVYNSDVIEELSVKRLRGDSDEDGESVYGNGCSSLKSICLKELGNGHSFMPLIIASKKLQTLKLIGCVGNWDTTLATVGKLNTLVEIHLEKVQVSDAGLIGVSKCLKLETLHLVKTPECSDAGLVAVAERCKMLKKLHIDGWRTNRIGDDGLISVAKNCANLQELVLIAMYPTSLSLAEIASSCLRLERFALCGIGTVTDADIECVAAKCIALKKLCIKGCPVSNIGIAAFASGCPNLVKLKVRKCVKVTGEVVGWLRGRRSSLAFNFDHNQIEGLDGSGSDVGVQETTMAFPPIDNEVNLIDDSPSTSNNNDNRWSMLRSKFNFVPCAFRRWTNIDTIS >CAK8562465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:497214005:497215687:1 gene:gene-LATHSAT_LOCUS15958 transcript:rna-LATHSAT_LOCUS15958 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPYISLQLHRFFSFHAFLAFFTIVKFNFRSLFLFFFHFMGQSPSTSVPSPDINRRDVSNINRFGYGYGDSSDGFNGGSRRDYTDEIPDECLAGILQFLDAGDRKSCSVVSRRWLRVEGESRQRLSLNAEEKLLDFIPSLFARFDSVSKLALRCNRKSTSVNDDAMILISLRCKNLTRLKLRGCREITEIGMLGLAKNCKNLKKLSIVSCVFGVKGIHAVVYNSDVIEELSVKRLRGDSDEDGESVYGNGCSSLKSICLKELGNGHSFMPLIIASKKLQTLKLIGCVGNWDTTLATVGKLNTLVEIHLEKVQVSDAGLIGVSKCLKLETLHLVKTPECSDAGLVAVAERCKMLKKLHIDGWRTNRIGDDGLISVAKNCANLQELVLIAMYPTSLSLAEIASSCLRLERFALCGIGTVTDADIECVAAKCIALKKLCIKGCPVSNIGIAAFASGCPNLVKLKVRKCVKVTGEVVGWLRGRRSSLAFNFDHNQIEGLDGSGSDVGVQETTMAFPPIDNEVNLIDDSPSTSNNNDNRWSMLRSKFNFVPCAFRRWTNIDTIS >CAK8562341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475630076:475635110:1 gene:gene-LATHSAT_LOCUS15845 transcript:rna-LATHSAT_LOCUS15845 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQQMHFTRPPPSDDHPVDGIPISDVPSLPTVAFDRSNCSGRTTVSPTSVIAFEDESNAVSESSCQSSTKHSRQSSIMRNSNAEKESLDWCSALSSDYASSRVSFSKNNNQPISTFNVDSDSENDDCKPGEQVKRDSIRKGKKGTCYRCFKGSRLTEKEACLVCDAKYCGNCVLTAMGSMPEGRKCVGCIGYPINESKRGSLGRCSRLLKRLLNRLEVRQVMKAESFCEVNQLPPNCVCVNGNPLSFEELVTLQNCSNPPKKLKPGNYWYDKVSGFWGKEGQKPCSIISPHLNVGGPIKQDASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTRGYIWGKAGMKLVCAFLSLPVPSRYSTSCGFLSSNVVARTVPDYADHGVVHKLLLVGYSGSGTSTIFKQAKILYKSTPFSEEERGNIKLTIQTNVYAYLGILLEGRERFEEESLGNLKKIQSLLYTTGTSPKHGDKTVYSIGTRLKAFSDWLLKTMVSGKLDAIFPAATREYTPLIEELWNDSAIKATYERRNEIEMLPSVASYFLERAIQILRTDYEPSDLDILYAEGVTSSNGLASVEFSFPQSATVETGDTDDLLDSLARYELITIHARGLGDNCKWLEMFEDVGLVIFCVSLSDYNQFSTDGNGCLTNKMILSRKLFETIVTHPTFEQMDFLLILNKMDEFEEKIEHIPLTQCDWFSDFHPIISHSRSGSNNNNINNNPSLSQLASHYIAVKFKRLYSTLTGQNLYVSLVKGLEPDSVDASLKYGKEILKWNEEKPNFSLSDDSMHSIEASSFSH >CAK8571163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:320221054:320222260:1 gene:gene-LATHSAT_LOCUS23853 transcript:rna-LATHSAT_LOCUS23853 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEEEIEDEDANEVVVGKGKVPPHLIIRDDNGKVIIQTCGSELVPDKEVENAINYAIHKQFYRGFYNWTAVPADVKEKWFALFADKVS >CAK8565622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294193480:294194501:1 gene:gene-LATHSAT_LOCUS18819 transcript:rna-LATHSAT_LOCUS18819 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKDQSNKTKSDKTNIYVLYRKEALEMTRLAFEHFKEATKAYQQKDHFSAKQHSMAGRKIRLMVEEHNSNAAKEIFKINNRKNKIWRIDLHGLHGSETIQILQNRLNEIIATQSKSLEVITGVGKHSHGKPVLPVIITKFLKENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8565623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294193966:294194501:1 gene:gene-LATHSAT_LOCUS18819 transcript:rna-LATHSAT_LOCUS18819-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKIRLMVEEHNSNAAKEIFKINNRKNKIWRIDLHGLHGSETIQILQNRLNEIIATQSKSLEVITGVGKHSHGKPVLPVIITKFLKENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8579597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711469260:711469475:1 gene:gene-LATHSAT_LOCUS31530 transcript:rna-LATHSAT_LOCUS31530 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGLGAVPSYGVPAKRRWRGFVIAVLGLVILSMLVPLVLLLGLHNGFHSSGYIYEQRSTPSSHKVLEKL >CAK8532957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:555306139:555306369:1 gene:gene-LATHSAT_LOCUS2614 transcript:rna-LATHSAT_LOCUS2614 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSFNVRGLWGNIKNRKVRELVRDFYLDFIVIQEVQLSQVRSHCIIFYWGVLSATGSFVHIRVLVGACFLFGEM >CAK8572518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543168077:543170716:-1 gene:gene-LATHSAT_LOCUS25077 transcript:rna-LATHSAT_LOCUS25077 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARHPNVLSLVLISFLSIASAKVFFEERFEDGWESRWVKSEWKKDENLAGEWNYTSGQWNGDANDKGIQTSEDYRFYAISAEFPEFTNKDNTLVFQFSVKHEQKLDCGGGYMKLLGGEVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNDTNQLIKKDVPCETDQLTHVYTFIIRPDATYSILIDNVEKQTGSLYTDWNLLPAKKIKDPEAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIADPDAKKPEDWDDEEDGEWTAPTIANPEYKGPWKPKKIKNPNYSGKWKAPLIDNPDFKDDPDLYVFKLKYVGIELWQVKSGTLFDNVVITDEPEYAKQLAEETWGKQKDAEKAAFEEAEKKKEEEESKDDPVDSDAEEDEEDDDAGHESDAESKTEAGEDSDESNKEEVHDEL >CAK8543599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624658307:624661781:-1 gene:gene-LATHSAT_LOCUS12347 transcript:rna-LATHSAT_LOCUS12347 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKLALFGERLKTNSANLSRIVSGKMKEILQTPTPESKMVDEATSETLEEPNWGMNLRICGLINSDEFNGSEVVKAIKRRINQKSPVVQKHSLDLLETCAMNCEKVFSVIASEKVLEDMVRLIDNPQADQNNRTRALQLIRAWGESEDIAYLPVFSQTYLSLKGRGESLDAAGGNSPPIPYASESHTYQQSLNPPERYPIPEAGLHALALDDSEAFFADHQPVSVEEKKEHLVVARNSLEVLSSILNSEAEPKPLKEELTLSLLDKCKQSLYVIKEIVQSTTNDEETLFEALYLNDELQQLVSKYEELEASQSLGEQQTQNADTVKHDAETVQNLDERREGDKSEESEAAQNFDRKLPQKSNPIEADVNATEGHGHVETKIVDSTKEKNDEPILKSNTE >CAK8544668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698572834:698575440:-1 gene:gene-LATHSAT_LOCUS13325 transcript:rna-LATHSAT_LOCUS13325 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLSSNYPSLLIFKQRSFYVFLLLIYVCIFMFILSFKYQRDEVHKITKPSWFEFIAHDFHSKMKIKIGLVNIDPKAMDAKLDAIRSQVDIIPIIFDRVDEKLRWNDFFPEWIDEKEKMHKPKCPNMPMPTFENYKNLDVVVARVPCEERSMEETGIRDVFTLQVNLVVANLVVKTKELEKLESDHENIYIVFIGSCSPMVEIFRCDDLLIHQVDYWVYKPDLKKLKHKTLMPVGSCQISPGYAETGKEIWQSFLTQSPRNNKSMLHVPKLAYVTVLHSSEKYVCGAIALAQSIFLSGKSIIDPIDLVLLADDSIGLESIRGLRAAGWKIKRIQRIRSPFAKKHAYNRWNYSKLRIWQLTMYDKIIFIDSDFLVLKNIDGFFAYPQISAAPNNKVIFNSGLIVVEPSQCMFENMMNKTYKVKPYNGGDQGFLNEIFTWWHRLPSKLNYMKSFRKIDDYKHEIPEDVYAIHYLGLKPWVCYRDYDCNWDSKNHREFASDSANEVWWKLYDIMPTHLQSYCTMTRSSNEKFMANKNKARNASLPDGHWRIEVKDARKMTYLN >CAK8575284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:70918586:70918926:-1 gene:gene-LATHSAT_LOCUS27558 transcript:rna-LATHSAT_LOCUS27558 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVETILLVIVFGAIILEDNLKIIDACPQFCYGDSAYMICPSSGNQHLSPGCNCCFASTGCTIYKADGTSLCTKS >CAK8566956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:475490845:475492667:-1 gene:gene-LATHSAT_LOCUS20051 transcript:rna-LATHSAT_LOCUS20051 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLGITLISILLLCTAAAAQGRKSLHTTNELSAYNPVTNDGICKTLVETQGYKCEEHTVTTNDGYILSLQRIPTGRSGEKADKPPVLLQHGLFCDAVVWLFNSPKESLGFILADSGFDVWLANGRGSKYSTGHTTLTPNDMAYWDWSWNELASYDLPASVEYVFNLTGQKIHYAGHSQGTLVAFVALSQGKLLNMLTSAALLSPIAHLNMISSELAKLIAELFLANDVHWLGVREIDPNAYDVTKLVDGICFISNLNCGDIVTLFTGPNCCINSSRIDFSLNQPTATKNFIHLSQMIRTGKIAKYDYEAQNMLHYGQGVPPTYDMTKIPKEFPLFISYGGKDYLSDVQDVKVLLNDLSNHDADKLVVLYKDEYAHLDFIGAFDAKQVIYDPMIAFYNSN >CAK8536985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:50483384:50486507:-1 gene:gene-LATHSAT_LOCUS6306 transcript:rna-LATHSAT_LOCUS6306 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFESCWQYLITNFSDFQLACLGSFFLHESVFFLSGLPFVWIERAGWLSKYKIQAKNNTPAAQDKCIVRLLIYHFGVNLPVMIFSYPVFKYMGMRSSLPLPSWNVILTQIIFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEFATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDRIFGSDVGYRKLKALKNSGFEDSYEQKQQ >CAK8571769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471393907:471395651:1 gene:gene-LATHSAT_LOCUS24402 transcript:rna-LATHSAT_LOCUS24402 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFLSLLSLSLLIFASACLATRSEFDRLNQCQLDNINALEPDHRVESEAGLTETWNPNHPELRCAGVSLIRRTIDPNGLHLPSFSPSPQLIFIIQGKGVLGLSVPGCPETYEEPRSSQSRQESRQQQGDSHQKIRRFRKGDIIAIPSGIPYWTYNHGDEPLVAISLLDTSNIANQLDSTPRVFYLGGNPEAEFPETQEEQQERHQQSHFAPVGRRAGQHQQEEESEEQNEGNSVLSGFSSEFLAQTFNTEEDTAKRLRSPRDERSQIVRVEGGLRIINPKGQKEEEKEQSHSHSEAEEEEEEEEEEEKQRSERRKNGLEETICSAKIRENIADPARADLYNPRAGRISTANSLTLPVLRYLRLSAQYVRLYRNGIYAPHWNINANSLLYVIRGEGRVRIVNCQGDAVFDNKVRKGQLVVVPQNFVVAEQAGEEEGLEYVVFKTNDRAAVSHVQQVFRATPEEVLANSFGLRQRQVTELKRSGNRSPLVHPQSESQSH >CAK8563511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611864334:611865382:1 gene:gene-LATHSAT_LOCUS16911 transcript:rna-LATHSAT_LOCUS16911 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIRFKPLVPLSPLPKQTNSPQTSWLSLPVSRPLSHQRRYVAVSVAFNPQGNFDVSLFDDNDESKVEPPLPPTEGRFNVVIDNDAVSSLDLSPFQAATGMKNPLSVKPQEFLERSIGFTINYTRQDPRDPRELSEYPDIRLWFVRLDAAYPWLPVLLDWRAGELARYAAMLVPHQMNMKMGVVFNPEALELFVMNKVFIVYSWLKHHNIPKPESKANDMARMLGFGIGYELYDLVENHPLEE >CAK8535719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876632868:876636746:-1 gene:gene-LATHSAT_LOCUS5148 transcript:rna-LATHSAT_LOCUS5148 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGQCFEKLRRCVRTVFFVAALVVSLLVTSLPVVVAVLDVVVPCVLISRFTCVGCYSFKEHLIRYSFKSSLTDIPLVSIIRSFIIICVYSICDGPALSHGPYLGTVTLSSFVSIVVLSVKACVFTVNSQIEEEASVSLARKILRLKKSWGMPVLFLSSVVFALGHSVVAYRTSCRARRKLMFHRVDPEAVLSCKNVFSSYQKAPRSPIPSGGRTPKSDSEMKRRPFGAARDEEVSVRLLADSDSLFITCQGLTLHYKLSLPRSPPSLSLSSSSFVESSSICFTSPMSAGLSKFNRQLPYVSSKIQRPLYRTYSNQLHDSSSLYAPLLDGPVMSPLLSEDVPVFHLDEIREGDETVKSDIMSLDKKAEGISQMGIVLIHGFGGGVFSWRHVMNSLARQSNCSVAAFDRPGWGLTSRLRREDWEKSELPNPYKLENQVDLLLSFCSEIGFSSVVLVGHDDGGLLALMAAQRVQRSMNSFNVTVKGVVLVNVSLSREVVPSFARILLHTSLGKKHLVRPLLRTEITQVINRRSWYDATKLTTDVLSLYKAPLFVEGWDEAVHEIGKLSSENILSAKSAESLLHDVKDISLLVIAGAEDSLVSLKSCQTMASKFMNSRLVAISGCGHLPHEECPKALLAAVLPFIGKLCSVYNSDSQ >CAK8578072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605113129:605113653:1 gene:gene-LATHSAT_LOCUS30114 transcript:rna-LATHSAT_LOCUS30114 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLTSSSLSISNSKAFHYVPRQRPCHVHFAISCRVKKMEEPRNGSNFYKMLSVNPKSATMEEIKRAYRSMALQYHPDVCHDPSMKEESTRMFVRLNAAYETLSNPMLREQYDSELGLRSSRMNNDVVGEEIWRSRWQEQVVELKKRSCRRMAQKGRSWGSRMRTQNMKDTN >CAK8578401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626489456:626491897:1 gene:gene-LATHSAT_LOCUS30414 transcript:rna-LATHSAT_LOCUS30414 gene_biotype:protein_coding transcript_biotype:protein_coding MIITNVAEYEAIAKEKLPKMIYDHYASGAEDEWTLKENRNAFSRILFRPRILVDVSKIDLTTTVLGFKISMPIMISPTSVQKMAHPEGECATARAASAAGTIMTLATEGSFSVEEVASTGPGIRFLQLYVFKDRNLVTQLVRRAENADFKAIVLTADTPVCGHREANIKNRFAFPSYVKFKNHQDTDLEKTKDSNPASIVSDLYDQSLSWKDVKWLQTITSLPIIIKGVLTAEDTRLAIQAGASGIIVSNHGARQLDYVPATITALEEVVQAAEGRVPVFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLVADGEAGVRKVLEMLHDELEITMALCGCSSLKDITRDHVVTECDRRRIAPRL >CAK8538857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498228666:498231870:1 gene:gene-LATHSAT_LOCUS8011 transcript:rna-LATHSAT_LOCUS8011 gene_biotype:protein_coding transcript_biotype:protein_coding MANENEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQSDRTKTTNSLLVSLMKQLEKDKKSIQLGPEDNLYLEGFALNVFGKADKQDHAGRADISTAKTFYAASIFFEILNQFGPVQPDLEQKQKYAAWKAADIRKALKEGRKPVAGPPAGDEDLSVPSSSPSIRYDPGATETSASSAGSESDSTRSYHNPVNFQNMPSIHPAPKFNDTVNDQNSASIPPSSQFHDRVDDNKHSSIVSPSSHSYTPGVYPSQDFHPPPSSQDYHHPPPSSQDYHHPPPSQDYHHPPPSQDYHPPPPSQDYHSPPPSQEYHPPPPSQDYQPPPSQDYHHPPPARSDSSYSEHYNHHQYSPDQSQNLGPNYPSHETPSSYSLPHFQSYPSFSESTLPSVPVNQTYYQGPDASYSSQSAPLATNHSLNTQNSLSSRNGSVLEPKSTTQTYQYDCNYQPAPERIAEAHKAARFAVGALAFDDVSIAVDYLKKSLELLTNPSVGQ >CAK8542315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501167022:501208046:-1 gene:gene-LATHSAT_LOCUS11162 transcript:rna-LATHSAT_LOCUS11162 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDLGCSLPQSRILHGGVGTGCKQKLVGQVGCFDFRRRGFDSGFLGKSVSRSHFSVENKLSCVSSGEFKVLNTKRHMSCKNEKLLLGSRVIWLKCQGNDSLAYVNGNGRNVDYVEGSDEDAEVALVSSDGSNVPVEEEGKVGKEIGVEVQNVEELKELLQKASKELEVAQINSTMFEEKVKKISETAIFLHDEAAQSLNDVNSALDTIQKVANEEHMAKDAVQNATMALSLAEARLQVAIESLEAAKEVPEGSNESDGDRDLTEKENTLFVAQEDIKECQENLANCEEELRRFQNKKEELQKEVSKLQEIAEKAQLDAMKAEEDVTNIMVLAEQAVAFELEVTQRVNDAEIALQRADKSVSNSNADTVETIQVQDAVLVSEENTVEIFSDDVTVGRDRDLATIDDASLLTKLSPETQSEQTSQISDDTAQSDYTSDNDNAVQTKKQEAQKDFTKDSSPFAPKALLKKSSRFFPASFFSFTEEEAESTPASVFQGLVLSAKKQLPKLVLGLLLIGAGAAVYANRAEKNTQLLQQADVIATSAEEFSSNAKPLIRKLQKIPKKIKKIIASLPHQEVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAAVVGLVAHYICGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQVAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLFVSNFPVVTGTLALLICGKTILVSLMGRIFGISLISALRAGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPASEISATINEFRCRHLAELTELCEASGSSLGYGFTRIMSKSKSPSPDSIDLDDTQVSEGTLAV >CAK8576373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467942461:467943723:1 gene:gene-LATHSAT_LOCUS28565 transcript:rna-LATHSAT_LOCUS28565 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSEEKDGSEMEIPKCIDSFTHLASTKPKNNNFPMKRTVRPFFVLLLLLVFLATLISRSILLRGIISIELETRVVNRDSPSLNATLLKHAAVEIGEEKSRQEIQQLLDGNFASQARHRTFVSWRRFIHHDGDKSFPATLRSPLFYRYWIDFRRVLHDWARKRRFQPGIMNELTRSVKVPLDRFNKVVTDSGDKKYSSCAVVGNSGILLNRNYGSLIDAHEFVIRLNNARVDNYENKVGKKTSISFVNSNILHLCARRTGCFCHPYGANVPIVMYICQAVHFLDYTVCNASHKSPLLVTDPRFDVLCSRIVKYYSLKRFVEETGKGLELWGSAHDGALFHYSSGMQAVMLALGVCDKVSIFGFGKSPSAKHHYHTNQKAELHLHDYEAEYAFYRDLVDGYKPIPFVSEKFKIPPVVMYH >CAK8540815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23207489:23208987:-1 gene:gene-LATHSAT_LOCUS9782 transcript:rna-LATHSAT_LOCUS9782 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSSKASLVWTLSSKSSSRNDRNSWHYQRFPWKRATYLRSFIHNSASSFNSGSLSAASKKLLLLRKRDNVYTRSTRGFSLWKSKVLGVGGSSLKWSKSIEKHSKKANEEATLVVAVVERKKREKKKSARSGSQTKRERIFRVGSVRYRMDPSRRTIQRISDDESLSSASTSSGSGLVSKRGYIPRRLVIGNDEYCSFSLSSSTSFVSVSKFVVFF >CAK8537916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447438350:447439817:1 gene:gene-LATHSAT_LOCUS7165 transcript:rna-LATHSAT_LOCUS7165 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIINNYGKPMSLSKLISSLPIHPSKKPCIYRLMRIMTHSGFFSQQNVTENELEIEYTLTDASRLLLKNNPKSVAPFVQAMLSPIMTNPWQQMSTWLKNEESTAFETIHGEYFWEYAAHDPILNRLFNESMACDAPLVSDLLIEKGKGVFDGLESLVDVGGGTGNLGKALAKSFPQLEYTVFDLPHVVDGLQGTDNLSYVGGDMFQEIPQAHAILLKWILHDWNDKECVSILKKCKESLEKKGKEGKVIIIDMVVDNQHTNEKFETQLFFDMLMMVMQTGKERTEKEWVKLILSAGFSDYKITPILGLRSMIEIYP >CAK8560923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:58056416:58061002:1 gene:gene-LATHSAT_LOCUS14561 transcript:rna-LATHSAT_LOCUS14561 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIQQISNEEKQPEFHGHSSNSSFSGFEHSYSEVGDSSKLSAYSASSDDSLHSQSLQIFPVQNKIFLLHGNLDICIHGAKNLPYMDMFHKTVGDMFDKFPGNVSIKVEGTMSRNITSGPYVSISLSNAVVGRTFRISNRENPVWDQHFYIPVAHYAAEVHFVLKDSGMVGSQLIGIAGIPVEQIYSGEKILGTYPILTSNGKPCKQGAVLSVSIQFIPVEKLTIYHQGVGAGPDYIGVPGTYFPLRKGGTVTLYQDAHVPDGCLPNVMLDHGMHYAHGKCWVDIFDAIRQARHLVYIAGWSVWHKVRLLRDAGHSHGSDFTLGDLLKSKSQEGVRVLLLVWDDPTSRTILGFDTDGIMETHDEETRRYFKNSSVQVLLFPHIDGKRYSWARLKEVGPIYTHHQKTVIVDAEAENNRRKIVAFIGGLDLCDGRYDTPHHPLFRSLQTLHMDDYHNPTFMGSTGGCPREPWHDLHSKIDGPAAYDILTNFEECWLRAAKLTGKKHLHDDALLKIERVSNIIRMSDTPSVGDDDPEGWHAQIFRSIDSNTVKGFLKEPKDASEKNLVCGKNVLIDMSIHTAYVKAIRVAQHYIYIENQYFIGSSYNWSQYKDLGANNLIPMEIALKIAEKIKANERFAVYIIIPMWPEGNPTGAAIQRILFWQHKTMEMMYETIYNTLVEVGLEAVFSPQDYLNFFCLGNREAVDMHENIVGSGIPPPPNTPQENSRFNRRFMINVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQYTWAKKQSYPRGQVHGYRMSLWGEHMGTIEECFLQPESLDCVRRVRTMSEMNWKQFSSKYVTEMRGHLIKYPVEVDRKGKIRSLSGHEEFPDVGGNIIGSFVLPFKRI >CAK8533350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:606723417:606726656:1 gene:gene-LATHSAT_LOCUS2986 transcript:rna-LATHSAT_LOCUS2986 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSNLVIIGVSVGLALGILISCLIFFGIRWCKKRSHITQSANEPSLTTLPIRTNGVEASTDFSASITSSITTSRSENLQRNSNFSWWNHQNKDRFASASGILKYSYKELQKATQNFTTTLGEGSFGTVYKATMPTGEVIAVKVLAHNSKQGEREFQTEVSLLGRLHHRNLVNLLGYCVDKGQHILVYQFMSNGSLASILYGEEQKLSWDERMQIALDISHGIEYLHEGAVPPVIHRDLKSPNILLDDTMRAKVADFGLSKEEIFDGRNSGLKGTYGYMDPAYISTNKLTTKSDIYSFGIILFELITAINPHQNLLEYVNLAAMEHDGIDEILDKQLVGKCNVVEVRQLAKIAHKCLHKSPKKRPSISEVSQSISRIKQRRLRHVMEDNLSFANSNNFSRTSSRLDDRQVELSRIVTMTIKETV >CAK8535601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865991721:865993137:-1 gene:gene-LATHSAT_LOCUS5044 transcript:rna-LATHSAT_LOCUS5044 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSPWINTSLDLNINPPRPHLEPPKNGMENDFFSLGMRNFSPKEESSGALVEELKRVSAENKKLTGMLTEMCENYNILRNNMMEYMKKNPETKEVISSSKKRKSDEISTSNNNLIGVNGNNSESSSSDEDPSCKKPRELETCIKAKVSRVYFKTEASDSSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCTVKKKVQRSVDDPSVTVATYEGEHNHPHPSQIEPTNNRCRNQMNSSVAPSSAAAPSTVTLDWTKKPKCSNDFSPKNMTINSTPKMEIPQILVEQMASSLTKDVKFRAALAAAISGQMLHQN >CAK8534637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751538806:751540554:1 gene:gene-LATHSAT_LOCUS4168 transcript:rna-LATHSAT_LOCUS4168 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMAKKAVLIGCNYSGTKAELKGCVNDVWRMHKCLTHYYGFLDEDITILIDTDSSYTQPTGKNIRSAMSRLLRSAQPGDVLFVHYSGHGTRLPAETGDEDDTTGYDECIVPTDMNLITDEDFREFVAKVPRDCRITIVSDSCHSGGLIELAKEQIGESTHEGEPISSPGFKNFLHKTREDTLESRNIEEGLDEFNHGEHSYVKNRSLSLSSLIDILKEKTGDDDVEIGKIRPTLFYIFGEDASPKVKNFIKYFWNKVQLGDESGGHGGILGLVNNLAQGLLNYKLSDSDEENEDPVKHTPEAYATSTKHHIVERGILLSGCQTDQTSADASPHGNPDEAYGAFSNAIQAIIAETGGVVTNQQLVLKARKKLHRQGYTQKPGLYCSDDHASAPFVC >CAK8534638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751538815:751540554:1 gene:gene-LATHSAT_LOCUS4168 transcript:rna-LATHSAT_LOCUS4168-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVLIGCNYSGTKAELKGCVNDVWRMHKCLTHYYGFLDEDITILIDTDSSYTQPTGKNIRSAMSRLLRSAQPGDVLFVHYSGHGTRLPAETGDEDDTTGYDECIVPTDMNLITDEDFREFVAKVPRDCRITIVSDSCHSGGLIELAKEQIGESTHEGEPISSPGFKNFLHKTREDTLESRNIEEGLDEFNHGEHSYVKNRSLSLSSLIDILKEKTGDDDVEIGKIRPTLFYIFGEDASPKVKNFIKYFWNKVQLGDESGGHGGILGLVNNLAQGLLNYKLSDSDEENEDPVKHTPEAYATSTKHHIVERGILLSGCQTDQTSADASPHGNPDEAYGAFSNAIQAIIAETGGVVTNQQLVLKARKKLHRQGYTQKPGLYCSDDHASAPFVC >CAK8574582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3041069:3042511:-1 gene:gene-LATHSAT_LOCUS26912 transcript:rna-LATHSAT_LOCUS26912 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPDDCWECVFKFLNNHEDDKCNRYLNSLSLVSKQFLSITNRLRFSAAVGSKILPFIHLLFQRFPNITSLKLNRSFYRDPNSFKGSDRNAFLHQISSFSLKLKSLDLSGQNIIPADGLRAFSQNVRTLTSLNCSLMNSIRSSDMLLIADCFPLLEELNLGRIAIFNSEDNFIDGINTLSLALSKLGKIDLSGHRYMTDECLFHLFYNCKFLQEAIIYDCFNITNAGIVSALRERPNFRSLHFTNKTDNCSNLFAILRSCPSLRNIKMECPYPRWWENSVDNSNSLVVLSPQLETLCLETLCLAGNTWLSDESVIIFASIFPNLQLLDLSYCNKISEGICQVLKKCHKIRYLNLARCSKVKLLGMNFVAPKLEVLNLSHTGVNNAELYVISKSCCGLLQLSLENCYYVSNSGPKYVVENCIQLREINLKDCHKVHPNVVASMIFSRPTLKKIIVPPRYRFNDGERESLTHGCLLC >CAK8538084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:461849337:461852774:-1 gene:gene-LATHSAT_LOCUS7320 transcript:rna-LATHSAT_LOCUS7320 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQKINVANPIVEMDGDEMTRVIWKSIKDKLILPFLELDIKYFDLGLPYRDQTDDKVTVESAEATLKYNVAIKCATITPDEARVEEFGLKSMWRSPNGTIRNILNGTVFREPIICKNVPRLIPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKMVFVPEGKGETTDLEVYNFTGEGGVALAMYNTDESIRSFAEASMATALEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLEFTEKLEAACIGVVESGKMTKDLALILHGSNLKREHYLNTEEFIDAVAAELKSKISA >CAK8537192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:126608620:126609828:1 gene:gene-LATHSAT_LOCUS6499 transcript:rna-LATHSAT_LOCUS6499 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVNGSQQMLTYIMAGFAQDQEIDLYEEIKFEPKIMCELVDKKCTFRDNQLEDGDIICFQKNLQAGEEQQYCYPDVYSFFDYVQNRQVVHFRFLEKPKEDEFSLELSKLHTYDDVVKRVSQHLGLNDPSKIKLTSHNCYSQQPKPQPIKYRGVNHLSDMLVQYNQATDVLYYEVLDIPLPELQCLKTLKIAFHHDAKDEVMIIRLPKHSTVADVINDLKSKVDLSHPDAELRLLEVFNHKIYKIFHVNETIENINDHYWTLRAEEIPEDEKNFGPHDRMIHVYHFLKDTAQNQMHVQNFGDPFFLVIREGETLAEVKLRVQKKLQVPNEEFLKWKFAFVSLGRPEYLQDSDIISSRFQRRDIYGAWEQYLGLERTDNSPRRSYTANQNRHAFDKPIKIYN >CAK8540063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537922301:537922710:1 gene:gene-LATHSAT_LOCUS9101 transcript:rna-LATHSAT_LOCUS9101 gene_biotype:protein_coding transcript_biotype:protein_coding MINERRSSRDDYTLDDTGSPSSPSHHELWKRAQQKKGGEYTSKATQVIAEKIDSLVEEAEKGGFISDGRNDILTAAIGTSEHADRVRGVGKHHKLSTFFGKSSSCQ >CAK8534468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:729523728:729524068:1 gene:gene-LATHSAT_LOCUS4012 transcript:rna-LATHSAT_LOCUS4012 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGALKVDDLRPILDELTPADVI >CAK8568245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:585639974:585648515:1 gene:gene-LATHSAT_LOCUS21223 transcript:rna-LATHSAT_LOCUS21223 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPHHSRSLINKIYAPIANEFPFATPLPSLRTNELQLVRCVLRMLQGFSSSLFSWDHTENRFRINSGVYVTHLSLKSLHSLLNQFIHAATCLQLVEITIRRIETALPRPPPTLKAFVTSASAWLKRLRNIALKEEVSTSNADGISTPTLLGFKNSLSSLCSGAEFLLLLVHEAIPDVYFEFGASVPAADLAVHVLDYLHKKLEEMCLVQGGEEEAYLMVLYMYVGSLLPYIEGLDSWLFDGILDDPSDEMFFFANKEVSVAEAEFWEKSYLIKKLQHGKLDTELSSTNYAGDSMSASNAKKETGMRGSISLSSTIKGIGQSIRDCPACPLFIKELAKSIVSAGKSLQLMRHVSNSLAVYSKGSSCKFGSTKSSNNGFSPSHRVAGLTLSEIFSVSLAGLIGHGDHVCKFFWQDDWHEAVSVSSFVSYLNLNAEKSDNENLTAPPYSEKIWYKFLIDTLFQKGSADLKPKYEDINNGNGDSAGDKVVKDELFLLRSCLINPVVTVCHKTIGNNEDALKTLNLSRKFCLPSLNDEGLRKAIFGGESTPFSDSEGTDYTFGFQYDESKYTHSHDNRRLLEKLFPFPTILPSVQDDLPVSELLPFQRNSTLPSRVLHWVQNVDLRTTPPPLVIMQYCLTAYIQKQVDYIGVNMLLKLMNEWRLMDELAVLRAIYLLGSGDLLQHFSTVIFDKLDRRETWDDDFELNTILQESIRNSADCMLLSAPDSLVVSITKDIAESNVNNFGINGLDMLKFTYKVPWPLELIANAEAIKKYNQVMRFLLKVKRAKFVLDKVRRWMWKGRGSTTNNKKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMTVAKSLDEVIEAHEAYILSIQRQCFVVPDKLGALIASRVNIILGLSLDFYTIQQTLKSGGAVSAIKTKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSANGN >CAK8539370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510301518:510304066:1 gene:gene-LATHSAT_LOCUS8473 transcript:rna-LATHSAT_LOCUS8473 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREAMAFSDGPGSYYMHRGGGVGGSGGNGGVFQQTPSGFRALSSNAHDGSDGSTFSVEPQHDSFSHGGVSNSIGSSPGAVVPYSGEQSVKKKRGRPRKYGPEVPVSLRLSSPISATDNSMSPSEKRPRGRPPGSGRKQQLAALGEWMNNSAGQAFSPHVITIGVQEDIVAKLLAFSQLRQRALCIMSGTGTVSSVTLRQPASTSISVAFEGRFQILCLSGSYLVAEDGGPQNRTGGISVSLSSPDGHVIGGGVARLIAASPVQVVVCSFVYGGSKAKTTKQGTATKEGDGSEPQSSDQLASPGSEPSNQNYTASGTGTGTIWLGSRAVDVKSEHPHTGIDLMHG >CAK8536190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:915919595:915922432:1 gene:gene-LATHSAT_LOCUS5583 transcript:rna-LATHSAT_LOCUS5583 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKIAVAAASSKTASEKAVDSVANGIGDIQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPEHMDIYHLSREIEASDMSALEAVISCDEERLRLEKEAEVLGAQDDGGGEALERVYERLEAMDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLG >CAK8542275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496836299:496841801:1 gene:gene-LATHSAT_LOCUS11123 transcript:rna-LATHSAT_LOCUS11123 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNYGSSSPYRHPNPYMYPPNPNPNPNPNPYPPYSPQGPVPDPFAHAHPPYPPQAPVPDPYAHAHAHAHAPPYPYPYVSSHSLNYSYPRPPSLSPSHSFNSTSPAPAPYEYPYPPPPHHHHQLVSSPSAPPSYPSYPYNVSPGTHNPPQPSLSHHASLLQHGSSSHHYYYPHNASHEERPDVHSRHNSFSGHYWHDTSSSTAVGGGVSQSSGGDNSNPSAYPRLDDLMSNVKLSDNQPTAPASPPAPAAQPFMHSVSVPKIQQKKEDFYGHSSNNSFSGWGSSYPNRVNSGRLSDYSGSFNDSMHSQSLQVVPTQNKGSLKVLLLHGNLDIWVHEAKNLPNMDMFHKTLGDMFGRLPGSVSNKIEGTMNKKITSDPYVSISVSNAVIGRTFVISNSENPVWTQHFYVPIAHNAAEVHFVVKDSDVVGSQLIGIVAIPVEQIYSGEQVEGTYPILNNNGKPCKQGAVLSLSIQYIPMEHLSFYHQGVGAGPEYIGVPATYFPLRKGGNVTLYQDAHVPDGILPNVLLDSGMFYVHGKCWHDIFDAIRQARRLIYITGWSVWHKVRLVRDAGYASDYTLGDLLRTKSQEGVRVLLLIWDDPTSRSILGYKTDGVMATHDEETRRFFKNSSVNVLLCPRSAGKRHSWVKQKEVGTIYTHHQKTVIVDADAGNNRRKIVAFVGGLDLCDGRYDTPHHPLFKTLETIHKDDYHNPTYTGNTGGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKPQGINKLKISYDDALLRLERVPDVIGINDAPSGDDDPESWHVQIFRSIDSNSVKGFPKDPREATGKNLVCGKNALIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSYNWSQHKDLGANNLIPMEIALKIAEKIRENERFAVYIVIPMWPEGVPTGAATQRILFWQNKTMQMMYETIYKALVEAGLEAAFSPQDYLNFFCLGNREAINVYENVNVSGNPPPANSPQANSRTSRRFMIYVHSKGMIVDDEYVIVGSANINQRSMEGTRDSEIAMGAYQPHHTWARKYSYPLGQIHGYRMSLWAEHTGTLDDCYLQPESLDCVQKVRAIGEMNWKQFAANEVSEMRGHLLKYPVDVDRKGKVRSLPGYEEFPDVGGKIVGSFLAMKENLTI >CAK8570255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31665532:31667994:1 gene:gene-LATHSAT_LOCUS23020 transcript:rna-LATHSAT_LOCUS23020 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPHRTWMYNRLLPGRSAHTYEFLKGVEEFINFACQQKEYLKNCIIRCPCKLCKNEDHLTPDEANTHIHQHGFAPEYWNWTCHGEIIAHINDSDEDDGIDMIAPCSNSQQGSYEHVHRYQDMVFDASGFNREQHFIQQEEEPPNMEAKLFYDMLNSTQQPLWPGCKNTTELSAAIKMLSLKSKHNMSQACFDDMVKFMKESSHPENVIPSNFRETKKLVSGLGLSKIKIDCCIGGCMLYYKEDINLNECKFCNEPRYKTCILRKRKRNSKDVPRKRLHYLPLIPRLQRLYASARSAEHMRWHYEHRREEGVLCHPSDGEAWKHFDQVYPAFSSEPRNVRLGLCADGFTPFGQSAKPYSCWPVIVTPYNLPPELCMMMPYMFLTLIIPGPDNPKGKIDVYLQPLIDELQQLWNDGVVTYDASKKQNFRLRAALMWTINDFPAYGMLSGWSTAGIFACPICKGGLKAFSLEKGKKRSWFDCHRQFLPRDHAFRRNKVMFYKNRIETREPPPRLSGEQVWKEVCGLPKVTNMRNCIVPGRGISHNWTKRSIFWDLPYWRHNLLRHNLDVMHIEKNVFENVFHTVMDNKEKTKDNENARLDLEKYCHRRELLLKKNSNGNYMKPKAIYFANGYKFHTTSWGEGKTTYNSGVCVSGIGQDETSNDYYGILTEILELQWPSQTTKKLVLFYCDWFDPSRHGMRIHRQYKIVEVRKGRKYSKFDPFIFPKAATQVYYSPYPGRPRDKFDWLVAIKTKPRGVVDDRHTLEVAFQVQESQVSATIEDDPIDLLQDDEVDGEEVSLSTIQKNEDEEDSNDDDENLIAI >CAK8565314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:114570924:114572532:1 gene:gene-LATHSAT_LOCUS18537 transcript:rna-LATHSAT_LOCUS18537 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTVSFGVGFLILLLGWPILGMIVESYGFLVLFSGFWPTLSVFLQKIPVLGWIVQQPYIRSLFDRYKGKRVPV >CAK8573249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598064324:598065537:1 gene:gene-LATHSAT_LOCUS25719 transcript:rna-LATHSAT_LOCUS25719 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTNFTKEEDNKISVSSEKQIEDSDCLSAMVLTTNLVYPAVLNAAIDLNLFEIIAKATPPGAFISPSQIASQLPSSNQHSDLPNRLDRMMRLLASYSLLTSSTRTSEDGITERVYGLSSVGRYLVPDETRGYLASFTTFLCYPALLQVWMNFKEAVVDEDIDLFKKVHGVTKYEYMGEDKKMNKVFNKSMVDVCATEMKRMLEIYTGFDGISTLVDVGGGSGQNLKMIISKYPSIKGINFDLPQVIENAPPIPGIQHVGGNMFESVPQGDAMILKAVCHNWSDEKCIQFLSKCHKALSPNGKVILVEFILPEEPTSTEESKLVSTLDNLMFITVGGRERTEKQYENLGKLSGFSKFRVACRAFSCLGVIEFYK >CAK8541657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:337559084:337560025:1 gene:gene-LATHSAT_LOCUS10561 transcript:rna-LATHSAT_LOCUS10561 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNHYQLTVDHSAHAREEVRRIKQEHPDDPDVLTKGRVKGYLNITRAFGAGFLKQPKQNDAMLKTFKVKYIGDSPYITCSPSLHHHRLCSSHKFLILSSDGLYQYFTNEEAVTKVELFITKFPYKNPAQLLIEEALCRAAKKYCMEFHELLDISQGERRQYHDDISIVIISLEGKIWRS >CAK8572881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569660669:569663467:-1 gene:gene-LATHSAT_LOCUS25390 transcript:rna-LATHSAT_LOCUS25390 gene_biotype:protein_coding transcript_biotype:protein_coding MISTNQDPLPTHTHFSIMLKLLNKNLRRFLSHLRCPIHPRSKSKVTIVIDKLSNHDPKSQNETSTNLSSSVHPKTQPGIPKPEKRIRVATFNAALFSMAPTLPKTTTFEDENDVALKYLNPRSKSTNDRPKSILKQTQPQFGSSHSQQQNNIKSKTRVSINLPDNEISLLRSRQSSFSEHERASTSSNWGSVRSGRTLVEVLREVDADVLGLQDVKAEEENGMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWNAHKIFDHTDFRNVLKATIDVPEAGELNFYCTHLDHLDENWRMKQINAIIQTNDEPHILAGGLNSLDESDYSQERWTDIVKYYEEMGKPTPKVEVMKHLKSKDYTDSKDYAGEYESVVMIAKGQSVQGTCKYGTRVDYILSSSNSPYKFVPGSYLVLSSKGTSDHHIVKVDVMIKVNNNSQENVTKKPQQHRQKIVRITHSTPSKGIWKTHDGEVY >CAK8573768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:635692263:635692961:-1 gene:gene-LATHSAT_LOCUS26176 transcript:rna-LATHSAT_LOCUS26176 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNFVTESGESFTLELNPFNTILEVKEIIQKYLNISIFNQILIFNNEFLNDADIILATDITHFSIIHLRTIPDTRTKNPEPQESSVEAPATQPREPQESSVEAPATQPREPQESPVEAPATQPREAPATPLLNSESRTLSVTMVPRTNRGEGDFMVSEIEPFTKVSDLKMFLESYKKNVVRQDGNYFFVHNREVMYEDRSFQWHGVKEGDKIEIYDGVVDNNYLGDIPIYF >CAK8540233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546227926:546229074:-1 gene:gene-LATHSAT_LOCUS9259 transcript:rna-LATHSAT_LOCUS9259 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWANLDSLALNLILDKLKEPFHHIWFGSVCKNWHLIAKLNHHGNIQFRSNMLPMLMIPSEKTTEKRNLYSVVANRVYPFEFTTLDQKRCCGSSYGWLATVDADNIITWVNPFKDVAPIILPWIDIYMKYKHCEFNIHKVTLSADPITNPDDYVVAAIYTNRGALAFIKVGQEFWTYIQENHHCGFVDLTFYKGLVYAVDRWKKVVSFELCYSSDPHDPYGSERRNPNVVLEESEHENYSPLTYLVKSLEGELWMVRRFITREENTRIKGTKDFHVFKLKLDHKGENLIHLLKLESLGDNVLFVGDGDSTSISASYFSNSLQKDSIYYSDNYFDDEPDPYPHGPYDLGFYNVKHASFGLHCPYKSYFKNMAPPIWIAPYF >CAK8568075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:569920104:569922907:-1 gene:gene-LATHSAT_LOCUS21071 transcript:rna-LATHSAT_LOCUS21071 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPILLLHLTFFTFNIFSSHSHPHSHADRIISLPGQPHNTAFQQFSGYITVDNKKHKSLFYYFAESETDPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLIKNEHSWNKEANMLYLETPIGVGFSYAKGSSAYTTTVNDEETARDNLVFLEGWFDKFPQYRNRDLFLTGESYAGHYVPQLAKLMIEMNKRNKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTYNMFTRVCNYSRYVSEYNRDSVSSVCSNVMGLVSKETSRFVDKYDVTLDVCISSVLSQSKAISPEPQQANEMIDVCVDDKVTNYLNRRDVQEALHAKLVGVRKWDVCSNVLDYDVLNLEVPTLPILGSLIRAGIKILIYSGDQDSVIPLTGSRTLVQKLARQIGLNTTVPYRVWFERQQVGGWTQVYGNILSFATVRGAAHEAPFSQPERSLVLFKSFLEGTPLPEVF >CAK8530315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14175991:14207325:1 gene:gene-LATHSAT_LOCUS189 transcript:rna-LATHSAT_LOCUS189 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTDPEYWKKRMVNYLFRDHSADQLRSIASSPDSQLHFPLYVDFAELMDYDPRIAHLIFSQSNTYLQLFDDAALLAHRIELSEMAADEKNKVVEKKFIHVRINVGGSPLECSETFPSIGRVRVQHRGILLTVKGIVIRSGAIKMHEGERKYMCHKCKNSFTVHPEVEARNSISLPSTCPIQQKSKPCGGSKFQYVENTIVCHDYQEIKVQESTQVLGVGAIPRSILVILKDDLVDAVKAGDDVIVTGLLTAKWSPELKDVRCDLDPVLIANNVRRINELKSEIDISDVIVMKFKQFWNQFKDAPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTRVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTTVFGATNPKGHYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILSEAEPDRTSNDEDLANIWPLSTLKRYVHYLKEKFRPVLTREAEAVISSYYQLQRRSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAITAILCIESSMTTSAIVDCIGNALHSNFTDNPDQEYAKQERLILEKLGCKDNLSDMNIMED >CAK8530314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14175991:14207325:1 gene:gene-LATHSAT_LOCUS189 transcript:rna-LATHSAT_LOCUS189-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTDPEYWKKRMVNYLFRDHSADQLRSIASSPDSQLHFPLYVDFAELMDYDPRIAHLIFSQSNTYLQLFDDAALLAHRIELSEMAADEKNKVVEKKFIHVRINVGGSPLECSETFPSIGRVRVQHRGILLTVKGIVIRSGAIKMHEGERKYMCHKCKNSFTVHPEVEARNSISLPSTCPIQKSKPCGGSKFQYVENTIVCHDYQEIKVQESTQVLGVGAIPRSILVILKDDLVDAVKAGDDVIVTGLLTAKWSPELKDVRCDLDPVLIANNVRRINELKSEIDISDVIVMKFKQFWNQFKDAPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTRVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTTVFGATNPKGHYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILSEAEPDRTSNDEDLANIWPLSTLKRYVHYLKEKFRPVLTREAEAVISSYYQLQRRSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAITAILCIESSMTTSAIVDCIGNALHSNFTDNPDQEYAKQERLILEKLGCKDNLSDMNIMED >CAK8543348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602203442:602203747:-1 gene:gene-LATHSAT_LOCUS12110 transcript:rna-LATHSAT_LOCUS12110 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKETAANIGASAKSGLEKTKANVQEKTEKLTAHNPLEKKLATQKKDERVAQAELDKQAARQHNAAATAGNALGQGRHHTTGTGGNPNATGHGTGGTHR >CAK8578920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662142629:662145340:1 gene:gene-LATHSAT_LOCUS30898 transcript:rna-LATHSAT_LOCUS30898 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQFLRATEPLHGDINVAIFHLVASNELVRHASTEVRKLAGKRKINYEISRYLGSLFLEHLEAYE >CAK8544940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713837166:713840153:-1 gene:gene-LATHSAT_LOCUS13580 transcript:rna-LATHSAT_LOCUS13580 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLMAGIAVAAAAYAGKVGIQAWQAFKARPPALRKFYEGGFQPTMTRREAALILGVRQTTPTDKIKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLGKSKGSGSAF >CAK8566001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:366545129:366545509:1 gene:gene-LATHSAT_LOCUS19170 transcript:rna-LATHSAT_LOCUS19170 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSDFIDNLDLVELSVVRSKFTRRRSDCDVSNRLSKILISSGLVKMWNCNGLVIGLRDVSDHCPVWLKYKVIDWGPKLFRFVKGWFEHGNFLDFVMKEWSSIKVEGKKTYIMKQKLKILKGRLK >CAK8561066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84921654:84921887:-1 gene:gene-LATHSAT_LOCUS14689 transcript:rna-LATHSAT_LOCUS14689 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGGKVSFSRVNAPIELQQLFLDGSVEGKHFRQHIRSYNHVLSSTSIGVHVDENILAYGRGIYTFCAQGAFYHKI >CAK8565651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:299789511:299791976:1 gene:gene-LATHSAT_LOCUS18843 transcript:rna-LATHSAT_LOCUS18843 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSLKLSTMSTLPSQIFITLLCVLFGFPHSSSFSLSVENTEKNFILSPNNTFTAGFYPVGENAYSFAIWFTQKKKHLNTNNNSATVVWMANRDQPVNGKRSTLSILKIGNLVLTDAAQSNVWSTDTTSSKPLQLVLYDTGNLVLQELNTNTNCSSNNILWQSFDSPTDTLLPDQTLTRFTSLVSSRSQKNHSSGFYKLFFDNDNILRLLYEGPRVSSVFWPDPWATSNGAAGSGSRSTYNSSRIASLDSFGGFSSSDNFIFSTSDYGTLLQRRLTLDHDGNVRIYSRKEENQSWFVSGQFIQRPCSIHGICGPNSTCSIDPMNGRKCSCLPGYVWINDQDSSQGCRPNFTLSCSNQTHHELKFLPLPHVDFYGYDYGYYENKTYKECEDLCSHLCSCAGFQHTFLFTAEKGGYYLCFPKTQLLNGHRSQNFQALFYLKLPKSSYFVDGIKNQQNGKGMVCSRNRVVKLERGYIEEKENGSLKFILWFAGGLGGVELLGFFLVCFFLFRGSKDNKGYVLATSGFRKFSYSELKRATEDFSQEIGRGAGGSVYKGVLYDNRVAAIKRLHEANQGESEREFLAEVSIIGRLNHMNLIEIWGYCAEGKHRLLVYEYMEKGTLADILSSNKLNWSKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRNDLNDSKFSRIRGTRGYMAPEWIFNLQITSKVDVYSYGVVVLEMITGKSPITGIQTKEEVCHERLVTWVREKKRKGLEVGCWVEQIVDPTMESNYDVKKMETLANVALDCVGEDKDVRPTMSQVVERLLSHDS >CAK8565652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:299789544:299791976:1 gene:gene-LATHSAT_LOCUS18843 transcript:rna-LATHSAT_LOCUS18843-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPSQIFITLLCVLFGFPHSSSFSLSVENTEKNFILSPNNTFTAGFYPVGENAYSFAIWFTQKKKHLNTNNNSATVVWMANRDQPVNGKRSTLSILKIGNLVLTDAAQSNVWSTDTTSSKPLQLVLYDTGNLVLQELNTNTNCSSNNILWQSFDSPTDTLLPDQTLTRFTSLVSSRSQKNHSSGFYKLFFDNDNILRLLYEGPRVSSVFWPDPWATSNGAAGSGSRSTYNSSRIASLDSFGGFSSSDNFIFSTSDYGTLLQRRLTLDHDGNVRIYSRKEENQSWFVSGQFIQRPCSIHGICGPNSTCSIDPMNGRKCSCLPGYVWINDQDSSQGCRPNFTLSCSNQTHHELKFLPLPHVDFYGYDYGYYENKTYKECEDLCSHLCSCAGFQHTFLFTAEKGGYYLCFPKTQLLNGHRSQNFQALFYLKLPKSSYFVDGIKNQQNGKGMVCSRNRVVKLERGYIEEKENGSLKFILWFAGGLGGVELLGFFLVCFFLFRGSKDNKGYVLATSGFRKFSYSELKRATEDFSQEIGRGAGGSVYKGVLYDNRVAAIKRLHEANQGESEREFLAEVSIIGRLNHMNLIEIWGYCAEGKHRLLVYEYMEKGTLADILSSNKLNWSKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRNDLNDSKFSRIRGTRGYMAPEWIFNLQITSKVDVYSYGVVVLEMITGKSPITGIQTKEEVCHERLVTWVREKKRKGLEVGCWVEQIVDPTMESNYDVKKMETLANVALDCVGEDKDVRPTMSQVVERLLSHDS >CAK8565944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357110217:357113209:1 gene:gene-LATHSAT_LOCUS19117 transcript:rna-LATHSAT_LOCUS19117 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYPPILRHQNNQPQNLTNPDSNPIHVSDPVPTIDLKHLNHKNLDEACKEWGLFRLVNHGIPLTLMEQLQDVTKQVFSLSFESKQEACKECPITYFWGTPALTPSGKALSKETQNINWVEGFDVPLSKLDEFQHQVPSLESIRLLLVEYATHLSRVATTLFEAMAKNLDLNLKDTKSYLAENTGIVRVYRYPRTDAGWGMEVHTDSSVLSILNQDDHVSGLQVLKDDQWLTVKPISNTLVINLGDMMQAISSDTYKSASHRVKVEKDIERISICYFVFPGEDAIIESSKYKPFTYNDFRAQVQQDIKALGHKVGLARFHLNQDS >CAK8532029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194780439:194783234:1 gene:gene-LATHSAT_LOCUS1772 transcript:rna-LATHSAT_LOCUS1772 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSRNQKTFRPKKSAPTGSKGAQLQKHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNIMFGTLTEFCTPSNCPTMTAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDDETIFPQRLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIEKAELAPLEDLVDSIIQ >CAK8532739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:508549858:508556745:-1 gene:gene-LATHSAT_LOCUS2414 transcript:rna-LATHSAT_LOCUS2414-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGRIFFSLSSSITRRIHGKRFSFFTLSNPTPISPRFRPLCSITATPEALLDSGTEKHSILLEKLRVRHLKGSVTKTDSEIVKKKSEGKKKVVVVDDVDDNVGSFGELGISDEVMGAVKEIGIEVPTEIQCIGIPAVLDGKSVVLGSHTGSGKTLAYLLPLVQLLRRDEQLNGLVLKPKRPRAVVLCPTRELSEQVFRVAKSISHHARFRCTMVSGGGRLRPQEDSLSNPIDMVVGTPGRILQHIEEGNMVYGDIQYLVLDEADTMFDRGFGPDIRKFIAPLKQRASKPDSLGFQTVLVTATMTKAVQNLVDEEFQGIVHLRTSSLHKKISSARHDFLKLSGSENKLDALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENLIFTVNYHGEVPAEQRVENLNKFKSNTGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVTKRDFGLATKIEEAIRKNESLEAITKESLRMDATRNQITEQRRKNKNVVKISKARDKSDSRPSSTTNRSGIRDKSDSRTSSANTRSGIRGKSDSRTSSTNTRSGIRGKSDSRTRSEDTRSGIRDKSDSRTGSGNTRSGMKSGKQSSPSKSSKKGFPVSKSVKSSSPSSFIKASSESKRTGKRATATKSTNPKLSVVGFRGRNASSSDKRQTF >CAK8532738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:508549858:508556745:-1 gene:gene-LATHSAT_LOCUS2414 transcript:rna-LATHSAT_LOCUS2414 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGRIFFSLSSSITRRIHGKRFSFFTLSNPTPISPRFRPLCSITATPEALLDSGTEKHSILLEKLRVRHLKGSVTKTDSEIVKKKSEGKKKVVVVDDVDDNVGSFGELGISDEVMGAVKEIGIEVPTEIQCIGIPAVLDGKSVVLGSHTGSGKTLAYLLPLVQLLRRDEQLNGLVLKPKRPRAVVLCPTRELSEQVFRVAKSISHHARFRCTMVSGGGRLRPQEDSLSNPIDMVVGTPGRILQHIEEGNMVYGDIQYLVLDEADTMFDRGFGPDIRKFIAPLKQRASKPDSLGFQTVLVTATMTKAVQNLVDEEFQGIVHLRTSSLHKKISSARHDFLKLSGSENKLDALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENLIFTVNYHGEVPAEQRVENLNKFKSNTGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVTKRDFGLATKIEEAIRKNESLEAITKESLRMDATRNQITEQRRKNKNVVKISKARDKSDSRPSSTTNRSGMKSGKQSSPSKSSKKGFPVSKSVKSSSPSSFIKASSESKRTGKRATATKSTNPKLSVVGFRGRNASSSDKRQTF >CAK8535006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802009786:802011027:1 gene:gene-LATHSAT_LOCUS4493 transcript:rna-LATHSAT_LOCUS4493 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLLTLLFLSFSTFLAQAIVPQNETFKFVNSGELGPYIVEYGADYRMISIFNAPFQVGFYNTTPNSYTLALRIGLQRSEQLFRWVWEANRGNPVDENATFSLTTNGNLELANSNGRIVWQTNTSNKGVVAFRLLPNGNMVLIDAKGKFIWQSFDHPTDTLLVDQYLKPNGPSKLISRLSEKENLDGPYSLVLEPKGLALYYKSKNSPKPILYWFSSRQESLENVTLTSDSESFDIRFDTFLGRPVNNSTLTYLRLGIDGNIKFHTYFLDVRDGVWKVTYTLFDRDFDESECQLPERCGKFGLCEDNQCVGCPLENGVFGWSNKCSPKPLGVCKESEFHYYKIEGVEHYMSKYSIGDRVSEDGCGSKCTKDCKCVGYFYHKDNSRCWIAYDLQTLTRVANATHVGYVKVPNK >CAK8575239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57672485:57674659:-1 gene:gene-LATHSAT_LOCUS27518 transcript:rna-LATHSAT_LOCUS27518 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWFSNQQILQLNHKLSHLTRTNQFSDSLKLFTKIHSSHFHKPDHYTLSIVITATANTGHVTTFGNQLHSFAIQTGLKAHSHVANSLLSLYSKAHDINSVQLVFEDVLYPDVYSWTTILSAVTRLSHVDYALHVFDKMPKCYVAVWNAIITGCSDNGREDVAFKLFKDMFRMNVRPDNYTFATILSLCSLEVLDYGRHVHSIVFKTGFLVRTSVVNSLITMYSNCGCVANAYEVFEETEDGVRDHVTYNAMIDGFVGMERFEDAFVVFRDMHRACICLTEVTFVSVLSSCCSLRVGCQAQALAIKMGFDHGYTAVDNSTITMYSCFGEVNEARKVFKRMEENRDLVSWNVMISMFFQENFNEEAILTYMKMRREGIEPDEFTYGSLLAALDSLQMVEMFHSLLCKNGLIKVEVWNALISSYSRNGKIKCAFQIFSDLPYKSLVSWNGIISGFLMNGCPVQGLTQFSALLNTNLKPNAYSFSLVLSICSCISDMGHGKQVHGYLLRHGFDSEISLGNALVTMYSKCGSLDRSLSVFNAMIERDTITWNAIISAYSQHGQGKEAVHCFEAMQISPENKPNQATFTAVLSACSHSGLVDDATRIFYIMVKVYGFVPSVDHVSCIVDMLGRSGYLDEAERVITDGYFGAHSNVCWSLFSACAVHGNLILGRKIARLLLEREHNNPSVYVLLSNICAEAGQYEEAAKLRDMMKQFGTTKQPGCSWIST >CAK8531696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140630624:140636253:-1 gene:gene-LATHSAT_LOCUS1469 transcript:rna-LATHSAT_LOCUS1469 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWLASPSCTLWPIDSSSSTPNLMLQWLTFLFLSPCPQRLVLSALDFFFLLSLLAFAAHKLYSRFNSTTNSNSSITKPLLPEKDSDYRITFWFKLPFLVTILLAITYTVLGVLAFTQTDNITSWKQIEALFRLFQAIVNIVIVILMIHEKKFKSSKHPLSLRIYWVANFVIATLFAASAIVRIVTVSGEKLELSLRIDDIFSLVNLPLSVFFFVISIKGSSGIHVIRISDEGAIHPLISRDRTLSPYACSSILSKSVWLWINPLLNKGYKTPHNLEDVPSLPLEFRAEKMSQLFQNNWPKPDENSKHPVGLTLFRCFWKHLAFTAFLATVKLCLIYVGPLLIQSFIDFASRKDSTPTEGIVLISILFAAKSVEVLSAHHYNFQSQKLGMLIRSSIITSVYKKGLRLSSSSRQTHGTGQIVNHMAVDAQQLSDMMMQFHPIWLMPLQVTAALALIYSYIGVSALAAFLGTALVFLFTTYRFKSSNGFQFQIMTSRDLRLKTTNELLNNMRVIKFQAWEEYFDNKIQQFREAEHRWIGKFMYNFSVNFGVLSAAPLIVTVLTFGTATFIGIPLNPGTVFTITSIIQILQEPLRSFPQALVMVSQAIISLGRLDEFMTSKEMDEKAVQKEENCDDDIAVEIKDGKFSWDDNDENDALRVEELVIKKGNHAAVVGTVGSGKSSLLASLLGEMFKISGKVRVCGRTAYVAQTSWIQNATIKENILFGLPMNMDKYKEALRVCCLEKDLEMMEYSDETEIGERGINLSGGQKQRIQLARAVYQDTDIYLLDDVFSAVDAQTGSYIFKECIMGTLKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGLDFGALVAAHDSSMEMAETCDETSDDSAQSLKLARVASKEKENGGEKQSSQDQSKSDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFGWWGVALVVVMSVGWVLSFLAGDYWLAIATADGSGIPSFIFISVYAAIAVVACIVVIVRAVLYTYLGLKTSQSFFIGMLQSILHAPMSFFDTTPSGRVLSRVSTDLLWVDITIPMLANFVMIAYLSLISILIVTCQNSWVTVFLLIPLVWLYNWYRKYYIATSRELTRLDSITKAPVIHHFSETLSGVMTIRSLRKQNAFSQENIERVNASLRMDFHNNGANEWLGFRLDYMAVVFLCIATFCMIFLPSAIVKPEYVGMSLSYGLSLSGLLSFAITISCNVENKMVAVERIKQFTNLPSEAPWKIADRSLPPNWPSHGTIELNNLQVRYRPNTPLVLKGVSLTIQGGEKVGVVGRTGSGKSTLIQVLFRLIEPSAGNIIIDGINISDVGLHDLRSRFGIIPQDPVLFQGTVRTNIDPLGLYSEDEIWKSLERCQLKEVVAAKPEKLEALVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDAVIQKIIREDFADRTIISIAHRIPTVMDCDKVLVIDAGLAKEYDKPSRLLERASIFAALVKEYSNRST >CAK8567923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556909514:556910194:-1 gene:gene-LATHSAT_LOCUS20932 transcript:rna-LATHSAT_LOCUS20932 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPHSSFFFMFLVLAFSSIHVSLADCDNLQDTCPTSLSEKKTAFINGISCNNPANKSAHDFKTMELSKAGSRDTFGSSVNIVTASKFPGLNTLGISIGRTDIEADGIVNLHNHPRASEMIFVNEGVLEVGFLDTQNKLFQKALKEGDVFVLPKGLFHYFLNRGDEVATVFSVFNSQNPGFQSLTPKPSTSESIEKIKRKLVSLSELELDSANDLSLAVSEIMYS >CAK8563147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577790249:577793946:1 gene:gene-LATHSAT_LOCUS16584 transcript:rna-LATHSAT_LOCUS16584 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSENKREYNRRVRETVEQSWTAD >CAK8577198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544298271:544298927:-1 gene:gene-LATHSAT_LOCUS29327 transcript:rna-LATHSAT_LOCUS29327 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERSGNLCTCCTSFITSTGLIILFLWLTLRTQQPKCFIQSLYLPSLNKTITSNHKHSNNKTIIFNLKVTNTNKDKGVLYDTVRLTFALFLDANTTRPLANTTLEPFYQGHGRTTEKWSSAEAHGGGLNRTVNGSVFIRVDFATRVNYKIMLFYSKRHRLSGGANVEVNASTGEKVDPKRIRLGNTPPWVGSEAAPIRVRWIALSTLLVSLCFYLTY >CAK8560981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:66971794:66972858:-1 gene:gene-LATHSAT_LOCUS14612 transcript:rna-LATHSAT_LOCUS14612-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVVRTSIEDVRSLRFITAVKTPYLPHGKIDLEAYDNLVNIQIANGVEGILVAGSTGEGQLMTWDEQIMLIAHTVNCFGDKVKVIGNAGSNCTKEAIEATERGFAVGMDAALHINPYYGKTSVKGLVAHYNSLLSIGPVIVYNVPSRSGQDIPPSVVEILAENPNFVGVKECVGNERVKMYTDKGIVVWVVDGMSHEGRWDCGAVGVQSVASNLVPGLMRELMFEGKNSLLNSKLVTLFDWLFIEPSPIALSTALAQLGVIKPVFRLPYVPLNMEQRVGFVNLVKELGRENFVGEKDVQVLEDDDFIIVSRY >CAK8560980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:66971794:66973099:-1 gene:gene-LATHSAT_LOCUS14612 transcript:rna-LATHSAT_LOCUS14612 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLKGYCSSFFFRRSNLHLLRSNFNTRFCKLHQAAAKVDMPLQMSSSTVVRTSIEDVRSLRFITAVKTPYLPHGKIDLEAYDNLVNIQIANGVEGILVAGSTGEGQLMTWDEQIMLIAHTVNCFGDKVKVIGNAGSNCTKEAIEATERGFAVGMDAALHINPYYGKTSVKGLVAHYNSLLSIGPVIVYNVPSRSGQDIPPSVVEILAENPNFVGVKECVGNERVKMYTDKGIVVWVVDGMSHEGRWDCGAVGVQSVASNLVPGLMRELMFEGKNSLLNSKLVTLFDWLFIEPSPIALSTALAQLGVIKPVFRLPYVPLNMEQRVGFVNLVKELGRENFVGEKDVQVLEDDDFIIVSRY >CAK8541348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132746553:132758612:1 gene:gene-LATHSAT_LOCUS10276 transcript:rna-LATHSAT_LOCUS10276-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKSDKSDTAYRRSGRSASFNQQRGSTGGSYVKSGTGGAAPSVSSSGSFNKKSNNHAQGGPSRVNPTPATSTVPNYASAVRATPNGSHVQQPHFHGGSDASVTNATAKPSESSAVQRSTEVVSKAPISQPPSVSSGSAAPATPAKDASKAFPFQFGSLSPGVMNVMAIPARTNSAPPNFDEQKRDQAHHDSLRPVPSVPTPPVPKQLPAKKDTGAFDHKAGETHTGTVAKKDAQVSPLPPANLMQKPSVIPLAGISMPVPYHQSQAPVHFGAPNLQIQSHGMSTAPLQLPLPVPFPIVSAAQVQQQVFVPTLQHHPIHPQGIMHQVQNIGYSPQIGHQLPHHFGNMGMGINPQYSPQQGGKMTVPRKTTPVKITHPDTHEELRLDKRAAGGGSSGGRSHSGMLSQSQSVQSQITTNAQPPRVSCDVPNAIPSTLLGVSSVSIKPSGGSDILNSSFANSNISGAQKGGSPSSSVTSSDVHSLVPPKGPEICSEISSQQSTAASASAEKLTSASLLPSSTAISENSVSAVSNNEDRYKESLSRSNSLKDNQKKLQKKGQLQHQVAVQSSAVVNKPSLAGDGCISDCVVSEIVGTKTPYSAATANEDLLAIASGTNSATSESLPSSVEEKTNGSTQVSAYASAEGPVTQVVDSLNNHMSDTLDVLSREGQQLRQNKLAGDKTEISTMQISKNVSDNGAEFSHLKKGASELGTGVVTSRTVLQGMDRIESSSCSTDCDRMADNLGMSTSALDSKDASLSRNEGVVSNEATSTNSGTSDHQSSGHLETNLKHCKDGSEDSGTGSASLLAASVTMDKPVLEPSKVKGTSKGKKKRKEILQKADASGSTADLYNAYKGPEEKKEAVASSESTVNVSTSGNSKQLPIDAAQPAVVANEQCGQSKAEPEDWEDAADMSARKLEVSDKTQQDSNGSAVTDKKYSRDFLLKFAEQCTDLPEGFEITADLAETLMGSNIGSHVIERNSHPSTGRNTDRSGGMSRMDRRGSGIIEDDKWSKAYGAFHSDMRLDGIGGSAGSRPGQGGNFGVLRNPRTQTAMQYGGILSGPMQSMVNQGGMQRNSPDGERWQRAASFPQRGLIPSPSQSPLVTMHKAEKKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCFHLAAALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANQADEGEVKQSDEEREAKRSKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQCQNPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFERIKLLSNNMSLSSRVRFMLKDTIDLRKNRWQQRRKVEGPKKIEEVHRDASQERQSQAGRLGRGMSISAARRAPMDFGSRGSSMLSPPNAQMGGLPTQVRGYGSQDVRGYERQSSYEARTLSIPLPQRPSGDESITLGPQGGLARGMSSRGSPAVSNFAGLNSYSNLPESASYSPREDFTPRYIPNRSAGPTAYDLSSAQDHSMDYGNMDLRNADRFLDRPGVSSPPAQVQETAVSLNTSSEKGSSDEKLQNMSMAAIREYYSARDVNEVVLCVKDLNSPSFHPSMVSLWVTDSFERKDTHRDLLAKLLMDLVKSHGGTLSQAQLIEGFDSVLSTLEDVVTDAPKAPEYLGRIFAKAITEHVASLKEIGRLIHDGGYESGSLLQIGLAADILGSTLEVIQTEKGDAFLNEVQTSSNLQLQTFLPPGPIKSRKLEKFI >CAK8541349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132746553:132758612:1 gene:gene-LATHSAT_LOCUS10276 transcript:rna-LATHSAT_LOCUS10276 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKSDKSDTAYRRSGRSASFNQQRGSTGGSYVKSGTGGAAPSVSSSGSFNKKSNNHAQGGPSRVNPTPATSTVPNYASAVRATPNGSHVQQPHFHGGSDASVTNATAKPSESSAVQRSTEVVSKAPISQPPSVSSGSAAPATPAKVDASKAFPFQFGSLSPGVMNVMAIPARTNSAPPNFDEQKRDQAHHDSLRPVPSVPTPPVPKQLPAKKDTGAFDHKAGETHTGTVAKKDAQVSPLPPANLMQKPSVIPLAGISMPVPYHQSQAPVHFGAPNLQIQSHGMSTAPLQLPLPVPFPIVSAAQVQQQVFVPTLQHHPIHPQGIMHQVQNIGYSPQIGHQLPHHFGNMGMGINPQYSPQQGGKMTVPRKTTPVKITHPDTHEELRLDKRAAGGGSSGGRSHSGMLSQSQSVQSQITTNAQPPRVSCDVPNAIPSTLLGVSSVSIKPSGGSDILNSSFANSNISGAQKGGSPSSSVTSSDVHSLVPPKGPEICSEISSQQSTAASASAEKLTSASLLPSSTAISENSVSAVSNNEDRYKESLSRSNSLKDNQKKLQKKGQLQHQVAVQSSAVVNKPSLAGDGCISDCVVSEIVGTKTPYSAATANEDLLAIASGTNSATSESLPSSVEEKTNGSTQVSAYASAEGPVTQVVDSLNNHMSDTLDVLSREGQQLRQNKLAGDKTEISTMQISKNVSDNGAEFSHLKKGASELGTGVVTSRTVLQGMDRIESSSCSTDCDRMADNLGMSTSALDSKDASLSRNEGVVSNEATSTNSGTSDHQSSGHLETNLKHCKDGSEDSGTGSASLLAASVTMDKPVLEPSKVKGTSKGKKKRKEILQKADASGSTADLYNAYKGPEEKKEAVASSESTVNVSTSGNSKQLPIDAAQPAVVANEQCGQSKAEPEDWEDAADMSARKLEVSDKTQQDSNGSAVTDKKYSRDFLLKFAEQCTDLPEGFEITADLAETLMGSNIGSHVIERNSHPSTGRNTDRSGGMSRMDRRGSGIIEDDKWSKAYGAFHSDMRLDGIGGSAGSRPGQGGNFGVLRNPRTQTAMQYGGILSGPMQSMVNQGGMQRNSPDGERWQRAASFPQRGLIPSPSQSPLVTMHKAEKKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCFHLAAALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANQADEGEVKQSDEEREAKRSKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQCQNPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFERIKLLSNNMSLSSRVRFMLKDTIDLRKNRWQQRRKVEGPKKIEEVHRDASQERQSQAGRLGRGMSISAARRAPMDFGSRGSSMLSPPNAQMGGLPTQVRGYGSQDVRGYERQSSYEARTLSIPLPQRPSGDESITLGPQGGLARGMSSRGSPAVSNFAGLNSYSNLPESASYSPREDFTPRYIPNRSAGPTAYDLSSAQDHSMDYGNMDLRNADRFLDRPGVSSPPAQVQETAVSLNTSSEKGSSDEKLQNMSMAAIREYYSARDVNEVVLCVKDLNSPSFHPSMVSLWVTDSFERKDTHRDLLAKLLMDLVKSHGGTLSQAQLIEGFDSVLSTLEDVVTDAPKAPEYLGRIFAKAITEHVASLKEIGRLIHDGGYESGSLLQIGLAADILGSTLEVIQTEKGDAFLNEVQTSSNLQLQTFLPPGPIKSRKLEKFI >CAK8535620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870293860:870295187:1 gene:gene-LATHSAT_LOCUS5063 transcript:rna-LATHSAT_LOCUS5063 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNNNSSQFSFKGNSKNSSIIASAAKMVKPNSNNQTKLVDFVESIVQNPTLKSIGVAVQDSFNKESVILGKSSTPEEGVKKGHGKSKLYEICAANHWKPPVFECCKEEGPRHSRMFTFKVIIEIETGKASKNIERTEASKSIVEVYGAPHQKKKTAADDAAEGALWYLKHIGFVLKNK >CAK8532980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:559147092:559153819:-1 gene:gene-LATHSAT_LOCUS2634 transcript:rna-LATHSAT_LOCUS2634 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGMQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLASKAQQEEIGDGANLVISFAGQLLQGAEELIRMGLHPSEIISGYIKAINKTVEILDELVEEGSESMDVRDKEQVISRMRAAVASKQFGQEDTLCSLIADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSAVVPGMVLRNDAVGTIKHIEKAKVAVFVGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAKLKLSQPNPDDLGHVDSVSVEEIGGARVTIVKNEVEGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAKRVKDFSFKETGLDQYAIAKFAESFEMIPRTLAENAGLNAMEIISSLYAEHASGNTKVGIDLEPGVCKDVSTMRIWDLHVTKLFALKYAADAVCTVLRVDQIIMSKPAGGPRRNQPAPGMDEED >CAK8534648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752346254:752348791:-1 gene:gene-LATHSAT_LOCUS4176 transcript:rna-LATHSAT_LOCUS4176 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDRRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8535764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880333799:880334993:-1 gene:gene-LATHSAT_LOCUS5189 transcript:rna-LATHSAT_LOCUS5189 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSNFSLPSETISPRQICSSIFHASGSRIGVGVKNLSDFESVWSPTSPLDCRLFSNLSNVFGVKSSKKAEHKKPFDGSKVGLGIITSLVNETKSSNEILGEFQRKNIIFGSQVKNGIRQFSNKNLESLASCLKTNSLPKNYVISLPSETKSRLSEVERFDDGVNRESLPDSSKPSSVINSTQSSNLGTNDLFVEVTSATSSFAPVMNRNSLVDDSLKIKSCSLPIYVGSLSAKEIELSEDYTCIISHGPNPKRTHIFGDCILECDNNDFIEFSQKEEPAFKSSQESAPRRFDSVTSFCYSCSKKLDEEEDTYAYSEEKAFCSFKCRSEEIFAEDETDKTCTNSEEHSPNSSYHDDIFLMALQVSK >CAK8572471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539093833:539094459:-1 gene:gene-LATHSAT_LOCUS25035 transcript:rna-LATHSAT_LOCUS25035 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEIVSIQRNFLLSGSKEKRDMAWVSKKSICKPKEEGEISIKDIVLFNRPLISKWLWRFIKEMDAIWVGSLEERYGNFVRRLMFKDVPSTKSQESLWWKDLMVAGDSMEASGFAHLISFRLGDETTTSFWFSIWICNSTLQNLYMSLCGILDRKDGVVKYIEVWVGDVWRWQLRFNNLEGVLYLWKGTMSWFNYCVMYVPKGKFLMS >CAK8577442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564205535:564205741:1 gene:gene-LATHSAT_LOCUS29550 transcript:rna-LATHSAT_LOCUS29550 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHAKYGDLKECIANKEYVCSKSTSSVWWKDLFLTQGQAAIVEVSNLFSYRLGSGAKVLFWLDKRI >CAK8560014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4968957:4973209:1 gene:gene-LATHSAT_LOCUS13734 transcript:rna-LATHSAT_LOCUS13734 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTPKRGSASGGAKRGGRPSRGTPKAAQNQPEAVEEKMVVEEEPKVEENPAVEEKPVVEENPVVEDKAIDVNQTTSEAAAEESIRVSAMKKDEEEVKESIDEYEKDERLELEDNEPEYEPEEYGGVDYDEKEIEQEEGHEVGDEVEEEAEDNVGEEEGDSGEEEVEDGHDEMEEGEEDDEHAVLEHEHEQAELGDVEEEEHREVVKERRKRKEFEVFVGGLDKDATEDDLKKVFGEVGVVTEVRLMMNPQTKKNKGFAFLRFENVEQAKRAVAELRNPVINGKQCGVTPSQDSDTLYLGNICKTWTREALKEKLKHYGVTNVEDVTLVEDSNDKGTNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDKPAKVSFADSFIDPGDEIMSQVKTVFIDALPPSWDEDYVRSLLKKYGEVEKIELARNMPAARRKDYGFVTFGSHDAAIRCAESITGTELGEGEKKAKVRARLSRPLQRGRGKHVGRGDYRPGRGSAILSRPSWSRPAPRSFSSRGVRGIGSRALPVRPISARDRRPVMSIPVRSRPLPPPVRSYDRRAAAPAYSKGSLKRDYGRREDIPPPRSRVAADYGSRVASERRPSYRDYPPRGSEYSDLPRSSSRAAPRRGYVDDGYSQRFERPPPPPPPHSSYREGRPRDYDAPLPGSKRSYAAVDDAPPRYADTGARQSRARMEYDYGGSASQYGDAYGDRVGRSSLGYGSGSRSSISGQETHGMYSSRQGTGYGGGSYGGSDVGGMYSSSYGGDYVSRGSDVGGSSYSSMYPGRGAGGGSSYMGSGGSGSYY >CAK8560013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4968957:4973209:1 gene:gene-LATHSAT_LOCUS13734 transcript:rna-LATHSAT_LOCUS13734-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTPKRGSASGGAKRGGRPSRGTPKAAQNQPEAVEEKMVVEEEPKVEENPAVEEKPVVEENPVVEDKAIDVNQTTSEAAAEESIRVSAMKNEEEVKESIDEYEKDERLELEDNEPEYEPEEYGGVDYDEKEIEQEEGHEVGDEVEEEAEDNVGEEEGDSGEEEVEDGHDEMEEGEEDDEHAVLEHEHEQAELGDVEEEEHREVVKERRKRKEFEVFVGGLDKDATEDDLKKVFGEVGVVTEVRLMMNPQTKKNKGFAFLRFENVEQAKRAVAELRNPVINGKQCGVTPSQDSDTLYLGNICKTWTREALKEKLKHYGVTNVEDVTLVEDSNDKGTNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDKPAKVSFADSFIDPGDEIMSQVKTVFIDALPPSWDEDYVRSLLKKYGEVEKIELARNMPAARRKDYGFVTFGSHDAAIRCAESITGTELGEGEKKAKVRARLSRPLQRGRGKHVGRGDYRPGRGSAILSRPSWSRPAPRSFSSRGVRGIGSRALPVRPISARDRRPVMSIPVRSRPLPPPVRSYDRRAAAPAYSKGSLKRDYGRREDIPPPRSRVAADYGSRVASERRPSYRDYPPRGSEYSDLPRSSSRAAPRRGYVDDGYSQRFERPPPPPPPHSSYREGRPRDYDAPLPGSKRSYAAVDDAPPRYADTGARQSRARMEYDYGGSASQYGDAYGDRVGRSSLGYGSGSRSSISGQETHGMYSSRQGTGYGGGSYGGSDVGGMYSSSYGGDYVSRGSDVGGSSYSSMYPGRGAGGGSSYMGSGGSGSYY >CAK8535281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833909709:833910212:1 gene:gene-LATHSAT_LOCUS4753 transcript:rna-LATHSAT_LOCUS4753 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNSHVASAQDYPEDYLNLHNEARSVLGWHNMPDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLFGENIAVSTGNMSGREAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8573438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612488538:612489573:1 gene:gene-LATHSAT_LOCUS25885 transcript:rna-LATHSAT_LOCUS25885 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNFFKFWRNNTTTTTASNITVPHLAVETDTESDENDSFFDLELTLNETNYDQPLKTTNITTHETGVNVPHKTAVPLSPKEPISKRKVLPIEPTTKPQSPISLLRSAPSFRIFTFRKQRRIPSEKTEHEQTQTRKKETKVFAVKVNMEDFHCTPTLSRDNSTRSFASKARNQGTEEAKTERVSKEILLKYLKLIKPLYVKVSKRYSEKVKSPGERMTVMSSPSSSPAVQKQGSFPAGMKVVSKHFGKSRSSGTVVGGGSPAKRSDDTLLQQHDGIQSAILHCKKSFNSRDCSMGVSEDKSMCSTRSSFEDEV >CAK8568214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582344553:582345356:1 gene:gene-LATHSAT_LOCUS21194 transcript:rna-LATHSAT_LOCUS21194 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEHEEDQEEEEEEEMGFPVTPVAGYDSLGNSGVRSKMSGGIMETDGAAVIPTGGGVKSGAKGTVRYRECQKNHAVSIGGHAVDGCCEFLAAGEEGTLEAVICAACNCHRNFHRKEIDGEVISHNHPPRSHSQTQYHHHQLSPYYHRAALPPPPGYHQLLTPPPVSHHRPLALPPVASSGGFSREDDDVSIPSSSGGGSGTKKRFRTKFTQEQKDKMLAFAEGIGWRIQKEDEGAIEQFCAENYIKRNVLKVWMHNNKHTLGKKP >CAK8576971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528632047:528644754:-1 gene:gene-LATHSAT_LOCUS29123 transcript:rna-LATHSAT_LOCUS29123 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRKVPKLPVEGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKEICDKYHVIHKEVYDWFDISFDEFGRTSSPEQTEVCQAIFKKIHENNWLSEDTLQQLYCDTCKKFLADRLVEGTCPIPGCEYDSARGDQCEKCGNLLNPTELKIPRCKVCRNSPRVCDTDHLFLELPLLKDKLEKYINEMSVVGSWSQNAIQTTNSWFKMGLKKRCITRDLKWGVPVPHEKYSDKVFYVWFDAPIGYVSITASYTRDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTDQNWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTTIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNTELLNNLGNFVNRVLSFIAKPAGQGYDSIIPTVPDDVSGDSHDPTKKLASKVAAYLEQYIEAMEKVKLKQGLKIAMSISGEGNAYLQETEFWRLYKQNQSLCSLVMKTAAGVVYLLACLLEPFMPSFSLEVFKQLNLSTEIHLSLSDDKGDVDRVRRPWDLLSAGHKIGTPKPLFRELKDEEVEFYRKKFEGSQADRVLRAEAEAAENVAAQLKKTKVSDGTGKKKSGAKSAAKSSNEAKNKAAAEPDISITRLDIRVGLIKKAEKHPDADSLYVEEIDVGEEQTRTVVSGLVKYIPLDEMQNRKVCVLCNLKPASMRGIKSQAMVLAANNDDHTKVELVEPPSSASVGERITFPGHEGSPDELLNPKKKVWETLQVDLHTNEKLEACYKNIPLTTSAGICTVSSISNASIR >CAK8531057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76309876:76312604:-1 gene:gene-LATHSAT_LOCUS873 transcript:rna-LATHSAT_LOCUS873 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKHVIMNSQLPLLCCANNCMLLASSRVFRRQRFLIRSFGGSIGDCRNSGSGKMQSVDVDDIIRAITPDLDQSRHKGQAGNIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDASPVIKSYSPELIVHPVLEESYNVRDEDKKIISNKVLAEVDKWLERFDCLVIGPGLGRDPFLLDCVSEIIRHARRSNIPIVIDGDGLFLVTNHLELVSGYALAVLTPNVNEYKRLVQKVLNSEVNNDDATQQVLSLAKQIGGVTILKKGKSDIISDGNTVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARQYIEAAGQDSNLSLKNPAVLGSIAGSAIMRKAASIAFSNKKRSTVTGDIIESLGKSLEDICPASSSSL >CAK8561555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:290516880:290517803:1 gene:gene-LATHSAT_LOCUS15135 transcript:rna-LATHSAT_LOCUS15135 gene_biotype:protein_coding transcript_biotype:protein_coding MCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHLPVGANDADLEERIIQHLAAAAAMGRARHIARREGQRNRSSAQGRPQYLVFSAHPNSPPMAPASSSPSQRGDGEPTHATGEDTPQLTLMPPAQTDQVSASGSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRHKESISKSTRGWKERWFSRNSPMSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIQGSNDQHLTDSERDSLLRDNDIKTSCTVGSSSN >CAK8534429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725818520:725828297:1 gene:gene-LATHSAT_LOCUS3976 transcript:rna-LATHSAT_LOCUS3976 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSRVLLSLYSSAPFLSLSSAQLRFKPSLAARVSSHMAHAASSVSTNNPSASSAIDFLSLCHRLKTTKRAGWLRKDIQEPESIADHMYRMGLMALIAPDFPGIDRDKCVKMAVVHDIAEAIVGDITPADGIPKEEKSRREQEALDHMCKVLGGGSRAKEVAELWTEYEANSSPEAKFVKDLDKVEMILQALEYEDEQGKDLDEFFQSTAGKFQTEIGKAWASEIVSRRNKTNGSSHSHSTK >CAK8567567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525918634:525919076:1 gene:gene-LATHSAT_LOCUS20608 transcript:rna-LATHSAT_LOCUS20608 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNEAIYCIGFVNRNHWVNMKEGFSLPPVTVDWKMFRSPAATSWMIGFAGRLQHWQQLMPILPTHFEL >CAK8567848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550962091:550965216:-1 gene:gene-LATHSAT_LOCUS20865 transcript:rna-LATHSAT_LOCUS20865 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRWQNFVSMKNRIIPSLEHFSAFHSTPCSCHKWKSNPDIRGQQPSKNQIKFVTRQKRADAKKALKNLLYNSGSSRFAFEDKETKQKLDGNSNDRSNSHSRKGQPKSGQRFGGKPQKKTKQKIRRESFCEDVDDHGHPEQIFQATFGNRCYTWSYSNMRGSSSEHSTHGFEWRENTNRTNTNKWKSASDDEYDGNDKDDADSCFVGSSSDRTILGFPPKGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCVNAYKTLCNALSPA >CAK8572708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557401624:557402490:1 gene:gene-LATHSAT_LOCUS25240 transcript:rna-LATHSAT_LOCUS25240 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRFNKTLLVILSLSSLLLSTNAVPSTRFIDVISTSFPAAVHKSITTSFPAAEYKSISTSFPAAEYKSISTSFPAVAPSSGHYEFTPTNVPAAAPSSRYYEFTPTNVPAAAPSSGVIASGDSSAILEQASQSGYPEIAQLCIDGENIALCAETILKQMTGPFDPLKALEIAVDATLDQAMTVAGTIDELLRDPSTDKKAMDALGVCEEEYDDMLDAIEETVDLLQNQNIVDAYYKFNSVLSLKSTCDDAFAESPGVTMPFSQDSQILFQLGGNCLGIMNDLVNHAKI >CAK8564308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667343750:667344833:1 gene:gene-LATHSAT_LOCUS17628 transcript:rna-LATHSAT_LOCUS17628 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRNLNKRLERPVELDKINNETRLQDIDWICSLSESQIDFMVSLKLLITKRAERIGCKNLADRFDLKTIRAIAFVLMENLKTEVKETSFVKSVAFLDACNILKCSNEVSATIEELSKVVGADIQPILVTSSMPTSKRKKRKVGSKE >CAK8574803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9699930:9700520:-1 gene:gene-LATHSAT_LOCUS27109 transcript:rna-LATHSAT_LOCUS27109 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQHFLTFFFFLLLSFNTITSTTSSSQNDNTFDFARPIDHEQLGLNKKEKLSHFRFYWHDIVSGKNPTSVTVVPPPLNSTTGFGFVNMIDNPLTTGPELSSKLVGKAQGFYASASQNDFGFLMAMNFALLEGKYNGSSITILGRNLVLDKVREMAVVGGSGLLKFARGYAKANTHWLDLKSRDATVEYNIYVFHY >CAK8539061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502676955:502686478:-1 gene:gene-LATHSAT_LOCUS8194 transcript:rna-LATHSAT_LOCUS8194 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIVDAVKRQPSDIPIEEQISNAMGEVGPSITLASLSEVLAFAVGSFVSMPACRVFSMIAALAVLLDFFLQITAFVALVTLDFERAKDNRVDCFPCIKLNRHSMEQIEGTRQETDGLLTRYMKEVHAPFLGLWVVKILVIAIFGAFTLASIALCIRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTNQLCSISNCDSNSLLNEISRASLVPKSSYIAKPAASWLDDFLVWISPEAFSCCRKFTNNSYCPPDDQPPCCFPDEGPCEFGGVCKDCTTCFRHLDLVNDRPSTAQFKEKLPWFLDALPSADCAKGGHGAYTNSVDLNGYKGGVIQASEFRTYHTPLNRQGDYVNAIRAAREFCSKISASLKMDVFPYSVFYIFFEQYLDVWKIALINIAIALGAVFVVCLVITSSVWSSAIILLVLLMIILDLLGVMAILGIQLNAVSVVNLIMSIGIAVEFCVHIAHAFMVSSGDRSQRARTALCTMGASVFSGITLTKLVGVLVLFFSTSEIFVVYYFQMYLALVIIGFLHGLVFLPVVLSLFGPPSRYADIKE >CAK8575814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:330396753:330398804:-1 gene:gene-LATHSAT_LOCUS28048 transcript:rna-LATHSAT_LOCUS28048 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFRIIQRGSTVIKSSYAATSFLVSPRLVGRGVPFEKQGFWWGLRELSYGKVNLVIRDGKTKFETHEVEAPRKDKWKTKKRLKMQRKREKEKRKAANRRDPRRLGVKGKKKKQKFASPEERIKFKIDNARVKEALLIERLKRYEVAKAHGPDVKPDGLTGEERFYLKKMAQKSSNYLQVGRRGLFGGVILNMHLHWKKHETVKCICKPCKPGQVHEYAQELARLSGGIPIHIIGDDTIIFYRGKNYIQPEVMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYHRHVALYGDPSNRNPLSILDGPSGNSNGKRNHGEKNLDSSSYYLSETEADSSQTDLSESEDNFKNGNLSMSESDSEEEEEDSMLGLDDDEEREVYFNGQSVCSTADSSSISKHTHHLKSCIE >CAK8564207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657577056:657578065:-1 gene:gene-LATHSAT_LOCUS17530 transcript:rna-LATHSAT_LOCUS17530 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHSSTITFLFSLLLFLSFFTAYAAGNFYQNFDITWGDGRANILDNGQLLTLSLDKASGSGFQSKNEYLFGNIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNVSGQPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGAPIREFKNMESKGVAYPKNQAMSIYSSLWNADDWATRGGLVKTDWNEGPFIASYRNFNADASSTNAWYSQQLDSTSQQRLKWVQKNYMIYNYCNDTKRFPQGLPTECAAS >CAK8542674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536429578:536430096:-1 gene:gene-LATHSAT_LOCUS11493 transcript:rna-LATHSAT_LOCUS11493 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSSFLSMKPMKPRETYLKRFNMRRGMQVIKVQNYHQEEGRSTDMVDGNLNVLKERIETVKVKERLERCCKSQHGWNYVPVSISDHQKDKRDRELRSLIELIGLVCGTIGFTSFLGTLFLCLVSLIVHLQV >CAK8537742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426065052:426067229:-1 gene:gene-LATHSAT_LOCUS7003 transcript:rna-LATHSAT_LOCUS7003 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQNNLQPELGKLEQIVYQFLLKSLHVILESRVPLLRQHDRSGDLSAVSRARRSDKWFNFALGDRPSALDNLNFWHRNLMDPMIIDIILVHEENGCSVETVIERWNVQYECPRNLSPQTSDTTLSFKKTYQKSIILFRALYSQMQHLPTHKIFKQLSAPNRTCNFDIVYKVSSFSDPFSREEGAMMGEYVFTPVDALPGRLNVSVTYRTTFSDFNLECSTSLPTKIITDYVGSPNTDPLRYFPSSGKGVRAPPSSMPLDRPHSWTPGFHKAAPFVPNHQYVGPQLVHHGSLKPYNFPSSPIDNFNIRYHNNRMQSQSRSPSYDEYPLSPPFSSSLSPSPPIHIGNTMHTRMRSETAPVTIPHPIISRSSRNLSPNFSDPNRNSLPPLSPRRYDASSHESPSGIRSLRRVESLKIGQKIVRDSKDDSGRFSGLLSSSDSPRVGVSTTSSRLSSQDDLDEGDFSCPFDVDDVDPPDVLSSHNVEGKSALGSPSTSLPTGRKSQDAAVGVLVQMLRTAPPLRQDSSCYSSHSLKAELDRGVAADSGFFIPRKTTDALEELRSYREMRDLLVSKSGARS >CAK8572655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553572512:553572997:1 gene:gene-LATHSAT_LOCUS25192 transcript:rna-LATHSAT_LOCUS25192 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSHEHSEFNYIELYLLLCQTQKYQMFGKAQIIDQSQSLEQDEFDVVNEEEEEPEAMVDQMVNLQLSEIYKVVNLFGIYNNNFLVVASDDYWPTYRGDTIYHNKNMRRNKKGPSPPPPPLQSTQIRTEMDTTEKIERLCGICRLPGHTRKRCPNVGASSR >CAK8572656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553572590:553572997:1 gene:gene-LATHSAT_LOCUS25192 transcript:rna-LATHSAT_LOCUS25192-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKAQIIDQSQSLEQDEFDVVNEEEEEPEAMVDQMVNLQLSEIYKVVNLFGIYNNNFLVVASDDYWPTYRGDTIYHNKNMRRNKKGPSPPPPPLQSTQIRTEMDTTEKIERLCGICRLPGHTRKRCPNVGASSR >CAK8572987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574778786:574779217:-1 gene:gene-LATHSAT_LOCUS25484 transcript:rna-LATHSAT_LOCUS25484 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLHLHHQLPKLRISAVKLDDVNVDVEADVVSSDQIPEVPVDDIPSSPITQPEAENENENENDGAVVDGENESDESYRTPTSKESKIPEILNCPPAPKKPKPFVSCKRKLMDEFQFFEVSSNEDMDAFFRSTFPKRTCPCT >CAK8532010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191009968:191010622:-1 gene:gene-LATHSAT_LOCUS1755 transcript:rna-LATHSAT_LOCUS1755 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNFEFPFAKRWYSLGMNYDNCPHYSITQYRNPIDHLGQDDFIWRPYLGLEAFHEVERQDSAVWSAKVPIMNFTTVEMHNSDRVKLQFGMLQAITCPPKCIPDKYHTGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDIVFPYEMKQSI >CAK8532159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214014855:214018307:1 gene:gene-LATHSAT_LOCUS1890 transcript:rna-LATHSAT_LOCUS1890 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVGIIYVCVVFFLAFKAMGNVTRVAPMDQISENNKKVLNKTRQEFQSRSRPIDYTFKLPITTTFWPEGNGFADGVLDLGGLQVSLVSTFNKVWGVYDGGQDDQGASVYDPKDIPEGFSMLGSYSQPNNKPLFGYVLVAKDVSSSTTNGTLKPPDDYALLFETSTLSSNQDSTLYIWLPLSPDGYKALGHVVTTTPDKPPLNKVMCVRSDLTEQCDFFAWIWGANDYNIFDVTPSIRGTQGHGVRVGSFVGHYGLGNSYTPSVSCLKNLNSISKIMPNEKQIEAILQIYSPFLYLHSDEQYFPSSVNWFFSNGALLYKKGDESNPIPIQQNGTNLPQDPNTDDAYWIDLPADDENKDRVKQGNLESAKSYVHVKPMFGGTFTDIAMWIFYPFNGPGRVKVKFINIKLGKIGEHVGDWEHVTLRVSNLDGQLWQVYFSQHHSGSWIDSSQLEFQNDSTKRPIVYASLHGHATYPHEGLVLLGKNGIGMRDDTDKGGNVMDMGKFVLISADYLGSVEEPSWLKFYREWGPRIDYKLDEELEFLKKFLLIRKLKDAFEKMIRSLPKEMLGEEGPTGPKAKNSWNEDEV >CAK8532212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221316595:221320339:1 gene:gene-LATHSAT_LOCUS1943 transcript:rna-LATHSAT_LOCUS1943 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDNDLHESPALPDLSGSQSNVDVKLTEKKGKRKRKRGTTKNNSVKSDANDCGTSENTFLSKKSTSALDMPSEHSIQCVSTDALTSQSCVEADICLHGNEEKKKKVLSQAAEKGNGESEIQDKADNFNSVVADADAKLLAKESVLEVVKMDIEQSINENSSNPEETSDSLKNGSPVNNHDEDLKVHDLSNKSHSIKTYSRKKLNDVNCKRNLECPSAENSNKEPIIQDHNTVGSHEVSDGQLRDGLMEREKEHLTDQNKVEVEEMELIADNSSEKSSMTTSSIVDIPITQHEEINAKQEDHAEVTRNDLCCLTDISDLSLSSGNSEISQCSVERTIISNSKNKLLILDVNGLLADCISDVPNGYYQPEPDFWVRRRKVFKRPFCDDFIQFCFERFHVGVWSSRTKSNVDDVIGLLLGKSASKLLFCWNQSHCTTTKFNTVENKDKPLVLKELRKLWEKLEPGLPWEKGEFHESNTLLLDDSPYKALVNPKHTAIFPYSYRYQHYRDSALGPEGDLRGYLERLSKADNVQEFVSANPFGQRPIREANISWGYYLKVIESVKCSETDRLSAPGKEQTSATDKEQTSTPVKEETSALHKEETSAPVKEETSAPDKEETSAPVKEETSALHKEETSAPDKEETSAPDKEETSAPVKEETSAPVKEETAAPDKEETSATVKEETA >CAK8569944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16935103:16936341:1 gene:gene-LATHSAT_LOCUS22741 transcript:rna-LATHSAT_LOCUS22741 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKTLELELQQVREENNTLRLMLEVMSIKCTNLQQEINKEEHNEIISSNQIGLLPNFDTSKRARLEHFPTAKKPLQVFVKTHPNDESLIIKDGYQWRKYGQKVTKDNASPRAYFRCSMAPSCPVKKKVQKCLNDKSIIVATYDGEHNHGVSNDSFKPSSSTLNDSSISTYNKLSTILSGQEAINTRVFDNVMQQQFGCEKHIKIEEYASLLIKDPDFTVVLAKAVAKTINGQQHKRQNLNLSLNLFEK >CAK8565562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:278228119:278229060:-1 gene:gene-LATHSAT_LOCUS18763 transcript:rna-LATHSAT_LOCUS18763 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTQLKHLPSFHHKACTKSKLIHRIRVYCSTNTTTSTTSATLNSKPNVDQLIVEPRFAPLPIKAVTVANHPTESDASLQSTWSHRAWVAVGCTTLLISLGESIKGSMDMNMWVEPILAGWVGYILADLGSGVYHWAIDNYGDGSTPFVGSQIEAFQGHHKWPWTITKRQFANNLHALARVVTFIVLPIDLVFHNPIVQSFVAVCAGCIMFSQQFHAWAHGTKSRLPPLVVALQESGVLVSRSQHGAHHRPPYNNNYCIVSGVWNELLDETKAFEAMEMILYFKLGVRPRSWSEPASEWMEDIEIASQSQAQ >CAK8540054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537276022:537278109:1 gene:gene-LATHSAT_LOCUS9093 transcript:rna-LATHSAT_LOCUS9093 gene_biotype:protein_coding transcript_biotype:protein_coding MITVFAKNGRVNEAQQLFDKMSHRNIISWNTMIAGYLHNNMVEEANKLFDVMPERDNFSWALMITCFTRKGELEKARELLELVPDKLDTACWNAVIAGYAKKGRFNDAEKVFEEMPVKDLVSYNSMLAGYTQNGKMSLAMRFFERMVERNVVSWNLMVAGFVNSCDLSSAWQFFEKIPDPNAVSWVTMLCGFARHGKILEARKLFDRMPCKNVVSWNAMIAAYVQDLQIDEAVKLFKEMTYKDCVSWTTIINGYVRVGKLDEAREVYNHMPYKDIAAKTALMSGLIQNGRVDEASQVFSQLGKRDAICWNSMIAGYCQSGRMVEAFNLFKKMPVKNAVSWNTMISGFAQVGQMDRAAEIFEAIGERNTVSWNSLITGFLQNSLYLDALKSFVLMAREGKKPDQSTFACSLSACANLAALQVGKQLHEFILKSGYINDLFVSNALITMYAKCGRVESAEQMFKDIDCVDLISWNSLISGYALNGYANEAFWAFEQMLSEGMVPDEVTFIGMLSACCHAGLANQGLDLFKCMIEDFAIEPLAEHYSCLVDLLGRMGRLEEAFDIVRGMKVNSNAGLWGSLLAACRVHKNMELGEIAAMKLLELESHNASNYITLSNMHAEAGRWEEVERLRVLMRERRAGKLPGCSWIEVQNQIQNFVSDDPGKLRTENVTIILNTLSAHMRVKCNISDMKSVFDIL >CAK8570687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:88212866:88213985:1 gene:gene-LATHSAT_LOCUS23422 transcript:rna-LATHSAT_LOCUS23422 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLKLLDDNSCVYRYKTCDDGVTIRDIFYTHPNSIKLFITFSTMLILDSTYKTNKYRLPLFEMIGVTSTEKTYYVGFAFLECEKKDNFTWSLEVCRSLLKDQSEIPKAIVTDPDITLMNSTAKVFPSSYALRCKYHIIKNVRSRVKPAVGTKPIKSEGGKLVKAGVIVEKLMDAWNHNTLYSHLVDNISRARLNYIFHEAKRADNVGSDSVKYGCTTVKTYGLPCACTLPKKVKLGEPIRMDEVCFHWRRLMFDDDG >CAK8579780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722486883:722487590:1 gene:gene-LATHSAT_LOCUS31697 transcript:rna-LATHSAT_LOCUS31697 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFVVSFPDLPRQADISALVLRFGGECELVWLDDKNALAVFNDPARAAAAMRRLDHGSVYQGAIVVVPKGGASVVSTVTNAWGGAGTVKGGALTAWKENLLTKAIVIEPSWREESWDDEEGATGSANIQSSIWKKEVPISTSANPWSVLDKKWSSSSSSAVSVKADTCMKQTQSSSSSAVSVKADTCMKQTQSSAMAKLESLDGGSNLEHQHGKALDTSEVYDVVDDWEKACE >CAK8535366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844020627:844021091:1 gene:gene-LATHSAT_LOCUS4831 transcript:rna-LATHSAT_LOCUS4831 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQAPRLCVNNCGFFGSAATENLCSRCYRDLQLKEQQASSAKLVLNQSILVPAKEDLVSEPSSSSSVPEPVDLPSVVEKTKEKPLQQNRCMTCKKRVGLTGFKCKCGMMLCGTHRYPEQHGCEFDFKGLGREQIAKANPVVKGEKLEKI >CAK8542443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:515051243:515052526:1 gene:gene-LATHSAT_LOCUS11282 transcript:rna-LATHSAT_LOCUS11282 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPPFLPDVLIAEVLSLLDVKSILRFRCVSKFWDTLITDPTFVKSHLKKSAKRNTHFLLITQHITHIRGESSNGSDNKYVRDYGVIPYSICSLLENPSFTLSVDSYYLVQHKGCSNIVGSCNGLICLVDRSITRDYYEYSFRLWNPAIRMISAKLGFLRLFHNRSDCPSSAADDGIYKFKFGYDNSTCTYKAVAARYNEVRILSIGDNVWRNIESFPVAPLHFGADSELSEDNCGVYFKSSINWLAIQNKLCYSRRDIKDITVEQFVIVSLDLGTETFRQYLLPSGFDQVPPTEPNVGVLGGCLSFSYCYMETHFIIWQMKKFGVQDSWTQFLKISYHNLQVNYDYSDDGYMYIFDIVPMILSEDGDTLILRCSQEISQAILYNWRNNRVERTNITARKTGTDDTTVDYPLYAAENYFESLVSVF >CAK8566227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393166350:393174902:1 gene:gene-LATHSAT_LOCUS19379 transcript:rna-LATHSAT_LOCUS19379 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSELNADVFPWLKSMPLAPEYRPTAAEFEDPIGYIFKIEKEASQYGICKIIPPFPPSPKKTAIANLNRSLPNSTFTTRQQQIGFCPRRSRPVKRPVWQSGDHYTFAEFEAKAKWFEKCYMKKHNKKGSGNGNALETEALFWKATVDKPFSVEYANDMPGSAFSAQCRHAGEAASVAHSAWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYLAMLFSWFAWHVEDHDLHSLNYLHMGASKTWYGVPRDAAVAFEDVVRVHGYGGEINPLVAFSILGEKTTVMSPEVLISAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLRVAKDAAIRRASINYPPMVSHFQLLYDLALALCSRIPGGISAEPRSSRLKDKKKGEGDSVVKELFVQDVLRNNDLLHVLGKESSVVLLPRSSVDISICSKLRVRCQQQKVNPEFSINVCKSKEMNSSKGFGSDDLVFNRNCGILQEKNVHYVKDKFTLSCEGKGISSFDANGNSSRSSSKQLQTGNNNETNQEDGLSDQRLFSCVTCGLLNFSCVAIVRPSELAARYLMSADCSFFNDWVVDSGVPSSNKLVAHEDANIPEPNMRTGRTKTNTQEEALNTEGGNGNTALALLASAYANSSDSEEDAIDDHELNAINSTSESFPSNVHVSHANPMTRHDKDDILSESVNYEAHIFDVNLSQPCEQSFEEQDYKVTSEDAFENKRPVPYSIAYSSQDANNAEKSLSAEAMVAVNRKNALLVQQCDEDSSRMHVFCLEHAVEAEKQLRPIGGAHIVLLCHPDYPKIEDEAKLGAEELGIDYTWKNIAYRHSTKEDEERIQAAVDSEEAIPGNGDWAVKLGINLFYSANLSRSPLYSKQMPYNPVIYYAFGCSSPASSPIEPQVYQRRTDRQKKVVAGKWCGKVWMSNQVHPLLAKRDSEDVDEDETILHRFTLPDVKIERSGSTPKTETASTKSARKRKTALNSGRTRKGSFVEKDVVLDNSTENKSNPQGRRILRSKQARSVEKDGAALHRDCSPYGHREPMSKEKNCTESDVVSDDPLEDDYHMRHRRSFNVKKAKFIDNDVVSDDAVDYDSDCHQMGELRSKQDEDTERDSISEDSLDANFISEEDAISDDQMENGCQKQQKRIPKSRIGSSLAEKDLLISDDQLELNMQSQRRSYSRSRKSKYLHKEEEDIVSDDQMNIYCRKYQRRTVKVRQAKCVREDVIFDDQLEDPYQKPIISRRKNKGIHREFKNEVSDDQQEDHFQKQQRKVPKSRRHTIQTDEEVIDDSADDNARLLHRTSKKKKAKCKDEDDMSLDNEMEDDSLQQHRTLPSKQSKPKTLKRMKQAKSLPMKNQTPRPVKRGAQMPMKSKAAQQVKQPSHLRNKQSGNSREPSLDLEDEEGGPSTRLRKRLPKAEESEVKSKDKQTKRKRTAATLVSARNAKTEDEEAEFQCDIEGCTMSFESKQELLHHKKNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMSFKWAWARTEHIRVHTGARPYVCAEPDCGQTFRFVSDFSRHKRKTGHLAKKKSRE >CAK8542540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524437623:524442017:1 gene:gene-LATHSAT_LOCUS11374 transcript:rna-LATHSAT_LOCUS11374 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCPCFGDERSEQPPLADRDPVLLVSGMGGSILQSKPKKFGLTTRVWVRIFLADLEFRKKIWSLYNPKTGYTETLDKKSDIVVPDDDHGLYAIDILDPSWFVKCVHLTEVYHFHDMIDMLVGCGYVKGTTLFGYGYDFRQSNRMGKLMDGLKLKLETAYKASGGRKVNIISHSMGGVMILCFMSLCRDAFSKYVNKWIALACPFQGAPGCISDSLLTGLEFVEGLESYFFVSRWTFHQLLVECPSIYEMLANPDYKWKKKPEIKVWRKYKKDGNENINLESYGPTQSISVFEEALRHNELKYNGKSIPLPFNTTIFKWATGTRQIISNAKLPNGVCFYNIYGTSFETPFDVCYGSEKSPVEDLSEICQTLPQYSYVDGDGSVPVESATADGLEAVERVGVSADHRGLLRDKTVFKLIQKWLGVEPVVSKQSNTSKVVDVNTINPMVL >CAK8568249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:586371590:586372297:-1 gene:gene-LATHSAT_LOCUS21227 transcript:rna-LATHSAT_LOCUS21227 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVHSSPFYPMENPALASLLRHTSGEKRNKFSAGGLLKMFKLFPMLTSGCKMVALLGRPRKPMLKDSATTGTIFGYRKGRVSIAIQEDTRQMPIFLIELPMLTSALNKEMSSDIVRIALESETKTNKKKLLEEFVWAVYCNGKKVGYSIRRKQMGDEELQVMQHLRGVSMGAGVLPTASDHKDSSDGEMTYMRARFERVIGSKDSEAFYMINPDNNINNGNQGPEFSIFFVRAH >CAK8535584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864918875:864920746:1 gene:gene-LATHSAT_LOCUS5029 transcript:rna-LATHSAT_LOCUS5029 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIEMDSTHFTTEFLPRTLNPFSPYNQFSFLKPFNKSPNLKFQSHKRFQLVCLSQKPNPDSGFLSSGENYNVHGTRDVRSYAGRSKKTGGASSSGGRLEGNADFRRRLRRGSRVKSKKLAESLFYRLKNPKGRGNYPDNFSEDLLQQIGLGYDRLVRFMEKDDPNLRHPYDWYKYGEYGPYSWRGIVVGEPVTGGITDECVTIISEVKDHEEWEEIEKHDMAADFGEKVKLLDQTKLRYFWVFVRHPKWRVSELPWQQWTLVSEVVLEAGKQRLDKWTLMGRLGNKARSLIGQCAAWMRPDIVYVKRPVFQCRFEPQGNFFNAIIPFLDPSTEQDYLCQLQNDDGSVETCTYYGGLCKIVKVNQKAFVDDVVNAYQKLSDEKKSKCLEFLLGHHPVEILHPYTKEWKAKLEEMELGCDAPDDEDDDVVGDNDTEVLDWIEDEGGDGDAEFDGDDDENEEDDEEEEEEIDDFDVDEDQNLVTDMEESEDGKFHAMEDDEKNWKEFRKSTNSAEAIENMARKSVELSTKLYKKQMMTAEKVEEETMHDSVDGDETALRGKRAKVSPEEWKYVGLGPWRKKIKKSKLPPEQFLRAAIRPFTYRNLVKEIVLTRHAILDGDIGKKE >CAK8535585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864918890:864920746:1 gene:gene-LATHSAT_LOCUS5029 transcript:rna-LATHSAT_LOCUS5029-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHFTTEFLPRTLNPFSPYNQFSFLKPFNKSPNLKFQSHKRFQLVCLSQKPNPDSGFLSSGENYNVHGTRDVRSYAGRSKKTGGASSSGGRLEGNADFRRRLRRGSRVKSKKLAESLFYRLKNPKGRGNYPDNFSEDLLQQIGLGYDRLVRFMEKDDPNLRHPYDWYKYGEYGPYSWRGIVVGEPVTGGITDECVTIISEVKDHEEWEEIEKHDMAADFGEKVKLLDQTKLRYFWVFVRHPKWRVSELPWQQWTLVSEVVLEAGKQRLDKWTLMGRLGNKARSLIGQCAAWMRPDIVYVKRPVFQCRFEPQGNFFNAIIPFLDPSTEQDYLCQLQNDDGSVETCTYYGGLCKIVKVNQKAFVDDVVNAYQKLSDEKKSKCLEFLLGHHPVEILHPYTKEWKAKLEEMELGCDAPDDEDDDVVGDNDTEVLDWIEDEGGDGDAEFDGDDDENEEDDEEEEEEIDDFDVDEDQNLVTDMEESEDGKFHAMEDDEKNWKEFRKSTNSAEAIENMARKSVELSTKLYKKQMMTAEKVEEETMHDSVDGDETALRGKRAKVSPEEWKYVGLGPWRKKIKKSKLPPEQFLRAAIRPFTYRNLVKEIVLTRHAILDGDIGKKE >CAK8574638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4558815:4560064:-1 gene:gene-LATHSAT_LOCUS26965 transcript:rna-LATHSAT_LOCUS26965 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSFSTPILSSLLLYKFKEPSLELEHTLKLPRTISFLSLSQNKTKIDLKNSSSPKKTISLERNEVKEGKMVQTSMMGGGMKNNGGCKGGGRGSDGGNGRSWNLNEENNHGRDMIDSYYQNMIETHPCDALLLGNYAKFLKEVCGDYTKAEEYLERAIMANPGDGHILSFYGDLIWQIEKNAPQAQQYFDQAIQKDPNDCYVLASYANFLLDAENEEEKDYQTESDQSHHFQETKHFSHLTTAS >CAK8573985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652519597:652521446:-1 gene:gene-LATHSAT_LOCUS26378 transcript:rna-LATHSAT_LOCUS26378 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKDVCSPSSQSGFGVRLNTAGHMQSNAGAFASLYSALTNELSGNCSNAGGGSLYPYSDSGTDYDSSCVIQKHQDMVNRHSMCLSRLVETSKEVEALQQENGQLRAVNKELQKNLNLLVQASLENRFGGGDSSIQTHSIPFDALHSFRGLNLGDGKENCADWNNNNINNNNKELLEASDESPTSVIDNGGVETERFSLPKSISVRSNGYLKIAQPPAVVTNNNACRSTKGATRSRASATQPDAVQKVYVRGGGQKEEEPLEMTVYNQGMFKTELCNKWQETGTCPYNDHCQFAHGIGELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTEQEKAISQSTPRSRKLER >CAK8575101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:29953134:29959759:1 gene:gene-LATHSAT_LOCUS27390 transcript:rna-LATHSAT_LOCUS27390 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSNLDLQESGWEELRKEARKIEGDLDVKLSSYAKLGARFTQGGYVDAGSPPVGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHEFTQEFRRTKGNINSMREHAELLGSVRDDISEFKTSGSMSPRMQLLRERAAIHGSTSHIDDVISQAQATRAVLGSQRALFGDVQGKVRLLGDKFPIIRSLLGSIKRRKSRDTLILSAVIAACTLFLIIYWLSK >CAK8564505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678697296:678698135:-1 gene:gene-LATHSAT_LOCUS17806 transcript:rna-LATHSAT_LOCUS17806 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSSSIHASGDIVGTRIPIVPEGDGFDHHKLVVSEIAHIIRTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVTVRRNFEKISAAKMSQLMQDVRRDLKYRPEWMGEEVWKKLTVHWNSSKFKKVSATNKRNRCSMDGASLHTRGSIPHRLHWKRMKKEKGVDPSLTEFYFRTHQKKDQS >CAK8561932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413493997:413505805:1 gene:gene-LATHSAT_LOCUS15477 transcript:rna-LATHSAT_LOCUS15477 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDNASEEHQRSFAAPLIFFIVLAFQFASYWIDNFMKSGSEKEKETQLRAEIKQLLKEANSMSQPSTFAQAAKLKRQAAAKEKELAKCQDLHARDTTLYSKVLFISKVLTYITLLIWFWSSPVASISKQLVQPFGRLLSWRSGGVQNNNIMVGIIPWLAVTSRVSQFICKLAHSK >CAK8537241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:174805537:174806025:1 gene:gene-LATHSAT_LOCUS6544 transcript:rna-LATHSAT_LOCUS6544 gene_biotype:protein_coding transcript_biotype:protein_coding MFRICTCGMVVVARWIAKLATHCLLDALGQYPNYEVKSELELEECEALKNELNEKLKAVCEKETLIIENLARAKDESAATSRIIGFAIAKVGRFLNCSMVDALI >CAK8565935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:355857293:355862120:1 gene:gene-LATHSAT_LOCUS19108 transcript:rna-LATHSAT_LOCUS19108 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSTARQCLTDEAARALDEAVSVARRRNHAQTTSLHAVSALLSLPSNMLRDACARAGTSPYSPRLQFRALELSVGVSLDRLPTCKTSSAVAEGNGGGPPVSNSLMAAIKRSQANQRRNPDSFHLLQIMQQQQNQQNQTASFLKVELKHFILSILDDPIVSRVFADAGFRSYDIKFVLLQPPLPSRFFHRPSPPVFLCNIEPEPDRFRFDDNSRRIVEVIAGKSQSQSQSKRNPFLMGVYAKTALKRFIELVQSGKVGFLPNDLDGLSVVSIEKEILEFVVGGGSEEKMVLRFDEVGRLVEQCLGAGVVVSFGEIEIFVKMNNDGGDDVAAGDAVVLVVSRLTRLLEVYGRKVWLVGVAGTCDVYSKFLRMFPTVDKDWDLHLLTVTSATPSMEGLYSKSSLMGSFVPFGGFFSTPSDFRNPNISLPLCDTCNEKYEQEVADNYPKVGPSTSVSTSLPWLQKANVDSDKGLGLAKTNEDNTSLNAKIFELQRKWSDICQHLHRNKSLPEINVSQTLTRFQAPFHEGFRFGTGTSNFNEVHCSNPIPYMPKELQNSYLSKQILPFSQPFDTSLIVNDKTGHVPNVSKVDSQSTRATSSPVTTDLVLGTTYASVTHEPDTPKVSDHKKHLPHLSDSLSTEYDAMVESTSNQIARSSGPNSDGKFEMVDFKSLYKLLIEKVWWQEEAIYAIIRIMTLCRSGGGKHSRSNSNVRADTWFSFLGPDRVGKRKFSSELAETLFGSKQNIISVDLNSQDRFQPLNLVFECHNLLGRKTVVDYIAGELSKKPRSVVFLENIDKADLIVQNSLFHAIRTGKFPYSHGREISINNAIFVVTSSVFKVSGFLDTEKEPKMFSEETILEAKRCQIGLSPGHASEDVVRSSNTNVRVAKRKGTFLNKRKLVETESSDSNEKVTSKTPKHVKEVSRSYLDLNMPLEEEVEEEVDCADRESESVVKNHEAWLNDFLDQIDGKVVFKPFDFDLLAEQIIKCIDKQFQTTFGSNYVLEIDYEVMSQILAAAWLSDKKKAVEDWIEHVLGNSFVEAQKKYQNVAECVMKLVKCESIFVEEQALGVCLPPRISLN >CAK8535035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805373171:805374322:-1 gene:gene-LATHSAT_LOCUS4518 transcript:rna-LATHSAT_LOCUS4518 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTKRGSERPKSTVPPSPENLANVKTLEEEPSTTPTLNKDGTNIGKLAKDTTETLTESPQAKSDEQKLWVDIISDYRNPAKGLSMEYVAPKVINGVIEIDIEQEDIETELRFWDNAPILYVVGDDLSMNTVKNFMQRMWNFIKIPDLYYHDDGYFLLRFNSQEDKEAVIMRGPYTIRNMPMILKEWQFGFKLKKDLLRTLPIWTKLPQLPLHLWGAKSLSKIGSAIGKPLVTNECRANKLCVSYARILIEVDITQPLIDEITIRNVAGDIIMQPVQHEWRPKFCETCQKLGHNCEDRGKTQKWIPKPKPMEPTTNITPTKQPAGGETNGEDGASWTRVRKSARDKGKNILTDTTNNINCANGFETLEVLNDHQVITNLEPC >CAK8578298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618464264:618465389:1 gene:gene-LATHSAT_LOCUS30323 transcript:rna-LATHSAT_LOCUS30323 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYSTSVLAHLFGIISIILLLVWLLHYREGIEYDSDNPLRVFNVHPFLMFFGFIFLVGQAIMSYHTVPGTHETQKIVHMTLHFIAIILGIVGICAVFKFHDMVNLVDVYSLHSWIGIGTFCLVGLQWLFGLTFMFPGSRQSRASMAPWHIAGGRALFYMAICAALTGLMERTAMLKLQPHQRESHLINFLGLSILLFGVFVDVTVGLAHFA >CAK8533098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577830912:577832024:1 gene:gene-LATHSAT_LOCUS2747 transcript:rna-LATHSAT_LOCUS2747 gene_biotype:protein_coding transcript_biotype:protein_coding MRLADWGNLEELPLNLIFNKMGELIDHIYFSMVCKNWYSIAKFNYQNLQIKNNGLPMLLQRFLYGISPETIYPFKVPIPSKERLCGSSHGWLAKSISEDGTVTLMNPFKNRVSIHLPPIYKSRDINSRVRYNACNVHKVILSANPTIRPHDYVVVAIYDIGKGKALAFIKAGQNFWTHVEEAYSGFTDVIFYKDLVYAVGLKNNVIYFNIYNSKNSLYYRKFIFYVASSPEVDFAHRAYFVISLEGDLWLVKKFSGYATNFVVYKLELDLRNGKLIRMVKLDSLEDNVLFIGNCDSISMSASSFANYLQKDSIYYAKDDCEKDTDQDHCPCVQFDMEIYNIKDGSSTQHCRSQLCFTRTSPSLWVLPPST >CAK8535052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808287178:808290460:1 gene:gene-LATHSAT_LOCUS4535 transcript:rna-LATHSAT_LOCUS4535 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIKISAFIIFLSLSILSLHVQCRSLKLVSNGVDNVESSEEDSLLVLNNEGEYCKQMYGFLPCSSNIFGHLFLILVYEYLLFHGESYLAAGGEQVFKILGPGVFGASAFDILGALPESLILLVTGLSSDKERAQESASTGVGLLAGSSILLLTVLWGTCVIVGKQDLKSESNSHCSNSSTGRIKQSLIGYGITMDVDTRKMARNMVFSVIPLLIMQIPTLFHFSTSSRNVTLMSSLIIAVSFLISYFIYQIFKPQIEKTRLEYIKHDDLILRIFQRVEKQTLQKILAEDGTPNVTAISGLYNEFSQHGRKHLLASEVKELLLGSNLTNTNIRDEQIEDMVKAFDKNGDQVISREEFVDGLKEYINQTKHALDRKYLPKESMNKMYQAFIKPWIENVRKERELKGRLISEVLSHAQSDTVGKLHNEDGTPDKEAIKRLFEEVNIDGDDHVSRTELEKIVKDIHFGKGVDSEEAVTKLLQDLDANKDNEISENEFVDGFTKWISSNSNKTSTSKSSHHHHETHQTWEEVEKVMEETQSKGVSAWFEAIAYLVLGITMLSLLAEPLIASVQKFSEAAGISSFFISFILVPLATNFREATSAIKEASHKKSSNTSHTMYEIYGAVFMNNILGFVVISILIYMRDITWEFSADVLVVAIVCAVMGLASAFRTTFPLWTSFPAYLLYLISLLLVYVLKDVLNYM >CAK8567637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532948013:532959362:1 gene:gene-LATHSAT_LOCUS20673 transcript:rna-LATHSAT_LOCUS20673 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPLYETRRHASNYPPQLQLQQNQQHQANEDSKGTLLSLLSVRGVTQLKEKWTEYNEPKRLRKLVSLFVSPTAKYVAVVAGNRITILSKEDDYQQSYAIFTGSDFGTFSVGAWSEDDEILGVADDYDTLYFIKFNGEVVAEITKKHLKISSPIVGLFSDNDSDMHESYLFTAITSDGSLQQIEISYGQGISTFPKYAFYHRSHLRNNVFCFDHHHELNLFVAVHTLSGSCHLSLWHKNSSKELEQVFSLQFEGLYSKPKGYRGQLIYPKLLISPQATFIATLDLTGCLHIFKLDKEGLTLSRFVLGEKDDSFVSGNSSNGGNRSFLDFTWWCDHVIATVDRNGVVMLIDILNGLKVQEENPAYFLPALGRAQKRRGYLFLLASLSSKERSSSSDFGFPEELHQTEWVVEDRLKQFHLSRLLWFLVSFSEKSVPEMYGLLIRKRSYQAALDFADSHGLDKDEVLKSQWLNSSQGVNEVSIFLANINDKKFVLSECVHRVGPTEDAVKALLAYGLRITDHHRFSEVDDDDSSHVWDVRLARLQILQFRDRLETFLGINMGRFSVQEYNKFRIMPINEAAVALAESGKIGALNLLFKRHPYSLSPFVLDVLASIPETVPVQIYGQLLPGRSFPSGVAVRQDDWVECKKMVDFINAPVKNHDIQIQVKTEPLVKHFVGLLWPSIDELTKWYMDRARSMDDFSGQLDNCLSLLEFALRKGISELQQFHQDVLYLHQVIYSDDNDSETGLHMSLVMWEELPNYERFKFMLKGVKEESVTERLHNRAIPFMREKFHRVSLFGDGTHCTNQSIEESFLVRWLKEIALHNKLDMCLVVIEEGCRNFQSNVYFETEAEAVDCALQCIYLCTVTDRWSIMAAILSKLPQMHDGAIQVESLERRLRVAESHIEAGRLLAFYQVPKPLNFFLGAQSDEKGVKQIIRLILSKFIRRQPGRSDSEWASMWRDMQYLREKAFPFLDLEYILIEFCRGLLKAGKFSLARNYLKGTSSVSLASEKAENLVIQAAREYFFSASSISCSEVWKAKECLNLYPSSGNVKAEADIIEALTVKLPNLGVNILPMQFRQIKDPMEIVKMAITNQTGAYFHVEELVEVARLLGLRSADDVSAVEEAIAREAAVSGDLQLASDLCLVLARKGHGNIWDLCAAIARGPALENMEVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCETLIMSTGTNPSNFSVQGSTVESIQKQSFQNILDRNPRFQEFDGYSSDNQDVHLEKIREKLSIVAKTLAVGNQTDWASGLTENGKVLSFAALQLPWLIELSRNGYPSEKLSTRKQYLNIKAQAVVTILSWLARNGFAPRDNLIASLARSVMEPPVTEEEDIIGCSYLINLSDAFNGVEVIEEQLKIRKDYQEICSIMNVGMAYSLLHNSGVGSDHIQRKQLLKRRFKEKHTTPSSDDIDKLGKVQSSFWREWKLKLEEQKRFAEHSRALQKIIPGVETERFLSRDSIYIENVVISLIESVKLEKRHILKDILRLADTYDLNSTEVLLHFLSAVLVSDVWTNDEITSEVAGYKGEIIGNGVKTIETISTKVYPAVNGCSKLRLAYVFGLLSECYLQLENSKDLSPIAQPDHTNGNIRFAHYYKVVGQECKNVSFINNLNFKNIAGLRGLNFECFSDEVYACIEESSLSALSKMIQAFVDIYGHSLPKGFMSWQDVYKYYILSSLSALEAKATTDFSSRTPEYLQSFLSKLEQSYDSCRKYIRLLSQFDALTIMKQYLTVIVPLHSSYGFIPDNSTWQECLIVLLNFWMGLTDDMREFSLEENSGETNSFNPQCLTSCLKVFMKLVMEDIISPSQGWSSIYTYINCGLNGDCSVEIYNFSKAMVFSGCGFGAISEVFSVASSETGSSSDCGTGSQDLAQFYLDILEAVLQELVNGSHESQNLYHILSSLSKLEGDLKVLQCVRHVIWGEMVQFSDNLQLPSSIRVYVLELMQFISGKNIKGFSTEILANVQPWEEWDELLYASRKSEAGVDKQSPDHKETSSRFTNTLVALKSSQLVASISPSIEITPDDLLNVDAAVSCFLRLCGEATENLHFDALVSILEEWEGLFTIGKDGEITTEASDGGNDWNNDDWDEGWESLEEVDKPEKEKIENSVSVHPLHVCWTVILKKFIGLSRSSDVLRMIDQSSSKTNGMLLDEDDARSLNEIALNTDCFLALKIALMLPYKTLQLQCLSAVEDSIKQGIPHTRSNDCELLILILSSGILTSIATGSTYGTTFSYLCYMVGNLSYQVQQALISSRGITIDEDHENQFFRRILFPDFISELVKADQHVLAGFIVTKFMHTSESLSLINIANASLNRYLERQLHMLQTNEFHVEMECKTLRNTVSRLRGRLSNLIQSTLPLLSANVS >CAK8531703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:141677202:141678311:-1 gene:gene-LATHSAT_LOCUS1475 transcript:rna-LATHSAT_LOCUS1475 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLNTWPNTAPTLFNLNCLTSPQPSPFQVSYLINNFDFSQQSASKLCSTHRLYCKTTQKSDSVINFFTSYGFSNSQLRDIIDKSPWLLTCNLSKRVLPKFEFFLSKGASNSDIVYLVSKNSRVLSPSLENHIVPTYELIYRFLQSDKEVMAYVIQNPYLLCHCLVPRNITVLIENGVSDSNIARLIRIRNRTLQARHMLSLLEELKDLGFNPSKYSFTVALIAKTSVTKTRWNEKVDAFKKWGWSDEDIIEAFKKQPYCMLISIEKINLVMSFWVNQLGWDAMALAEQPAIFRLSLEKRIIPRAWVMQFLRNNGLRNKHASLTSPFVPPEKEFLDRFINRFEKESSYLIKLYQEKLKLADAGDKNCMS >CAK8567571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526243235:526243642:1 gene:gene-LATHSAT_LOCUS20612 transcript:rna-LATHSAT_LOCUS20612 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFDSCVNLEETHLMEGYNEGYSDGLVAGKEEGKQVGLKVGFEVGEEVGFYSGCIYIWTSAIQIDPACFSSRAKTAITQMQDLIHKYPLMDPEDLQVQEIMDSLRLKFKMMCSSLHVKLHYKGYPAEANDTQF >CAK8534234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:708719159:708719584:1 gene:gene-LATHSAT_LOCUS3794 transcript:rna-LATHSAT_LOCUS3794 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERWTEVRHTKSNRQKVDTRSIWGAKNGKCAENGNGAENEKNNISSFFFTEFLEGFKAKNMFEEFKHFGLVKEVVVPPKRDKKGKRYGFVRFRDVEDARVLAIILDNIMIGGKKIHANIRRFHRGGIRNNDGTNLQMH >CAK8573196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592901015:592903044:-1 gene:gene-LATHSAT_LOCUS25671 transcript:rna-LATHSAT_LOCUS25671 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSNPTAENSSDQSPPSRHRRSSAASSKKPLASPLPVDTSSVSQRLQKELMALMMSGGDLGVSAFPVAESIFTWVGTIEGGKGTLYEGLSYKLSLRFPMDYPFKPPQVKFDTMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLEEPNLESPLNSYAAELWNDKEDFKRMVHKQYLAGEAPES >CAK8570213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29183761:29187920:-1 gene:gene-LATHSAT_LOCUS22985 transcript:rna-LATHSAT_LOCUS22985 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLRTRRTKQQNPNPNPNLNPITKPDTARGRRGRPARNRNIAFARDDQIDNRFLVNNSNEENEPHVPPLRFCRDIKQDIMDDNRDSGGRSPGKAPVAEDEGSTPPIPEKVQVGGSPLYRVDRKLGKGGFGQVFVGRRVGAGAGALEVALKFEHKSSKGCNYGPPSEWLVYNVLGGSHGVPRVHYKGRQGDFYVMVMDILGPSLWDVWNNKTHTMSAEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGCPGTHEEKKLFLVDLGLASRWRDNSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPENMCILCPAPFRQFIEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEDDDEHPKKKVRIGMPATQWISVYSARRPMKQRYHYNVTDMRLSQHIEKGYEDGLFISSVACCSNLWALIMDAGTGFTAQVYELSSHFLHKEWIMEQWEKNYYISAIAGSNSGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKWKEGFFVTAMATSGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATYDQAAFVLSIPRKKLADETQETLRTSDFPSTHVKEKWSKNLYIASICYGRTV >CAK8579508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705043369:705044336:1 gene:gene-LATHSAT_LOCUS31452 transcript:rna-LATHSAT_LOCUS31452 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMENANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNAVFKDTRHLPITALVRAIYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMRHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8579509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705043414:705044336:1 gene:gene-LATHSAT_LOCUS31452 transcript:rna-LATHSAT_LOCUS31452-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMENANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNAVFKDTRHLPITALVRAIYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMRHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8579510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705043563:705044336:1 gene:gene-LATHSAT_LOCUS31452 transcript:rna-LATHSAT_LOCUS31452-3 gene_biotype:protein_coding transcript_biotype:protein_coding MENANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNAVFKDTRHLPITALVRAIYYRLGSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMRHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSNR >CAK8544797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706078057:706079151:1 gene:gene-LATHSAT_LOCUS13447 transcript:rna-LATHSAT_LOCUS13447 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRGRPRLVPPSTSNPTASISDQQATEKETIVDDEGRNDSVKNGSLAEEDVENVTDTENLGHQSPEERMEVKASQTKKLWVDIINENRNPAKGLTMEFVAPKIIDGEMKIQIEEEDVEKEVKFWESTLIMYALGVDLSMNAVKQFMSKSWNFVKLPDMFYNEEGFFILRFHSFQDKDLVLMKGPYLTRNRPMMLREWKPDFSMNKDMLRTVPLWVKLPQLPLHLWGAQSLSKIGSALGTPLVTDECTTNKLRVSYARILVEIDITQELKTHILIRDEKGARLKQPIEYEWKPFYCQRCHKVGRNCDKPSKPAKEWKVKVIEQTQKERVQANGNSSGTTAIGDTSKDIQQNDEVWTTII >CAK8535680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874244612:874245603:-1 gene:gene-LATHSAT_LOCUS5112 transcript:rna-LATHSAT_LOCUS5112 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLQLHLPGFAVGRVKSTTRPLRARRVKTLATLSQPQSDGVNWVEATSSFFEQDKRPIMLFDGVCNLCNGGVKFVRDNDRNKTIRYEALQSEAGKMLLRRSGRAPHDISSVVLVENNRSYIKSEAVLKIMEYIDLPFPQLAFLLHFMPLFVRDFVYDNVANNRYTVFGRSESCEI >CAK8563337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593734773:593735264:1 gene:gene-LATHSAT_LOCUS16761 transcript:rna-LATHSAT_LOCUS16761 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNIRRLNKVGKICEIRSHLQELKPAIIILIETRVKEAKAKVVREKLMIYHKHIDNYKDHTNGRIWIHWDSNMVDVRFSQSSSQYIHRGVYDSIGGLKYWLTVIYAHNQINKRRILWKEMEHLSANIQGPWCAVGDYNNVTKAQDRIGGNLVTEKEYEDL >CAK8534644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751841105:751844411:1 gene:gene-LATHSAT_LOCUS4172 transcript:rna-LATHSAT_LOCUS4172 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTLPPGFRFHPTDEELVAYYLERKITGRIIELDIIAEVDLYKCEPWDLPEKSFLPSKDMEWYFYSPRDRKYPNGSRTNRATRGGYWKATGKDRAVNSQKRQVGMKKTLVYYKGRAPHGIRTNWVMHEYRLNESHPGASLSSLKDSYSLCRIFKKTIQISTKTNEEEQGEDTKKEMMVQGEDSSGTEISREVMETMDEKVINNNEYPKFPCDASSSDVTQGTCTPTDTCNNNNITDDFHAQFASDEANSSTIGLSYPSNLFQDIEIPMYGSFHNQFPQTPFMMEDFPQMETKSILKTDMNENCFMYDKYIDCMNGTLEEIISLCSSQDHSVAFPML >CAK8541765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:391304199:391304571:1 gene:gene-LATHSAT_LOCUS10660 transcript:rna-LATHSAT_LOCUS10660 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHLLMLLIIMLVSVSHKPSLIEARTLSLISNQGYSKIFATLGVVCKCCDEIGGACTSTWTESCKNLKCLPWKSH >CAK8576129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:410971789:410972004:-1 gene:gene-LATHSAT_LOCUS28343 transcript:rna-LATHSAT_LOCUS28343 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIMKRQTTLTQLPPRRGLVKIRVVKSLIRSMTAFAYGDGGRNNGIDDGGDSASRTPPIPNGYNSDENN >CAK8539611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518251578:518252265:-1 gene:gene-LATHSAT_LOCUS8692 transcript:rna-LATHSAT_LOCUS8692 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSAMASKKNKINKNLKRVRKLSEMTEKLSKYLLNGVGIVSGTVMAPLVKSQPGKALLRMLPGEVLLASFDVVNKVLDAAEAAEKQTLSATSKAASRMVSNRYGENVGEATEHVFVTAGHAANIAWNVFKIRKAINPASSATKGALKNVVKNTSFKH >CAK8539612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518251578:518252247:-1 gene:gene-LATHSAT_LOCUS8692 transcript:rna-LATHSAT_LOCUS8692-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKNKINKNLKRVRKLSEMTEKLSKYLLNGVGIVSGTVMAPLVKSQPGKALLRMLPGEVLLASFDVVNKVLDAAEAAEKQTLSATSKAASRMVSNRYGENVGEATEHVFVTAGHAANIAWNVFKIRKAINPASSATKGALKNVVKNTSFKH >CAK8532661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:459441943:459448565:-1 gene:gene-LATHSAT_LOCUS2344 transcript:rna-LATHSAT_LOCUS2344 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSTATSLDEVDRTMYASFCTTANSISQLYTHAMNHQKLSFHAGERNALEKLYQWIWKQEEGGSRVGTVDVVNYIQNELDYCGEEPSMSPRAPPQHQQPQPMMPVTSAAGFPVTSGSSGQGFRSDPCENQPVFSNALSSPVRRNLQLYQIGEGGVSAGNENQSRESNAASSNNDSAMDMYAD >CAK8578311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619514289:619515233:1 gene:gene-LATHSAT_LOCUS30333 transcript:rna-LATHSAT_LOCUS30333 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMEKNGTFDLVSDDSDHRFRHHNIYKNCFTHSNTFACRRIMNEWKFLAKHLPDSIHVKAYEHRFDLLRAVIVGSPGTPYQDGLFFFDIALPKNYPFKPPKIHYISFGYRLNPNLYPDGTVCVGIPNTSEGKKFKNWSAGSSLLEVLLSIQAIVLNEKPLFNDPAYSISDYSLESKACDYNASVFVFTCHSAICLIRKPPKNFEEFVKQHFRERGHVLLGACKEYANGRVRAGHYGYNSSNNNMAVIEVTESFQGSLQIVYRNMYKKFEECGASLEGFVEELEVEKQVKGKRSKGVKGMLKKAMGKIKQALGW >CAK8563755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629369344:629377831:-1 gene:gene-LATHSAT_LOCUS17132 transcript:rna-LATHSAT_LOCUS17132 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLDSITRKVDVDNRIPLRYYYRIADNLLKQARIYREENNIVDLYIILLRFISLVSETIPYHRDYQVSLANERSAFKRRSLLVLDELESLKPAFKRRLDKLNKPRVQAPLPGKNGFNTASQSPAHSSLEWSAVDKRHDSNMDFKQSVGVGSQSSWKPNNTLSSISMPIDKQFQKLSLSLPPPNKETLSRHSFLGPSGLRGQWLGPSAEIKVQYPSSNDFTHAKDSSAVKYDLDGIKDSDQGPLTSSMDSILSLDDGRWSHPTVESCSPVVTEPWEDSLQLLNIKQPMPPPVLAQVHPERASIPPSKVADPRPGPAKSSHDSGHGPTTYQHLHIPVKMMEDFLRLASANTRNNLETCGVLAGSLKNRVFQITTLIIPKQEATSDSCQTLDEGEIFEVQDGLSLFTLGWIHTHPSQTCFMSSVDLHTHYAYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEPLDGSPIYEHCSHVYMNANMKFDVIDLREK >CAK8568669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:630152818:630163762:-1 gene:gene-LATHSAT_LOCUS21607 transcript:rna-LATHSAT_LOCUS21607 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSARHPFGIRSHSQSLIRRFDSSISRFPSHVDLSRITLYSSSEGLVHRVCARPDIDDFYWEKVPTPILDTIESPLCLKNLSLRELKQLAAEIRIDLSSVLSDTKISPNSSMAAAELTVAMHHVFHAPVDKILWDVGNQTYAHKMLTGRRSLLRTIKQKNGLSGFTSRFESEYDSFGAGHGCNSISAGLGMAVARDIKGRRERIVAVISNWTTMSGQMYEAMGNAGYLDSNMVVILNDSRHSLLPKIEEGSKTSVNALSSTLSRLQSNKSFRRFREAAKGVTKRIGRGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIEDLICVFQEVASLDSMGPVLVHVVTDENEVEEHNKKINVTDKQQNEYVSSDLFYNAGQPQTYGDCFVESLVAEAEKDKDIVVVHAGITSEPSLELFREKFPDRIFNLGVAEQHAVTFASGLSCGGLKPFCIIPSSFLQRAYDQVVHDVDQQKIPVRFVITNAGLVGLDGPIHCGAFDITFMSCLPNMIVMAPSDEVELVNMVATATRINDRPVCFRYPRGALVQKGHTIDDGIPIEIGKGRILVEGRDVVLLGYGSMVLNCLNAHSLLANLGVEVTVADARFCKPLDINLLRQLCEHHSFLITVEEGSIGGFGSHVAQFIALDGLLDQGIKWRPIVLPDSYIEHATPNEQLDKAGLMGHHIAATALSLLGRTREALSFMCF >CAK8540333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553056591:553060242:-1 gene:gene-LATHSAT_LOCUS9347 transcript:rna-LATHSAT_LOCUS9347 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASGVTGGGEGSAQLPAPTPMQNSNSPPPFLSKTYDMVDDPSTDAIVSWSATNNSFVVWDPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSITRRKPAHGNNHQPAQQPPGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFFAQFVQQQNDSNRRITEVNKKRRLKQEGIAETTERAAPSDGQIIKYQPQMNETAKAMLRKIMKWDTPRVESFNKNPDNYLIGDGTSPSNAMDSSSSSNWNSGVTLQEVPPSSVQSSHIPAATGAQGHMPSADVTQAAASENVMLDGAHAAPTIPNSQADVIMPDIPSIPETVPRNILDIPEDNYMAPETDDGFMDPTSLGSFPIDFEALSPGADIDDLLNNPSIWEDLLQTPLPEDLETSVDEISRGNEPQPTENGWENTQHLDQLTEQMGLLSSEVKRI >CAK8566272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399048342:399049002:-1 gene:gene-LATHSAT_LOCUS19415 transcript:rna-LATHSAT_LOCUS19415 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLAMPVAPSLSIISKGLGHFSNRVSLPVLNTPQQKVQRLIIQCVRVGGVEIPNNKRIEFSLQYIHGVGRNRARQILNDIKMDNKVTKELSEEELIILRGEVSKYMTEGDLRRFTALNIKRLKEIQCYRGIRHIRGLPCRGQHTKNNCRTLKGKKVTVAGKKKK >CAK8577700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583965034:583965510:-1 gene:gene-LATHSAT_LOCUS29782 transcript:rna-LATHSAT_LOCUS29782 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGNRRSNVYDPFSLDVWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDKVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKMDQVKASMENGVLTVTVPKDEIKKPDVKSIEISG >CAK8543267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:593912811:593914355:-1 gene:gene-LATHSAT_LOCUS12036 transcript:rna-LATHSAT_LOCUS12036 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGVLLPYLLPILLFIIPIIPFFLTRPNKKVSSTNNNITIPKSYPLIGCYLSYRKNLHRRIQWFSDIVQISPSATFQFDGTLGKRQIITGNPSTVQHILKNQFSNYQKGTSFTKPLSDFLGTGIFNTNGQNWKFQRQVASHEFNTKSIRNFVEHIVDTELTNRLIPILNSSTQTNKILDFEDILQRFTFDNICNIAFGYDPEYLRPSTNISKFAQAYEDATEISSKRFRLPLPIIWKIKKYFNIGSEKRLKEAVAEVRGFAKKLVREKKRELEEKSSLETEDMLSRFLSSGHSDEDFVADIVISFILAGKDTTSAALTWFFWLLWKNPRVEEEIVKEVNAKSESLVYDEVKEMVYTHAALSESMRLYPPVPMDSKEAINDDVLPDGRVVKKGTIVTYHVYAMGRMKSLWGDDWAEFRPERWLEKDEVNGKWVFVGRDSYSYPVFQAGPRICLGKEMAFMQMKRIVAGIVGKFKVVPEAHLAEEPGFISFLSAKMEGGFLVTIQKRDALEHSH >CAK8531814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:155804652:155806018:-1 gene:gene-LATHSAT_LOCUS1579 transcript:rna-LATHSAT_LOCUS1579 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRWIYPSLAVDDSEYLFTIFNNIDGGELIPLWEGDVDAGDLDGVFDQWCGKVVGLLKGDGDVVVGDLENGDVEYGVLSSDEEESDEEEAESAIVDLEDIAGKAPSRMSVATVKETNGNLNGKKEMVTPVIRENLVKQGYNIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVGLNLGIF >CAK8543812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641220791:641221318:1 gene:gene-LATHSAT_LOCUS12544 transcript:rna-LATHSAT_LOCUS12544 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYSDLSGPILCPVLGSIIPLFIPNSRIRPIRLIGLCASLITFLYSPVPRIQFDPSTAKSQFVESLRWLPYENINFNLGIDGLSFFFVILTTFLIPICILVGWSGMRSYGKEYITASLIREFLMIAVFRMLDPLLFYVLPESVLIPMLCGAEHLLFAGIKLFLCRGLVQ >CAK8578466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630864897:630867370:1 gene:gene-LATHSAT_LOCUS30475 transcript:rna-LATHSAT_LOCUS30475 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSQWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGIPGNRYYGGNEFIDEIENLCRSRALQAFHLDPQAWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSATGYIDYDRLEEKALDFRPRLIICGGSAYPRDWEYNRLRDVADKCGALLLCDMAHFSGLVAAQEVNNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQADNAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYAKQVKANAVAIGNYLMSKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRVGTPAMTSRGLVEKDFEQIGEFLHRAVTLTLEIQKEHGKLLKDFNKGLVDNKAIEELKADVEKFSSSFGMPGFLVSEMKYKD >CAK8538739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494716438:494718105:-1 gene:gene-LATHSAT_LOCUS7908 transcript:rna-LATHSAT_LOCUS7908 gene_biotype:protein_coding transcript_biotype:protein_coding MANENHDLFYHDDDELNHSNFSFSQNFQGFDPSSTHTTSFTDYLHGSMDYSTLSKAFDLSCSSSEVVSSINTKKSSAGDSESSSSNEAEAVIQHDSTKSDEKDKHPKLGSEDGDENSKKENKVKKKEKKPKEARFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCIVKKRVERSYQDPSIVMTTYEGQHNHHCPATLRGNAASNSIGLEQRIHQDFLAQFLPSYSQTSNHQMFHQQQQQQHQQQFQLHHDNGLLQDLLPSSFPGKQEQ >CAK8568179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580088312:580088524:1 gene:gene-LATHSAT_LOCUS21168 transcript:rna-LATHSAT_LOCUS21168 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETLKYGSKGRILLPYSDRGEQAIRQGDSEIGESWFDQAAEYWKQAIALTPGNYIEAQNWLKITGRFE >CAK8541276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:101931822:101934856:-1 gene:gene-LATHSAT_LOCUS10208 transcript:rna-LATHSAT_LOCUS10208 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVACYGDGSSDSDSEPSPSNPSLAYSEGRAPLSPRATDIREPFSEVCVQPLPPPPIALLHPPNFLGPQDMPIHQTNKVRSFPHVDGNYALHVYIPINISSPSKKELAAFLKKISYQEPGLHVVDVDVPLNILCKNDEKLEQVALGREFHISLGRTVPIRVHQIDSVVSMLRQKLQTQQHYWIDFHNWEVFVNDDRTRTFLSVEVVHSGIVEIRKQIEAVNAIYRLHNLPEFYENPRPHISLAWSLGDTADSLKKVVGEEMKKCATGKSLKKRVFTCKFKGIECKIGNKTYTICKVSDR >CAK8564426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673760733:673762990:-1 gene:gene-LATHSAT_LOCUS17732 transcript:rna-LATHSAT_LOCUS17732 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENSNSNSNGQISFPAAVDVIPPHNSDDADEAFVPPLNFAMVDNGIFRSGFPDSANFGFMKSLRLRSVICLCPEPYPETSAEFLKANGIRLYQFGIDGCKEPFVNIPNDKIREALKVVLDVRNHPVLIHCKRGKHRTGCLVGCIRRLQRWCLSSIFDEYQRFAAAKARVSDQRFIELFDISSLKHNPLSFSCSKK >CAK8570814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:119070612:119072454:1 gene:gene-LATHSAT_LOCUS23533 transcript:rna-LATHSAT_LOCUS23533 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNCILLFLVTLIFLKLLHSLLTKQHNLPPGPHGLPIIGNLHQLGQKPHQTLTNLSKTHGPIMTLKLGQITTIVMSSPQTAKSVLQINDQYLSNRTIPDAMKGANHHNYSLPFIPLCQRWKDLRKTCNNLLFSNKNLDSNQTLRHKKLLELSNDINFSAEKLEAVNIGRLAFKTTINMLSNTVYSVDLVRSSDKAGEFKELVTNIMKEVGRPNIADCFPVLKIFDPVGIRRRTGEYFGKLLNNFRGLVDERLKMRELKGYCGKNDMLDTMLDDENNNGEMYEDKIERLSVDLFVAGTDTVTSTIEWAMAELLRNPNVMSKAKSELNQIIGKGNLVKESDIAKLPYLQAIVKETFRLHPAVPLLLPRKAEIDLEINGYNVPKGAQVLVNVWAIGRDPTLWDNPNEFLPERFLGSDIDFKGRNFELTPFGGGRRICPGLPLAIRVLFLMLGLFINCFDWKLDGGIKPEDMNMDDKFGLTLEKAQPLLAVPIKVSNT >CAK8566557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:435632955:435634173:1 gene:gene-LATHSAT_LOCUS19679 transcript:rna-LATHSAT_LOCUS19679 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTIQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVQRWLRELRDHADSNIVIMLAGNKSDLNHLRAVSSEDAQNLAEKETLSFLETSALEALNVEKAFQTILFDIYQILSKKALAAQESATTTSLPHGTTINVSNMSGSVEKKSCCSN >CAK8576137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:413722590:413725031:1 gene:gene-LATHSAT_LOCUS28349 transcript:rna-LATHSAT_LOCUS28349 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNQNGVAEFPAVQTHGGQFVQYNVFGNLFEVTAKYRPPIMPIGRGAYGIVCSLLNTETNELVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGLRDVIPPPLRREFNDVYITTELMDTDLHQIIRSNQNLSDEHCQYFLYQILRGLRYIHSANIIHRDLKPSNLLLNANCDLKIIDFGLARPTMENDFMTEYVVTRWYRAPELLLNSSDYTSAIDVWSVGCIFMELMNKKPLFPGKDHVHQMRLLTELLGTPTDADVGLVRNEDARRYIRQLPQYPRQPLNRVFPHVHPLAIDLIDKMLTIDPTRRITVEEALAHPYLEKLHDVADEPICMEPFSFEFEQQHLDEEQIKEMIYREALALNPEYA >CAK8542111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474486897:474488957:-1 gene:gene-LATHSAT_LOCUS10981 transcript:rna-LATHSAT_LOCUS10981 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGILSASHDGSLRLWAVSGEVLMEMVGHTAIVYSVDSHASGLIVSGSENRFSKIWKDGVCVQSIEHPGCVWDTKFMENGDIVTACSDGVVRIWTINQDYFADQLELDLYTSQLSQYKSSRKRVGGLKLEELPGLDALKIPGTSDGQTKVVKEGDNGVAYAWNMAEQKWDKIGEVVDGPEGSSRPLFDGAQYDYVFDVDIGDGIPIRKLPYNRSDNAYDVADKWLLKEGLPLSFREQVVQFILQNSGQKDITFDASFRDPYTGSNAYKSTPQNFEKLSEQLHYLISIMTISKDRVVPSPLPDSWKLNEIFATGIVLGGYLALMTVIFFWAIKENQFFPDKFGVRHLNHDEMMSALYLQVSIVSQALIFVTRSRGWSFLERPGALLVIAFLITQLIATLIAVYANWGFAKVQGIGWGWAGVIWLYSIVFYIPLDVMKFAIRYILSGKAWKNLLDNKTAFPTSHIKDCQTFAPSSFAKPDMLNYQYPFLKASPFVEPMKTQNKWFDDNQFVNVDSSAQWSPVSVDAQESCSNAVLLGFGIVEQCTKQDTVSNLLKSGTAESRTDGVNISLLLDLTKLQLSAINEPQQPFSSDSSSLLYLNDKFNIKKPLLYFLQDSALTSKVTVHLDGQITFMGAEIQMKDLLSVVAESYLSKSLHKGERKFVMYPSHVHKLQQKTNTTRAKLCKI >CAK8579430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:698933594:698943504:1 gene:gene-LATHSAT_LOCUS31378 transcript:rna-LATHSAT_LOCUS31378 gene_biotype:protein_coding transcript_biotype:protein_coding MAELCWPSDTELNEMREKVSEMANVNKEKVRVVVSPYRICPLGAHIDHQGGTVLAMTIDKGILLGFTPSGSDEFVIRSGQFQGEVKFRVGDIQQPRQTPKTTHDNSAENSSEPREQCNWGRYARGAVYALQSRGHNISKGIIGYIRGSEGLDSSGLSSSAAVGIAYLLALEHANDLEISPTENIEYDRLIENEYLGLKNGIMDQSAILLSFHGCLMCMNCKTKEYKLIHRPKVQDYNEGEQPKATKMLLALSGLKQALTTNPGYNKRVAECKEAARILLEASGDYKAEPILSNVAPEVYEAHKCKLEPDLAKRAEHYFSENMRVMKGIEAWETGSLEDFGILIAASGRSSIQNYECGSEPLIQLYEILLRTPGVMGARFSGAGFRGCCIALVEEALATKAASFVRTEYLKVQPELASRISTDTAVLVCGSGDCARVI >CAK8535516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856968861:856992586:-1 gene:gene-LATHSAT_LOCUS4971 transcript:rna-LATHSAT_LOCUS4971 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVESKEWYLTAYCPEGVPTSDHLKLRTVSLSLDSDSIPDNHLVVETLLLSVDPYLRGRITGSLEGLFISQYQLNQAITAFAVVRVIRSKVSRYSEGDILLCPSSPVAEYAIVPSSQIARKIDTENGISLSDYLGALGVPGFAAWVGIEVLGDPKAGSNVFISAASGAVGMNAGQLAKIRGCRVIGSTGSDDKVKLIKEEFGYDDGFNYNTETDYDAALSKYFPDGIDVYLDNVGGKMLEAVLNHVNKHARIPLCGMISQYNTVWTEREGVRNLLNMVGKEVRMEGFMLESYWHRFGDFAKDMERYIQEGKVKSKSKINIGIESFLESFNSLFSSSNIGKVVVQVKA >CAK8577504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569532107:569532661:1 gene:gene-LATHSAT_LOCUS29606 transcript:rna-LATHSAT_LOCUS29606 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSFLDFQYNISKRKYLRKPARMFSRDRQNSGLKNIFQPSVEEMKMVFDKFDSNKDGKISQQEYKATLKSLDMGKSVNDVPKIFRVVDLDRDGFINFEEFMEAQKKGGGVRSLDIQSAFQIFDKNGDGKISAEEVKEMLWKLEERCSLDDCKRMVRAVDTDGDGMVDMNEFVSMMTQSMRHV >CAK8544452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685926345:685927529:1 gene:gene-LATHSAT_LOCUS13128 transcript:rna-LATHSAT_LOCUS13128 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADKCNVLVNIEQQSPDIAQGVHGHFSKSPEEVGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNENGAMVPVRVHTVLISTQHDETVSNDQIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVVRQAAKSIVANGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKESFDFRPGMITINLDLKRGGNSRFLKTAAYGHFGRDDPDFTWEIVKPLKWDKPQA >CAK8532949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554408416:554424627:1 gene:gene-LATHSAT_LOCUS2607 transcript:rna-LATHSAT_LOCUS2607 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRTAAKPSAPTDTEPESPYNLEVISIGSLYNGPWGKKYWSSSRGKDRYPYPVGYQVVRAHNGTTINMEILEGVNGPKFLISSDDGNSVSGKTPHFAWVEFQKKCRTRMKILHRNRLSSKMDALELFGFKNQIILRLLRRLVADVHGIAERSPVSQNGLPLNHNDLSGNKIGRVVPLERQTGFLYSASCKTTEITENLSTEKPLHKSHDQEMKMSSLLVTADDDKVMQSRSRESQGCIGIDLCAPDTQDLQENIPDYVPSNLDKNSDSEPTCEAISEDLLNSKHEEVLKSDSNISLEKDYFNSAGKDVANSMMSLLLPQAVPLLMNNSTDEKFTLIPSDILPSKEEQNEVGCVLDVPSSDKMVTEDAYEEQNGKIHKPDAHPHSNSSKTDHMKSIVLDSFEYSQCEDLKNSEVLSFDITEVGRSSFSKEMCCVKSQEQLYGDLPKGPSTCSASEVDFKNRPHDYDLCIPDSVLDDMSPPDVRVSDSVLDDMSSPNVCVPDSDLDDMSPPDVYVPDSVLDDMSPPNVCIPDSDPDESPAHVIFKSVQSDLPVAQDFTGGISNAFSLVKSKICHLKSMETIAERTQDEAVGKIGNAKTLAISSSQSPNFVYTRRKRQNSVTLQRNCSAVESTECDKTELVTPQMHTACDSVPPSKTIQTKNDKLCNPDDYAGLILEKPEVHSDVPDVQNNLAKLNLSSQNPNPFSRENKCSGGKEAQFISEPMVQRNQELKNNLNGKVKFVGRYMHPMPVSSLLLKTVEDEIHICVLCGLLTDQHRTLFTYKVAIKEPNFGCPSVMAHTSIMLPDPYNFMRETIVERTGVELTPDGQFIVLIGSIKTPNCRERQIDCCCSTCTSFSSKNGLKIVHVESGYASVVATLEAVEDLHCILVCEPNRLVSVGGSGSIQVWVMNSTWSEKIEHFIIPSVGSMSPGIVELKRVPKCAHLVVGRNVYGDFSLWDITKLNCVSSFSASKYPINEFFPISLFHLQTKGLGLSYASTEEKAEKLLEASNFWHSKQRETCVYLPTKDIAMWLLVSTPSDFDCCQDHVSTSIHHDVHTARSWRLALLMENSIVFGSPLDSRTTAVGVSGGYGIISTSDGVIYMWDLSRGSKLDTLHHFQDDSITSIVTDDCNSNSTSVMGVAGGGGQLLLYLHLRDRDSNE >CAK8532948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554408416:554424627:1 gene:gene-LATHSAT_LOCUS2607 transcript:rna-LATHSAT_LOCUS2607-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRTAAKPSAPTDTEPESPYNLEVISIGSLYNGPWGKKYWSSSRGKDRYPYPVGYQVVRAHNGTTINMEILEGVNGPKFLISSDDGNSVSGKTPHFAWVEFQKKCRTRMKILHRNRLSSKMDALELFGFKNQIILRLLRRLVADVHGIAERSPVSQNGLPLNHNDLSGNKIGRVVPLERQTGFLYSASCKTTEITENLSTEKPLHKSHDQEMKMSSLLVTADDDKVMQSRSRESQGCIGIDLCAPDTQDLQENIPDYVPSNLDKNSDSEPTCEAISEDLLNSKHEEVLKSDSNISLEKDYFNSAGKDVANSMMSLLLPQAVPLLMNNSTDEKFTLIPSDILPSKEEQNEVGCVLDVPSSDKMVTEDAYEEQNGKIHKPDAHPHSNSSKTDHMKSIVLDSFEYSQCEDLKNSEVLSFDITEVGRSSFSKEMCCVKSQEQLYGDLPKGPSTCSASEVDFKNRPHDYDLCIPDSVLDDMSPPDVRVSDSVLDDMSSPNVCVPDSDLDDMSPPDVYVPDSVLDDMSPPNVCIPDSDPDESPAHVIFKSVQSDLPVAQDFTGERTQDEAVGKIGNAKTLAISSSQSPNFVYTRRKRQNSVTLQRNCSAVESTECDKTELVTPQMHTACDSVPPSKTIQTKNDKLCNPDDYAGLILEKPEVHSDVPDVQNNLAKLNLSSQNPNPFSRENKCSGGKEAQFISEPMVQRNQELKNNLNGKVKFVGRYMHPMPVSSLLLKTVEDEIHICVLCGLLTDQHRTLFTYKVAIKEPNFGCPSVMAHTSIMLPDPYNFMRETIVERTGVELTPDGQFIVLIGSIKTPNCRERQIDCCCSTCTSFSSKNGLKIVHVESGYASVVATLEAVEDLHCILVCEPNRLVSVGGSGSIQVWVMNSTWSEKIEHFIIPSVGSMSPGIVELKRVPKCAHLVVGRNVYGDFSLWDITKLNCVSSFSASKYPINEFFPISLFHLQTKGLGLSYASTEEKAEKLLEASNFWHSKQRETCVYLPTKDIAMWLLVSTPSDFDCCQDHVSTSIHHDVHTARSWRLALLMENSIVFGSPLDSRTTAVGVSGGYGIISTSDGVIYMWDLSRGSKLDTLHHFQDDSITSIVTDDCNSNSTSVMGVAGGGGQLLLYLHLRDRDSNE >CAK8572522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543332404:543334048:-1 gene:gene-LATHSAT_LOCUS25080 transcript:rna-LATHSAT_LOCUS25080 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNWYDWNMSPSESNNDDAHLNLRELSKYPLPLGLKLTLTPEMLPYSEQKTNVVTETSCQHESKKVEKLKAVHFPIYMLMIGHFKIEAKYPADLVAKFYYAKRKLVWEVMRDGLKDKIEIQWRNISAIQAIIEDNSPGILEIELDKVPSFYREIEPKPGKHTVWTLSHDFTHGQASKYRKHYLQFPPGVLDQYYAKLLQCDNRLLELSKRPFPSSHAIYFDSHLDKRTTQLSFCHDDSKHIDQQVQMFGYMPQITDYNNNPTSDEAIHNQMHQEPMMSTSWSQGFHYEYGTSLSMESVPHESCIQAQPSGPGVGGYDFSENEFNSTYMMDSANVAYQNVGQSIEASLVYNTNTSTQFDWSMFT >CAK8579756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721240699:721241241:1 gene:gene-LATHSAT_LOCUS31676 transcript:rna-LATHSAT_LOCUS31676 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRSMRSQFKLIAFVSTMGYLHQGRLSLITEAHKHANVVAVSIYVNLGQFSPNEDLSTYPSDFEGDVQRLLFVPGGVEVVFNPKNLYDYGESGGSDGGVGGGEVVSCVEKSGLGHESWVRVEKLEKGLCGKSMSVFFRGVATIVAKLFNIVEPDVVVFGKNDY >CAK8560411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18127249:18128991:1 gene:gene-LATHSAT_LOCUS14083 transcript:rna-LATHSAT_LOCUS14083 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKSILFLIVGAIALIFLFSHSPQNDPATHHHHCLETHSDFNLTKNIVCLFPEIDVDPTDQYVSVHELTQWKLHHLQTKKFHRSKEEMIIYDKNLDGFVSFTEFENHLPTPPQYTDGDSFAYDMRVLEEEHFNASDTDGDGRLNLPEFHDFLHPADSNNPKLQQWLCREEVWERDTDRDGKVSYIEFVNGLFVSIRSYDEESNGYSHHSDDSKNAYAKVVFSQLDKDRDGYLSAIELLPIIGKVHPSWQYYARKQAEYFGSRAQVGKYGRLNLNEMIENADILYAAIFRGDFY >CAK8560412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18127909:18128991:1 gene:gene-LATHSAT_LOCUS14083 transcript:rna-LATHSAT_LOCUS14083-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEEEHFNASDTDGDGRLNLPEFHDFLHPADSNNPKLQQWLCREEVWERDTDRDGKVSYIEFVNGLFVSIRSYDEESNGYSHHSDDSKNAYAKVVFSQLDKDRDGYLSAIELLPIIGKVHPSWQYYARKQAEYFGSRAQVGKYGRLNLNEMIENADILYAAIFRGDFY >CAK8577135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539268522:539269207:1 gene:gene-LATHSAT_LOCUS29266 transcript:rna-LATHSAT_LOCUS29266 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISKSLEKVIQELSASENDGPVSEYFCHILKEFLSHAEAEVRALAQLYANVGRNAYALALYFREDSACCPFEQVVSTLLNFVRMFIRAHDKNCKQVEYEKKKADKEAAENEKLKLVARNEPKPMMRTTIKSGDGK >CAK8536669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6643252:6644574:-1 gene:gene-LATHSAT_LOCUS6007 transcript:rna-LATHSAT_LOCUS6007 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLKPQPHLLFLLLLLAVTFFSCSVQSLRFDLPSGFSKCISEDIKKNWMTVGNYSIVNSNEGQSLPSDHTIAVTVYMSGATTSHDYAEHVQSGQFSFVAQESGNYLVCFWVDSTRDSGVTLSVDFVWKTGVAAADWSKIAKQTNVDRMAREVKVLHENALSIMEEIIYLRERDEEMLDFNWTTNTRMLWLSFVSFLVCFSVAGLQLWHLKSFFKKNKIL >CAK8560267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12363898:12365910:-1 gene:gene-LATHSAT_LOCUS13955 transcript:rna-LATHSAT_LOCUS13955 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMNAKETLVVLFASFLFLSCGYAQDTIVPAIITFGDSAVDVGNNDYLPTIFKANYPPYGRDFVNKQATGRFCNGKLATDITAETLGFKNFAPAYLSPQATGKNLLTGVNFASAASGYDEKAAILNHALPLSQQLSYFKEYQGKLAQVAGSKKAASIIKGSLYLLSAGSSDFVQNYYVNPWINKVVTVDQYSSYLLDSFNTFIKGLYGLGARKIGVTSLPPLGCLPAARTLFGHHENGCVSRINTDAQGFNKKLNSAASNLQKQHPGLKVVIFDIYKPLYDLVQNPSNFGFAEAGRGCCGTGTIELTSLLCNTKSPGTCSNATQYVFWDSVHPSEAANQVLADSLLVQGISLIT >CAK8574853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11800556:11804722:-1 gene:gene-LATHSAT_LOCUS27153 transcript:rna-LATHSAT_LOCUS27153 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLSINSSLSPPFLSPHSTTLSPSCSYSSNFNPLNSIRFTTFRAQPITPFCKKVRFWNLERFESVKRRKSLKLDATTKMTIDEESLLNVRDEEEGPPDSVLNDHESNSKPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVLVVTTHEGVPKEFYGAQLIGSKSFPFPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWLVLKFLHRAADLTLVPSAAIARDLEEARVTAANKIRLWNKGVDSESFNPRYKSHEMRLRLSNGEPEKPLIVHVGRLGVEKSLDFLKSVMDKLPEARIAFIGDGPYREELEKMFEGMPAVFTGMLSGEELSQTYASGDVFVMPSESETLGQVVLEALSSGIPVVGARAGGVPDIIPEDQEGKIGYLYTPGDLDDCLSKLVPLLHDKELRETMGKAARIEMEKYDWREATRTIRNNNYNTAIWFWRKKKAQLLVPFQWLTKRIFPSEVVIDNEKPTPTEVVIENGKPTV >CAK8563319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592112450:592112947:1 gene:gene-LATHSAT_LOCUS16743 transcript:rna-LATHSAT_LOCUS16743 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNQTPPQPYRPTTTSSSSPAVFLRKLQNNLHAPNSTHLAGILTLLVTGSIFLLLTSLTVAGTVLSLIFFSPLIIVSSPIWVPAGTLFFLFTAGLLSMCGFGVVAVVASSWLYRYFRGLHPPGSDRVDYARHRIYDTATHVKDCAKEYGGYLQSKVKDAAPGA >CAK8532169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214448536:214452472:-1 gene:gene-LATHSAT_LOCUS1900 transcript:rna-LATHSAT_LOCUS1900 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVDEEVAFTKSLGRGPIFYYGIGHMLNDITAACWFTYLLLFLTDIGFSPRNAAIVMLSGQVADAFATVFVGELIDRFGRFKIWHGAGSLLVAISFSSVFGRCLPCTILTSDSNAFETVSYSMFAAIFNLGWAATQVSHMSMVSCITLNATSRVALASCRNAFTMVANLSLYAIALIVFTVVNGKTYANVENQYRWIAYVSIFIGCCFVGIFHLATKEPSLQMDIYGKTRGRISWAYWFKRVLYYQVAIIYVLTRLVLNVSQAYLAFYVINDLQMAQSAKALVPAIIYISSFIVSIALQEIAWTGKLLKTYFSAGCILWILCGTVIMLLTKHTSYAMYIISVFIGIANALMMVTGVSMQNFLIGENLNGCAFVIGSLSFLDKMSCGIALYILQSYQNVSPQFLVIHQYPPSITRLGLGLVPSVCALLGVIVTCTMDFHHPSNSLTAPLLT >CAK8566639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444649686:444650138:-1 gene:gene-LATHSAT_LOCUS19756 transcript:rna-LATHSAT_LOCUS19756 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEMLDISLCDLSTKSLEVVGQYCPLLTVLVLVRSGRESIYDVYDDEAFVIAKTMFGLRQLYIIGNELSNAGLIAILDGCPHLESLDIRRCYNLRMDEISKKCFDHIKNLQLPEPRVFNFDDFDDYFYVDHVTYRDSIIDEDCYDPYD >CAK8565373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156440513:156440860:-1 gene:gene-LATHSAT_LOCUS18593 transcript:rna-LATHSAT_LOCUS18593 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISQKRISPPLMLPRSAYRGIEREDRGPEQVGLGYRAVSAVGGLQRTCSYGSKKGMINSLLGTFTPLYSKYAFLGALRSAAQMVSYEVSIGLILIVRLVSAFGSAKAIARMFP >CAK8571702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463702301:463707552:-1 gene:gene-LATHSAT_LOCUS24340 transcript:rna-LATHSAT_LOCUS24340 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLCTSSNTTTSSTFNFNIQPLKFNNSTRKHRSRNFRVKMCSSVPQNATVVGCGSLTVDFLATVAAYPKPDDKIRTTTLKVQGGGNAANALTCLARLGLNTRLISKVADDSQGRGMLDELQVDGVDTSFIVVSKEGISPFTYIIVDNETKTRTCIHTPGYPPMIPQDLSESSLLSVLDGASIVYFDGRLYETALVVAREAARKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALISILLRLPNVKFVAVTLGKDGCIMLERSVNVSLSTEEVDIDSLLESLELRKDKSAPVPTCISSSVAKLKAEGIGTVTGKLYIGTAESIPPSELIDTTGAGDAFIGAVIYAICSKFSPETMLPFAANVAGVKCRDLGARSGLPYRADPRLASFIK >CAK8535587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864995568:864996098:-1 gene:gene-LATHSAT_LOCUS5031 transcript:rna-LATHSAT_LOCUS5031 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKRKSTGRKKIEIKKLDKESNKQVTFSKRRQGLFKKASELCILCDVHASIIVFSGAHKLFSFGHPDTDTIINSYVNGSLEFEGLKSEESCSIYEKYNKQYEQVLNVLEMEKKELVEVKKLARVSKIGAWWNEDIDTMSMDELQQFMVSVYEFRGKLFERDHQRMVEFAMLENF >CAK8565155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52084754:52086406:1 gene:gene-LATHSAT_LOCUS18390 transcript:rna-LATHSAT_LOCUS18390 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSQIVSEDVAHPLEQEQEVQQIQGEGLSSLPPSALIEVSKRKPSRKPSTVWKDFKRVNDKAICKYCGKQYAANSGSHGTTNMHKHLKVCLKNPNRVVDKKQKTIAIGKESEDDPNSVSLKLVDFNQERTRLTLAKMIIIDELPFKYVENEGFNMFMKEAQPRFKIPSRVTVARDCLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNYMCVTAHYIDDEWNLKKSILSFGIIADHKGETIGITLENCMKEWGIKSICCVTVDNASANNLAIDYLNRGMSFWIGRTLFNGEYLHMRCSAHILNLIVKEGIKDIDESVKRIRVACKFVKASPSRLATFKKCAEAVGVCSKAMVTLDVETRWNSTYLMLNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWERARIFVKFLKTFYDATLSFSGSLHVTANSFFKQLMDIKKTLNKWRHNVSDPILKTMTANMQLKYNKYWESNTINYLLFVAIYLDPRYKLDYIEFCFTRMYGEKLSEDMCRYCENRRRLNRRVATVRYLSHGRERKRSE >CAK8537686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421043969:421047516:-1 gene:gene-LATHSAT_LOCUS6952 transcript:rna-LATHSAT_LOCUS6952 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSKPISHHRGLIVGNYCHDILLHNNLVIAETLGGAASFISTVLDALSLPFHLVAKVGTDFSHAALCKTHPPLVVPSAQTTLFHAHFGSNHPDRILNRVKSCDPITPSDLPTGSRFQFGMAVGVGGEILPETLERMLDICDMVFVDIQGLIRRFEELDGRVMHVGLKDSGFFHLLPRVSFLKASEDEASCIDLEEVRQWCCVVVTHGKDGCEVFYKDGCFRVDPFEACQVDPTGAGDCFLGGFAAGIVQGMDVYDAALLGNFFGSLGVAQVGPPELDFALVQMVKEEMRKRKVHDSPDSEKTDEWLVFQKPRDQNQFYASLVNAKNIIMCHIQENGQNLLSSTKGMEQSNVKTRVVLNSVKQEPISSVVDSEP >CAK8575942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369075249:369080195:1 gene:gene-LATHSAT_LOCUS28167 transcript:rna-LATHSAT_LOCUS28167 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNVEKKSLGEQNRMVIEGKSVDNREVNEGIGSESSTGNDTRFSKVTKDGNDGLKGSTQIHERFQVSPQPLPRAPVTYWERFLPVTSIKVLLVDDDDSTRNVVCALLRNCGYEVTAVSNGLQAWKVLEDPGKRIDLVLTEVAMPFVSGIGLLCKIMSHIALKNIPVIMMSSHDSMGIVFKCLSKGAADFLVKPIRRNELKNLWQHVWRRCHSSSDSGSESGTNTRKFAKSRSICAYDNNSGSSDENDYGSRGLSVRDGSDKGSGTQSSWTTNLAQVSSSPRTVSPHKQSHDTPDSTCALVVVTKSENISSRWVQATEKECHKPIDHPDDIVMGKDLAMGISLNMQEKHPHEELSNNPMCKGVNKMSDIDGMQLNKGHSSVCEKVQPDEDSNKTRMQENQATNVGVTDSSSPQAESRDLNTSNGFSGFAKIKTSSCFKQHPSLELTLKRMGEVKDAEHVTGDECNVLRHSDLSAFSKYNTASSYQAQTGNVGSCSPLDNSSVAPNTETIQNFTSHSNATLPNQQSNGSNNINDLASTNTYVSTKPENFDKKLESSRGIGSFISSELQIVQNNCVSTSQKKTSTQEECAGSVEGQVGGFEQGFQVEHAQHQLQHCNRIVHKEAVDLRSVHDLLVESTSKDDPQCMSNARGEPAESNGITTKYGLDGSATESDHGSNGQDGNNTLTIRKINVRNDNVEAGGFGISGIDKVNIGSGSYEQRFALREAALTKFRLKRKERCFEKKVRYQSRKKLADQRPRVRGQFVKQIVYGTNEEIKESEELVSMDDSNNDPKQHQSNC >CAK8541121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68734627:68736711:1 gene:gene-LATHSAT_LOCUS10071 transcript:rna-LATHSAT_LOCUS10071 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEMMITFHMRVLFFFLWMFLSSFGKSLLTCETTSPHESSYHCNENELQNHHCETFALFFTNSHFSSLSNLTLYLGLNRFSIAETNGFSAETEYLPQNHPLLIPIDCKCKKGGYFQAELTKTTIKGESFYDISQSLEGLTTCKAIKDNNLGVSPWNLDAKHKLIIPLKCACPFSSSVIPKPKLLLSYPISQNDTISNLALKFHISKESILIANNFSSSSSEVLKTRSLEPFTSILIPLNGNPFIDPLTKPKQPNSDFPRTNNIPKVSPHKKSKMKKNELFIGLIGVAIGVFFALVVTFFFIWLKKKKVEEKSCKERDMELQHLNQSVRTTSDKKVSFEGSQDTLDSKIIDAVPRKMLLETYTIEDLRKVTEDFSSNFHIEGSVFHGCLNGKNMAIKRVKTEMLSKLELGLFHDSLHQHPNILSLLGISISEESSESESFLVFEYAKNGSLKDWLHGGLAIKNQFIASCYCFLNWKQRLRICLDVAKALDYMHNLMNPSYVHRNVKSRNIFLDEEFGAKLGNFGMASFVGNETEEPQLYSSNYASWSIGYLAPEYVHQGVVSTSIDIFAFGVVLLEVLSGQTPINGSSDRGEGSVWLSDKIKSILKSENNDVNELLREWIDSALGENYSFDAAFKVANIAKACVEEDACLRPNAREIVEKLSTLLEELPNEENQILMSENSCKPLVDNLGSNM >CAK8536012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898197354:898237436:1 gene:gene-LATHSAT_LOCUS5417 transcript:rna-LATHSAT_LOCUS5417 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTSFLLLSLFNLFTTLSSSESVSPILDVSSLNRTSFPKGFLFGTASSSYQYEGGAKEGGRGPSIWDTFTHKFPDKIQDKSNGDVAVDQYHHYKEDINIMRNMNLDAYRFSISWSRILPKGHLNGGINQEGVKYYNNLINELLANGLQPFVTLFHWDLPQTLEDEYGGFLSPLIVKDFKDYAELCFKEFGDRVKHWITLNEPYSYSLAGYAFGFFPPSRCSKWLNPNCTGGDSGKEPYLVSHYQLLAHASAVNLYKKEYQETQKGVIGITLVSNWFIPFSDRKLDQNAAKRAVDFMFGWFMEPLTSGKYPQSMRSLVGKRLPKFSKEQVRLLKGSFDFLGLNYYTSNYATNVPQLKNSKGNYNTDSLTNLTTERNGIPIGPKAASNWLFVYPKGIQELLLYVKKMYNNPLIYITENGVDEFNDPRLSLEEALIDTFRIDYYRRHLYYVKSAIRNGVNIKGYFAWSLLDNFEWSTGYTVRFGINYVDYKNGLKRYQKSSAKWFKNFLKRY >CAK8536013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898230100:898237436:1 gene:gene-LATHSAT_LOCUS5417 transcript:rna-LATHSAT_LOCUS5417-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMNLDAYRFSISWSRILPKGHLNGGINQEGVKYYNNLINELLANGLQPFVTLFHWDLPQTLEDEYGGFLSPLIVKDFKDYAELCFKEFGDRVKHWITLNEPYSYSLAGYAFGFFPPSRCSKWLNPNCTGGDSGKEPYLVSHYQLLAHASAVNLYKKEYQETQKGVIGITLVSNWFIPFSDRKLDQNAAKRAVDFMFGWFMEPLTSGKYPQSMRSLVGKRLPKFSKEQVRLLKGSFDFLGLNYYTSNYATNVPQLKNSKGNYNTDSLTNLTTERNGIPIGPKAASNWLFVYPKGIQELLLYVKKMYNNPLIYITENGVDEFNDPRLSLEEALIDTFRIDYYRRHLYYVKSAIRNGVNIKGYFAWSLLDNFEWSTGYTVRFGINYVDYKNGLKRYQKSSAKWFKNFLKRY >CAK8562625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517249290:517252183:-1 gene:gene-LATHSAT_LOCUS16109 transcript:rna-LATHSAT_LOCUS16109 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNAPPGNRSRRPLSIFAVLALCCTFYLLGAWQRSGSGKGDSLALKVNKMHTDCNIVPNLSFEPRHKYVDIVESSEPKAKKFKACDVKYTDYTPCQEQDRAMTFPRENMIYRERHCPPQEEKLRCLIPAPDGYTSPFPWPKSRDYVYYANVPYKSLTVEKAVQNWVQFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGTVRTALDTGCGVASWGAYLLKRNVIAMSFAPKDNHEAQVQFALERGVPAIIGVLGTIRLPFPSRAFDMAQCSRCLIPWASNDGMQLKEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKEDLKAEQKKIEDLAESLCWEKKYEKGDIAIWRKKINAKSCQRKSPDLCDLENADDVWYKKMEACKTPIPEVTSKTEVAGGELKKFPARLFAVPPRIAKGLVPGVKTESYQEDNKLWKKRVTNYKRINRLIGTTRYRNLMDMNAGLGGFAAALESQKSWVMNVVPTIADNTLGIIYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYQDKCNLEDILLEMDRVLRPEGSVIIRDEVDVLNKVNKIVGGMRWEAKMMDHEDGPLVPEKILVIVKEYWVGTSKNNTSS >CAK8538845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497707418:497712274:-1 gene:gene-LATHSAT_LOCUS8000 transcript:rna-LATHSAT_LOCUS8000 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYRDRTSEFRSLTETLKKTGGGGEGTAPPNQQPSTSQGSYSRSEFNRKASQIGLGIHETSQKIARLAKLAKKSSLFNDPIMEIQELTALIKTDITTLNSAILNLQNIQKFDLADENYSEDRVVHSNAVCNDLKNKLMGATKHLQDVLTTRTENIKAHENRKQIFSKNPLQHQPKPTTEPPPWSNSTNAFETESLQQAPGGLPSNGIPPVGNQLRRRLAVDNTPSQQMEMSLVQQVVPRHEDYAQSRSTALHNVESTITELSGIFSHLATMVAHQGELAIRIDDNMDESLANVEGARSSLLRHLNQISSNRWLMIKIFAILIFFLMIFIFFVA >CAK8574216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670529024:670535382:-1 gene:gene-LATHSAT_LOCUS26578 transcript:rna-LATHSAT_LOCUS26578 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVAKEDNENRGSGVHRRTASTSSSSAPSHSRQHRQKVEVYEEILRRLNDSGNEEALQPGFNDQLWAHFYRLPTRYALDVNVERAEDVLMHKRLLHLAHDPANRPSIEVHLVQLHPSCDGNSADSFQSYAPGVDSGQSSTKYSSRQGVLPPPAFGSSPNLEALALEENDSEDLEEEQFVHASVQYSRPMHEITISTDDKPKLLSQLTALLAEVGLNIQEAHAFSTTDGYSLDVFVVEGWPYEETEKLKATLEKEVLKIERHERSRHQSISSVDECDQARMNNELDHLTIPNDGTDVWEIDPKHLKYGTQIASASYGQLFKGIYCSQEVAIKVLKTEHVSTEMQKEFAQEVYIMRKVRHKNVVQFMGACTKPPGLCIVTEFMSGGSVYDYLHKHKECFKFPTLLKVAIDVSKGMSYLHQHNIIHRDLKSANLLMDENGVVKVADFGVARVKVQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAIGVVQKGIRPAIPKSAHPKFVQILERSWEQDPTLRPDFSEIIELLQQLAKEVGDEGEERRRCNSGRFLSVLKRGLH >CAK8575349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:91670651:91671061:1 gene:gene-LATHSAT_LOCUS27619 transcript:rna-LATHSAT_LOCUS27619 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8578472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631453653:631456311:-1 gene:gene-LATHSAT_LOCUS30481 transcript:rna-LATHSAT_LOCUS30481 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYGLSIPGSDNRFFVPTLTPSVSLRPTTTLPVTRVTFGSTFPRLKATFNDGVVTEKLSFYDLLGIPESGSLTDIKSAYKQLARKYHPDVSPPDRVEEYTKKFIQVHEAYETLSDPSRRIMYDQDMARGVNLAFNARKRYNHSDQGSEQKDEWKSRWQSQLSGLKRRSDSKVAADNMSWAARMRRQKEEM >CAK8578542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636720921:636723035:-1 gene:gene-LATHSAT_LOCUS30544 transcript:rna-LATHSAT_LOCUS30544 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIWLQKLISVVFFCFLTTLAVEETTSHDDQCKESSCDGIHGPSIRFPFSLKGKQPEWCGYDNSWFELYCSDTNQTVLELPFSTKTIIKTINYTSQHLTVSYPELCLNKQIPNFNISSSPFQFNSEFGLSEYALFNCSGRNRRNTDDNNHDIITSGNFSCLNLPGFEVIAVDSESSIIWIPLLSCTRIQNLVMLPNSLFNHNVDVGLNWIQPDCRNCEENGGQCKANRNPSQPEFECRGINKGSSKGKVIAGGILGPFSLIFVGVATYCIYVTYIERKNYIRIKKFLKDYKALKPARYTYADIKRITNHFKEELGQGAFGSVFKGKLSNEVHVAVKVLNTSTGNGQEFINEMEAMCQIHHINVARLVGFCADGNKRALVYEFLPKGSLQKFISSADTKDIFLGWERLQNISVGIAKGIEYLHQGCDKRILHFDIKPHNVLLDDNFTPKISDFGLAKLCSKERSIVSMTTARGTLGYIAPEVFSRNFGNVSYKSDVYSFGMVLLEMVGGRKITDDTEENSSHVHYPQWIYNLLENEEDIKIHIEGEEDARIAKKLSVVGLWCIQWHPANRPSMKAVVQMLEGNGEKLEMPPNPFASASATRTNAARRMNMELDVISEIE >CAK8567638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532964048:532964737:-1 gene:gene-LATHSAT_LOCUS20674 transcript:rna-LATHSAT_LOCUS20674 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQTRVRFLGHEIDQGTIIPIHRSIEFASKFPDIITDKKQLQRFLGSLNYIADYYENLAKDTKILHARLKKNPGPWTEKHSQAVQRIKSKGKMMTPYRGRGRGYGRGGRRSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAINNPPQEQMDYFENPVTEKIMYIDDEDMKINPNDGWSIKTSYLESRGYPGLHGNNYSPLPKQ >CAK8562313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:471138847:471139383:1 gene:gene-LATHSAT_LOCUS15820 transcript:rna-LATHSAT_LOCUS15820 gene_biotype:protein_coding transcript_biotype:protein_coding MARILKPSKWFSNKGLKLRSRSSNSSSSPLCSPKNNKNEDEMGLREVFNYFDTDGDGKISAYELRSYFGSIGEHMSHEEAERVIRYLDADGDNLLDFNDFIKLMKGEEGSCDDKDLRKAFEMFLWEEKEDCITPKGLQRMLQRLGDERSYEECVVMIDAFDIDHNGVLDFNEFHQMMA >CAK8579578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708954262:708958438:-1 gene:gene-LATHSAT_LOCUS31512 transcript:rna-LATHSAT_LOCUS31512 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPILNLNPHTFPSKFKFKLFILNSFSSLSTKSNHHTIQRNPNFNNDNDKQSNAIDRILLRFRNLSHHHDDEPTQTLPPHQFLHREWFRSDDAVFPSENQVHQRQQEKVFKKKKNKNQVITPCLAEEELSRLRTIGMHLKEKISIPKSGLTRPVLHKIHHRWNSNELVKLKFHEFLVQNMNLAHNIVQRRTGGLVIWRSGSVMWVYRGDNYQGPTTNAKHNSKSKSVVFNQQQHDNMTPEEMEFDRMLDGFGTRFVDWWGTGILPVDADLLSPIVPGYTTPLRLLPTGMHPRLTNDEHAKMLKLAKALPCHFALGRNRNLQGLACAILKLWEKSLVAKIAVKLGVQNTNNELMAMELKKLTGGTLLLRNKYYIVMYRGKDFIPANVAAILSERQQLTKQVQDVQKKVRCRAVDVTGEDETNAQAGLLSEFNEAQVFRGREISTVECEKMMKEAPEARNVRLMKKMEHKLAVIHEEADAKKSRAEKLLIKIDASMVPAGPDNRRETTTDEERVMFRMIGLKMKVYLQLGTRGVFDGVVENMHLHWRHRELVKLITREKTLAFVEEMASLLEYKSGGILVAIDRLPKGFSLIYYRGKSYRRPIALRPRNRLTKAKTLQRSTSMQRHEVS >CAK8560734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38917049:38919413:1 gene:gene-LATHSAT_LOCUS14387 transcript:rna-LATHSAT_LOCUS14387 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRLLWFSAGFTTSAAVVSHFIWKDLWVDRHAFSSHITHQFEALQTRISNLESSLPNQNPVSNHDQVEG >CAK8560801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44484787:44492814:1 gene:gene-LATHSAT_LOCUS14448 transcript:rna-LATHSAT_LOCUS14448 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSCSLLNLPSKMRGLTLISSSSTSNSVSLSFSRNISHTNFSQDRLSLSTVQRRGVVVCEAAPQKVDSAIKRARQAEKRRIYNKARKSEIRTRTKRVLETLDLLKKKSDAQREEILSIEKMIGETYSVIDKAVKVGTLHRNTGANRKSRLARRKRAIEIHHGWYTPVPQESA >CAK8570482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49851373:49854324:1 gene:gene-LATHSAT_LOCUS23227 transcript:rna-LATHSAT_LOCUS23227 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHGIDLTGDHGQSSVSGTEYIPQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSIDRLTCFLLEEELQEDATIVLPQGVSNIAIEIKDSEFSWDPSSSSRPTLSEINMKVEKGMRVVVCGTVGSGKSSFLSCILGEIPKISGEVSVCGSAAYVSQSAWIQSGTIEENILFGSLKDKAKYKNVLHACSLRKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADVYLLDDPFSAVDAHTGSELFREYILSALENKTVIFVTHQVEFLPAADLILVLKEGSIIQAGKYDDLLKAGTNFKALVLAHHEAIEAMDIPNHSSEDSEEHLSLEESVMTSKKSICSENDVDSLTKEMQEGPSSSDQKTNKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGSLIPLIIIAQALFQFLQIASNWLMAWANPQTEGDKPKVTPMNLLLVYMALAFGSSLFIFVRAVLVATFGLGAAQKLFLKMLRCVFHAPMYFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFAATTIQLIGIVGVMTEVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIINLFGESIAGASTIRGFGQEKRFMKRNLYLLDCFARPFFYSLAAIEWLCLRMELLSTCVFSFCMLLLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIEDSRPPSLWPENGTIEIVDLKVRYKENLPLVLHGVSCTFPGGKNIGIVGRTGSGKSTLIQALFRLIEPADGSIRIDNINILQIDLHDLRSHLSIIPQDPTLFEGTIRGNLDPLEEHSDKDIWEALDKSQLGEIICEKGQKLDSPVIENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDTATDNLIQKIIRTEFKACTVLTIAHRIPTVIDSDQVLVLNDGRVAEFDTPLRLLEDKSSMFLKLVSEYSSRSSGIPEF >CAK8530196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:5401037:5401617:1 gene:gene-LATHSAT_LOCUS83 transcript:rna-LATHSAT_LOCUS83 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFEIFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMVTPRIIRNEFDVNKRSQFTLSIFSLLGSPSGKKNVHWLAQKELQSAHVHVLINYIEVRPYLEAFNASYFQSTGEQTTTSHIHASFPAWFKDQLSCIVALTQEILHLRNLSRGHVQIAIE >CAK8567495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518655516:518659596:1 gene:gene-LATHSAT_LOCUS20542 transcript:rna-LATHSAT_LOCUS20542 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVGQIIKCRAAVAWEAAKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDHALPVFTGECGDCPHCKSEESNMCDLLRINTDRGVMLNDNQSRFSIKGQPVHHFVGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGVCTRGLGATVNVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVNEFVNPKEHDKPVQQVIAEMTNGGVDRAVECTGNIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNFLNERTLKGTFYGNYKPRTDLPNVVEKYMKGELELEKFITHRIPFSEINKAFDYMLKGESIRCIIKMEE >CAK8561230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124469755:124470180:1 gene:gene-LATHSAT_LOCUS14839 transcript:rna-LATHSAT_LOCUS14839 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNLDQSEGLCNGTRLTVTKLANYVIQAKIILGTNIKNIIYIPRMSLSPSQSPWPFKLIRRQFPIIVSFTMTINKSQGQSLDYVRLYLPKNLFSHGQLYVAISRVKSKAGLKILIHDKDNNSLTQTTNVVFKEVFHNVI >CAK8540452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561799549:561802811:1 gene:gene-LATHSAT_LOCUS9455 transcript:rna-LATHSAT_LOCUS9455 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKVYKTRSSTAIDRGKYIVWTSEMDNCLTDVLMEQVEKGNKVDNILKPAAFAAALKALNEKFGMHMTKGHIKNRLKTWRKQFGVLKELLAHKGFVWNKTQKIVVANDSVWNDYIKEHPDAKIFRAKSIENYDKLCIILGNEQSIARFSDNVTEIDVNFTVDDEELDPVNLSETQTDGNLTKHLRWTEEMDHWLGKILVDQVRKGLKIDNVFQTEAYDKAVSAMNAKFGHHLTKFNIKNRLKTWKKQYEIAKEILCHAGFKWDETKKMIIANDSTWIEYIRTHPDARTYRARVLENYEQFCTIFGHFNEPLNRNDSVPCDEPLEFESVCPVNYDSNLKDIMKHMRWTSDMDSCLSEILVQQIKLGNRSRFDHKLKPAALEAAVLAINEKFKLYMLKDHIKNRLKTWKKQYDILKELLGQSGFEWDENRKMVIADDSVWNEYIKINPDARILKGRVIRNYQELCIIIGHIDPPGMITTRANMGMTTDDNVMEAQETNYHGTDNTTEKGKSVTWTDEMDLCLTELLVKHVMLGNKLEKNFKTSAYIATLAVLNERFDLNLTIENIKSRLRTWKKQYELMKEMLSRGGFEWDERRKMVVATDSTWNEYIKKHRDATHLRGKRIENYNKLGLIVGNEEASGNWSEDTEMFDVNIAPNFIEDAGADVDLTPNFEEHEGVNLTPHFEEDADVNLTPHFEEDADVNLTPHFEEHAETPTLIANEEEMSHDDASDEVQGSSEQTGVRPSSSHSKQPSKRRRTDDVILHMMSLMAADIGRIADALTESNRTLCLEEVVEKVQNISDFDDDLIIEACEYLCFDEKRGLMFLKLDERLRKKWLLKRLRGG >CAK8572368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532476063:532477247:1 gene:gene-LATHSAT_LOCUS24941 transcript:rna-LATHSAT_LOCUS24941 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQNRVVFPKEEYPEILEEYDLEEEEEEEDDYVLEPHEYLTNNETEQSHSTSSAIPTVILALPNAATAVAAESPEPKRQRIEQVEEKKSMEDSRKLFQRLWTDEDEIVILQGFLDYNANRGSAYHNDSASFYDQIKSKLQLDFNKSQLVDKLRRLKKKYRNLLQKFDSGKDFFFKTAHEQATFEISHKIWNIATPIGIPVEDDGEVNPNPNPSPNSGHTTPVKNEPVIEKKRKGSRTATEEKQQLRRLNDNSAMNKDNHNNSTNNNSSNAEDESGDKSNSRHNVPVLIEETVKSCLTPFLKELTSTSNNMGSPFGFGGRGIGGGSFSLNPMPFSFLNFGNGDKVGDERWKKQQILELEVYSKRLELVQDEIKVALEDLRSGAGAGAGGSRKF >CAK8530160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3646914:3650705:1 gene:gene-LATHSAT_LOCUS49 transcript:rna-LATHSAT_LOCUS49 gene_biotype:protein_coding transcript_biotype:protein_coding METHYNHSLFERRPFLKSKAPAVKWVKQWVPQDVVATGGKCMLLKWVTEDAVKALKEKENEPLAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQFVCHYDGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHITSHHEKSTPTPMEVTKYATPQSEKQTRTAKPSGAAYGSASSDRPYACPYDGCEKAYIHVYKLRLHFRRAHPGHIDENTENANNEMDEASDQDAYGGKQSNGKSQKQNRPKPNVKAPPSKVPQRKGSNPTPAPLNMVKKPWPVKEETYDEDSEETEEDRDNVEDGWRYAGNNEDDDEETEYED >CAK8577437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563945082:563947001:1 gene:gene-LATHSAT_LOCUS29545 transcript:rna-LATHSAT_LOCUS29545 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSNLDLSKLRAHPLKPVIH >CAK8570171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27469235:27479196:-1 gene:gene-LATHSAT_LOCUS22947 transcript:rna-LATHSAT_LOCUS22947 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGDEEFRRCNSNGSGIPQCEVERKKNMKESSSGRKRKAEENSQNEVVDEDGGKGLFDGGGGIFGEGEVNGGVDLGIGSGNFNLWQQGGEGQQFVFGEGSGNLGKFLGDGVEFLGGFVEDRNGVGLGQSWSSVGVFENAGGVSGVVKEDHGNRLDGVCRNDSLGFHSQGIEGLIGEEEAGFDNLYDRSFQALLCQGKVCDEDVNLIGGGTGFQGLVGESAYDLRGEVGEGVGNLNQSGGNFGGEKNVGNVLEAPNSSNKMEAIGVEEGIELLSGGGVSTPNEEARGEVLKPLTRRGRRPKGSKNNKKKGVSLALDGEAVCGSDNAGTIGMDTVEVLENEKSMFCGKPDGEGVDIGEIARTRECIQPEDRKCTTKIALAADYEVVRVGEISRPCKHATKSKDPVSKEKNVEEVSSQVAVEIARPKKRGRPKGSTSKKVTYSASSKEGADDIATQGSEEKMPIVPNQKAADEFASPTNKLGPALTTRNKLRSTEFEGYKNPEMSSNVRLEDNDGTPSGLEITTLAPLCEKEKGMPFESAKHVENLTTPPIIKRGRPGRPKGSKNKKKELADKEHIGHGGDIIKLIGMENYEATAVSIGDQELVVQTLGQDEVQNVKRKMGRPKGSKNKKKKIDGEAENKLHKEQKKRGRPKGSGKKQKETGIEKIEKGLVSESGNVHKTERCDNPKSSGKKQKENASQLDAEIECENTSVDRVLSMIMPHKHIHEESILLLEDQVNKKHEEDFVLECPKESGIEKIANGLASESDIVHKTQDVEVGDIFHEKDVKETIDHGLESPDMMGDCETKKEPRNSRCHQCWKKSRTGLVVCSKCKRKKYCYECIAKWYQDKTREEIETACPFCLDYCNCRMCLKKAISTMNGNDEADRDVKLRKLLYLLYKTLPLLQDIQREQRYELEVEASMHGSQLVEEEDIRKAEVDDDDRVYCDNCNTSIVNFHRSCSNSSCQYDLCLTCCTELRIGIHCKDIPASGNEEMVDAPPESIPWRAETNGSIPCPSKARGGCGIAILSLRRLFEANWIDKLTRGVEELTVKYQPPIVDLSLGCSECRSFEEDVAQNSARKAASRETGYDNFLYCPDAVEIGETTFDHFQRHWIRGEPVIVRNVYKKASGLSWDPMVMWRAFMGARKILKEEAVNFKAIDCLDWCEVEINAFQFFKGYLEGRRYRNGWPAMLKLKDWPPSNFFEECLPRHGAEFIAMLPFSDYTHPKSGILNLATKLPAVLKPDLGPKTYIAYGTSDELSRGDSVTKLHCDISDAVNILTHTAEVKTPPWQSRIIKKLRKKYEVEDMRELYSQDKMEVGLPRKRGRKRRVGFSVDTKISEKEDTSGRDSTLQGSQGKEEKFDEQESSEPTKIKFDLNASEQEISKSPRFQQFDLNSHDSSFLVPGNDCESMHYDNVQQRCSSQGDESYKGISRVIDDQPCSGIKETKIVNKLNSSDAFCSDVETNNIDSVEKDSLSNSVCQNDVHLGTQNGSAVWDIFRRHDVPKLSEYLKKHHKEFRHIINLPVNSVIHPIHDQILYLNEKHKKQLKLEYGVEPWTFEQHLGEAVFIPAGCPHQVRNRKSCIKVAMDFVSPENVQECVQLTEEFRLLPKNHRSKEDKLEIKKMALYAADVAVAEANKLMGAK >CAK8531002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:69191721:69199468:-1 gene:gene-LATHSAT_LOCUS824 transcript:rna-LATHSAT_LOCUS824 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHDWINTCLPDELIVEIFRRLDSKPSRDSCSLVCYRWLRLERFSRSSIRIGATGSPDLFVQLLASRFSNVTAVHIDERLSVSLPVQLGRRRVTSENSGAASSLKLRYVNHKNGSSSEDSEFDSLCLSDTGLAALADGFPKLEKLRLIWCSNVTSDGLSSLAWKCVYLKALDLQGCYVGDQGLAAVGQCCKQLEDLNLRFCEGLTDKGLVDLALGVGKSLKSLGVAACAKITDISMEAVASHCGSLETLSLDSEFIHNQGVLAVAKGCPYLKSLKLQCINLTDDALKAVGVSCLSLELLALYSFQRFTDKGLCAIGEGCKKLKNLTLSDCYFLSDKGLEAIAIGCKELTHLEVNGCHNIGTLGLESVGKSCQHLSELALLYCQRIGDLGLLQVGKGCQFLQALHLVDCSSIGDEAMCGIAAGCTNLKKLHIRRCYEIGNKGIIAVGENCKSLTDLSIRFCDRVGDGALIAIAEGCSLHYLNVSGCHQIGDAGLIAVARGSPQLCYLDVSVLQALGDMAMAELGENCPLLKEIVISHCRQITDVGLAHLVKSCTLLESCHMVYCSSITSAGVATVVSSCPNIKKVLVEKWKVSQRTERQAGSVISYLCVDL >CAK8577975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599760250:599761719:1 gene:gene-LATHSAT_LOCUS30029 transcript:rna-LATHSAT_LOCUS30029 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNRPEAERWLYTANKLLSARDLHGARSFAIRARESDPTFDASELLLAVIDTLLAGESRINDHHRDWYGILQILRYTTNIDHIANQYRRLALLLDPNRNPFAFSGHAFSLVHDAWSVLSNPAKKAMYDSELRLLTTPPVPPQPQQQQPQPPPQPVPQYQQPPTHNASPKKNPISRDGAGATATATEPIRQTPNRNPSEPAESTRQTRTASAAETEGNISFWTLCPYCYVYYEYPKGYEECTLRCQSCKRGFHAVVIRSPPLNEVDASFCTWGFFPLGFSGDSKDVSGTSSNWNPVCPLFPCSLKGGSTNRKKNWVYYDEAATAAFIDISDPSDNDSDDGDWRGGAGKKKGLKSSNATRKKIRKDSGNASGRQTVERPRRSATGAAAGNVSEKNVGAVDASGVKAEASKKAVPSSSKKGAVNMGKLDLNVECSNEAEEPSRGVRDREGNATGNAEDNIEGIGFFEGLDEFLSSLPILNVVTDDKVKGH >CAK8539559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516254230:516255393:-1 gene:gene-LATHSAT_LOCUS8642 transcript:rna-LATHSAT_LOCUS8642 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLKFHNTNENQQTQIMTAKLPITIFNHPLLSTITATGNSTSDFSFSLSTNFPTGPTFKLSYTPTATKTSSLPFSLSLKSGLGLSGSPRDSPLVFSANLSLSTPSSSIPLLLPSFFLHFKPQFGHFSLHKTVFSDSNHNPNTITKTISDSNPLSVSPQIEKGFVPVQDGCSSGWQNLNLEPFGHRDDNNNNSNNVVVGVGVVPDGKNSEKCGLSPSVAVMARTILPVAQGLLLKFRWGVNFPGNKSGLKIPYLTVNKIGLERVDEVKLNELNCENREDDLQMGKDVSLWAKGDLEKVEKENKEMKSMLDEMKMRVSSGEGKLANPKKHLSGESFQTRGSNNNNNDRKKNEKKQPSKSQNVVVVGDLESELEKAIKAAATAAAASS >CAK8574458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682573644:682577656:-1 gene:gene-LATHSAT_LOCUS26802 transcript:rna-LATHSAT_LOCUS26802 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSKEDEKLNPHPEQETHNHDHHNHNHNLPSAASGGAEKLRSTSNGGASKREFPALLKDGPPGQIAAQTFTFRELALATKNFRPQSFLGEGGFGRVYKGRLESTSQAVAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLEDGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFPKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYDTNNPGIGNNKGTGNRDDKGGRILKNDEGEGSGRRWDLEGSEKDDSPRETAKMLNRDLDRERAVAEAKMWGENLRDKRRQSVQGSFDASNA >CAK8575713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:268684193:268684560:-1 gene:gene-LATHSAT_LOCUS27952 transcript:rna-LATHSAT_LOCUS27952 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKEYMWLFKLEFEAYVCTTFFCVLLFIAAWLGVKHEVACSWHLGAQNVVRHDWKDVHTTCFSNGPNCD >CAK8563349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594567952:594569369:-1 gene:gene-LATHSAT_LOCUS16770 transcript:rna-LATHSAT_LOCUS16770 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENQPSYTLRFDGSSDGNPGPAGAGVILLAKDGKVLYRFREGLGYQTNNAAEFRALILGMKQAIEKGYKNVIAEGDGRLVINQFQGLWTICDSDIKMLRDEALKLKNSFQSFRMRHIPESYNSTADSLANQAIELGDGQVEEESLG >CAK8535713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875929776:875932151:-1 gene:gene-LATHSAT_LOCUS5142 transcript:rna-LATHSAT_LOCUS5142 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHSHTGSGSRTTRRAFEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASAAHIANLLSTEPADVKVGIGGFSMGAAIALYSSTCYAMGRYGNGIPYPVCLRAVVGLSGWLPGSRSLGNKIEVSHESRRRAASLPILLCHGISDDVVHCKYGEKSAQSLSSAGFRYIAFKSYEGLGHYTVPREMDEVSNWLSSRLGLEGFSS >CAK8535241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828872666:828873112:-1 gene:gene-LATHSAT_LOCUS4714 transcript:rna-LATHSAT_LOCUS4714 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKIVLLLLWFFPAMFCTNVDYDHRALVIDGKRMVLISGSIHYPRSTPQMRPDLIQKSKDGGLDVIETYVFWNLHEPLQGQYDFDGRKDLVKFAKTVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFILGIKFRTDNEPFKTKMYS >CAK8542918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559702609:559705117:1 gene:gene-LATHSAT_LOCUS11715 transcript:rna-LATHSAT_LOCUS11715-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKVLLQRRIFRFLQLSSPSLCCFSSSSSINGSPFQSVKATKIFGLSTSFRSFCSQKSNLFVESHGPAPIDYSSLLQEAEFHRLAESTIQGLQEKFEDYGDSIDLDGFDIDYGNDVLTVKLGELGTYVLNKQTPNRQLWLSSPVSGPSRFDWDQDTKAWIYRRNKAKLYKILEDELEQLCGKPIVLS >CAK8542917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:559702606:559705117:1 gene:gene-LATHSAT_LOCUS11715 transcript:rna-LATHSAT_LOCUS11715 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTKVLLQRRIFRFLQLSSPSLCCFSSSSSINGSPFQSVKATKIFGLSTSFRSFCSQKSNLFVESHGPAPIDYSSLLQEAEFHRLAESTIQGLQEKFEDYGDSIDLDGFDIDYGNDVLTVKLGELGTYVLNKQTPNRQLWLSSPVSGPSRFDWDQDTKAWIYRRNKAKLYKILEDELEQLCGKPIVLS >CAK8576222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:428648465:428653959:1 gene:gene-LATHSAT_LOCUS28428 transcript:rna-LATHSAT_LOCUS28428 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIIKFFLLLFLFGLVSISFAENDFGQCERVVKSWASSSLDREIRQKDKHTLKDLLFFLHVPRTGGRTYFHCFLRKLYPGYLECPRSYDKLRFDPSKEKCRLLVTHDDYSITSKLPKDRTSVVTILRDPVDRVFSTYEFSMEVAARFLVHPNLTSATQMALRLHSKAKGISTLAIWPWKYLVPWMRNDLFTRRAARYSKGLNATDSSDPYDMEDFAMPLQEYINHPVAWDIVHNGATFQVAGLTNNSYIAEAHDVRHCVQKYKILGKYVLQVAKMRLDDMLYVGLTEEHRESATMFANVVGSQVISQLNAPNTSLDTIENIEKSSFSDADSDSSEHQNITSDRGASKTTSNESGEASESTMTVGKLMDSYEVCISNLRKSQSSRRISSLKRVPVNFTKEARHQVPEDILRQIRSLNDLDLELYEYARAIFNKKHRGSLLITEERLYNISTSAFGFILWKFLTVAITFFFVLFLFLLIVNVRRRTFKVKK >CAK8566146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385271098:385272754:-1 gene:gene-LATHSAT_LOCUS19307 transcript:rna-LATHSAT_LOCUS19307 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAVMATTTNHTSSSSRWNMSSLRSALPSITPPSASLVRFTAFPPHSPPTLRISKIKPSSSLPPFTGLRTRPLFGPASSEFSGFDQGFIVIGNGGRVYAMRHGRKVPKLNRPPDQRKALIRGLTTQLLKYGRIKTTRARASAIRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >CAK8575489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:135328263:135330405:-1 gene:gene-LATHSAT_LOCUS27748 transcript:rna-LATHSAT_LOCUS27748 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSNHTPVLTDTAPITNSRLHVHSSLLPYSHTGATFSHGMLLNIPRKKTGILDDVLSCNWLDAMKSSSPPPKNITKDVNHGLASSETDPYFNWLLKYPSALSSFDQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSENMRAAVKKVAEYFPTAIISGRSRDKVHEFVGLTELYYAGSHGMDIIGPVRQSLSVSHKQGKKVNLFQPAAEFLPMINEVLKSLIDCTKDIVGSKIENNKFCVSVHYRNVDEKNWDLVGRRVNDVLKGFPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSAPKESNAIYSLRDPSEVMEFLKSLVVWKSSPIKSHI >CAK8564055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648346169:648348139:1 gene:gene-LATHSAT_LOCUS17398 transcript:rna-LATHSAT_LOCUS17398 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITTSDPILAALYSNRPGNGAIKLRNRNLNLINATSTLRRRRWTAFASRSKPPIPVSNSIDVRDSWKWFSRLVSCYLLLSLNTVAVASEYLAPYTYACEDITNYYSPVKHLRLKGEALKRKLNSIIAPHHSLSYQEVWDALKILDAADIDNPEASSGIVEIYSLRVVPKGLSGKPQGWNREHLWPRSYGLATVPSLTDLQNIRPADVNVNSSRGNKYYGECITSSPKCLRPANKEAASDTEADKRIWAPPKQVRGDIARALMYMAVCYGFQQPGGSPALRLSDTPDVENREMGLLSTLLEWNEVDPPSREEKLRNERICKFYQHNRNPFVDHPEYAKLIWKSVISMRTPHNYSDDKGKELGRVSQLPQL >CAK8540622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12585521:12587761:-1 gene:gene-LATHSAT_LOCUS9608 transcript:rna-LATHSAT_LOCUS9608 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNMSNRIDNIVLCVLLFCVHVAIVSAKSNWLSHGGDLYNQRYANKEHKISPENAHKLRLKWKFFAGQDITATPTVYDGVIYFPSWNGNIYALKEDDGSVVWEKNLKELTGLNASVFIFNANGTVSRVSPSVAGDLLILGIYGPAVIIGLNRTNGELVWLTKLETHYRSFITMSGTYYNGSYYVGVSSLEEQVAIDKCCTFRGSFVKLDAKTGDILWQTYMLPDNKGKRDQYAGAAIWGSSPSIDVHRKHVYIATGNLYSAPQHILDCQERQNNQTTPVSTDECIEPENHSNSMLALDLDTGDIKWFKQLGGLDVWFIACNNASTPDCPPQGPLPDSDFGEAPIMLTTHINGTKKDIVFAVQKSGFAWALDRDNGTLQWFQQAGPSGNGGGGIWGASTDKKRVYTNSANSEKHNFQLLPSSKNTTTGGWVAMDPRNGKILWSTANPGNSTVSGPVSVANKVLFGGSTDLSGHLYAINARNGKILWSYATGGSVYGGMSINNGCVYSGHGYNVSLGVFSNYTGGTSLFAFCV >CAK8535207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:825466365:825468329:1 gene:gene-LATHSAT_LOCUS4680 transcript:rna-LATHSAT_LOCUS4680 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLEYDVLSEKNKKVLDFIENVTTHADEIQKKVLAEILSHNANVEYLQRHCLNGQTDSETFKKLIPIITYDDIKNDINRIANGDTSPILTSNPISHFLTSSGTSGGERKLMPATEEESGRRYFLYSLLMPIISQFVPDLEKGKGMYLMFIKSESKTPGGIKASPVLTNYYKSSHFLNRSYDPYTNFTSPNETVLCLDSYQSMYSQLLCGLCQNNEVLRVGAVFASGLIRAIRFLEKNWSLFCNDIRTGTINPLITDSSVREAVMKILKPDKNLADFVEFECSKGCWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECFFGVNLNPLCKPCDVSYTLIPTMCYYEFLPVNRSNDPVHEKEKQELVDLVDVKLDQEYELVVTTYAGLYRYKVGDVLKVTGFKNNAPQFKFVCRKNVVLSIESDKTDEVELQNAIKNAATHLAPFEADVAEYTSYADIRTIPGHYVLYWELNLKGSTKIPDCVYEDCCLTVEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAIGLGGSINQYKTPRCVKSAPVVELLESRVVANYFSPKCPKWVPGRKDWNNHEN >CAK8565862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345181048:345184345:1 gene:gene-LATHSAT_LOCUS19041 transcript:rna-LATHSAT_LOCUS19041 gene_biotype:protein_coding transcript_biotype:protein_coding MSISDPNRITFAQSFLCSAFAACFAEFCTIPLDTAKVRLQLQKKGSVGVDDDGGIGLPKYRGLLGTVKTIAREEGVYALWKGIVPGLHRQCLYGGLRIALYDPVKTFLVGAAFVGEVPLYHMILAALLTGALAITIANPTDLVKVRLQAEGHLPSGVPKRYSGAMDAYSTILKHEGLGALWTGLGPNIARNAIVNAAELASYDQVKQTILKIPGFTDNAFTHLLAGLGAGLFAVFIGSPVDVVKSRMMGDSSYKNTFDCFLKTSFNEGFFAFYKGFLPNFGRVGAWNVIMFLTLEQAKGVFRG >CAK8567131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489899209:489904719:-1 gene:gene-LATHSAT_LOCUS20209 transcript:rna-LATHSAT_LOCUS20209 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIASTDPEGVDGVRMTWNVWPRSKVEASKCVIPLAATIAVIRPHPDIPQLPYAPLRCKTCTSALNPFSRVDFTAKIWICPFCYQRNHFPPHYNAISETNLPGELYPQYTTVEYTIPHSDPNPPPSPVFLFLLDTCIIEEEISYLKSALGRAIGLLPDNALVGFVSFGTQVQVYELGFSDMSKVYVFRGSKEIPKDQILEQLGLASSAASGRRPMKGAPFPNSGVSRFLLPASDCEYTLNALLEELQRDQWPVPPGKRPARCTGVALSVATGLLSACVPGTGARIITLVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDAAPYFKKAVKFYEGLAKQLVSQGHVLDVFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKEIKIQGIVGPCTSMEKKGPSVADTVIGEGNTTIWKMCGLDKSTCLTVLFDLSSSDRSNTPGAVNPQLYLQFLTSYQGPDGQLVLRVTTVTRRWVDSAVSSEELVQGFDQETAAVVMARYASLKMETEETFDATRWLDRFLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENISNAAVMIQPSLISYSFNSLPAPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLQAPQDDAHAVIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >CAK8561319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:145371205:145371949:-1 gene:gene-LATHSAT_LOCUS14921 transcript:rna-LATHSAT_LOCUS14921 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELILLDEWVSMFGMRARIALAEKGIKYVYHEEDLDNKSQRLLQMNPIHKKIPVLIHKGKPICESINIVEYIDEVWNGSVPFLPSDPYLKSQARFWADFVNKKVGDVWGRIWPGRRDEIEVAKKELIEGLKELENVLGDKPYFGGDTFGFVDIALIPFHGWFYTYEELCNVKVEAECGKLIAWAKRCKQRESVSKSVADEKEVYEYVVNYRKRFALD >CAK8540308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551183944:551184300:1 gene:gene-LATHSAT_LOCUS9323 transcript:rna-LATHSAT_LOCUS9323 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKVLVVGGTGYIGRRIVKASLEQGHETYVLQRPDIGINTEKVQTLLSFKKQGAHLVEGSFSDHQSLVDAVKLVDVVICTMSGDHYPSHNLLMQLKLVDAIKDAGNVKVCTQIIFL >CAK8559930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1794355:1794954:-1 gene:gene-LATHSAT_LOCUS13662 transcript:rna-LATHSAT_LOCUS13662 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTLINSVLANLPIHYLAFFKAPHKILKDIIAIQRRFLWAGKSSKRFIPWVSWNFVCKSKELGGLGIKHVGRFNSALLAKWLWRFQTGGNEIWRKSLTNRYGNLSIKTQTYFDVDNLKSDSLCMKDVMTNASLNSHVNFCNFTTCSVGEGNDVAFWQSIWNGDLLFKVIFNGLFQSCSTKSATVREMGFWEEGQWT >CAK8568502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611972471:611972986:1 gene:gene-LATHSAT_LOCUS21452 transcript:rna-LATHSAT_LOCUS21452 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDHTRFDDYGDNDDFELPLTRPLSLSNNQRPSKKSKTKKTLFPDKENIHLFSPIHNHNDNYEADNCSLDFIPSIVDFDSTVQVDCSYALNQEKNSKCAYSNYLLESMLVVSRTKVFRYGESSSKVNLRDEFDCSVDCPLCGDDIYDLMEEQHNFHTNQCLDKTGEDVR >CAK8535619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870259665:870263634:1 gene:gene-LATHSAT_LOCUS5062 transcript:rna-LATHSAT_LOCUS5062 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEDIKKETVDLERIPVDEVFRELNCSKEGLTNEEGQKRLTVFGPNKLEEKSESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGQPPDWQDFVGIMVLLIINSTISFIEENNAGNAAQALMAGLAPKTKVLRDGRWSEQEAAILVPGDIISIKLGDIIPADCRLLDGDALKIDQSALTGESLPVTKNPGDEVYSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIALGMIIEIVVMYPIQRRKYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFARDTDKDMVILLGARASRVENQDAIDACIVGMLSDPKEARDGLTEVHFLPFNPVDKRTAITYIDTDGNWHRVSKGAPEQIIELCNLREDVKRKALSIIDKFADRGLRSLAVCKQEVPEKTKESSGGPWTFVGLLPLFDPPRHDSAETIRQALNLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGEHKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLREIFATGVVLGAYLAVMTVVFFWLAHASNFFTEKFGVRSIRDSHEELTAAVYLQVSIVSQALIFVTRSRSWSFVERPGLLLVGAFFIAQLIATLIAVYANWAFADMKGIGWGWAGVIWLYSIVFYIPLDILKFFIRYVLSGKAWNNITENRTAFTSKKDYGKGAREAQWAAAQRTLHGLNPPDSDQVLNESNNYRDLSELAEQARKRAEIARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >CAK8542831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552156176:552156715:-1 gene:gene-LATHSAT_LOCUS11635 transcript:rna-LATHSAT_LOCUS11635 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFLVPIKLILFSILFFITINIANGQAQPNQSTLVFYLQDVGKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPITLSPNSFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNVQYAGSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8574002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653525340:653527199:-1 gene:gene-LATHSAT_LOCUS26394 transcript:rna-LATHSAT_LOCUS26394 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLSLLLPRVLIVSRRTIRKNKFVDFVGEYHLDLIVRYGAVPIIVPRVTGIHTLLDSFEPIHGVLLCEGEDIDPSHYDDPELCGLTPQELEAIRELHPSDAAIDKEKDSIELRLAKLCLKRNIPYLGICRGSQILNVSCGGTLYQDIDREIVEKNHDFQRVVHMDYLNYDGHRHSINVIANTPLHDWFKDSFVDEKMEIMVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDNYSPEDGKFIMGLQFHPERMRNHDSDEFYYPGCSMAYQEFAKAVIAYEKKLSSSILVPKCPKLNEELEQKRKQIVKSFSVARDMYKSDSHVDVSQSSVLQPGADFLQASTALSLQQENRLKQVGATYRNGSSYAQKMKMNQMREKAARNMMSNMSVEQITELVSFYGLMHQICSETIDRKMNELVVEES >CAK8536237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920724953:920729453:-1 gene:gene-LATHSAT_LOCUS5625 transcript:rna-LATHSAT_LOCUS5625 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALNSLSHRGPSHSLAAKILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGIVELAGITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKESASSSTEPENNDELEQITIARALLNYRLKEKMSMSNRNAPIPFQKKFQIQNLRPTSSQPPATTSKILPLICPKTGPRNKPSSATSNENPRSRHPPTTATSDKSIVQPPQSYALENWMTRPPRFPAAGAAPYVPIRQMRSPCHGIAPPVAMRTVVPVFSAPPLPPPASVHQVIRAPPVRVAPPVSIRQAIPVFAAPPPRKDESPPIRSDLPARLEQDKLPAKVLETDKTENCPPQPETLKSLEQLKI >CAK8539552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515992337:515992645:1 gene:gene-LATHSAT_LOCUS8635 transcript:rna-LATHSAT_LOCUS8635 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWWRKGKNSQPETKSSTENAKNGGGTVKTTAEAPGMNGAMEVPRPTNTSVSVFEFGSVAASNDKVMLAGFCPVSEELEPCRWEFLPAIESNAPQFRVIF >CAK8566616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442515538:442544592:-1 gene:gene-LATHSAT_LOCUS19735 transcript:rna-LATHSAT_LOCUS19735 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPERLGSGDLDSASAVKTDDFSMEIDPPFQENAVTAEDWRKSLSKVVPAVVVLRITATRAFDTESASASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEVPVHPIYRDPVHDFGFYRYDPSAIQFLKYEEIPLAPEGACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVGLNAGSKTTSASAFFLPLERVVRALKFLQKGSETYVDKWMAVSIPRGTLQVTFLHKGFDETRRLGLRSETEQTVRHASPISETGMLVVESAVPGGPGYKHLEPGDVLVRVNGEVITQFLKLETILDDSVNSNIELQIERGGVSKTLTLSVQDLHSITPNYFLQVSGAIIHPLSYQQARNFRFQCGLVYVTEPGYMLFKAGVPRHAIIKKFAGEEISCLEELISILSKLSRGARVPLEYISYVDRHRRKVRLL >CAK8571768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471391090:471392820:1 gene:gene-LATHSAT_LOCUS24401 transcript:rna-LATHSAT_LOCUS24401 gene_biotype:protein_coding transcript_biotype:protein_coding MNDREENKFNSYNNHTNIPLDFIENQKSLNNKDEEDLDNDLYHDCDQEQESAGEVSDGGVGEPSPAEIKLKIKKSLLEFRCKIQDAILGNYLLGEREGVALTKENLRDLTLWGVPLLPSKGHEGTDVILRKFLKAKDFRVSDAFEMLQKTMIWRIKNNIDKILDEDLGADLENVGFLNSRDKEGRPVCYHLFEVYKQKGLYKKTFGTQQKCELFLRWRIQMMEIAVKRLCFRGGVDGIIQVYDLKNAPLQGMKELHSISKKALLLYQNYYPEIIYKSIVVNAPFWFYTSQVLFSRLLNQRNKKKFIFARPPRVTPSLLKYIGPEHLPAEYGGLRRNNDQDFNADDKVLEHRIRASSVSTIEFPVHETGVTIMWDITVVGWDVTYKEEFVPDDEGSYTILLQNQNVVGDSTRNSFYISEPGKIRITVENSSFKRKKMYYRSKTRVTVPMFILLS >CAK8567781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544325524:544325808:1 gene:gene-LATHSAT_LOCUS20804 transcript:rna-LATHSAT_LOCUS20804 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPHRNQYLATTLLLSLLILLISSSSSARPLNDFSQLSATSNLELPSDRVVMMPEKKGHVCGGKVNRPLILNMLPKGTVPPSAPSKRHNNINN >CAK8543121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:580070290:580070436:1 gene:gene-LATHSAT_LOCUS11903 transcript:rna-LATHSAT_LOCUS11903 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALNAALYIAKMTWLALAGWITSCLTVADEFASSLRSGDIGPFHVG >CAK8539947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532073948:532078586:-1 gene:gene-LATHSAT_LOCUS8996 transcript:rna-LATHSAT_LOCUS8996-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFIGVCVGIVVLFMSWWFLTKKENNNEIKIPKGNSGWPLIGETLDFVAACYSSRPVTFMENRKSIYGDVFKTNILGSNLIISTDPEVNKVILHNQKNIFIPAYPKSIKELMGEHSILQLNGNKHKKLHSIIAVFLKSPQFKARITTDIEHSVKQCLASWTNKTIYIQDEVKKITFPILNKVLMSVGPGEDLDLLKREFEAFIKGLICLPIKFPGTTLYKSLKAKERMMKMVRKIVEERKKKIMDNNEVNDVVDVLLRGKDDLSQSSSSSNLELEMISQNIIEMMIPGEETLPTAMTLALKFLSDSPHALSKLMEENMELKKQKTDNSEDYTWTDYMSLPFTQNVISETLRMANIVNGIWRKAVQDVEIKGYLIPKDWSVMACLITVHLDPKNYENPYKFDPWRWEKIEVLASNIRFAPFGGGHRLCPGLELSRLELSIFLHHLVTTYRWVAEKDEIVYFPTVKMKKKLPIRVQPINV >CAK8539946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532073948:532078595:-1 gene:gene-LATHSAT_LOCUS8996 transcript:rna-LATHSAT_LOCUS8996 gene_biotype:protein_coding transcript_biotype:protein_coding MENMEWFIGVCVGIVVLFMSWWFLTKKENNNEIKIPKGNSGWPLIGETLDFVAACYSSRPVTFMENRKSIYGDVFKTNILGSNLIISTDPEVNKVILHNQKNIFIPAYPKSIKELMGEHSILQLNGNKHKKLHSIIAVFLKSPQFKARITTDIEHSVKQCLASWTNKTIYIQDEVKKITFPILNKVLMSVGPGEDLDLLKREFEAFIKGLICLPIKFPGTTLYKSLKAKERMMKMVRKIVEERKKKIMDNNEVNDVVDVLLRGKDDLSQSSSSSNLELEMISQNIIEMMIPGEETLPTAMTLALKFLSDSPHALSKLMEENMELKKQKTDNSEDYTWTDYMSLPFTQNVISETLRMANIVNGIWRKAVQDVEIKGYLIPKDWSVMACLITVHLDPKNYENPYKFDPWRWEKIEVLASNIRFAPFGGGHRLCPGLELSRLELSIFLHHLVTTYRWVAEKDEIVYFPTVKMKKKLPIRVQPINV >CAK8541122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68842293:68842727:-1 gene:gene-LATHSAT_LOCUS10072 transcript:rna-LATHSAT_LOCUS10072 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDKVSQYERVFNRFDENGDGKISPAELRQCVEAIGGEKLSAEDAEAAVANMVSGGDGLLGFEDFLKFVEGVKEEDKVNDLKEAFKLYDMDGSGCITPRSLEKMLGKLGDSRSLDECQLMISKFDLDGDGKISFEEFKVMML >CAK8541123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:68842293:68842718:-1 gene:gene-LATHSAT_LOCUS10072 transcript:rna-LATHSAT_LOCUS10072-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSQYERVFNRFDENGDGKISPAELRQCVEAIGGEKLSAEDAEAAVANMVSGGDGLLGFEDFLKFVEGVKEEDKVNDLKEAFKLYDMDGSGCITPRSLEKMLGKLGDSRSLDECQLMISKFDLDGDGKISFEEFKVMML >CAK8531627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:132438750:132439325:-1 gene:gene-LATHSAT_LOCUS1403 transcript:rna-LATHSAT_LOCUS1403 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQTTTLINTVCCFMIVLFVLFSVTVTVAVGDLTDQRKLKVKSKDEVKCTPCGEVSSPPPPSPPPPSPPPASTSNCPPPPSPPSSGGGGGGGGSTYYSPPPPSAYYYSSPPPPATSTGGGGTGGMYYYPPPNGGTGNGNYPTPPPPNPIVPYFPFYYHTPPPSAAAPPLFKRSVMLCAVPLLPIFLALF >CAK8566414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420827170:420827640:1 gene:gene-LATHSAT_LOCUS19546 transcript:rna-LATHSAT_LOCUS19546 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQTWVSKNKLASIGALWASGIGATLVAYSRAKSPMKPSLRLIHARMHAQALTLAVLSGAAAYHYYENRDVHLKPVADDSSAPNVRHLVESELQSPF >CAK8572811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:564771863:564773466:1 gene:gene-LATHSAT_LOCUS25333 transcript:rna-LATHSAT_LOCUS25333 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHDSHDAKQSPADMTAFVQNLLQQMQGRFQTMSDSIITKIDDMGCRINELEQTITELRAEIGVELSQAQAAKEQESNKEEGSS >CAK8541042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47340742:47342735:1 gene:gene-LATHSAT_LOCUS9994 transcript:rna-LATHSAT_LOCUS9994 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYKGPAIGIDLGTTYSCVAVWQGQNNRAEIIHNDQGNRTTPSFVAFTDSQRLIGDAAKNQAASNPANTIFDAKRLIGRKYSDPVIQNDIQLWPFKVVAGKDDKPEIRVMYKGEEKCFCAEEISSMVLTKMRDIAEKFLGSTVENAVVTVPAYFNDSQRKATKDAGAIAGLNVIRIINEPTAAALAYGLQKRANSAEERNIFIFDLGGGTFDVSVLTIKNNLFDVKATAGDTHLGGEDFDNRMVNHFVMEFKRKYNKDLSGNPRALRRLRTACERAKRTLSFDTDATIDIDALFEGIDFQSAITRAKFEQLNLDLFEKCIKTVENCLTDSKINKSSVDDIVLVGGSSRIPKVQQLLQDFFMWKDLCLSINPDEAVAYGAAVQASLLCEDTENSLNLVLRDVTPLSLGMLVKGGIMSVVIPRNTSIPVKITKNYFTIEDDQSNIFFSVYEGERLKATENNLLGSFNFSIPPAPRGHIPVKVCFSIDVDGILNVSAKEETGGNEKDITITSEKGRLSAAEIERMIQEAENFKNEDMKFMKKVEAMNALDDYLYNMRKVMKDDSVTSMLNSIDKMKINSAMIKGKQLIDGKQDKETFVFVDFQRELENIFESAMKKINKSYFDEDSDSES >CAK8576650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:501047065:501052359:1 gene:gene-LATHSAT_LOCUS28823 transcript:rna-LATHSAT_LOCUS28823 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELSKWEKIQGGMSGCEEKILVLLRLRPLSEKEISGNESADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCATRQVYEEGAREIALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFALKFSAIEIYNEIVRDLLSTDNTPLRLRDDPEKGPVLEKLTEETLRDWGHLKELLSFCEAQRQVGETYLNEKSSRSHQIIRLTMESSAKEFLGKGNSTTLSASVNFVDLAGSERASQVSSAGVRLKEGCHINRSLLTLSTVIRKLSKGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKQLQKELARLEGELKTPATSNVDYVALLRKKDQQIEKMDKEIRELTKQRDLAESRIEDLLRTVGKEQISKKEEEIWEDDCSVSESSSICGPNTRIREFNNPHYNGGDSGSYRVEEDLDEYCKEVRCVELEESSRDNSEYLDPSVNDNGDSALTVSGGENGSSHELSTRLNEDNRDEHVMSRNMSNHRNLNLTRSWSCSEYHMTGSPETGEMERTPANGFEKGFPGRPDGLWRKFNPLNYRSSTSFSRNDSQSSIGSQSVDDELRGNSMGISGDEDITSIHTFVAGMKEMVKLEYEKQFVDGQDQETERKLVKFDRNVKDVGVDPMLESPGTPFDWSVQFKRQQKEIIELWQSCYVPLTHRTYFFLLFRGEQTDSIYMEVELRRLCFLKETFFDENQSEKNSQPITLTKSVKALRREREMLVKLMQKRFSEEERKSVFKEWGIGLNSKRRRMQLANRLWGNTDMNHVMQSAAVVARLVRFSEQGRALKEMFGLSFTPQLTRRRNSWKSTRASLP >CAK8530154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3244073:3244984:-1 gene:gene-LATHSAT_LOCUS44 transcript:rna-LATHSAT_LOCUS44 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTKPYMFLTCLIPGPSSPKAGIDVYLQPLIDDLKRLWTGEWTYDISRKQNFTLRDALMWTINDFPAYGMLSSWGTHGKMGCPYCMEFTKAFTLEFGGKSLWFDCHRRFLPRDHVFRRNKTGFKKDIRVKDFPHPRLSPEEIWNQVSELPKFTNYGEACRIQGYGVKYNWTKRSIFWDLPYWKDNLLQHNLDVMYIEKNFFDNIFNTVMDVQGKTNDNEKARRDMDILCDRKELELKPRSNGKLLKPKACYSLTSQDAKAICRWLNELRMSDDYASNLARCGDTKTGKLHGMKSHDCHVSME >CAK8560911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57315404:57317679:1 gene:gene-LATHSAT_LOCUS14550 transcript:rna-LATHSAT_LOCUS14550 gene_biotype:protein_coding transcript_biotype:protein_coding MNISYDLQHQQPHFLEACSLCSKPLGHNKDIFMYRGDTPFCSEECRQEQIEIDEIKEEIMNLAFSMKALRNKEQRKSNYKQRQVPTKKVLNNMGDDRERTQVPQKHTTKVLNRNMGDDRERTQVPQKHTTKVLNRNMGDDRERTQVPQKPSISICKYWMNDNCVHGDQCRNLHSWSYGDGFATLAKLQGHKKLVTGIALLNGSDKLYSGSTDGTLRSWDCHTGQCANVMNLGAEATSLISEGPWIFVGLPNTVKAWNTQTASQFTLDGPKGRVLSMTVGNDTLLAGAEDGVISAWKGSSKSNSPFELVASLRGHTKSVVCLTVGVVKMLFSGSKDQSIKVWDLDTFECKMTLNAHTDGVTSLLCWDNFLLTGSSDCTIKVWYKTEAETMEVVYSHKLENGVVALSGMTDPKNKPILFCSTRDNSVRLFELPSFAERGRLFAKQEVGLINIAPGGLFFTGDRTGLLTVWKWLEEPKVAVVAAASS >CAK8579519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:705306190:705306555:1 gene:gene-LATHSAT_LOCUS31460 transcript:rna-LATHSAT_LOCUS31460 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTGNSKQLQTFDNKEQHTFHYLLFTPKANTDIHNSDVPLHGEHSVENYLDSNSWSEVDGYVSDDETGFDELLNDGKTMNKIEVLAAMIGVDTKEPAAVLTEVVRVLNLLKESILLSHYC >CAK8567274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499874589:499875311:1 gene:gene-LATHSAT_LOCUS20340 transcript:rna-LATHSAT_LOCUS20340 gene_biotype:protein_coding transcript_biotype:protein_coding MAYITKLDVGTTMTSVDCQKQVRSWRLLRSLIQLLIPTCNCTLVEQQEQDYNNTSKNCHKKYPSSSHSLIPSTTITGTIFGYRKGKVSFCIQSNPNSTNPILLLELAIPTSVLAQEMRGGTLRIVLESVTSGTCSNSNNNNLFSTPLWTMYCNGRKVGYAVKRKPSRSDFEALSLMRCVSVGTGVINGKEDCKEDDQLMFLRANFERVRGSSKTNCESFHLIDPEGRIGQELSIFFFQPR >CAK8570411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43880624:43882760:-1 gene:gene-LATHSAT_LOCUS23159 transcript:rna-LATHSAT_LOCUS23159 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNISKRCHQFHYNPTTIFKGKTVLTSLIHTLSNPNQNELDEYKWKNQQQQQREDEEKPAFQISHPWPEWVDLMECLLKKGHFHAEGNPFLNPCLGSKESNLIRTACLNFGRDHSHLLRFLSRKDIGVIVTFGCPSLDRKVINSGKRLRAYAGIDEGNVCSSCNLRGNCERAFVNAREDEGGRTVDVMRIILTYGLDPIIGSVDNKPSLNKMVKESVRRLLKKIVECSTEDKKPNFSDTTEVAVKEVHPNPQDKGKKNGPMKQGDWLCPKCNFHNFARNIKCLHCDNFCEEKIKQLKEDNNHLPLKKGDWICNKCNFLNFARNTRCLQCKEGPSNRRVNPGEWECESCNYINFRRNMVCLKCDHRRPKVSNTSNSSLQPQGEDSRLTFSGYRFDSNKQSPMASERKSSNRDSQKWRFVEDGNEHHKYLENSNDTSEMLAFPIAGGKTGMSKTERGEAYKNESPNECKKHLWQSETDDEFCSSDNLSSDDEEMAEWFGKGKNAR >CAK8562362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:478646283:478649442:1 gene:gene-LATHSAT_LOCUS15864 transcript:rna-LATHSAT_LOCUS15864 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAVIGKVVDELLSSVVEMKNRALKFKSTLERLEKTLQSLAPLINQIDELNKKLDRPPKETERLIKQMKDGKELVLKCCDQDKIQWWNCCYKKAEYQQELEELDAKIRRFFELDLRAQDARNGLELLWEFREFRAEFRSVGLRNERIELRGVCLPPQPPGFTVGLDGPLNELKMRLLKDEVSVSVVMVTGSGGSGKSTLVKTFCWDEQVKGKFKDNIFFITFAKTPKLNAIVQKLFQHTGYQVLDFQSDEDMFNQLEQLMKQIVKNGPILLVLDDVWLGSESLVDKFVFQIPNYKILVTSRFAIGRFGHPFVLKPLSEENAIKLFKHSASLTESNSDIPDNVVKKIVSGCRGSPLALRVSGRSLNNKQQIVWRNRATELSAGKTILDYNTEVLSCLQKSLDVLDPKSMDCFEDLGLFPEDQRIPVAALVDLWAELRNDDDATAIERISKLVNLNLADIIVTRKVARGAIDYNYHYVTQHGILRDLAIRNDSQEPEDKRNRLIIDTSANNLPSWWTSETEYHIAARVLSISTDETFPSKWCNLQPTEVEAFVLNLREKKCTLPMFMKKMNKLKVLIITNYDFYQAELENFELLNHLSSLRRIRLEKVSIPLLGKTIVQLKNLQKCSFFMCNVNEAFKNCTIQDSEILPNLAEMNFDYCDMVELPNVISYIVSLKKVSITNCHKLRALHEGIGELVNLESLWLSSCSGLSKMPDSITNIHRLKFLDISECISLSQLPENIGELEKLENLNMRGCSSISKLPSSVMKLEGLKHVVCDEETAKKWEPFRTILGDLRIEIVQEDFNLDFIYNQ >CAK8571810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478169211:478172963:1 gene:gene-LATHSAT_LOCUS24439 transcript:rna-LATHSAT_LOCUS24439 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRWLGSVRSYAKNLRIDGVKDTIAIASGKGGVGKSTTAVNLAVALASKFQLKVGLLDADVYGPNIPIMMNINTKPEVTLDKKMIPIDSFGIKCMSIGFLVEKNAPIVWRGPMVSNALEKMTRGVDWGHLDILVIDMPPGTGDVQISMSQNLQLSGALIVSTPQDVALMDARRGVQMFNKVDIPILGVIENMSCFKCPHCGEPSYIFGKGGAHSTASEMGLEFLGEIPLEVGIREACDQGHPIVLAAPDSVVSRAYGNIAEKVVQKLNERQFQPEIIL >CAK8573966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650674079:650674409:-1 gene:gene-LATHSAT_LOCUS26359 transcript:rna-LATHSAT_LOCUS26359 gene_biotype:protein_coding transcript_biotype:protein_coding MWISEDEVWNKLIETKPEAAEWKNKPILFYDKLAKLFGKNRATGEHEGTTAEMRAKKAANVEKKSWHNHRRNRPLS >CAK8535751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879458002:879458283:-1 gene:gene-LATHSAT_LOCUS5178 transcript:rna-LATHSAT_LOCUS5178-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTEKHLVFPLVYKLIELALILPVSTSSVERAFSATKIIKSKLRNKINDVWFNDLMVCYTDRKIFKSLDDVDIIRTFTAKKSRKGHLPRNFI >CAK8535750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879458002:879458760:-1 gene:gene-LATHSAT_LOCUS5178 transcript:rna-LATHSAT_LOCUS5178 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDVVKARLATMRDSGWDDLFADVQEFCVAKGIPVPNMDDEIPVRGRSRVEGRTITNLHHYRAEIFYVAIDKICVEMDHRFSEGSNIILDCFSCLDPKNSFSKFNVDKLARLADIYHVDFSDDGRGTIRDQLDTYVLQVKRNASFSTCEDVQSLAIKMVQTEKHLVFPLVYKLIELALILPVSTSSVERAFSATKIIKSKLRNKINDVWFNDLMVCYTDRKIFKSLDDVDIIRTFTAKKSRKGHLPRNFI >CAK8540497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9147583:9150907:1 gene:gene-LATHSAT_LOCUS9499 transcript:rna-LATHSAT_LOCUS9499 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEMSDLSISKSDRASTPSHSKLITLPTILTLGRVASIPLLVATFYMDGWQGTAITTSIFTAAAITDWLDGYIARKMKLKSSFGAFLDPVADKLMVAATLILLCTRPVKVAALTHAPWLLIIPSIIIIGREITMSALREWAASQGSKTLEAVAVNSLGKWKTATQMTALTILLATRDCSHGGPAILAGSGVLLLYIAAGLAVWSFVVYMGKIWKVLVR >CAK8540498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9147595:9150907:1 gene:gene-LATHSAT_LOCUS9499 transcript:rna-LATHSAT_LOCUS9499-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSISKSDRASTPSHSKLITLPTILTLGRVASIPLLVATFYMDGWQGTAITTSIFTAAAITDWLDGYIARKMKLKSSFGAFLDPVADKLMVAATLILLCTRPVKVAALTHAPWLLIIPSIIIIGREITMSALREWAASQGSKTLEAVAVNSLGKWKTATQMTALTILLATRDCSHGGPAILAGSGVLLLYIAAGLAVWSFVVYMGKIWKVLVR >CAK8536831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25644864:25646644:1 gene:gene-LATHSAT_LOCUS6161 transcript:rna-LATHSAT_LOCUS6161 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKLFGASNMSLLLQNETLPSSNHQVLESLWVHTSNPASFQGSKTIVDFENVGIGSRVVTDIPFFQQLEKEENCGDEDYEACFHQQGKKRRLSSEQVQFLERSFEVENKLEPDRKVQLAKDLGLQPRQVAIWFQNRRARFKTKQLEKDYGTLKASFDRLKDDYDNLVQENDKLKQEVNSLKRKVNPIDKENSEEAINKETMDLTSNENSENGSKVSFPNMVLRCKQEDANSAKSDLLDSDSPHCNDVNNLSSFMEPTTESSHVFEPDHSDFSQDEEDNDDLSDNLLTLPKIEDVCYNDPRENSCNFGFPVEDQTFCFWPYSI >CAK8539219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506885542:506887253:-1 gene:gene-LATHSAT_LOCUS8334 transcript:rna-LATHSAT_LOCUS8334 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAAIPLPSFLFSSSHTFNFRLLKVKANATLITNSDWFQVGRPIGNYGFMNVTTNTDQYSFGEGGGFKSQDVEEGSVKIRLYEGRVSRGPLTQTPVLFKVYPGTRAGGVVADMMAANELNSHMFLQSSSKGMSQHLMLLLGGFETTTGEQWLAFRDYGKSSAADYAKVASEKVSKLSSWNSFERGQAMKRRRRFIVILLRGALRGLAYMHDHDRLHQSLGPFSVSLNTISETDAPYLIPRLKDLAFSVSVRYSELKDSGPLTEGLWARASAASAFTYLEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDGLSLQRLLENTFRLDLEAM >CAK8570751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106854008:106859311:-1 gene:gene-LATHSAT_LOCUS23479 transcript:rna-LATHSAT_LOCUS23479 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYRESPSSSFGPPQSKSRHNSQGDANFLDDETTKNFAQKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIKDCRTRYNGDADHHQRRKKFSFPARLMCGDCYEVRLDKVLANDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIKKLREAEGLTFGNSVYWIRFDEEFSDKKFKSSSPFGTEYTFHLEDAVDCPEWIVPFDVFKSLAEEYDFELVFAKNSHEFVHEYMKRPEFVELMRRLGALGDGNQDQGTLSADEWEAAYLYMSFVLRKRGQPDKNQASNKKDRGLMHITEEDIMFINGNDY >CAK8578120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608333290:608333817:1 gene:gene-LATHSAT_LOCUS30159 transcript:rna-LATHSAT_LOCUS30159 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTYLSLLLTISIIFISHAISPTSNPKLYQNVCKDPGEKDFEQRCLKLIESYPPLTLVKDYLTFCRSFVKMVAIEKTAKAHEHVKKMMNKYPSSQGIKECATEKYKTLVIELKNVLIEDAELISLNGKYACDALDQCEAILAGEKIVNVSSIYTLNKRMKLLARIVLIAGGNL >CAK8571498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:431649613:431653787:-1 gene:gene-LATHSAT_LOCUS24158 transcript:rna-LATHSAT_LOCUS24158 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTNTESSDFTSEDEGTEDYRRGGYHAVRIGDTFNSGRYVVQSKLGWGHFSTVWLAWDTNHSRYVALKVQKSAQHYTEAALDEITILQQIAEGDMDDKKCVVKLLDHFKHFGPNGQHVCMVFEYLGDNLLTLIKYSDYRGIPINMVKEICYHILVGLDYLHKQLSIIHTDLKPENILLLSTIDPSKDPRKSGAPLILPNSKDKMLESTVSRDTKTSNGDLFKNHKKNIKRKAKQAAHGCAEKEASEGVDGNPETSGAVESSPSASSAREQTSSSAGTSKLSDADEAKSNEQGNRRGSCTARQKLLASVDNKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLTKVLTEKYDFNEKDASDMSDFLVSILDFVPEKRPTAGQCLLHPWMNAGPRTLEPSVPSSNHNPAAETATSDQENKDKDEREAMEAGMGNIAINSDIKPDMHSPSNKASQGSRSCSS >CAK8538389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480835422:480835760:1 gene:gene-LATHSAT_LOCUS7598 transcript:rna-LATHSAT_LOCUS7598 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAWFQPNFLTFIHRLYFIVLVYGFVIVHLSSNLDEVRFITIVQISHNLNAMYNGLCEYHGICFSVSCLCDWSLWCPSFVSSNVDVGEYCSLPELTWNFQLNHSFFLQYRY >CAK8575611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:205626452:205627405:-1 gene:gene-LATHSAT_LOCUS27860 transcript:rna-LATHSAT_LOCUS27860 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNHIWFKRVSVTSSRSAYGMDRKFLLALCERWRPETHTFHFPTGECTVTLEDIHMLLGLRVDGLAVVGDTNVKYELVEELLGVPLERGDRKGQSLKITWLKRIYGALNLTAESSEELKIYKSRIYVLLLFACFLFPDTNGNTFHLQFLPLLEDLSQISRYSWGAATLAHLYRNLCRCARKNEHNFVGCGVLIQAWGWSRMPRLAPVNLNPYHFPYATKWSAYGMNYEKILHHCAPGYLTFFDHFEEDDFLWRPYLELEDEDPTESDM >CAK8537936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448634351:448637730:1 gene:gene-LATHSAT_LOCUS7184 transcript:rna-LATHSAT_LOCUS7184 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSSSSSSRVSINFICFVLLMFFLIGNSSAQLSENFYAKKCPNVFNVVKSVVHSVVANEPRMGGSLLRLFFHDCFVNGCDGSVLLDDTSSMKGEKTAPPNKDSLRGFEVIDAIKSKVEAACPGVVSCADIVAITARDSVVNLGGPYWKVKLGRRDSKTASFNDASSGVIPPPFSTLTNLINRFKAQGLSTKDMVALSGAHTIGKARCVVYRDHIYNDTDIDSLFAKSRQRNCPRKSGSIKDNNVAFLDYKTPNHFDNVYYNNLINKKGLLHSDQVLFNGGSTDSLVKTYSNNPKAFEADFVTAIIKMGNIKPLTGSKGEIRKQCRRAN >CAK8536005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897349540:897352507:-1 gene:gene-LATHSAT_LOCUS5412 transcript:rna-LATHSAT_LOCUS5412 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAILAQCLPGLVPHDRGSLSIASSIFEKDIHLASPAVEILPSKAFHTDKEGRENIDHFKGIVSVADIMGFGGSETISSRPDGYLKSWASSIDLVSVLKNEIRDGQLSFRGKRVLELNCSYGLPGIFACLKGASIVHFQDQNAETIRCTTIPNVLGNLKQARDRQSRQPETPLTPSRQTLAPSVNFYAGDWDELPAVLSTVKNDGYEVTPGMSLSFSEEDFLDGCSSQEGSIVGHESSSRRSRKLSGSRAWERANEADQGDGGYDIILMTEIPYSVSSLKKLYALIKKCIRPQYGVVYLAPTKKHYVGFSNGVKQLRNVVDEEGIFGVHLVKDLADRDIWKFFHK >CAK8540244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546769298:546769684:-1 gene:gene-LATHSAT_LOCUS9269 transcript:rna-LATHSAT_LOCUS9269 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEHDPSPPTLSRCSIGQKAAKRKEKEKLMEMFPTPNVKYDSLKDDFKKKIDLMSVFARDYAHIEGEKVEIERKEVDAKIKKAESAEERMKMNDLQILSKDTSNMDRRQLQAHDMLCDMIREKYGLN >CAK8573809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638780443:638787608:-1 gene:gene-LATHSAT_LOCUS26213 transcript:rna-LATHSAT_LOCUS26213 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFSVEHEKYDDDGVIKRTGTWITASAHIVTAVIGFGVLSLAWSVAQLGWIGGTVSLILFSLITLLTCSLTADCYRYPDPVHGIRNTTYKAMVKNILGGFQYKLCALAQYTNLVGVTIGFTLAGSISMDAIKKSNCFHKLGHEADCSTDTYQFMAIFGIFQIILSQIPNFHELSWLSIVAAVMSFGYSFIGIGLSIAKIAEGNHVKTGLTGLVVGVDVTSTEKIWNTFQAIGNIAFAFAFSTIIVEIQDTLKSSPPENQVMKKSAFIGISIATFFYALCGLLGYLAFGNNAPGNFLTGFGFYEPFWLVDIGNVCIIVHLVGAYQLFAQTIFSAVESWSSKCWPQSKFMTKEYLVRIPLIGIWRMNMFKLIWRTMYVIITTLIAIIFPFFNNVVGLLGAISFFPLTVYFPIEMYLTRAKVRKYSLVWTAMKALSVSCLAVTLVVAVGSVEGIISDLKTYKPFKPY >CAK8563348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594533150:594534822:1 gene:gene-LATHSAT_LOCUS16769 transcript:rna-LATHSAT_LOCUS16769 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSELGLDCKPHSYSMLLKSVGEQSDQTYKLEEFVSRLEEERLKIDAFKRELPLCMQLLTNAMEASKQQLQAYRVSQEVTKPILEEFIPVKEVTSSESMEKTTNNNVCDKANWMTSAQLWSQTTEATKQQNSTKETTESNNIGFNISPKHRNGGAFLPFSKERNNSSCQNQGLPELALASNQKEADDKKHVADEKGEKRENIEEKGSPVASSHTQTTSNNSNQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSLSAQTGAPPAQLVVLGGIWVPPEYATAHSGGATTLYGGHPTSHHVTLPHYCTAPGQEYYTTVQPQQHQQLLPPPHHMHHVYKATPHGQGSPETGGDGLESIENGKSESGSWKEGSSENEGERKGFVEESHGSEITLKF >CAK8563235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584567205:584570968:1 gene:gene-LATHSAT_LOCUS16666 transcript:rna-LATHSAT_LOCUS16666 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWIIVFITIAASILIYKLLNLISKPSLPLPPGPKPWPIVGNLPHMGPVPHHALAALALKHGPLMHLRLGFVDVIVAASASVAEQFLKVHDANFSSRPPNSGAKYIAYNYQDLVFAPYGPRWRLLRKISYVHMFSSKALDDFSHIRQVEVARLIRNLASSGSKAANLGQMLNVCTTNALARVMIGRRVFNDGNSGCDPRADEFKSMVVELMVLAGVFNVGDFIPALEWLDLQGVQGKMKKLHKRFDAFLTSIIEDHKVSKSEKHNDLLSTLLSLKEKVDEDGDKLNDTEIKALLLNMFTAGTDTSSSTTEWAIAELIRNPRLMVSIQKELDAVVGPDRLVTELDLAHLPYLEAVVKETFRLHPSTPLSLPRVANESCEIFNYHIPKGATLLVNVWAISRDPKEWSSPLEFKPERFLPGGEKFDVDIKGTDFEVIPFGAGRRICAGMSLGLRMVQLLTATLVHAFDWELEDGLLPEKLNMDEAYGLTLQREKPLLVHPRPRLAQHLY >CAK8573242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596935436:596938036:-1 gene:gene-LATHSAT_LOCUS25713 transcript:rna-LATHSAT_LOCUS25713 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSVSTFTHLSYSSPSISSIFPSKFPTSSPCSLKKIKALAIHQTPSTPHGSSLDALKNRILLQVFQRAMPMEPLATIFREHSEMNDFRLENLQDRKKPSKWVAAFLFGQSISVISPDVSYASDSVKINEMYQVGELFDLGIQLIYLLLLLGLLGAGTFYVIRQVLVRRELDLSAKELQEQVRSGDANATALFELGAVMLRRKFYPAATKFLLQAIDKWDGENQDLAQVYNALGVSYVRDGKLDKGIAQFKTAVKIQPGYVTAWNNLGDAYESKKEYASALKAFEEVILFDPNNKIARPRRDALKELVGVTVEKK >CAK8578108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607447878:607451181:-1 gene:gene-LATHSAT_LOCUS30147 transcript:rna-LATHSAT_LOCUS30147 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCSLTAFVGYKSGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLIVVGVVGYVKTPRGLRTLNTVWAQHLSEDIKRRFYKNWCKSKKKAFTKYSKKYETEEGKKDIQSQLEKLKKYATVIRVLAHTQIRKLKGLKQKKAHLIEIQVNGGTISQKVDYSYSFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKVGKTDQESHTAITEFDRTEKDITPMGGFPHYGIVKHDYLMVKGGCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >CAK8571394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:396658407:396660227:-1 gene:gene-LATHSAT_LOCUS24061 transcript:rna-LATHSAT_LOCUS24061 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKFSAASTRSHTRRANKSSSFHLPSGMLKTTLAVLFIGFLAWAYQVTQPPPPNICGSPGGPPITAPRIKLRDGRHLAYKEHGVPKDEAKYKIISVHGLSCCRHDTVVADTLSPDVVKDLGLYIVSFDRPGYGESDPDPNRTLKSIALDIEELADQLRLGSKFYVVGESMGGQVVWNCLKHIPHRLAGAALLAPVINYWWHDLPANLTSEAYSRYKLRDQWAIRVAHYTPWLTYWWNTQKWFPICSPLSRSPDIFSKQDKELITKLLADKDNYVAQVRQQGAYESVHRDINIGFGSWEYTPLDIQNPFPNNEGSVHLWQGDEDILVPVTLQRYIVQNLPWIHYHELPGSGHIFPHADGVSETIIKSLLGVK >CAK8538238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472471161:472473920:-1 gene:gene-LATHSAT_LOCUS7459 transcript:rna-LATHSAT_LOCUS7459-2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCNNGENSCKVVKNKSLTGSTGSCSSVLTEQAKTVKGYSSLPTRKATVFKCSKSDEKENEPVSRVEDKKITSISSKVSGSGVDMMQERFAKLLLGEDMSGSGKGVSTALAISNAITNLCGTVFGQLWRLEPVPCEKKEMWQREMDWLLCVGDHIVELIPSWQTFPDGSKLEIMTCRPRSDIFINLPALRKLDNMLLEILDSCTAMEFWYVDQGIVAPDADGSVSFRKRNQRQEEKWWLPVPRVPPAGLSENSRKKLNHTRECASQILKAAMSINSIALADMEVPESYLESLPKNGRTCLGDFIYRYITSDQFSQECLLDCIDISNEHVALEIANRLEAAIFVWHRRSHSRPPLYPIRSTTKSSWDIVKDFIVDGDKRELLAERAQNILLSLKQRFPDLSQTTLDSTKIQCNKDVGKSILESYSRVLESMAFNIVARIDDLLNVDDLTKHSDRFPLAPMANMVSIGESKEIGNTNGCAMLQDHKRQQKKVSQQLLVSVSDTQHNKTKFGTPSCSPVSLISPVKREITPFIRNKNDMIKAQRRGFGVKRVLSNYLGAEVKAAKVLSNSTTHEANSSNSCCYKTEEQESKRETCAMKSKTK >CAK8538239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472471161:472473920:-1 gene:gene-LATHSAT_LOCUS7459 transcript:rna-LATHSAT_LOCUS7459 gene_biotype:protein_coding transcript_biotype:protein_coding METLCNNGENSCKVVKNKSLTGSTGSCSSVLTEQAKTVKGYSSLPTRKATVFKCSKSDEKENEPVSRVEDKKITSISSKVSGVDMMQERFAKLLLGEDMSGSGKGVSTALAISNAITNLCGTVFGQLWRLEPVPCEKKEMWQREMDWLLCVGDHIVELIPSWQTFPDGSKLEIMTCRPRSDIFINLPALRKLDNMLLEILDSCTAMEFWYVDQGIVAPDADGSVSFRKRNQRQEEKWWLPVPRVPPAGLSENSRKKLNHTRECASQILKAAMSINSIALADMEVPESYLESLPKNGRTCLGDFIYRYITSDQFSQECLLDCIDISNEHVALEIANRLEAAIFVWHRRSHSRPPLYPIRSTTKSSWDIVKDFIVDGDKRELLAERAQNILLSLKQRFPDLSQTTLDSTKIQCNKDVGKSILESYSRVLESMAFNIVARIDDLLNVDDLTKHSDRFPLAPMANMVSIGESKEIGNTNGCAMLQDHKRQQKKVSQQLLVSVSDTQHNKTKFGTPSCSPVSLISPVKREITPFIRNKNDMIKAQRRGFGVKRVLSNYLGAEVKAAKVLSNSTTHEANSSNSCCYKTEEQESKRETCAMKSKTK >CAK8538761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495255518:495257593:-1 gene:gene-LATHSAT_LOCUS7925 transcript:rna-LATHSAT_LOCUS7925 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDVFYFLSCVLFPLFALFFLNFLWHKQQSNNHKRKLPVPPGEMGFPFIGETMKFFKAQRRNKLYEEFVHPRIAKHGKIFKTRIIGSPTVIMNGAEANKFLLSNEFKLVKSSWPSSSVHLMGKDSIMEKDGERHRFLRGVIATSLGYAGLERLVPKLCNYVQLYLSKNWRGREEISLYRSTKVLTFNIVFECLLGINVEPGMVDTFERVLEGVFSIGINFPGSKFWRAMKARKEIEKMIKKVVREKRKEIEEGKLKREEDRMLMCELVYGMIQGEISEKEIIDNVVLLVFAAHDTTSFAVAMTFKMLAQHHDCYGKVLQEHVDIMRSKRCGESLNVDDIKKMKYTWQVARESMRLFPPIFGSFRKAIRDIEYEGFTIPKGWKMLWTTYGTHYNEEYFKDPMSFNPSRFEEGIPQYAYVPFGGGPRVCAGYQLAKLNILILVHYVVTQYDWFLIHPNETVSMDPLPFPSLGMPIRISPKHV >CAK8532900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546638707:546640750:-1 gene:gene-LATHSAT_LOCUS2562 transcript:rna-LATHSAT_LOCUS2562 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKYPTKNNNEKESSFEVDGVQPTPSPIRKMIAIASITTGVQFGWALQFSLLTPYLQTLGVPHVWSSFLWLCGPISGLLVQPIVGYYSDRSTSRFGRRRPFILSGALAVAVSVFLIGYAADLGYYMGDDLSKQTRPRAVAIFVVGFWILDVANNMLQGPCRAFLADLAAGDEKKTRTAMSFFSFFLAVGSILGYAAGSIGKLHKIFPFTETIACNVVCQNLKSCFFFSIILLIFLASFALIYVKETPKMELDLNDDDKQVSCLGELFHALKELKRPMWILMLVTAVNWIAWFPFFLFDTDWMGHEVYGGAPGEKAYATGVRVGSLGLMLNSIVLGLMSLAVESLGRLVGGIKRLWGIVNFIIAICLAMTVLITKVAEHDRHMTGGATVGHPSDGVKAGAMIIFAVLGIPLAITFSVPFALASIYASASGAGQGLSLGVLNLAIVIPQMFVSLLSGPWDALFGGGNLPAFVVGALAAAVSAVLAIVLLPSIKPDDEANVKLAAGGFH >CAK8544248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674123333:674123641:-1 gene:gene-LATHSAT_LOCUS12945 transcript:rna-LATHSAT_LOCUS12945 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKPPPWGIQQTEAVKALKKIAQAPYALKILGNGKRVLHTNASDHYWGAVLIEELEGKRYYYGHTNGQFKEAGKHYHTTYKEALAVKMGIQKFDFHLRGY >CAK8567272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499494249:499494806:1 gene:gene-LATHSAT_LOCUS20338 transcript:rna-LATHSAT_LOCUS20338 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKINGYPQEQYYGDDSSWMETKAQQLQSQGYPQTQQYPGMKPGYGKDSDYSMPNHGHDSNHNMYYQDKPHGHGYGNGYDNNHGHGNGQTFPFGATTNHSPHHGKPFKHGGGGHKDYVSQHEYEVYKEERIGSGATKRDEVRYERRGTYGGDVYQANPYGYNNNMNNPNGHGTKKANWTLKGV >CAK8540918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31799114:31800325:1 gene:gene-LATHSAT_LOCUS9875 transcript:rna-LATHSAT_LOCUS9875 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFLQNPNTWKTTLCIFLLTTTFLVAYSARILDELDSQPQAISNLPGPGAAADAGAAIPSGAAGASVSAAIPSGAGVGAAIPSATAIPQVGPITASPSGITPAATNIDTVTPGSGEVDPNADPSENELAPAEDIAPVGSPTTDETPEELPQPEAEAPLPVVTAKPVAKEPSLSFFMHDILGGSHPSARVVAGIVANTDVTGLPFSKLNNNLFPITGGIPLVNPKLNGIVTSNNLPNLVGLNAAQSSTVFKNSGTSNTVSGGNNQPFVSAGNLPGGFTIQKLMFGSATVIDDQLTEEHELDSSVIGRAQGFYLASSLDGTSKTIVLTVLVHGEQHHDGVDDTISLFGIHRTASLESEVAVIGGTGKFENARGYAAVETLLKEDQHTTDGADTILHFNIYLTE >CAK8533377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610677880:610679238:1 gene:gene-LATHSAT_LOCUS3010 transcript:rna-LATHSAT_LOCUS3010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEQSFKVIEVSNVEPFHEPSQSPTSLHLTFFDLLWLRFPPVQRLFFYELTNSTTFFYETLVPNLKNSLSLTLQLFLPLSGHIVWPIDSSKPIINYVRGDSVSFTVVESNESFENLSSNHCEASKRYHLIPLLNTSHEKASLISIQVTLFPNKGFCIGVTTHHAAFDGKSSTNFMKSWSYISCSNPNLENVTPCFDRSVIEDHYNGITEAYVDALMKHYGPNNKSLKVWEFPDRLKNDAVKSLFELSPSNIQKLKNHARNEMKMNVTNLSTFSVTCAYVISCLAKAEEPKDEKVSFIFSVDCRTRLESSISSMYFGNCIAGEKIELETKKLIGKDGFLSALEGINEGLNKVKNGVINGAENWLHDMLNSKESFKLYSTAGSPRFEVYDIDFGFGKPEKVDMASTDKTGAFSLSESKNHNGGIEIGLALDKHEMEAFSSFFVQGLESFFGY >CAK8568506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612260327:612261076:1 gene:gene-LATHSAT_LOCUS21456 transcript:rna-LATHSAT_LOCUS21456 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHVTTGQTKTLASSSSSLSSTSSYTSSGNNDDNNKKMYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPQKAARAFDAALYCLRGRHASFNFPDSPSHLDITAVSNDPQQIREIAANFGNKNPPIVICSSNNINNGNDNTDQSKIVTEIIGSSTSTTSTTAMDDNGNAIDWTFLNVLEDGSSNDATENYDDFFYSELEKIHSDELLYYSSPQLFEEDNNQMELVEEDGYDPFSHQSFLWSWNF >CAK8562740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532611074:532616457:-1 gene:gene-LATHSAT_LOCUS16211 transcript:rna-LATHSAT_LOCUS16211 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPSQRMFQLKLNPLTGNSEWVIIDEDRDEQTFDQSLLATTSYLDMLNDSTRNTAFRQAIEKTITKPCHVLDIGAGTGLLSMMASRAMGGKGTITACESYLPMVKLMKKVMRVNGIEGRIKVINKRSDELIVGLDISSRADVLVSEILDSELLGEGLIPTLQHAHDNLLVENPLTVPYRATTYGQIVESTYLWRLHDLNSNEAGSYGGVQLAPPGFESVLSVKRQQYAMHCDSIGEELKPLSEPFKIFEFDFWKRPESYGETELRIKATVDGRVHAVVSWWELQLDPEGTIYYSTAPGWIKSTTATSPVDWRDHWKQCVWFVPGSGISIFKGEEVHLHATHNDTSISYNLDPQVPRSEVLHNGLTPGDFQLVLPPERVAIYGDKGWRLSMLKALENVLQGRSRSLCLVADDSVYLPLLVAKLSEAPHVISSLGLKDRGLQYLQAAVRANNLSPNCMTVVEKGVKKLTMHDTNQKKVDLLIAEPFYFGQDGMLPWQNLRFWKDRTTLDYILSEDAIIVPNKGILRARATYLPDLWKSRCCLSKIEGFDHSGVNATLGACGHLPELEESPCLPFFLWQCGEFDVLSETFDVMEFDFTKQIGQCQGKSQVKFTKTGVCHGFVLWIDWVMDSQSSVVISTGPDKRYWKQGIKLLATPRTVGPQELTNPRACSSAALEAFFDPSNGELKIILDFL >CAK8572967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:573626933:573630778:-1 gene:gene-LATHSAT_LOCUS25465 transcript:rna-LATHSAT_LOCUS25465 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDHILSQTIIVTSEEVIEMVERLKAEFIYLQNNIVSWSRSERENVWLEEVKELCNYTESIVDNFILVKERWSKMGRLKKLLYLLADYASENEFKKQLKYIRTRIGDATHRSLTYEVGGLLDMGLELKNTTPVPDFTQESYIILDILFLIATTLSDSRPLSFLCLIIFFFAVYMIVDARGKRTKRKSKFSLKKKKWKGTKTIRWFIRFLFFTVIYLPNFYLFQFHPFLSMFLFMLSYLLIEVIAWSRNLWRSLDTNLKCTQIYLAQIRAFLSDTTESAEGLNKRQTVWVDQLRVLSQYGQSLIDAYQKGKGGCLSRIKFSKDINCLLKEILDISDRKVIYCIGNIQRTRQEILPLLVPNRSIQERDIENEIIAEHDESSDATPAAAASSSSYQPVTGFKKKVQLIRGEKDLMDALLLDANAMGELDGRSRIWVEQLRVISHEAQSVINKYYAKLKHMPILNYIFKYWTRHVVSKKLDGIINKIEDTSRRRKAYGLVHIQSRVVSKVQILRARMQPSLVVKNSSVVGFDDDTQVLMAELLSDEKRRCITWIVGIGGTGKTTLAKLIFEDNTVVTHFQHHIWVSLPSNCTTNQFVAEIGKAAAKQITVEEENLSTNYLLGTLACTKYFIVVDGIEKTSKVYLDALNRAIPDMSTGSRVLFTTRNANVAQHAAGRIILHPLQLLDDETSWLLFTRHLKVDIPPKSETGLIKIGKNIVMKCGGLPLQILKMSDLLSHKDITHEEWSSVLGGQQLNEDQMQSWSEMSDTINTNLPSYLRRCLFYFVLFPAEFEIPVRRLVVLWVAESLVHQVEDNEVPPELVAERYLTELIDRNMVQVAKRKHNGKVKTCRLPSTLQQLLSKANESRFLQGHGAAMDSNADPKKSIIRRVVDHLDKDDIWNDHIHGDSTDSTSFQTYYKDVLSFHSFDTQEGSKPGQQVGNFLKGCISSDNFLLLLVLDLERVYKPNLPKCISRLTRLRYLGLRWTYLESLPSSISKLLKLQTLDLKHTYIHTLPTSIWKMELRHLFLSETYRTRFPPQPKSNFLSDLQTLWGLFVDEETPVKGGLDKLVNITKLGLACQSMSLNQEPMIAQLETVSDWITKLEYLQSLRLKSRDEKGRPWTLHLKSFENNAYLTNMYLLGSLNSSSILSQFPLSLIELTLSHSKLQDDPMILLKDFPNLRTLCLLAESYTGTTMVCESHSFPQLYVLKLWKLEQLEEWKIEPEALPCLRQVEIRSCPHLKMLPDGLEHISTLLELKLTNMPREISPETFNIPPNCQVVQTHIQ >CAK8567608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528521296:528522632:-1 gene:gene-LATHSAT_LOCUS20645 transcript:rna-LATHSAT_LOCUS20645 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQIPRKLVSDWEPSNLISNRVQADSVCNHRKLNSDKLPEMKWWLHVKSNMECDTDADYSCKTEEFGALYAEFLDGNVKNGEDQSIIDSDALSYIGSDHLSVDQPRNVSSTCMKNNNSRMPKIEASMNNNFSPKKKDWKEFGFSDGHFMDCDVSEFSVSEKMATCLMGNEKPGPWWRSAGKDELASLVAQKSLEHVENCDLPRPQPKHFGQRFSKGVEIDRDKIKSSSINQKAETCSSNADGYTSGTPNPDCSFQDSSTHFSSGRSKDCQINSENSSITELLEALCHSQTRAREAEEAAQQAYNEKEHIVSLFFKQASQLFAYKQWFYMLQLENLCLQLRNKNQPLLSLSPQRGKNFKKNRGRAEKKKISHRKCGIRKCVVALAVGLSLVSAGFLLGWTMGWMFPSM >CAK8534409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724038449:724041695:-1 gene:gene-LATHSAT_LOCUS3957 transcript:rna-LATHSAT_LOCUS3957 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTALYQIQSLFLYKNNHPRLSVSQTHPFRSNFFLQRKLSFEVPRMPRKETVVVSATTAEKKRHPGEAKGFVEEMRFVAMRLHTKDQAKEGEKEVKKPEERAVTKWEPTVDGYLRFLVDSKIVYDTLEKIVQDAAYPYYAEFKNTGLERSASLDKDLEWFKEQGYTIPEPSSPGLTYAQYLTDLSQNDPQAFICHFYNIYFAHSAGGRMIGKKIASQLLNNQALEFYKWDGDLSQMLQNVRDKLNKVAEEWSREEKNHCLEETEKSFKLSGEILRLILS >CAK8536730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11665185:11666044:1 gene:gene-LATHSAT_LOCUS6064 transcript:rna-LATHSAT_LOCUS6064 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVLNPFKFLQFKTSSFFRIIPKPLISFQPQSTSLMKKPIVIKVRANARKESAKIRNRKMQKKFNGTTTHPRLSVFCSDKQLYAMLVDDQNKKCLFYGSTLQKSFRENPSCSTAEAAQRVGEALVKACVDLNINEISFYDRNGFGRGERLNAFEIAISNYGFLSR >CAK8541844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414250729:414262478:-1 gene:gene-LATHSAT_LOCUS10737 transcript:rna-LATHSAT_LOCUS10737 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAARLKKPDTVSKLVLEEVIGLTTKNGNGLASNSYSSKCAYLAGCVVVILDVNSGTQSHLIASDRLQPKPLRCVALSRDGRFVAAGEAGNQSLVLVWDSSTLSVVSELKGHLNGVACICFSPNGKHLVSVGVYIYLWDWRSGHLITKLQATSSSSTVSSVSFSSDAKFIITAGKKHLKIWTLESSRKAQQNGGMRKTVKTASLTIHEKISNLPIQKECSFTSVVSSVWTNSSDDNRKQAGRLFSMYTLTDSGILYLIHSGLSVKKSVILKVQKAFALSISGKLIACACNNGTVLLYTPESLEYAGCVLYSKAKKFHEEDNTNYHAIFPEQNFQQLPALPDAVACQFSSSEKLVVIYSDHSLYIWDIHDVNQATKCYVLVSHSSCIWDIKNLCCENMHDPSLACTAKGCLGGISFATCSTDGTIRLWDISLQSDLSKKDTEELNNVLLGSSCLVSAGTFERDAVTVDLTRQEFRSLAVSSDGKYLAAGDCKGNLHIFNLQTPDYTCLRGAHDAEILTLSFTLSTQDISGEIAKSSCFLASWGLDCIIHLYDVERNFDLIGSIDDHSAIVTSIKINSDGGDKFFGQPIKAIMDPSCTYVVCSFSNKSICMYDFMTGEMVAKATGHAEIVTGVIFLPDCKHIVSVDGDGCVFVWKLPTSLSSKILEKIMEKSNPLHSRTPGQPSACSHISSCKEECQHCKISCRDVCSLKKESKSGNGVLNSKSIHGEASCFKYSISRLPKWAQAKVTQCNDVSYISSEAHPALSPEVQIPPNCPSLSPETLNTQCTSRPGGTFNNTDLDNHWHNVYTNCMDALSTPEMQNVLEAKVPKIASSSTQDRSMISKDRISFELSSLNEETGLVLDQHVGSNNNNYSRYYEEVSNRRKEEQLHLDESGSMLKTTREDNLNSLSCVKDSDIFKQHICSLSSTHKMKSRNSSVRRFSSRYTVHWDYAGHYKKLFSSPVRNITDSKKSKDEVATDNITENRSLQLMEIQEAENSSEENLKNSTPNSRHELTSCPTRENSIDNQLGLDRDQREGGCDGSELQETIAACKEAFGSLDAAAERAVQLFSKLEKGYGEEVSRDEVQFLNDAFELLPLIVKKVNTVARFVQCRKNNNCVSS >CAK8540541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10423755:10426335:-1 gene:gene-LATHSAT_LOCUS9538 transcript:rna-LATHSAT_LOCUS9538 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEIKSPEAPQQGTPTPCSSMNRKKLGIYFIESEDRRMALGRGYTRGSTPVNIHGKSIADLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFASSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITICATMSIFVPNQENCDQLSLLLGNCEPAKPWQMTYLYTVLYITAFGAAGIRPCVSSFGADQFDERNKNYKSHLDRFFNLFYLSVTIGAIIAFTAVVYVQMKYGWGSAFGSLAIAMGISNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAYRKRNESFGSSEVIGLYEVPGRKSAIKGSQKIAHTDDFRFLDKAALQLKQDGPNPNPWNLCTVTQVEEVKILIKLLPIPACTIMLNVILTEFLTLSVQQAYTLNTHLGQLKLPVTCMPVFPGLSVFLILSLYYQTFVPVFKLITGHPHGASQLQRIGIGLAVSILSVAWAAIFEKYRRNYAVQNGFEASFLTPMPNLTAYWLLIQYCLIGVAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFFATLINSVVKSVTGNSDKRQESWLSQNINTGKFDYFYWILTSLSLINFCVFLFSAHRYKYRTQHVYEMENVKHDVINNGSSITVSS >CAK8565212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:70212951:70214856:-1 gene:gene-LATHSAT_LOCUS18445 transcript:rna-LATHSAT_LOCUS18445 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEKQPHHSHLEIQINHLPITKNESNLNHKTKNQSSLSTILTQIHAGYFRISLSLSIQSLLWKVLIEPIKDAHFLRHMFTLIPSTALTLLWSLALFTLLTLSLLYLLKCFFHFDKVKDEFSNQINVNYMFAPWISWLLLLESSPIVPPAALHYKILWLVFVVPIVILDVKIYGQWFTKGKTFLSMVANPTSQMSVVGNLVAAQAAAVMGWKESGICFFSLGIAHYLVLFVTLYQRLPGNNKIPAMLRPVFFLFFAVPSMASLAWHSVSGCFDIASKMLFFLSLFLFLSLVSRPLLFKKSMKKFSVAWWAYSFPLTALAIASAQYAHVVKGIVAHVIMLVLSLISVLVCLMLMIVSALNIRVPLKAHKHISKQTNNILMQFEQY >CAK8566917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472354826:472359101:1 gene:gene-LATHSAT_LOCUS20013 transcript:rna-LATHSAT_LOCUS20013 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIDFVSSAIISTHSNPKLLSFSIPKPNIKIKTKPYSLNHSFRLSNLTVSAIKDNNPDSHIPNVAEEITKHPLDEKDLGWLPAFPHVLIASMSNFTFGYHIGVMNGPIVSIAQELGFQGNSFIEGLVVSIFIAGAFIGSVSTGSLVDKLGCRLTFQIDAIPLILGAIISANAHSLDEILWGRFLVGLGIGVNAVLVPIYISEVAPTKYRGSLGSLCQIGTCLGIIASLSLGIPSESDPHWWRTMLYIASVPGFIVGLGMQFAVDSPRWLCKAGRIDDAKKVIRELWGASDVEGAIEEFQSVSKNDGSDLDSRWSEILEQPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFHDVGIQSSALASLFVGLTNFAGALCALYLIDREGRQKLIIGSYLGMAISMFLVVYAVIFPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIIPELSSTRTRGKIMGFSFSTHWVCNFVVGLFFLEMVEKFGVAPVYASFGAVSLLAAAFAHYFLVETKGRSLEEIERSLNPKA >CAK8575829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332056979:332066116:-1 gene:gene-LATHSAT_LOCUS28061 transcript:rna-LATHSAT_LOCUS28061 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVDSLDVCPTEDAIEVFLQHLVDPLLPENSSVKDNPTPSQQESIAKQVRSAVLLYNYYHRKQHPELEYLPFNEFCKLIVVLRPPLLAYMQFMQNFNEVELIDVEKQLSLTEKVIMDACDVSKCLDASKNAPNKEGWPISEVSILLIDGKKENCFLLFGSITKGVWSVVEKSIDASSQSSEVTPEMNNTYKRKRIVKKSKKEDLKVDEDGLLQIGYSAVKEATGINRTDIMLLESYTIYSLSKEKAASRFFIMQCSQSINQDIIKVPLKDVIESLQGPLVKKCSSSWTITPAVAYFHVLPYSDIITQCISRKESLEQKENNGSCAPSPCDFIKETHEVDVNGSSIYPSQNREKRQNITKTIQVMKVDSTNMIVNGGGTDNIVSCVKLCANSPNTLYEKETTDACTQSLNNANSDTKKLPSLQDSKKTLSRTAISSLIRKRNELALQQQKIDDEIASCDEKIQRMLTDGKDDYESMIECIMEGCNDASVTNQDGTGGQQSFSRKRDLSALQSSCQDPDGVMQ >CAK8575828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332056979:332066116:-1 gene:gene-LATHSAT_LOCUS28061 transcript:rna-LATHSAT_LOCUS28061-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVDSLDVCPTEDAIEVFLQHLVDPLLPENSSVKDNPTPSQQESIAKQVRSAVLLYNYYHRKQHPELEYLPFNEFCKLIVVLRPPLLAYMQFMQNFNEVELIDVEKQLSLTEKVIMDACDVSKCLDASKNAPNKEGWPISEVSILLIDGKKENCFLLFGSITKGVWSVVEKSIDASSQSSEVTPEMNNTYKRKRIVKKSKKEDLKVDEDGLLQIGYSAVKEATGINRTDIMLLESYTIYSLSKEKAASRFFIMQCSQSINQDIIKVPLKDVIESLQGPLVKKCSSSWTITPAVAYFHVLPYSDIITQCISRKESLEQKENNGSCAPSPCDFIKETHEVDVNGSSIYPSQNREKRQNITKTIQVSEDQEKNNASEHFNSNGSASVIQVMKVDSTNMIVNGGGTDNIVSCVKLCANSPNTLYEKETTDACTQSLNNANSDTKKLPSLQDSKKTLSRTAISSLIRKRNELALQQQKIDDEIASCDEKIQRMLTDGKDDYESMIECIMEGCNDASVTNQDGTGGQQSFSRKRDLSALQSSCQDPDGVMQ >CAK8563054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569941295:569943367:-1 gene:gene-LATHSAT_LOCUS16501 transcript:rna-LATHSAT_LOCUS16501 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHLSNHRDRRILSFPAVHPCEGISTAPLVASLITLSQTICNFQPQIFTTQKRNARETIRQISIISIFLQEIQENCSLIPNSIIISFSELHFTLQKIHFLMQDCTLEGANLLLLSKTRHVADQFCSLIHAVATSLDVLPLHRIEICDEVKELVELVAKQARKAKLEVEPSDEIATKKLHSVLHQFERGTEPKLHTMQEILDYLKIKSWNDCDKEIKFIEEEISLLEYRDCNEREVPLLSSLVGFLSYCRVVIFEFESPEQNSDQYEARRSTEIISITCLNPDDFRCPISLELMTDPVTISTGQTYDRASIQKWLKAGNKTCPKTGENLNSTELVPNTTLKKLIQQFCTDNGVSFSKSCNRNRDITRTISPGSSAAAHAMQFLSWFLTRRLVFGTEQQKNKAAYEIRLLTRSSVFNRACLIEVGTVPPLLELLATEDKTTQENAISALMKLSKHSNGPENIMAHNGLKPIISVLKNGLSLEAQQIAAAIIFYLCSVKEYRKSIGENQDAIPCLVELVKQGTTCGKKNAVVAIFGLLLLPRNHQRVIEAGAIHALVSILDPSYKDELVTEALAVIAALAENFDGANAVLEASALPLITRMLRSATSRAAKEHCASILLSLCVNVGADVVGVLSKDITLMPLLYSLLTDGTAHAAKKARFLIKILQDFNETTSSRLKGSSVLRQLNGLELRN >CAK8544850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709004663:709006233:1 gene:gene-LATHSAT_LOCUS13497 transcript:rna-LATHSAT_LOCUS13497 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLKTSILSKRWSELWALRKNLHFDTLNVFGETKEELLETIYLKYDYDSSIREGLVNMDKERDEFAKCVDQFVNNFRGTMIDSFLVKFCLNGDQSTIIDRWIRFAIERGAAGWSFVRIDLLFGGVLYGYSHLHNCYKFLLDLFSKLNTSTLKHLHLERCLIFHPTNYNFSPFKNLKFLSLTDIKVDEVFLENLLSNCRLLEELQLYTCEFKASKPIIISSSLLSLKIIDPYNRIPVKEKWTLLDCVKLTSLEYDGSDLNTMSINAPMMKSIDFIIEYEQDLDIFALSKFPQLEIMSINMSSTVITSLKITQSLKHLKQLNLSLLWEGTISKEANYSLLWILNILQVFPLLHKLSIMFTNPKFLKNQKDIEDIERFSHNKVKVIELGGCVGNWFEIEFVINILKYVSKLEQVVLSPCWKEHGTMNWMSDPVSFQIARERITEKLQGQQVVG >CAK8543307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599313044:599314638:1 gene:gene-LATHSAT_LOCUS12073 transcript:rna-LATHSAT_LOCUS12073 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSCELHQNQRLLRFRSTTSSSSSRDFLDNDNNNSFNNTNSSGASSSMLVGSSMRMRMDQPSSSSAAHFSNNIISFQNGYDTMKGVENYDGVNDSSDGELTLSMNILKNQIGFSTRNPSSLGRLSQNSKMGSDGHKFFYDYNDQNVEVGNQVDTLSHHMSLARKSSEMFVVENLHQFPDSSIPSSSIRAKRGCATHPRSVAERVRRTRISERMKKLQELIPNTDKQTCTSEMLELAVEYIKDLQKQIKIMSARRAKCRCKNQK >CAK8532075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:200466921:200471260:-1 gene:gene-LATHSAT_LOCUS1812 transcript:rna-LATHSAT_LOCUS1812 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLTVLQFLLLLLPLFLLPGIASNANLNETCGYFNGSLNRDTKALCPNGWVMDPNKTKCFLHVGRPQSWNDSETCCNKYGGHLASLSSVQEQHFAQSLCGESINSCWIGGRLLNSTISGFQWTWSDNSQWNKSIFPLANVPLNCTGTGRSCLRNSTANLCAVMTNNSKSLMSERCDNPHASLCILDLDRRCNHMHCHRDYLIILAVVSGLILSTTLAVVVWLLVFKRGKKRRRSRKLSDPATSLPSWKVYTKEELRSITKNFSEGNRLAGDTKTGGTYSGVQPDGSKVAVKRIKRSSFQRKKEFNSAIGRVARLRHPNLVAVMGCCYDHGDRYIVYEFVANGPLDKWLHHIPRGGRSLDWAMRMQIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPYEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDSVGWQSIFEWATPLVQAHRYPELLDPYISSSSTSIIPETSAIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQFAQPPAK >CAK8568382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:600520731:600521058:1 gene:gene-LATHSAT_LOCUS21342 transcript:rna-LATHSAT_LOCUS21342 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNNKRYFLIGNQATEPFRVAFDIIVSDDICWISYRDHRDTQSLESSRRKMTGLGKLDTNQVQSRNA >CAK8564771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9361297:9363050:1 gene:gene-LATHSAT_LOCUS18041 transcript:rna-LATHSAT_LOCUS18041 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFGKTFFLLLLFSSIFSIVSSRKEPSSNNIDWWCNQTPHPQPCKHYTTQMNNHFKIKHRVEFRRMLVQLALEQSLIMQKESQQNSSVEHKNVRGDCLKLFENTIFHLNRTLEGLNNANKICSPNDAQTWLTTSLTNIETCKNGALELDAHRDFNFIVQNNVIEMIRNTLAINMYFLKHKKETGEGSFPSWFSKHERKLLQSKSSLKFNLVVAKDGSGQFKTVQAALDTAAKRKYKTRFVIHVKKGVYRENIEVDVHNDNIMLVGDGMQNTVITSSRSVQGGFTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAISGYQDTLMTHAQRQFYRQCYIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNTGISFHNCQIRAASDLKPVVDKYKTYLGRPWQQYSRVMVMKTFMDTLVSPLGWSPWDDTDFAQDTLYYGEYENYGPGSSTKDRVKWPGYHVITSPNEASKFTVTGLLAGPSWLGATTVPFTSGL >CAK8563340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593908391:593909982:-1 gene:gene-LATHSAT_LOCUS16764 transcript:rna-LATHSAT_LOCUS16764 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHSQWIQPLVAVSVGSFIAFRAHRKKSLSTSGALAGFFVMSLHIFIGFRFAAMLLAFFFTSSTLTKKGQDKKRLIDPEFKIGGQRNWIQVLSNSGIASVLVVALWVLTDGKDYCLNAKESPLITALIGGVIGHYCCCNGDTWSSEIGVLSDDRPRLITTFKPVRKGTNGGVTKAGLLAAAAGGSVIGLSYVLLEFSTIRCGSDRVLKQLLVIPIATTAGLGGSIIDSLLGATLQFSGFCSIRQKVVGKPGPTVKKISGLSILDNNAVNFVSILLTTVFTSIACLYIF >CAK8569900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14893460:14895067:-1 gene:gene-LATHSAT_LOCUS22702 transcript:rna-LATHSAT_LOCUS22702 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTFADPESLSHVYNLLQNSFHQMNNPNNNSAGSTNIRKRRRKNEGGGADDGDEDDDKGSVSINNGENKKKKEELKGILTSILLLDEQEKQEIDNSRRVSEDEKFSLETNHKKKTKAMSEYFSNLDDCFSQVEESERVKRKTTRNMSNSVVFAAACSESFEEESSERVNETNGSKSGGSQRRLWVKDRSKAWWDECNKDDFPEDEFKKAFRMGKSTFDLICEELNSAIVKEDTTLRNAIPVRQRVAVCLWRLATGDPLRIVSKRFGLGISTCHKLVLEVCTAIKTVLMPKYLQWPNEASLRKIKTEFEGISGIPNVVGSMYTSHVPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDTNGVFTDVCIGWPGSMPDDQVLEKSALFQRANNGGLLKGNWIVGSSGYPLMDWILVPYTQQNLTWTQHGFNEKIGEIQKVSKDAFARLKGRWSCLQKRTEVKLQDLPVVLGACCVLHNICEMKGETMDPELNVDVVDDEMVPEVGMRSVSSLKARDAIAHNLLHHGLAGTSFL >CAK8542231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491995008:491995598:1 gene:gene-LATHSAT_LOCUS11086 transcript:rna-LATHSAT_LOCUS11086 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFRTCFTSLNKKAKFLFNEPRSIDSTLESNIRFSSFVDMGISNQFKQVFKLIDTNGDGKISTSELSELLSCLGYKASIAAKEAEGMVNMLDSNGDGFVDLEEFMVVVDNKEGKFGCASDKEQDEYLMDAFHVFDTDKNGLISAKELKRVLINLGFDHCSIGECKVMIKRVDKNGDGFVDYEEFRSMMKLGLAN >CAK8563785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631022916:631024280:-1 gene:gene-LATHSAT_LOCUS17160 transcript:rna-LATHSAT_LOCUS17160 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDENVVLNGDWGLPNPSPRTLFSRMLDEESNSVTRQISEQSESDRIEVQDGDSGTQLSDGSYRNDHKLNSRGGLVERIAARTGFNAPRLNTEGIRSTELSLNSEIQSPYLTIPLGLSPATLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRGTELSSDDQQKSKLNGFNDMYASSFAFKPAPDTGPFFYHGAGRNINQTTLPQQTLHSFEASVQSQRVDATENKSSLHLKAEFSDSPPQKDNSAPMEDQAEENGTEKGISQKNQQMLPQILANTSEPTFHLRHALSTNGSDSVRRTHKCCVYIAGSSKYCARLNSIQAYNDINQNVIGEASHLSEYSFSAHNNIIDPTAELGAKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGADVKVVNSVVMNHVTIGDLCSIQGSVICSNVQLQERAILKDCQVGAGFVVTAGSECKGEVLANK >CAK8560387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16933225:16934043:1 gene:gene-LATHSAT_LOCUS14064 transcript:rna-LATHSAT_LOCUS14064 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSAIATPIIQRDYVLKHFPTTVSSTYNSVDFIIGFATEDYNQNGEGKGDFHSTWDLATFSPEKVKELKKNYPDVRVVISIGGYIGTYSPFNPIEKKDVWISTAVYSLKKIIHIYDDKYHRNMIDGIDIHYGNVKSDDFSYCIGEVIKSLKTDPQLTIKVVSITAGEYTQSDYLKLYVENQEYIDIVQYLFTNWRYCKEDLLDFYKKLIACYTPAQVLPGYLNPSFSGDKAKETVMYLVKQYLAPGFFTYPSYDSPSPFSSEEDASKNI >CAK8531788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151536056:151540894:1 gene:gene-LATHSAT_LOCUS1554 transcript:rna-LATHSAT_LOCUS1554 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTMAGLITGSNSHFSHDSDEHKPPTAKSSAKICRVCGDEIGYKENGELFVACHVCGFPVCKPCYEYERSEGNQCCPQCNTRYKRHKGCPRVVGDEDENLDGDDFEDEFPIKKHHDDLDQNRDVNHVENSDYNQPKLYPGQAFSYAGSVAGKDFEGEKESLSNAEWQERVEKWKVRQEKRGLLNKEDGKEDQVEEDEYLLTEARQPLWRKVPIASSLINPYRIVIVMRLVILAFFFRFRILTPAYDAYPLWLISVICEIWFALSWILDQFPKWLPITRETYLDRLSIRFEREGEPNQLSPVDVFVSSVDPLKEPPIITANTVLSILSVDYPVEKVTAYVSDDGASMLLFDCLAETSEFARRWVPFCKKYSIEPRAPEYYFSEKIDYLKDKVQPTFVKERRSMKREYEEFKVKINALVAKALKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSGGALDVEGKELPKLVYISREKRPGYPHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKALREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPVSEKRPKMTCDCWPKWCCFCCGSRKTKSKKKSGTSGRGLFSRMYRKKKMGGKDYVRKDSSSMFDLEEIEEGLEGYEELEKSSLMSQKSFEKRFGQSPVFIASTLMENGGLPEGTNTQSLVKEAIHNISCGYEEKTDWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYGYGGKLKYLERLAYTNTIVYPFTSIPLIAYCTIPAVCLLTGKFIIPTLTNLASVWFMALFISIILTGVLELRWSGVTIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDAEFGELYLFKWTTLLIPPTTLIILNIVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQNGPILKQCGVEC >CAK8544906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711244423:711244882:1 gene:gene-LATHSAT_LOCUS13550 transcript:rna-LATHSAT_LOCUS13550 gene_biotype:protein_coding transcript_biotype:protein_coding MESCYSRDWNLDRAWQKLFELDDLISLIRSNQLAGRNWLDEGAEVAQVTSFKGCIDDIKVSGTMLSCFLRSEISNANCNLGNLTRPQTFWKFKRSLWTFRRFAGLQKFVV >CAK8578307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619155860:619168290:1 gene:gene-LATHSAT_LOCUS30330 transcript:rna-LATHSAT_LOCUS30330-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALQFGGVRGGGGDDRVYIPVKARKNQNQRKQAQKAKNGEEEIADSAFDDNNNPKQCLDSPSTVPSVESVSNIDRFLDSTKLLVPAQYFSKTTMRGWKTCDVEYQSYFALNDLWESFKEWSAYGAGVPLLLDQRESVVQYYVPYLSAIQLYGQPTEKSSAKPRYTSEDSDGDYYRDSCSEGSSDYEYGKKTECLTAQRSSKHLTSSISSQMSTLSIHDRHNTVQEGFSSSDDSETGNPQELLFQYLEQGHPYSREPLTDKILDLARDYPALMSLRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPLTGSVTTPGLTLVYPSEMDGIPNISIPTFAMAAYKLKGSIWMKKEASDNQVMHSLLQAADKWLRLVQVTHPDHQFFKSHGTYCK >CAK8578308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619155860:619168290:1 gene:gene-LATHSAT_LOCUS30330 transcript:rna-LATHSAT_LOCUS30330 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALQFGGVRGGGGDDRVYIPVKARKNQNQRKQAQKAKNGEEEIADSAFDDNNNPKQCLDSPSTVPSVESVSNIDRFLDSTKLLVPAQYFSKTTMRGWKTCDVEYQSYFALNDLWESFKEWSAYGAGVPLLLDQRESVVQYYVPYLSAIQLYGQPTEKSSAKPRYTSEDSDGDYYRDSCSEGSSDYEYGKKTECLTAQRSSKHLTSSISSQMSTLSIHDRHNTVQEGFSSSDDSETGNPQELLFQYLEQGHPYSREPLTDKILDLARDYPALMSLRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPLTVAGSVTTPGLTLVYPSEMDGIPNISIPTFAMAAYKLKGSIWMKKEASDNQVMHSLLQAADKWLRLVQVTHPDHQFFKSHGTYCK >CAK8564167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654770441:654770596:-1 gene:gene-LATHSAT_LOCUS17496 transcript:rna-LATHSAT_LOCUS17496 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRVLNPTQLSQIIRTQKNPLKALKIFNIAKSKYPKYSHNGPLFMPP >CAK8564142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654341011:654341322:-1 gene:gene-LATHSAT_LOCUS17472 transcript:rna-LATHSAT_LOCUS17472 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8538466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483794676:483795845:1 gene:gene-LATHSAT_LOCUS7658 transcript:rna-LATHSAT_LOCUS7658 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEVKLQKHHCACRKWALSGISCVHSIVCILHNKANVDPYVSAYYRKISFMATYSYIILPSNGPRLWEKAQGDPFNPPVMRRAPGRPKKKRNKANDEPSSSNVLPRHSSIVKCKSCGNFEHNSRTCKGKTTANRQLPKGGNKAKKQKKGLTKEPPTVLTQGS >CAK8569375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697313265:697317739:-1 gene:gene-LATHSAT_LOCUS22232 transcript:rna-LATHSAT_LOCUS22232 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNLWDILDSCKKTVPLHRLQNKRVCVDLSCWVVQLHKVSHACLNERVYLRGLFHRLRALISLNCTVVFVSDGSIPAIKLSTYRRRLNNVKEVDQNESNTQNAISLRRNLGSEFSCMIKEAKALGMALGVSCLNGIEEAEAQCALLNSESLCDGCFSSDSDIFLFGARTVYREICLGDGGYVVCYEMTDIENKLGFGRDSLIALSLLLGSDYHQGVHGLGPELACQIVKSIGDKDVLKKFASEGLGWVKKRKGVQNNIGRDDTIIQVIDAYLKPKCHSADSDFVLKAHSQYPFQRTKLHHICAVYFGWPSERTDGYILPCIAERDLRQFANLRSTSSELGLNLPLHEIPVKCPVSEIIKSRKLHGRECFEVSWEDMDGLETSIVPADLIESACPEKILEFEEKKLLRKKQNVQKRRPKKKETTPSQAELDLKLQNLLLDDNLEDNTNHDASDSIERILEETTSMVDAILNTEKSMSSHDDDDIENIGWTPNISNISSMTGNECVSSTDKSVVIDLLSPTPFKPSNNSKFNSSSEQNIEVINLSDSENEVSPEHKQKAKELRLFLTSIRNEIH >CAK8532008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:190866045:190869020:1 gene:gene-LATHSAT_LOCUS1753 transcript:rna-LATHSAT_LOCUS1753 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKSAKQGQMGAVRVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPNLQKIMQDFERQNEKMELTMEVMGDAIDDALEGDEEEEETDDLVNQVLDEIGIDINQELVNAPSSAVAAPAAKTKVPQAESAATDDGGIDSDLQARLDNLRKM >CAK8537797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434827807:434830349:1 gene:gene-LATHSAT_LOCUS7051 transcript:rna-LATHSAT_LOCUS7051 gene_biotype:protein_coding transcript_biotype:protein_coding MAITASIHNHLSQNKQTLGQEAMVVPNGDADFDPSAPPPFKIAEIRAAIPKHCWVKSTWRSLSYVVRDVLVVAAFIVAAIRFDSWFFWPIYWPLQGTMFWAIFVLGHDCGHGSFSDSYFVNSLVGHVLHSAILVPYHGWRISHRTHHQNHGNVEKDESWVPLTEKVYKSLDSMTKFVRFTVPLPMFAYPIYLWRRSPGKSGSHFHPDSNLFSSNERKEVTLSTVCWFLMFSFLLYLSFTTSPILILKLYGVPYWIFVMWLDFVTYLHHHGYTEKLPWYRGQEWSYLRGGLTTVDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLVEATKAAKPVFGKYYREPEKSSPIPFHLIKYLVQSMKHDHFVSDTGEILFYQTDPELNKNHHD >CAK8533502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:627157011:627157727:1 gene:gene-LATHSAT_LOCUS3125 transcript:rna-LATHSAT_LOCUS3125 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISLCKSVDQPPNPSMANSIDFGSKFGSKSRQSNNFQRNRRNYNSSSSNSLQIPPCDRSRSAIVDVVIFIAVVLSCGYLFFPYIEFLVINSIQITSIVLVLIKEEFSIAPSIYISIGLSVACAVFATWGVVVCTTNKCGNRNCKGLKKAAEFDIQLETEDCVKNSPSFGKDIGVCKKGLFELPRDHHKELEAELKKMAPINGRAVLVLRARCGCSVGRLEVPGPKKHRKIKK >CAK8576590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496224785:496225827:-1 gene:gene-LATHSAT_LOCUS28766 transcript:rna-LATHSAT_LOCUS28766 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKLMKMAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPQIEEVNIFKDDVVIQFLSPKVQASIAANTWVVSGAPQTKKLQDILPSIIHQLGPDNLENLKKLAEQFNKQVPEAGAGTATAQEENDDDDVPELVPGETFETAAEEAKDS >CAK8534606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:747628811:747632123:-1 gene:gene-LATHSAT_LOCUS4139 transcript:rna-LATHSAT_LOCUS4139 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKYLLVVMCFWIWFISLAFTTSDDGLMRVTLKRRNLDSDSLDSARIKEAIHLRDLKSVDKNYSQKDFVVYLKNYFDVQYFGEIGIGSPPQYFNVVFDTGSSNLWVPSSKCIFSIACYFHSKYRSKISSTYKEIGIPCEISYDQGYIYGFFSQDNVKVGDIIIKDQEFSEITREGNFALSALPFDGILGLGFQDISVGRVTPVWYNMIEQEQVLDKVFSLWLNKDPSAQIGGEIIFGGMDKRHFNGDHTYFPISQKGYWQIEVGDILLANDTTGLCDGGCAAIVDSGTSLIAGPTGVVTQINRAIGTEGYVSFECKNVVHNYGNLIWESLISGLNPEIVCVDIGLCSNNELQSSNNVIETVVHNESSYGSQTKESLFCSFCNMIVLWIQVQIKQSNVKEKVLKHVDELCERLPNPVGQSFINCSSVSAMPHITFTIGSKLFPLSPEQYILKVDDDKHCSPVCYSGFVALDVPSPQGPLWVFGDVFLQAYHTVFDYGNLRIGFAESV >CAK8562476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498581112:498583887:-1 gene:gene-LATHSAT_LOCUS15968 transcript:rna-LATHSAT_LOCUS15968 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTTKTTLSLSLFLILLSLTVNQCFSLTNDGVLLLSFKQSILNDPLLVLSNWNYSDQTPCSWKGVSCSIVTITTNNKNDTLYRVTGLSLPNSQLLGLIPSDLGSIEHLQILDLSNNSLNGSLPSSLFQANSELRFLNFSNNLLSGQVPESITELKHLQSLNFSDNALTGKLPNNLPNMQNLTVASLKNNYLTGYLPKDLRTLQILDLSSNLLNGSLSPDFGGDSIRYLNVSYNKFSGEIPPEFAETIPSNATVDLSFNNLTGEIPESPVFLNQETKSFSGNSDLCGELTKNPCSIPSSPSSEPKASSPAIAAMPKNFDDDSPPSENSEKKQSGLRKGTIIGIVVGDFVGIGILAMVFIYVYKLKRKKDQDNELKNEAATTTRSESSSSNSETRGFTRWSCLRKRTEDEESSETPSSSDSDVEAASKTVEGGENQKQGENKTGSGSNTGTLVTVDGERELEVETLLKASAYILGATGSSIMYKAVLEDGTSLAVRRIGESGVERFKDFENQVRVIAKLVHPNLVRVRGFYWGHDEKLIIYDYVPNGCLANVRYRKVGSSPSHLPWEQRLKIAKGVARGLTYLHEKKHVHGNLKPTNILLGNDMEPKIGDFGLERIVTGDTSYKAGGSARIFGSKRSTTSRDSFQDVTLGPSPSPSPSSIGGVSPYHAPESLRNLKPHPKWDVYSFGVMFLELLTGKIVVLDDMGQGPAVIVEDKNRALRMVDVAIRADMEGKEEALLGYFKLGYSCVTNVPQKRPQMKEVLQVLEKIPSTLSYWS >CAK8536203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916739552:916740102:-1 gene:gene-LATHSAT_LOCUS5594 transcript:rna-LATHSAT_LOCUS5594 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQFVVDLNSKMNNPYAANPGEATVMGFEVLKTSDSGYNNVYTLTDDEARDPPEAPPQLQQTFIGYPANVGSSSSLPLPQSAILNHLYIENREPQRSVVALGFTQRFRNKYVTAVLYKPVQRRGTTSI >CAK8534142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698474501:698479004:1 gene:gene-LATHSAT_LOCUS3709 transcript:rna-LATHSAT_LOCUS3709 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKRVFFSFENIEEVAVDFFNYLLQEKPKISFFIPFILIALVVEKWVFSFSTWVPLALAVWATIQYGRYQRKLLVEDLDKKWKRIILNNSPITPLEHCEWLNKLLTEIWPNYFNPKLSSRLKAIVEARLKLRKPRLLERVELQEFSLGSCPPSLALQGMRWSTIGDQRVMQLGFDWDTNEMSILMLAKLAKPLMGTARIVINSLHIKGDLILTPILDGKALLYSFVSTPEVRVGVAFGSGGSQSLPATEWPGVSSWLEKLFTDTLVKTMVEPRRRCFTLPAVDLRKKAVGSIIYVRVISANKLSRSSFKASRRQQSGSTNGSSSEDVFDDKDLHTFVEVEIEELTRRTDVRLGSTPRWDASFNMVMHDNAGTLRFNLYECIPNNVKCDYLGSCEIKMRHVEDDSTIIWAVGPDSGIIAKQAQFCGDEIEMVVPFEGANFGELKVSIVVKEWQFSDGTHSLNNLRNNSRTSLNGSSNLQLKTGKKLNITVVEGRDLAAAKEKSGKFDPYIKVQYGKVIQKTKTSHTPNPVWNQTIEFDEISGGEYLKLKVFTEELFGDENIGSAQVNLEGLVDGSVRDVWIPLERVRSGEIRLKIEAVKADDQEGSTGSGSGSGWIELVLIEGRDLVAADLRGTSDPYVRVHYGNFKKRTKVIYKTLTPQWNQTLEFPDDGSPLILYVKDHNALLPTSSIGECVVEYQRLPPNQMADKWIPLQGVKRGEIHIQITRKVPELHKRQSIDSEPSLSKLHQIPTQIKQMMIKFRSLIEDGNLEGLATTLSELETLEDTQEGYVAQLETEQMLLLSKIKELGQEIINSSPSLSRRFSESVN >CAK8577832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:592168056:592171120:-1 gene:gene-LATHSAT_LOCUS29901 transcript:rna-LATHSAT_LOCUS29901 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSVIWDFAKSLFRCTNAQVAYVYKLQENLESLMEKWEDLENKKKDVQTEIDRAESTGVMKRSNEVIGWLHEFQKFEEKMKDIPKFQEVQSNQCLNGYFPKNCVSSYKLGKTIVKRLDDINGLLARAGNMQIALKQPPKPIDEMPFSETIGLDLIVQKVWNSLEDDTVDVIGLYGMGGAGKTTLMKRIHNELGTRDHSFDLVLWVVVSRDCDVNKIGNDIHKKLGIDEFFWNTSTHEQRVAKIYDRLKGKKFLLMLDDLWGKLELEAIGVPDPKKNNKSKVMFTTRSEDVCDKMQAQKKHKVECLSEKDAFDLFCKKVGDETLKCHTEIRKLAHEMAKECGGLPLALITVGSAMAGVKSFEAWMVAKNNLRSSSWTASDLEDKVFRILKFSYDKLPDKAHKNCFLYCALYPEHFEIDMDDLIDRWIAEGFLCRDDTSIFDMYIQGKSIIEKLTLSCLLNESIDIESFRYLERNNRAVKMHDVIRDMTLWLARDEDENKDKVVVQGEGFSISEIDSKRLNSIERISIINDKSFLEEFHLPACPNLITICFRSQRVSILSNLQFLKRLRVLDLSYTTSLEIISPEIGELINLEFLNISGTSVSSFPIEFKKLKNLRVFLMEYMKGFSSNIFPLAVIESLEQLKVFRCSRSYVNGEDEISLLEELEALPKLEELSIQLIDITSMQRLFNSTKLRGCCRCLKLHCSGVDIKKLDTVEMSSLLASMSEMTHLDCIHLILMRSLVDGSRVTEKCYLGKLRQVRIFICGSITNLTWLRYAPFLEYLVVYACVSIEHVVKEAKDDEGVGYESMNDNIFTNLKDLCLHNMPKLVSIYPKGLAFPSLQRICVTNCPNLRKLPFNSTFSSKDNLVAIQGSTEWWDNLEWDDTFIQHFLRSKFQHNKGMGIEFLDWYLNR >CAK8564103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652049442:652056459:-1 gene:gene-LATHSAT_LOCUS17442 transcript:rna-LATHSAT_LOCUS17442 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEKNMGGKKLQKSYFDVVGLCCSSEVPLIENILKPLEGIKQVSVIVPSRTVIVVHDTLLISQLQIVKALNQARLEANIRVYGDEKHKKTWPSVYSMASGLLLALSFLKFVYPPFKYLALGAVAAGILPIILKAFVSIRNVRVDINILVIIAVIATIAMRDYLEAGTIVFLFSIADWLESRASHKANAVMSSLMSIAPQKAVIAETGEVVDVDEVKVNTVLAVKAGETIPIDGVVIDGNCEVDEKTLTGESFPVAKLKDSIVWAGTINLNGYISVMTTALSEDCVVAKMAKLVEEAQNSKTSTQRLIDKFAKFYTPAVVVISTLVAVIPLVLKVHNEKYWLHFALVVLVSACPCALILSTPVATFCAYTAAATSGLLIKGGQSLETLAKIKIMAFDKTGTITKGEFAVTNFQSLSDDIDLNTLLYWVSSIESKSSHPLAEAIVDHGRSLSIEPNPEKVTEFENFPGEGIFGKIDEKVFYIGNKKIATRAGSKTEVPTLEGEVDGGKTVGYIYSGPTPVGIFSLSDTCRSGVQEAIRQLKLLGIKTAMLTGDSQSAAMQAQEQLGGALELVHAELLPEGKVKIISEFKKEGPTAMLGDGLNDAPALASADIGISMGISGSALASETGDIILMSNDLRKIPEAIKLARRSRRKVIENIILSVITKLAILGLAVAGHPIVWAAVLADVGTCLLVILNSMLLLPRRHKHGGKSCKSSTQHHVHKNGCGDTNGCSSHHHHQHQHQHEPEHQQQHHHHHHHEHEHQHQQHQHQHQHQGHKHCCSDKAEPQKHVAKSCSSKSPPCPSNPSLSGSVPHLKNTENHDQCKGSHELHESDHCHHGRCDKSQDEVQKHDTENKCCSDSHNLNLNARDVNTASIKSHGHCLGHKSHGTKHCHNQNVGMVTRDSTSHSSPCHLNPCDKKQSQQLGEHCHSNHSCEKLQNHGTIHDIQHQKTGCHSDNHGCENLHDHGIIHDIPHQKSGCHSDFKKHGTSEISIDITEEHDETASKPDCSSLAEKEKDSCKDCSKTCENPPVECEGCDGSIEKEVSSCCYNEGCSKESIESSIAHACISLDKRVVGGCCKSYMRECCGKHGHSRIGNFVGLSEIVTE >CAK8579178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682106923:682108380:-1 gene:gene-LATHSAT_LOCUS31144 transcript:rna-LATHSAT_LOCUS31144 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLKVYADRMSQPSRAVLTFCRLNGIEFEEIKIDTSKRHQLSPEYTDVNPLQKVPAIVHGNFNLSESHAILVYLASVFPGIADHWYPTDVFRRAKIQSVLDWHHSNLRYGADHYVINTVLGPALGHPLNPKAASEAEKVLLSSLSNLEKIWLNGDGRFLVGGFQPSIADLSLVCELIQLEVLDEKDRDRILSPYKKVVKWIEDTKAATNPHFEEVHNILFRVKKKLQKQQSMDAEAGTETSNKMGRHSKI >CAK8561878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:402553818:402554765:-1 gene:gene-LATHSAT_LOCUS15429 transcript:rna-LATHSAT_LOCUS15429 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLQRFNGMSPTEQPDNHRRHKKTPPSTAATNKTGSTIRYRGVRRRPWGRYAAEIRDPHSKERRWLGTFDTAEQAACAYDCAAISMRGSKARTNFFNPETEITEQHHEQQQHCLFQSFNTPKQQQLQHRHISKFNGGDYLNHTSFVPSLVQHFPNKTNNTISSSSASASVSVSSSIVNVAENVIEEDSDFFPRESSGLLEEIVHKFMKTSKTTNNENKMKTETFARSVSQPIISRHHNTVPEKEGFGSVSLDQVQHQGFPMQQFESFDNGFNFNNNVAMFVGENHHAAECSIMEDVLYYPELFHSFATRMQNA >CAK8565243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79658443:79658751:-1 gene:gene-LATHSAT_LOCUS18472 transcript:rna-LATHSAT_LOCUS18472 gene_biotype:protein_coding transcript_biotype:protein_coding MNISVQQPNMQQTVKAGLAESLGISPKAGFDIVGVDRSVERAFLAMNMIRYKLRNKINDVWFNDLMGCYTELEIFKSLDDIDSIRTFTTKKSQKEHLPYDFI >CAK8537506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:379409282:379409533:1 gene:gene-LATHSAT_LOCUS6795 transcript:rna-LATHSAT_LOCUS6795 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEAPKGPFPIRPPTGTRRPALAAGAAQAVHQQPTGSKLGPLCPALRANPFPEVTDPFCRLPLPTLFHRPEAVHLGDLMRL >CAK8530659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38806292:38808367:-1 gene:gene-LATHSAT_LOCUS507 transcript:rna-LATHSAT_LOCUS507 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLGTSSIAFLPSRYLSSPSSNPSIHTLSLTSGQSCGRKFCGGIGINGTKGKSRFPVLNVATEVNSVEQGLNTIAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVFGDPYNSDPEDPEVMGIEVRDRVIKGEQLSIVLSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRETYIAEQAKLTEQITSARSLLSSVQIDQDLKVKISRVCAELNVDGLRGDIVSNRAAKALAALKGRDKVSVEDIATVIPNCLRHRLRKDPLESIDSGLLVTEKFYEIFS >CAK8537477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:367110466:367115346:-1 gene:gene-LATHSAT_LOCUS6767 transcript:rna-LATHSAT_LOCUS6767 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSIVWFRRDLRVEDNPALAAGVRAGAVVGVFIWAPEEEGQYYPGRVSRWWLKNSLSHLDSSLRNLGTPLVTKRSTDSISSLLEVVKSTGATQIFFNHLYDPLSLVRDHRAKEILTAQGITVRSYNSDLLYEPWDVNDEHGQPFTTFDSFWERCLSMPYDPQAPLLPPKRIIPGDVSRCPSDTLVFEDELEKSSNALLARAWSPGWSNANKALTTFINGPLIEYSVNRRKADSATTSFLSPHLHFGEVSVKKVFHLVRIKQVFWANEGNKAGEESVNLFLKSIGLREYSRYISFNHPNSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKCDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVEIDAATVRLEEALIQMWQLEAASRTAAENGTEEGLGDSTESAPIAFPQDIQMEERHEPVRNNLPHGTRRYQDQMVPSMTYSRVRVEDEETSSVRNSAGDSRAEVPTNANAQQNGREPMDQGMLQNVNRNTRQRRNNTTTTTTFWLRNTAEDSTAESSSSTRRERDGGVVPEWSPQASNFSDQFVDDENGIGATSPYLQRHPQSHQMMSWTRLPQTG >CAK8542351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:503080414:503080734:1 gene:gene-LATHSAT_LOCUS11196 transcript:rna-LATHSAT_LOCUS11196 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKKATMMKVAFMLFLVGFTATVDAHFDRTSFITQVLSKSNDVKSTTTACCDSCPCTKSIPPQCRCTDIGETCHSACKSCYCTKSIPPQCHCADITDFCYEPCN >CAK8564355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669628559:669630177:1 gene:gene-LATHSAT_LOCUS17669 transcript:rna-LATHSAT_LOCUS17669 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDIIMFSFTLLFFRWWWTRWSKTGGGAKNLPPGPPGWPIVGNLFQVILQRRPFMYIVRDLRLKYGPIFTMQMGQRTLIIVTNADLIHEALIQRGPQFASRPKDSPIRLIFSMGKCAINSAEYGPLWRSLRRNLVTEMISPLRVKQCSWIRKWAMEAHMKRIQKEAHEKGFVEVMSNCRLTICSILICLCFGAKITEERIRDIESVLKEVMLITLPKLPDFLPVLTPLFRGHVKEARKLRKKQVELIAPLIRKRKAYVESNGNNGDPEMVSPVGAAYVDSLFELEVPGRGRLGEEELVTLVSEIISAGTDTSATAVEWALLHLVMDQEIQERLYKEIVDCVGKCGVVMDSDVEKMSYLGAIVKETFRRHPPSHFVLSHAATEETELGGYTVPADASVEFYTAWLTEDPSMWKDPNEFRPERFLNGDGVDVDVTGTKEVKMMPFGVGRRICPAWTLGTLHINMLMAKMVLAFRWLPVPNNPPDPTETFAFTVVMKNPLKAVIVPRII >CAK8533467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620590108:620591226:-1 gene:gene-LATHSAT_LOCUS3090 transcript:rna-LATHSAT_LOCUS3090 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSLNIRGGGSALKRRRLSVIIIKGEADMFLVQESKISNMEDFVAYSMWSKMDVDFSFSNSIGYYGGLITLWKSDKVEALNSFKGEGFLGTKVIWKGKIYYVVNVYSSCVLSKKKDLWSNLLSLMDSHKDGEWIIGGDFNAVKDRSERKGRQDGENTNEMELFGNFINESGLIDVPCKGKKFTWYNSNGNSMSRIDRFFISNSTVNDWGVTGQLVGPRDISDHCPIWLASDKENWGPKPFKFNNEWFAKDDFLVFAEREWKDIHVEGRGDFVLKEKLKIFKDRLKWWNRVVFGKIDLEVEETVGDINAGDVLAETVAPGGLSLEDTNSRKEAVTKFWTNLRIKENMLVQKSRIKWLKEGDSNSGFFSQSY >CAK8544712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701516303:701516796:1 gene:gene-LATHSAT_LOCUS13367 transcript:rna-LATHSAT_LOCUS13367 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTKGGRGKPKASKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHSTLLPKKAGKGKDEIGSASQEF >CAK8579337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692335971:692338492:-1 gene:gene-LATHSAT_LOCUS31290 transcript:rna-LATHSAT_LOCUS31290 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPAKLKIIPDHFQIPTSSIESPESGKSSTTEEPGIDQSVRPSLRLWTPRKFRTTFMLNLFTLRRLSWGSDTGGQEKVELTAAEVESLRSELADLEEREAHLKAQLQHIDEVLRSARLSGYLHIRTRWSALPGEPAPIDDTEVDDRLPRFVVLHGACIFLYLLCTDISPQDSTLLSDILEVGRLPSFKHENDDIQHAFYILTRHGYRYECSSNSKIQVDSWLTALESYSKLESDRSVPNG >CAK8539183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506280462:506282111:1 gene:gene-LATHSAT_LOCUS8303 transcript:rna-LATHSAT_LOCUS8303 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFRILHRFTQRLSPRSANASRFFVHTNPLCTIVNSQLSPESPELPPWVMFSDNPTPSNSDDDFVIPSLAHWVDSNILETKPKLFVKPTLEESADLECVEAISNVLKEHYSSPELVSQALDVHGFRVSNSLVMQILKRFNNDWVPAFGFFKWANTQTPYVHSPEHYNFMVDILGKFKEFDLMWELVKEMSQIEGYVTLDTMTKVMRRYSKSRKHEDAVEAFRRMDEFGVQKDRLALNKLLDALVKGQNVELAHDVFIEFKDSIPLSSASFNILISGWCRVRKYENARKVMEERKQHGFEPDVFSYNNFIEAYCHNKDFRKVDQVLEEMRENGCSPNAVTYTIMLLGYGKAGQLSKALEAYERMKTDGIVADAPFYSSLIYILGKAGRLKDACDVFEDMPKQGIVRDVVAFNTMITTACVHSKEETALRLLKEMEESSCKPDVQTYHPLLKMCCKKKRMKVLKFLLDHMFKNDLSPDLGTYTLLVLSLCKSGKLVEACAFFKEMVLKGLTPMQSTVKVLLGKLEFKRMLKEKEHIEKLMARVGVEQKI >CAK8543556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619607216:619608736:-1 gene:gene-LATHSAT_LOCUS12306 transcript:rna-LATHSAT_LOCUS12306 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLNLDLEDLYIHLAWPLYRKYGHAFEAFKIVVTDPDTVLSTLMREIKEVGPDGQEVTKGVPAVSEEVKHSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVIRIKETIEAVSSKKRKRKKTSSEVVGGFSVFRSSTSKTIEKVQAGYDESIRLKKENKPLERDAIFRKSHNIHVSASADVTGPVIHKNQLRGALFKYGPNPIQVAFKSGEFKRQVIFIGGLTDGFLATAYLEPLAIALDRENWSLVQFLMSYSYSGYGTSSLQQDAKDLDQLINYLINEEDSEGVALLGHSTGCQDIVNYMRTNFACSRAVRAAILQAPVSDREYQSTLPQTAAMIDLAAKMISEGRGSEIMPREADPTAPIAAYRYHSLCAYNGDDDLFSPDIREVMA >CAK8569135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675032342:675035637:-1 gene:gene-LATHSAT_LOCUS22019 transcript:rna-LATHSAT_LOCUS22019 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLEMKDRSTPSNSVSPPVPSTLQHLKEIATLIETGSYSKEVRRIARAVRLTIALRRKLTGSVITSFLDHVLTPGSESHAKLSAYLPKEDDHEMEVDAATSAIQTPTTKHLLPELEIYCYLLVLLFLIDQKKYNEAKACSSASVAWLKNVNRRTVDVIASRLFFYYSYSHELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLFDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKNIADKFATTFSADGTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSPNPVADAESIVSKAIRDGAIDATLDHSSGWMVSKETGDIYSTNEPQQAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >CAK8561257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:130090571:130096038:1 gene:gene-LATHSAT_LOCUS14866 transcript:rna-LATHSAT_LOCUS14866 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIANIASDSLDKSPSSSAPPPPPPPPPPTSSAADSDLPPSHHPPPHPSYRRGRDRRDDRDFDRPPNRRDYYERNMSPPPKDRDREFKRRRTPSPPYRDRRHSPPRRSPPHYNYKRSRRGGSPRGGYGPDDRRSGYDHYGGYDRGGRGGYADDRSYGRFGHRSGAGYQNGISDMESNRAYPNFRSSGAQREGLLSYKQFIQELEDDILPAEAERRYQEYRSGYISTQKRAYFNAHKDEEWLKDKYHPTNLLKVIERRNESAQQLAKDFLLDLQNGTIDLNPGLSASSSSKSAQASEPNSEEEADGKRRRHGRGFNKQSDFTAAPTTHPISSEPRRIQADVQLSQALVRKLDAEKGIGDNILCSSDHNKNSDKSHSGSVGPVVIIRGLTSVKGLEGVELLDTLVTYLWRIHGVDYYGMIETNEAKGFRHVRPERTAHEETGKSGSEWEKKLDSFWQGRLNGQDPLEVMAAKEKIDAAAVEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHTELAVEQTSKLCEDLYTQNYMNDPEAPGGKPVMQQSQDKPLKQRLGLEGRLRDDRGNHRDHERNDRINGDKPDSPSRERQSKALEMGDHNETMYDTYAGHGVPPFASDMPPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPSSYDTSGRTMLSGPHMGGQAPIIAVNPAFRPDPRQMRSYQDLDAPDDEVTVIDYRSL >CAK8566332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:408367307:408369209:-1 gene:gene-LATHSAT_LOCUS19467 transcript:rna-LATHSAT_LOCUS19467 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNNVVVTPEVKSCINTLISTVVLPSAATAPESMAVIGGLLGFSHNNFIKRLEGSNVTGWIDSMRAASPTRVKSSENYQEKCSWILFHPSALKLFDQILFSAKGKQIVFFLDYDGTLSPIVADPDKAFMTRKMRGTLKDVARNFPTAIVTGRCRDKVFNFVKLAELYYAASHGMDIMGPTKTRNAEKSKSDRSNKAVLFQPARQFLPMIDEVYKILLEQTKSVAGAMVENNKYCLSVHFRCVDEKSWAPLAEKVRLVLNEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYENSNDVFPIYIGDDRTDEDAFKVLSRRGQGVGILVSSVPKETDASYTLQDPSEVENFLRRLVEWKRLSTNVNPTSA >CAK8537744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426552486:426552926:-1 gene:gene-LATHSAT_LOCUS7005 transcript:rna-LATHSAT_LOCUS7005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGNSMVAAPSNVIYLSSILGHDGPSSVHKCDWKCQNERVCGNMFRCKLTGLTHICDKNCNQRILYDNHSSVCRASRQIFPLTPTEEQAVRGVRRKLDAAESAPVDNIGCKRRRDAQFHPSPFERSFSAVSPICSQVGDGMDTN >CAK8571603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:447360855:447363122:1 gene:gene-LATHSAT_LOCUS24247 transcript:rna-LATHSAT_LOCUS24247 gene_biotype:protein_coding transcript_biotype:protein_coding MASQILRRTLGSRYFANHRPFSSVTTPIRATLFPGDGIGPEIADSVKQIFQAAEAPIEWEEHYVGTEVDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLSGYKTRYDDVDLITIRENTEGEYSGLEHQVVRGVVESIKIITRQASTRVAEYAFHYAKAHGRKRVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSINIGGGGIALAEAVHGSAPDIAGKNLANPTALLLSSVSMLRHLDLHDNADKIQNAILNTIAEGKYRTADLGGVSKTTEFTKAIIDHL >CAK8542431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512733932:512737012:-1 gene:gene-LATHSAT_LOCUS11271 transcript:rna-LATHSAT_LOCUS11271 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDGAFINTELSKHTSIFGLRLWVVIGILIGVAIVFILFLISLCVVSRRRHHRRTGDYKITGAAPAKEIQQIVHIPGPHMLRRPAPAKVPEIHVDIGRQEHRVVVKSDRVSSGESKGTAGSGCDTTSSFGSGSVGGIGPEVSHLGWGRWYTLRELEDATGGLCPENVLGEGGYGIVYHGVLTDGTKVAVKNLLNNKGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVNNGNLEQWLHGDVGPVSPLTWEIRMNIILGTARGLAYLHEGLEPKVVHRDVKSSNILIDRQWNSKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMEIITGRSPVDYARPQGEVNLIEWLKTMVGNRKAEEVVDPKLPELPSSKALKRALLIALRCVDPDATKRPKMGHVIHMLEADDLLFHNDRKTGGESSRSSLHEFQQEHENSSLDKRTTDEGISVESEHGSGRSNHQPTRGR >CAK8561535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:278438016:278446911:1 gene:gene-LATHSAT_LOCUS15117 transcript:rna-LATHSAT_LOCUS15117 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEENKKNMKCHVKGQRGASSFELPLVKSSFELARNFNIKLEERKTKHGKICAPITEVAALRNKKNQPLTIPQFKLSMFATTSNKEPEPKKNVSDNASRTKLPNTYAEKSIEREVSLKTNRGLKIQDPKDQSLISKRNQPLVSKIQNSKGQSLSQVRRFTPIHIQDPNMQSMKKTVIGDGPLENDISRSKKQSKRIVVEDESREKHESNNKNQQRKDQQKKMVVAEEESMTRQQSACKKTKRSPLCQSMLIGDFLEKNGRDVEKEMENLIEDEENIVLEEQEQEENVECEGDAEKNGTTKKRTRGPTRCLKIYARDVKDRQEVTLDDFGEPIGPDDQTVSDLGYFLGTIARNANFCPLIYTNFKELLKDETDPKRHNYHIWKYINTKFNIPERGKKAVYARINDAWRRHKYSIKKDHFLKYSNMKDRLKHRPKSISDVHFKKLLVYWKDTHIQDISQKNAVNRSKKKFMHHVGPTNFARIRAKMQENKDGQEVTQAEMFIETRKSRKGKQVDEETQFVIDKLQDSIETSTEAGTQTFQSLLGKEKPGRVRCYRRTVTPSLLKKKEEISLIKMQYDGKISDMTQKMGAMEALLKNMYMQQSPHLSEEEVNDKMREALHNDNIPTPRSSTSTYAPAHQKVRNEDDPQDEQDDVLQDDDDLQDDDDLHFDIDDDLQYDQDDDLQYDQVDGSQDDDSHDPQYNEYDEDLH >CAK8568786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640930093:640930626:-1 gene:gene-LATHSAT_LOCUS21711 transcript:rna-LATHSAT_LOCUS21711 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEACSKFIVEFLQAFKNSPPSSMPLHNQENIHKLDERMVRALVTVFGMETNGRIKKENARQVVEKLGLIYGCDQKDNKVFQDDEDDDDEEVVVEEVLGELEDMSSKRSELLLEAFKIFDEDGDGYIDAMELKRVLDCLGLDKGWDMNTIERMVKVVDLNFDGKVDFGEFELMMG >CAK8542896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:556903237:556904949:-1 gene:gene-LATHSAT_LOCUS11694 transcript:rna-LATHSAT_LOCUS11694 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLSQSKRVFLNTSFLLLFSVFLLVHFQSSDHVFLKSKTNNLNKNKNFVSGTNADQNCESFHSLSDYKAKCFYLKSNDPCVSQGYIDYLYLFYCEFGGFPLLGHTLLFLWLLVMFYLLANTASEYFCPSLESLSKLLRLSPTIAGVTLLSFGSGANDVFSTLVSFKSNGTQDIGFNAVLGGASFISCVVVGVVSISVRHKQIHVQKSALIRDICFLLFVIVCLLTIFIIGEINLVVGIGFCFMYVVYVVIVYVTSKGKDVCEEEDGEHESDSRHGKGNDLGLPLLGFMEKGMISEEFKIEKNCCYEKSSIFRILLYVLDMPLYLPRRLTIPVVCEEKWSKLYAVSTTILAPLLLSFLWKNNFSSSSSLMVYGIGLSVGVTLGLTAIFTTESSNPPKKYLLPWLAAGFVMSVTWSYISAQELVGLLVSIGYICGVSPSILGLTVLAWGNSVGDLVTNLTMALNGGSDGTQTAISGCYAGPIFNTVVGLGLSLVSSTWREYPSSVVIPRDPYLWETLGFLVVGLIWALVVLVRKDMKLNAMLGGGLLAIYFSSVILRLIQTLGPLQFKDML >CAK8561326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:150533093:150533439:-1 gene:gene-LATHSAT_LOCUS14928 transcript:rna-LATHSAT_LOCUS14928 gene_biotype:protein_coding transcript_biotype:protein_coding METLLTTHLNAANDTNHLLRSETERAMVKMDQHKRRATRSNLILFLISILFDLTTFCFAQFKLARFRLLNGGIDV >CAK8564324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668301199:668303538:-1 gene:gene-LATHSAT_LOCUS17639 transcript:rna-LATHSAT_LOCUS17639 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVLAEIIDGDVYKYYADGEWKKSTSGKSVAIINPTTRKPQYKVQACSQEEVNKVMDSAKSAQKSWAKTPLWKRAELLHKAAAILKEHKAAIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKSGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVKVKVAKLSVGPPEDDSDITPVVSESSANFIEGLVNDAKEKGATFCQKYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMESGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >CAK8566522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432576915:432577856:-1 gene:gene-LATHSAT_LOCUS19646 transcript:rna-LATHSAT_LOCUS19646 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPSKSVDLDLTIVAAKHLKNVNWKNGDLKPYVVFWVDPDRRLATKSDDSGNTSPVWNERFTLPLSFPLPDSYLTLEIFHSKPSDTPKPLVATLRLPLKDLHELNHSTINRKFPVIRPSGRPHGKVHLKLGLLGRSPPPPPPQQTYDYTNPNSNPNPIPNPNPNPNLNPNPSLVYYRGYSSSPSPYPMPYTYPDALPGGYYPGPSGYYSGASYPPPPPRPFFDRTGSYAAGPSGPSAPLEFSSSFDPRPKGGKMGLGAGLAVGAVAGALSGIALEEGMKYEERRLAEMVESDAASARDDYGDAHYRDY >CAK8532943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:553447153:553454395:-1 gene:gene-LATHSAT_LOCUS2602 transcript:rna-LATHSAT_LOCUS2602 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQKRKRVNGANIVGYGSREQHRTKRKNLGLVPNDMRSHISVEWDRNKKIVVAKREQIGISWRQMKPFVNYVSNDHKVLADVFTVPQEIFDLDNLSEVLSYEVWKTHLSENERNLLMSFLPRGIEPHQAVEDLLAGIDFDFGNPFLNWGASLCSGDLHPDRIVDREQHLKSEKRAYYKQLHNYHNDMIGFLSKLKERWQSCRDPEKEILQKTWRPKHVSKRMPSNVNESRVNDHDGNVTMTSESCSWDAEEKACSSEYLISSSRKDDKLQRKVLGKGIVKGKSRNSMFPSDGMHIKGEKPKKEDKVLKRNIHFIDGDKYMSCIKISKQQHELVKSMQQSGKSIQSKSLNHVLGNLNDIHVQPYKVFVKEEQKKLHEHWLQLVIKELPVAYANRVQKQVQRDAMRNSLVEEMKDKSNPTFEDEDDLSLGRELQDQDEPMSLGDEPGDQDEDNISPVEDQNEDVSSGEKLQDPSEDSISPVEDQNEDVSSGSELQDQDEDNVSPVEDQNEDVSSGGELQDQDEDNMSSGDELLNVVEDGRDLNDQSSMKHHEDSVVYAPEIRSLHNSYSSCNDDLNQVGVDSEKNMVLSNSDDTSPNKDEYPRNTNTCDVSTDEGAPFTSGSDVWQTVEMAPHSYYDSDVTNKYTANGLSLANHQVNEDQRTHVIDLEADLHQEETGKKLLHGHLDNGTSFSSYESQNQGALIHSVFSGEGLLPYHHEQKGSPLDFHTSNNIIIGDGQCSGLFKEPLQMSLTLDPVQRRATEVYMPESMSENIHSIAGGRYLIPRQDPFIPRQDSLAVANVTDWAANTASMAAPSQSHLNTGNFIGHHWPPANHHIRGGWNGSDGSSLSSQSVGTGSNSDQSLFSMLSHCNQLRPGSSYDSVRNPDQFLAPRTYGVDAGITRVNPAALPQASHPLDYFTARGAAPSVMVPDDMTWMNLQHPNSALHDPMGKPYLRSWHR >CAK8541578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:258478336:258481384:1 gene:gene-LATHSAT_LOCUS10488 transcript:rna-LATHSAT_LOCUS10488 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGCYNNKKSLFLLGKKKEDVYHVFFKVPYGDSPYVRAKHAQLVEKDPEGAIVLFWKAINARDKVDSALKDMAVVMKQLDRSQEAIEAISSFRGLCSKQSQESLDNVLIDLYKKSGKIDEQIDLLKRKLKLIYQGEAFNGKLTKTARSHGKKFQVSIKQETSRLLGNLGWAYMQKMNYVMAEVVYRKAQMIDPDCNKACNLGLCLIRQARYEEAQVIIDDILKGEIPGSDDIKSRKRAEDLVIELKSLIPPSHTLDLLVLDDEFIKGIEQLMNEWGPLRSKRLPIFEEISSCRDQLAC >CAK8568421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605213586:605218606:1 gene:gene-LATHSAT_LOCUS21379 transcript:rna-LATHSAT_LOCUS21379 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSHSAVLPNLNHRRFSPSRSDIQILNRVTCHSDFNKSTSQASLFLSPGSADRRIGSRNIVCRVFSSVDASTYNGVALESSHTAEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTLRAPKSKEGYASIGGGSPLRKITDEQAFALKNALEAKGLFSNLYVGMRYWYPFTEEAVHQIKRDRITRLVVLPLYPQFSISTTGSSISVLEQMFRQDSYLSRLPVSIINSWYQRKGYLKSMADLIEKELENFSEPKEAMIFFSAHGVPVSYVENAGDPYRDQMEECIFLIMQELKARGVNNEHTLAYQSRVGPVQWLKPYTDEVLVELGKKGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIQNWGRVPALGLTPSFITDLADAVIEALPSAAAIYAPDNSSSEDMDDPVKYFIKMFFGSILAFMLFFSPKLISAFRNHVI >CAK8578521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634718968:634721428:-1 gene:gene-LATHSAT_LOCUS30525 transcript:rna-LATHSAT_LOCUS30525 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNWFASVLLLTTVMLTTTLGAFVGVNIGTDVSDMPSASNIVAILKAHQITHVRLYDANAHLLQALSNTSIDVIVGVTNEEVLRIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPALNSLHKALVAANLNFRVKVSTPQSMDIIPKPFPPSTATFNSSWNSTMYQLLQFLRNTNSSFMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLYHYNSMFDAMVDAAYYSIEALNFKDIPVVVTETGWPSFGGANEPDATAENAETYSNNMIQRVLNDSGPPSQPNIPINTYIYELFNEDKRNGPISEKNWGIVFTNGSAVYPLSYGDATDQTTGNSSGVFCVAKDGADTDKLQDGLSWACGQGGANCALIQQGQRCYLPNSVKSHASYAYNDYYQKNQGVGGTCDFDGTAEITTHDPSYGSCRFSGSSGAGGVSLPPTALGPSSPSGESMNLQVSGLQYLVSAIGVFFALMI >CAK8562140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446020063:446020611:1 gene:gene-LATHSAT_LOCUS15664 transcript:rna-LATHSAT_LOCUS15664 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSLSSNSPSLINIILTRRSISLPSTRYNNFLTLQPRTSLTFHSITCSSSNSNAANTDQNEADSIQAPTTAPAKPIELRLRRRSRRQVKRPRGKGTVTDSIEQPRMAEAVPKKWEEMSLREKAMELYVGEKGALFWLNKFAYASIYIMIGAWIVFRFVGPALNLYQLDSPVLSPSDVLKG >CAK8543879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646203071:646206245:1 gene:gene-LATHSAT_LOCUS12603 transcript:rna-LATHSAT_LOCUS12603 gene_biotype:protein_coding transcript_biotype:protein_coding MALALTVGVFGALVIFHAAYSTIQYKSLLKITEEEFTSPPFDVVIELFVGLLLCFWAALTVPGKFLSIHPQSDENRIVSLPANLDFMIFNHRGKVFPLETDLKLKH >CAK8539151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505436315:505445545:1 gene:gene-LATHSAT_LOCUS8272 transcript:rna-LATHSAT_LOCUS8272 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHIQNGIETARLAWSRIPNSDDSQFVDDGVGLLKKNDGSAVESLDYEVIENFAYREEQAHRRRKLYVSYLLVVKWFFALLIGICTGFAAVFINISVENFAGWKYSVTFNIIQKSYVAGFFVYVSINLVLVYSSVYIITQFSPAAAGSGIPEIKGYLNGVDTHGILLFRTLIGKVFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLNSRWFNVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDVSDGQEDYSFAELFPMAIIGVIGGLLGALFNQLTLYITTWRRNHLHKKGNRVKIIEACLVSLLTSVISFGLPLLRKCSPCPEPDPTSGIECPRPPGMYGNYVNFFCSKDNEYNDLATIFFNTQDDAIRNLFSAKTINEYSSQSLLTFLVMFYGLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNDGIYEEQARLRGIPLLESKPKYEMRNMIAKEACGSGRVVSFPRVVKVPDVVSILQSNTHNGFPVIDHTRSGDPLVIGLVLRSHLLVILQSKVDFQHSPLPSDPRSGGRSIRHDSGEFAKPVSSKGISLDDIHLTPEDLEMYIDLAPFLNPSPYIVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVLGLITRKDLLIEDAENVNTLELRATSVRTQHQNRRLSARNVDVERPLLNGLLA >CAK8539152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505436315:505445484:1 gene:gene-LATHSAT_LOCUS8272 transcript:rna-LATHSAT_LOCUS8272-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHIQNGIETARLAWSRIPNSDDSQFVDDGVGLLKKNDGSAVESLDYEVIENFAYREEQAHRRRKLYVSYLLVVKWFFALLIGICTGFAAVFINISVENFAGWKYSVTFNIIQKSYVAGFFVYVSINLVLVYSSVYIITQFSPAAAGSGIPEIKGYLNGVDTHGILLFRTLIGKVFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLNSRWFNVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDVSDGQEDYSFAELFPMAIIGVIGGLLGALFNQLTLYITTWRRNHLHKKGNRVKIIEACLVSLLTSVISFGLPLLRKCSPCPEPDPTSGIECPRPPGMYGNYVNFFCSKDNEYNDLATIFFNTQDDAIRNLFSAKTINEYSSQSLLTFLVMFYGLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNDGIYEEQARLRGIPLLESKPKYEMRNMIAKEACGSGRVVSFPRVVKVPDVVSILQSNTHNGFPVIDHTRSGDPLVIGLVLRSHLLVILQSKVDFQHSPLPSDPRSGGRSIRHDSGEFAKPVSSKGISLDDIHLTPEDLEMYIDLAPFLNPSPYIVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVLGLITRKDLLIEDAENVNTLELRATSNSASE >CAK8571257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:355552530:355554061:1 gene:gene-LATHSAT_LOCUS23935 transcript:rna-LATHSAT_LOCUS23935 gene_biotype:protein_coding transcript_biotype:protein_coding METCSEYFENFTWRIEDFSTKNGMKLKSKYFKIRGYTWRILVHPLRKDVDHFTIYLMVADSLPPYGWNRNTFFKLVLINQLDKNKSIVKETQQKFNGGYRCWGSFFISLKDLNDHKQGYLVRDTCIIEAHICVSNFTPKIHDINSLNPNSTYQSSDIKETVCPRASCSTSSPRASGSTFSPRTSSSDLTLRDFLDLENLKPEEKCFIPLLDEICTWRPSLIESLMKKTPLFRQWAFTSLGQVLYFLKTKKVKEMNEDDIEKIRSLWEELAKSSELDLSWLEPYVQSALGVKSHMERAKKLKKLKDKVVALDIKMKKLRDELTASQAQYEIARKGLSEVRNGFQEMNINAPIGYTMI >CAK8571253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354954115:354954474:-1 gene:gene-LATHSAT_LOCUS23931 transcript:rna-LATHSAT_LOCUS23931 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVNKDTDEEVALKSCEFWFVYCDAQMPPENLREFLPRLIPILLSNMVYADDDESLIEAEEEGPSASRLHDLFLHQFCEAHSCSGCLAYLCGALHCDDVMNFKASRSKCNPAATAKKI >CAK8570172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27540101:27540624:1 gene:gene-LATHSAT_LOCUS22948 transcript:rna-LATHSAT_LOCUS22948 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRQCLDIFGLLDLGFERFPHTKVTHLSRHGSNHVAITIDLDADLGEVKKKHVFIYRFEEIRIKDERCEGLVRQLWNGNGVQGYQKFCSMKGLDEHFKEYMIGYVSKELGRIEGLLKEEVRWSTSDTDMIYKALKAQHNKLL >CAK8542790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546816694:546817615:-1 gene:gene-LATHSAT_LOCUS11597 transcript:rna-LATHSAT_LOCUS11597 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTETTFNYYRGELQRTDRAALEWIDNIPRDKWSRAFDGGQRWGHMTTNLAEAMNSVLKATQNLPIIALVKSTYYRLGSLFGKRGNDWTKLLASGQIFTKNCNKGMANEAIKYSSHNVIQFDRERFCFMVAESINQRDVRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVITTCASIRQDHNMHIPDVFKVLSVFKIYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRCGICRENGHMRRKCKNVAGPSNRPV >CAK8579137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679080330:679087302:-1 gene:gene-LATHSAT_LOCUS31105 transcript:rna-LATHSAT_LOCUS31105 gene_biotype:protein_coding transcript_biotype:protein_coding MANLARFSNKALRTAYAITKHHVQSQLPSAERAFATEAAKLITPSPDRVKWDYRGQRKIIPLGQWLPKIAVDAYVAPNVVLAGQVHVWDGASVWPGCVLRGDLNKISIGFCSNVQERSVLHAAWSSPTGLPAETSIERYVTIGAYSLLRSCTIEPEVVIGQHSILMEGSLVETHAILEAGSVVPPGRVIPSGELWAGNPAKFVRTLTHEETLEIPKLAVAINDLSRDHYHEFLPYSTVYLEVEKFKKSLGISV >CAK8572129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513627884:513642814:-1 gene:gene-LATHSAT_LOCUS24728 transcript:rna-LATHSAT_LOCUS24728 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKNSPIQIRSSILPLLACISSFYLTASFADTVTREEAKQLRNEVGEMFYHAFNGYMDNAFPLDELKPLSCSGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLRFDMINKTVSVFETTIRVLGGLISAHLIASDYATGMRVPSYDNQLLNLAEDLARRLLPAFDTPTGIPFGSVNLLHGVDKHESKITSTAGGGTLTLEFGVLSRLTNDPIFEQVTKNAVLAIWAQRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYAAAMHYLYHDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDINPATRTHAAFLSVWRRYGFTPEGFNLASLSVQHGQKSYPLRPELIESTYWLYKATRNPRYLDAGRDMLASLQYGTRCPCGYCHISDVEHHKQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYVFSTEGHLLPATPQISLVREHCLYYGAYCRSGDLRQTYFVSEAERVKHESNDSRFYGSWTKSTYSSDYTTSEASASSGLIKGFCPGLNHGQKFGLLYMHSNEEHSDHETVQQEESTTVQTHSVMVLPAQSSDSSVPDSGNDHNDTQISESEVTS >CAK8542803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:548154395:548155101:1 gene:gene-LATHSAT_LOCUS11608 transcript:rna-LATHSAT_LOCUS11608-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRNPLVVGRVIGDVLDPFESSIPLQITYGNRNVSNGCELKPSQVANQPRVSIGGDDPMIYYTLVLVDPDAPSPSYPSFREYLHWMVTDIPATTGASSGMYIISLHSMGQTVVVYMN >CAK8542802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:548154395:548158180:1 gene:gene-LATHSAT_LOCUS11608 transcript:rna-LATHSAT_LOCUS11608 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRNPLVVGRVIGDVLDPFESSIPLQITYGNRNVSNGCELKPSQVANQPRVSIGGDDPMIYYTLVLVDPDAPSPSYPSFREYLHWMVTDIPATTGASSGNEVVSYEKPRPNLGIHRFVFVLLRQRCRQIVYAPGWRQNFNTREFVELYNLELPVAAVFFNCQREAGSGGRTFR >CAK8570572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:62130119:62130616:1 gene:gene-LATHSAT_LOCUS23310 transcript:rna-LATHSAT_LOCUS23310 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIKKRKCVQQETDDVMEPKFVCTHLSLSCQCMDDTQIIKNIKNATNLDGSWKIKKMLEKSDLGNNSRLLVSKELAKEFVIPFLTSGDDTAKEKEGVEVQVLDIDDNTLHSLNFKIWTSAQSYVFTKKWIKDFVRKRNLKKGDEIGLRWNEQNQQFEFSVLRRC >CAK8533882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667306020:667306846:1 gene:gene-LATHSAT_LOCUS3470 transcript:rna-LATHSAT_LOCUS3470 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLATAKFALISLLLCTVFRYSVAEVNCSTTCIVEQCDTMGIKYGKYCGIDYWGCAGEKPCDDADTCCMNHDDCVGRFGMTHVKCHVRLRNCLTRVHKYGKVGFSKECPITTAVPTMIRGMDMAILLSQLGEAVPAL >CAK8569384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697822158:697822427:1 gene:gene-LATHSAT_LOCUS22240 transcript:rna-LATHSAT_LOCUS22240 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASVMQRCTVAARWLIRASSTETPSACSGVAAPILCGRGDKKTKKGKRFKGSYGKSRPKREQMIERMKDKVEVPRSTPWPLPFKLI >CAK8539954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532342775:532344034:1 gene:gene-LATHSAT_LOCUS9001 transcript:rna-LATHSAT_LOCUS9001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNLFLFSFILVFSLEIAESKTHWEDIEALKELKQNINVNSVNPGSCVSTWDFTFDPCENLFSEKFTCGFRCDVVVSQLSRVTELSLDQAGYSGSLSSIAWKNLPYLETLDFSNNYFTGLIPDSFSKLTRLSRLSLSSNSFTGEIPSSIGTSLVNLQELYLDNNNLQGTIPSSFNNLRSLTRLELQRNNLTGKITDLGSLVNLYHMDLSDNGFSDNPSSFPVSLVQISIRNNNISGGLASETFKNMMYLQVVDFTSNKIKGYVPSIFFQLPSLQQLTLSFNEFTSIEAPYGTQSLQSELISVDLSNNQLQGFLPSFLAFLPRLSSLSLENNRFTGLIPVQFALKTVFPETGMAPFGRLLLGGNYLLGGIPRPLLVLKQDSANVSLVDNCLFRCPHVFFFCQGGTQKSSSECRRVIIP >CAK8575417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:114636798:114638441:1 gene:gene-LATHSAT_LOCUS27686 transcript:rna-LATHSAT_LOCUS27686 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAEVLSERGSRNKLVGIRFLEYLKGSSVSFKTHQAIVLIVTFLAYASYHATRKTTSIVKSVLDPQSSSNLGFDFFPLTRNHTHSTLSSNLGDGWAPFNGSDGTLLLGQLDVAFLSVYAFGMYFSGHFGDRCNLRIFLTIGMVGTGVFTSLFGLGFWGNIHNFYYYLLVQMIAGLFQSTGWPSVVAVLGNWFGKRKRGLIMGIWNAHTSVGNIAGSLIASAMLGYGWGWSFLLPGLIMSFLGFLVFALLPVSPDLAGVEEDDYTCPKKSGGDDVTESLIRQETPAQEKEKAVGFLEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPFYVSHTAIDGKYLSSETSGSLSTLFDVGGVLGGILAGHISDRLNARAITAASFMYCAIPTLFFYRTYGHISLLVNGTLMFLTGMFVNGPYALITTAVSADLGTHKSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISAKSWSAVFTMLMGSALIAGLFLTKLVVSEVATKIEESRSNIAPECSLHV >CAK8575274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:67040826:67041139:-1 gene:gene-LATHSAT_LOCUS27548 transcript:rna-LATHSAT_LOCUS27548 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIGTILLFFLCGATLLGDNLKIVDAQICPQFCYDDRSYMTCPSSGNQHLTPVCNCFLATTGCTIYRDDETPICTAG >CAK8560037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5752946:5753770:-1 gene:gene-LATHSAT_LOCUS13754 transcript:rna-LATHSAT_LOCUS13754 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHLPDISSTVGVCASCLRERLLKVVESEAQPSRVSVSETKPPLQPIFPQSVSPFVAHRKSDDRRQEVLFQSTPHGDRRLSAACDGAAKQSSKRRIRRFWILSDLYRPRSSKTDNSSGESCEASSSVSPRSLTWLSMILPGRRQNNRVRDQRRCRELDRGATPVDNFEGRDQSELETSLESSPYRNKTTAPVTARRSRLGYAGKSLANMALCLSPMVRASPNRNWSNHNHKGFSQELGVGGVQHVSTTASFCTNRSKKLVDLGRVTQNHKR >CAK8569408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699713869:699715227:1 gene:gene-LATHSAT_LOCUS22264 transcript:rna-LATHSAT_LOCUS22264 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNASDQQLLLAAPNNHHNTFLKDSHPAATPLTQHLQQSPPNHAANPHLVYVRRKSEGETPKNTAFDNTRQLYCEEEIPQPKPHIKDPKVSSFPAFAPFPIASSITASAKPSVPVSLGNSSIISVPVSLGNSSISNHVAPSSGHTIVNPKVFTNVHWEERYQQLQMFLRKLDQSNQADYIQMLQSLSSIELSRHAVELEKRSIQLSLEEAKELQRVAALNVLGKPVKNFKARADHVECSDKLKTST >CAK8573517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617725025:617727307:1 gene:gene-LATHSAT_LOCUS25959 transcript:rna-LATHSAT_LOCUS25959 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIDFLDLSQNGLTSIPSWFVEFKTLVYLDLSWNQLTLNEHFLSSIINSLCHLKKLKLSKNKLYIELIEHFDISKCITYDLQYLDLGYNNISGHLPTWLEKLENLKYLDFASNFFHGPIPLSIGKLSKLHVLSLSNNRFEGFIPENIGQLVNLTYLDLSFNKFDDSIPQSLWKLASLNSLDLSKNSFKGLIHDNIGQLVNLTDLDLSSNKFDGSLPQSLWKLANLKYLDLSENSFNGIIHDNIGQLVNLTDLDLSSNKFDGSLPQSLWKLASLESLDLSNNSFNGVIPKSLCQLVNLYYLDISSNMLDGIVSMEKGCLLNLYHLNLSHNQISGPLPKNIGHIMYPGGLFLGNNKLNGSIPFSLCQSQIANLDLSNNKLSGEIPNCWKDNQELNEINLSSNKLTGTFPSSFGNLSSLFWLHLNNNSLQGEFPVSFVNLKQLLILDIGDNYLSGSIPSSWTTNTFPLLQVLKLRHNMFSGSVPSQLCQLKSLKILDISRNNLQGSIPKCIGNLEGMKLRKVTTNEFLTKADAKLSNASYLTEVVKGVEREYTKILKLVVHMDLSENNLVGFIPNEITWLTGLHSLNLSNNQLEGEIPPLLGNMKSLESLDMSHNQLSGKIPSTMSALTSLSHLNLSHNNLSGPIPKDNQFSTFNDPSIYAYNPYLCVSPLPNMCTGDVLHGTSERKGNEDEDGIEKVWFYFVIAVGFGSGFWGVIWTLWFKKNWRHAYFRWVEDVVEDIYVTIVVKMEKIKKKMKRNHSHE >CAK8566374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415010666:415012174:1 gene:gene-LATHSAT_LOCUS19507 transcript:rna-LATHSAT_LOCUS19507 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMDMDAIHHLDFSGHSSTLTNTPTSDDGYGCKWNHWSPIVNWDTFTGAPDDFHHLMDTIIDDRTTVLDQLSPTTTTTTTTTTDEEEETETTTTTTTTETTQTVGVGDDLKGLKLVHLLMAGAEALTGSTKSRDLARVILVRLKELVSQQANGSNMERLAAYFTEALHGLLEGAGGAHNNNNKHYLTTSGPHDNQNDTLAAFQLLQDMSPYVKFGHFTANQAILESVAHERRVHVIDYDIMEGVQWASLIQALASSNNSPHLRITALSRTGTGRRSIATVQETGRRLTSFAASLGQPFSFHHCRLDSDETFRPSSLKLVRGEALVFNCMLNLPHLSYRAPDSVASFLNGAKALHPKLVTLVEEENGSVVGGFVERFMDSLHHYSAVFDSLEAGFPMQNRARALVERVFFGPRIAGSLGRIYRTGGDEERRSWGEWLGAAGFRGVPVSFANHCQAKLLLGLFNDGYKVEEVGVGSNKLVLDWKTRRLLSASVWTCSSDSDS >CAK8576425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:474607640:474608597:1 gene:gene-LATHSAT_LOCUS28613 transcript:rna-LATHSAT_LOCUS28613 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHVHVLINYVEVKPYLEAFNTYYFQSTGEQPLTGYTHAYFPAWFKQQLYCIVAPSPEIIHLRSLSEGPHQRANEWHTYFVNGYKFHTQTWTKGKKIINSGVVVKGVTEGGEDDFYGVITHIYELVYNYIDSKNKFVLFYCDWYDPSSRGTKIDKKYNTVEIRMDQKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIEFDGVMEDDVAYQDDEISPVNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEEEEESGDSEDDNIVSDEDNDDYNDE >CAK8532986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:560828557:560829285:1 gene:gene-LATHSAT_LOCUS2639 transcript:rna-LATHSAT_LOCUS2639 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSAVLAGYYAWKTVFSSPKIDLASDDSIEEENSSFKKEQFGFKKMIQNGFWVFVDMASGKYLWRNLRQTNKDIELKSS >CAK8562502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:501806554:501808827:-1 gene:gene-LATHSAT_LOCUS15994 transcript:rna-LATHSAT_LOCUS15994 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFQKSFSVVLLVLLMGFSDASSSLKSTYIVHMAKSEMPESYEHHTVWYESSLQSVSESAEMMYTYENAIHGFSTRLTAEEAGLLESQTGILAVLPEVKYELHTTRTPQFLGLDKSAEMFPESSSASEVVVGVLDTGVWPESKSFDDTGFGPVPTTWKGACETGTNFTASNCNKKLIGARYFSKGVEAMLGPIDETTESKSARDDDGHGTHTSTTAAGSVVTGASLFGYASGTARGMATRARVAAYKVCWKGGCFSSDILAAIDKAISDGVNVLSLSLGGGMSDYYRDSVAIGSFAAMEKGILISCSAGNAGPSAYSLSNVAPWITTVGAGTLDRDFPAIVSLGNGLNYSGVSLYRGNALPDSPLPLIYAGNATNATNGNLCMTGTLSPELVAGKIVFCDRGMNARVQKGAVVKAAGGLGMVLANTAANGEELVADTHLLPATAVGEKAGNAIKKYLFSEAKPTVKIVFEGTKVGVQPSPVVAAFSSRGPNTITPQILKPDLIAPGVNILAGWSKAVGPTGLPVDERRVDFNIISGTSMSCPHVSGLAALIKSAHPEWSPAAVRSALMTTAYTAYKDGKNLQDSATGKPSTPFDHGSGHVDPTAAHEPGLVYDLTVDDYLGFLCALNYTSTQITAIAKRKFECDAGKKYSVNDLNYPSFAVVFDTMGGANVIKHTRILTNVGPAGSYKASVTSDSKSVKITVEPEELIFKENEKKPYTVTFTSLGSTPQKVNGFGRLEWRNAKNVVGSPISISWG >CAK8563540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:614696992:614699301:-1 gene:gene-LATHSAT_LOCUS16938 transcript:rna-LATHSAT_LOCUS16938 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKLNEYERMRLENIRRNNEMMAALKVQSKATALSNHSKIVTKSYSVKSEKKPKIETPIVIRRSLRTRGIPPDSKGLDLDANSDSNTSTHNSPNKVEEVVRTLGPISMKDAYKGIDDSDCSFIESLVSISNKEFSEELNGPVKKKKTECSFELGSLSLDPENIARVLPGRITQVRFFPSKDVNMIAAGNKFGDIGFWNVGGSEIFLYHPHEAPISGILFQQHCLSKIYTSCYDGFIRLMDAEKEIFDMVYNSSDNACIYALSQPKNDANCLYFSEGKGGLAVFDNRIGKCSSYWDLHGTRINTIDFNPQNSHIVATSSSDGTACTWDLRCIGGPKHTALRTFAHKRSVQSAYFSPSGCSLATTSMDDTVRIYSGVNLEEETSVYHFNQTGRWLSTFRAIWGWDNSHLFVGNMNRGVDIVSTVQKATVKTLESPHISAIPCRFDAHSFKVGMLAGATSGGQIYVWTSS >CAK8534945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792965135:792973803:-1 gene:gene-LATHSAT_LOCUS4438 transcript:rna-LATHSAT_LOCUS4438 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPFFSLSVIAILLISLTAFGTNTLHPDEKKALEDIAKSLGKKDWNFDIDPCSNKFNILDNNVTCDCSVPGDNFCHVITITLKGQNLPGTLPPGLTRLRYLQVIDLSRNYLHGTIPKQWGSMTNISKIALAINRLTGLIPAEIANISTLQILELRNNNLSGNLPPELGHLTQIQTLRLSSNHFTGELPMTFAKLTTLQDFRIEDNQFSGKIPDYIQNWTSINALLIQGSGLSGPIPSGISLLRNLTDLRICDLDGSEDAPLPQLNSMTKLHILVLRNCNISGKLHDYLGTMTLLKHLDLSFNKLSGTIPRTYAAMKNSNYIFLTGNLLTGPVPPWRQYVQADLSYNNFSTSQVNQRCHDDNVNLFSTSWAHNDIATVSCLKFGCPKPSYSLYINCGGKHATVNKTSYDEDSDLPGPARFHFSSEGNWAFSTTGAFLDSNELRENYSPKNIVKLTMVDSELYMNARVSPISLTYYGFCLANGSYTVNLHFAEIMFTEDQTYGSLGRRVFDIYLQGKSVQKDFNIAKEAGGVGKKIIKQFNDVVVTSNTLEIRLYWAGKGTQSFPNKSVYGPLISAISVESDSPPGSKSAGALVGIVVAATIIIILILSILWWKGCFVKKNSLARELKSLGLPTGLFTLRQIKAATNNFDNSNKIGAGGFGPVYKGCLSNGTLIAVKQLSSKSRQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLIYEYLENNSLARALFGPEEEQIKLDWSIRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYALHGYLTDKADVYSFGVVALEIVSGRSNTLYRSKEEAFYLLDWAHMLKERGDLMELVDRRLGSDFNKKEAMMVINVALLCTNVTSNLRPSMSSVVSMLDGRIVVPEFVSDSSEVMDEKKMEVMRQYYYQMEENKISKSPSQSQSLLNDGPWTATSSSGVDLYSVHPDSSYWEKRN >CAK8534944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:792965135:792973803:-1 gene:gene-LATHSAT_LOCUS4438 transcript:rna-LATHSAT_LOCUS4438-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPFFSLSVIAILLISLTAFGTNTLHPDEKKALEDIAKSLGKKDWNFDIDPCSNKFNILDNNVTCDCSVPGDNFCHVITITLKGQNLPGTLPPGLTRLRYLQVIDLSRNYLHGTIPKQWGSMTNISKIALAINRLTGLIPAEIANISTLQILELRNNNLSGNLPPELGHLTQIQTLRLSSNHFTGELPMTFAKLTTLQDFRIEDNQFSGKIPDYIQNWTSINALLIQGSGLSGPIPSGISLLRNLTDLRICDLDGSEDAPLPQLNSMTKLHILVLRNCNISGKLHDYLGTMTLLKHLDLSFNKLSGTIPRTYAAMKNSNYIFLTGNLLTGPVPPWRQYVQADLSYNNFSTSQVNQRCHDDNVNLFSTSWAHNDIATVSCLKFGCPKREFFLHASYSLYINCGGKHATVNKTSYDEDSDLPGPARFHFSSEGNWAFSTTGAFLDSNELRENYSPKNIVKLTMVDSELYMNARVSPISLTYYGFCLANGSYTVNLHFAEIMFTEDQTYGSLGRRVFDIYLQGKSVQKDFNIAKEAGGVGKKIIKQFNDVVVTSNTLEIRLYWAGKGTQSFPNKSVYGPLISAISVESDSPPGSKSAGALVGIVVAATIIIILILSILWWKGCFVKKNSLARELKSLGLPTGLFTLRQIKAATNNFDNSNKIGAGGFGPVYKGCLSNGTLIAVKQLSSKSRQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLIYEYLENNSLARALFGPEEEQIKLDWSIRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYALHGYLTDKADVYSFGVVALEIVSGRSNTLYRSKEEAFYLLDWAHMLKERGDLMELVDRRLGSDFNKKEAMMVINVALLCTNVTSNLRPSMSSVVSMLDGRIVVPEFVSDSSEVMDEKKMEVMRQYYYQMEENKISKSPSQSQSLLNDGPWTATSSSGVDLYSVHPDSSYWEKRN >CAK8535910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890730025:890734341:1 gene:gene-LATHSAT_LOCUS5328 transcript:rna-LATHSAT_LOCUS5328 gene_biotype:protein_coding transcript_biotype:protein_coding MWNMSTLILLLCSLIGSATASVSYDSKAITINGQRRVLISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNEPFKFQMQKFTEKIVNMMKEERLYESQGGPIILSQIENEYGPMEYEIGAPGKSYTKWAADMAIGLSTGVPWIMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGPVPHRPAEDLAFSIARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLSEPALVSGDPTLTRIGNYQEAHVFKSKSGACAAFLANYNPKSFATVPFGNMHYNLPPWSISILPDCKTTVYNTARVGSQSAQMKMSPIPIHGGLSWEVFSEQTASTDDSSFTMTGLLEQLNTTRDLTDYLWYSTDVVIDPNEGFLRSGNDPVLTVLSAGHAMHVFVNGQLSGTIYGSLEFPKLTFSQSVKLRPGVNKISLLSVAVGLPNVGPHFETWNAGVLGPITLNGLDEGRRDLSWQKWSYKVGLNGEALSLHSLSGSSSVDWVQGSLVSQMQPLTWYKTTFDAPAGVAPFALDMGSMGKGQVWLNGQNLGRYWPAYKAASGKCDNCDYAGTYNENKCRSNCGEASQRWYHVPRSWLEPTGNLLVVFEELGGDPNGIFLVRRDIDTVCADIYEWQPNLISYQMQSSGKSTKPIRPKAHLSCGPGQKISSIKFASFGTPVGSCGNFHEGSCHAHKSYNAFEKNCVGQNSCKVTVSPENFGGDPCPNVLKKLSVEAICT >CAK8538930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499703000:499705330:1 gene:gene-LATHSAT_LOCUS8076 transcript:rna-LATHSAT_LOCUS8076 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRRSVLHLLPNSHLKISISSFCSQSLTFPQNEQQWLQSFQHLQSLADQGRTKPAQTIFKSLLLSNAPYSFPSQIHSLVSKPIFLETLLPFCSNPNTINRVMELFYSMKNDGFVLPICFTNCLLQTLVHSHQFEKALALFNDAVESGIRPDAFSYKKAVYSAVMMKDLNKGFELIDSMERDGIRPFVYVYNLILGGLCKGKRIKDARKMFDEMIDRSVVPNTVTYNTLIDGYCKVGEIEEAFSLRARMKAPYSEPNIVTYNCLLSGLCGLGRLEDAKKVLLEMEGNGFLPKGFSCLLFDDNFVCANENGLLEGNGTQVDERTYSVLLNGLCRVGRVEKAKEVLAKLEDNGVVPSQISYNILVNAYCQEGDLNRAILTVEEMIKRGLRPSYVTFNTLINKFCETEELDQAERWVKKMIEKGVSPTVETYNSLVNGYGMVCDFVRCFEILEEMEKKGIKPNVISYGSLINCLCKDRKLLDAEIVLGDMVGRGVSPNVEIYNMLIEASCSLSKLKDAFRFFDEMIKSGIDATLVTYNTLIHGIGKNGKLAEAENLFLQMISKGYNPDVITYNSLMSGYAMSGNPKKCLELYHNMKKGGIRPSIGTFHPLINSCRKEGVVTMEKMFQEMLGMDLIPDRAVYNEMIYGYAEDGNVLKAMSLHQQMADQGVDSDKVTYNCLILAHLRDRRVSEIKHLFDDMKAKGLVPKTDTYKILVKGHCDLKDFNGAYFWYRKMFDAGFILNDRLCYELISGLREEGMLQEAQIVSLELSSRVQQLEG >CAK8572540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545152786:545166948:1 gene:gene-LATHSAT_LOCUS25093 transcript:rna-LATHSAT_LOCUS25093 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTKPFSHRQILGFRSYCNEKVVIDVGQPTSASHPQLLNDGEITPGISSEEYILRRKKMLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAVLGHDIGLCMFMPEPKPYDVIWQGQIAGVDAALDTFKADKAYSMRKLREILPDMIRGSSKLYHNVQTATAAYTELEAFKKLAYGNNVNDLSAYTHQLRWIKSPSELKLMKESASIACQALLLTMLHSKTYPDEGILAAKVEYECKVRGAQRMGFNPVVGGGPNGSVIHYSRNDQKIKDGDLVLMDVGCELHGYDSDLTRTWPPCGTFSSAQEELYELILETNKHCVELCKPGASIRQIHNRSVEMLQKGLKEFGILKGFGSSSYHTLNPTSIGHYLGMDIHDCSMINFDRPLKPGVVITIEPGVYIPSSFDCPERYRGIGIRIEDEILITETGYEVLTASIPKEVKQIESLLNNFSHIQSNLRATFN >CAK8563660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:623135496:623136505:1 gene:gene-LATHSAT_LOCUS17045 transcript:rna-LATHSAT_LOCUS17045 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFEPRFDFEEGWNYVQKRINKLLNNLEALPDTHFSSEDNIMLYTNVFNICTGAQSGCVYEKYKEVIYDCIKSTVLPSLQEKKDELLLRELLKRWSNHKTLTNRLSKFFLYLETHHLKMHSLQETSFLCFYDLVYDKLNRQVKDAILAMIDRKLVGETIDETLFNNILVFYSEIGEKTRKEEPIQFAETMMMKANGTFYNGDES >CAK8534207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704577682:704578170:-1 gene:gene-LATHSAT_LOCUS3767 transcript:rna-LATHSAT_LOCUS3767 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSSFIDIIEVVDLPTVGNQFTWFSTNGRLKSRLDHFLVSEGIIDKWKHVAQEIGNRDVSDHCPIWIKRSNVSGMRAFTLKEKFKLLKADLKKWNIEVFGITGLKVDNAIEDLSKLDCINSSIFHQFA >CAK8540923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32203894:32206682:-1 gene:gene-LATHSAT_LOCUS9880 transcript:rna-LATHSAT_LOCUS9880 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNAIVLLIAFVILLADATTTVNGEDSNPKLEVKYKRGKKYCKQGWECKDWSIYCCNLTISDYFQTYHFENLFSKRNTPVAHAVGFWDYHSFINAAALFEPQGFGTTGNKTMQMMEIAAFLGHVGSKTSCGYGVATGGPTAWGLCYNHEMSPSQTYCDDYYKLTYPCTPGAEYYGRGAIPIYWNYNYGAAGEALKVNLLDHPEYIEQNATLAFQAAIWKWMTPVKKAQPSAHDAFVGNWKPTKNDTMENRVPGFGATMNILYGEGVCGQGDVDSMNNIASHFLYYLDLLGVGREKAGTHDVLTCAEQRPFNPNTKTASS >CAK8533171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586964847:586974025:-1 gene:gene-LATHSAT_LOCUS2818 transcript:rna-LATHSAT_LOCUS2818 gene_biotype:protein_coding transcript_biotype:protein_coding MESPWNSDILKIEYTVFGWIAFVAWSTSFYPQLFLNFSRKSVVGLNFNYLLLNNTKQTLYLIYNASVYFSSTVQFQYHKQYGFDQMIPVAANDVAFSVHAVLITTVMLFQVVKYERGDQSISKITMAIITMVWVTVGVCSFIAFPLKSWLWLISIFNTIQVLLASIKYIPQAVMNFMLKSTDGFCIGNVFLDFIGGMSNFAQMVTQSIDQNSWVNFFGNLGKVLLSLVTLFFDILFMCQHYVFYPSNKTSSIPLFKLNDKVKEPLIKSPNQPLATNLHAVENV >CAK8566347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:410981225:410982383:1 gene:gene-LATHSAT_LOCUS19482 transcript:rna-LATHSAT_LOCUS19482 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDQKLVEHIQQHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDLVSTLPYLLALANMTDLMDHHQSSSWDAMSSLQADAVHVAKLQCLHYLLQSSNINNSYDQNAISITNMEHQQQQELLSNVKQNIIIDADSTHAASFSQPLPHQSMIPQHFLDPPQVSFSSQSCLNNEQGQNGTITNFATVDETSWINNVPCSAPISIIPPDASSSTSSYGGGGGGGPSATAYWSELFFEDPIMHDLS >CAK8569812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12491594:12496670:-1 gene:gene-LATHSAT_LOCUS22621 transcript:rna-LATHSAT_LOCUS22621 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSSENILDALFEDDIEMLDVEEGELLDVEEGELAGHHQNGVGAKDHNPINETDSNNNQKPKSLNRNRRRKANKRKKKGLGSDSIDINRFVTVTCRRLREKKAYMVYTAVGCLGISALSDLVKEVDAIQSCGGQKTADGKRFRTGGGVLWNIIKVREPNAYKEIMNKTREYEKQIRQRSYSQPPMQQKKDSSQGVPFTFSSQHLGNVSSNTSCTTQLQDQPKPPDSEEKRVPVHDRLRIPVSYDDDLLLGTSIDNDATLS >CAK8573251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598095323:598096570:-1 gene:gene-LATHSAT_LOCUS25721 transcript:rna-LATHSAT_LOCUS25721 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSSSSHASGDTVRTRIPILPEGDGFDHHKLVVSEIAHIIRTHLNEGKSSWKKLSKEQRDSFFDLFKFTWPPEHKVTVRRNFEKRSAAKMSQLIQDVRRDLEYRPEWMGEEVWKKLTVHWNSSKFKKVSATNKINGCFMDGASLHTGGSIPHRLHWKRMKKEKGVDPSLTEFYFRTHRKKGQCWMGVHAESAYDEFEQKKLMISSQN >CAK8536194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916070651:916071076:-1 gene:gene-LATHSAT_LOCUS5586 transcript:rna-LATHSAT_LOCUS5586 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVRGTSSNRKKSRGSIVKLIEKLQKRLLLVRNKSSSTYVPEDVKEGHFAVIAEGMKEQESERFVLPLSCLTNPIFVKLLEQAEEEYGFDHEGALTIPCKPSELHKMLQQWQHEEEGGAHETGTTWNSCNNSMVFQNY >CAK8539758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524274843:524282082:-1 gene:gene-LATHSAT_LOCUS8826 transcript:rna-LATHSAT_LOCUS8826 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHIGRGVVPYQPHAASFHSHQALVAVAIGTYIVEFDALTGSKISALDIGAPVVRMSYSPTSGHTVVAILQDCTIRSCDFDLEQTCVLHSPEKKSERIYSDTDVHLAMTPLQHVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVHYTLQLENTIKLNGASAFAFHPTLEWIFVGDRRGTLLAWDVSIEKPSMIGIIQVGSQPITSVAWLTTLRMLVTLSKDGNMKVWKTRVIVNPNRPPMPANFFETAAIESLDIPRILSQQGGEAVYPLPRIKAIEFHPKSNLAALVFANAATGDTSKNKTLSNRDRRKQLFAVLQGARGSSASVLKEKLSALGSSGILADHQLQSQLQEHHLKGHSHLTISDIARKAFLHSHFMEGHTKSAPISRLPLIAVLDTKHHLKHIPVVQPFHLELNFFNKENRVLHYPVRAFYVDGPNLKAYNLSSGLENTYKKLYNSVPSHVEYQANYLIYSKKQHLFLVAYEFSGVTTEVVLYRENTEVETVNSKSNTVKGLDAAFIGPNENQFAILDDDKTGLAVFTLPGGPSHDPKEIEKAFEENQPTETSDGSIKGPTPFMFETEVDRIFSTPLESTLMFASHGNQIGLVKLVEGYRLLTSTSTSTSNGQYISSNSEGKKSLKLKINEIVLQVHWQETLRGQVAGVLTTQRVLIVSAALDILAGTSTNFDKGLPLISLNVF >CAK8568082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:571387725:571389862:-1 gene:gene-LATHSAT_LOCUS21078 transcript:rna-LATHSAT_LOCUS21078 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGTFVQNAPRIASISNYEFEKLGEEMHIHNVLELSQELPISNMSDKDGKFKIQNLKVDAAEQIDRLSVNAGQIMQTPTSRTVGFQVRASAASRVNRFGGVGYSPEGVSESHVKKRLLSSLNVTHDRLGVGSEIYRICSESCNDSYNALHEYKKLHIGSYAKSSTAFNDCETTKMKSQTEAMFRPQEKTSSPRFPLSPLGKKSCTNEKMGECRDFDTVLSNANLLTADNIIDMNEYWTYPASFPPRHGKLRRPVKRLPIRRSLVGSFEESILSGRLLSEKVSQKIEGFLAMLNVTGGNFSPQSRKIPFAVTSVDGDKYLLYYSSINLSGKIFSSKSRVTKCQRTLGTNESRYEKRRIRIPIKGRIQLVLSNPERTPIHTFFCNYDLSDMPADTKTFLRQKITLASSRSMSTTGKEIQIDSDTDAKSSSRCLVDEDTFLNGSTKINDNSTNNCVLLYALHLRFMCTLPKKRTRSVPTHKSDPVSSEARKLVDNEDERSFYMYDDMRVVFPQRHSDSDEGKLHVEYHFPSNPKYFDISS >CAK8560262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12309112:12310238:1 gene:gene-LATHSAT_LOCUS13951 transcript:rna-LATHSAT_LOCUS13951 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLFFCVTLLATCHGDDLSHEHGSTNKVFPLRIKTGPGGRYIPEVSCSSWRLGVEAHNVINWKTVPLECETYVGNYMLGYQYRADSKTVNREGYYYAKTLNISGDGKDIWVFDIDETSLSNLPYYAKHGFGVNPYNDTSFNEWVDLGKAPALPESQKLYKKLLSLGVKIVFLTGRPLKQTDITAKNLKLAGYHTWERLILKDLSIYHGKTAVTYKSSERKKLEEEGYRIIGNIGDQWSDILGTNTGDRTFKLPDPIYYIA >CAK8532294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:240507329:240507774:1 gene:gene-LATHSAT_LOCUS2018 transcript:rna-LATHSAT_LOCUS2018 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDYVDDYYSKETYLTCYGHNVSLINGQYMWLKVDMEEMLPPSYKRRLGNPKKLRSREPDEDPNKGRTQTSYCCTRCGIHGHNARSCTSQVVDPETQKMKRKPKKTTTGNTTQPSSNATQE >CAK8579353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693480524:693481392:1 gene:gene-LATHSAT_LOCUS31305 transcript:rna-LATHSAT_LOCUS31305 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFLSVPTPQHYHQVQLHKPKNPWSATTSPCFSKILVPPSQCSPSSTPTSLLSSSPEEQLAIPNQSKSSTQQSGCKACGKQEIERGCNGEGRMQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDEVVSGSGKIKTPSPTTATDSKPQQKEGSKKLKR >CAK8572779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562393094:562395111:1 gene:gene-LATHSAT_LOCUS25306 transcript:rna-LATHSAT_LOCUS25306 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHQPSSEKENPNQNPSPETPFPPSIASLSLSLSSILPLFQPKLQSLLSPPQPNKVKVPTQGSSLTHLSLSTKTQSSPSNKTSYKSTFSANPLKSPLSLVPNRPNDPSSAAALRRVSIVWFRNDLRVHDNESLNTANNESLSVLPVYCFDPVDYGKSSSGFDKTGPFRATFLIESVSDLRKSLKARGSDLVVRVGKPETVLVELAKEVGADAVYAHREVSHEEVKMEEKIEGVMEKESVEVKYFWGSTLYHVDDLPFNLEDMPSNYGGFRDRVQKLEVRKSIEALDQLKGLPSRGDVQIGDIPTLMDLGLNPSATMSQDGKAGPNTSMAGGETEALQRLKRFAAECVAQPNKGFKDGTQSIYGANFSCKISPWLAMGCLSPREMFDELKKTASSVVSASSSRNGGGNGSSKTGTNWLMYELLWRDFFRFITKKYSSTKKQLEGAPAATACTGALA >CAK8576700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507425386:507425649:-1 gene:gene-LATHSAT_LOCUS28873 transcript:rna-LATHSAT_LOCUS28873 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPSMIRRLSSSKALVMPKGCVAVYVGEKQTRYVIPLSYLTQPSFQELLSQAEEEFGYDHPMGGLTIPCTEDVFQNITCVLNGP >CAK8561732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:369042390:369044221:1 gene:gene-LATHSAT_LOCUS15293 transcript:rna-LATHSAT_LOCUS15293 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNRDGNVVQIITGGGGGGADSWSSDQADWATEDEYRYWNGNEAGETTPSSNSNCERQQRSGSEPPNKKSRSSSQDGGNGGGGGSNRAKAIGKMFFKTKLCCKFRVGTCPYITNCNFAHSVEELRRPPANWQEIVAAHEEERSVLEQPREEFQIPTVGSSTFVGESMNNRSYKGRHCKKFYTEEGCPYGDSCTFLHDEQSKNRESVAISLGPGGYGGGGGGGGSTGSGGGGGGGGGSGSGSGVVVVAAGAAAAGNGTNLKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATELHRYGGGLMEGESRDGVSVVASDAKQGVSSKNHADNVVASTPPIAHSDAYHLGVPSQRPSIVSQQPGQRAHHKWKGPDKISRIYGDWIDDFD >CAK8573405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609857721:609858101:1 gene:gene-LATHSAT_LOCUS25853 transcript:rna-LATHSAT_LOCUS25853 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSVGNFSESAIPFYGCNKAMRMFILNSTENPKRRLWKCSNLGLRCKLFIWDNELECSTSSKHKNSIGCNCSDVVQELGCIIKDLEDWKKEKMKMKLENERKKARMLKLLLTFSWCLFFAYKKW >CAK8567399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512092456:512093898:1 gene:gene-LATHSAT_LOCUS20459 transcript:rna-LATHSAT_LOCUS20459 gene_biotype:protein_coding transcript_biotype:protein_coding MINISNHRNFPLLKCASFAKMLSTSEPIPDFAEVTETVCKVMMSSPAVTLDTALNQTGVRVSPELVETVLKRFENAGMSAFRFFEWAENQRNYSHSVRAYHLMIESLAKIRQYQIVWDLVNSMRKKGMVNVETFCIIMRKYARAHKIDEAVYTFNVMDKYDTLQNLAAFNGLLSALCKSSNVRKAQEIFDSMKGRFEPDLKSYSILLEGWGKEPNLPKAREVFGEMVSAGCNPDIVTYGIMVDILCKAGRVDEAVGVVKDMDDNNCRPTSFIYNVLVHTYGVENRIEDAIDTFMEMERNGIQADVVVYNALIGAFCKANKLKNVYRVLREMESNGIAPNSRTCNVIMRSLISQGETDKAFSVFRRMIKLCEPDADTYTMLIKMFCEKNEVDMALKIWRYMKSKQFVPSLHTFSVLINGLCQKGNVVKACILLEEMIEKGIRPSRITFAKLRQLLIKEGREDVLKFLHEKINLLVKEPLYD >CAK8542899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557403561:557405113:-1 gene:gene-LATHSAT_LOCUS11697 transcript:rna-LATHSAT_LOCUS11697 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSTQSQVHQQTFHIQAQDSSFTNTTVTRQAVNWIFQILFYLQLLLISALVISITRYDRTSDSSTTHHFHPYKWYPPLLASTLCAGILGFTWHCIIACYPKDALWTPFWMSPLLTSLMGFMFMSMDTPWSLIPGLGSFLFSYLQSQYVYRVIRTNMIKYTAKMFQDLIDFLPAKTKCLAFLSITVGTLYCCFLVYGIGGARRTKQADIYILLIILSLGWTMQVMKNAMQVTISWVQYTRFTNHIGTNIRDAFRDTIKHLIGSVSLGSILVPVIELFRGFARLLNLYICKDNCSCAIELAAHLMTWGNIWGFVHVGAYRKGFVQASSETWWMFTSRAGLLELVDLDITGAFCFLSGVAVGAICCLVCGIWSFIVYEDYATERSIYAFFIGYFICRLAIAWPQASVSAYYVAYAQNPNNFPFEYTIEERLNQLRVASQSPSTQEREAIQEENF >CAK8563965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642593930:642595985:1 gene:gene-LATHSAT_LOCUS17318 transcript:rna-LATHSAT_LOCUS17318 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRFLIFILLIFISLPSQCISIPESEALLKLKQSFTNTQSLSSWVPNQNPCTSKWVGVICFNNIVSSLHLSNLGLSGKIDIDSLLQIPSLRTISFANNSFSGPIPEFNKLGALKAIYLAENQFSGPIPPQYFSHLASLKKAWLNNNKFSGNIPESLANLRFLTELHLENNEFSGPVIELKQDLKSFDISNNKLQGSIPATMSRFDAKSFAGNGELCGKPLDKECDPQISIPTTTTTTDSGQGSGWALKVIAILIVATIVAVIFTLIKSKRRADDDFSVMSRENNDDVVQVHVPSSNYSRASEVSSKKESRRGSSKSGGMGDLIMVNDEKGVFGLPDLMKAAAEVLGNGGLGSAYKAAMTNGLSVVVKRMREMNKVSRDIFDAEMRRFGRLRNRNILTPLAYHYRREEKLFVTEYMPKGSLLYVLHGDRGSSHAELNWPTRLKIVKGITRGLTFLYTEFASGDLPHGNLKSSNILLTDNYEPLLSDFAFHPLINPNYATQTMFAYKTPDYVVYQHVSQKTDVYCLGIIILEIITGKFPSQYHSNGKGGTDVVQWVFTAISERREAELIDPELAGNDADSINQMLQLIQIGAACTESNPEQRLNMKEAVRRIEELQV >CAK8577293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552919647:552920021:-1 gene:gene-LATHSAT_LOCUS29414 transcript:rna-LATHSAT_LOCUS29414 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGTTDSDLVKNAKATAASAKTAVGEQTAKVTTAAGGVQKTVGEYVDKAADYVHSKPDPPPAEPGVFSGVAKAAGDAQKTVGEFVTKAVDYVTPTPKPEGPVAKTEAAVTDAKKAAGDVFKK >CAK8561222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:122704779:122706817:1 gene:gene-LATHSAT_LOCUS14831 transcript:rna-LATHSAT_LOCUS14831 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLITELGIKTPADKFYKLFASELHEVQNLSERIHEGKLHEGEDWHHSDTVKHWTIVIDGEVHTCNESIEEVDDENKKITFKLFGGDIDNFTVFKVILEVINKADGTAAAAVRWTVDYVKNNEEVDTPNGWTDYLSKTTRDIDGHLLKGERVAL >CAK8539451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512987931:512989103:-1 gene:gene-LATHSAT_LOCUS8543 transcript:rna-LATHSAT_LOCUS8543 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFTNYVDLKKAAMKDEVDLEAGLQKQSVNDVELTRSSITHLETDMNLFLEEAEKVRTEMGEIRDVLTNLQQANEESKSLHKTDALKSLRERINTDIVTVLKKARCIRTQLEEMDRANDANRRLSGLKDGSPAIYRTRIAVTNGLRKKLKELMMEFQGLRQRMMSEYKETVGRRYYTVTGEHADEEVIDKIISNGDDESFLGKAIQEHGRGKVLETVVEIQDRYDAAKEIEKSLLELHQVFLDMAVMVEAQGEKMDDIEHHVLHSSHYVKDGTKNLHSAKQYQKSGRKWMCIGIILLLILILVIVIPIVTSLSSS >CAK8576260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:438577107:438579345:1 gene:gene-LATHSAT_LOCUS28463 transcript:rna-LATHSAT_LOCUS28463-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYRQVSPERAKVWTEKPPKYHQNLKVPVVYYLCRNRQLEHPHFMEVPLSSPDGLYLRDVIDRLNSLRGRGMASLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFDESNSDRFSPINDVKIQSVKLLPGPASCRSHDEASSSCSMNGKEARHSQDDDLSQEQHTGSSDVSPESSAEKSDPVSLALTEYKIFKTDGLADASTQTEENIIRSRTRKTCTRGVSTDEGSLESECHEICKTEVPQVKHNPEICKDTISPSTTNSSPLSFGGKMETLESLIRADASKVNSFRILEEEDIPMPTNTRVKASNLLMQLISCGSISVKNHSFGLIPSYKPRFSHSKFPSPLFSTSFVLGEFDCLAENPKLMNLRLEDKEYFSGSLIETKLKEADAQNVLKRSSSYNHERTSKEEKPIDDKEEPSPGHIKCIPRSIKASLTKHPRSESLRSPISDRPRTSSDRIDGSCISSISSNNNSQRIMEPWSGKKQSKRIDSFREDEVIKIEES >CAK8576259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:438577107:438579461:1 gene:gene-LATHSAT_LOCUS28463 transcript:rna-LATHSAT_LOCUS28463 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYRQVSPERAKVWTEKPPKYHQNLKVPVVYYLCRNRQLEHPHFMEVPLSSPDGLYLRDVIDRLNSLRGRGMASLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFDESNSDRFSPINDVKIQSVKLLPGPASCRSHDEASSSCSMNGKEARHSQDDDLSQEQHTGSSDVSPESSAEKSDPVSLALTEYKIFKTDGLADASTQTEENIIRSRTRKTCTRGVSTDEGSLESECHEICKTEVPQVKHNPEICKDTISPSTTNSSPLSFGGKMETLESLIRADASKVNSFRILEEEDIPMPTNTRVKASNLLMQLISCGSISVKNHSFGLIPSYKPRFSHSKFPSPLFSTSFVLGEFDCLAENPKLMNLRLEDKEYFSGSLIETKLKEADAQNVLKRSSSYNHERTSKEEKPIDDKEEPSPGHIKCIPRSIKASLTKHPRSESLRSPISDRPRTSSDRIDGSCISSISSNNNSQRIMEPWSGKKQSKRIDSFREDEVIKIEESLLRELGL >CAK8538145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465710931:465712528:1 gene:gene-LATHSAT_LOCUS7377 transcript:rna-LATHSAT_LOCUS7377 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSTESLVIERLDIPATAQRALPSNGTHSSSWMKTIESWNMMNYHQSRLRGRWEPWYYDFYNYLKDGFISEYATRGQRRAWRRTCPTICDLGRCPLQKVLQGCTLHRKSRRLKDPPLNKPLAEGRFESFLSRVIRQSLDWKRFNPLLDRLLRCCLGLLLCPVTSKASHRHLL >CAK8566775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461675641:461676180:1 gene:gene-LATHSAT_LOCUS19884 transcript:rna-LATHSAT_LOCUS19884 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNSVGSSSTPSVEFPSLPRCGCDRVMKMWIANTVQNRNRKFWRCRNTGNGNSSDLFVWDDEIGDCMNGNSSGLFVWDDEIGDCVNENSNIQASFQNFEMENVKFEITAKKLEKAKMKIEVQKKKYFNLKMAFMMSWFIFAMLYKLL >CAK8566521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432559208:432560530:-1 gene:gene-LATHSAT_LOCUS19645 transcript:rna-LATHSAT_LOCUS19645 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFSNDSSAVTIVSECTVFPDKKSSLGDLKLSVSDLTMICAQYIQKGCLFTTPSLPSDTLIPHLIASLSKTLSLFPPLAGRFVTDSDGYIYISCNDAGADFIHATATHLTITDLLSPRDVHAAFKQFIPFHCKINYSAHFSPILAIQVTYLADGIFIGFAVSHAVTDGTTVWNFFNTFADISRGVTQPTRIPDFRRDSILNSKAILRLSEDDINPTSKADEPVRERIFTFTLEAIQKLKARVNRNRTSLAEISSFQSLSALMWQCVTRARKLEGSKRTTVRMAVNIRNRLEPMLSEYYFGNAIQSIVTYASAGDVVDRDLTWCAEQLNKNIREYNTDVVRRVIENWEREPKAVKMGNHDGGIVQIGSSPWFPMYDNDFGWGRPLAVRSGGGNKFDGKMSAFPGREGGNAVDLEVVLATETMGILENDPEFLLYASCQK >CAK8561147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:104897876:104899678:-1 gene:gene-LATHSAT_LOCUS14760 transcript:rna-LATHSAT_LOCUS14760 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNNMKGRFDRFECDREEELSFFRELKKRQNEYFPSLCASEEYECDTNYGANGIIEGKFSLYRIPSGKKESRLEFLETKNKSDFDWLKTPPATPLFPSLEMEPSAKLVVQKELPIAHLISKAQFAKSDVEPLKPKANQTNTAKSSKLPMRSITPSYNRQRPNLIKNTNDATTKKEHDATTNNTKYPNPQKQINNVEANEPQTKPRTRGASPSLKLKGSNIVIELSNETPQNLRTDKRSTSNTRGRSTTRGSLVGASQNHDTTPKTTRGRSTTRGSLVGGFQNQDPSPKACRPSRSPSPSMSKYGFNQFDRTQKNVKTQKETFTLGAATNENRSHFKGSKMVERVVNARKSGSNHAEREATLKPIKYRV >CAK8534189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702745018:702753865:1 gene:gene-LATHSAT_LOCUS3751 transcript:rna-LATHSAT_LOCUS3751-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLMQDKKYELAIRALLRLEPNRRCINCNSLGPQYVCTNFWTFVCANCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRARKVYFKEWDAQHHSFPDSDNVNRLRDFIKHVYVDRRFTGDSTSDKPPRVKNGGDKDGSYENRRVEAYQGGSKSPPRVDSHERRLSDRSSPGGRSPGYNQESRQVGDYKRSPGRPPVINDWRREDRRISDGDYKTERQSPVQARNLGSSSPSVGRSVREILGENVVPLRISGPPEPNNGKAADASALTQRTASSGSLVPSNESQVNIKLATTKSLIDLDADPEPIAPTIPQVQQTSVPQHVLQPGNSSDDNWVSFDVASEAKANQSTSNLNPLESILSQSSYSASLSSHASGVQGPVPAGALSFGGLSSFPSNGTSMLSSGQAVVLPQNNVGQWASSQHQKPMFSAVNSQPSHVPSVTTGQGYPNTPMPHAYHHASKPANEAFNSILSQPSAVEAKPSGRTEPPEDLFTTKLSSFSAPVQGWQAGLPQGTGNSMQYNNNVPIPSFSEPSRSTNPFDVSSKQTPDQDQSFPSISFLDGAMSCVSPPFPMHPPCQSNLLHGWNPPLPNIGTMHSSSLGLQPHAWNPPPLPEIPSSGTLHPSSLGLQPHAWNPPLPEIPSSGTLHPSSLGNPSHAWNPFLPPQAPIHASALGLGSNNGQQMPNNTPMPRQEAGNYGAELDIFGLSNPDQQLTGKPSTTPTSHPFPKGGNPFG >CAK8534190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:702745018:702753865:1 gene:gene-LATHSAT_LOCUS3751 transcript:rna-LATHSAT_LOCUS3751 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLMQDKKYELAIRALLRLEPNRRCINCNSLGPQYVCTNFWTFVCANCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRARKVYFKEWDAQHHSFPDSDNVNRLRDFIKHVYVDRRFTGDSTSDKPPRVKNGGDKDGSYENRRVEAYQGGSKSPPRVDSHERRLSDRSSPGGRSPGYNQESRQVGDYKRSPGRPPVINDWRREDRRISDGDYKTERQSPVQARNLGSSSPSVGRSVREILGENVVPLRISGPPEPNNGKAADASALTQRTASSGSLVPSNESQVNIKLATTKSLIDLDADPEPIAPTIPQVQQTSVPQHVLQPGNSSDDNWVSFDVASEAKANQSTSNLNPLESILSQSSYSASLSSHASGVQGPVPAGALSFGGLSSFPSNGTSMLSSGQAVVLPQNNVGQWASSQHQKPMFSAVNSQPSHVPSVTTGQGYPNTPMPHAYHHASKPANEAFNSILSQPSAVEAKPSGRTEPPEDLFTTKLSSFSAPVQGWQAGLPQGTGNSMQYNNNVVPIPSFSEPSRSTNPFDVSSKQTPDQDQSFPSISFLDGAMSCVSPPFPMHPPCQSNLLHGWNPPLPNIGTMHSSSLGLQPHAWNPPPLPEIPSSGTLHPSSLGLQPHAWNPPLPEIPSSGTLHPSSLGNPSHAWNPFLPPQAPIHASALGLGSNNGQQMPNNTPMPRQEAGNYGAELDIFGLSNPDQQLTGKPSTTPTSHPFPKGGNPFG >CAK8540532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10180809:10181219:-1 gene:gene-LATHSAT_LOCUS9530 transcript:rna-LATHSAT_LOCUS9530 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSILQSISSCIISVYLILYVVVNDIEKMLNSFWWGGASNNKGIKWLGWDKQICTKKEGGMRFKDFKAFNLAMVAKQGWSMLTKPPFPCFQSLQSKVEYR >CAK8573198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592936473:592938513:-1 gene:gene-LATHSAT_LOCUS25673 transcript:rna-LATHSAT_LOCUS25673 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYYYSIIFYVVLFLSIENSLTSSFEDQKRDKISWLPGQPENVGFEQYSGYVTVNEQNGRALFYWLTEAPLSRAPNSRPLVLWLNGGPGCSSIAYGASEEIGPFHIKSDGKSLYLNPYAWNNLANILFLDSPAGVGFSYCNKTEDMHTFGDQKTAEDAYIFLVNWFERFPQYKHREFFMAGESYAGHYIPQLAQIVNKRNKGIIYPTINFKGFMVGNGVTDDYHDYVGTFEYWWTHGLISDSTYKMLRTSCDLGSSQHPSKQCLHALTVAQAETGEIDGYSINTPPCNNTSSSLRRGLNRRYPWMYRAYDPCTVRYSDVYFNRPEVQKAFHANVTEISYAWKACSEILWNYWSDSPFSMLPIYQELINDGLRIWLYSGDTDAVLPLTATRYSIAALKLPTIINWYPWYDNGLVCGWSQVYKGLTFVTVRGAGHEVPLHKPREAFILFRSFLENKYMPPSSS >CAK8565435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:197616536:197618861:-1 gene:gene-LATHSAT_LOCUS18652 transcript:rna-LATHSAT_LOCUS18652 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFSLKVELSKKTSVWGLRLWVLIGIGVGAFIVLILCILSSWILFRRKTRRSVDVDKYLLSQIPHDSKDIKVDKVGVNPSHDQVESLSVHVRDNATNNKNSDSDKLFVHLSKSSEPDNVSRCSSVYHHDRGLSLMSIEDGNFGTVKKQYMVTASPLAGLPEVSHLGWGHWFTLRDLELSTNRFAAENVIGEGGYGVVYKGRLINGSEVAVKRLFNNLGQAEKEFRVEVDAIGHVRHKNLVRLLGFCIEGVHRLLVYEYVNNGNLEQWLHGAMSQHGVLTWEARMKVILGTAKALAYLHEAIEPKVVHRDIKSSNILIDSEFNGKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAITGRDPVDYTRPTNEVNLVEWLKMMVGTRRAEEVVDSSIEVKPPTRALKRALLVAFRCVDPEAEKRPKMSQVVRMIEAEEYPFREDRRNRKSRGVSMDIESIKDNSGPSYTDKMKGSEECTFETPQR >CAK8577047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533733808:533736404:-1 gene:gene-LATHSAT_LOCUS29189 transcript:rna-LATHSAT_LOCUS29189 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPLRSINKDENQEGMESFSMASTSITTGEFGDGNLLESVNFDNFFVDININGDILPDLDMDMDSEIFAEFSVSYGDESEINLSTIKIADENNPSKEEDKIGGFPSRQGSEELLSKRDESVDVNPLPKNGGKGRKSSNQSKNPEGHGKRKVKVDWTPELHRRFVQAVEQLGLDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHVLAREAEAANWNQRRHVYGVVGGKREVISSWPTPAAVPAMGFPPPPPPPMIPLHHVRPLHVWGHPSMDQSFMHTWPQLQPQPWPPAPPQDPSFWHSQHQQRSFGRQLPSIPPHGMYEADHTIATAGPASGPDLFFDFHPSNESIDAAIGDVLSKPWLPLPLGLKAPAHDSVMSELQRQGISNIPPHELETKYS >CAK8573255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598335951:598336860:-1 gene:gene-LATHSAT_LOCUS25725 transcript:rna-LATHSAT_LOCUS25725 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEDCKKSFSVCIPIIGVDGCFLKGIYRGQILAAVGRDPNDQMLPIAVAVVEAETKDSWAWFFDLLVRDLGGLEVCKNVTFISDKKKGMLHAIDEFLPGVDQRFCVRHLYSNFRKRFPGKHLKELMWRAAKSTYPQAWEREMKEMRKVNEEEFKHLWKTPPRYWSKSMFKYNTKSDVLVNNMSETFSSVIIRPRQKPIVTMMEEIRGYLMDRWTTNRAKIEEYA >CAK8530303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:13285143:13285400:-1 gene:gene-LATHSAT_LOCUS178 transcript:rna-LATHSAT_LOCUS178 gene_biotype:protein_coding transcript_biotype:protein_coding MFESDSQIVVQAIHSNHIGGYELYLIISSIKVLLSVNYNFEVKLISHQANMVAYYLAREANSWPKRISLNLAPSCIKHFLIIDIH >CAK8570235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30589951:30590940:1 gene:gene-LATHSAT_LOCUS23005 transcript:rna-LATHSAT_LOCUS23005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSNDPDSRKKMASSTKNPPDSKIKSVTKSEVKNKAISSSSKSTTKTITTKVREKKVYNLPGQKHDPPEQKEPLRIFYESLSKQIPTSEIAEFWLMEHGMLSPESAKRAFEKKHRKQKELRVGTPVKPSKSATKTETSNKQESKNGDIKAKKVIVESDEDEDDCSLSPKRRKG >CAK8541966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:444329704:444332331:1 gene:gene-LATHSAT_LOCUS10845 transcript:rna-LATHSAT_LOCUS10845 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFMHRVISYFVNEVVVNGLANSPAFQRFAVRTSKNIEDISKKAIQKRQELAEQLKDLPQKMDSFKNR >CAK8567195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493799922:493801414:-1 gene:gene-LATHSAT_LOCUS20266 transcript:rna-LATHSAT_LOCUS20266 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAVTTTSSSSYSGFGNYPLFCAIVAFTIAQSIKFFTVWYKEKVWDPKQLIGSGGMPSSHSATVTALATAVGFREGFGGPLFATALVLAIIVMYDATGVRLQAGRQAEVLNQIVVELPAEHPLSDSRPLRELLGHTPPQVVAGGLLGIVTAAIGFLVTHV >CAK8531103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:81002038:81010466:-1 gene:gene-LATHSAT_LOCUS915 transcript:rna-LATHSAT_LOCUS915 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPNAELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTEALGMKLLRKRDVPEERYANAFLGFGSEQTNFVVELTYNYGVTSYDIGTGFGHFAIATPDVYKFVEGVRAKGGIVTREPGPVSGGSTVIAFVKDPDGYIFEILERASTPEPLCQVMLRVGDLERSIKFYEKTLGLKLVKKVDRPQYKYTLAMLGYAEEHETIVLELTYNYGVTEYTKGNAYAQVAIGTDDVYKSAEVVNIVTQELGGKIARQPGPIPGLNTKITSFLDPDGWKTVLVDNQDFLKELA >CAK8572377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532981659:532984691:1 gene:gene-LATHSAT_LOCUS24948 transcript:rna-LATHSAT_LOCUS24948 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRSVKRGETRMDAALDAMAPFGFPNKLVRRTVDQLLEVYGGNEGWVFIEDAAYTLLINTLLEHQQEQDQDCLTEDNPENDPNEASAAGCSNRNLLLPCSNPEASDDAPINNQAIDTISAASETGSYLHISVDATTGTSKAVIGLPITVDTSEALSRPSNQLSIMAVDTATPTSKAVIKLPIKPVDASAATSQPKYHLPMAVDTATPTSKTVNRIPIKPVNTSTHQPGNQPSIKAVDTVSAINEINKQVSGKAIVDTVSADNKHEPPLTKSSQPCHKRRRPCHGWISSDDEEEDLIELPAIHKL >CAK8532904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:547295192:547297749:1 gene:gene-LATHSAT_LOCUS2566 transcript:rna-LATHSAT_LOCUS2566 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPLSLYNPPTHMQNIDIVDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSENSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDGEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8532781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:514145548:514149113:1 gene:gene-LATHSAT_LOCUS2453 transcript:rna-LATHSAT_LOCUS2453 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPRPIRFGIIGCAEIARKVSRAISLSPNASLHAVGSRSLDKAKAFAKANSFPDGAKVYDSYEAVLDDPDVDAVYMPLPTSLHVRWAVSAAKKGKHVLLEKPVALSALEFDEIVQACESSGVQLMDGTMWMHHPRTDAIKEFLSDAQRFGQLKSIHTVFTFGADPDFLENDIRVKPDLDALGSLGDEGWYCVRAILFANNYELPKTVLASREPMLNKAGVILSCGASLFWEDGRVATFYCSFLSNLTMDITAVGTKGTLHVHDFIIPYEEKKASFYAASESGFDDLVTRWSSKPTKHVIENDLPQEALMVKEFSRLVAEIKFNKSKPEKKWPSISRKTQLILDAVKASIDKGFEPIQIQE >CAK8568763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639336277:639339694:-1 gene:gene-LATHSAT_LOCUS21690 transcript:rna-LATHSAT_LOCUS21690 gene_biotype:protein_coding transcript_biotype:protein_coding MTWWEGVQESRVLVAPDPSNDGNGSGRMISLRHPKSGNATQYLFVNGMLQEFQWFKNLYGSWFLGDYTSEDGRLYLSTPIDPVFIMLPIFEEARMKKGDDLGKFRQLNEILFVDGYPGYQQLMSLVENCMQVVCEVKEVGSLKFFRLDDTKVLRWLCYKVCQLNQTLPKLDKNYAIQSEKDTMVDAVSILGEYLNEEHWLQLLCNHLKLNILEVTGKLQQAKAEESNPGLYNDVFQEEQSDNKKATVVKKGRQAKKIKLETESHNIRDMFSRASRKKN >CAK8568764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639336277:639339694:-1 gene:gene-LATHSAT_LOCUS21690 transcript:rna-LATHSAT_LOCUS21690-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWWEGVQESRVLVAPDPSNDGNGSGRMISLRHPKSGNATQYLFVNGMLQEFQWFKNLYGSWFLGDYTSEDGRLYLSTPIDPVFIMLPIFEEARMKKGDDLGKFRQLNEILFVDGYPGYQQLMSLVENCMQVVCEVKEVGSLKFFRLDDTKVLRWLCYKVCQLNQTLPKLDKNYAIQSEKDTMVDAVSILGEYLNEEHWLQLLCNHLKLNILEVTGKLQQAKAEESNPGLYNDVFQQEEQSDNKKATVVKKGRQAKKIKLETESHNIRDMFSRASRKKN >CAK8530245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9505193:9507662:-1 gene:gene-LATHSAT_LOCUS127 transcript:rna-LATHSAT_LOCUS127 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFDVKLTGHHHRGKSHGSVQDLHDQPLVIQNSCWASLPPELLFDVIRRLEESENTWPSRKHVVACASVCQSWRNMCKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPHTSAHICPPGIGKTSRRFSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRKMHCIMHSIPNSALDAGGSVPGQPELIPRSLEDSFRSISFSKSLDHSIEFSSSRFSEIGGSCNEDDDGKTRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIASTQPPAGAPTPSQPAPPEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >CAK8538551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486993423:486995685:-1 gene:gene-LATHSAT_LOCUS7737 transcript:rna-LATHSAT_LOCUS7737 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKPVTTITTEQPQPIRCKAAVCRKPGDPLIIEEIVVAPPMPREARIRIICTSLCHSDITYWKMQEPPAIFPRIFGHEAIGVVESVGEEVTEITKGDIVIPIFIADCEECIDCKSTKSNLCSNFPFKISPWMLRYANTTRFTDLNGEIIHHFMFVSSFSEYTVVDIANLLKIDPAIPPNRACLLSCGVSTGVGAAWKTANVEPGSTVAIFGLGCIGLAVAEGARLCGATRIIGVDIKPEKFDIGKKFGVTEFINSGECENKSVSQIIIEMTDGGADYCFECVGMASLVHEAYASCRKGWGKTIVLGVDKPESMLSLNCNGVLVQGKTLMGSLYGGLKPKSDVPILLKRYMDKELKLDEFVTHEVEFKDINKAFDLLVKGECLRCVIWMDK >CAK8579281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689606711:689607265:1 gene:gene-LATHSAT_LOCUS31236 transcript:rna-LATHSAT_LOCUS31236 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFLFVFVMLFALTSAIPNKRKPYKPCKNMVFYFHDILYTGKNAANATSAIVAAPEGASLTKLAPQSHFGDIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIATITTDAFEGEAYFRLGVDIKFFECW >CAK8542302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:499568736:499570355:1 gene:gene-LATHSAT_LOCUS11149 transcript:rna-LATHSAT_LOCUS11149 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIYATTILFTSLRTSSSSSSTTFLLLYPYTTFFARTHRKKLHKLPTFDDAVSSFNHFLHLRHPPPIQEFNKLLTTIAKLKRYTTVVSLYSKLELKGTVKPSLITMSILINGFSQLGQMGFAFSVVGKILKRGFELDVKTLTTLMKGLCLKGRVLEALGLLDDSVSKGFRFDEVCYGTIINGLCKTGKTKTAIEMFPKMKKIRVYPNLIMYNTVIDGLCKQGLVDEACGLCSEMVANGIGLDIYSYNSLIHGLCSVGRFRAAAELLDEMAVQRKIYPDVYTFNILIDGLCKVGLVTEAHNVVAVMIKRGQNPDVVSYNALMNGFCLCGGVDEAKRVFDKMVERGCLPNVISYCTLINGYCKVKMVDEAMMLLTEMHKKNLVPDTVTYNCLLDGLSKSGRTLYEWDLVEAMRASGQPADLITYNILLDDYFKHEKFDKALALFQHIIEIGILPNIRTYNILLDGLCKSGRIKYAKEIFQLLSAKECQPNIRTYNIMINGLCKEGYLDEAESLLYKMVDNNCLPNYITFDTIVRALLVK >CAK8568930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:655386566:655386901:1 gene:gene-LATHSAT_LOCUS21837 transcript:rna-LATHSAT_LOCUS21837 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDGSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERNEKVRDQTHERIGNIPVYII >CAK8569733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9433439:9437082:-1 gene:gene-LATHSAT_LOCUS22550 transcript:rna-LATHSAT_LOCUS22550 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDEEMKDHPTPSHSISTAIPSTLHHLKEIASIIETGSNSKEVRRISRAVRLTIALRSKLTAPVLSSFIDHVLPPASESHSRLSSYLSNPKDDDHEMEVDSSTSAAIQTPAKHLLPELEIYCYFLVLLFLIDQKRYEEAKACSSASIDRLKNLNRRTVDVIASRLYFYYSYSYELTGDLAEIRGNLFQLHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAAQGFRIQCNKWAIIVRLLLGEIPERTIFVQKGMEKALRPYFELTNAVRIGDLELFRNVAEKYATTFKTDGTNNLIVRLRHNVIRTGLRNISISYSRISLADVAQKLRLSSADPIADAESIISKAIRDGAIDATLDHANKWMLSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVQALRFPKNTHKEKEIAEKRRERQQQEQELAKHIEEEDDDDDF >CAK8537780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:431874840:431875471:-1 gene:gene-LATHSAT_LOCUS7034 transcript:rna-LATHSAT_LOCUS7034 gene_biotype:protein_coding transcript_biotype:protein_coding MELQDTAATKITIGLPLGLALLFACLLFICVFFCCLLHWNRLKFLFPSSQIMTPHAQIQHDSTSSPQKPAFPLVMMKQSYAESLPVLMPGDEIPKFIAMACPCKPPTDESITIRVDKEDTNDFSAENSC >CAK8563097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573556840:573558412:1 gene:gene-LATHSAT_LOCUS16541 transcript:rna-LATHSAT_LOCUS16541 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPAAVPGEFMLFGVRVVVDSMRKSVSMNNLSQYEQPHDNNNDSIKEDNNKEVLAVGYASADDAVPNNSGRNRDRERKRGIPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVSAIPMEEDQVKTQDSVSQVQPMCPAAPETRKSNGFPFMSVYHLGVDESPLEELTLGQGNAKQNFATNLFHPIPFVLSDPKASTVSDITSSSSSSIEPPTLSLGLSFSSDQRKTSSSTHSALHAMPCFNNGDNIISVA >CAK8534696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756271717:756274993:1 gene:gene-LATHSAT_LOCUS4216 transcript:rna-LATHSAT_LOCUS4216 gene_biotype:protein_coding transcript_biotype:protein_coding MDESQHVVDQNFPLFKPSLKPSINPSPTPTIIPQNDVVTHENPNSITENTATFSDLGLSEWAVKTCKELRMRTPRRVQQYCIPKILEGRHVIGIDETGSGKTAAFALPILQRLAEHPFGVFALVVTPTRELAFQLAEQFRALGSSLRLRVAVIVGGMDMLRQTKELVARPHLVIATPGRIRVLLKDNPEIAPVFSRTKFLVLDEADRVLDVGFQEELKFIFQCLPENRQNLFFSATTTSNLQKLRERYQDKLYAFEAYEGFKTVEKLTQQAVFIPKKVKDVYLLHILSKMKDMGIRSAIVFVSTCRDCHRLNLMLEVLDQEAAALYSFRSQAQRLEALHEFKSGKVPILLATDVAGRGLDIPTVDLVINYDVPRIPRDYIHRVGRTARAGRGGLALSLVTQNDVDLIREIEALIKRQLDMIEYKENEALSLMKKVFSAKNVAKMKMIDDGFEEKANERKKQKLKMLEEKGLLKQRRKRKRNKEFSKKGNKHEKVEVDASADIASRKKRSKKRV >CAK8538339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478180775:478183625:-1 gene:gene-LATHSAT_LOCUS7551 transcript:rna-LATHSAT_LOCUS7551 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNTSLSAALWLIAVWFFFLNLSLCCYSLNEEGNTLLKLKERITSDPFDALSNWVDDQVSLDPCHWFGVECSDREVVVLNLKDLCLEGTLSPELMNLVHVKSIILRNNSFYGTIPEEIVGLKELEILDLGYNNFSGNLDANFGHNISSLAILLLDNNEHLAGFSPKINELKVLSECQVDENQLTNAANMPSCSERSTKWHVRENEGPRSLRERPELQRHPYHHRHNHTSPLYRPSPSLSPSVAEAPPVTSPDSPDQNASDSPDQNASDSPSQSIPSKKNQLPIFAGVIIGSAVFLVISTIGIYLCKTNKVSIVKPWTTGISGQLQKALVTGVPKLKRIDLEAACEDFSNVIGNSPIGTLYKGTLSSGVEIAVASVSMTLSKTWTKNLEAQFRKKIDTLSKVNHRNFVNLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHVKEAEHLNWGPRLRIATGMAYCLKYMHGLDPPVALTNLSSSTVHLTDDHAAKISDLSFSREADSSEKKPDRRKDIDIDMMMQSTNPASNVYSFGVLLFEIVTGRIPYLLDNSSAENWASHYLKWDKPLKEMVDATLASYQENQVEQVAELIKDCVDPDSEKRPSMNEVSERLREITKMSPEFVVPKLSPLWWAELEISSA >CAK8561705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:360680333:360692960:1 gene:gene-LATHSAT_LOCUS15270 transcript:rna-LATHSAT_LOCUS15270 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSPPKVLPVEHADDSMRSPPPKSDPADAIGRNVGSSGGSSRRKGRVSEMKSSEENRRRSPRLSVESNGTDSSSRAVKLRRCRSSNGNENMQVVHQKKKTRKVIASSIELSNSSVEQHSPEVDDIDCSALSPEEITTSAWVENGRTNYNCFALSVLQGKPSKKKFKSSASFANGSMDEKNISLFIGDPIPDNEARERWGWRYELKDKQCKDKVFKINEDEEDETIINVKCHYAQAKIGNCTFNIGDCAFIKGEEEQKHIGKIVEFFQTTDRKNYFRVQWFYRIQDTVVKDAGDYHDKRRLFYSSIMNDNLIDSIIEKVNVRYIKPKVGPRLTSVSPSNFYYDMEYCVEYSTFRKMPTDNVVKIKESSQPAVVESLSTEASTISKCLPSPELHRTELTLLDLYSGCGGMSTGLCLGAKLSSVNLAARWAVDSDISATKSLKLNHPDTHVRNESAEDFLQLLKEWEKLCKRYNVGDTERKTPIRSRSSGGKKQVNSQADDNSDDELEVSRLVDICYGDPGKTGIHGLYLKVNWKGYDESEDTWEPIENLRNCKQIIQDFVREGIQSKLLPLPGEVDVVCGGPPCQGISGYNRFRNTESPLDDERNHQIVVFMDIVKYLKPKYVLMENVVDILRFDKGSLGRYALGRLVHMNYQARLGIVAAGCYGLPQFRLRVFMWGAHPDEVLPQFPLPTHDVIVRYWPPPEYERNTVAYDEDHKRELEKALVIQDAISDLPPVTNFETRDEMAYKNPPETEFQRYIRSTKYEMTGSKLNGTTEQNHLLYDHRPHFMSEDDYLRVCQIPKKKGANFRNLPGIVVGADNVVKPHPVEKIPLLPSGKPLVPDYCFTFEQGRSKRPFGRLWWDETVPTALTSPSCHNQVVLHPEQDRILTIREFARLQGFHDYYRFYGSVKARYRQIGNAVAVPVSRALGYALGIAHRKLGSNEPHMILPSKFSLSNYLQLSSNHVGNSIFETENAGKTSVVESENAGDNNVVESENADDNNVVESENAANIIPDSKNATDAKIATTIIESENAANTNFESENAGDTTIFESENAADTIFKSVNVAETTIFESDNAANTIFESESAGGTTVVESENAADTTLDSNNATNTIFYSENAAETTMFEVDGIFDSKDALDTPIFYSENAAETSIFESDNAANTMFESENAADTAMFESENAADTTMFELENAAVANFDSKYVADTTIFDSVNAPDTSIFQSENVGDTTIFESENAPDTTIFVSENAANNSIFQSENDGDTSIFQSENVGDTTIFESKNAPDTTIFVSENAANDSIFQSEDAGDTAESENATDTFFDSENAGDTN >CAK8565837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342845625:342846276:1 gene:gene-LATHSAT_LOCUS19017 transcript:rna-LATHSAT_LOCUS19017 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCTGHKNWVLCIGWSPDGKYLVSGSKSGELICWDPQTGKQSGNALTISL >CAK8574505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1070374:1071127:-1 gene:gene-LATHSAT_LOCUS26846 transcript:rna-LATHSAT_LOCUS26846 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVKESLRDDFRYKSMKHEDREFLFNEYISELKAAEHAAERETRAKRDEQEKLRKGSGSCVKGRKEKNMKWKKYE >CAK8571153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:317277397:317279037:1 gene:gene-LATHSAT_LOCUS23843 transcript:rna-LATHSAT_LOCUS23843 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSSSSHASGDTVGTRIPIVPEGDGFDHHKLVVSEIAHIIHTHLNEGKPSWKKLSKEQRDSFFDLFKQKFTWPPEHKVTVRRNFEKRSAAKMSQLMQDVWRDLEYRPEWMGEEVWKKLTVHRNSSKFKKLSATNKRNRCSMDGASLHTGGSIPHRLHWKKMKKEKGADPSLTEFYFRTHRKKDQSWVGVHAESAYDEFERKKLLVSSENSTVPGEDEADSQPTVEMPSDLDIWVESFGKKKGRVFGLGTIAKTLVPSSTQPSLSSNSQEVDDLRSQVHALNASLQRQEQEKLEMKQQLQRQEKEMIETNNKLSLLMNHLGFAASSSHPPQANNEIDNQSEDDVDESDEDISEHISSEF >CAK8577830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:591970021:591973619:-1 gene:gene-LATHSAT_LOCUS29899 transcript:rna-LATHSAT_LOCUS29899 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGVIWEVAKSLFSWTKAQATYVYKLQENLESLMEKWEDLQNKKKDVQIEIDRAESTGVMKRTNEVIGWLHKFQKLEEKIKDIPNSQEVQSKQCLNDVSSYKLGKTIVKRLNDVNGLLARAGNLQIALKQPPKPIYEMPSNETIGLDVMVHKVWNSLKDDTVDVIGLYGMGGAGKTTLMKRIHNELGTRDHSFDLVLWVVVSRDFDINKLVNDIGNKLGIEEGFWNRSTQELRVSKIYDRLKGKRFLLMLDDLWGKLELETIGVPAPKENNKSKVMFTTRSEDVCGKMQAQKKLKVECLSDEEAFDLFCKKVGDETLKCHSEIPKLAREMTKECKGLPLALITVGSAMAGVESFEAWMVAKNNLRSSPWTASDLEDKVFRILKFSYDKLPDKAHKSCFLYCALYPEDYEIYIDDLIDRWIAEGFLCKDDMCVYDMYNQGKSVIEKLTLSCLLEEGVDIEYHTYLKRNSRTVKMHDVIRDMALWLARDEDKNKEKVVNEGEVFSMSKMDSQTLNVVERISIIYDGNFEENWNLPACPNLITFCFNIRHCISNNNHDLSTKFPSMKRLRVLDLSYTDSVQIISPELCDLINLEFLNISGTYVRKLPIELKKLKILRVLLMDDMKRLAVKGIHLEVIESLKQLKVFRFSVSKSYECIIYSVQFEISLLEKLESLPKLEELSIHLTGTTSMQRLFHSTKLRGCSRHLRLNLVELGTLEMSTLLASISELTHLDGILLSKIHCLMDGPLVTEKCHLGKLRQVRIHFCNSITHLTWLTYAPLLEDLCVFSCNSIEHVVKEAKDEEEAGSESKNKSIFTNLKDLCLERMPSLVSIHERALAFPSLKRILVTDCYELRKLPLNSSFASNNKLVAIQGGSDWWNNLEWDDTIIERLLRPKVLQIYGAYRGALGGKY >CAK8535607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:867151212:867151556:-1 gene:gene-LATHSAT_LOCUS5050 transcript:rna-LATHSAT_LOCUS5050 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVRQVYYVPYPSIVPRKRGWSVVIKTKPLVHIETGHPLEDVAYQVDEVEQINDVIAIEQITSLSATTVEGHQVDASILLDENDNHDEHEEFGSEDNITSDDDNHMDEHDFE >CAK8533830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663932002:663933378:1 gene:gene-LATHSAT_LOCUS3424 transcript:rna-LATHSAT_LOCUS3424 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLYQPSMVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CAK8570391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42695743:42699272:-1 gene:gene-LATHSAT_LOCUS23141 transcript:rna-LATHSAT_LOCUS23141 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTIPILTPTSNLNPSHFVPSITSTRVTFPQSFSSSKPHTKPPLLARFNRSTNNNCASNPAVGPTSPEIDEVESESSSDVALFVDMLGLDHDSLDREQAIITLWQYSLGGKKCIDNIMQFPGCINLVVNLLRSESSSSSEAAAGLLRSISSIDVYRNSVADSGAIEEINGLLTQSSLGPEVKAQSLNTLWNLSVDEKLRVKLLKSDILLLAIKYLGDEDIKVKEAAVSVLANLALSRVNQDVMVEAGVIPKLAKLLTSDSKGSKVIKKEVRTALLELVKDDYYRILVIEEGLVPVPLIGAAAYKSYTPRLYESPTFPDGTEIEKTYTKPSRYGAADILVGLNVDNNANIDEAKVNAVIGQSQQHFLVRMGAIEMGETASHSECSDDQPRFTILRWIDGVARLVLILELEDKSAILRAAKSIASACINEHMRIAFKEAGAVNQLLRLLSSNDDAIQLAATQALEALSASNIVCRFIEARGGLGPLVSILKCSDIGGAIVEKSLNVIAQVLDPNKEMQLKFYDGSVNGSEKEFGGAKNDGGLSSTDSEQAVSKTNPRNNILDSIFTAHLVEILKSSSPSLQEKAASLLEFVALTDPTLAPIISVDIENGLSSTFQQNFLKISDTESDAEDQFSAANAIKLEEAGLAISAASRLLTRLLDSQQFRDKINFSSFIDTLRKILKSNIPLRSKDWVAACLVKLSSLSGYDTSSNPINVEVTLYETIPRLVEQIKTSSSLEAQENAVVELNRIVSEGVVDSSGAIVSLGALNPLVKLIEEGSEIGVEASLTILYNLSMDIENHAALVAAGAVPFLRRIVLSEKPQWQRALHLLRNLQI >CAK8570392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42695743:42699272:-1 gene:gene-LATHSAT_LOCUS23141 transcript:rna-LATHSAT_LOCUS23141-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTIPILTPTSNLNPSHFVPSITSTRVTFPQSFSSSKPHTKPPLLARFNRSTNNNCASNPAVGPTSPEIDEVESESSSDVALFVDMLGLDHDSLDREQAIITLWQYSLGGKKCIDNIMQFPGCINLVVNLLRSESSSSSEAAAGLLRSISSIDVYRNSVADSGAIEEINGLLTQSSLGPEVKAQSLNTLWNLSVDEKLRVKLLKSDILLLAIKYLGDEDIKVKEAAVSVLANLALSRVNQDVMVEAGVIPKLAKLLTSDSKGSKVIKKEVRTALLELVKDDYYRILVIEEGLVPVPLIGAAAYKSYTPRLYESPTFPDGTEIEKTYTKPSRYGAADILVGLNVDNNANIDEAKVNAVIGQSQQHFLVRMGAIEMGETASHSECSDDQPRFTILRWIDGVARLVLILELEDKSAILRAAKSIASACINEHMRIAFKEAGAVNQLLRLLSSNDDAIQLAATQALEALSASNIVCRFIEARGGLGPLVSILKCSDIGGAIVEKSLNVIAQVLDPNKEMQLKFYDGSVNGSEKEFGGAKNDGGLSSTDSEQAVSKTNPRNNILDSIFTAHLVEILKSSSPSLQEKAASLLEFVALTDPTLAPIISVDIENGLSSTFQQNFLKISADTESDAEDQFSAANAIKLEEAGLAISAASRLLTRLLDSQQFRDKINFSSFIDTLRKILKSNIPLRSKDWVAACLVKLSSLSGYDTSSNPINVEVTLYETIPRLVEQIKTSSSLEAQENAVVELNRIVSEGVVDSSGAIVSLGALNPLVKLIEEGSEIGVEASLTILYNLSMDIENHAALVAAGAVPFLRRIVLSEKPQWQRALHLLRNLQI >CAK8574218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670571148:670571435:1 gene:gene-LATHSAT_LOCUS26580 transcript:rna-LATHSAT_LOCUS26580 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLTNSPSRKDGIDEATETALRIYDYDLIQESDILLRLPQAVMATRQVLFHRFYCKKSFARFNVKKVVASSVWVASKLEENP >CAK8543058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572667957:572673784:-1 gene:gene-LATHSAT_LOCUS11845 transcript:rna-LATHSAT_LOCUS11845 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRKPLILCSTKNVMNSVLKSSAPSINEFPNLHLPVGILRLSNNLPSLDHSALIALSTSLLKRLSITSGSPVLIKNVEMNTQRVAVAIAIDPPSNDTLDIDHSSSSSSRIMLVFPSCDFPLNGPLLNGEIAYLSPLLAFNLHLHISCLKSIIHNGQDALSSYFKPQCQVGDEEDAKSIEDSVINIELVPLAQPPRFASLLRVAFVKIPECGILDSIKPTSDVETKERQDLIDLALQKYFEVDRYLSSGDVFGINISWNCNSTICIPCNQKTQKQNDNRICFKVIAMEPSDEPVLRVHKTLTALVLVGSFQSALPPDLLVAGPEGPVPLQRDTVKILASILAPTLCPSALSSKFRVSVLLYGLAGCGKRTVVRYVARRLGLHVVEYNCHDLMGSDRASVALAQAFKTAQRYSPTILLLRHFEVFRDSHSPEISPNDQRGNTSEVASVIRKFTEPVGEHDDSNSLMKSNGEFVEKNAEKTSGHQVLLIAAADSSEGLPSTIRRCFSHETKMGPLTEEQRAEMLLHSLQNIYGLHSNTDLEGFVKEIVGQTSGFMPRDMCALIADAGASLFPSSNAEADKVEPEGADSSLSSKVVTEDNNDAEVSARKPGKEDLANALERSKKRNASALGTPKVPNVKWDDVGGLEDVKKSILDTVQLPLLHKDLFASGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFKLHEDVSLYSIAKKCPPNFTGADMYALCADAWFRAAKRRVLNADSESSNPDNEANSIVVEYDDFVQVLEELQPSLSTAELKKYELLRDQFEGTSK >CAK8576975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528713331:528715022:-1 gene:gene-LATHSAT_LOCUS29126 transcript:rna-LATHSAT_LOCUS29126 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVINQKQQQEQQEQQHQHHHHQNLIGSVTNPLSLHPWNHNNNNNNNNSVSSLPPLTFPPTDFTDPFQVGSAPDNPDPAFHFPPLDPHSTTFRFSDFDSDDWMDTLMAAAADVDVGDTNTHNTTDFPLNPFSSCPTRLSPPSDLLLPATVPLQIPSQPTSTTTSHNKNVTTETTSSLPSSTNPLLKSLTHCASLIETEPNQAVETLNHLKKSISQNGNPIQRVSFYFSQALTNKITAESQLSPSISSSTTWEELTLSYKALNDACPYSKFAHLTANQAILEATEGFNNIHIVDFGIVQGIQWAALLQAFATRSSGKPNSVRISGIPAMALGTSHVSSISATGNRLSEFAKLLELNFEFTPITTPIQMLNESSFSIQPNEALAVNFMLQLYNLLDETTNSVETALRLAKSLNPKIVTLGEYEASLTTRVGFVKRVETAFNYFAAFFESLEPNMALDSPERFQVESLLLGRRIDGVIGVRERMEDKEQWKVLMESCGFEPVSLSHYAISQAKILLWNYSYSSLYSLVESQPGFLSLAWKDVPLLTVSSWR >CAK8560052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6176351:6176941:1 gene:gene-LATHSAT_LOCUS13767 transcript:rna-LATHSAT_LOCUS13767 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDRNNQESTERGLFSHITGYQTAPSYPPPHGSYSCPPQGGYYPPQPAYPPQGSYYPPQYPPHAAVGYPPSGYPHSGYHQPSYHAPHAYPSAYPSGRGAGIGLFAGLATAYGAHHMSYGHGGYHHGYGHGKYKHGKFGKHGKYGRHGFGKFKHGKFGKRWK >CAK8570606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71084954:71085292:-1 gene:gene-LATHSAT_LOCUS23343 transcript:rna-LATHSAT_LOCUS23343 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKTLDVYGFPSDVNVSDVKRFLEQYTSEESVFFMMIRVTQMRPKACATIQFTTAGQAATMMSLAQNLKYERMDLTLTKDMVPKSTENEHGMDHVKLYFGCPNSEERLSL >CAK8577287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:552827861:552828700:-1 gene:gene-LATHSAT_LOCUS29408 transcript:rna-LATHSAT_LOCUS29408 gene_biotype:protein_coding transcript_biotype:protein_coding MYDESCVHVVMFPSAGMGHLTPFLRLASLLLNNHCKLTLITPLPTVSHAESHLLSHFHSSFPQLNLLPFHLPPLSSPPPTSVDPFFHRVQTLCHSTHLLPPLISSLSPPISIFISDIFLVTPLVSITQKLSLPNYTLFTSSAAMLSFLSHFPTIAHSKSDDDDAPEISFPVPGLPFSPLPYSYIPPILFQPTAIFRNQIIEDSPNLSKLHGIFVNTFVVFGFEILVQGNYRDESRTRSLSLRRFAVLPKYAKQSIYDDASRIKQPVQNYDYQLHTDKSL >CAK8567590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527361945:527363846:1 gene:gene-LATHSAT_LOCUS20629 transcript:rna-LATHSAT_LOCUS20629 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKATFITHLKAVAHPHRHIRIRPPSSIYPRFLSFSSPEEAAAERRRRKRQIRIEPPLSALNRNQQAPNQNPKSQSPPYYLNPSNPKLPEHASALTGNRLNLHNRILTLIRENDLDEAALYTRHSIYSNCRPTIFTINAVLSALLRQSRYSDLLSLHRFITQAGVVPNIITHNLIFQTYLDCRKPDTALEHFKQFINDAPVNPSPTTFRIVVKGLVDNNKLDRAMDIKGQMDDKGFGPDPLVYHYLMLGHARNSDGDGVLRLYEELKGLLGGVVEDGVVLGCLMKGYFLKGMEKKALECYQEAFAEGKKMSDIAYNSVLDALTKNGKFDEALKLFDRMIKEHNPPVKLAVNLGSFNVMVDGYCAEGRFKEAIQVFRSMGEYRCRPDTLSFNNLIEQLCNNGMILEAEEVYGEMEGKGVNPDEYTYGLLMDTCFKENRPDDAASYFKKMVESGLRPNLAVYNRLVDGLVKVGKIDDAKSFFDLMVKKLKMDVASYQFMMKVLSEEGRLDEVLQIVDMLLDDNGVDFDEEFQEFVKGELRKEGREDDLAKLMEEKERLKAEAKAKEAEEAEAAKKRVGSGILLTSKLFGNKEAESESTDATATESDLTEKRTEADGLNEGEAKSDRTSEKLTA >CAK8537003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:53553617:53554172:1 gene:gene-LATHSAT_LOCUS6323 transcript:rna-LATHSAT_LOCUS6323 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGKLEVDIELKSNADKYWQTIRDSTTIFPKAFPHDYKSIDVLEGDGKAPGSVRHFHYAEGSQLAKSSKEKIEAADDEKKTVTYSIIEGDLLQYYTKFLGHITVIPIGEGCEVKWTAEYVKTKTDIPDPTIVKDFAVKNFLEVDDYVQTLA >CAK8579298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690336284:690337196:1 gene:gene-LATHSAT_LOCUS31253 transcript:rna-LATHSAT_LOCUS31253 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCALLLTSWAFTRIPLFSPINTVEPSFPYAQRWTQRGMNYRATPRFHLQGYRNALDHMQEKDFIWRSYIQYLVPRLEESQIWSATTYLVCFYIVEMHQSDRVTLQFGFDQQIPPFPRCLKEHHAITMRKAQKVYWQQLNKDEVREWRHRRDVILQGGAVFGERKPSQEYLTWFHAIPYVHVAPDQFLTDPQTQASSSTQQTTTSMHQHVPPTQTSQFGGYSSSSAQYNYNYNYPQYTPQYQPQPC >CAK8543846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643689893:643690547:1 gene:gene-LATHSAT_LOCUS12572 transcript:rna-LATHSAT_LOCUS12572 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNEHKDAEIISKEEDDETRLHTCAKKFETIVKQFDELMEIMDADNTLVKSRKRQKRSRSDASTRVSSHQLHRESNEVILKTVTKFLQELGTNPSDSDP >CAK8540137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541226957:541227607:1 gene:gene-LATHSAT_LOCUS9170 transcript:rna-LATHSAT_LOCUS9170 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLRILTENINAAAVTAPPPEAVALESDFVVILAALLCALICVVGLIAVARCAWLRRDSGTGNSPQQALANKGLKKKVLQSLPKFAYVDSNPAKWLATTECAICLSDFAAGDEIRVLPQCGHGFHVACIDTWLGSHSSCPSCRQILAVTRCQKCGRFPATGAEATAVTVNEQELKSAEDSNVGANSNNNCSGGVSSNSNNSGNHSHSVNSGFLP >CAK8567971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:559777147:559777764:-1 gene:gene-LATHSAT_LOCUS20975 transcript:rna-LATHSAT_LOCUS20975 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTAADSGMINIVASAQKLDVDNRIALRFYYRVAGNILRQADIFRAEKNIIDLYVLLLRFSSLASETIPRHREYRSSPQTKKQSLKKRLNELEKLKPLAQQKINEINSRNAHRQNGRGNFHSNDNVGFSSVQKQNLASNGQIKPVRATAREFAYQGSSGQHFSHVKPVEEHVRRLSLTLPPPKEETLSRRSILDPNGLNGQ >CAK8536861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29676391:29677245:1 gene:gene-LATHSAT_LOCUS6188 transcript:rna-LATHSAT_LOCUS6188 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMSQSTSSNIPQNTHEVFLSFRGEDTRYTFTSHLHACLTRFQIKTYIDYNLVRGDEISNALLRAIQESKLSVVVLSENYANSKWCLDELVKILECKKNNGQVVVPIFYGIDPSNVRNQTGSYGIAFAKHEKQFRSNMSKVQRWRSSLAEVANLAGWDCSVNSMESELVERIARDVLEKLNHVYVGDLDEEINKYEQLSNLQAMNLMQGSGFNSQLWHDLQATNQHLGQLRMKKNIILLRLPRAV >CAK8542158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:481713877:481715241:-1 gene:gene-LATHSAT_LOCUS11023 transcript:rna-LATHSAT_LOCUS11023 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKGNVLMQKYELGRLLGQGTFAKVYHARNLITGVSVAIKVVDKEKILKVGMVDQIKREISAMKLVRHPNVVELYEVMATKTKIFIIMEYAKGGELFNKVAKGKLKVDVARAYFQQLMSAVDYCHSRGVCHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVINRKGYDGSKADLWSCGVILFVLLAGSLPFQDQNLMEMYRKISEGEFKFPKWFALEVRQLLSKILDPNPKTRISMAKIMENSWFKKGLEKPVVIETENHELATQPADGVFEVSENGSGSITETKQQVKPCNNLNAFDIISFSSGFDLSGLFEDTILEKEMRFLSNKPASIIISKLEEICKCLCLKVKKKDGVLLKLEGRKGTLNIDVEIFEITPHFHLVELKKSDGDTSEYQKLLQQEIRPALKDIMWNWQREQLQHEVVQEEQQPSLVAQI >CAK8531139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83786465:83787321:-1 gene:gene-LATHSAT_LOCUS950 transcript:rna-LATHSAT_LOCUS950 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSWFARLDHLEQRVWIEETEANVLWKGKTSYNRVSCIYNDEFLQLATLNFEFKQFLYKNELNELNEHNRWVKKCGLINMGFGREKSTCCYFVVVASLTSLPHDFYVRMLIAKTAIIITVTDDFFDTVGSLNELEILTEAVQRWDSRSLSSHSKVIFDALDDLVSEASKKYLQQEGTCDDILGDFTLLMQLQNSSPSNG >CAK8570665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83952834:83956037:-1 gene:gene-LATHSAT_LOCUS23401 transcript:rna-LATHSAT_LOCUS23401 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELLKFPKIEDPDQEMEFQLFAETYQLVEPSIKEKDAVYELLTYSSKLYISSGLIWKNSLDIQEQIIFIGNIPLMNSLGTFIVNGIYRIVVNQILQSPGIYYRSKLDHNGISVYTATIISDWGGRLELKMDRKARIWARVSRKQKISILVLSSAMGSNLSEILANVCYPEIFLSCLTEEEEENIGSKENAILEFYRQFVCVGGDPIFSESLYTELQKKFFKQKCELGGIGRRNMNRRLNMDIPENNTFLLPRDILTAADHLIGMKFGMGTLDNMNHLKNKRIRSVADLLQDQFGLALVRLENIIREKMCRAIRDKLIPTTENLVTSTPLTTTYESFFGLHPLSQVFDQTNPLSQIVHGRKWSSLGPGGLTARTASFRIRDIHPSNYGRICPIDTSEGINVGLIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPRRDEYYMVATGNFLALTRGIQEEQIVPARYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALRKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8563904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638148962:638157160:-1 gene:gene-LATHSAT_LOCUS17262 transcript:rna-LATHSAT_LOCUS17262 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRRKSGRWRYIWPLWLTLLLHLIAILLFTTGFLLTRTELPFYSHCSDVSTSPCFPSSSSNNGSCWTEPSVNRLVIIVLDALRFDFVAPSTFFAEPKPWMDRLQVLKNMSSSRPSSARIFKAIADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFINQLFQNGKKVVMMGDDTWTQLFPHHFERSYPYPSFNVKDLHTVDNGCIEHLFPSLYEEDWDVLIAHFLGVDHAGHIFGVDSIPMIEKLEQYNNHLERVIGVLENQAGPGGLHENTLLVVMGDHGQTLNGDHGGGSAEEVETGFFAMSFKEPLNSVPPEFDSYSCQTDLDGKNVCISSMQQLDFAVTMSALLGIPFPYGSIGSINPELYALGAGSWKFGPSQKLPESDVWMKNYANALCINTWQVKRYIDAYSASSAVGFSHDDLSRIASVYAEAENHWLHSTKKLLLDSDGDSDALMPALKWQIDAYFKFLTTVAELARSKWTEFDLNMMGSGIGIMLMSLIFQVYAILRATKQLGVNSSSSSGNSSIITSSSFTLFLLGIRSCSLLSNSYILEEGKVANFLLSTSGIVALRQSVVKEKLLTESIGFLLLSTLCRFAIEVGLSKQAATSAFMKDYSSWIANIASNLPVWDYTAKFIPMLILILLAFWLYKATNCSFFDWPWKYVILGTILCYMLIIVHWITDRDEFGVALMPESIGKSYIPRIVYAISLGQLLLLAYGQLFKRNSLDCKTKLVTKTTAVLSAWSSTVILLSGKQGPIIAFASIVGGYCIMRLDNIEVSKDRPGRSFSIMQWSLFATCVFFCSGHWCAFDGLRYGAAFIGFEEFKLVRQAILLAIDTFGFSIILPVFGLPFLVASKYHDNLGKHFILTQLSQMYTTYGLITAVITTFTILCVTIQRRHLMVWGLFAPKFVFDVFELILTDVLICLASIYYFDQENDNDVEVKSSDC >CAK8562454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:494546129:494555406:1 gene:gene-LATHSAT_LOCUS15949 transcript:rna-LATHSAT_LOCUS15949 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSYSIPSTNFHIHTKTTKIQSSNFTFPLPPSPISIKPLYSSKLLILHHHKLRCSAQSTHNHHHHNHNHTDHNHNHNHHNHHHHNHSHDTDDANLTGPQRAVITFAKATKWIDLANILREHLHLCCFSTALFVAAAICPHTLPKSLIKPFQNSLILVAFPLVGVSASLDALIEISSGKVSIHVLMAMAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTGRSMVDVRELKENNPEFALVLDTKDDKLPNTFDLAYKRVPVHDITIGSYVLVGAGESVPVDCEVFQGGATITIEHLTGEVKPLEAKVGDRVPGGARNLDGRIIVKVTKTWKESTLSRIVQLTEEAQLNKPKLQRWLDEFGERYSKVVVVLSIAVAVLGPLVFKWPFISTPACRGSIYRALGLMVAASPCALAVAPLAYAIAISSCAKKGILLKGGHVLDALASCHTIAFDKTGTLTTGGLIFKAVEPIYGHQIRNKESNISSCCIPTCEKEALAVAAAMEKGTTHPIGRAVVDHSEGKDLPSVSVENFEYFPGRGLTATVNSIESGTEGANLLKASLGSIDFITSFCQSEDESKKIKEAINSSPYGSEFVHAALSINKKVTLIHLEDRPRPGVFDVIQELQDEASLRVMMLTGDHEYSARRVASAVGINEFHCNLKPEDKLSHVKDISREMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLRENISAVPFCIAKSRQTTSLIKQNVALALSSIFVASLPSVLGFLPLWITVLLHEGGTLLVCLNSIRALNEPSWSWKYDILQLIGEVKSRFPSLRTNIAGSSSSITTANL >CAK8544809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706862853:706870123:1 gene:gene-LATHSAT_LOCUS13459 transcript:rna-LATHSAT_LOCUS13459 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRRRKRRGIDYNAEIPFEKRPPPGYFDVADEDRPVEQPAFPTTIEELEGKRRVDVEAQLRKQDVARNKIAERQDAPAAILHANKLNDPETVRKRSKLMLPPPQISDQELDEIAKLGYASDLMSSEELTEGSSATRALLSNYPQTPNQAMTPLRTPQRTPASKRDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKKEIHTPNPLLTPSATPGSAGLTPRSGMTPARDGYSFGMTPKGTPLRDELHINEGMEMHDSAKLELRKQADMKRSLRSGLSGLPQPKNEYQIVMQPVQEDAEEPEEKIEEDMSDRMAREKAEEEARQQALLRKRSKVLQRELPRPPPASLELIRDSLIRADGDKSSFVPPTPIEQADEMIRKELLTLLEHDNAKYPLEEIANKERKKGAKRAANGPAIPVIEDFQEDEIKAAGMLIKDEAQYLRVAMGHENDSLDEFVEAHTTCINDLMYFSTRNAYGLSSVAGNTEKLAALQNEFENVRSKLDDGKEKMIRLEKKVTVLTQGYEMRSKKSLWPQIEATFKQMDVAATEFECFQALKKQEHLAASHRINNLWSEVQKQKELERTLQKRYGDLMAELERTQNVMEQFRVQAQQKEEIEAKNQAIEEVQAQQKEEIEAKNQAIEEVQAQQKEEIEAKNQAIEEVQAPQQEETEEKDHVPESTEATADEINVEGTENCEAAPSSADQQIATVQDQAISSSKIDMDVDSREAQMTHSFGVTSPDALAEDESAKVVESKNIGSDIDNRETTVVDMSAAVEIKSNEGNGEGQNVENPDEVMEAANQPDSSIKETTLLEGMQVADDAKGDEAN >CAK8544810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706862853:706870123:1 gene:gene-LATHSAT_LOCUS13459 transcript:rna-LATHSAT_LOCUS13459-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRRRKRRGIDYNAEIPFEKRPPPGYFDVADEDRPVEQPAFPTTIEELEGKRRVDVEAQLRKQDVARNKIAERQDAPAAILHANKLNDPETVRKRSKLMLPPPQISDQELDEIAKLGYASDLMSSEELTEGSSATRALLSNYPQTPNQAMTPLRTPQRTPASKRDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKKEIHTPNPLLTPSATPGSAGLTPRSGMTPARDGYSFGMTPKGTPLRDELHINEGMEMHDSAKLELRKQADMKRSLRSGLSGLPQPKNEYQIVMQPVQEDAEEPEEKIEEDMSDRMAREKAEEEARQQALLRKRSKVLQRELPRPPPASLELIRDSLIRADGDKSSFVPPTPIEQADEMIRKELLTLLEHDNAKYPLEEIANKERKKGAKRAANGPAIPVIEDFQEDEIKAAGMLIKDEAQYLRVAMGHENDSLDEFVEAHTTCINDLMYFSTRNAYGLSSVAGNTEKLAALQNEFENVRSKLDDGKEKMIRLEKKVTVLTQGYEMRSKKSLWPQIEATFKQMDVAATEFECFQALKKQEHLAASHRINNLWSEVQKQKELERTLQKRYGDLMAELERTQNVMEQFRVQAQQKEEIEAKNQAIEEVQAQQKEEIEAKNQAIEEVQAPQQEETEEKNQSIEEVQAPQQEETEEKDHVPESTEATADEINVEGTENCEAAPSSADQQIATVQDQAISSSKIDMDVDSREAQMTHSFGVTSPDALAEDESAKVVESKNIGSDIDNRETTVVDMSAAVEIKSNEGNGEGQNVENPDEVMEAANQPDSSIKETTLLEGMQVADDAKGDEAN >CAK8544839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708368767:708369168:1 gene:gene-LATHSAT_LOCUS13487 transcript:rna-LATHSAT_LOCUS13487 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNVRGLNKAGKVRKVSFRLRNLDLAITVLIETRVKKEKVVGIRKKLKMCGSYMDNYAQHDNGQIWIHWDDNSRQVEFVASTDQMIHCKVNDANDNFMFWMTTIYAQNQLHHRKKLWQDIEKICANQTGP >CAK8563191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581977215:581979618:1 gene:gene-LATHSAT_LOCUS16625 transcript:rna-LATHSAT_LOCUS16625 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSSLCSSTLQSQINGLSLRRTSLLHSPSLTFSRRKFSTVVKASSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHIDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPDQRVPDFIKAGADIISVHCEQSSTIHLHRAVNQVKSLGAKAGVVLNPGTPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRLCVEKGVNPWIEVDGGVTPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKASKRPEPVAV >CAK8575651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:236139927:236142135:-1 gene:gene-LATHSAT_LOCUS27894 transcript:rna-LATHSAT_LOCUS27894 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFVPHNILFLKGFITLFLTCIIATRFNFCVFNSNIPFSLKTLPLEGHLSFDETNLNKASSDFGNRYQYHPVAVLDPNSVSDIATMIKHIWNMGPSSHLTVAARGHGHSLQGQSQTQGGVVIKMESLKESEMQVHVGNFSPYVDASGGELWINILHETLRYGLAPRSWTDYLHLTVGGTLSNAGVSGQAFKHGPQISNVQQLQIVTGTGEVVNCSEEKNQELFHSVLGGLGQFGIITQARILLEEAPSMVKWIRVLYSDFEAFTRDQERLISQDNAFDYIEGFVIINRTGLLNNWRSSFNPQDPVQTSHFISDGKTLFCLELAKYIIFQPIHIVNQEVERHLSDLNYIQSTLFVTEVTYVEFLDRVHVSEMKLRSKGLWDVPHPWLNLFIPKSKIHSFAQVVFGNILTQTSNGPVLIYPVNKSKWDNRSSVVIPDEDIFYLVAFLTSAVPCSNGCDGLEHILSQNKRILEYCERENLGVKQYLPHYNTQEEWRDHFGTRWEAFRQIKLVYDPFAVLAPGQRIFQKTTTASSF >CAK8537790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:433783386:433784321:1 gene:gene-LATHSAT_LOCUS7044 transcript:rna-LATHSAT_LOCUS7044 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARVLEYTLKYLLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATAKVGKLEITLPSVSNVQIEPIIVQIDRLDLVFEENSDFKPSETPKTSTSSTPSGASAKGSGYGFADKIADGMTIQIHTANLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNERWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGSSQVGSNRRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLLALLRFMTGIYVCLSRGNVDSQQ >CAK8542269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:496116504:496158148:-1 gene:gene-LATHSAT_LOCUS11118 transcript:rna-LATHSAT_LOCUS11118 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSCMQDTIERYRRNTRSAQPSQRSDEQNMQNLKQETASLMKKIELLEASKRKLMGEGLGSCSLEELQQIEQQLEKSVSTVRARKNQVYKNQIEQLKEKEKTLLAENSRLSKQPQPLLPPPPNDHARENQPYAESSSPSSDVVTELFIGLHRSS >CAK8540544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10448133:10448459:1 gene:gene-LATHSAT_LOCUS9541 transcript:rna-LATHSAT_LOCUS9541 gene_biotype:protein_coding transcript_biotype:protein_coding MLANFKDIPIWRASGITSELQLYCTANGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNMILYNQLLYCIINI >CAK8567491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518283925:518285306:-1 gene:gene-LATHSAT_LOCUS20538 transcript:rna-LATHSAT_LOCUS20538 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLSTTTLLSWRINPHHMYIQPSSIRNEISRTVPASVLKDSFSINEESDQCTTSLLVNRRTVLGSGISLLGFPGESLAVVKQGLLAGRIPGLSEPDKQGWRTYRRPDEKSGGHGVGWSPIIPYTFSVPQEWEEVPVSIADLGGTEIDLRFVNSKEGRLFVIVAPVLRFSDDLGDNATIEKIGPPDKVINAFGPEMIGENVEGKVLSSSVAEHEGRTYYQFELEPPHIFITATAAGNRLYLFGVTGNGLQWKRHYNDLKKISESFRVV >CAK8537615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407450750:407452422:-1 gene:gene-LATHSAT_LOCUS6886 transcript:rna-LATHSAT_LOCUS6886 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHFDASASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWTVDYLGVSQRIAQQQVRECRGSYYKLEWLYDWFVEHKAASRWDYATRSYLLMLVGSTIFADKTFTLVETRYLSLFIDLDGLSGYSWAAAALVTLYRYLGDASMFSCKQLGGYPTLLQCLIHEYFPTLGKRGENWISANNVGLPRAMRWSYRQGALKVDDLRPILDELTHADVIWRPFKNHRVWHQFDELCLYRGCLRWGDTIVPYMPDRCLCQFGYRQYVPHPPLDSRMASDIDVDWISYHQSVQNVIRLTAPATIPYETDAGYLEWYYRVSHPRLVPLSVDAATEMPVPVYEAGPSDPIVAHMSSLIHRYLQQTGAEEDDPQFADLFEALHLGLSQ >CAK8530155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3332705:3334035:1 gene:gene-LATHSAT_LOCUS45 transcript:rna-LATHSAT_LOCUS45 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSSSASALSTSSSWREGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGSGGYSYLYEPLWWVGMITSESFMILFIVD >CAK8576948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527674422:527676700:-1 gene:gene-LATHSAT_LOCUS29102 transcript:rna-LATHSAT_LOCUS29102 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPAKSETATEKKRSKVEIFKEHSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKMVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGESFKSAEPPEVVQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDGGEPQGFNLYVGGGMGRTHRMEITFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRNVVEQYYGKKFEPFRSLPEWEFKSYLGWHQQGDGGLFCGLHVDNGRIAGKMKTALR >CAK8544439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:684416231:684418388:-1 gene:gene-LATHSAT_LOCUS13117 transcript:rna-LATHSAT_LOCUS13117 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSHILPLCLFFLTLFLLVQARHHSHRKHRHSHSHKSNKTSLPQPSPPPRDDGNGAYNNNASGIFDVRAFGAVGDGIEDDTEAFKTAWDSACQNESQVVNVILVPQGFSFVVQSTIFTGPCQGDLIFKVDGTVMPPDGPESWPKNTGKRQWLVFYRINGMSLEGSGLIDGRGQKWWDLPCKPHKGSNGTALGPCDSPIAIRFFMSSNLTVQGLKVKNSPQFHFRFDGCNNVHIDSIYITAPKLSPNTDGIHLENVNDVKIYNSVISNGDDCVSIGSGSYDVDIKNITCGPSHGISIGSLGNHNSKACVSNITVRDSIIKMSDNGVRIKTWQGGSGTVSGVTFNNINMISVRNPIIIDQFYCLTKDCINKTSAVFVSNILYTNIKGTYDIKSPPMHFGCSDSVPCTNITLSEIELLPSQGDIVNDPFCWNAYGDLETLTIPPVSCLLEGIPQSLLDYDVGHC >CAK8563987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:644065170:644069519:1 gene:gene-LATHSAT_LOCUS17339 transcript:rna-LATHSAT_LOCUS17339 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFESNSDGVSQRVNSPRFSGPMTRRAHSFKRNSTNSTAVSSGSLTTHNEVELQINSNSPRSEEVSEAVLERKHGGHHHYNQNYVSQRVHGGVVKGFLKRPLESIAADLGFRERKKIGHWMFLVFCGVCFFMGVVKICATGWLGSAIDKAQSHQELSDSNGIDNLNLMDQRSVGYAYRSQEGDIERSLKTVETRGVGSQTAKESDVWSKPNSENFTQCIDLSKNHKKLDAKTNGYILVNANGGLNQMRFGICDMVAVARIMKATLVLPSLDHTSYWADQSGFKDLFDWKHFIDTLKDDIHIVETLPQAYTGIQPFSKTPISWSKVSYYKTEVLPLLKQHKVIYFTHTDSRLANNGIPRSIQKLRCRVNYRALRYSAPVEEFGNKLVSRMQQNGNPYLALHLRYEKDMLAFTGCSHNLTAEEGEELRQMRYEVGHWKEKEINGTERRLLGGCPLTPRETSLLLRALDFPSHTRIYLVAGEAYGKGSMKYLEDDFPNIFSHSSLSSEEELNHFKNHQNMLAGIDYVVALQSNVFLYTYDGNMAKAVQGHRRFENFKKTINPDKMNFVKLVDQLDEGNLSWKKFSSKVKKLHKDSIGAPYLREPGEFPKLEESFYANPIPGCICETRKEQILGLSTL >CAK8543637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628561043:628562950:-1 gene:gene-LATHSAT_LOCUS12383 transcript:rna-LATHSAT_LOCUS12383 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYANNSVFLLLCLCLTFSTIAFGGNFDTDFNILFGEKRANVQDGGNCMTLTMDKYSGSGIGTKNEYLFGRFDMQIKLVPGHSAVTITAYYLSSQGTHHDEIDMEFLGNLSGNPYILSTNLYANGIGGREVQFYLRFDPTKDFHTYSIDWNPHRIIILVDNIPIRVIHNRENVGVAFPTRQPMKLYTTLWNGDSWATRGGQVKIDWSKAPFTAGFRNFNANACIPTPSNNCLGFNGGENKGLSDETRKKLKEIYSKLIVYDYCRDFIRFSCGLPYECHNRLTDHPDEY >CAK8540764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20027620:20030330:-1 gene:gene-LATHSAT_LOCUS9736 transcript:rna-LATHSAT_LOCUS9736 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFLSKTTPLCIILFLFLLLSCTCFTSTEAYDPLDPNGNITIKWDIITWTPDGYVAVVTMNNFQQYRHIAAPGWSLGWTWAKKEVIWAMVGSQTTEQGDCSKFKGNVPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLSSYAQDPTNAVASFQVSVGRAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVRPTQFIQPDKRRTTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNETIVPCPTCACGCQSNASQSGSCVNPNTPHLASVVSGNGKNSLSPLVQCTSHMCPIRIHWHVKVNYKEYWRVKVTITNFNYRMNYSDWNLVVQHPNFENITQLFSFSYKSLNPYGSINDTAMLWGIKFYNDFLNHAGPSGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNAGSRQKVSLFALSITSLVVFVFYAYT >CAK8535445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:849489129:849492185:1 gene:gene-LATHSAT_LOCUS4909 transcript:rna-LATHSAT_LOCUS4909 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRFETKKQVVWIYYLWVWWWSTSVCVKAGNDSLMAGERLNATSTLCSKHGRYCLSFFSFDTGNKNIYLAIENRASVDQGIIWTYDSNQPIDRSSAVLSLNYSGVLKIESQYSKEIIIYSSSQTIHNTVATMLDTGNFVLEQLHPNGTKSLLWQSFDYPFKALVPKMKLGVNRKTGHNWSLVSWLTPSLPNLGEFSLEWEPKEGELNIKRNGKVYWKSGKLGNNGLFEDIPANVQQKYQYIFISNKDEDSFSFEIKDQNYNMTPTWLLSSTGRLTSSEGELGNADICYGYNSDSGCQKWEDIPTCRKPGEVFQIKIGLPNTVNFTFFDNTNIGYIDCKVSCWRNCGCNGFQEFFDDGTGCIFYSWNSTQDVDWKSVNNFYMLEMPTKSDPNHYGKRRWIWISAAIAAALLIIGSLILWIAIKKHKYGLKEKKVKRKENEMQELATSNELYNIKDLEDDFKGHDIKVFSYASILEATMDFSPENKLGQGGYGPVYKGILATGQEVAVKRLSKTSGQGIIEFKNELVLICELQHKNLVKLLGCCIHEEERILIYEYMPNKSLDFYLFDSTKRKLLDWKKRFNIIEGISQALLYLHKYSRLKIIHRDLKASNILLDDNMDPKISDFGMARMFTQQESTVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIVCGRKNNSFYDDDRPLNLVGYAWELWNDGEYMQLLDPSLSDSFVSDEVKRCIHVGLLCVEHYANDRPTMSDVISMLTNNYELVTLPTRPAFYIRRKITEEETTLKVLVSDTYSTTTISSSEIKRQ >CAK8531463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112099205:112099780:1 gene:gene-LATHSAT_LOCUS1253 transcript:rna-LATHSAT_LOCUS1253 gene_biotype:protein_coding transcript_biotype:protein_coding MRITTLSRHIRRLPRLGAFLPLQSRAFQPDVATRDSNARPIKYKIPQSYDPYGPRPPPSDKIVQLAERIAALSEEERGHIMPTLSERLKLPKLEPISTEGMDLGSEGGGAGPKVEEKKAEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKVPTVLKQGVTKEEANTIIEKIKAAGGVAVME >CAK8531641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133843169:133845728:-1 gene:gene-LATHSAT_LOCUS1417 transcript:rna-LATHSAT_LOCUS1417 gene_biotype:protein_coding transcript_biotype:protein_coding MANNIEDFSQFGISKEEKDKLVGEVIRYMLFKTHQNSGCPIKRDELTQLVTKNYHQRNLPTFVINEAKDKLSAVFGYQMKLLSRSVPSSKAQTRASQSGADAKSYILISQLPSDLYEKYVVDPNTAYMSGFTFVIISIVHLAGGKIPEDSLWSQLNRMSLNDNDANHPVLGNIKQALELLVQQRYLQKDKVHGPEGNTIYYELAERALDGPINNKVKEYISQIMRDAA >CAK8533774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659179231:659180001:1 gene:gene-LATHSAT_LOCUS3372 transcript:rna-LATHSAT_LOCUS3372 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWIRMEASELGFGVVIRRFDNGSDRRCTFVTMVCKRSGKYRTPLRNFKRDDTGSRKCKYPFKVHGYMLANKKWRFNVICGLHNHDLCLKLAGHPSVYRIKPEEKECINDMTLNLVQPKNILATLKWKQLDNISNIKQVYNIRHLTNNVIMGDRTEMQQLLKLLDDSSYVSRYRTCDDIVTIRDIFWTHTDSIKLFNMFPTVLVSTYKPNKYKLILFEMVGVTSIEKTCSVGFVFLECEKENNFTWILEVYELHY >CAK8544221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672840617:672841820:1 gene:gene-LATHSAT_LOCUS12920 transcript:rna-LATHSAT_LOCUS12920 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHKQKSINFETFVWRIENFSKQNTKNLRSQSFRIGGYKWRILLHPLTKNVEHFSVYLMVADSLPAYGWSRKAHFKLVLVNQKDDNKSVVKETHQKFNGGYRSWGSFFVNLRDFHDTKQGYLVNNTCIIEAHINVQVSSYRESSKQEDVNETSDESSTIGSFDSNETETSDLTLRDLLDLESLRDEEAIYVPLLEEACVWHPSLIRSQSKRTQRFKSWAFSSLGQVLHILKTSKVEDMNEEVSNNLRGLWEELVTASGFNLDWLEPYVESALSMTKHLEKTLKVNKLKGRIVDLETKMKKLRGELAEAEVEFEETKKVLNELSKGYIEIDLNADLSYNVF >CAK8573632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626287258:626289260:-1 gene:gene-LATHSAT_LOCUS26061 transcript:rna-LATHSAT_LOCUS26061 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQGKRSAKMWMVLMCLMFIFVDRIESLSVTVNEVECLYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFKVTSPGGNVVQDIKGTSGDKFQFKAPVHGMYKFCFHNPYSTPETVSFYIHVGHIPSEHDLAKDEHLDPINVKIAELREALDSVTAEQKYLRARDARHRHTNESTHKRVIFYTVGEYLLLAAVSALQVIYIRRLFSKSVAYNRV >CAK8543016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567149169:567149786:-1 gene:gene-LATHSAT_LOCUS11803 transcript:rna-LATHSAT_LOCUS11803 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNQDSYKGKEKVNEESVTDSQTMENQNKRKAIALEGDTIAETKNSKSPRIDAGVDESTSSSKEFTPFLLFGFIIDPTKGCQKAFSCSFCRRKFVSPLALSAKQNCQECEESLKKVVEALNKPRDNVSHGVQGIHLSKIVPYPGGCGYIYGGTGAGESQGPLQDNPQNDQNIVVEEVIGGVIDLNNGLVSGEASKNIDLNIMP >CAK8578989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666242664:666243221:-1 gene:gene-LATHSAT_LOCUS30966 transcript:rna-LATHSAT_LOCUS30966 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDQTFTDNCNKEMTDKTSKFSSHNVIQFDRERFCFIVAEKINQHDGRSLGTFSVDLRRGWCDCGRFQAFHLPCSHVIASCASIRQDHNMHIPDVFKVLSVFKVYNESFLGLLHHENWLIYERFTLFHNETMRRNKKGCPNSTRIRTKMDNLEKEKRKCGICREIGHMHRKCLNVAGPSNRPV >CAK8564028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646760252:646766403:-1 gene:gene-LATHSAT_LOCUS17373 transcript:rna-LATHSAT_LOCUS17373 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVANKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFIHTKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASTNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPARAIIPGTGTSSAMPPAVTNADRHTGAEEGQPPGLVSVDSSRRRMSGPVLNTLSSANVLGQSSGSSRRVAVSSSRDAFVGAESDVRTRTAEASPGAAHRILGGQRSSPIGSSDPQRVTRAGRNASHANNYESALRGMDGLQLENDERTHY >CAK8560748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39380941:39382020:-1 gene:gene-LATHSAT_LOCUS14399 transcript:rna-LATHSAT_LOCUS14399 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLLTNGVPSKSHLPHPHLPKTRFPHFPTLCGIRQVRDRINSVKSTQKITEAMKLVAAARIRRAQEAVINGRPFSEAFAEVLQSINQQLQSDDVEVPLTAVRPVKNVALVVITGDRGLCGGFNNSVAKKAEDRVDELKKLGIGCVVISVGKKGNSYFKRRSFVEVDRFIENRGFPTTKDAQIIADDVFSLFVSEEVDKVELVYTKFVSLVRFNPVIQTLLPLSKKGEVFDVNGNCVDATGDEFFRLTSKDGKLALKRDVFKKKSKDGCVPIMEFEQDPAQILDAMMPLYLNSQVLKALQESLASELAARMGAMSSATDNAVELTKSLSVVYNRERQAKITGEIMEIVAGAEAFKPID >CAK8539569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516525830:516526237:1 gene:gene-LATHSAT_LOCUS8652 transcript:rna-LATHSAT_LOCUS8652 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVMPVSLIRRRSTGSRLRYKPLTDDVLDQQDSDSRVTVVVGKEKKVFLVDPIILQEIPFQVLMDISMKKNPEETEKNHFCYTSSHHHDERVIFVDVDDILFEHMLWLMHNDTSSLFKLNLKDIVDFYTHEDM >CAK8564620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2605873:2609553:-1 gene:gene-LATHSAT_LOCUS17907 transcript:rna-LATHSAT_LOCUS17907 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIVGETLVSASMEALLQKLISTDFSDTTVDVSLLIKLKKTLARVQPLLRHYFKNTKWLDSLIDLAYQVDALFHQINTEEAPDQTLTPATKVRNMFSSPFKQFHEVIHSKVLDLTERLERLILRGEQDGLEFSNRSRVWHGTPTNSVLEDGSSIYGRDADIQKLKHLLLSSTSDCDSKIRGISLVGMGGIGKTFLAKHLYNHPQVKDEFELKVWAYISKDSDAVRVFETILKSITSQSISNGNVLSSQILESHIAKGKYTIYQNLLLMSLQRILNTSKFLLVLDDVWDTNSINWISLMDTFNAGEMGSKIIITTRDETVARSMQMILSVHYLRPLQNEDCWSLFARYAFGTCNDQQRSYLEEIGRPIAKNCDGLPLSAVELGDVLYGKLFSNDWNSVLKSNIWESTIPEVHAALESSYHYLSPPIKRCFAYCSIFPKNSILEKEMVVQLWIAEDLVGSFTGLERWKVGEEYFDVLVSKSLIQRRSTENKEENFEMHNLVHDLATMVSSSYCIRLGEHYLHVMVKNLSYNRGLYDSFDKFNKLYGLKYLRTFLALPLQKQSPRCLLSNQVIHDLLPTMKQLCVLSLSNYKNITEVPKSIGDLLYLQYLNLSHTNIERLPSETCNLYNLQFLLLGGCKRLTELPEDMGKLVNLHHLDVSDTSLKKMPVQIAKLENLHTLSDFVVSKHNDGLKVADLGKFPNLHGKLSISQLQNVNDPFEVDQANTKMKEHIKELALEWDYGSTFPDSQIQSAVLEHLQPSTNLESLTIKGCGGISFPNWLGDFSFRNMVYLKISNCDDCLWLPPLGQLENLKELFIEGMPSVQTIGTEFYGSNSSSFQPFPSLEILHFVEMQEWDAWNLTGGTYIKFPCLKTLSLSKCPKLIVGNIADKFPSLTELELRECPLLVQSMHLSDHVFQQLIFPLSSLQQLTIDGIPSSMSFPEDGLPKTLKFLIINNCENLEFLAHEYLSNYTSLEELKISYSCNSMTSFTLGALPVLKRLFIEGCKNLKSILIVEDVSLKSLSFLRSIKIWDCTELQLFPPGGLATPNLVYFAIWKCEKLPSLPEAMHNLTNLQEMEIDNLPNLQSFVIDDLPYALRELTVGSVGGIIEPTWERLTCLSVLRITGDGTVNTLIRSFLPASLVTLCIHGLNDTSIDGMWLQHLNSLQTLEIINAPKLKSLPKGLPSSLSVLSITRCPLLIAALRRKRGKEWRKVAHIPAIIIDDELIT >CAK8564621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2605873:2607003:-1 gene:gene-LATHSAT_LOCUS17907 transcript:rna-LATHSAT_LOCUS17907-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWDAWNLTGGTYIKFPCLKTLSLSKCPKLIVGNIADKFPSLTELELRECPLLVQSMHLSDHVFQQLIFPLSSLQQLTIDGIPSSMSFPEDGLPKTLKFLIINNCENLEFLAHEYLSNYTSLEELKISYSCNSMTSFTLGALPVLKRLFIEGCKNLKSILIVEDVSLKSLSFLRSIKIWDCTELQLFPPGGLATPNLVYFAIWKCEKLPSLPEAMHNLTNLQEMEIDNLPNLQSFVIDDLPYALRELTVGSVGGIIEPTWERLTCLSVLRITGDGTVNTLIRSFLPASLVTLCIHGLNDTSIDGMWLQHLNSLQTLEIINAPKLKSLPKGLPSSLSVLSITRCPLLIAALRRKRGKEWRKVAHIPAIIIDDELIT >CAK8537662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417870133:417873546:-1 gene:gene-LATHSAT_LOCUS6928 transcript:rna-LATHSAT_LOCUS6928 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFGFRQTTRNKSMKQHFKVCFCFNRMFKLKVVEPPKEINNIFYKYTQNGTMNMDELCKFLVHFQGEENNDATKKHAQDVFHSLKHLNIFQRRGLHFDAFFRYLFGDLNGPLVDQVHHDMSAPLAHYFLYTGHNSYLTGNQWNSESSTTPIINALKKGVRVIELDLWPNSREDDVEVRHGGTLTSSVKLRDCLNAIRDNAFEASEYPVVITFEDHITPPLQLKVAKMVDDIFGARLFRPNHSRKMKKFPSPESLKGRILISTKPPESPENQSQKLQQEEVESNEDKDDGSRINHKDESDDESEEEKSLAYRNLISIHAGKPKGNVEHWLLDHDQVRRLSLSEQVIEEIAKTRATDIVRFTQQNLLRVYPKGSRVDSSNYDPMNGWMHGAQMVAFNMQGHGHYLRYMEGMFKANGGCGYVKKPDILLDNNNIFDPRVNQPIQKTLHVLVYMGEGWHSEFGQTHFDFYSPPDFRVQVGIHGVPADIDTKVTRTIEDEWVPVWNEEINFALTCPELALLYIKVVERDFSGQHDFAGQTCLPVSELREGIRAVRLCNRKGEPYKHVRLLIQFRLFHH >CAK8534337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:718882069:718883554:1 gene:gene-LATHSAT_LOCUS3888 transcript:rna-LATHSAT_LOCUS3888 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSIAIIVSFLFLANFGAAQTVFPISKFGGTPNSDITQAFIKAWTEACASPTPTKIVIPAGTYKLTGIDVKGPCKAPIEVQVDGTIQAPSDINLVQKGSDQWVRFQYLNSLTLSGKGVFDGQGAAVWKQGGAAWRKGPSTSNRVCMNFGFNFVNNSIVRDITSKDSKNFHVNVLGCNNFTFDGVTITAPAMSPNTDGIHMGKSTDVKIINSNIGTGDDCISLGDGSKQVTVQNVNCGPGHGISVGSLGKYTTEDNVEGLLVKNCTMKETDNGVRIKTWPSAPGTITITDMHFEDITMINVLNPIIIDQEYCPWNQCSKQNPSKIKISKVSFKNIHGTSKSTEGVILVCSSGVPCDGVELNNIDLTFNGAPAKAKCSNVKPIVIGKAPACPA >CAK8562112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:441602125:441602664:1 gene:gene-LATHSAT_LOCUS15638 transcript:rna-LATHSAT_LOCUS15638 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGVGRPRTQVVAHRKGVERTIITQAIIEPTMGENDQQGITNDTITNATTTTNEDEAEKQSEEPAQVTNNADTIRSKAPLKALTETLSKPWVELIKGNRNLNRGMAVEFVAPEIINRELEIQIDDSDVEDELEFWQNAMILFALGDSLSMNGVKKFMKNSWSFVMMPNFIIMMKVSS >CAK8533994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:680405795:680406277:-1 gene:gene-LATHSAT_LOCUS3572 transcript:rna-LATHSAT_LOCUS3572-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVARCFIGFIIFNRKSLGNTFKVTLDGRIQDIQEESQQFPNPNEVVPPESNEQQQLLRISLRICGTVVESLPMARCATKSEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFIPECISKAEKIELVRESLVVLRMVRVGGSLKNK >CAK8533993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:680405795:680406346:-1 gene:gene-LATHSAT_LOCUS3572 transcript:rna-LATHSAT_LOCUS3572 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAIPSICALSSNKISIYNEEMIVARCFIGFIIFNRKSLGNTFKVTLDGRIQDIQEESQQFPNPNEVVPPESNEQQQLLRISLRICGTVVESLPMARCATKSEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFIPECISKAEKIELVRESLVVLRMVRVGGSLKNK >CAK8568360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598630018:598632974:1 gene:gene-LATHSAT_LOCUS21322 transcript:rna-LATHSAT_LOCUS21322 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRLLLCSSHSLVGPAVTITLPPCLRFPPHSVSIANSTSSSIRLPRLRSTTSSSDSPQVPAMASKDSHAQDPRIAGISSAIRIIPDFPKPGIMFQDITTLLLDTKAFKDTIDLFVERYRDQNISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQAGERALVIDDLIATGGTLNAAIKLLERVGVNVVECACVIELPELKGRDKLGGRSLFVLVEGEGA >CAK8568720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635415245:635416631:1 gene:gene-LATHSAT_LOCUS21652 transcript:rna-LATHSAT_LOCUS21652 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYDCMLLFKPHVKKEALIGLVARIGKHLCRRNGVVTEVKSFGTIQLGYGVKKLDGRFFQGQLMQVSMMATPEINKELHYLNKEDKLLRWLLVKQRDTKFGLEFMGDEGRLEPSRFSQINKPDDDDEDEDDEEYEVNEDENRVN >CAK8532907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:548514697:548517582:1 gene:gene-LATHSAT_LOCUS2569 transcript:rna-LATHSAT_LOCUS2569 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSSSHSFNFIHFSNFNPSSSSSSFSSSSLSFNKPQNKTPTATSAPATTTTTVTQTRNTNLNPKIKKVFFLDVNPLCYEGRNPSLHNFAQWLSSFLSPQITQSHPVIAVVDGERGSEYRRKLLPSYKAKRIKFTRKFPTGGGVGDGYVGRFHPVISDVLRKCNVPVVKVDGHEADDVVATLAEQVLKKGFRVVIASPDKDFKQLISDNVQIVMPLPELQRWSFYTMRHYRDQYNCDPQSDLSLRCIIGDEVDGVPGIQHLVPSFGWKTALKLIKKHGSLEALLNAAAVRTVGRPYAQDALKKYADYLRRNYEVLALKRDVNVELYDEWLVERDTRNDTIALSALFKYLEESKELKYNLRI >CAK8577137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539339634:539344143:-1 gene:gene-LATHSAT_LOCUS29268 transcript:rna-LATHSAT_LOCUS29268 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNVLNGKFLNRSSVTGARFMSSWFRNIEQAPKDPILGVTEAFLADQSPNKINVGVGAYRDDNGKPVVLGCVREAEKKIAGNEFMEYLPMGGSLHMVQESLKLAYGENSEFIKDKRIAAVQALSGTGACRLFAAFQQRFHPNSQIYIPVPTWSNHHNIWRDAGVPIKTYRYYHPESKGLDFSGLLEDIKNAPNGSFFLLHACAHNPTGVDPSEEQWREISSQIKAKGHFPLFDMAYQGFASGNPERDAKAIKIFLDDGHSIGLAQSFAKNMGLYGQRVGCLSLLCEDEKQAVAVKSQLQLISRPMYSNPPVHGALVVSTVLGDPELKKQWLTEVKVMADRIIGMRTALRENLENLGSPLPWKHITNQIGMFCYSGMTPEQVDRLTSEFHIYMTRNGRISMAGINSGNVGYVANAIHEVTK >CAK8541799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:401778764:401780137:1 gene:gene-LATHSAT_LOCUS10694 transcript:rna-LATHSAT_LOCUS10694 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAWTEEEDHLLKKCIQQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGNFADEEVEMIVKLHKLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNALEADQEGPQLSKNVEIIRPQPRNIASSSSKKRGQEASQIDQALVQQENDTTTLDADGKNHMLETQQDMMMYSCLDQQGMVGGGEFPMDFQLEGFEAMVSGGEGCSSSQWNWDDFLLDMDLYNDFS >CAK8578862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659204713:659207128:-1 gene:gene-LATHSAT_LOCUS30844 transcript:rna-LATHSAT_LOCUS30844 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSTMSYSSSLYKPYYYCHNHNLHKFTTRASSAAPGVDLNTLDSAIAKKDSNAVKEALDQLNEIGWAKKWSSQPYVSRRTTSIRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGILAGQLPGDWGFFVPYLIGSISLVVLGIGSTAPGLLQAAISSFSAVFPDYQERIARHEAAHFLIAYLLGLPIFGYSLDIGKEHVNLIDERLEKLLYSGQLDTKELDRLAVVSMAGLAAEGLTYDKVVGQSADLFTLQRFINRTKPQLSKDQQQNLTRWAVLFAASLLKNNKGIHEALMASMAKKASVLECIQTIESAS >CAK8537873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444190354:444192453:1 gene:gene-LATHSAT_LOCUS7125 transcript:rna-LATHSAT_LOCUS7125 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIIIQIRRWLMHTKVWRVVGFTSAVVGLVSYALSSSFNHLFGNWNLWKIFLYCVFCFIICFLILLAMIWNHLRSLRFKVQFAFLVLTITSVYSFFSDKMMNGKPDAYSLISSAAFAVMSLSLSRQTQCGFEVDLLYFFLGCLIVQLMKIKLQLFIVGAGFSYSLIAIRSSFPSVSIDVAPDNEHPGLQDDNSVVVDVISQQLVCTDTASSMMEQLMTCVNAIQQEKLNVINSLLDQEEEYFENASELMLSERNSEGVFMLEFLLPKTETINNLQEIVKLMVSAGFVKECADVYSSSRRECLKECLIKQLLGLENLTIEDVNMVPWKDLKDQIGRWIKTFKVALNILFPAERQLCDLVFFGFSTVADLSFTDICRGYTSHFLKFGNAVANGSCLPEQLFKILDMFETLRDLIPKFESLFYDHYSVSLRNEANTVLKNLGEAIVGIFIELENKIRSEPVKAAVPDGRLHPVLRYVMNYLVLSCDYWNTLEQVFEDYGHPLKEYPNLDDSVPSSSLLSLQMGQIMEVLDSNLEAKSKIYNEPALCCVFLMNSSRYILQKTKGNELGKLLSDDVIQYHEAKVLYNHEQYQKISWSKVLGFLKLDDNGIVPPNMAKKSMKKKLKSFNILFEEICRVQSLWFVLDEQLREIIRVSIERNLLPAYGNFIGRFMSVMELGKYDDNKYVKYQMEDIEARLNDLFQN >CAK8563230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584372514:584378753:-1 gene:gene-LATHSAT_LOCUS16661 transcript:rna-LATHSAT_LOCUS16661 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDFEFFLHTWSTKNPTDRIFIISCLVAALVGILTIFYTAFQWRRNINLSWMKAIARSKKNPKAKHKVPVAPHTWDLESVSRVKNSNCCVCFKSISPSQTLGPIVASDSFIHRCCICGAVAHLSCSSSAHKDCKCVSMIGFEHVLHQWAVRWTDVADQPDEPTFCSYCEETCGGTFLSGSPIWYCLWCQRLVHVDCHGSMSNETGDICDLGPFKRLILSPRHVKEFNRNLPGSFLSSITHGANEIASSVRASIRSQSKKYKHGSETQVESGNSGSTGEISTESTADSHQIANGHHIIEEKNIVASNEEVQQQDSEVDNKMDRKPSFRRNSSINQKEDSQVSGVKQNYELTGLPPDARPLLVFINKKSGAQRGDSLRLRLNILLNPVQVFELSSSQGPEMGLYLFRKVPHFRVLVCGGDGTVGWVLNAIEKQNFDSPPPVAILPAGTGNDLARVLSWGGSLGPVERQGGLTTVLHHIEHAAVTILDRWKVTISSPQGKQQLQPPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFEDFPWQIRVVVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDENYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFAKFPVQIDGEPWFQEPCTVSIAHHGQAFMLKRAAEEPLGHAAAMITDVLENAETNNVINASQKRALLHEMALRLS >CAK8536255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922491193:922495490:-1 gene:gene-LATHSAT_LOCUS5642 transcript:rna-LATHSAT_LOCUS5642 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKILLLLLLFFPAMFCTNVDYDHRALLIDGKRRVLISGSIHYPRSTPQMWPDLIQKSKDGGLDVIETYVFWNLHEPLQGQYDFDGRKDLVKFVKTVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIEFRTDNEPFKTEMQRFTAKIVDIMKQEKLYASQGGPIILSQIENEYGNIDTAYGSAGKSYIKWAANMATSLDTGVPWVMCQQGDAPDPIINTCNGFYCDQFTPNSNTKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVTRFFQRGGTFQNYYMYHGGTNFGRSSGGPFIATSYDYDAPIDEYGIIRQPKWGHLKDVHKAIKLCEDALIATDPKISSLGPNLEVAVYKTESVCAAFLANVDTKSDKTVNFSGNSYHLPAWSVSILPDCKNVVLNTAKINSATAISSFVTESSKKDIGSLETSSSKWSWTSEPVGISKDDSLSKTGLLEQINTTADRSDYLWYSLSIGLEGDPGSQTVLHIESLGDALHAFVNGKLAGSRAGNSSKAKLNVDIPITLVSGKNKIDLLSLTVGLKNYGAFFDTRGAGITGPVILKGLKNGNNTLDLSSQKWTYQIGLKGEELGLSSGSSELWNSQSTFAKDQPLTWYKTSFGAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYVSSNAGCTDSCNYRGPYTSSKCRKNCGKPSQTLYHVPRSWLKPNGNILVLFEEKGGDPTQISFATKQLQSLCAHVSQSHPPQIDLWNSETESERKIGPALLLECPDHNHVISSIKFASYGTPLGTCGNFYHGRCSSNKALSIVQKACIGSRSCSVGVSTDTFGDPCRGLTKSLAVEATCA >CAK8568316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:593774529:593775818:1 gene:gene-LATHSAT_LOCUS21283 transcript:rna-LATHSAT_LOCUS21283 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTFLMDTLYCSEEHWEEQDELEIEYDNVSLNNTTTNSTQSSFLETDMFWDDEELKSLLSKEQQNPLHIFLQTNPVLETSRRESIDWILKVNAHYSFSPLTAVLAVNYLDRFLFSFRFQNEKPWMTQLSAVACLSLAAKVEETQVPLLLDLQVEESRYLFEAKTIKKMEILVLSTLGWKMSPATPLSFIDYTIRRLGLKDRLCWEFLHRCENVVLSVIRSDSKFMSYLPSVLATATMIHVFNSVEPSLGDEYKNHLLNILGINKEKVDECEKLMMKLWSEYEEENENENRFNKRKFGSIPSSPNGVMDVSFSCENSNDSWAIATVSVSSSPEPLSKKIKTHS >CAK8575398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:108338441:108363392:1 gene:gene-LATHSAT_LOCUS27667 transcript:rna-LATHSAT_LOCUS27667 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSTHIHKDIDEPLLAPNEPTPQEQPSSFTHSFGSKHESDGELERILSDTSVSFVKRFGLASWVELKLLFYLAAPAVIVYLINYVMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGAKKYDMLGIYLQRSTVLLTIAGLILTLIYIFSKPLLIFLGESPEIASAASLFVYGLIPQIFAYAINFPIQKFLQAQSIVAPSAYISAGTLVIHIIASYVAVYKFGLGLLGASLVLSFSWWIIVIAQFVYIVKSEKCKHTWRGFTFQAFSGLPEFFKLSASSAVMLCLETWYFQILVLLAGLLPNPELALDSLSICATVSGWTFMISVGFNAAASVRVSNELGAGNPKSASFSVVVVTVISFIICVILALLWFLH >CAK8562376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479755966:479759664:1 gene:gene-LATHSAT_LOCUS15878 transcript:rna-LATHSAT_LOCUS15878 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCDIAGVFKSVQLRNALGRSNEENVYAPLSCSSLRLQSPKPRTFHSFTLPHSLTMAEFSINDSTNSSSPHPNYAEIVVVRHGETAWNAISKIQGQLDVELNETGRQQAAAVGDRLSRESKLSVIYSSDLERAFETAQIIASKCEGVEVIKDADLRERHLGDLQGLVYSELAKTSPKAYKAFVSKNEDMEIPGGGESLVQLYDRSTSALLRIGMKHIGERVAVVTHGGFIRSLYKRACPDGGFAGKVLNTSVSVFHLDVEDKWSLKMWGDVSHLSQTGFLQSGFGGDKTSG >CAK8562377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:479756134:479759664:1 gene:gene-LATHSAT_LOCUS15878 transcript:rna-LATHSAT_LOCUS15878-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSINDSTNSSSPHPNYAEIVVVRHGETAWNAISKIQGQLDVELNETGRQQAAAVGDRLSRESKLSVIYSSDLERAFETAQIIASKCEGVEVIKDADLRERHLGDLQGLVYSELAKTSPKAYKAFVSKNEDMEIPGGGESLVQLYDRSTSALLRIGMKHIGERVAVVTHGGFIRSLYKRACPDGGFAGKVLNTSVSVFHLDVEDKWSLKMWGDVSHLSQTGFLQSGFGGDKTSG >CAK8571315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:371566080:371568535:-1 gene:gene-LATHSAT_LOCUS23987 transcript:rna-LATHSAT_LOCUS23987 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHNNSEIQGGSTDGLQTLIFMNPSGYINYSDAPPQQQQQNLVFLNSAASLAGNTSLQQQNLSHPPPQFVGVPLSAEHSVHAHHDVSALHGFPPCMQYNMWNAADPNSVARESSRATQGLSLSLHAQGSGEDGRVSNGGQCSSVSGGVSGIQSVLLSSKYLKATQELLDEVVNVNGGIKVESVKKNFEKNKVVGESSTPVSGDGGSAGGGGGDGHTKRITELSTTERQEIQMKKAKLINMLDEVEQRYRQYHNQMQMVISSFEQVAGIGSARTYTALALQTISKQFRCLKDAITGQIRAANKSLGEDDSFGGKIEGSRLKYVDHHLRQQRAIQQLGMIHHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEMKDQETNGSEDNKSSKNTDEDPSMKTTTPQERVPTSETESKSFNSKQDLAIVSVSTQSTSPIGVNVRNNSGFSFTELDGMTQASPKRTRNHEILHSPNPVKSNETENNEQISMKFGDDRQSRDGYCFMGNQTNFIAGFGQYPMEEIGRFDAEEFAPPRFSGNNGVSLTLGLPHCDTLSGTHQSFMPNQNIQLGRRLEINETNEFGAINNSNSHSSAAFESINMQNPKRFAAQLLPDFVA >CAK8536878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:32297979:32299876:-1 gene:gene-LATHSAT_LOCUS6204 transcript:rna-LATHSAT_LOCUS6204 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSTGTITQLINPTRSPRSTLRHFSSNNVHILHHQPFTSKLIHNRRSNLTTINCNSKLNNSSGGGEPYEMDGVFGRYDGIEDDSDEDDAESSVDLLIKFLQSMFKKMSRKAKKASRSVLPSVFSPQLVSFAVDGTLLLASLSVVKALLEVICNIGGTVFAAILILRVILAAASHFQSSGNSFNQGDNSFGAVA >CAK8576995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530164828:530168063:-1 gene:gene-LATHSAT_LOCUS29145 transcript:rna-LATHSAT_LOCUS29145 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASHESMCPKPMKATSNGAFQNENPMDYALPLLIVQICLVVAFTRFIAFLCKPLRQPRVIAEVIGGILLGPSAIGRNKKFLEIFFPEKSLTVLETVANIGLLFFLFLVGLELDMRSIRRTGPKALCIALAGISVPFLLGIGTSVVLRATISKDVNSAAFLVFMGVSLSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSNTSPLISVWVLLCGAAFVIFVVVAVKPFFALMAKHSPEGEPVREIYICITLTVVLACSFITDTIGIHALFGAFVAGIVVPKDGPFAGVLTEKIEDLVMSLLLPLYFVSSGLKTNVATISGGMSWALLVLVIFTACFGKIIGTLLVSLLCKVPFRDALTLGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVLMALFTTFITTPIVMAVYKPARHGSPYKHKTVLRKDPDTELRILACFHSTWNIPTLVNLIESSRGTRKRGRLCIYAMHLMELSERPSAITMVHKARNNGLPFWNKKQGDSNDQMVIAFQAYGHLTSVNVRSMTAISSLNNIHEDICSSAHQKRVAMILLPFHKHQRADGIMESLGQSFRIMNGLVLSHAPCSVGILVDRGLGGTSQVHASDVSYNVVVPFFGGCDDREALAYGMRMAEHPGIFLTVIKFITPPGKTLAFGAQLIGVAADENRKVIKVADDNADDEDTKEDNQFWSEFLSVCCKSEESIAYEERLVDSKEDVKTVLRERNRSNLICVGRMPPVVPLLDASDCAELGPVGSYLASSDFSTVASVIVFQQYNPKTDIHPLIMEVSDYTDTPDTPREEV >CAK8571876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488390006:488403033:1 gene:gene-LATHSAT_LOCUS24499 transcript:rna-LATHSAT_LOCUS24499 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRISSNYLFFALGFLLSTVILFVDGESSTCLTVYKNGGAPAVFQSPKCPRWRLFDYDSPRQTTTRCQSSMLQGRRKSQEDRTLCVLDVRIPFPGPTGIREVVVGIVAVFDGHNGAEASEMASKLLMEYFVLHTYFLLDAMYSVISKTSTGTLLHRRDHVLRWKEILGWQWHELHSERLRNVFSANFDDSFHLEILKESLLRAIHDIDVKFSEEASRNNLHSGSTATVVLVADDKFLVANIGDSKAFLCSENFQSPKEAKASLLKLYRQTERDGSVSVWDREKYRLASSRGLNHFAVKELTRDHHPDREDERTRVEAAGGQVLNWGGLPRVNGQLAITRAIGDVLFKSYGVISAPEVTDWQPLTANDSYLVAASDGVFEKLSVQNVCDLLWEVHRFSDMRSECTSSSSYSLADLIIDAAFEKGSMDNMAAVVVPLESANSSGNSLRRSYTENGDTDFPLFGLHESAYRRTANDITSDLLHLEHTRLQDTKFKRILVEVKHGDFGCFYLAENLDDSVESKWPAKKDDWENYLYELPQPLPNDLHQQAEVDGPIILYSDQNFCFYLSSTINEAKDQCINPEGFASFIGLLESIPLHDTGSDNASSDYSMPDSRYVLRRSFGRGSYGEVWLAFHWNCNQGNITAEMSKGDNNRNRSSSNPECQDGPSNYTLYILKRIMVEKGSAVYLSGLREKYFGEIFLNASMCYEDVLLGGKSNCVFETSQYDSEYSFQDKFRLHGAIYEEGLNHIARYVESFESRSNEIWLVFSYEGVSLSKLLYTVEDANNTAEKERLEQVKQVQILHPSKWWRWLKTTKEGQEEMRNLIWQLLLALKSCHDRNITHRDIKPENMVICFEDPESGRCLKDTPTKVNNVSTKMRIIDFGSGIDEFTIKHLYASTGPSRAEQTYEYTPPEALLNATWYRGSTSSNLKYDMWSVGVVMLEMVLGTPNIFQINAFTRALLDRHLEGWNEGVKELAYKFRSFMELCILIPGVSGRYSKKHHKANQVGVSPASWKCSEEFFSRQIKARDPLKIGFSNIWALRLVRQLLQWDPEDRPSVDEALWHPYFQPPPRG >CAK8567744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541156626:541158295:1 gene:gene-LATHSAT_LOCUS20767 transcript:rna-LATHSAT_LOCUS20767 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGVAAAYTHMHMIEVFSSKSMELKWVRTRITKTSQFNNNKEKNLLAPLLPVYISTNPRHVDPQHLQHLCSTCNHSFQRFSDTPEPVDINKLRIALSHSDVLVSVFCKPNIVDELGKSSSSIVDFLTPVSPSRDLLVGFGRAVSDCGLTASIYDLMVIPSLRRMGIGKLIVKKIVRMLTNRDIYDIAALCSEDERLFFKACGFGSDVLDSTTMMYTRTASSTNQEGEQTVMRAGQKPLLIPPLIERHYKSSRTII >CAK8575804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329151573:329152125:1 gene:gene-LATHSAT_LOCUS28039 transcript:rna-LATHSAT_LOCUS28039 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAGYNKGTGLSVEIIGTFVLVYTVFSATDPKRNARDSHVLVLAPLPIGFVVFMVHLATIPITGTGINPARSFGAVVIYNNEKAWDDQWIFWVGPFIGAAIAAIYHQFVLRAQAAKVLGGRDQAL >CAK8560635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:32674113:32680493:-1 gene:gene-LATHSAT_LOCUS14295 transcript:rna-LATHSAT_LOCUS14295 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVTLTFEDVAKHNHKKDCWIIVNKKVYDVTAFLDDHPGGDEALLSATGKDATLDFEDVGHSDSATEMMEQYFVGEVDAATLPAEARNNQPPPIQTTTLNNESSGFLFKFLQYLVPLLILGSAFALQYFGKKSKSNES >CAK8541531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:230489419:230490746:1 gene:gene-LATHSAT_LOCUS10446 transcript:rna-LATHSAT_LOCUS10446 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRNSRDSKMKEKQINDLVSRLQLLLPQLNQRNTSRQSASKILQETLNHIRRLQKEVEDLSERLTQLMDSVDFNDNDRRNLVNFLQY >CAK8572688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555711629:555712580:1 gene:gene-LATHSAT_LOCUS25221 transcript:rna-LATHSAT_LOCUS25221 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNYQTSFHVTRSLSDCGSLETNVSEEDIMVIKKGPWIEEEDAVLMNYVAVHGEGHWNSVARCSGLKRTGKSCRLRWLNYLRPNVRRGNITLHEQLLILDLHSRWGNRWSKIAEQLPGRTDNEIKNYWRTRVVKQAKQLKCDVNSKLFQDTLRFEWIPRLIERIQSTRATADTNFYDQLHVTNCNKTKAHSETCGTNANPPPMLMSNSSSYSSGVDVFAESNLSYNVMDSMELESTLQEWNCLDGKIQEFEHDNGFGGSELWTEENIWFLQQQLADAL >CAK8579146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679694453:679694986:-1 gene:gene-LATHSAT_LOCUS31114 transcript:rna-LATHSAT_LOCUS31114 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKISLLSLFTLCLISAQAARFDIVNQCSYTVWPAATPSGGGRQLNSGQTWSIDIPAGTSSGRIWGRTGCNFDGSGRGSCQTGGCGNVISCTLSGQPPLTLAEFTLNGGNNQDYFDLSVIDGFNIPMQFAPTSNGCNKVRTCREKSCPDAYQYPSDDTKTVSCPGGTNYRVVFCP >CAK8570195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28310075:28312904:-1 gene:gene-LATHSAT_LOCUS22968 transcript:rna-LATHSAT_LOCUS22968 gene_biotype:protein_coding transcript_biotype:protein_coding MGNISNEEQELREIENLEREDVEKAGVDLEDPSGIAPWTQQITVRGLITSVFIGLIYSVTVLKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIVSTPFTRQENTIIQTCAVACYSASYGGGFGSYLLGLNRKTYERVGGASTPGNTPDTKEPGIGWMTGFLFVTYFVGLTALIPFRKMMIIDAKLPYPTGTATGVLINGFHTPKGNVMAKKQVKGFMRFFSFSLLWSFFQWFYAGGDQCGFAQFPTFGLKAWKNTFYFDFSMTYVGTGMICSHLVNLSLLFGALVSWGIMWPLIRVLKGNWFPESLPESSMKSLNGYKVFISIALILGDGLYNFIKVIYFSALNFQACVKRRNRRTAFVTDDDQNKTPDDLTRDEFFIKESVPIWLACAGYVVLTVISINVIPLIFHQVKWYFVVIAYLLAPILGFCNAYGTGLTDMNMAYNYGKVALFVLAALGGKSDGVVVGLVACGLIKSLASTSSDLMQDMKTGHLTLTSPRSMLVTQAIGTAIGCVVAPLTFFLFYHSFDVGDPDGEYKAPYAIIYRNMAILGVEGFSALPNHCLEFCYGFFAFAVLVNLVRDWNPKNIGKWVPLPMAMAVPFVVGAYFAVDMCVGSLVVFVWSKLKRKEADLMVPAVASGLICGDGIWVLPSGVLAWFKVRPPICMSFTANN >CAK8536415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939552000:939554075:1 gene:gene-LATHSAT_LOCUS5785 transcript:rna-LATHSAT_LOCUS5785 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKLLITLLQSVTMILCCVSTTEFVYNTNFSSTNINLYGNATINKSILTLTNGSFFSIGRAFYPKKLPTRLPNSSNLLPFATSFIFSVAPVKNFIAGHALAFIFTPSRGLNGTTSAEYNGLFNLTNEGNPNNHVLGIEFDIVRNEEEFNDINDNHVGVDINSLKSLTSHEAGYWSGKDDNEFKVLKIKSGENYQVWIEFMHSRINVTMARAGQKRPRVPLISVNVNLSGVLMDETYVGFCAATGRLIDSSRILAWSFSNTNFSIGDALVTDNLPSFDPYKGWSSRAKVIAVGVTGVVCLLIVGCGYVVFYFILYRGGKGEEEIEEWELEYWPHRISFQEIHAATRGFSEENVIAVGGNGKVYKGILQGVEAAVKRIPQEREGGMREFLAEVSSIGRMKHRNLVALRGWCKEKKGSLILVYDFMHNGSLDKWIFECEEEKMLTWEERIQVLKNVAAGVLYLHEGWEVKVLHRDIKASNVLLDKDMNARLGDFGLALMHEHHGQVASTTKVLGTLGYIAPELIRTGRASTMSDVFGFGILLLEVICGRRPIEVHKPGLIEWVRSLMMLNQLQNAVDERLKAKGGYPIEEAERLLHLGLLCSNSDPSVRPTMRQVVKTLEGEMDNIDCDEENMRMSLLGKLKSDVMWSKAISAVPYRDHPTFGEVKSYNSKASTSGSSVIQASDSDIIWEGR >CAK8563643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621739346:621741073:1 gene:gene-LATHSAT_LOCUS17032 transcript:rna-LATHSAT_LOCUS17032-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLITFMKTFSTFQQAKQIHAQILTNDLTRLEPLFIHRILLCDITNYKTISPYILSILHHLRNPDSFSWGCVIRFFSQKGQFIEAVSLYIQMRKIGLCASSHAVSSVLKSCAKFEDDLCGVSVHGQVQKFGFNTCVYVQTALLDLYSKNGDVLTARKVFDEMAERNVVSWNSLLGGYIKGGNLDEARCFFDEIPRKDVISWNSMVSGYAKGGKMEQACYLFRQMPERNLVSWNSMISGYVDCGSIGLAREFFDAMPKRNNVSLITMIAGYSKSGDVDIARELFDRMDDKDLLSYNAMIACYAQNSKPKEALDLFNGMLKAEISLHPDEMTLGSVISACSQLGNLDHWLWIETHMKDYGIVLDDHLATALIDLYAKCGSIDKACELFHGLRKRDVVSYSAMIYGCGINGKVSDAVELFEKMVGECIDPNLATYTGILTAYNHAGLVEEGYRCFNSMKDNGLIPSVDHYGIMVDLLGRAGWLDEAYKLIMNMPMQPNAGVWGALLLACRLHGNVKLGEIAAQHCIKLESETAGYYSLLSGTYAAVGKWNDAKKLTNVEGNKIIKIPGCSWTQLE >CAK8563642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621739235:621741073:1 gene:gene-LATHSAT_LOCUS17032 transcript:rna-LATHSAT_LOCUS17032 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAQIENKQFRFRPLLATESRVSFQVRHFKTDNKSQMVATKLITFMKTFSTFQQAKQIHAQILTNDLTRLEPLFIHRILLCDITNYKTISPYILSILHHLRNPDSFSWGCVIRFFSQKGQFIEAVSLYIQMRKIGLCASSHAVSSVLKSCAKFEDDLCGVSVHGQVQKFGFNTCVYVQTALLDLYSKNGDVLTARKVFDEMAERNVVSWNSLLGGYIKGGNLDEARCFFDEIPRKDVISWNSMVSGYAKGGKMEQACYLFRQMPERNLVSWNSMISGYVDCGSIGLAREFFDAMPKRNNVSLITMIAGYSKSGDVDIARELFDRMDDKDLLSYNAMIACYAQNSKPKEALDLFNGMLKAEISLHPDEMTLGSVISACSQLGNLDHWLWIETHMKDYGIVLDDHLATALIDLYAKCGSIDKACELFHGLRKRDVVSYSAMIYGCGINGKVSDAVELFEKMVGECIDPNLATYTGILTAYNHAGLVEEGYRCFNSMKDNGLIPSVDHYGIMVDLLGRAGWLDEAYKLIMNMPMQPNAGVWGALLLACRLHGNVKLGEIAAQHCIKLESETAGYYSLLSGTYAAVGKWNDAKKLTNVEGNKIIKIPGCSWTQLE >CAK8563200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582469947:582471365:-1 gene:gene-LATHSAT_LOCUS16634 transcript:rna-LATHSAT_LOCUS16634 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRESPLVSISDNKDDYFVFPPINHENLNPLTNHQIPCSKSQLQSLSQLPPSSSSSDCHMSGEYSSLSPPPLDSSLRKGGDFIGWMSIGFQILRSKFFSAVSSFQNPGGAIRSYGLPAAIVVIIVVTLMKRKESKRNLTPNESRLLQIIMEKDGKIAQLLHQIAQMNEILIDSHKALAGNVVK >CAK8563767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630028495:630044277:1 gene:gene-LATHSAT_LOCUS17143 transcript:rna-LATHSAT_LOCUS17143 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAPEKSQTNASSMQRVKVYRLNEDGKWDDQGTGHVTIDYLERSEDLGLYVYDEEDNETILLHRVSSDDIYRKQEDSIISWRDPEYATELALSFQEPSGCSYIWDHICNVQRNMHFSTLNSETFQSTNSELKELPAVELTTLPLILKTVVDSSISDQIRIAELLSSNQEFFRKLMGLFRVCEDLENMDGLRTIFKIVKGIILLNSPPLLERIFKEEFIVDIIGALEYDPEVTCVQHHRKFLKDHVVFKEAIPIKDPIALEKIHQTYRVGYLKDVVLSRILDDATCATINSIIHANNAFVIAMLKDDSTFIQELFARLKSSATSQESKKNLVHFLYEFCSVSKSLQMVQQLRLFRDLMNEGIFDIISDVLQSQDKKLVLTGTDILLLFMNQDPNLLRSYVVRQEGIALLGLLVKGMVTDFGESMHCQFLEILRILLDSSTLTGPQRDTIIDIFFEKHLGQLIEVITASCPSDIIADASCKSLGPEQGVQFQHGTKPEILSNICELLCFCVLHHPYRIKGNFLVNNAIEKILLLTRRAERYLVVCAVRFVRTVLSRNDEHMINYFIRNNILKPIIDAFVANGNRYNLLNSAVLELFEFIRKENLKLLLKHLVDSFWGQLLKFEHLASIHSLRVKYEQCVDNGGTDAAAVVDLRRRIDERAVEREEEERYFNEDSDEEDAASASVSRDPKGHQQPILSNGVASNHLQSSRRSLVDYEDDEDDEDYKPPPRKQKEASEEDEGIMESLRLKRKLPSKDKEPEVAKKQKLTKNSKSKESVFAALCSTLSQAVLPSKKSATNIHTDDQTVEGRMSSSEDNQEDRQNDSRSSSDDSNIGGAEDNHAEKDTSASRSFSDRLHAKSDNIELGGEERPLVPPKSSPEMAVNGS >CAK8540990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39514883:39516803:-1 gene:gene-LATHSAT_LOCUS9944 transcript:rna-LATHSAT_LOCUS9944 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQNSPTLHRTLFQNSFPQKHGSGFRSSRRDGISFIVKGSHEASHSSTGLISQDEPSRRQMIAIGATAPLVFLFDQQSISFAAESGFQPVVDRKDGYSFVYPFGWQEVVIQGQDKVFKDVIEPLENVSVTMIPTGKQDIKEFGSPEEVAATLIKKVLAPPNQKTKIIKATERDIDGKVYYQFEFTAQAPNFTRHALSAVSIGNGKFYTLTTGANERRWGKMKDRLQTIVDSFKLFNV >CAK8573870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643360896:643362651:-1 gene:gene-LATHSAT_LOCUS26270 transcript:rna-LATHSAT_LOCUS26270 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALKEEVVRGLSPSRSRSRSRSTSPARTGSPMSGLFNRKKHHPNHNPDARSGGLRPLGETLTPLIEGPDSENGDLKRIGSGLGNWMKDQLSRAPSVSYKRSDLRLLLGVMGAPLAPFHVCSTDPLPHLSIKDTPIETSSAQYILQQYIAASGGQKLQSSIRNAYAMGKVKMVASEFETATRVVKNRNASRCAESGGFVLWQMNPDMWYVELSVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKNINGEDCFILKLCTDPETLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSLDCFIPPADLKTGSVSEACELPQDERGKNSLGGVHRTKVVALEKSHECSIDKMMWKMEI >CAK8577552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:573990127:573990576:1 gene:gene-LATHSAT_LOCUS29650 transcript:rna-LATHSAT_LOCUS29650 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGQPPKVVATPETSSDNLNDDQQGDKDSTSKTTMEHEKEKTVGAITMDQEIHETLEAKKGINEPRKLWVDVISRNHNPGNGLSLKFIAPKIVNGIPEVIIEEADTINEVKFWETSLIMYVIGRELSMNGVKQFMTKQRNFVKLPDM >CAK8561693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:357038134:357045259:-1 gene:gene-LATHSAT_LOCUS15259 transcript:rna-LATHSAT_LOCUS15259 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLAKRASSKKFNLSSQSISISRSSTSHRFSHHNNFPEKISPQRNPFLCFSQVSENKPGRSEFTFSEHPFSFSSTGLNHIRSYGSVAEAIESTDTEDDCSGSCSGSEEVQELLEQMVKEEKKKPLEMKKNKNNSYKYKMLRRRQVKIETEAWEEAAREYQELLEDMREQKLSPNLPYMKSLFLGWFEPLKNAIVADQELCKDSKTRLSHSPFFNELPADMMAVITMHKLMGLLMTNSNGVGSARVIQAACQIGEAIEHEGRIYRFMEKTKAKKTTTDKSDSESVPTPVISDNLTAEEKEKLDEEEKRIRKRVASLIKKQKKQQAMGIVRGRDQAKPWGQEAQVKVGSRLIQLLIETAYLQPPANQFGDGGPPDIYPAFKHTLKTISGDSSNGSRRYGVIECDPMIQKGLEKTARHMVIPYMPMLVPPNHWTGYDKGAYLFLPSYVMRIHGAKQQREAVKRAPKNQLDSIFEALNTLGDTKWRVNKSVLGVIDQIWANGGRLADLVDRDDLPLPEEPDTEDEAEIRKWKWKVKAVKKDNTERHSQRCDIELKLAVARKMKEEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLQWLKIHLANLYAGGVDKLSYDGRIAFTEGHLDDIFDSADRPLEGKRWWLQAEDPFQCLAACINLSEALRSPTPESTLSHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGDQPADVYSGIAARVLEIMKTDALKDPKTFPHALHARRLISQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIEDDSELFAAACYAAKTTLTALEEMFEAARSIMNWLGECAKVIACTNQAVRWVTPLGLPVVQPYREIGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKQAGMNFAGVHDSYWTHACDVDEMNRILREKFVELYDAPILENLLENFEKTFPTLKFPPLPERGDFDLQEVLKSPYFFN >CAK8543290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:596356278:596356784:-1 gene:gene-LATHSAT_LOCUS12057 transcript:rna-LATHSAT_LOCUS12057 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDEMGCQAPERPILCVNNCGFFGREATMNMCSKCYKDALLTQEQDKLTATSVENIVNGNGKLAMTAGVVDVQVENVEVNTVCPEVPDNSVFGESVETKAKTGPSRCATCRKRVGLTGFSCKCGNLFCAMHRYSDKHECPFDYRTVGQKAIAEANPVIKADKLDKI >CAK8534270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711737157:711738743:-1 gene:gene-LATHSAT_LOCUS3828 transcript:rna-LATHSAT_LOCUS3828 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTATTRLRYAFHKFLRLFSHYPKENATKLSSFNGIEDAVTLFNRLINIHPLPSVVQFNMILGSVVKMKHCPAAISLLKQMALKGVTPSIVTLSIWINCYCHLGEMAFAFSVLGIVFKRGYQPNNITLTTVMKGLCIKGEVKKAMDFQDNVAAEGILLDEVSYGTLVNGLCKIGHTIDAFQLLQKMEGQVVKPNIVIYNMIIDSLCKDGLVTEARDLYLKILVLGINPDILTYTSLIRGFCSKGQWGEVNLLMCEMVNKNIKPNVYTFNILIDAICKKGKMIEAQGMFNLMIERGQQPDIVTFNTLMSGHCLHGNVLEARKLFDTVIEWGILHDVWSYNILIIGYCKCKWIDEAVSLFNEMHCKSMVPNIVTYSSLIDGLCKSGRFSYAWELFSTIDDEGPLPNVITYNILIDAFCKIQDIDMGIALFELMFKRGLTPNVSTYNILINGYCKSKRIDEAMNLLNEMHSKNLVPDSVTYNSLINGLCKSGRISNAWELFKLMHVGGPPVDVVTYNTLLDAFCKIQHVS >CAK8567658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534086975:534090503:-1 gene:gene-LATHSAT_LOCUS20690 transcript:rna-LATHSAT_LOCUS20690 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASCCVVASSNINPLPSFPFKFPSLWPSTQKVKMGPLTVSPMGFGTWAWGNQILWGYKESMDDDLQQVFNMAVENGVNLFDTADSYGTGRFNGQSEKLLGKFIRDFREKKGSKSEIVIATKFAAYPWRLTPGQFVNACRASLDRMQIEQIGIGQLHWSTANYAPFQELALWDGLVAMYDKGLVQAVGVSNYGPKQLLKIHDYLKERGVPLCSAQVQFSLLSMGEEQLEIKSICDSLGIRVIAYSPLGLGMLTGKYSASKLPTGPRGLLFKQILPGLDPLLSSLREIANKRRKTMSQVAINWCICKGTIPIPGVKSITQAEENLGALGWRLSSDEILQLEYAAQESPRKMIQNIFQTR >CAK8543760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637044279:637044797:-1 gene:gene-LATHSAT_LOCUS12498 transcript:rna-LATHSAT_LOCUS12498 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRDVESLENINLSNCLMMVSYLQQQQQRNKPHQKSYGAVEYECKTCNKKFSSFQALGGHSASHKRSKLESGEFVKTNGLSLSLGNKPRMHECSICGQEFSLGQALGGHMRRHKAMANQEFSSIEKVVKLPVLQRLSSARVLCLDLNLTPLENDLKLLFGKMAPNLDVLV >CAK8534928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:791506065:791508474:-1 gene:gene-LATHSAT_LOCUS4425 transcript:rna-LATHSAT_LOCUS4425 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPFHEFAYEGKSEVENPKRVFLSPYFPYKGNRRNNFYDSCSYRKASKHFRDVEESEVDKSHGSSKHFREVEESKGGESCPSRKVSKYFLKVEDSKADDACRSRKVSKHLREVEDSKAGDSCRSRKVLKHLREVGESKVGGDSCRSRKVSKYFVKVEDSKEDDSCRPKKVSKHFREVEESKVCDSCPSRKVSKYFVKVEDSIVDNYCPSRKVSKYFVKVEDSLVDNSCPSRNMLEEVEESKANYQKRVSPCPSRKVKNNCTKKSVTGFRKCVKKRKCEGTGLTENLKGEDSSCLAKIYEYLTKVEEIIIKGSLLLQKVSDSSRGGYKAEENCMKDISDFLNQYVNRGRSVSILRKRIKKSESENLEERDSEYRAIVKEAKVKFESLLGVISKSFQEGPKVEEGSSLPPKLKSKSRGKKTQPFLKAERYKEAYKRKTPENNWLPPRSHWNLIQEDHFHDPWRVLVICMLLNRTTGAQTKKVIDEFFKLCPDAESCMRVSREEIQEVIKTLGLQGKRSAMLQRLSCEYLSDSWTHVTELHSVGKYAADAYAIFCTGKWDQVVPNDHMLNKYWDFLHTLRISQDCC >CAK8570661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:83347816:83348313:1 gene:gene-LATHSAT_LOCUS23397 transcript:rna-LATHSAT_LOCUS23397 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGRPKTTVPPSPPPESLTSLKIPQIGSRTTTPPDSSSKTPETGAKTDKGMEATLGNTIKETLAEATKAQPGERKLWVDIINDNWNPAKGLTVEYVAPKVVNGMIEIDIEQEDIETEIRFWDNALILYIVGDDLSMNTVKNFIITMTVISCSDSTPRKTRKP >CAK8533111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:579455301:579455501:-1 gene:gene-LATHSAT_LOCUS2759 transcript:rna-LATHSAT_LOCUS2759 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGKDALSDVADVQRLLSLHFQNYDKAKLNVQFIHEYAHIDYMMGVNANDLVYKHVVSFFKQKF >CAK8560802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44702712:44705252:1 gene:gene-LATHSAT_LOCUS14449 transcript:rna-LATHSAT_LOCUS14449 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSLFFLLLFPHFTLFTSSTSPNNNNNNSNVIILTGNQTLLSPNKTFELGFFNLEQSQLNQPRYYLLIRFTSLPSPNITWLANRNKPSPSLSGSSLQLTRTGQLLITHNDTVLWQTNTKTTTQQTLQPKLLENGNLILTTHNGVVSWQSFDEPTDTWLPGMNLTRVHSLLSWRTLTNPADGFYSLRLNPPYYGQFDLVYNGTVSYWSTGDWTGNKFINVPEMSIPYLYRFDFEDAYSPTASFGFSERASDGVRPPTMFRVEANGLVRQYTWSSQAGAWNMFWSRPESICSVSHVCGRFGVCTGDALRSCECVKGFMAVDGGGWGAGDYSQGCWRGESGCDHRDSFEDLGAVKFGFDNVTTFREKSRSLCKRRCLDSCDCVGLSFDEGSGFCKNFVGSLFDFHNLTSLGGGDGNVLYVKVSGGKIKRLSGRFLSGVVIGCVLFLLLILGVVAVTLVVLAKRKRLKKEREMGLEEDGFVQMLNLKVFSYKELQLATRGFSEKVGHGGFGTVFQGELSDSTVVAVKRLERPGGGEKEFRAEVSTIGNIQHINLVRLRGFCSENAHRLLVYEYMPNGALSAYLRKEGPCLSWDVRFRVAIGTAKGIAYLHEECRSCIIHCDIKPENILLDSDFTAKVSDFGLAKLIGRDFSRVLATMRGTWGYVAPEWISGVEITTKADVYSYGMTLLELLGGRRNVEAPPSSGDRKSSCETGEKWFFPPWAAQLIIADNVAAVVDKKLENVYNIEEAKRVALVAVWCIQDDEAMRPSMGMVVKMLEGLVDVALPPPPKLLQALVTGESFHGVKAFSSNAVSTTGSSFADDNMEVSAADSESCIGDVFSPLDGIVNVR >CAK8539596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517462835:517465324:-1 gene:gene-LATHSAT_LOCUS8678 transcript:rna-LATHSAT_LOCUS8678 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPAAKSIRGTNPQNLVEKILRSKIYQNTYWEEQCFGLTAETLFDKAMELDHLDGTYGGNRKPTPFMCLIMKMLQIQPEKEIVIEFIKNDDYKYSSYVRILGAFYLRLTGFDTDVYHYLEPLYNDYRKLRRKLADGQFALTHVDEVIDELLTTDYSCDIAMPRIKKRWTLESLGSLEPRQSALEEDFEEEKENEDNEQPADELEDKTHEKDYYRGRSPTRERDRDRRRESHRHRDRDYDREYDRDYDRERACGRDRDRDREKERDRDRERDRDRYCVREEKDYGREREGRERERRDRDRDRGRRRSYSRSRSRSRDHKEHDGGDYRKRHARSSVSPRRHGDGAEDGEPNRKKEKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLRM >CAK8530253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10433862:10435715:-1 gene:gene-LATHSAT_LOCUS133 transcript:rna-LATHSAT_LOCUS133 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQAEANRTDLMTITRFVLNEQSKFPESRGDFTILISHIVLGCKFVCSSVNKAGLAKLLGLAGDTNIQGEEQKKLDVISNEVFVKALISSGRTCLLVSEENEDAIIVPLSQRGKYIVVFDPLDGSSNIDCGVSIGTIFGIYMVKDTNSVTIEDALQPGSKLVAAGYCMYGSSCTFVLSTGYGVNGFTLDPSLGEFILTHPNIKVPKKGKIYSVNEGNAKNWDKPTTKYVANCKFPQDGSSPKSLRYIGSMVADIHRTLLYGGIFMYPADIKNPNGKLRVLYEVFPMSYLMEQAGGQAFTGKQRALDLVPKKIHDRSPIFLGSYDEIEKIKELYEES >CAK8540875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27753991:27754576:1 gene:gene-LATHSAT_LOCUS9834 transcript:rna-LATHSAT_LOCUS9834 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSENISHQAGQAAGQAQEKGSNMMDKASNAAQSAKESCQEAGQQMKAKAQETADAVQSKDGSHN >CAK8579351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693433935:693441087:1 gene:gene-LATHSAT_LOCUS31303 transcript:rna-LATHSAT_LOCUS31303 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLCYPNNNSYHIHTHRHTTLHSNRTRTRQLLLRRPFTFLCKSSSSSTSDDFVKRVLEENPSQVPPKYLIGNKLYTSQQKENLDKKSNEGIFDALLKSLKSSQRKSESDETGEKDSVYLNELLKKYKGKLYVPEQILVKPLSEEEEFYENLKTLPRMSVEDFTKALSKDKIKLVTSTEDYGFDYRDYIVELKEIPGDKRLQANKWVLRADTSEAQAVLEEYSGPRYEIEIPRITSWVGKVSDYPHPVASSISSRVVAELGAVTAVVGSAAILVGGFLSAAVFVVTSFIFAATSYVVWPLAKPILKIFLGVALSMMDSVRDRVLDLIVDGGLLSKIYELFTFGGLSSTLQILAIALPIGVGMVLLVRFTLSRKPKNFRKWDLWQGMNFSLSKAEARVDGSTGVMFSDVAGIDDAVDELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEFLVGVGSARIRDLFKRAKVNKPSVVFIDEIDALATRRQGTFKEESSDKYNAATQERETTLNQLLIELDGFDTGKGIIFLAATNRRDLLDPALLRPGRLDRKIKILPPNAKGRLDILKIHAAKVKLSDSVDLFSYAQNLPGWSGARLAQLLQEAALVAVRNRHSSILRSDMDEAVDRLTVGPKYLANELGYQGQCRRATTEVGVAITSHLLRRYENAKVEYCDRISIVPRGQTLSQLVFQRLDDESYVFERRPQLLHRLQVLLGGRAAEEVIYGRDTSKASLEYLAHASWLARKILTIWNLEDPMVVHGEMPGWRKPAKFVGPRLDFEGSLYNHYGLIGPPLNFNLEAQVAQRSDELLHDMYRKTVSLLRGHHAALLKTIKVLLNQKEMSGEEIDFILNTYPPQTPLHLLEEESPSNLLFMKEQARNMEYAIQTQSKEETV >CAK8538098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462492153:462494448:1 gene:gene-LATHSAT_LOCUS7333 transcript:rna-LATHSAT_LOCUS7333 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLLRVVSSPSLEVSNSTGLLDSFGVVKLVDSSRFLCRDFVSIRARKNDMKKRWRSCSLSTDMKYACVGQSGLASASNSPLLTNVLGSAAVGEVAVSSEQKVYDVVLKQASLVKRKLSSTGELEVKPEIVLPGNLSLLSEAYDRCGEICAEYAKTFYLGTLLMTSERRRAIWAIYVWCRRTDELVDGPNASHITAAAMDRWESRLEELFQGRPFDMLDAALSDTVAKFPVDIQPFKDMIEGMRMDLKKSRYKTFDELYLYCYYVAGTVGLMSVPVMGISTQSQATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELALAGLSDDDIFAGKVTDKWRNFMKSQIKRARMFFDEAEKGVMELNEASRWPVWAALLLYRQILDELEANDYNNFTKRAYVSKTKKFLSLPLAYARSMVPPARKLSHEMKA >CAK8569971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18513106:18515039:-1 gene:gene-LATHSAT_LOCUS22765 transcript:rna-LATHSAT_LOCUS22765 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHRNNVGNLVLGHHHHHHDSSKTSTFSDNFRQWNSLSVASLRRLIFDAVSCGASSRFHHRRRSLEEYDTEDFSSTTRSDSFSSNEKHQQKQQNQTSKPNAKSEKLSDLLNMAELESEAEAKKKEEALEELKQLVKDLHHEEDSVKRREAATAVRMLAKENLEVRGTLAMLGAIPPLVTMLDSQDLDSQIASLYALLNLGIGNDANKAAIVKVGSVHKMLKLVESLDGTDSTVSEAIVANFLGLSALDSNKPIIGSSSAIPFLVRTLRSLDKKNSSNQAKQDVLRALYNLSIFPANIPFILETDLVPFLINSIGDMEVTERNLSILSNLVSSRAGRKAISAVPDVFPILVDVLNWTDSPECQEKVSYVLMVMSHKSYGDKQAMIEAGVVSSLLELSLIGTTLSQNRASRLLESLRIDKGKQVSGNYGGGNLGTAVSAPICGTSSSCAKPDGGGGKECSEEDEDMMSEEKKAVKQLVQLSLQNNMRKIVKRANLPQDIVPSDHFKSLTSSSTSKSLPF >CAK8530503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25704062:25707274:-1 gene:gene-LATHSAT_LOCUS361 transcript:rna-LATHSAT_LOCUS361 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSCIERRVQKIKKNIFSSNFDVYSFVSSSAYDTAWLAMIPDSKYPSQPMFKNYLEWLQNNQKAEGFWGESDNIECLPATIVSMVALTKWNTATLMVHKGRSFIDANIDKLLNEVKEDCPRYLAIILPAMFELVDIVGLDSLFPHSSRDTMSYIINRRKTILSKNRERDVGDFHCYPPLLAYLEALPSTYVDEKDICKNLSLDGSLFQSPSATAKAFMDYGNKKCLAYLRSLSQRCPKAVPQSYPMDEDHIILCIANQLHRFGLGQYFVGEIERLLLRVYRNYNKASSASNDLQFQKDTIAFELLRTYGFNVSPLRFCWFLNYEEIKAEIERDCEHFSSAMLHVFRASNLTFCGEYELEEARTFSRKILEKVVLTGKGRLLQQIEHELSFSWFARLDHLEQRVWIEETEANVLWKGKTSYNRVSCIYNDEFLQLATLNFEFKQLIYKNELNELKRWVEKCRLSNMGFGREKSTYCYFAVAASLTSLPHDSYVRMLIAKTAIIITVTDDFFDTVGSLDELEILTEAVQRWDSRSLSSHSKVIFDALDDLVSEASRKYLQQEGTCDDISGSLKDLWYEVFLSWLIEAKWSRNGHKPSIDCYLKTGMISVGAHLMVLSSSYFMKPTKKLRLTPYEPLTKLLMIISRLLNDVESYQREREEGKLNYVLVNMMENPEFEIEDSIAFVREIVEKKKKEFLELALIDGLSDFSEPNKQLHLSCLKVFQMFFNSKNNFDSNTDLVEDINKAIYLPLSKTSKCLSTQTLPKKKHIISKLHMNFPFKHYSKINFSRMRFMTPKIGIGFI >CAK8563860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635881484:635882038:-1 gene:gene-LATHSAT_LOCUS17225 transcript:rna-LATHSAT_LOCUS17225 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLGGSVERSSLKRLNLNVDPPETDDDDSPLLPPPPTEITRLINDENSQDKSLLFKDTDSNEGEVKKLNKPGKYRSKPSKTDCSIDCGVDADGDQHVQGPLSSREEKVSSMKTGLVHVARKMPKNAHAHFILGLMYQRLNQPQKAVLAYEKAEEILLRPEIEIDRAEFLSLVQIHHAQCLII >CAK8567983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:561235688:561240490:1 gene:gene-LATHSAT_LOCUS20987 transcript:rna-LATHSAT_LOCUS20987 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTKRPSKKSFHAENGNGKPPSPSSLPQPGDGAERTVKKVKLSKALTIPDGTTVSDACRRMAARRVDAVLLTDSSALLSGILTDKDVATRVVAEGLVPDETAVSKVMTRNPVFVTSDTLAIDALQKMIQGKFRHLPVVENGEVIAILDITKCLYDAIARVEKACQQGSAVAAAAVEGADHQRAPNAFIDTLRERMFKPSLSTILGENTRVAIASASDHVHVAAKRMQELHVSSSVIVTDAKIQGILTSKDILLRVMALHLSPESTLVEKVMTPNPQSATPETTIIDALHMMHDGKFLHLPVVDKDGNVVACLDVLEITHAAISLVESSSSGNVNDVAGTIMQKFWDSAFSLEPPEDYDTISEFSGQLTLDGADTTKSTYQSAGFRNSFSFKFEDLSGQVHRFNCGSENQDELASAVMQRIGPVNDGERPALLYEDDEGDKIIIATNNDLAAAVSYARSAGLKALKLNLKFADSTKGIQPNSDTATKQKTSIVSVRSGIFAGAVVLTSISLLLYLKRAK >CAK8561654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:341093056:341094142:1 gene:gene-LATHSAT_LOCUS15225 transcript:rna-LATHSAT_LOCUS15225 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTITSMCPPLEKIKTKGGVKKKDKKPVGYDVYIDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSQSPKQFILQFPNHIRSYIDDVVNVVSYGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLSDMGYVIANRYNVVLVCLEIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPMTVDWKKFRSPAATSSMIGFSGRLQHWQQLTPILPTHYEL >CAK8564665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5882606:5883628:-1 gene:gene-LATHSAT_LOCUS17947 transcript:rna-LATHSAT_LOCUS17947 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIAHLSGLRNRDCRHESLPEKGLSTSLSVLTVKCCLLLQASSQNNGGKEWHKIAHMNHQPRKKPAMIGLKSWKCRLLPKMNGRLKKLVQSWLINFMFDNLMTHFMKCKMYRFYLE >CAK8564864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13929279:13931270:-1 gene:gene-LATHSAT_LOCUS18121 transcript:rna-LATHSAT_LOCUS18121 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLGRNSVPPPGSIDKTKILDIKPIRCLIPVFSKNPQAPSSGQYPSGFSPFFPFGGPQESSTTGVKPRGRAMPAPIRASRSPLGENEDLNGNDGYSHKRNAPSRSSRVELQKIKLRNNVHVDWSGLVGIGPAEKNDGNREVVNIVLMSFDALRRRLCQLVNAKELNLGKITRADLKAGNICLSKGIRTNATKRIGSVPGVEIGDIFFFRMEMCAVGLHFQSMGGIDAIKSDHKDETLAVCIVSSDEYDDEPEDGDVIIYTGQAGNFKKKEKHMSDQKLHRGNLALDRSSRQHNEIRVIRGMKDTTALNSKIYVYDGLYKIQDSWAEIAKEGDGSLFKYKLIRVPGQPSAFSVWKSIQKWKSGFSARTGLILPDLSSGAESIPVSLVNEVDNVKSPAFFTYFHSLRHPKSFSLTQPSHGCSCSAKKTCFPGDLNCSCIQRNEGDFPYIIQGVLVSRKPLIHECGPTCKCFPNCKNRVSQTGIKHQMEVFKTKDKGWGLRSWDPIRAGAFICEYAGEVIDKARLSQLIKEGDTDEYVFDTTRVYESFKWNYEPELLDEVRANESSEDYAMPHPLIINAKNVGNVARFMNHSCSPNVFWQPILYEENNQSFLHVAFFALRHIPPLQELTYDYGADRSDNAEGSSAHKGRKKCLCGSLKCRGSFT >CAK8530487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23953219:23956687:-1 gene:gene-LATHSAT_LOCUS346 transcript:rna-LATHSAT_LOCUS346 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVDRWGTWEELLLGGAVLRYGTQDWNVVAAELRARAVCPYTFTPEVCKAKYEDLQQRYSWSTAWFEELKKTRVEELKKALERSEDAIGSLQSKLQILEAEKNEKKDDCKNGLESPVSHIPSKKLQRVESSTKETSKDELSTKETSKDDLSAGSFTHETRINWSPESQLPAASADDNETKPEVSQSTEQIKVSNVDNLANFLCEGQIRTCRKPRGKRKRKDCSKNIKEAASVGESDLLDSADVLSWCKESSTSNCGEVAKSSDDVDNRNKNLKKRNMEDMIEILDSIFETKGASAFRRRLDSQKRGRYKKMIRQHMDFETIRSRISIRTIKSTDELFRDLLLLTNNALVFYSKNTREYKTALVLRDIVTKKMKENFKDSNNIVSSSKVSKITEPNESVKLPVHNVHVKPRSVRPGNRKIVAKADGGNNSASGISQGAKKPVKADSSPSVESLKVEKKTLSRSKRVERVTSSQKTATPTKGKKRVRTKL >CAK8561725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:366661418:366662337:-1 gene:gene-LATHSAT_LOCUS15286 transcript:rna-LATHSAT_LOCUS15286 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFQRIGYQTLRFWNLWTVFGCDGKKLRFAIGRWSLSRLEKLSILQNDDYPSYKTWGFSFSLKDIYRLPSLFLGKYL >CAK8566551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434532863:434541695:-1 gene:gene-LATHSAT_LOCUS19674 transcript:rna-LATHSAT_LOCUS19674 gene_biotype:protein_coding transcript_biotype:protein_coding MWPICLLFNKILKTEDEKEIKGENLEVIEPYLFQNLSCNIDSNPPSLRRSLFIEVPHINQIYTWDCGLACVVMVLKTIGVNNFDIQALADLCCTNSIWTVDLAYLLQRFSVTFSYLTVTLGANPNYCGESFYKEELPNDLVRVDTLFQDAMEAGIDIQCRSLSEEEISFLILSGKYLAIALVDHNKLSNSWQDVPVAGVFSNNSDYTGHYVLICGFDAEADMFEIRDPASSRKHKRIASKSLEEARKAFGTDEDILLISLEKSKKDHQPDSTSDS >CAK8539588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517276174:517278776:-1 gene:gene-LATHSAT_LOCUS8670 transcript:rna-LATHSAT_LOCUS8670 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSDATERIARIAGHLNPPNLTMNEHGSSSLTSFHCRAKGGAPGFKVAILGAAGGIGQPLSMLMKMNPLVSVLHLYDVVNTPGVTSDISHMDTSAVVRGFLGQNQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEAIAKRCPKAIVNLISNPVNSTVPIAAEVFKRAGTYDPKRLLGVTMLDVVRANTFVAEVMGLDPRDVDVPVVGGHAGVTILPLLSQVKPPSSFTTKEIEYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRALKGEAGIIQCAYVDSRVTELPFFASKVRLGRDGVEEFLPLGPLSDYERASLEKAKKELASSVEKGISFIRK >CAK8576753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511154839:511155216:-1 gene:gene-LATHSAT_LOCUS28922 transcript:rna-LATHSAT_LOCUS28922 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSGKVKWFNDMKGFGFISPDDGTEELFVHESDIQTDGFKSLAAGESVEYHVKSGPDGRSKAVQVTGPDGAPVKGSERSRESALGGYINVGGGGYNSVSVGVYGGSTGGGGGGGGARGGSRG >CAK8564982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:20371919:20373615:1 gene:gene-LATHSAT_LOCUS18229 transcript:rna-LATHSAT_LOCUS18229 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARREIRTDRISELHDSILCHILSFLPTKHAATTSILSKRWKSVWLSVLTLDFDCKAFQDMASFGYSVHKLMLLRNSGLPIVSFRFNCTFLCSIHNKCDVTRFVSYVMNRGIENLIIDNDIILPPSVLSCKTLKVLKLNGILVNDFSHQVDFPVLKILHLKRMIFERHELLVKLLSGCHILEELETKYIGLLDDESHVPEEEFDDLLPSLVQAKISCDDSVIPLNLVRNVENLHLEQIVLVMCSKLPKFLNVTHLELKFCPIFWDNIWDWLLQMLEQCDKLQSLIIQEFADQDSSNCEYWEHPPIVPKCLSSQLRTCCLTCCKGAELELQFAKYILQNSKVLKTMKIKFSYYADIKAKQQMITELSSLAKGSTKCKVVF >CAK8570795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115571786:115572250:1 gene:gene-LATHSAT_LOCUS23516 transcript:rna-LATHSAT_LOCUS23516 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQKARTSWVREGDLNTKYFHSLLKCRTRRNAITALKSGNIILDDVNSIKYAFKEYFANMFSKLGLPRPRMNFDDLTKLSPTESAYLEKPFGDDEVRSVVFNCNGNKSPGPDGFNFDFLKSCWDFVGTDVSNCIKEFHSTTILPKALISSFIA >CAK8561410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203473906:203474439:-1 gene:gene-LATHSAT_LOCUS15008 transcript:rna-LATHSAT_LOCUS15008 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAYKRGPGRPKTLRRKELDENPNKGRTQTSYCCTTCTVHGHNARSCKSQVVDYEAQKRKRKPKKVTTGQPFTNTSQATQEQTQASQEQTQASHPTEAAINEHSEANTSQTDVDIEFEMLAANLAATFEATQTQLNLAASSQSVHAISS >CAK8540140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541513442:541518828:1 gene:gene-LATHSAT_LOCUS9173 transcript:rna-LATHSAT_LOCUS9173 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEGRIPAGIEGRIPAAIEGRISEELTPRYYALCTIGGMLSAGTTHLVTTPLDVVKVNMQVHPIKYHSISSCFTTLLREQGPSVLWKGWTGKFFGYGAQGGCRFGLYEYFKGVYSNVLVDQHRSLVFFLSSASAEVFANLALCPFEAVKVRVQAQPSFAKGMLDGFPKVYASEGARGFYRGLVPLLGRNIPFSMVMFSTFEHSVDFLYRNVVKRKKEECSKAQQLGVTCLAGYTAGSVGSFVSNPADNIVASLYNRKADSLMLAIRKIGLANLFTRSLPIRMLLVGPSITMQWFFYDTIKVLGGLPTSGEVAADLGDGTG >CAK8574990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19917295:19930149:1 gene:gene-LATHSAT_LOCUS27283 transcript:rna-LATHSAT_LOCUS27283-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPPSGGFQILLTLTVFFSLQMLTHIEASSSSKVEYLPGFQGPLPFELETGYVGLGETDDDDDMQVFYYFIKSENNPKKDPLMLWLSGGPGCSSISGLVIEIGPIKFEIKDYGESLPSLILRPQSWTKLCNIIFVDLPFGTGFSYAKNMSALRSDWKIVHHAHQFLRKWLIDHPEFISNEFYMGGDSYSGIPVPAIVQEIINGNEKGLQPLINIQRYILGNPVTTGKEFNYQVPYAHGMGLISDELFASLKRNCKGDYVNVDSRNVLCLRDIQSFKKSISGINYYYILGPFCRDDNNIWRRSLTLDLKESLSPQSHHLRIPELNCKVTQTHLVTKWANDERVRKALHIREETIGKWIRCYSTDFEHDIHDSFEFHVNLSKTGIPSLIYSGDHDLVVPFTSTQAWIRDLNYSIVDDWRPWLVNGQVGGYTRIYSNKMTFATVKGSGHDAPQYTPEQCFVMFTRWMYNLPL >CAK8574989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19917292:19930149:1 gene:gene-LATHSAT_LOCUS27283 transcript:rna-LATHSAT_LOCUS27283 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIPPSGGFQILLTLTVFFSLQMLTHIEASSSSKVEYLPGFQGPLPFELETGYVGLGETDDDDDMQVFYYFIKSENNPKKDPLMLWLSGGPGCSSISGLVIEIGPIKFEIKDYGESLPSLILRPQSWTKLCNIIFVDLPFGTGFSYAKNMSALRSDWKIVHHAHQFLRKWLIDHPEFISNEFYMGGDSYSGIPVPAIVQEIINGNEKGLQPLINIQRYILGNPVTTGKEFNYQVPYAHGMGLISDELFASLKRNCKGDYVNVDSRNVLCLRDIQSFKKSISGINYYYILGPFCRDDNNIWRRSLTLDLKESLSPQSHHLRIPELNCKVTQTHLVTKWANDERVRKALHIREETIGKWIRCYSTDFEHDIHDSFEFHVNLSKTGIPSLIYSGDHDLVVPFTSTQAWIRDLNYSIVDDWRPWLVNGQVGGYTRIYSNKMTFATVKGSGHDAPQYTPEQCFVMFTRWMYNLPL >CAK8532697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:486412134:486412448:-1 gene:gene-LATHSAT_LOCUS2379 transcript:rna-LATHSAT_LOCUS2379 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQQRERRAENERKRRQNMNIEQRENYLSIRRDNYRWRKEQDKQAQTSRTMNSRRRVPFQNFTNMRSPISHFQGTHGNEAGPSRITHVNDVALGW >CAK8568710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:634215276:634215614:-1 gene:gene-LATHSAT_LOCUS21642 transcript:rna-LATHSAT_LOCUS21642 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSWFSVCKTKEHGGLGIKDISLFNRALLSKWLWRLIHEQDAIWKPLLVLKYGSFICRIVSNIEDSSCRLHSPWWKNITRISDSKDEDGFMMQLACKLGEGTQISFWNSG >CAK8569175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:679064941:679065931:-1 gene:gene-LATHSAT_LOCUS22059 transcript:rna-LATHSAT_LOCUS22059 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGTLYIFDLEFVLLQNSSVFLLLRFVMGSEFKETFKKKNPLNKFIDVVLIIFGRQFLTAPLFKGVNCFIICFIILFLHGHDDNEIVTLVMRSIRIRSLATLVRHLDFEGRER >CAK8542038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:458087954:458089432:1 gene:gene-LATHSAT_LOCUS10912 transcript:rna-LATHSAT_LOCUS10912 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQLHIVFVPGLTPGHMIPMIDTARLFAKHGVNVTIINTHANASTFQKFIDSDFNSGYSIKTQLIQFPSAQVGLPDGIENFKDATSLEIYGKLMQGNLMLQDQIEILLQDLQPDCIISDMTYPWTVESAAKLNIPRIYFYSSSLFSNCASYLLRKYRPQDDLVSDTQKFTIPCLPHTIEITPLQLPASTRVTSPNASAFGEMFESEKRSYGTLYNSFHELESDYEKLHKTTIGIKSWSVGPVSTWINKDDERKANRGDIGKEGELINWLNSKPNESVLYVSFGSLTRLSREQLVEIAYGLENSSHNFIWVIREKDKDEDGEGFLQDFKQRMKENQKGYLIWNWAPQLVILGHPATGGIVTHCGWNSILESLSAGLPMITWPMFAEQFYNEKLLVDVLKIGVSVGSKVNKFWVNIGEEVVVRREEIAKAVKILMGSDEESKEMRMRARKLADAAKRSIEEGGDSYNNLIELIDELKSLKIARELEKRGLDN >CAK8532952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:554647264:554647887:-1 gene:gene-LATHSAT_LOCUS2610 transcript:rna-LATHSAT_LOCUS2610 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKGVKSFLDFAYTTGRPQGREISCPCAHCANCKWERRHVVCDHLIAVGFVKGYDVWVNHEEDIPSPMKINKDTKEQENSLDDIGGLLYDTFRNVVEVEESSKAHNEDARKFYKLINEAKQELYPGCESFSTLSFIIRLYLLKCLHGWSNASFTSLLELLKEVIPEINIPESFNKTKAMISNLGLDYKKFMHV >CAK8573193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:592858074:592858496:-1 gene:gene-LATHSAT_LOCUS25668 transcript:rna-LATHSAT_LOCUS25668 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYASKGELETLQITFLPFIGRRLTRLTTTLSSTQTNGQNLWEDTPSPNILPPSSRRAPGRPKRRRNKDVDEKRKDTTIVSRRGLPNKCLICGKSCHNKASCPTAPKKTAQSQSAPSQTQPSQTQPSQTHPSQPRPSNA >CAK8565436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:199158134:199159205:-1 gene:gene-LATHSAT_LOCUS18653 transcript:rna-LATHSAT_LOCUS18653 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERMMGDFKRSVKNKARVEGSICMSYLHRETTYFCSHYFKTATLSATSKRNEALGLNDDVVPTLSISNPLGRPSGKSQIHWLTDAEWRSAHVHILINCNEVKPYTNIFLQNKSINEEDPSASTLIHGEFPEWFREYATNEGNGVTDKKLISLAWGPESNAMSWHKYFINGYKFHTQAWSQGKKTINSGVYVKGITEGGEDDFYGVIKHIFELEYHELSHKVALFYCQWFDPKRGRGTKVHPHYDIVDIKMNKKYDRYDPFIIAQKAKQVYYVPYP >CAK8560550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24523569:24524976:-1 gene:gene-LATHSAT_LOCUS14213 transcript:rna-LATHSAT_LOCUS14213 gene_biotype:protein_coding transcript_biotype:protein_coding MASACASSAIAAVAISTPSSQKNGSPLGTSRAFLGRKLKVNNSTASSSRVRSTTIVCTVAEPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKLGILNTPSWYTAGEQEYFTDTTTLFIVELLFIGWAEGRRWADILNPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSASPQKLKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >CAK8574897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14198623:14200600:-1 gene:gene-LATHSAT_LOCUS27196 transcript:rna-LATHSAT_LOCUS27196 gene_biotype:protein_coding transcript_biotype:protein_coding MRQILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYTGDSDLQLERIDVYYNEASGGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADEVMVLDNEALYDICFRILKLSNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRTLSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDDEYEEEEVEGEDYDQHDI >CAK8535067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:810729031:810730481:1 gene:gene-LATHSAT_LOCUS4550 transcript:rna-LATHSAT_LOCUS4550 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPTASSEIETDIDFKVHVFSSSSELLEKLHQTWSSVEKQPYPAMYSSVYGGIMLDPAMMVIPIDDHMVHRGHGVFDTAIILQGYLYELDVHLDRFLRSASKAKISSPFSRSTLRSILIQLTAVSKCKKGTLRYWLSAGPGDFLLSSSGCPKPAFYAVVIDHDFSQCKEGVKVITSNVPMKAPSFATMKNVNYLPNVLSVLEAEEKGAFSSIWIDEAGYIAEGPNVNVAFVTREKELVMPCFDNILSGCTAKRLLELAPKLVDQGVLKSVTTKNLTVDEAKGAAEMMYVGSTLPVLPIIMWDDQPIGDGKVGELTMLLSDLVWDDMVAGPDSQRLLVPYV >CAK8530963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66411515:66414433:-1 gene:gene-LATHSAT_LOCUS787 transcript:rna-LATHSAT_LOCUS787 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQQPLPTHEAPMISHQTETGLNKKVVDSGVSGKRPDISLQVPPRPIGFGSGSTRGRVLDHSQSFSKVISSPKDFLRALSFKRKENVVDGESSSLLNSDPKTAPDSTRMASISEISWSRCTSLPVTHAPNLSPIVSTPVSARTYNEQRIKPHKDVKSKVSRSLTIPARNVVIVRSVSFVTRNEQEQQDTSDDQITPAPVEVTADEEIPEEEAVCRICLDTCDVGNTFKMECSCKGGLALVHEECLIKWFSTKGNKRCDVCLEEVQNLPVTLFRVSSSVQQRNRQLQDRQNINSETLSAWQDFVVLVLISTICYFFFLEQLLLPDLKTQAIILSAPFSFTLGLLASVFAIVLAIKEYIWTYAALEFALVAVIVHLFYTYLHLAAIYSILLSSILGFGVAMGINYMYIQYVTWRLQVSTNDIPV >CAK8544222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672905878:672907559:1 gene:gene-LATHSAT_LOCUS12921 transcript:rna-LATHSAT_LOCUS12921 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQKEADIQMMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKEQEEDEVPAPDYAIADFNAASIPNDGQWPAAIDQPWNDAAPQPIPAVPAVNWTAPEAVAGEWGEAVPPPQQIDAPVVESIQATGWE >CAK8536751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12864163:12868055:1 gene:gene-LATHSAT_LOCUS6084 transcript:rna-LATHSAT_LOCUS6084-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAFGSFRVFISNHVFYLFGLILRYFFRFLNLGDAKKDLNDSPSQGNFHDSKIDGFSEELASFLFWNDDFHQGETESSVFMDHVHDGKTEYSVLKEIHSDFHEGSVKTEVYRDNSVLKEVEDGTERIGSEIEDENFMDSESLNFVDHEDGKENGGEDEGFVSMESSSNDHEIEHDLHHDYMKLEEEKEEKKEEEIGGDSLVEIDYDVDENGRKIDEIETEYSVFMENVSDVIEDEKDIEGHVVKDDEFESDKKMEDIELDSSVFSVFGEEGFDVHGDNKMEEETEQKTEDSVFLESETITTSTSKYEHFSEKDMSGFVEEPMTFRFSFREFFTSPNISSISNNVHKEFPNIDSEKDFVTKKEEQKEDHIDSTDNPLPFEGEAFGGIDSSDEDYFIFNENSVTSDSESESSSSSGLIWSNGNINKIDDSFSYHFLGSENGIEKFESEILKLMIKEERKVGVDEIPSSFEDKVSNFEVFSENEYIEMDPNMKGLKSFIEHSFEVKDEKEGVKKSEEELNESESDEDEDDFEWEHEDIVEQLKLELKNSRQGGLATIIEEDEDEQEQEVKESPKVVQQLKPMKIEVKLLEHKDQMNEIQKVYNNYAEKMKKLDILNYQTMHALGLLQLKDPLKLISIPKSTISNAIISQNLWPRKSTKITSDPFLKLVHQLHRDLELVYVGQVCLSWEILCWQHMKAIELQQYDSQGPHSHRYNHVAGEFQLFQVLMQRFIENEPFQSGPRVQNYVKNRCVIRNLLHVPDIKDDSKGSEEDPIASGKLEDIIKESMRVFWEFVRADKDNGNVNVISKHIGNDLKDPSISNLLMDIRTQLQKKDKKLKDIIRTGNCLVKKFQKHHEDQLNHEQLVAQVGLKLISRVINMSQLRKEQVLWCSEKLNRIKFLSRKIVHVEPSFLLFPC >CAK8536750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12864103:12868055:1 gene:gene-LATHSAT_LOCUS6084 transcript:rna-LATHSAT_LOCUS6084 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLHWFHQQKFDFVQGNMSFAFGSFRVFISNHVFYLFGLILRYFFRFLNLGDAKKDLNDSPSQGNFHDSKIDGFSEELASFLFWNDDFHQGETESSVFMDHVHDGKTEYSVLKEIHSDFHEGSVKTEVYRDNSVLKEVEDGTERIGSEIEDENFMDSESLNFVDHEDGKENGGEDEGFVSMESSSNDHEIEHDLHHDYMKLEEEKEEKKEEEIGGDSLVEIDYDVDENGRKIDEIETEYSVFMENVSDVIEDEKDIEGHVVKDDEFESDKKMEDIELDSSVFSVFGEEGFDVHGDNKMEEETEQKTEDSVFLESETITTSTSKYEHFSEKDMSGFVEEPMTFRFSFREFFTSPNISSISNNVHKEFPNIDSEKDFVTKKEEQKEDHIDSTDNPLPFEGEAFGGIDSSDEDYFIFNENSVTSDSESESSSSSGLIWSNGNINKIDDSFSYHFLGSENGIEKFESEILKLMIKEERKVGVDEIPSSFEDKVSNFEVFSENEYIEMDPNMKGLKSFIEHSFEVKDEKEGVKKSEEELNESESDEDEDDFEWEHEDIVEQLKLELKNSRQGGLATIIEEDEDEQEQEVKESPKVVQQLKPMKIEVKLLEHKDQMNEIQKVYNNYAEKMKKLDILNYQTMHALGLLQLKDPLKLISIPKSTISNAIISQNLWPRKSTKITSDPFLKLVHQLHRDLELVYVGQVCLSWEILCWQHMKAIELQQYDSQGPHSHRYNHVAGEFQLFQVLMQRFIENEPFQSGPRVQNYVKNRCVIRNLLHVPDIKDDSKGSEEDPIASGKLEDIIKESMRVFWEFVRADKDNGNVNVISKHIGNDLKDPSISNLLMDIRTQLQKKDKKLKDIIRTGNCLVKKFQKHHEDQLNHEQLVAQVGLKLISRVINMSQLRKEQVLWCSEKLNRIKFLSRKIVHVEPSFLLFPC >CAK8565925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:354466622:354473406:1 gene:gene-LATHSAT_LOCUS19098 transcript:rna-LATHSAT_LOCUS19098 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDFEERGHSFARASNAEWVEEDEEDLQMYALLRLPTTKRMNLALMRKPSSEFNDSSLSKSKKNRMEQIDVRKLNRIYREHLVKDALATNEQDNYKLLSAIKERFKRVGLEVPSIEVKFRNLKIEANVKVGTRALPTLINYSRDCLEGCVTCLGISKPKRHSLTILNDINGVIKPGRMTLLLGPPGSGKTTLLLALAGKLDKELKTSGNITYNGEEQDQFCIQRASAYISQVDNHTGELTVRETFDFANRCQGSSDAELLKTLESLEKEKKVLPSPEIDAFMKATSVGGKRHNVMTDYILKVLGLDVCADTVVGSDMIRGISGGQKKRVTTGEMIVGPRKALFMDEISTGLDSSTTFQIVKCIRNFVHQMEATVLMALLQPAPETFELFDDLILLSDGYIVYQGPIENVVEFFESLSFKLPPRKGVADFLQEVTSKKDQAQYWADSSKPYKFISVPEIAEAFKNSKFGKSVEAIYTATYDKSKNPSTLPKSNFAVSSWNLFKACLSRELTLLNRNRFLYIFRTCQVALVGVITSTMFLQIKHYPKNEAIGILYNSALFYALVHMLFNGTTELTLLIIRLPVFYSQRGNLFYPAWAWTLSSWLLQIPYSIVEAVVWTCVVYYSIGFAPSVGRFGRYMLLLFMLHQMALGLFRLMGSLGRDIVIGNTAGSGVLMILFLLGGFIVPLGMIKPWWTWAYWLSPLNYAQRAVSVNEFTATIWMLPSATGEDTVGHNILRTFDLPIQDYWYWISMGILLFYTIIFNLLNTWALASLNPLKEPRAILESEDEDTEKSPDRDDNESKKSSKSTNGENKNKGMILPFQPLTMTFHNVNYYVDMPKAIRQQGVTETKLKLLANVSGVFAPGVLTALMGSSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKVQHTFARISGYVEQNDIHSPEMTVQESLWFSASLRLPKDVTKEKKLEFVEQVMRLVELESLRNAIVGMPGTSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGKIGNQSSVMISYFQSMNGISPISSGYNPATWMLEVTTPATEEKMGVDFADVYDKSDQFKSVESAIKQYEEPSPGSQPLKFDTLYSQNTWSQFVLCLWKQRILYWRNPPYNAIRMIFTILAALMLGSIFWQVGAKRETTQQVLVIMGALFSSLLFLGVKNAASIQPVVSTERTVFYRERAAGMYSPVAFAVAQGLVEIPYQIFQTILFGVVTYFMMGFDNNLRKFVLYLVFMFLTFTYFTFYGIMCVGLTPTLHLAAVTSSAFYSLWNLVAGFLIPRVYIPKYWLWFHYLCPVSWTLRGVITSQFGDVQDVIVGPGFKGTVKEYIAVSLGYDDTINGVSAVGMSAIVLVLFTIFFFGTFAISVKILNFQTR >CAK8579013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667291905:667296309:-1 gene:gene-LATHSAT_LOCUS30989 transcript:rna-LATHSAT_LOCUS30989 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEPSPFESSEMLATFLISTPLLPESWRLCSQANASAVNLRSFVVERVGAVVYVAFSGVQMAGGSDPSWRTLTPLDRIGGLQLFSSRRNKEAEEPVMVHAGMLNIFSSLFNSIQNQVLGILENKDTKSVVITGHSIGGATASLCTLWLLSYLQSISSSLSVMCITFGSPLLGNKSFSQAISREWWGGNFCHVVSKHDIMPRLLFAPIKPLTRQLNFLLQFWHLSMTSPEFGRRAVQVSDQNKSELLTAVLRCLETATQNEEASESILFYPFGNYFFVSDEGALCVDSPVTIIKMMHLLLSTSSPSCSIEDHLKYGEYVNRLSLQMLNQNNSMMMNIPNSSYEAGLELAIQSFGIANQESAVIAAKECLRSARRMGPSPVLNAASLALSLAKVVPYRAEIEWYIRWCDEQGDEMGYYDTFKTRDSSKREMKVNMNRHKLARFWNNVIDMLERNELPHDFDQRAKWVNASQFYKLLVEPLDIAEYYGKGEHKKKGHYIEHGRERRYEIFDRWWKNREVTSGEENKERSKFASSTQDSCFWAKVEEARDWLNGMRSERDNNKLAILWGKIENFEKYAIGLIENKEVSSDVLAKNSSYSLWAGELKELKQLKANVPRFPQQFTRFMDGEVVP >CAK8575425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:116659331:116671473:-1 gene:gene-LATHSAT_LOCUS27694 transcript:rna-LATHSAT_LOCUS27694 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKGVNRSASVALAPDAPYLAAGTMAGAVDLSFSSSANLEIFKLDFQSDDPELPLVAEYPSSDRFNRLSWGRNGSSSEEFSLGLVAGGLVDGNIDIWNPLAMIRAEANESSLVGHLVTHKGPVRGLEFNTITPNLLASGAEDGEICIWDLTNPSERTHYPTLKGSGSASQGEVSFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSVRRRCSALQWNPEVITQLVVASDEDGSPSLRLWDMRNVMTPIREFVGHTRGVIAMSWCPNDSSYLLTCGKDSRTICWDTTTGEIAYELPAGTNWNFDVHWYSKIPGVISASSFDGKIGIYNIKGCRQDSSGESDSGAVPLRAPKWYKRPTGVSFGFGGKLVSFHPGTSAGASEVYVHNMVTEDGLVSRSSEFEAAIQNGERPLLRVLCDKKSQESKSVEERETWGFLKVMFEDDGTARTKLLTHLGFDVPSEAKDTANDDLSQEVNALGLEDTAVNSSGHVATNETSIFSSDNGEDFFNNLPSPKADTPPSTAVSNFVVADNANGSEKIEDDDVEVEESSDPSFDDLVQRALVVGDYKGAVSQCISADKWADALVIAHVGSTSLWESTRDQYLKKNRSPYLKVVSAMVSNDLLSLVNTRPLKFWKETLALLCSFAQRDEWTMLCDTLASKLMGAGNTLAATLCYICAGNIDKTVEIWSRSLSDEHEGKSYVDLLQDLMEKTIVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSEELSTELVILKDRIALSTEPEKDLKTTAFENSQSHSGSFYAADNSNYNINYYQDSVSPQVPHGVSGVQYPASYSQPFDPRYGSGYGAPAPHQQPQQPNLFVPSQATQVPQPPQLNFSNTAVAPAPVRTFDPQTPPVLKNVELYQQPTLGSQLYNTNNNPPFQPTNPPYQPTPPAPSQMNLGHGHNVPPQVLAPTSNPMGFMPIPSPAGVQRPGVGSIQPPSPPQSQSVQPAAAPAAPPPTVQTADTSKVPAHQMPIVTTLTRLFNETSEALGGSRANPAKRREIEDNSKRLGGLFAKLNSGDISKNASDKLLQLCQALDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRQNVRLS >CAK8539505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514402240:514402827:-1 gene:gene-LATHSAT_LOCUS8591 transcript:rna-LATHSAT_LOCUS8591 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVHVPLENEIQLTASISSSIEDNNKTKAKGDGKHPLYRGVRMRHWGKWVSEIREPKKKSRIWLGTFPTPEMAARAHDVAAQAIKGSSAYLNFPELADQLPRPATNSPKDIQAAAAKAASMSYLHEAQAQAQDIKGFLTEEDDMFYDLPDLLLDSNKNSNELHYSSMSEPWLAAGAEHASSGFRLDEPFPWESY >CAK8540319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551820067:551824029:1 gene:gene-LATHSAT_LOCUS9333 transcript:rna-LATHSAT_LOCUS9333 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVMSTMGGGNVVTNSESLFSSPIHNPNFNFMPTMPFQPFSSSMKEEDGMLRGKEEIMDQSGSGSEQVEDKSGNEQEINNEEQQQAAKKKRYHRHTVRQIQEMEALFKECPHPDDKQRMKLSHDLGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENEGLKNENYRLQAALRNIICPNCGGPCIMGPDLGLDDHQLRIENARLREELERVCCITSRYTGRSLQTMAPPSSLMQPSLDLDMNIYPTRHFVDQISPCTEMIPVPMLQSESSHFQEGGLLLMEDEKSLAMDLAVSSMTELVKMCQMNEPLWIRNGENEREVLNFDEHARIFQCPLNLKQRSELRTEATRDSAVVIMNSVTLVDAFLDAQKWMDLFPTIVARAKTVQIIASGASGHASGTLQLMHAEFQVLSPLVSTRETHFLRYCQQNAEEGTWAIVDFPVDSFHQNFHHSYPKYCRRSSGCVIQDMPNGYSRVTWVEHAKVIEKPVHQIFSNYVYTGMAFGAQRWLGVLQRQCERVASQMARNISDLGVIPSPEARKNLMKLANRMIKTFSLNMTTCGGQSWTAISDSPEDTVRITTRKITEPGQPNGVILAAVSTTWLPYSHTKVFDLLRDERHRSQMDALSNGNSLNEVAHIANGSHPGNCISLLRINVASNSSQNVELMLQESCTDQSGSLVVYTTIDVDSIQLAMSGEDPSCIALLPQGFVIVPMVSSNTDTSSLGGNTSDQGTASSTTTTNAAAAANSGCLLIMGMQVLASTIPSAKLNLSSVTAINNHLCNTLHQIESALCSTTNINCFVGSCNEPTTSAPPK >CAK8564057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648488726:648489752:-1 gene:gene-LATHSAT_LOCUS17400 transcript:rna-LATHSAT_LOCUS17400 gene_biotype:protein_coding transcript_biotype:protein_coding MACRILIDLLFLAFFAATSATNNFNQYFDITWGNGRGKILNNGQLLTLSLDKASGSGFRSKNEYLFGKIDMQLKLVPGNSAGTVTTYYLSSLGDSHDEIDFEFLGNLSGDPYIVHTNIYAQGKGNKEQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPLREFKNFESKGIPFPKSQAMSIYSSLWDADNWATRGGLVKTDWAQAPFTASYGDFNIQACVWTSSSGSSCSTKTPSSSNQSWMKQSLDSTGHARIQWVQKNYMIYNYCTDTKRFPQGLPPECSLA >CAK8570356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39014650:39015229:1 gene:gene-LATHSAT_LOCUS23109 transcript:rna-LATHSAT_LOCUS23109 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGKVESETEIEASAAKFFHIFRKQLEHVPNISRDVHGARVHEGDWENVGSVKHWEYTIEGKKQSAKEKIETIDDENKVITYSVFDGEVGESYKSLKVTFQVIDKELGGGIVKWTFEYEKLKEDITGASPESYLAFAEKVTKDIDAHLVKE >CAK8568204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582098297:582099613:1 gene:gene-LATHSAT_LOCUS21188 transcript:rna-LATHSAT_LOCUS21188 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLATALIFCYVFYICKSHSIDPCASQQDDSDLKVFPMYGKCSPKTNSWDDRVTNMASKDLDRINYLSTLAPKKPASSASAPIAPGQTFNINNYVVRVKIGTPGQLLFMVLDTSTDEAFVPSSGCTGCSATKFSPKSSTTYVPLKCSAPQCGQARGRSCSPTGSNVCSFNQSYADSSFSATLAQDSLTLDGAANAIFPKFSFGSINAISGASIPAQGLLGLGRGPLSLLSQSVSTYSGVFSYCLPSFKSHYFSGSLKLGPVDQPKTIRTTPLLRNPIRPSLYYVNLTGISVGSVNVPIPNNLLAFDPKTGAGSIIDSGTVITRFVEPVYNATRDEFRKQVKGPFSSLGAYDTCFKDTNETTAPAITLHFKDLDLKLPLENGLIHSTSEPLVCLAMAAAPRNVNSVLNVIANYQQQNLRILFDTVNNKVGIARELCN >CAK8577026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:532350277:532352229:-1 gene:gene-LATHSAT_LOCUS29170 transcript:rna-LATHSAT_LOCUS29170 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFEEVEEPVSPHGQYFNSSVMCSYIFGFLELAISFDDSLAIPLIKDVFIPINPRFSSIMVRDKDGKMRWKKVEVNPGEHIKIPSFPQTTNSSPNELYDSYLSDYVIGIFDERTPQEKPLWEIHVINYPTTNAASTIIFKLHHALGDGYSIMAALLSCLQRADDPSLPLTFPSSRSRLDSKSANKSLFKNQYLDISSIFSSISDFGSSIIKTRMVPDDITPIRSGYEGIDSQPVILSNISLSLEEIKEIKSKLGVTINDVICGMIFYGLRLYMEEMNEKTKRTNCTAIVMLNTRNNGGYQSLKEMQKPENKGLWGNKLSFLQIPIPKPKESEISNPLEFVWEAREVIKRKKISFSVYLTGLLMNLEMKLRGPEAVSKIIYDTIGNTSVVISNMVGPMEKMTLANHPVNGLYFTMTGGPQDINITIMSYVKVLRVTMKTLKGFIDEEKLKFCMEKAFEIIFKASMKNSEISPKD >CAK8578861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659203684:659204363:1 gene:gene-LATHSAT_LOCUS30843 transcript:rna-LATHSAT_LOCUS30843 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTRVTHCNLRPSKPSLRPLPEKPGPVRISIPKPKPTESEGANTNILLQPRLCTLRSYGSDPIAAVIKTRKQGTQVTDDVSSFFATLSEYIESSKKSQDFEIISGRLAMMVFAATVTVELVTGNSVFRKMDIAGITEAGGVCLAAVTFAALFAWFSSARSKVDRIFTVSYNAFIDSLIDQIIDGLFYDEM >CAK8530280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11568710:11569261:-1 gene:gene-LATHSAT_LOCUS158 transcript:rna-LATHSAT_LOCUS158 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSLYSSSNLINISKPYRHHHNLPLLNNHQRQPLIVQFSVSCRATNNVPIDHKLLDDDDGNLYKILCLSHITATMDEIKRAYRTLALQYHPDVCHDGLNKEESARMFIKLNEAYKILSNPNLKQEYDSKLLGLGDLRRSRWMEQVVELNRRSDTRMGDASSSWGCRMRAKNNINKHNHKI >CAK8575551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:162571566:162571966:1 gene:gene-LATHSAT_LOCUS27807 transcript:rna-LATHSAT_LOCUS27807 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKEQIYGNEVLVKTTSNVVRDMTSLKSLMPYCPVDQEILNLVIARQNWCLSTLGNTKSIWYMPTEFAVINTTNC >CAK8560523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23251789:23255338:-1 gene:gene-LATHSAT_LOCUS14187 transcript:rna-LATHSAT_LOCUS14187 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRRHFLPLLLLITLAAFIIFYTYHTTSLPSSLTDPNPNFTLRTPIHKNPNPSSSNFTFLIKLLAYNRLESVSRCLRSLADANYLGDRVHLHVYIDHFAVLNDDASVDLKLSESRKILEFVDGFEWRFGEKVVHYRTGNVGLQAQWLEAWWPGSDDEFAFVVEDDLEVSPLYYEFVKTLIVNFYYNASNYSPSVFGATLQRARFVPGKHGNKLKLDDRTRLFLYQLVGTWGQILFPKPWKEFRLWYDKNKAKGNKPFLEGMVTTGWYKKMGERIWTPWFIKFIQSHSYFNIYANFLHERALSVSHRDAGVNYGKTAGPDSQLLKERSLDFNILEMQPLSSLKWFDFCFREVRPGRFMSNFEELEALLHSLPKQDSIFLVNLLGVSDAVVRNLLCHFERLNIRNYILMGPPSDSLFDLARRGHPVINVDQFVRSTGINKLTSQGSSFETIKGIVAKAHVVKKLIENKYNTWILDRSMLLTSDILLESRDPKDDFCIANNLELFYAKSSSSSEKIWTNNFVAKVVAMADSLGKKDSSTQGSLRFVYIVSKLLEHNGASIRRVDETSFGMKIESGGVSKSSLGDKKLVYWSTEMEPDSIQKRLEELNLWSIDSDLSCTAVVCHKS >CAK8535777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880772827:880773717:-1 gene:gene-LATHSAT_LOCUS5201 transcript:rna-LATHSAT_LOCUS5201 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKLQKTLQDYLNKLKNKNPHPQIKLTKMLSGCKHPKTPSFSLENGRNLSSNAVNNTTNKIDDAATLADVDRFLFENFKSLYFKDDDETENSVKRISDGKNHHEPPKSTSFMLESPRFDASPPPDLSRSARFFVKPGNSRSLMEDALSLTNSDEGDSSNSNSSSTESPSKEVVIVHEDHNQTLPEKCIALLSYSPSPYDEFRRSMQELVESKYGKTEITEMKIDWDFMEEMLFCYLNLNEKKSHKFILSAFVDLITVMRQNSEAAPAKPCSVRTVRIGREVRKKKTKQVTIEFGS >CAK8536895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:34902003:34903850:-1 gene:gene-LATHSAT_LOCUS6221 transcript:rna-LATHSAT_LOCUS6221 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEQQQHRRKRKRGVVKHFHISSRSLFLCFSFFIFLIFLSNYHGFFTHKPPLSSSTLSLLYSSASFSILDDPLQPTTAKTTTLSLSLQHQILFPNHYLIILNNNEKIQTHQVECVYYVLNGSSPGSAKPVLDVQVQVHVEPVQSIDYYDESRSIARCPFHETNSSASGGFKVVDLRRFGEVGRRSLSVLEKQTPQSWDRVAYEAMLDGDTVVVFVKGLNLRPHKISDPTNFRCHFGLRSFHKDGVGASFLLSTRAVSVAQEIVRCDLPQSIINKPDKARGVRVTVSNLSGNLRRRQVRTLLPSVARIGSGSVRKSGEKHELCVCTMVWNQASALREWIMYHSWLGVERWFIYDNNSDDDIENVVNDLDSKGFNVSRKVWPWIKTQEAGFSHCALRAREDCKWVGFFDVDEFFYFPNEFRRPNELGESSTSTSGVPGEKSLRSMVANFSSSTSIAEIRTACHSFGPSGLTSHPKQGVTIGYTCRLQSPERHKSIVRPDLLDASLLNVVHHFQLKEGYESYNMPEHSVIVNHYKYQVWESFKAKFFRRVATYVVDWQQDQNKGSKDRAPGLGTEAIEPDNWRLRFCEVKDTGLKDYVLSVFADPVTGSMPWEKHLV >CAK8531340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:102213166:102213810:-1 gene:gene-LATHSAT_LOCUS1140 transcript:rna-LATHSAT_LOCUS1140 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGLLLQETRGESRTILGLLREQMDGVDGVPRRRRSFKDRFGFIGIGCCGATRGFRSSSSSSLQLPQQQLSDTDPVQDPSVCVGPNPTASGNMNLAAALAAERQLRRPPVETSVDGGGGGRTPGTPWRVSLMRLLEETENGDTLTAKAVREVKESGVAGNDSVCCVCMGRNKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >CAK8579722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719075379:719075615:1 gene:gene-LATHSAT_LOCUS31646 transcript:rna-LATHSAT_LOCUS31646 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSGHEILPYIGPKPPVGIHRFILVLFEQKGPIGLVEEPPSRVSFNTRYFASQLNLGLPVATVYFNSQREPQSKRR >CAK8531314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100388535:100392230:-1 gene:gene-LATHSAT_LOCUS1116 transcript:rna-LATHSAT_LOCUS1116 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGEAFLSAFIEVVLDKLASPQVANFIIGKKVDVSLVQRLNNTLFAVEAVLNDAEHKQINDSAVNKWLDDLKDALYVADDLLDHISTKAALSNNNKKVSTVNYFSRLFNFEERDMVCKLEDIVARLEYILKYKDILGLQHIATNHSSWRTPSTSLDYPSNIFGRDQDKQAILKLLLDDDDYDNISVIPIVGMGGVGKTTLAQSVFNHDSIKQKFDVRAWVCVSDDFDELKVTKTILEAVAGSSCNINNKELLHLDLKERLSQKMFLIVLDDVWTEDYDSWNSLIRPLQYGNKGSKILVTTRIDKVASMVQTFHAYPLRQLSDEDCWSVFANHACLPQQDSNEYMDLQKTGKEIVKKCKGLPLAAQSLGGLLRRKHDIRDWNNILNSNIWENESKIIPALKISYHYLPTYLKRCFVYCSLYPKDYQFNEDDLILLWMAEDLLPSLKNGKTLQEVGYEYFNDLASRSFFQRSGSGNQYQCFVMHDLVHDLATLLGGEFYFRTEELEKETKISTKTRHLSFIEFSDPVLENYDVFSRAKNLRTFMTNGFRLPRVNHEKALCMILENLKCLRVLSFQLLLHVHTLPDSVDELIHLRYLDLSGTDIQTLPESLCNLYNLQTLKLYNCVQLTMLPNDMQNLVNLCHLDILGTKLEEMPKEMSKLTHLQHLSCFVVGKHEKNGIKELGTLSNLHGSLVISKLENVTNSLEASKTHIMDKKYLDELSFKWSKDAKNHFTYSQSEMDILGKLQPAKNLKRLYICGYRGTRFPEWIGDPSYHNLTELSLYRCHNCCILPPLGQLRSLKDLKIRAMSMLETIGSEYGDSFSGILFPSLERLEFCEMPCWEVWHHSHESDVSFPVLKSLVINDCPRLHGGFPSHLPAIRKLDIIESNKVALHELPISLEELIIQGREVTESVFEAITTSLTSLQILDISDCSSAISFPGDCLPLSLKTLSIRNSSNLKFPKQNHQHESLQSLRIDGSCDSLTTLPLDILPNLIYLRIYNCRNIECLSASKILPNLIDIDIRDCPKFVSFPREGLSAPSLTSLSVTRCLNLKSLPCHINTLLPKLKEMYISDCPEMETFPEGGMPPSLKSLDVEDCEKLLRNPSLSFFDMLSSLSIGGLYDAVESFPNNGFALLPPSLTYLHLSRMYCVHTLDCRGLLHLTSLQRLTIGFCPKLENMTGERLPASLIELDIYSCPLLGERCLKKHSQIWHKISHIQYINVDSKWIYEAQT >CAK8541997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:449295772:449296374:1 gene:gene-LATHSAT_LOCUS10874 transcript:rna-LATHSAT_LOCUS10874 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVGYQPTLGTEMGTLQEIITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDMISILGLDEVSEEDHLTVARARKMERFLSQPFSVGEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNINEATAKATNLT >CAK8543090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:576165693:576168199:1 gene:gene-LATHSAT_LOCUS11874 transcript:rna-LATHSAT_LOCUS11874 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTDWPWKPLGDFKFVILTPWIAHTIYTFIWTQPDPVYHLVFPYIFIRILHNQIWISISRYQTSKGKCKIVDKGIEFEQVDRETNWDDQILLTALMTYIGYMIFPMASNLPWWRTDGVVLTALLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTQPITSVTHPFAEMLAYFTLFAIPMLTPLFIYKSSVAALYGYIFYIDFMNNLGHCNFEFFPEKLLSFFPLFKYLSYTPSFHSLHHTKFRTNYSLFMPIYDYIYGTVDKTTDATYEKCLKRPKESPDVVHLTHLTSFDSIYQLPLGFSSLASNPHRSKWYLHLMWPFTMFSMLMTWICGRAVVIESNTFNNLKLQSWLIPRFKTQYFSKKHRRTLDNLIENAIMEAKLNGAKVISLGLFNEKHFNAHCELYIGRSPELKIKVVDGSSLVAAIVLNNIPKGTNQVLLRGKFDKVAITIVNALCIKNIKVGVLYKYELEELEQKVPMSKENLALSPINTSKIWLVGDEWNEDEQMEAPEGSLFIPFSHFPPKKMRERCFYHYTPSMITPNTFMNSYSCENWLPRRVMSAWRIAGIIHALEGWNVDECGDIILDTKKVWEATIRHGFQPLKICAQKPCVTN >CAK8566443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423828569:423842887:-1 gene:gene-LATHSAT_LOCUS19574 transcript:rna-LATHSAT_LOCUS19574 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFTLLRTKPRLSPYLFTVLAFILFAAVILNTHDFLFIFRPRLQQLHPNSDTQAVLGELTRSNTVQTVEKTEESACDLFSGRWVLDELTRPLYEESECPYIQPQLTCQEHGRPDEEYRRLRWQPDGCDVPKFNGSLMLETLRGKRMMFVGDSLNRGQYVSLICLLHQLIPQHAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRVTDRIVRKGSINKHGRFWKGADILVFNTYLWWVTGSNMKILLGSFKDEVQEIVEMTTEEAYRMAMKSMLRWMRRNMDPNKTRVFFTSMSPSHGKSIEWGGEPGGNCYNETTPIEDPSYWGSDSLKSIMQVIGEEFRKSKVPITFLNITQLSSYRKDAHTSIYKKQWNPLSQEQLANPSSYADCSHWCLPGLQDTWNELLFAKLFDH >CAK8538152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:466125784:466126078:1 gene:gene-LATHSAT_LOCUS7383 transcript:rna-LATHSAT_LOCUS7383 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPAGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVSLQ >CAK8569287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691466516:691467289:-1 gene:gene-LATHSAT_LOCUS22160 transcript:rna-LATHSAT_LOCUS22160 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENQNKYAETTSATNSETEIKDRGVFDFLGGKKKDEEHKPPQDEAIAHEFGHKVTLYEAPSETKVEEEGEKKHTSLLEKLHRSDSSSSSSSEEEGEDGEKRKKKKEKKKKEDTSVPVEKVEVVDGTTGTEEKKGFLDKIKEKLPGGHKKTEDVTTPPPVVVAPVPTETTATTTTGHEGEKKGILEKIKEKLPGYHAKTATDVDDKDHHKDETTSH >CAK8567441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514072904:514073261:1 gene:gene-LATHSAT_LOCUS20495 transcript:rna-LATHSAT_LOCUS20495 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSASGSWSSKENKAFEKALAVFDKDTPDRWSNVAKAVGGGKTAEDVKRHYELLVRDIRHIESGHVPFPNYNNNATFDAEKRFRNMKLQ >CAK8565160.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52575876:52576370:1 gene:gene-LATHSAT_LOCUS18395 transcript:rna-LATHSAT_LOCUS18395 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFLQDVFKCFQSRDFALRLWSSHNKVNERLRKEEASIGTGDPKFPKTVWPPKQLCSSCYLDYDQTSNKIEWNQDDVYRTLRNYYGKTLVSLYKENDIAGTEGAEGATLEDLTVGTNAVVVPVGSALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >CAK8576873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521513952:521516609:-1 gene:gene-LATHSAT_LOCUS29035 transcript:rna-LATHSAT_LOCUS29035 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEDVSERCGSYSPSADISESESSSSFYGRRFDAEGASSSANLSPRQLAAHFNLPTAAQVMLPVIGGKDVVVWDHKRDLDLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEWLLCVSDSIVELVPSVQQFPGGGTYEVMATRPRSDLYINLPALKKLDGMLLSMLDGFCDTQFWYVERGIVLADSKDCDEYGRPSVRQEEKWWLPSPKLPPNGLCEDDRKRLQQCRDCTNQILKAAMAINSSVLAEMEIPAAYVESLPRNGKACLGDIVYRYITAGQFSPECLLDCLDLSSEHHTQDIANRIEAAIHVWRLKDVQRLKNSVKARRSWSGKVKSLVADGEKNHFLVQRAETLLQSLKHRFPGLPQTALDMAKIQYNKDVGQSILESYSRVTESLAFNIMARIDDVLYVDDTIKRCAAADSILFGRGGFGGMPIQKRMTPSPFSIQHTPFASPFATPTFCSSSPASGSPCSPYRTHTVKRNAKGKDSKTEKLASTDYEKVWSYTGNLSVRRVSGEAPERD >CAK8540787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21193957:21194394:-1 gene:gene-LATHSAT_LOCUS9757 transcript:rna-LATHSAT_LOCUS9757 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNIISLILCFALAFQAYGQPCSLSDIRVSESKTSATRYNVSVTNQCSCSQSQIKFNCDGFKSSQPVDPAIFSEDCLLIQGAPLRFSSVATFTYVSDSRFLFIPISSQISCP >CAK8571366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:391659747:391661540:-1 gene:gene-LATHSAT_LOCUS24033 transcript:rna-LATHSAT_LOCUS24033 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGIYHLFYQYNPKGAVWGNIVWGHSVSKDLVNWKELQPALYPSKPFDKYGCWSGSATILPGKGPVILYTGVVDKRSNEVQCIAIPANSSDPLLTKWVKPDLLNPIVTADHGMNGSVFRDPTTAWLGKDGQWRILVGGKREDTGVAYLYRSRNFLKWIRAKHPIHSAKRTGMWECPDFYPVSLEGKNGLDASMVGDNVKHVLKNSLDITRYEYYTIGTYIQNQDKYIPDKISEDGWGGLRYDYGNFYASKSFFDPSKNRRIIWGWANESDTKEDDVKKGWAGIQAIPRTVWLDSSGRQLRQWPVEELNRLRGKEVEMNNQKLKKGSYVEVKGITAAQADVEVTFSFSSLDKAEAFDPKWENAEDLCAQKGSKVRGGVGPFGLLTLASKKLEEYTPVFFRIFKASNKHKILMCSDAKSSSLNRELYKPSVNVDLGNTKKLSLRSLIDHSVVESFGVGGKTNILSRVYPTLAVKEKAHLFVFNNGTEQITVENLKAWSMKTARRN >CAK8569340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695360006:695361818:-1 gene:gene-LATHSAT_LOCUS22201 transcript:rna-LATHSAT_LOCUS22201 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVKLLFATCLILSVTIDGTKADTMLTGTVICDQCKDGQRSLFDYPVNGAKVTLSCSDSSGQITMSREETTNWFGSYTMRFDGAPDLGGCSVHVSGSNDGQGGSMSCSEASGPAQNPRLMFRMFDMEMYVVDALLAQPPQPMQYCSTSSKPAPTPSLPPPTLTPPVSSPPHFNLPPMPPLPPLLPMPQLPPLPPLGPLPPMIFVEASACPPQMWMMPEYECYWRGVNRDTKVAVAFGMVAARRYGTDITLWYGLEGRGDPYRTLLREGITALLNSYNSIHFSYHPLGVIQHMNYALMGSTRDVLVTALHFMRANSGEAGNVTCKFTSCS >CAK8573843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641736255:641737690:-1 gene:gene-LATHSAT_LOCUS26244 transcript:rna-LATHSAT_LOCUS26244 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEQSCEQWSSLSGFYTAEEADFMGQLLDNCQVPEHSYGNFNLEVPSTLWPGHGSTIMSMNNSISDINGGYNCLNDDQVANIGYISMLNGDFGPYSAQITENNTDEEFGQEVIGDKNFHAHVECEEVLVSESVEDGVNINMEKSGKRSRSSMKVQKNKKNIKSRKKTKSAFISNTEEDESPDLQEPILSSEEDDFNASQKLNEEGSSILNRDDSASVKLKGKSRCDRGSSTDPQGVYAKKRRERINERLKILQSLVPNGTKVDISTMLEEAVLYVKFLQVQIKLLSSDDHWMYAPIAYNGMNIGLNLNIAPTKLP >CAK8536318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931124065:931124400:1 gene:gene-LATHSAT_LOCUS5698 transcript:rna-LATHSAT_LOCUS5698 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSVLNSIVYELEIIGVKIDDEDKDLRLIWSLPYSYQHIKSVLIYGNETLSFEEVSSKIISEERRLKGEENTSSNSVLVARGRSYVKKNNETHLKCWKCGKL >CAK8575937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:367092338:367096809:-1 gene:gene-LATHSAT_LOCUS28162 transcript:rna-LATHSAT_LOCUS28162 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHQVTEIDKNYALCIKECEKGCSSCVCCLSPSTCSSSSSTSPLASSSYLDLWHACAGPLISLPKKGNLVVYFPQGHLEQFASFSPFKQLEIPTYDLQPQIFCRLVNIQLLANKENDEVYTQVTLLPQAELAGMHMEGREVEELEGDEEGDGGSPTKSTPHMFCKTLTVSDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVNQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPESVIGNKNCYPNFLSSVANAISTKSMFHVFYSPRASHAEFVVPYQKYVKSIKNPVTIGTRFKMRFEMDESPERRCSSGMLIGMNDLDPYRWPKSKWRCLMVRWDEDMDTNHQDRISPWEIDPSTPLPPLSIQSSPRLKKPRTSLQVASPSHLTTARSSGMMGFEESVRSPKVLQGQENSGFMSRYYGCDKGTNQPGFDLSSSSHRQNLASTGIGKVVTSSELMSVHPFGYAGFMESNNFPRVLQGQEICKLKSLTGKVDFNVGAWGKSIASCTNFNLHQGTKHNFQSAYFPYGDIHNADQANIFSSKQNVGFNAPPIIAGNIRNEVGRSESNLPIEHKLQDNISVSASIGTADMKVPNDNNVNGKVNSCKLFGFPLSAETSSQNLQSAAKRSCTKVHKQGSLVGRAIDLSRLSGYIDLLSELEKLFGMDGLLRDSDKGWRILYTDSENDIMVVGDDPWHEFCDVVSKIHIYTKEEVEKMTFGMNNDDTHSCLEQAPVLIEPSKSSSVGQPDYSPTVVRV >CAK8572198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519597237:519597545:1 gene:gene-LATHSAT_LOCUS24789 transcript:rna-LATHSAT_LOCUS24789 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAESAGRLLYLKLGYSHEVELSAPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRNCKPPEVYKGKGIMYTDEVIKKKQGKKSK >CAK8544788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705834856:705835689:-1 gene:gene-LATHSAT_LOCUS13441 transcript:rna-LATHSAT_LOCUS13441 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEVVLQGGGGLVSENEFSDQEEVSQEISDQKPPFEFKKRKRLTRQRSMCGTPGDMAWERRRRQNQRRRNSIHDCNDEDLHELRGCIELGFGFNEEDGQKLCNTLPALDLYFAVNRNLSPSPVSSPTPIPTPRRFPQSLTSSTIASPTGSMIDSDSWKICNPGDDPALIKTKLRHWAQAVACSVMQSH >CAK8577845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593825518:593829444:1 gene:gene-LATHSAT_LOCUS29914 transcript:rna-LATHSAT_LOCUS29914 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASNILYLVLTQYHRYNNLQLNCLIIFLFIFSFCSCSTDTISIHKPLRDDDQLLLSKSKTFALGFFNPGKSTSRYVGIWYYNLPNKTVVWVANRDTPINDTSGILSIDPSGNLVLHHNLSTVPIWSTNVLLPQSLTNNTSIVIAQLSDLANLVLMLDNSTIWESFDHPTDTWLPYQRLGFDRKNNQSLFLQSWKTDDDPGKGAFIVNFSIIGKPQLFMYNRDISWWRGGHWNGELFVGQPYMKRDMPFYNISLDEDDSHVALTYNIYDTSVILRIVLQQSGFFQAFKWDSHESQWNQYWSEPTDHCDNYGTCGSNSNCDPLNLENFKCTCLPGFEPRYPRDWDESRDGSGGCVRKKGVSICGNGEGFAKIVSLKVPDTSVAVAKGGLNLEECEKECFRNCSCTAYAVADVTNGGSGCLAWYGDLMDIQKLSDQGQDLFLRVDKVELANYYKKSKGALDKKKMATILVASIVATVLLLSCVYMYCWRKKKRKGKMMRQLSQNSYGEENGAQINTHPNLPFFDFKTIMTATRNCGQENKLGQGGFGSVYKGCLVNGQEIAVKRLSKDSGQGKEEFKNEVTLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDHSQRSSLGWNKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMAPEYAMEGRYSTKSDVFSYGVLLLEIIAGQRNTHFETGRASPNLIGHVWTLWTEDRALDIVDPALNKSYPLAIVLKCLKIGLLCVQEKAMNRPSMLDVVFMLCNETPLGQPQKPAFLFNGSQELQELSMNELTETTVSAR >CAK8534297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714074287:714074796:1 gene:gene-LATHSAT_LOCUS3852 transcript:rna-LATHSAT_LOCUS3852 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKKQKKDKKKKDKNLERESAKGREQQKEIDTKISNNGEVAAMVKNEVELSKGGEGGTEKGKKQKKEKKKKEEKKNLDGENAEEQKQQNDIEKKMSNNVKVENGGLVVPQDIEIRSKKRHEAGSENKLHAEEIKTEQRKKKRKNEDVEDRSEEQSKKKMKRKHEGQA >CAK8567159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491646041:491647642:-1 gene:gene-LATHSAT_LOCUS20234 transcript:rna-LATHSAT_LOCUS20234 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQAFTWLCVLALTIIVCSNTANGAEDLSKTCSQVVSKVIPCLDFATGKVPKPKKECCDAAKSIKDTNPECLCYIIQQTHKGSPESKSMGIQEDKLLQLPAVCDVKANISDCPKLLGLSPSSPDAAIFKNVSKINPPSSAASSTGTPTTPTPSASHKLRPVMISDMMTVTVAFVLAAVPTGLFTIKL >CAK8563356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:595035436:595038670:1 gene:gene-LATHSAT_LOCUS16777 transcript:rna-LATHSAT_LOCUS16777 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVQLQPPPIQQNPSDSDPLLGHKDEIEADESPGSSTEIMNQEDVEAGLLPCCRICLETDSDPEDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETFEDNSWRKIKFRLFVARDVFLVFLAIQTVIAAIGGFAYIMDKDGNFRNSFDDGWDRILSKHPIPFYYCIGVVAFFVLIGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGVAYGFLAATMGIQRIWQRHYHILTKRELTKEYVVEDLQGCYVPPKLDPEHESRLKMLKLL >CAK8570694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89075071:89077008:-1 gene:gene-LATHSAT_LOCUS23428 transcript:rna-LATHSAT_LOCUS23428 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLTVMGKTSKWFRNLLKGKKDREKEKEDYRNGTENPTTPISATPKEKRRWSFRRSSASKEVHVAESSVASSVPLQTVMDSQSYQRKHVMEVAAADGVIFLNSCSNGGTRRSIEVASAIKIQSVFRSYLARKALCALRGIVKLQALVRGHLVRKQATETLRCMQALVLVQARACAQRARMLSEDKANQKHATYRKAAEDRLFMHMYNEMERGLEENIKTVEMDVCESKGNLRNRNNTTNHEHHGFSEHRLSAYYSPNGSYSKKESYKVSPTPSARTDSSPKACSGYFEDGSFSTAQNSPYYYSAVSRADDSKLPFSFTRQSYEESMFNEYPLFPNYMANTESSRAKVRSQSAPKQRPDSSERQPSRRRASVEGRNVPRPVRMLRSSSHVGATAQNYQYPWSIKLDRSSASLDDNECESTSTVLTNSNYCRSLVSYNPHGPSY >CAK8570695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:89075071:89076990:-1 gene:gene-LATHSAT_LOCUS23428 transcript:rna-LATHSAT_LOCUS23428-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSKWFRNLLKGKKDREKEKEDYRNGTENPTTPISATPKEKRRWSFRRSSASKEVHVAESSVASSVPLQTVMDSQSYQRKHVMEVAAADGVIFLNSCSNGGTRRSIEVASAIKIQSVFRSYLARKALCALRGIVKLQALVRGHLVRKQATETLRCMQALVLVQARACAQRARMLSEDKANQKHATYRKAAEDRLFMHMYNEMERGLEENIKTVEMDVCESKGNLRNRNNTTNHEHHGFSEHRLSAYYSPNGSYSKKESYKVSPTPSARTDSSPKACSGYFEDGSFSTAQNSPYYYSAVSRADDSKLPFSFTRQSYEESMFNEYPLFPNYMANTESSRAKVRSQSAPKQRPDSSERQPSRRRASVEGRNVPRPVRMLRSSSHVGATAQNYQYPWSIKLDRSSASLDDNECESTSTVLTNSNYCRSLVSYNPHGPSY >CAK8570031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21632139:21632669:-1 gene:gene-LATHSAT_LOCUS22821 transcript:rna-LATHSAT_LOCUS22821 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKFVGCYKIAFKGKKSGTSETNVMADAHAIFVQDQSTTFNLEYAWRLFKDEVKWRIVEESIGSSAKITKTYASGASSENPNTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNATQDAKNKRAITIDRLAQAKEDELELRLVQMMMKDASTMNDSQRDIHEKYCNKMEKKYGI >CAK8570032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21632139:21632594:-1 gene:gene-LATHSAT_LOCUS22821 transcript:rna-LATHSAT_LOCUS22821-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFVQDQSTTFNLEYAWRLFKDEVKWRIVEESIGSSAKITKTYASGASSENPNTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNATQDAKNKRAITIDRLAQAKEDELELRLVQMMMKDASTMNDSQRDIHEKYCNKMEKKYGI >CAK8561584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310314092:310314305:-1 gene:gene-LATHSAT_LOCUS15162 transcript:rna-LATHSAT_LOCUS15162 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKI >CAK8561249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:128604187:128606326:-1 gene:gene-LATHSAT_LOCUS14858 transcript:rna-LATHSAT_LOCUS14858 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDPSIKLFGSHIPITTDSHSFSSSQIHSLPQQRINIMNGLEESTNADVSVSLNEVSSNQAYPQEDANAIPNMYETVASKSGTESVHSTYEQKTTKRDIENQGKAFKKPDKVLPCPRCNSLETKFCYFNNYNVNQPRHFCKNCHRYWTAGGAIRNVPIGAGKRRNKHLPLQNCQVPVTLDAVPVIQTDSIPASHREEVPLSESLETVLNLNGHRKTEMDSSTVKDNIEDPSSFSATAANSGEKEYSVNGIEHVGLTPQYNGLIPLHSLHYCSAPPWTYPCWNPMPFKPDNITSSPATMMAVEIPMTPSPYWGCMPNWAGQMEEFNGIQSPTSSVSSGMCSGNRSPTLGKHCRDGSTQAEDTMKHNIWVPKTIRINNPEEAAMSSIWSTLRTKSQQNKPIMKGGVFKSFEPMSNASSRDLDDNQILRANPAAFSRSGTFQESI >CAK8566813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:464693934:464695006:-1 gene:gene-LATHSAT_LOCUS19919 transcript:rna-LATHSAT_LOCUS19919 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWVRPEVYPLFFAVGAAVGICGFSMVRHMCINPEVRVNKQSRAAGVLENFSEGEKYTEHLLRKFSRNRSPEIMPGLNSFFTDPSRN >CAK8530916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63091831:63092620:1 gene:gene-LATHSAT_LOCUS744 transcript:rna-LATHSAT_LOCUS744 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFYYYRSEIGMANADALRWIDNIPTEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLLITALVRATYYRLRSLFAEQSSKWSVVLNSGETFTDNCLKVMKEGTTKSSTHQVRIFDYANIFSVKETMDRGEGKPMGHYKVDLLNGWCDCGKFQAYCVPCSHVIAACSNVCHDAYALLSDFYRVTHLFGVYSTSFPVLPMNIDPFIKEIKFAITQECGGTRKVAQ >CAK8578352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622930388:622930642:1 gene:gene-LATHSAT_LOCUS30369 transcript:rna-LATHSAT_LOCUS30369 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIARFFMEVAPPQYVSVMRRRTSKMMETITEEDREISLNDSVISVSSPSASASASSSTNATVNTSFFLKEVHRKLSSLNH >CAK8561338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:157435275:157438649:-1 gene:gene-LATHSAT_LOCUS14939 transcript:rna-LATHSAT_LOCUS14939 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSNLDPITCYSHTHRIVLLIDLDPLLQNNHHHYIKSILSTSKTLLSFPPLSSSLFAFKFFFSSLPPHISFCKLHPFLPKHSFSFDHPSSSFILLSQILSSLPNFPLPHHPKASHLIDSITQLLHDYPWEPDFDAATFLVQPNLILLFTPLFDSFNSLAGFFESNEDLLRIESSFCDKFLGFFGNVSRRFGSRGVHCSWIGVNSDSNRLSGSREDEVGVIRGLFEIGARKLGWGFCSLDSILLGSALVPFGLIYPKIGVSRFSVRSCSREVKVQLNLSILDVNGSPIDYNCCDLEVLDLRVLGRGEDVNLQGGGGCGRKKERLWNVCSDGMPKLKVMAVRKCDAFVKLNDCLSDSVLVREVLGESKKGDSGEFFADRVRELLASEFGCQGRRKSIPVWEILLCYLYKEDCWALVLVDSGKSGGSCVGVLRPFTVSSALLSILEDPQLASDFCAANMDSSIRTGISESDHKFDKNKGMLDSQVKSAVGIKGKQKKKMTDLNVLQKLTWNSFCDLVYDQFEMDLHEVYCTMECNKSKKLKFLKCWMKQVKKSSCCDLILSENPKPNQIIAEGSESKLNELPQNGEQSMSLVAVSNEINAGVAMKQDDAVLDCEYETSQAFFSNLSSRIQQGIESNTIDLLALSERLVNSSIYWLCKKVDRETIPQIDSHMNDNKACGSVVASELIKLLLKDPKEIAAKHKSRNSSSQLSDVAGPATIITEHAVREYELQILFRMEILQSEVGCGIEDSSKQKFVKQICLLLENIQCHMEGGFFGDWNLENYVANIIKSRYSHTLEDTVHKIYSKMDLLLFSNQDEAPDFLFNSEDSSKSLNLKVYGDEMGENDVINGPFSAEDEAFHLQKIVKRKSRRNIDGGCDKKLFEAVERRERAHRFSYIKSRMPALRVWAPKQKGMKSKTDHLCKIPKRKDRSRACYDTVCETPMTKNTRSSPQSIGSDDNRYMADGSQVGGSVVKALFQV >CAK8534927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:791167153:791168265:1 gene:gene-LATHSAT_LOCUS4424 transcript:rna-LATHSAT_LOCUS4424 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHRPSPLVEPPSSSSSSSEENDRNASSQKPEEEQDSSDDDSSSEEETEEVHARNASSQKPKEEDSSDDDSSSEEETYEVHVRNASCQKPEEEEDSSDDDSCSEEETEEVHASIKPPPPALTSNPKPASSESESDSKPKPEPTPPPTKSGTKRAMENTNTESDSKRSKKKAIAGNGSDDDEKEEEKDLKKSPSHRLFSEEDELAILKGLADFISKTGKDPVKHTRAFYSFVKESIQADSNKEQLRRKIRSLKNKYESNEDFTKQHDKDAFELFQKIDFKVKKEGSTSDLEKSLAFIEMVRFGDEMRSYGLNMPAMKKGMELIGESNKAVLEERLKNVEIAEMKLLIARAELVRDQASLILEAYNNSN >CAK8532905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:548223779:548228800:-1 gene:gene-LATHSAT_LOCUS2567 transcript:rna-LATHSAT_LOCUS2567 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIHFQSSSTFCSNLQHQLNRRKFVTMASIVPVEQVNSGSLQVTGDSFIRHHLRKLAPYQPILPFEVLSSRLGRKPEDIVKLDANENPYGPPPEVMEALGSIQFPYVYPDPESRRLREALALDSGLESEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRRPDFSLNVEHIIEVVKQEKPKCIFLTSPNNPDGSVINDDDLLKILELPILVVLDEAYIEFSQIESKMSWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSLIKYLWRAKQPYNVSVAAEVSACAALENPTYLENVKDALVKERGRLFELLKAVPFLRPFPSHSNFILCEVTSGFDAKKLKDDLAAMGVMIRHYSSKELKGYVRVSVGKPEHTDALMNCLNILS >CAK8563818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632732291:632732821:-1 gene:gene-LATHSAT_LOCUS17189 transcript:rna-LATHSAT_LOCUS17189 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLKPLALFILILCTIQPNNAEDIIEESCHRAPKYFLECVKYVKPYQPSPKNPIEVVITMFNIMRDKADTTSTKISQVLAGKARPGTREYEALEQCDNSYKDIETSDYQKARYSIARGNPKFSLDTANDVLRKVSKCEVTFYGRAGGDIRTPLTDENNEMTLVVTIAAQILGSLL >CAK8579417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697186045:697186890:1 gene:gene-LATHSAT_LOCUS31365 transcript:rna-LATHSAT_LOCUS31365 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTSLHHRHQPLCSSLYPSLTSSSSSSLFLSQSSPSSSSIRASSSSSSSDSPLREAEPKSPQFFNPNRKIPNVDPTIQDPERKSPQFLNPIRKLPSFATVTAAASAFLFLGYCQNAFNKPITPISSVVSVEEKSGFEEFSERKPDHVQSVLHLKLKEKIPVVHSFKKVKTDDDEAWQVLRGEVFSCSENLELIKMGFEEILEKDMDCNKVHQNRVLEYLEMVDECNSLLKGIMVSMNIYEREDVDKNRHLRFFCEVVDQIRVLEGDMVGALKYFKQLEQ >CAK8563425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:602148381:602148686:-1 gene:gene-LATHSAT_LOCUS16839 transcript:rna-LATHSAT_LOCUS16839 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIIKQVYYVPYPSIQPHKPGWCVVIKTKPLGHIETDDLVEDVAYQDDEISQINDVVEVEKITNLCDTLAEGHQIDAFMLLVDNNVDEEHEEFGSEDIIG >CAK8566036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:370210773:370212392:1 gene:gene-LATHSAT_LOCUS19204 transcript:rna-LATHSAT_LOCUS19204 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFTHKIKPFLDSLPQRPSLHQIKLTHAHLVVLGQSQSRLILHILSLLSLSTFPLNYSLSLFNSISFPTVFAFNSIIRCHAKSNSSPSISLALYSSMRHRFLNPNQHTFTFLLHACTKGHTFTSTRTPGVQVHCHVIKLGYVRHVFVRNALIHFYFEGFSTTEYSKRVFEEDTDTDTLCSDVVTWNSMLAGLVRRGEVGVAEKMFDEMPHRDVISWSTMIMGYVQNGDLEDGLECFRLMREKGIRPNEAILVTVLSASAQMGLLGCGRFIHSTIESLRFRITVPIGTALVDMYAKCGCIEESRALFDRMLKKDIWTWNVMICGLASHDRAKEALALFHEFIREGFSPVNVTFVGVLNACSRAGLVSEGRHYFKLMVDGYEIQPEMEHYGCMVDLLARAGLIDEVVRLIETMTVAPDPVMWATLLDACKIHGLMEMGEKIGNKLLKLDPTHDGHYVQLAGIYAKARKWEDVVRVRRLMVERVDNKVAGWSLIEAEGKVHRFVAGDRDHDCSSDIYRMLEIIGLWITEAGYSPKQLNCVA >CAK8533913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:670786946:670787176:1 gene:gene-LATHSAT_LOCUS3500 transcript:rna-LATHSAT_LOCUS3500 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTGKSHKANGKQSSETRKDRKSASGISGSPKKGGHGGKFTWIGHSYSDVQIGPDHGALDSKDPNFEDRVEIAAV >CAK8572538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545031923:545036408:1 gene:gene-LATHSAT_LOCUS25092 transcript:rna-LATHSAT_LOCUS25092 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKTTDQYGVLLYYKYVDIPNLNDLLTFYRSNCSSLSLLGRVRLSSHGVNVTVGGNLSSLEQHIEALKANSALFHGTDFKLATCHHPLNDDVAKECGFTSLSIRIVKELVTLSSHPLLKSPEISNAGRHLSALEFHSTLHNANKESPENGLVLLDARNLYETRIGKFHAPNVETLDPQVRQYSDLSSWIDDRSEQLKGKNILMYCTGGIRCEMASAYIRTKGAGFENVFQLFGGIQRYLEQFPDGGFFKGKNFVFDHRISVGGSEASSTIGTCLICQCSFDDYSSRCRCTYCRMLVLVCESCQNESALYVCELCQKQGKAVGSKQLNENDDSKKSLQGVEFQDFSSDTMLLPQELRGDDVRASRKLRILCLHGFRQNASSFKGRTASLTKKLKKIAEFVFIDAPHEVPFIYQSPVPLLHVNLASSSLPASPPPPLENCKKKFAWFVAPNFDGSSGVDWKVADGPFDPLQYQQQTDGYDISISHLENVFSKEGPFDGILGFSQGAAMTAVISAQQEKLKGKMDFKFVVLCSGFALNLKEMECNPIKCPSLHIFGNEHGQDRQIANQASKELASLYDSSCSVIVEHGCGHIIPTRSPYIDEIKAFLGRFLQDD >CAK8572539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545031923:545035563:1 gene:gene-LATHSAT_LOCUS25092 transcript:rna-LATHSAT_LOCUS25092-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKTTDQYGVLLYYKYVDIPNLNDLLTFYRSNCSSLSLLGRVRLSSHGVNVTVGGNLSSLEQHIEALKANSALFHGTDFKLATCHHPLNDDVAKECGFTSLSIRIVKELVTLSSHPLLKSPEISNAGRHLSALEFHSTLHNANKESPENGLVLLDARNLYETRIGKFHAPNVETLDPQVRQYSDLSSWIDDRSEQLKGKNILMYCTGGIRCEMASAYIRTKGAGFENVFQLFGGIQRYLEQFPDGGFFKGKNFVFDHRISVGGSEASSTIGTCLICQCSFDDYSSRCRCTYCRMLVLVCESCQNESALYVCELCQKQGKAVGSKQLNENDDSKKSLQGVEFQDFSSDTMLLPQELRGDGKCSENFQVS >CAK8573285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600299349:600308065:-1 gene:gene-LATHSAT_LOCUS25752 transcript:rna-LATHSAT_LOCUS25752 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMCHYCGASLTESNADNKKHGNQISLKLNAKVPSKHCKSCGGKLERENVKWHSTSPFASPDISPTTSLSSTDSCMSTSSEFSADANSFDRNSQEDTVVEGVMENLDHKFNLKSLNMMENDSPRLGIQKEIDNYTMRDVEITEGDSFQDAKAEENEYSFPDNLDNQTWEPPEPENPQDEMDNSVACNDDDEEQCIEIANLGEPTSMSSSKDELCGGYRFRAERERELVKVMNGKFKALVGQLLKSVGVSSFDEGDKSWVDIVTHLSWEAAAFLKPDGTGGYAMDPDGFVKVKCIAAGSRSQSQLFKGSVFKKHAAHKHMPTKYQNPRLLLIRGMLGHAMNELSSFKSMAQEKGYLKSKMDLINICHPNVILVEKTVSRDIQEAILDKGMTLVLDMKIHRLEKVARCTGSPILSCDDLNSQKLRKCDSIYFERFVEEHDANGEGGKKPTKTLMFIEGCPTRLGCTILLKGTHSDELKRIKCVMRCAVIMAYNLILETSFLVDQKAMFSTFPPVTMADILPINQESSDSSSINSSAPPTLEHSDENGVVTTDIPICDGLHEKSIDGLIVVSEELSPFSYEPYNPAIFTGFSAISTSLKKVMGDSFPFASAASYQSLSAYLGFIGRKPDGQVNTSVSIEEFPEADENTRIEENNDSNEVNLLNDGQSPSSPMHLDSNGDISKVDSDRKELQSKDDINAVLDSQSILVLMSSRNASKGTVCQQRHFSHIMFYKNFDIPLGKFLQDNLLNQTRHCDTCQELPEAHLYYYAHHNKQLTIQVKQLATGDFLPGEEERKIWMWSRCRKCKSCSTKRVLISTTARSLSFGKFLDLSLSHYSSSSKLSCGHSLDRDFLHFFGLGYMVAMFRYSSVATYTVSMPPQKLELGGAIKHEWLMEETDKVHVKGIRLFTEIANCLKTVNFDGSNPNRGSKREFSEVEKMLMNEREEFEVYIKMVVAKKVDPDRAAFNLLSLNRLMWDLLMESVVWGQRLKSLRSPEKVAQEYSYSKVEGIAGREVASIGNFREDGKVNGDTRVKFMSETSVKVNEISIKEIPISGSPLECNEQGDTSKTFDIPQNVKIQTVDGLGLKRHSDPKLELSSNVFTQFPSENGHIQVHQNFPVDINIQPSCPIADSKVLNQSASLYSPVSKLQDSDEWFWKPFSDIRQTGIREFQKSFFPKFEYLCSSISDHVPTANQFTTEEGPRLHIPLKTDNHVVSDFEGEPSSIIACALSLLKDSSEVTGIDEGDAKESGITSKSTDSLHDFLDSGSTHSTGSMSSEESRSFCATGNHSKEVHLGYAKSLGREKYSVVCHYYKQFRALRNRCCPSEIDYIASLSRCMNWDAKGGKSKSFFAKTLDERFIIKEIKKTELEAFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTRRHIKSGKEVKHDLMVMENLAYNRNIVRQYDLKGALFERYTSDAAGAEDVLLDQNFVEDMNSSPLYVSHKAKRVLQRAIWNDTSFLKSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPKNHMPTVISPKEYKKRFRKFTSTYFFSVPDHWCSQKSPIPCKLCCSGKDDPSQMVSLPKSF >CAK8567904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555809631:555814936:1 gene:gene-LATHSAT_LOCUS20916 transcript:rna-LATHSAT_LOCUS20916-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDRKRSSKWDLSDEPKLSSGSKQIRSGQSSADVAGSNSSKWAYSEGNDKLRPVMGYSSKEYFSGDRCSNEEDAMIKDHRMLDTRREWDIDGSYEERKQKRHSQSPKNAWSRSSRSRSRSWSRSPPRGFRRDSGVDDRKRIRVGGSTRPCRDFAVGKCRRGSLCNFLHHDNQNHEDSWEGKHREDGAPKYSATYESGDHSFKSGRSNKACINFAKGSCRIGASCKYVHDNDSDGYGKVYMDEFTREREDGGAPRYFAAHEREDRSFKRGRSNEACTNFAKGRCRMGSSCNFVHDNDSDGYGKVFKDEFTREREIDRRHRDNSFEQSGGHVPSRTSDTPCKFFANGNCRNGKYCRFSHDRQSFKSPNRRLRDDRWARNPGGDYQMDRRKLSDSISPNRRLRDDRWGSDGDMADPDRVRDSPKRNDTISVSDTANLMENKSGNIGATEPGFTALPITDEWGHGLDKSRLLCKPQISNDKKEANRWIAANTGANMHGSQSLGTTDIWPGDAEMSPDWKYRTGSSSHMEEDVQNKHGISQGDTYLAISEQDRTQLAPGQSINQNAQNVNPLHTSSSHAVGQSQVDVRTYPSREGTVDATHSQEVSTEKKYSVESNVMDSGLSQAGSINPPTQNTVSNEQLAQLTDLSASLAHILGSGQQLPQLYAALNSHDLKGSPSQANTQLPAMPVSNTCIKPDSAVGLPKQYDPMNGSNEQKNADASGLPPTIPPSKNIAKVEILSPLSNLGKQNYGDSIKGASSELIKSDNLIRLQPGHNTVLYNNEEVAKERKNSQDVHKSAKENGPQNTDQDGKLDDDKQTKDMKGIRAFKFALAEFVKELLKPAWKEGQINKDNYKTIVKKVVDKVSSTMQGANIPQTPEKIDQYLSFSKPKVNKLVQAYVEKVQVQKG >CAK8567903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555809631:555814936:1 gene:gene-LATHSAT_LOCUS20916 transcript:rna-LATHSAT_LOCUS20916-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDRKRSSKWDLSDEPKLSSGSKQIRSGQSSADVAGSNSSKWAYSEGNDKLRPVMGYSSKEYFSGDRCSNEEDAMIKDHRMLDTRREWDIDGSYEERKQKRHSQSPKNAWSSSRSRSRSWSRSPPRGFRRDSGVDDRKRIRVGGSTRPCRDFAVGKCRRGSLCNFLHHDNQNHEDSWEGKHREDGAPKYSATYESGDHSFKSGRSNKACINFAKGSCRIGASCKYVHDNDSDGYGKVYMDEFTREREDGGAPRYFAAHEREDRSFKRGRSNEACTNFAKGRCRMGSSCNFVHDNDSDGYGKVFKDEFTREREIDRRHRDNSFEQSGGHVPSRTSDTPCKFFANGNCRNGKYCRFSHDRQSFKSPNRRLRDDRWARNPGGDYQMDRRKLSDSISPNRRLRDDRWGSDGDMADPDRVRDSPKRNDTISVSDTANLMENKSGNIGATEPGFTALPITDEWGHGLDKSRLLCKPQISNDKKEANRWIAANTGANMHGSQSLGTTDIWPGDAEMSPDWKYRTGSSSHMEEDVQNKHGISQGDTYLAISEQDRTQLAPGQSINQNAQNVNPLHTSSSHAVGQSQVDVRTYPSREGTVDATHSQEVSTEKKYSVESNVMDSGLSQAGSINPPTQNTVSNEQLAQLTDLSASLAHILGSGQQLPQLYAALNSHDLKGSPSQANTQLPAMPVSNTCIKPDSAVGLPKQYDPMNGSNEQKNADASGLPPTIPPSKNIAKVEILSPLSNLGKQNYGDSIKGASSELIKSDNLIRLQPGHNTVLYNNEEVAKERKNSQDVHKSAKENGPQNTDQDGKLDDDKQTKDMKGIRAFKFALAEFVKELLKPAWKEGQINKDNYKTIVKKVVDKVSSTMQGANIPQTPEKIDQYLSFSKPKVNKLVQAYVEKVQVQKG >CAK8567902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555809541:555814936:1 gene:gene-LATHSAT_LOCUS20916 transcript:rna-LATHSAT_LOCUS20916 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDFRFLVIHYLLLIQSTLHYSKTNSFVDMSGSDRKRSSKWDLSDEPKLSSGSKQIRSGQSSADVAGSNSSKWAYSEGNDKLRPVMGYSSKEYFSGDRCSNEEDAMIKDHRMLDTRREWDIDGSYEERKQKRHSQSPKNAWSRSSRSRSRSWSRSPPRGFRRDSGVDDRKRIRVGGSTRPCRDFAVGKCRRGSLCNFLHHDNQNHEDSWEGKHREDGAPKYSATYESGDHSFKSGRSNKACINFAKGSCRIGASCKYVHDNDSDGYGKVYMDEFTREREDGGAPRYFAAHEREDRSFKRGRSNEACTNFAKGRCRMGSSCNFVHDNDSDGYGKVFKDEFTREREIDRRHRDNSFEQSGGHVPSRTSDTPCKFFANGNCRNGKYCRFSHDRQSFKSPNRRLRDDRWARNPGGDYQMDRRKLSDSISPNRRLRDDRWGSDGDMADPDRVRDSPKRNDTISVSDTANLMENKSGNIGATEPGFTALPITDEWGHGLDKSRLLCKPQISNDKKEANRWIAANTGANMHGSQSLGTTDIWPGDAEMSPDWKYRTGSSSHMEEDVQNKHGISQGDTYLAISEQDRTQLAPGQSINQNAQNVNPLHTSSSHAVGQSQVDVRTYPSREGTVDATHSQEVSTEKKYSVESNVMDSGLSQAGSINPPTQNTVSNEQLAQLTDLSASLAHILGSGQQLPQLYAALNSHDLKGSPSQANTQLPAMPVSNTCIKPDSAVGLPKQYDPMNGSNEQKNADASGLPPTIPPSKNIAKVEILSPLSNLGKQNYGDSIKGASSELIKSDNLIRLQPGHNTVLYNNEEVAKERKNSQDVHKSAKENGPQNTDQDGKLDDDKQTKDMKGIRAFKFALAEFVKELLKPAWKEGQINKDNYKTIVKKVVDKVSSTMQGANIPQTPEKIDQYLSFSKPKVNKLVQAYVEKVQVQKG >CAK8563374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598013817:598014748:-1 gene:gene-LATHSAT_LOCUS16794 transcript:rna-LATHSAT_LOCUS16794 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCSSSILHFLPGSDPPYKILEEHPYLKLLAKCKNINTFKQIHSLVIKTGLDNTVFVQSKLIQFCAVSPSGDLSYAFSLFAENQQQHKHNHFVWNSLIRGHSLSSSPISSLHLFTRMLYYGLQPNSHTLPFLFKSCAKSKAICEGKQLHAHALKLSLHFHPHVHTSLIHMYASVGELDLARLVFDKSSLRDAVSFTALITGYVSQGYVDDGRRLFDEIPTKDVVSWNAMIAGYVQSRRFEEAIACFHEMQEANVSPNKSTMVR >CAK8542657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535257650:535258024:-1 gene:gene-LATHSAT_LOCUS11478 transcript:rna-LATHSAT_LOCUS11478 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKADTDSKWSWNGNNNQEKQFSINKLLGNKSDKPQTSVLGPGFGAGFGCGAGIGLGLTGGLGYGGSLFNHLNLVFGVGMGCGLGVGYGFGQGIGYSFDYQTRKSAKSKKSFADSNKTIVFQL >CAK8567437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514001771:514002304:-1 gene:gene-LATHSAT_LOCUS20491 transcript:rna-LATHSAT_LOCUS20491 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPPPSTTVITTTTGVGLGYGIAIAVSILVLISTIMLASYICVRLKSQGRRNSFHLNRGNVTINQNYINGSAVQPGPFILGLEKPVIETYPKIILGESLRLPKPNEGPCSICLGEYLPKETIRCVPDCQHCFHAECVDEWLRMSATCPLCRNSPAPSPVATPLSELVPLAFHAR >CAK8563460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607626819:607630904:-1 gene:gene-LATHSAT_LOCUS16868 transcript:rna-LATHSAT_LOCUS16868 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQNSLRKALGAIKDTTTVSLAKVNSGYKELDIAIVRATNHVERPAKEKHIRAIFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMAHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEADRPRTKDLDTAELLEHLPALQQLLYRVVSCQPQGAAVHNFVIQLALSLVASESIKIYQAISDGTVNMIDKFFEMQREDALKALDIYRRVGLQAERLSEFYEICRNLDIGRGEKFIKVEQPPSSFMQAMEDYVRDAPQGVIVRKDQSIDNKIAAPKEVLAIEYKKEPEVQEERSVSPPPQPEPVKVETPPVQPPPDLLNMEDSVPAAAELDEKNALALAIVPVAEQQPSAVSNHANGTTGWELALVTAPSSNESATAASKLAGGLDLLTLDSLYDDALRRNNQNVSYNPWEQAPAGGMMQPAMHDPFFASNRMAAPPSVQMAAMSNQQQSFMYQQQQQMMMMPPQQPSGNPFGNPYGAAVHPYGSGMPVQSYNPYTGLI >CAK8563461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:607626819:607630904:-1 gene:gene-LATHSAT_LOCUS16868 transcript:rna-LATHSAT_LOCUS16868-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQNSLRKALGAIKDTTTVSLAKVNSGYKELDIAIVRATNHVERPAKEKHIRAIFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMAHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEADRPRTKDLDTAELLEHLPALQQLLYRVVSCQPQGAAVHNFVIQLALSLVASESIKIYQAISDGTVNMIDKFFEMQREDALKALDIYRRVGLQAERLSEFYEICRNLDIGRGEKFIKVEQPPSSFMQAMEDYVRDAPQGVIVRKDQSIDNKIAAPKEVLAIEYKKEPEVQEERSVSPPPQPEPVKVETPPVQPPPDLLNMEDSVPAAAELDEKNALALAIVPVAEQQPSAVSNHANGTTGWELALVTAPSSNESATAASKLRDYLFGIQAGGLDLLTLDSLYDDALRRNNQNVSYNPWEQAPAGGMMQPAMHDPFFASNRMAAPPSVQMAAMSNQQQSFMYQQQQQMMMMPPQQPSGNPFGNPYGAAVHPYGSGMPVQSYNPYTGLI >CAK8534497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736207319:736209337:-1 gene:gene-LATHSAT_LOCUS4040 transcript:rna-LATHSAT_LOCUS4040 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFKFFHFINLLILLSIFLSSSSLPIDPYSQALLSLKSELIDDNNNLDDWVVPSGINLTENGSSYACSWSGIKCNKDSLVTSIDLSMKKLRGTLSGKGFSVFTNVIAFNLSYNFFSGNLPPEIFNLTSLKSLDISKNNFSGQFPKGVSKLKNLLIFDALSNSFSGQLPAEFSELEYLRILNLAGSYFRGTIPSEYGSFKSLEFLHLAGNSLSGNIPPKLGNLQTLTHMEIGYNFYQGFIPPQIGNLSKLQYLDIAGANLSGSIPKELSNLTSLQSLFLFKNQLTGLIPSELSEIKPLKDLDLSDNFLSGSIPESFSELKNLRLLSLMYNDMSGTVPEGIAELTFLETLLIWKNNFSGFLPKSLGKNSKLKWVDVSTNNFDGSIPQDICLGRVLYKLILFSNKFTGSLFSISNCSSLVRLRLEDNSFSGEIPLKFSHLHDITYVDLSSNNFVGGIPSDISHATQLEYFNVSYNKQLRGIIPTQIWTLPHLQNFSASSCGILGSLPSFESCKTISVIDLGRNNLSGTISKSVSKCQALITIKLSYNNLTGQIPEELVNLPVLEIVDLSINKFIGIIPAKFGSSSTLQLLNVSFNDISGSIPKGKTFKLMDSSAFVGNRELCGVPLRPCFESLGILGSIGTWKLAQIVLLFVGLLIILVVLAFGILHFRKGFKS >CAK8540181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543309229:543309838:-1 gene:gene-LATHSAT_LOCUS9211 transcript:rna-LATHSAT_LOCUS9211 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGRRSMSTVSKAVAEIVGVGKTKHGKATSELCTFMGIPHHSRSEIASIISKFIKLYSFRSPGIKKDKLWEQNLQTLLRGRNSVGFPEIAKILSPEFSQGAINIKDTNMDSSTDNTKGKGSQKKGKKK >CAK8562599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514507478:514507972:-1 gene:gene-LATHSAT_LOCUS16084 transcript:rna-LATHSAT_LOCUS16084 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGWDMHANNKGDDELAFSMLNHNLLIYMICPHCRHSRNKKDKADVKFASGISQIKRIFMVTPAFPVILATCPVVQFEASCLPSSVPDRERKLQFSLGCQVILPPDSFLTLKLPFVYGVQLEDGNKHPLNPFEQQPEMTAWITKGTVLQILSKGSSDEGYQT >CAK8579272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689374262:689374828:-1 gene:gene-LATHSAT_LOCUS31229 transcript:rna-LATHSAT_LOCUS31229 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSLIFFLLISIPHSSPTPTKSRKRTQLHKPCKTLTFYFHDILFNGHNSKNATSAIVGTPPWGNTTALANQNHFGDLIVFDDPITMDNNLHSHPIGRAQGFYIYDKKEIFTSWFAFSFVFNSTVHKGTINFAGADPLMNKTRDISVIGGTGDFFMTRGVATLSTDAIEGEVYFRLRVEINLYECW >CAK8541683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:352910139:352911140:1 gene:gene-LATHSAT_LOCUS10584 transcript:rna-LATHSAT_LOCUS10584 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNVLEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRTVLDDGGSGPASNGDVAVATKPKTKPKNGEGDGEDPEQSKLRVGLNSAIVREKPNVKWNDVAGLESAKQSLQEDVILPVKFPHFFTGKRRPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVLKWMGESKKLVSNLFEMARESAPSIIFVDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHSDQKVLVLAAINTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARKSEGFSG >CAK8532289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239796440:239802151:1 gene:gene-LATHSAT_LOCUS2014 transcript:rna-LATHSAT_LOCUS2014-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTEGPGGESSDGQHPAERSVPPQQQAGGAGPQGGGGPQGGRGWAPQGGRGGYGGGRGGRGMPQQQYGGPSPEYQGRGRGGPSQQGGRGYGGGRGGYGGGVGPGGGHDTVSSYGGPPRPPAPELHQATSVPSVPYPVAVSPPPAPSEASSSSHPPEVSEVEQDMGQMTIHSEETPAPPPASKSSLRFPLRPGKGSYGKKCVVKANHFFAELPKKDLHQYDVTITPEVTSRGVNRAVMEQLVRLYRDSHLGKRLPAYDGRKSLYTAGPLPFITKDFRITLVDEDDGSGGQRRDREFKVVIKLAARADLHHLGLFLEGRQTDAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFFETYGFVIQHTQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPLDRERDIMQTVHHNAYHEDPYAKEFGIKISEKLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWFCVNFSRNVQDSVARGFCDELAHMCYVSGMAFNPTPVVPPVSARPDQVEKVLKTRHHDAKTKLQGKDLDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVSLKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTLTGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFASNHHDKSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAVPRGGMAAAAGRSSRAPGANAAVRPLPALKENVKRVMFYC >CAK8532290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239796440:239802151:1 gene:gene-LATHSAT_LOCUS2014 transcript:rna-LATHSAT_LOCUS2014 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTEGPGGESSDGQHPAERSVPPQQQAGGAGPQGGGGPQGGRGWAPQGGRGGYGGGRGGRGMPQQQYGGPSPEYQGRGRGGHPQSQQQYGAPSDYQGRGRGGPPQPQQQYGGPPEYQGRGRGGPSQQGGRGYGGGRGGYGGGVGPGGGHDTVSSYGGPPRPPAPELHQATSVPSVPYPVAVSPPPAPSEASSSSHPPEVSEVEQDMGQMTIHSEETPAPPPASKSSLRFPLRPGKGSYGKKCVVKANHFFAELPKKDLHQYDVTITPEVTSRGVNRAVMEQLVRLYRDSHLGKRLPAYDGRKSLYTAGPLPFITKDFRITLVDEDDGSGGQRRDREFKVVIKLAARADLHHLGLFLEGRQTDAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFFETYGFVIQHTQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPLDRERDIMQTVHHNAYHEDPYAKEFGIKISEKLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWFCVNFSRNVQDSVARGFCDELAHMCYVSGMAFNPTPVVPPVSARPDQVEKVLKTRHHDAKTKLQGKDLDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVSLKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTLTGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFASNHHDKSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAVPRGGMAAAAGRSSRAPGANAAVRPLPALKENVKRVMFYC >CAK8570433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45970465:45971286:-1 gene:gene-LATHSAT_LOCUS23180 transcript:rna-LATHSAT_LOCUS23180 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSLFSKHKILASYIFPLVQNKFLQSLSTPRVSTPAILPELVNEVSRIVSDHRHPHHDLQLSLTPFSSQVSTYLVEQVLKRYNHLGFSAHRFFLWAKSIPGFEHSVESLPILVEILGRSKQFAILWDFLLKMRESESSSCKISNKLSGSFSLRIAELICLTVLFGLLFEWTNLESSLVLLILICFCSLYARRDMSSRLNNFFDQNKSCFSLTAKTYSILISGWGKVGDSGKARELFEVMLEQGCDVDLLAYNNMLDALCKGGRVDEAMDFF >CAK8569841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13317622:13318563:-1 gene:gene-LATHSAT_LOCUS22650 transcript:rna-LATHSAT_LOCUS22650 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGRCESFKEISLSKATKILSKFVSADNGASQVINAYLHRASDAFNELNQLHRELKPSQSRRKKSRGHMTDDSGKVGVSSVTSVDEKLIENDVKLGREINGSVVGGSEKRSKKDKKKKNEFGNKEGDGKLPKKGQNENESGQGDEEMEDGKKQKKDKNLERESAKGREQQKEIDTKISNNGEVATMVKNEIESSQGGEGGTEEGKKQKKEKKKKEEKKNLDGENAEEQKQQNDIEKKMSNNVKVENGGLVVPQDIEIRSKKRHEAGSENKLHAEEIKTEQRKKKRKNEDVEDRSEEQSKKKMKRKHEGQA >CAK8566432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422727093:422728653:-1 gene:gene-LATHSAT_LOCUS19563 transcript:rna-LATHSAT_LOCUS19563 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFPDVFCWIQNLPPISEWETNSISLNICSSTTSQPFLNLTISKIHESSKLSFIIVADFNNIQIHLWTSKPFKPNSKTTHLLNQETVSNLFVNFIHDILHYGSNKNNPFIRFPNLDSVPNLPDIFNLTFFTLLFLVCIYESPRDLRDAFIGVLKDHLTSFQSRQTSNLLMKLLGSNLQEQWMRSVNLGITNWVGELEEQYQNTLRTPCSMLSYAFSTFGMWKVQVYCPVICMDVEKSESHPPERLQFSLKYHQVESVFQFNYQVDFKEEWVEIMVNVDNIRCDVTKLVNDSLVKERGAGAAEKHFPSRISLQLTPTLQDQVVSLSVGKSTDNPKKEIGSEKGIGASIEPSTHIGLKVSSGESTTVSLKPWKFEQSVYGYSANMNWFLHDSMDGKEVFSSKPSKCALINPKSWFKNRYSSAYRPFTRQGGVIFAGDEYGERVWWKVDKSAVGKTMEWEIRGWIWLTYWPNKRVTLYNETRRLEFREIVNLDVA >CAK8543669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630495132:630495797:-1 gene:gene-LATHSAT_LOCUS12413 transcript:rna-LATHSAT_LOCUS12413 gene_biotype:protein_coding transcript_biotype:protein_coding MALDHHSPSLQILVRRPEECSLWTGPPFPNGQPNIKLDKVNCLNAKFSDDGSLFLVVKSNSVVSIYDCKSAQEIRSFQVPNLVVASLSPRGTFLQTFQKPAPQEKNVTLWKTETGDSVYQLSQKSMTRVNWPAIQFTSDETTAFRWATNELQFFNTEDFSKGFVYRLRVHGVASAELSSSPASHVAAFVPESKEVPASVQIYACGIASRAPCFGASIIQQR >CAK8530976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67394129:67398434:1 gene:gene-LATHSAT_LOCUS798 transcript:rna-LATHSAT_LOCUS798 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMRLWLAFVLIILSGACLNIVEGKPHRILLDTDFDTDDLFSLLYLLKLNTSEFHLEGVTLNANAWTNAGHSVNHVYDILYMMGRDDVAVGVGGEGGILRNGTILPDVGGYLPIIEQGMTTAGNCRYRQAIPVGFGGRLDIDTNLGIRKAFLPQGKRRYRPLRQSTAQQVLIDKISAGSITLIVIGAHTNIAIFLENNPHLKKNVEHIYIMGGGVRSKNPTGCCPKNSSFSCLPSQCGDIGNLFTNYKANPYAEFNIFGDPFAAYQVIHSGIPITLVPLDATNTIPINEQFFDEFEKSQDTYEAQYCFKSLKMTRDTWFDNNFYTSYFMWDSFTVGVAASIMRNSYRNKGENEFAEMEYMNITVITSNKPYGVSDGSNPLFDGLEVPKFNLKKGSVHSGHVQQELTDPFCFVKNGTGRCQDGYTEGVDGQDSVKVLVATKAKPNKDKKNLLDREFFISFLNILKQPQQAGRFNFTSEFPYYEEVSYKPDFENKVLGKPVVFDMDMSAGDFLALSYLLKVPVELINLKAIIVSPTGWANAATIDVIYDLLHMMGRDDIKVGIGDFFAMNQSNPNFPPVGGCNYVKAIPHGNGGLIDSDTLFGLARDLPRSPRRYTAESSVKFGAPRDTDQPELRQPLAMEIWESILQTLEPGSKITVLTNGPLTNLAKVVSVRKISSRIQEVYVVGGHISKSGNDKGNLFSVPSNKYAEFNMFLDPLAAKTVFESEVNITLIPLGIQRKASSFSSTLNLFGKTQRTPEAVFSKHLLSRLLRLRKSHHRYQHMDTFLGEILGAVVLANGHSCVLDVKFESKSVKLFAEGLESTDGKIVVDNKNGTLVRILTHVDAKTYHEIYVTRLGDSNQSAKVGSFKEQKRKWSYPHDRNYL >CAK8537375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:314370179:314374800:-1 gene:gene-LATHSAT_LOCUS6670 transcript:rna-LATHSAT_LOCUS6670 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISTLAFPLLVGASVGAPFSRSSSLRTQHSTSMSVAASSSSSQDVAASQLVTFLGKGGSGKTTAAIFAAQHYAMAGFKTCLVIHSQDVTADYLLNSKIGTSHVLCTNNLSAVRLETTKMLLEPLKLLQQADAQLNMTQGTLGGIVGEEFGIMPGMDSIFLVLALERLVGFLGMAPSKSQHDKFDIVIYDGVSSEETLRIMGGCSKARLYLKYIRTLAEKTDLGRLAAPSLLRLVDEAMRISSSRSYLNGRMSSETWDNLDQLLEKGSSAFSNPQRFGCFLVMDPNNPTSVNSALRYWGCTIQAGAQVSGAFGLSSHQPKLESYERAKKDLSPLPSAFISSPLMVSPIDWNGVLLDTANQDARHLLTSLSSQSSNMTSSVKFDVKRKSVTLFMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIALSPEIQGKVGGAKFQDRSLVITLL >CAK8560843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49451057:49452184:1 gene:gene-LATHSAT_LOCUS14488 transcript:rna-LATHSAT_LOCUS14488 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFDLIRLKSEQEHLFRNIQRNEYHNLYGFISSKGLKIMNLGDALPAAGVAKAFESDDDDAVDPHLERIRNEAGENESDEEDEDFVAEKDDEGSPTDDSGADDSDASQSDDEKEKPPKKEPKKDMPSSSKASTSKRKSRDADEDGKKRKPKKKKDPNAPKRALSGFMFYSSDTEGSGV >CAK8542798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547432804:547435590:1 gene:gene-LATHSAT_LOCUS11605 transcript:rna-LATHSAT_LOCUS11605 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQQPPPPPPLPPVSPMTIDGADKDIVFAKSPVLTRREVLTRRLRRVKQLARCYRRHYWALMDEVKAKYRDYYWIYGKSPFKEDEENPNGVVLGETGNVTENGSAAGDDFVRCAYSGCKTKAMALTRFCHAHILSDSKQKLYRGCTAVAKNLPTGPSYCNKPVLRSMVPCACSAHYQLGEKCLLRAVKRAGYNISVNGKPNPNFHELVPEFVRQIQNRRRAARRAMLPKPETE >CAK8574006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653641320:653642030:-1 gene:gene-LATHSAT_LOCUS26398 transcript:rna-LATHSAT_LOCUS26398 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNIRGLNKAGKVREISSRLQKLTPAISALIETRVKAKNEMRIRQKLKLKGNYTDNYSNHDNGRIWIHWDDNRRHVESMESTNQLIHCQVKDVHGNFLCWMTAIYTQNQLHRRKELWRDIHKISAQQTGLWILIGDYNNVLKTEDRIGGNDVTEHEYIDLIEMMSKTGLYAKESAGDYSTWSNKQGDNAIYSRIDHVLCNVEWLQHNGDITLTNMNPSISDHDMLVLDDNTEV >CAK8575686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:251613376:251614557:1 gene:gene-LATHSAT_LOCUS27928 transcript:rna-LATHSAT_LOCUS27928 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVSQGKPLNKEQEEVLRSKPSVLVLIDELEKLRQPLSVALTEELDLALQNNRETLPENSVPNSVPAPNSAPNSEQRPNQNGDVVEDILNLLYFGSLFEVKTQNDFTSTMLTRTHERGCCLTYDYVTDDATDLPGEKDLDSISALFGLLISRPADSSFSHKNALRRYIEHAKLWVSRAQQPIDPNVDVTYAGLREKLDKIMSSEYFTTTPEMKAPVDVAAAAAGNYGSFQVPVHDSVVSVEVEGSDYQPEEKDERAANFQGQGSGDDPSDPEGEFQKDEVEAENAVEVASVQHEQANAQGDTEYNQADGEGKEQQNYPRRGGYQNQRGGRGGGGGRGFPNGRNQFYDQPGNYYPRNYYNNRGRGGRGGGYYSNNGAGGQVNHVAGDVGVQS >CAK8533375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610548608:610550023:-1 gene:gene-LATHSAT_LOCUS3008 transcript:rna-LATHSAT_LOCUS3008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQEPFKVIEVGNIEPFHEPTNPSQSPTSLPLTFFDLLWLRFPPVQRLFFYELTNSPSFFYQTLLPDLKYSLSLTLQLFLPLSGHIVWPIDSAKPIINYVRGDSVSFTVVESKESFEDLSSNHCEASKRHHLIPLLNTSHEKASLIAIQVTLFPNKGFCIGITTHHAAFDGKSSTTFMKSWSYISCSSNPNLENITPCFDRSVIEDNYNGISEAYVDALLKHYGPNNKSLKVWEFPGSLKNDAVKNLFELSPSNIEKLKEHVKNEMKMNIINLSTFSVTCAYVISCLAKAEKPKDEKVSFIFSVDCRTRLEHSISSMYFGNCVVVQMIKLETKKLMGKDGFLSALGEINEGLNKVKDGVLDGVLDGAENWVHNMLNSKESFKLYSTAGSPRFEVYDIDFGLGKPKKVDMTSTDKSGAFSLSESKNHNGGIEIGLALDKHEMEAFSTLFVEGLRPFKVSKFSTTSSTVLD >CAK8561981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:421184874:421187208:1 gene:gene-LATHSAT_LOCUS15521 transcript:rna-LATHSAT_LOCUS15521 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAGKEAAYFFHESKQAVTKLAQKNPPISKTNSDERHVVQDHADVLPEILKHSLPSKLFRDETATSSSFSASKWILPSDPKIQYSVSPDVLNPLRGFVSLPQATFGPKRWEMPESTHGVSASTANELRPDRYEIHANPEKLKAASEGLANVGKAFAIATAVVFGGAAIVIGTVASKLELHNMGDLKTKGRDVVEPQLENIKTQFVPMKIWAENMSKKWHLERKDVEQKAFVKDLSNLFGAK >CAK8538208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471270753:471272004:-1 gene:gene-LATHSAT_LOCUS7434 transcript:rna-LATHSAT_LOCUS7434 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDANAVVAVRNEANKNIFFQCSLQGFQDTLWAISGIQFYKNCDIYGTVDFIYGNATTVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVEGKSEVRATLGRPLRNYSSVAILQCYIDSMVDPRGWEEMSGQGTDNVTYVEFENVGPGSNTDCRVEPHGVRVLGNHNQTLVFTASYFLDADSWIPTRGVPYDSEL >CAK8538209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471270753:471271998:-1 gene:gene-LATHSAT_LOCUS7434 transcript:rna-LATHSAT_LOCUS7434-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVAFIIVLLISFNAVAGSSSINVIVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDANAVVAVRNEANKNIFFQCSLQGFQDTLWAISGIQFYKNCDIYGTVDFIYGNATTVFQDCMIYTRYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSHEDVEGKSEVRATLGRPLRNYSSVAILQCYIDSMVDPRGWEEMSGQGTDNVTYVEFENVGPGSNTDCRVEPHGVRVLGNHNQTLVFTASYFLDADSWIPTRGVPYDSEL >CAK8563021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566783994:566784817:1 gene:gene-LATHSAT_LOCUS16471 transcript:rna-LATHSAT_LOCUS16471 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIPATQLHNPDIKNSPNHQLHSTNDNKSDSDNVVVDVFHWSRCKKILPQRLMRKVGTPLPLEYVEVLVENIDWEDVQWSQTGVWIAGKEYALARVHFMSMN >CAK8543938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650529124:650529667:-1 gene:gene-LATHSAT_LOCUS12660 transcript:rna-LATHSAT_LOCUS12660 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAFNREILEHMEKPIITLLEGIKHYITKRIASQKEFLQGYKGSICPKIQLVLKKNKKQAQGWSPTWHGDDDLSIFDVNNGIDAYCVYLKKETCSCRKWELSGIPYCHVIACIRTTKKHHKDYVAAYYRKSTFMETYFHIVFPINGPQL >CAK8543939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650529124:650529631:-1 gene:gene-LATHSAT_LOCUS12660 transcript:rna-LATHSAT_LOCUS12660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPIITLLEGIKHYITKRIASQKEFLQGYKGSICPKIQLVLKKNKKQAQGWSPTWHGDDDLSIFDVNNGIDAYCVYLKKETCSCRKWELSGIPYCHVIACIRTTKKHHKDYVAAYYRKSTFMETYFHIVFPINGPQL >CAK8530798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50717290:50720168:1 gene:gene-LATHSAT_LOCUS638 transcript:rna-LATHSAT_LOCUS638 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTPSTNSDSLSATLGNSIQALGRGFDVTSDIRLLYCKGAPGSRLVHLDEEHSRDLVLSRELVVPDVSADIDFSPGKSGVEKTPVCSFQEMAKYFNERSGIKEKIPLGSFNSMFNFIGSSTVDAAATKSLAMVGYFIPLFEVKLTKPNLVLNEEVRRAVPYSWDPVSLASFIENYGTHIVTSATVGGRDVVYIRQHQSSSLTAPDIENYVKDIENDRFLDAKNSSGPAALKYKEKDVTVIFRRRGGDDLEQSHTKWVETVKLAPDIINMKFTPIVSLLEGVPGVKLLTRAIDLYLEYKPPIEDLQYFLDFQITRVWAPEQNNLQRKEPVCQSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGSKLNRLAIHLQHLVSLPKNLQPHWDAHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEITETNIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHNPSTPAVTHKSDSASSSSTKKTSDEKKEDSSVHSGKLAKIVDMTEMSKGPQDIPGHWLVTGAKLGVEKGKIVLRIKYSLLNY >CAK8573539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618608877:618609299:1 gene:gene-LATHSAT_LOCUS25975 transcript:rna-LATHSAT_LOCUS25975 gene_biotype:protein_coding transcript_biotype:protein_coding MFASMHSPSQMTWNHTNKTSSGTMRHPSDGEAWKHFDRIHTDFAAEPRNVRLELCSYGFNSYVQASKSAYSCCPIIVTPYNLSPEICMTNPYMFLTCLIPEPSSPKASIDVYLQSLIDDLKRLRIDEWTYDISSKQSLNM >CAK8572553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:546073944:546083399:-1 gene:gene-LATHSAT_LOCUS25106 transcript:rna-LATHSAT_LOCUS25106 gene_biotype:protein_coding transcript_biotype:protein_coding MNTITLLRNRALNSARRILIASNNPSFFLSPLHHHANDFPQPRFNLHHAKNPHLSRILGFRHESIRTHNRDVSTIARAGFKFQKLSTSIETRDGDNTFERIYIQSGVNVKTFTVESVHKGEENDVREEESLVEKQAWKLLKDAIVTYCGNPVGTVAANDPGDKSPLNYDQVFIRDFIPSALAFLLKGDDEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVAIDGDTHEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGLKMILKLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQTLFYSALRCSREILVVTDETNDLFRAINNRLSALSFHIRQYYWMDMKKMNEIYRYKTEEYSMDAINKFNIYPEQIPFWVMDWIPEKGGYLIGNLQPAHMDFRFFTLGNLWSIISSLSTQKQSESILNLMQEKWDDLVGQMPLKICYPALDNEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRIELAQKAADIAEKRLPVDSWPEYYDTRSGKFIGKQARMYQTWTIAGFLASKMFLKNPEKASMLFCEEDYDLLEYCVCGLSQRGRKKCSRIAAKSQILV >CAK8539142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505002638:505009329:1 gene:gene-LATHSAT_LOCUS8265 transcript:rna-LATHSAT_LOCUS8265 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALIGALNYVSRNLPLPPQLFNTVSSICYGSEPNPLPHDSSDDASNSTQDEDLLTELQDALSKQRPNCDSSSKLNKAIKIRSLTRIQHRLTQLEGLPSKLGDDLQTRCLLELYGLKLAELQGKVRMEVSSEYWLNVKCAYPDRQLFDWGMMRLRRPPYGVGDPFAIDAADDQIRKKRDTERLSRLEEQAKSQTETRTRRFFAEILNAVREFQLQIQSSLKRRKQRNDAVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTLLLEETNKLLVNLGAAVQRQRDSKQSNGIEPLENSEAYLPQSDALKNGISKESPLDEDVDLMDSDHNDDTSDLLEGQRQYNSAIHSIQEKVTEQPSTLQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLLEYKGVTGPFLIVAPKAVLPNWVSEFSTWAPSITAVLYDGRMDERKAIKEELSREGKFHVLITHYDLIMRDKAFLKKIYWKYLIVDEGHRLKNHECALARTLDSSYHIERRLLLTGTPIQNSLQELWSLLNFLLPSIFNSVQNFEDWFNAPFADRVDVSLTDEEQLLIIRRLHQVIRPFILRRKKAEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGCGKSKSLQNLTMQLRKCCNHPYLFVGNYDIYNRKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDILEVYLQLHDYKFLRLDGSTKTEERGSLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGSSSLGTDVPSEREINRLAARSDEEFWLFERMDEDRRQKENYRSRLMTEHELPDWVYSAVNKDDKAKAFDSSGITGKRKRKEVVYADTLSDLQWMKAVENGQDISRLSAKGKRNHLPADSHAQTSDYTAAEERLLELSNTMENERSSEDTFNATPASKRLKHEEISSHKHEKVDVGGSGLKEHVFSWNVHRKKRSSYLSQGSLSDTRGQNANGRRSS >CAK8568553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:616448147:616454805:-1 gene:gene-LATHSAT_LOCUS21500 transcript:rna-LATHSAT_LOCUS21500 gene_biotype:protein_coding transcript_biotype:protein_coding MAFENKELQNHLLDVGNRLADPPASVDHLISLLYRADSYLARVEQSPRDSMRAALDPMLNALVEHRLLRHPDTDVQVALASCITEITRITAPDAPYDDDQMKEIFQLVVSSFEKLHDISSRSYAKRRAILETVAKVRSCVVMLDLECDGLILEMFQHFLKAIREHHPENVFSSMETIMTLVLEESEEISFDLLSPLLDSIKKDNEEVSPIARKLGERVLENCATKLKPYLVQAVRILGISVDDYSKVLASICQDTCDSLEKNGVCVTSEPKEEESKSAELPLEESSPEDESKSAEQPLEESSPEDETKSAELPLEESSQAVVKEEPEEAAHSPDDNREGNRSSKSVTNNGVASAGEDATLGDSKSITKKEDTDFYDHSKEELNDLGDGKVDKNEQKPEQATKKSRRKSSYSTKSAKLSQCQVVANEKKAEKMLDSESYSKEARNNESEVVASPSPSDSVPDENHSEKLGKAKTKGSPANVEVVSKKVSEEASISKAKPVKRSVKKALGRNSGVKKTAGTDSDKTQSGVVSSADAKKHSAKKLNDNEGGGGGSSSRQLVDEKKLGWGEANSETGAAKSSSVGVDKEMVSSPRSDTKSSENEKLEETTKTSAKRKHALEDEKLEETPKTSAKRKPVLEDEKLETPKTHAKRKPAPGIKNGSGIKEHDEKLVGLRVEVWWPKDREFYKGVIEHFDPIKKKHKVVYDDGEVEVLNLARQKWNSIEADSVADGEGSDHASLDASLEMPTKKKGKTSFGGPTKHGKLSSSGGASGSSKSKGVLVSGQKSKDGNKSKESNTISDSEDEVSRKFKDNTPTSAAPKMTSKSKKIGSSKTSKPKDDDTITPKPSVKSKQETFKSGATNQKTPKTAASEGKPPNSGGKSTGDRGGKKSGSLKKKFIEVDDSDDSAREEEYTKGKTSGSSKAEGSGEVKRGNKRQKS >CAK8567503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519115911:519118202:1 gene:gene-LATHSAT_LOCUS20550 transcript:rna-LATHSAT_LOCUS20550 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCWFVGVFIVLGYLCLLGTTFTVEGYPTEDLVERLPGQPKVKFSQYAGYVDIDLKHGRSLFYYFVEADHHPHKKPLTLWLNGGPGCSSIGGGAFTELGPFYPKGNGRGLRMNSKSWNKVSNLLFVESPAGVGWSYSNTSSDYTIGDDATANDMVLFLLKWYEKFPSYKSRKLFLTGESYAGHYIPQLANAILDYNAHHSSGFKFNIKGLAIGNPLLKLDRDTQATYEYFWSHGMISDEIGLALTHYCDFDDYTYANSHNLSNSCNKAINDANKIVGEYINNYDVILDVCYPSIVQQELKLKKMATKISLSVDVCLDYESDFYLNLPEVQKALHANRTSLPYSWSMCSDVLNYSLSDPNIDMLPSLKRIVQNHIPIWIYSGDQDSVVPLLGTRTLIRELAQDLKFKITDSYRVWFHKGQVGGWVTEYGKLLTFATVRGAGHMVPYGQPSRSLHLFQSFLSGRRLPNTTRPSIDE >CAK8543325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600319013:600327075:1 gene:gene-LATHSAT_LOCUS12089 transcript:rna-LATHSAT_LOCUS12089 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPTDSCIPSLGPTPATVIHPRRVPFEHGLLPIPRLIFSDPTQTLISLKHKLLELSSNNRVDSAAISESLQISVEQARLVLETLVSVLPSESESDSVDVHDLVLFLYIQSYKRLLPRTHKDSAAVADVWPSTSAFDGYLSALTPLQLVRSNSKRFTPSQGDEEAHQLSYLQKHLANIVSLLAEPVEGESEESLVLTMDRFEHLGFLFHYGDKGLEGNSLSQSSPFFANSDPNMPAVPASASQVHDWLLQNIASALGRIAERTPSKENGPVSASDQDVAMTEASTASVKVPTSARGASFIEGISKSSYAKHASDIKGSSVKVLNCHDSAIYILAPLRYATIYGCSDATIVIGAVGKAVRVEHCERVHVIVAAKRICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTFYSQLEEHMNEVGVLPTVNCWDEPIALGMVDPHDSLSHPAGVSDVQTESASRLDPDQFTNFVIPNWLGESTGSTKDNPFTLPEAYMASQQRNENNLEEIRQLLREAPLEESRKRELSSALHVYFKDWLYASGNIRQLYYLQGD >CAK8572750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559961688:559963051:-1 gene:gene-LATHSAT_LOCUS25278 transcript:rna-LATHSAT_LOCUS25278 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHSNGKGISSSALPYRRTAPSWLKISSQDVDETICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >CAK8538529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486218953:486225023:-1 gene:gene-LATHSAT_LOCUS7717 transcript:rna-LATHSAT_LOCUS7717 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKNVTQTSLIFVINGEKFELSSVEPSTTLLEFLRTQTRFKSVKLGCGEGGCGACVVLISKYDPLLDRVDDFTASSCLTLLCSIHGCSITTSEGIGNSKQGFHPIHQRFAGFHASQCGFCTPGMCVSLFGALVKADKNDSPEPPAGFSKINVSDAEKSIASNLCRCTGYRPIADVCKSFAADVDMEDLGLNSFWTKGDSKDEKVSKLPRYDRDQDHKNIEFPMFLKEVNHDLFIASEKHYWHKPTTLKELRRLIKLNHCNKTKIKIVVHNTAMGYYKDRQGYDKYIDISGISELLKTKKDQSGIEIGAAVTISKAIEVLREENKNDFISDFVVILQKIADHMNKVATGFVRNTASVGGNLVIAQKSKFPSDIATILLAVDSMVHIMTGSKFEWIALEEFLESPPLAFESVLLSIKIPCLETIKSESSAPRSRFVYETYRASPRPLGNALAYLNAAFLVQVSSCKDAHGIRIDTCRLSFGGFKNKRAIRAKHLEEFLAGKLLNVRNLYDAINLLKASSTIIPQDDTSESAYISSLAVAFLFQFFNSLIDSSARKTNGYLKGYAHLPSVEASKIRENQNQVHPNKSPTLLMSGKQVIVPGSEYGPIGKPVVKSGAALQASGEAVFVDDIPSPPNCLHGAYVYSEKPLARITSIKLRQELELEGVRDILSSKDIPNGGVNLGAKIFFGPETLFAEEIARCVGDRLAFVVADTQKLADFAANSAYVEYSTENLEPPILCVEDAVKRSSFFEVPPSYQPKNQIGDISNGMAEADHKIVSYEMKLASQYFFYMETQTALAVPDEDNCITVYSSSQNPEYVHSTIASCLGIPGNNVRVITRRVGGGYGGKSMKSIAGAVSCALAAHKLQRPVRMYVNRKTDMIMVGGRHPMKITYSVGFKNNGKFTALHLKVLVDAGIYPDVSAVIPQKIVGAIKKYDWGALSFDIKVCKTNLPSRTIMRAPGDVQGSFIAEGIIENVAATLSIEVDSVRNINLHTYTSLKKFYEDSSGKPLEYTLPLIWDKLAVSANYELRLNKVKEFNSINIWKKRGISRVPVVYELNVKPAAGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAYALGTIQSDGSGSLLDKVRVIQADTLSLIQGGATADSTTSEASSEAVRLSCNILVERLKPIKKTLEEKMSSIKWEDLILQAYTQAVNLSASSYFVPGNNSVNYLNYGAAVSEVEIDLLTGETRFLQTDIIYDCGQSLNPAVDLGQIEGSFTQGLGFFMLEEYETNLDGLVLEDGTWNYKIPTIDTIPQQLNVEIVNSEHHQNRVLSSKASGEPPLLLAASVHCATRSAVKEARKQLLSWSNLDESDSTFHLGVPATMSVVKEVSGLDIVERYLKWKMATSKRSQPLNN >CAK8571175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:322698185:322711852:1 gene:gene-LATHSAT_LOCUS23864 transcript:rna-LATHSAT_LOCUS23864-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVENYHVIELVGEGSFGKVYKGRRKHTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGSESIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDSMTPNFKSFLKGLLNKAPESRLTWPALLEHPFVKETNNEIEDRELHEISGSPKDSDTTQKVERKTIQTSTGLAEHISSPLQKEAQLNGPNTNKTNSKVLDESPGYSNQNDVAESGGQRLDRLESNSRTVKGAKVIGQDSEAYGFVLQTLKRWSKGSQNICSDQDLPASNQSLRILSNLVDAGVFNSTGQIDELISELLLFTRSVVAMKSAEVFDLLTKGFSITKVLLDIGGKFCSNSYSNHWVELVEIYSQVVTSTNDASGRVLYESSACITVVLSKVAQVLKSSQISSSETLNETANRIIEHAKTSGLIDHLCSCLATSGSGLIAGSSNMLRAASEACRAAWSLINALDALFKRNSAILFPISALQSHFLQRTEIMDHRQDPLFDEESTKMVDVITRAFLRSKAVQVAMYYCFHQRIESAMICGLQLLSRCCLHSGIVPSALCGLPSSLPLTTVVSGGGDGTIVSEIFSVLSICSSSLNKDAPSVEASNTKCKLANPSALIRHSCTILTIIAQCFKSAGRNSAIFMLTTSPKKQLARLSVLAHHVSYDDKTKASFQLQSASAMLALASILSLESEISVESSISEIAMPLIPRASTLSDYLKFSSGNENELEPGNFNGKLPYWLGVKDGCVGLLDSKLKWGGPLAVQQLCASGIPLLLIGLLSNGFLNASQEKECLNDKVGLSPIGVVCTVSSLYHCLSGGALIFRQILIRNEHVKLISNLICDVHLKLIKCWTGPGGGRTGVRDLINAVIDLLAFPFVALQNAPGLPSATASVSSGFLLNVGSPGQRVCMEDKDTVKAIEEDMGKYIKILMEVGVPSIILRCLDLMELNDMGRPVAFLAKMVCQRPLAVQLVSKGLLDPNRMKRLFDLSGPKEVMLDALMIISDLARMDKGFYEYIKGASVLEFLKSFLSHEDPNVRAKACSALGNMCRHSAFFYSSLARYQIVSILIDRCSDPDKRTRKFACFAIGNAAYHNDVLYEELRRSIPHLANLLQKAEEDKTKANAAGALSNLVRNSDRLCEDIVSKGAVQSLLKLISDYAASVLNPSRNDSTNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYVSVIINKVAEP >CAK8571174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:322698185:322711852:1 gene:gene-LATHSAT_LOCUS23864 transcript:rna-LATHSAT_LOCUS23864 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVENYHVIELVGEGSFGKVYKGRRKHTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGSESIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDSMTPNFKSFLKGLLNKAPESRLTWPALLEHPFVKETNNEIEDRELHEISGSPKDSDTTQKVERKTIQTSTGKNNHKTGLAEHISSPLQKEAQLNGPNTNKTNSKVLDESPGYSNQNDVAESGGQRLDRLESNSRTVKGAKVIGQDSEAYGFVLQTLKRWSKGSQNICSDQDLPASNQSLRILSNLVDAGVFNSTGQIDELISELLLFTRSVVAMKSAEVFDLLTKGFSITKVLLDIGGKFCSNSYSNHWVELVEIYSQVVTSTNDASGRVLYESSACITVVLSKVAQVLKSSQISSSETLNETANRIIEHAKTSGLIDHLCSCLATSGSGLIAGSSNMLRAASEACRAAWSLINALDALFKRNSAILFPISALQSHFLQRTEIMDHRQDPLFDEESTKMVDVITRAFLRSKAVQVAMYYCFHQRIESAMICGLQLLSRCCLHSGIVPSALCGLPSSLPLTTVVSGGGDGTIVSEIFSVLSICSSSLNKDAPSVEASNTKCKLANPSALIRHSCTILTIIAQCFKSAGRNSAIFMLTTSPKKQLARLSVLAHHVSYDDKTKASFQLQSASAMLALASILSLESEISVESSISEIAMPLIPRASTLSDYLKFSSGNENELEPGNFNGKLPYWLGVKDGCVGLLDSKLKWGGPLAVQQLCASGIPLLLIGLLSNGFLNASQEKECLNDKVGLSPIGVVCTVSSLYHCLSGGALIFRQILIRNEHVKLISNLICDVHLKLIKCWTGPGGGRTGVRDLINAVIDLLAFPFVALQNAPGLPSATASVSSGFLLNVGSPGQRVCMEDKDTVKAIEEDMGKYIKILMEVGVPSIILRCLDLMELNDMGRPVAFLAKMVCQRPLAVQLVSKGLLDPNRMKRLFDLSGPKEVMLDALMIISDLARMDKGFYEYIKGASVLEFLKSFLSHEDPNVRAKACSALGNMCRHSAFFYSSLARYQIVSILIDRCSDPDKRTRKFACFAIGNAAYHNDVLYEELRRSIPHLANLLQKAEEDKTKANAAGALSNLVRNSDRLCEDIVSKGAVQSLLKLISDYAASVLNPSRNDSTNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYVSVIINKVAEP >CAK8561509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:260644952:260654194:1 gene:gene-LATHSAT_LOCUS15095 transcript:rna-LATHSAT_LOCUS15095 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTVFSEPLFNFKLSHYHRLSSTHRLKTNPHSFSSSSLFSSNNKNNSCSSTFKPDRFLSPKFQTFATNTDTLESIHSSDVIFNQTHPINRIELVEGKVFVRLDQGEDLKNLELIVGCNLPGKWILHWGVSRVDDVGSEWDQPPRDVIPPGSIPIKDYAIETPLKKSSEGDTFHEVKIGLKATDDISAINFVLKDEETGAWYQHKGRDFKVPLVNDIKDDANVIGPKTGFSLGQGDIGQQISNALLKSEATDDKVQDNNSESESPKLESSQVEGFSVELPLTKEVTVNNSINVSIRKCSETAKNILDLETDIMGDIFLHWGACRDDLRKWEAPPAPHPPETIAFKDTALRTRLKSRGSGEGSSVQISLGEEFLGFLFVLKINGNTWINNQGNDFYVPLSTSGSLTIGNIEDQSIVTKCEETPEEESNAEFTNEIINEIRSLVTGISSVKRRKTKSKEAQETILQEIERLAAEAYSIFRTSVPTFSPETIVESEVALEAESPELPPKVTSGTGTGYEIVCQGFNWESHKSGRWYVELKEKAAELSSLGFTVIWLPPPTDSVSPEGYMPRDLYNLDSRYGTIDELKDVVKTFHKVGIKVLGDAVLNHRCAQKQNQNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDIKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYMDATKPYFSVGEYWDSLSYTYGEMDHNQDAHRQRIVDWISAAGGSAGAFDVTTKGILHSALDRCEYWRLSDQQGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPHEKEMQGYAYTLTHPGTPSVFFDHIFSHYKTEIEALLSIRKRNAINCRSTVKIHKAERDVYAAIIDGKVAMKIGPGHFEPPSDSQKWKSAWEGRDYKIWEEAS >CAK8561510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:260644952:260654194:1 gene:gene-LATHSAT_LOCUS15095 transcript:rna-LATHSAT_LOCUS15095-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTVFSEPLFNFKLSHYHRLSSTHRLKTNPHSFSSSSLFSSNNKNNSCSSTFKPDRFLSPKFQTFATNTDTLESIHSSDVIFNQTHPINRIELVEGKVFVRLDQGEDLKNLELIVGCNLPGKWILHWGVSRVDDVGSEWDQPPRDVIPPGSIPIKDYAIETPLKKSSEGDTFHEVKIGLKATDDISAINFVLKDEETGAWYQHKGRDFKVPLVNDIKDDANVIGPKTGFSLGQGDIGQQISNALLKSEATDDKVQDNNSESESPKLESSQVEGFSVELPLTKEVTVNNSINVSIRKCSETAKNILDLETDIMGDIFLHWGACRDDLRKWEAPPAPHPPETIAFKDTALRTRLKSRGSGEGSSVQISLGEEFLGFLFVLKINGNTWINNQGNDFYVPLSTSGSLTIGNIEDQSIVTKCEETPEEESNAEFTNEIINEIRSLVTGISSVKRRKTKSKEAQETILQEIERLAAEAYSIFRTSVPTFSPETIVESEVALEAESPELPPKVTSGTGTGYEIVCQGFNWESHKSGRWYVELKEKAAELSSLGFTVIWLPPPTDSVSPEGYMPRDLYNLDSRYGTIDELKDVVKTFHKVGIKVLGDAVLNHRCAQKQNQNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDIKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYMDATKPYFSVGEYWDSLSYTYGEMDHNQDAHRQRIVDWISAAGGSAGAFDVTTKGILHSGHWRFPHEKEMQGYAYTLTHPGTPSVFFDHIFSHYKTEIEALLSIRKRNAINCRSTVKIHKAERDVYAAIIDGKVAMKIGPGHFEPPSDSQKWKSAWEGRDYKIWEEAS >CAK8569187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681340332:681341362:1 gene:gene-LATHSAT_LOCUS22070 transcript:rna-LATHSAT_LOCUS22070 gene_biotype:protein_coding transcript_biotype:protein_coding MADSITTIKAWTYSEYGNTVDVLKFDPNVALPDVKDDQVLIKVAAASLNPVDYKRLNGAFKASDSPLPTAPGYDVSGVVVKVGSEVKKFKVGDEVYGDINAKALEYPKVIGSLAEYTTAEETVLAHKPENLSFAEAASLPLAIETAYEGLERAGLSAGKSILVLGGAGGVGTHVIQLAKHVFGASKVAATSSTKKVELLSKLGADLPIDYTKENFEELSEKFDVVFDTVGETEKAFKAVKEGGKVVTIVPPGIPPAIVFILTSNGAILEKLKPYLESGKVKPVLDPRSPFPFSQAVEAFSYLETGRVTGKVVIHPIP >CAK8535016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:803512090:803539770:-1 gene:gene-LATHSAT_LOCUS4503 transcript:rna-LATHSAT_LOCUS4503 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSFPLIALIVFALCFTSVLAVRKTPRTTDLAENFIVIGKIYCDPCHFEFKSRLSKPLSNVKVILSCRKEEGNNVTVVKEAMTNEEGNYIIKVDGEHEEEICEVYPDSNDGECSLPMANKSDRVGLTKDMGVSSLVRYVNPLGFMTKSIDSQCGSVVSELGLNQLDD >CAK8535017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:803512090:803512733:-1 gene:gene-LATHSAT_LOCUS4503 transcript:rna-LATHSAT_LOCUS4503-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSFPLVALIVFALCFTSVLAVRKAPRTTNKAENFIVIGKIYCDPCHFEFKSRLSKPLSNVKVILSCRKEEGNNVTVVKEAMTNEEGNYIIKVDGEHEEEICEVYPDSNDGECSLPMANKSDRVGLTKDMGVSSLVRYVNPLGFMTKSIDSQCGSVVSELGLNQLDD >CAK8576475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481596179:481599740:-1 gene:gene-LATHSAT_LOCUS28658 transcript:rna-LATHSAT_LOCUS28658 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFIFLTLIPILCTSFSPDKPSDRRILVLVDDFAVKSSHSLYFKSLQSRGFDLDFKLADDPKIALQRYGQYLYEGLVLFSPTIERFGGSIDAAAILDFVDSGHDLIVAADNNASDLIREIATECGVDFDENYTAMVVDHAGYAVSSTEGDHTLIASDDFIKSDVILGSKKIEAPVLFQGIGHSLNPSNSLVLKVLSASPTAFSADPKSKLTSPPSLLGSSISLVSVIQARNNARILITGSLSMFSNRFFNSGVQKAGNPTKHNKSGNEQFLTELSKWVLHERGHLKAVNVQHHKVGETNEPSIYRINDDLEYSVEIYEWSGTTWEPYVADDVQVQFYMMSPYVLKNLSNDKKGRYFTSFKVPDVYGVFQFKVEYNRLGYTSLSLAKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFVFSAVHLYNK >CAK8537689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421087819:421101289:-1 gene:gene-LATHSAT_LOCUS6954 transcript:rna-LATHSAT_LOCUS6954 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGSEFFEIGSNGSESFARASNAEALEEDEAELQWAALSRLPSQKRINYAVLRASSSNRQTSTVNTGTDDLVDVRKLNRTNRELVVKKAFATSAQDNYALLSAIKKRIDRVGIEIPKIEVRYANLTVSADVLIGSRAIPTLINYTRDALEGILTKLGCFRPKRHSLTILDNVSGVIKPGRMTLLLGPPGSGKSSLLMALAGKLDSNLKKTGSITYNGHEIDEFYVRRTSAYISQTDNHIPELTVRETMDFGARCQGAQEGFSAYTKDLGRLENEMNIRPSPEIDAFMKASSVGGKKHSVNTDYILKVLGLDICSDTIVGNDMVRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCIKNFVHQMEATVLMALLQPAPETFELFDDLVLLSEGHVIYEGPREDVLEFFESIGFKLPPRKGIADFLQEVTSKKDQAQYWADPSKPYAFISVREIAEAFRKSRFGRYIDSIHAHPYDKSKCHPSALAQKKYAVSKLEVTKACFNREILLIKRHSFLYVFRTFQVALVGFVTCTIFLRTRLHPTDEVFGNLYLSALFFGLVHMMFNGFSELPLMISRLPIFYKQRDNLFYPAWAWSLTSWILRVPYSVIEAFIWSAVVYYTVGFAPAAGRFFRYMFILFVTHQMALGLFGMMASIARDMVLANTFGSAALLTIFLLGGFIVPKGMIKPWWIWGYYLSPLTYGQTAITVNEFTATRWMKKSAIGNNTVGYNILISHDIPVDDYWFWAGAGILILYAVFFHCVVTLSLAYLNPLQKARTVIPLDDGSGKNSSGDVSNQVYEMSTNSKTTSNKSDTKGMILPFQPLTMTFHNVNYYVDMPKEIRKQGIPETRLQLLSDVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGNIKISGYPKEQRTFARISGYVEQNDIHSPQVTIEESLWFSASLRLPKEISLEKRREFVEQVMKLVELDSLRHALVGMPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGKIGAHSRILIDYFQGIGGIPPIPSGYNPATWVLEVTTPAVEEKIGSDFAAIYKKSAQFRGVEASILEFEHPPAGSEPLKFDTTYSQNSLSQFFLCLWKQNLVYWRSPAYNAMRLYFTTISALIFGSVFWDVGSKRASTQELFVLMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGMYSPLPYAAAQGLIEIPYIAVQTLVFGLITYFMVNFEKTAGKFFLYLLFMFLTFTYFTFYGMMAVGFTASQQLAAVISSAFYSLWNLLSGFLIPKANIPGWWIWFYYICPVQWTLRGIITSQLGDVETMIVGPGFKGTVKEYISATLGYDQKMNGISSVGLSVIVLLAFNILFFGSFATSVKVFNFQKR >CAK8539850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529021383:529022833:1 gene:gene-LATHSAT_LOCUS8910 transcript:rna-LATHSAT_LOCUS8910 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYQQDQMMLISQLFPASAHAYTDIPSQQVEKKQRRRRNKKSKGGDNTANEMNKKRKLSEKQVILLEEHFGNEHKLESERKDKLAMELGLDPRQVAVWFQNRRARWKNKKLEEEYTNLKKFHESTMLEKCLLETEVLKLREQLSEAEKEIQRLREPIEVVPTNSSSTSSMSHSMEVVEPPPFFDEFEADNVYNDNVFLMPYFNDIEWTYI >CAK8561843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:393054905:393055291:1 gene:gene-LATHSAT_LOCUS15397 transcript:rna-LATHSAT_LOCUS15397 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDKRKLFSQCKEGARKDIIRAFGVLQFQFVIICNMARSWHLGALKHIMNTCIILHNMIVEEECVTYGGNFDYSYDNLGNDKTTLPDNSNIDLQEFQLRIFHVRDKKVHRQLQQDLIDHIWEHFAQ >CAK8575004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20869412:20870050:1 gene:gene-LATHSAT_LOCUS27297 transcript:rna-LATHSAT_LOCUS27297 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKHILMSLAIFLSLLLESSLAKHNSQTITYIESSCNGTLYPNLCIRCLNKFSHSTINGPQHLAQLALSVSLSRALQTRVYLSNVAKELKTIDHNNKRMFLTVQDCVNQINDSVDQLTQAIKELKKLNQFNSIINDKVLWHISNVETWVSTALTDASSCVQSFPGHRMSKSVATIKVKAKNVAEVTSNALALFHSYASRYKLAAAGTTKKP >CAK8569399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699050644:699051503:1 gene:gene-LATHSAT_LOCUS22255 transcript:rna-LATHSAT_LOCUS22255 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEVARARKSAAETEKKERETREKEEQYWREAESSKPRAAKKREEEADKKAEAAAKRAEVRRLAELEEKELEKMIKKPDKKASRVSIPVPKVTEVELRKRREEEQALAQKKAAEANKRTAAEEEYERVVLVSNTNRDDSIIEASTLDEAIAKMSIDNNLPLDRHPEKRLKASFKAFEEAELPILKQEKPGLTYTQYKDMIWKLWKKSPDNPLNQNAD >CAK8541927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435376474:435378203:1 gene:gene-LATHSAT_LOCUS10815 transcript:rna-LATHSAT_LOCUS10815 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSPRSVEEIFKDFNARRTAVLRALTLDVDEFYGLCDPDKDNLCLYGHGNESWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINELPTVFEVVTDRKPIKDNKPAADSGSKSRGSTKRSSDGQVKSNPKFPADDGYEEEEDEHSETLCGTCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSLRRARP >CAK8537866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442928072:442931188:-1 gene:gene-LATHSAT_LOCUS7119 transcript:rna-LATHSAT_LOCUS7119 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARAKFQNPDYRPDYLSETTLTPSSTHDGLHFWQLMIAGSIAGCIEHMAMFPVDTVKTHMQAITSCPIKSVSVRQAVRSILQTEGPSALYRGIGAMGLGAGPAHAVYFSVYETCKKRFSQGNPNNSVAHAASGVCATIASDAVFTPMDMVKQRLQLSKSAYKGVFDCVKRVLSEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKRGLNEVSPDSADDERLIVHATAGAAAGGLAAAVTTPLDVVKTQLQCQGVCGCDRFKNGSIGDVIKTIVKKDGYKGLMRGWVPRMLFHAPAAAICWSTYEAGKSFFQDYNEQNDSGTVT >CAK8566920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472622649:472627546:1 gene:gene-LATHSAT_LOCUS20016 transcript:rna-LATHSAT_LOCUS20016 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCDDERCIFPLTGLQIRDLQSYFADLSLFLANDSKKMYIFVDNRPWLRDIGSRGAHFWQLMVTKSRLSPFAYSKNRREKKEGKEVSSQPSTSKPKNLFSVIEAVASSKKSVLLPVKNIRNSLQFSSELHRTLHGFIVFEVAWNNVRGINYFNELQTDTSLAIEVKLMKRWEFDNIAQAASCMSSWFSGTLSERLLLKEHLESASGEIFYDASEILPESVSVDDDDDNICNGTITSQDSLDTTTGAYSDDDEETTDMLHTPPSSGPNKRRKLSNSFSAEVDVDSYSAAEINNSLNCSPRSTSVSDDGVETTQYSDALLLFRFNDHDLPFKLRDVIISDLRLLTLLEAGLPSWVIFLQSYPVLCNIYRPWMCPLVRLLYVIMSFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMVSRVKYLGTMLFLHNFQKAVRWFLALTHTTRSFFSVLIQPLIESIVEIFGFLLPSLNILFELLESIFSVIWFGIETSCNLVGDAVELLFLPLWFILTVVWRIATCVLYPLLRILWEFLYAPVRLVMALFSFLAVICTRIFHILGETWKFLGSIIQLASSSEATVSSYEVSMWRSLWNDLFSQIFRALKSIVYGLVAFFAACNRHRLSIYNHIQEFIQRLYGRCQRSRQSDLTDNRKTCLTVDLAEEKKKV >CAK8563840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634072961:634079135:-1 gene:gene-LATHSAT_LOCUS17209 transcript:rna-LATHSAT_LOCUS17209 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSYIGISTMKPCCRILTKTQCISGFSPFKISDSSMMRVLSRSSRRYYRCNKNTKIVGYIHVTNLNRRDFSNIDSNWVHSRNFSTNFCVKIGSVRPRFVSLIPNVGSNFRNQSTSVDSNVNDKSIENIFIQTSLNGKPLLCEEIETHDQRKLEEDDGSNVNLDYNLNDLSEVKVERELSEIEKEAWELLRSSVVTYCGNPVGTVAANDPADNQPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDGSNEAFEEVSDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYSLQDRVDVQTGIRLILKLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSSREMLIVNDTTSNLVAAVSNRLSALSFHMREYYWVNMKKINEIYRYKTEEYSTDAVNKFNIYPEQIPSWLVDWISDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTTRQNEGILNLIDAKWDDIIGQMPLKICYPALEGEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRSDLAQKAVDLAEKRLNTDKWPEYYDTRNGKFIGKQSRLMQTWTIAGFLTSKMLLKNPEKASLLFWEEDFEILQNCVCMLNKSGKRKCSRFAARSKTLV >CAK8560548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24502622:24503978:1 gene:gene-LATHSAT_LOCUS14211 transcript:rna-LATHSAT_LOCUS14211 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNFKNATIAFLVPLPSIIFYLTFLNNYHSAITNPNSPSFSSSLWTWCYHHPLLFANALFFFNVNLLFWLIGQIQSSHWMIDPYWTVIPVMLVHYYATHPLAQYDGWRSKVVIFLTWVWSIRLTHNYFRREKWQWGAREDWRFTEMSQQYGKHWWWISFFAVYVSQQMFLIGLSLPLYIVHFVNKPLSILDLVAVLVCLSGVVIAYFSDTELHDFMSRNNKLKGLGKPVVPVLENGLWYYCRHPNYFGETLWWWGLVVFAWSLGHGWTFIGAFVNTLCLAYVTRLVEDKMLKQESRAEAFRLYQKTTSVWIPWFKSFPSEVKNKNA >CAK8564534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680009436:680012754:-1 gene:gene-LATHSAT_LOCUS17833 transcript:rna-LATHSAT_LOCUS17833 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGDEVNIQELASNLTIYKDQLNQVRQLLNDEPSNSEYVDMERELREVIALTEELLATAKQNEISVATESPSLSRSKENKAELDSHFDHQEKFPIGTRVQAVYSEDGEWYDATVEAYTPNGYYVSYDSWGNKEEVDPANIRPIQEGTVDALVEAERVAEATKQAIKRKIAQAGSVDFQSRSLPAKLRIEPDDPEDVKITKRKKIHAFKSKMRMEQLEVTQNKRQNAWQQFQTTKGKAKKIGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTEFQKREKHFHLKDGTVENDE >CAK8563311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591856132:591859853:-1 gene:gene-LATHSAT_LOCUS16735 transcript:rna-LATHSAT_LOCUS16735 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSKISTENDRIPALQEQQRLRNPHHGLKEKLKTLTLLYEQQKQASKSLRNNNTAMRERNSITNPNSTITKTFVLPQPPSSSNNDDDAKENQNLIVGQDRIVGFSYPRKVSATTNAAAPVAAVARRLSMPATVKGKEEELGKCVSRIMVFVRVRPMNKKEIELGSRCCVRIVNQRDVYLTESANEKDYLRLNRVKGRHFAFDGSFSDSATQLQVYSTTTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIQDLFSKIRQRSCDGSHVVHLSYLEVYNETVRDLLCPGRPLVLREDKQGIVAAGLTQYRASSADEVMVLLQQGNRSRTTEPTRANETSSRSHAILQVVVEFRVRDPATTNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGICNTVMIANISPSSLSFGETQNTVHWADRAKEIRLKVNETNEDQLPIHETDTDQAKLIHELQKENHEIRIQLARQQQKLLTLEAQSLHSHSSTPPPPSDAKLSTPPTSAQPSEKRRTRSSFLAGTCFTPETKKKGVELAVRTLQRTVKALEAEIERMKKDHTLQLKQKDDLIRELSQKCEKQALTTREVGKRVVTRAAGLPVNEPNNVELKSHRLRSPAPTAKKRSFWDITTNNSPSVATLNGRKTRSHVLSEPTAPPRSMLLQPGFARQKANS >CAK8537616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407455041:407456588:1 gene:gene-LATHSAT_LOCUS6887 transcript:rna-LATHSAT_LOCUS6887 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQMLRKNSIQLRFVFLTTSIVICVSLLFLNQYFLNTNDIKIKFSLPNLNNARLEEIVITTPSNSSSNLTTTSNQKYVTKGATNIVSSPPLIKEKVKVLTRKKLDPCLGKYIYMYDLPSRFNEDLLKKCQTLNRWENLCPYLSNLGLGLEVIGESDRSVLSNENWFVTYQFSLELVFHNIMKHYRCLTNDSSLASAFYVPYYSGLDIGRYLWGGYNVSVRDESPKKLIQWLVQRPEWKKLNGKDHFVVSGRVCFDLRRGSDENEDWGTKFLFLPEANNMSMLLIESCGFYDNEFAIPYPTYFHPRSDEEILDWQKKVRETKRDYLFTFTGAPRPNSTSSIRNELIEQCELSPNCKLANCNKKQNCSDPVDVMDVFMNSVFCLQPPGDTYTRRSTFDSILAGCIPVFFHPQSAYNQYLWHFPNNSSSYSIFIPEIDVKEKRVKIDMKLLNVSTNEVLAMREEVIKLIPKVIYRYPSSRLKTHEDAFDVAIKGVLGRIEAMRREITNSSNVTIVSV >CAK8537566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:397685185:397687033:-1 gene:gene-LATHSAT_LOCUS6852 transcript:rna-LATHSAT_LOCUS6852 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIYSAYILSTEHRPNFSTFVEVDEIPIVDLSETNQENLISKIGKACEKWGFFHVINHGVPSDLIIKVESEAKKFFELSMEEKKTLKRDAFNATGYHDAEHTRKTRDWKEVYDFLVKDGIQIPSSDDPHDMGLCTQKTQWPQSLPHFREIMEEYSEKLEKLSYKLLELISLSLGLAGDKFLDCLENQLSFTRLNYYPPCPFPDLALGVGAHKDPCILTVVAQDDTGGLQVKKNSVGGWVPVKPIPGALVVNLGDVLQVWSNDKYDSIEHRVVLNTQKERFSYPFFLFPGHHIIVKPAQELVSEQNPAKYKAYNFGKYYANRTHADFSKQEVEYKEIHHFKILD >CAK8531501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116634960:116635262:1 gene:gene-LATHSAT_LOCUS1289 transcript:rna-LATHSAT_LOCUS1289 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWNVRGLNRRVKTKEVSARLRSLNPMICILLETRVKQEKADRIRCKLRSTCSFLDNYTNHVNGRIWIWWDNAKTEVRKVTSSRQMIHCGVYDMNGVF >CAK8566624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:443523967:443524575:-1 gene:gene-LATHSAT_LOCUS19742 transcript:rna-LATHSAT_LOCUS19742 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVLTVVILAHVWLLMATTSIAQIVIDTSGDAVEDDEEYFIRPAITGNGGGSTLITGNAPCPLQVGLVTTDLANGFPVVFRPFVPRHDEDDVLLDRDLRVTFVASTSCAQSTEWRVGEKDATSGRRLIITGRDDSTVGSYGNFFRIVPTQTSGIYNIQWCPAEVCPSCKFECGTVGVIRENGKILLALDGGALPLVFQKE >CAK8569938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16701773:16704173:1 gene:gene-LATHSAT_LOCUS22736 transcript:rna-LATHSAT_LOCUS22736 gene_biotype:protein_coding transcript_biotype:protein_coding MENEYNEATEVLVKLFRNANQELEILHKRLQKEFHHLYPDNANPMKLASRIKKVLEDVSSLKEECPKLLAAKQDLIDKAQRGLVESRNLLKRMQSSVGIPFTGEDEEAFANFKQVIDEWTDQTRSKIGNEPCDSDSGDLNRLLFSAIVQSD >CAK8540545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10474458:10476303:-1 gene:gene-LATHSAT_LOCUS9542 transcript:rna-LATHSAT_LOCUS9542 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSSNSLVVGNVIGDVLDPFINSISLRVVYENNKEVINSAELKPSQIVNPPRVQIGGNDFRTLYTLVMVNPDAPSPSDPNMREYLYWMVTNIPATTGTTFGQEIVSYESPRPTSGIHRMIFVLFQQPCRHTILPPGWRQNFITRDFAQVYNLGSPVAALYFNCQRENGSGGRRMIT >CAK8575689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:252467004:252467222:1 gene:gene-LATHSAT_LOCUS27931 transcript:rna-LATHSAT_LOCUS27931 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYEGHFDYSYDNVDDNNSTTETFNGPHPNLATRLQRRANIREKQVHRQLQEHIWERFGHEEDET >CAK8542926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560454716:560455132:-1 gene:gene-LATHSAT_LOCUS11723 transcript:rna-LATHSAT_LOCUS11723 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPAAEKAPAEKKSKAGKKISKEGGSDKKKRTKKSVETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >CAK8564260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663530733:663532524:1 gene:gene-LATHSAT_LOCUS17583 transcript:rna-LATHSAT_LOCUS17583 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENARHMARIRHIIIACNVIYVVIRMLVFHSSITWKHWIGLAVTSLAYYFPYQQLAKMANPSYAEDGELLDGGFDMTTGGVCGYLHDVIYITSFVQVASIISGKFWYIYLVIPAFGAYQSFGLIKGFLPQGSEESVEDEKTRKKREKLEKKASRPKFVKTRTR >CAK8531662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:136686596:136692629:-1 gene:gene-LATHSAT_LOCUS1437 transcript:rna-LATHSAT_LOCUS1437 gene_biotype:protein_coding transcript_biotype:protein_coding MDETISRIVTEIEDLRDNHRPDNPQPLSEQSLSDLQKLLKNSQALDALYDAVSPSHLIPPIATAMDSSPTPHSLLASHVFLSFLLSPNAPVFTLFTPLSFLSFLRSIRRSLKNNNSSNNSQPRPKRKRNSKNKKTPENDEAEPNSTNSQHKLDVRVLLSLFEKLVSVMSLIHLDRFPESLKSLIQTISEVPLTAIESCGDEFQYSKLVALCSRILKEVLKPEHGEPSETAAEVLKSLSPIAPMPKSLVRTFAVSFVTSLARDSVGVRKALVNFPRYLAIKAPDKAEPRGMAVEFIMEVVKVMELEDQIGFVKYVVKITQGKGNLRLLGVDLILKLLTTLKDPLGVNSMEEEEKEGKEAWGMWCLEALVKRCSDVSAMTRARAISNLAQVVGLLSGSDRATVVLKKFMGFGDGNDVSVGGKGINELLRRRCVDEKAVVRKAALLLVTKLTALLGGAIDEVVLKTMGMACSDSLSSIRKAAVEALSEAFKTFSAETVITEWLHSVPRQIADNETSIQEECENVFEELVLDRISRGTTSSSSYVGSTSGSKEIEKGVDEEMATSFPQGILYLLREICNGEVSPWVKKICTNLGKKKRLKHKIVTALQNIIKVSESIWLKHSMPIEKWTAPPGAWFLLSEVSVFLPKAVDWDFLHHHWQLLDKHKVKGEFQSPFVQKNANGEEESIECNDVAWASDRVFLLQTISNVSIELPDEPAAGLAHNLLKRVEKFNMHSTEVDAHVKALKTLCKRKASSDMEAEVLVLRCVHQVLSRASQIIETFISDNSEQDAETGFFTPPRSGPSKGRKSVRKRKSLSKAITAIYTIGSLVIVCPSADMSAVTPLLHTIITSGNSGPKLNKLPGPATTLQQEAPSFYIQGWLAMGKLCLADGKLAKNYIPLFVQELEKSDAAALRNNIVVMMADFCVRYTALIDGYITKITRCLLDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKAPLLAYNSFVEAVFVLNDCHGHIGHRESGESRKDSQLFSIRGADEKSRSRRMHIYVSLLKQMAPEHLLATFAKLCAEILAAASDGMLNIEDATGQSVLQDTFQILGCKEIRIQATRGSSESADLEEEGGENGSSTRKSITQAVKKGLIQNTIPIFIELKRLLETKNSPLIGSLMECLRVLLKDYKNEIDDILVADKQLQKELIYDMQKYEAERAKATVAEAVASMPKPGANQSPDDSKNLAKEQGQTHEQNEDKDQFPSGSRIASAMADAAAAATARSVLKEINKGAVTPQLSSLNVPKVKSFTGECIARDDKRLDVIKSLKKKHAFDSDDEN >CAK8560153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9029555:9030109:1 gene:gene-LATHSAT_LOCUS13857 transcript:rna-LATHSAT_LOCUS13857 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYRDHIWFCCVVLLMLIFLLYILTLILTLENNSPMEFAIADASIKQFNITSDNTLYYNFKVNITVRNPNCFKALYTMKAISSYKGNRIAEVDMSPMDPGFEKTIALKPVVFYGNSFIKLSDQQFIEYDNETRLGIINLDLKLDLESNQYVYCLGLRVPLISDGKLESTFNVTNCTREYQGWG >CAK8540944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34052160:34055624:-1 gene:gene-LATHSAT_LOCUS9898 transcript:rna-LATHSAT_LOCUS9898 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHHISLTLFTTLLISSLSNLETCFANNDIINNDINIQLNDDVLGLMVFKSDLQDPSSSLSSWNEDDINPCSWQYVKCNKEGRVSELSLDGLNLSGKIGRGLEKLQHLEVLQLSHNNLSGAISPSLTLSSTLQTLNLSHNSIYGELPNSFIDMSSMRFIDLSYNSFAGQMFDGFFENCSFLRRASFAMNMFEGQIPSTISKCALVNSVDFSNNRFSGNVDFARVWSLSRLRNLDLSNNDLSGNLVDGVSNLHNLKELLLQNNHFSGNLPKDIGFCVHLDRIDFGDNQFSGELPESFGRLSYLRYFRVSDNHLFGEFPQWIGNLISLEHLDLSNNQFYGNIPLSLVSCMKLSKVFLRGNAFNGTIPEGLFGLGLEEIDFSRNGLIGSVPVASNRLLETLTKLDLSVNRLKGNVPAEIGLLSKLRYLNFSWNDLDSQIPPEFGLLQNLEVLDLRNCAMYGSIPADICDSGNLDVLQLDGNSLKGSIPEDIGNCSSLYLLSLSFNNLTGSIPKSMSNLNKLKILNLEFNELSGELPMELGKLQNLLAVNISHNRLTGRLPVGSIFQNLDKSSLEGNYGLCSPLLKGPCKMDVPKPLVLDPHGFDNQMNPRTPRNESSESSGSVHHHRFLSISAIIAIAASFVIVLGVIAISLLNASVRRKLAFVENSLESMCSSSSKSGAPPTGKLVLFDSQSSQDWITNPENLLSKASEIGEGVFGTVYKIPLGSQQGRNVAIKKLVTSNILQFPEDFDREVRILGKARHPNLIALKGYYWTPQLQLLVSEFAPNGNLQSKLHEKLPSSPSLSWPNRFKILLGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENFNAKISDFGLARLLTKLDKHVMSNRFQSALGYVAPELACQSLRVNEKCDVYGFGVMILELVTGRRPVEYGEDNVLILNDHVRVLLEHGNVLECVDPSLMNEYPEDEVLPVLKLAMVCTSQIPSSRPTMAEVVQILQVIKTPVPQRMEVF >CAK8563448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:605462995:605463669:-1 gene:gene-LATHSAT_LOCUS16859 transcript:rna-LATHSAT_LOCUS16859 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATLLLAVLFVAGVINIFFYIPTDKICTFLQILFPNNNKNINNGCKTTKMESDANYGKEEEELEKVFSTFDKNGDGFITKEELRESLKIFMNKKEVDDILVKFDSNRDGLIDFDEFCLLTRECMGGGEEKEKEGVNSNEKKLEELEGNLKEAFDVFDKDNDGLISEEELALVLNMLGLREGKKIEECKEMIRKVDMDGDGMVNFNEFKIMMKKGGAKLVFDA >CAK8578227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613393424:613395934:1 gene:gene-LATHSAT_LOCUS30257 transcript:rna-LATHSAT_LOCUS30257 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNKKRKREEIRPTIHPNNKYSENPPDFAHLASLYPSFQPFVQHSHNGYPRIDWTDFNATRELTRVLLLHDHSLYWWIPDGQLCPTVPNRSNYIHWLQDLLSSNIIPNTISCGDNCKVRGFDIGTGANCIYPLLGSSLLGWSFVGSDVTDVAIEWAERNVNSNSHVSELIEIRRVQSNATSSCVEGLLQDEESPVLSGTEVEPLPKLPLDLNACENKNYDGPPILVGVVRDDEKFDFCMCNPPFFESLEEAGLNPKTACGGTSEEMVCSGGEKAFITRIIEDSTQLKHQFRWFTSMIGRKSNLKCLTSKLWEVGVTVVKTTEFVQGRTSRWGLAWSFLPIVKQKSSISLPNKNTMSFMLEGLQRKDGAINVLEDVKSYFSLHGLSCTMNTSSFTVDVVATKDDCNSILKNEMPIINQSTDYQPTEKKTSNGSSVNLSCDRSSLRISVFQQIPGTLLVKGSVQDKNSPLLGSFSVIFHKLEEALRNKFCTRSV >CAK8538596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488344707:488345424:1 gene:gene-LATHSAT_LOCUS7777 transcript:rna-LATHSAT_LOCUS7777 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLV >CAK8561700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:358428859:358430781:-1 gene:gene-LATHSAT_LOCUS15265 transcript:rna-LATHSAT_LOCUS15265 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSDSPVQTQMAVALFRSPLGREYLESKRMEGKQPSGKRRVFVQTETGCVLSMELDRSDNAHTVKKRLQIALNVPTEESSLTFGDVVLKNDLSYVRNDTALLLTRNLMHRSSSTPCLSPTGRDMQQRDNSGPIEILGQSSNLDIMKHMVKDIVKAMKIGIEPIPVHGGLGGAYYFLNRRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDYGHFARVPATALVKITHSIFNVNDGVNGKNIQKKKLVSKIASLQQFIPHDFDASDYGTSSFPVTAVHRIGILDIRILNTDRHGGNLLVRKVGAFGEVDLIPIDHGLCLPEALEDPYFEWIHWPQASIPFSEDELSYIKNLNPARDCEMLRKELPMIREACLRVLLLCTIFLKEAAAYGLCLAEIGEMMTREFRSGEEEPSELEVVCLEARKLLAEKEELSPRTDLEDDEFLFDIDCDAAGSDSTLKMTMDNPPLMRAPFQPGHGSLYGRNPFSKLYESIEEGEEEEGDGKYPEVVTFSPHQKFPKVSEISVSLKNIILSEASQKHQKHSGGKADNGHYGNTSSGRRSANEKLPASISFVSMADMTEDQWTMFLEKFQELLYPAFSKRKSITIGQRQIQRLGTSCQF >CAK8576548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:491181620:491182012:-1 gene:gene-LATHSAT_LOCUS28727 transcript:rna-LATHSAT_LOCUS28727 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIVLRIHHNDEFIDGDLRVYEGVKADEMKVDVDRWSYFELIGSLKDLGYRDFEKIYYNDPTFGMNSLNDDAGALDIADLYRAHLGVDIYIQHKLDHPDYYDGPIEAKLENGDNVNEKPDVVEEVLSK >CAK8572507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542232040:542237377:1 gene:gene-LATHSAT_LOCUS25066 transcript:rna-LATHSAT_LOCUS25066 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKYRDALKSVRAPSISSPTSTSSANGPVIELVSTSLLNPNRSYAPLSTEDPGSSSKALNPITVGLPPAWVDVSEEISANVQRARKKMAELSKAHAKALMPSFGDGKDDQHAIESLTHEITDLIKRSEKRLRRLAAAGPSEDSNVRKNVQRSLATDLQSLSVELRKKQSTYLKRLRQQKEGQDGVDLEININGSKSRFEDDDLDNMIFNEHQMAKLKKSEAFTVEREKEIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEDGLKQLQKAERTQKKGGMVMCATVLLIMCFVMLVLLVIKEIIL >CAK8531131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83169271:83170518:-1 gene:gene-LATHSAT_LOCUS943 transcript:rna-LATHSAT_LOCUS943 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTAADSGTINIAASAQKLDVDNRIALRFYYRIADNILRQADIFRAEKNIIDLYVMLLRFSSLASETIPRHREYRSSPQTKKQSLKKRLNELEKLKPLAQQKINEINSRNAHRQNGRGNFHSNNNVDFSSAQKQTLASNGQIKPVRATAMEFAYQGSSGQHFSYVKPVEEHVRRLSLTLPPPKEETLSGHSILGPNGLNGQWRSPTIDTGVRYPSNIDLSPVELPSLQRHLEDVSPSNKDSSIAELHKLDLNSIPTESEDSQPQHTQESPSLISFKATEETSAQIEIIRQPSPPPVLAEVHDLVPSMSPHINEAGCKAEIPSSDSSVHVESPLQLHISTALMESFMNLAKSNTKKNLETCGVLAGLLCQTTNEEEIFEVQDKRSLFPLGWTHIMLPESVAIVMAPTDSSRN >CAK8575314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:76681323:76681646:-1 gene:gene-LATHSAT_LOCUS27587 transcript:rna-LATHSAT_LOCUS27587 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDFPVVGNKFTWLKANGSFCSRLAKILLSEDLISNWNIMAQVMGDIDILDHRLVWIKDNVLNWGSKPFKAFRSWFEHLGFLEFVMKEWNSISGGKCCSYSICKV >CAK8561165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108840787:108841293:1 gene:gene-LATHSAT_LOCUS14777 transcript:rna-LATHSAT_LOCUS14777 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLQVHVSLRNSSYDGFADLWHRLFWQGCSNHHEVQLINRNGVGVHQSLKAWKLASNFHKWRQEEENLRQDLALALFSSALFLNALMSTELRFFIFLCSRHPKTCSKPLSIHPIYGSDAGGYSEAAFGYFETF >CAK8571546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:438067705:438068151:-1 gene:gene-LATHSAT_LOCUS24196 transcript:rna-LATHSAT_LOCUS24196 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFWQKESRVKWNILGHRNMTYFYRTSNIENSSKLITFIGHENSLIINPLEIDNILVNHFTSIFRFCNNVTSNDLIDQTIPSLISNATNNLLIMTSSASEITNAILSLNKDDSSRPDDFSAFFFQTYWDTIKDGVIGVILQFFISN >CAK8538119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464527534:464528327:-1 gene:gene-LATHSAT_LOCUS7353 transcript:rna-LATHSAT_LOCUS7353 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEYLVLSGITMSSVNVSLFTLTITCETLLLLLLLLLKYLWASNMLSQDIGYSKLAHLAPQYGLYSSFVPPLIYAFMGSSRNIVIGPVAVVSLLMGTLLSNEIDLVAHKEEYQRLAFTATFFVGITQATLGIFRLGFLIDFLSHAAIVGFMGGAAITIGLQ >CAK8544709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701483075:701487440:-1 gene:gene-LATHSAT_LOCUS13364 transcript:rna-LATHSAT_LOCUS13364 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQNNNIQFPTSSFTQHHRIESSRPLLFRGNAESQPRDSDDDDGQKQKQNTTSSSLPSPTLTLTTLVAVFGSYVFGTAIGYSSPTQSRIMIDLNLGVAQFSIFGSILTIGAMIGAIVSGTIADFAGRRLAMGFSQLFCILGWLAITIAEDAWWLYIGRLLVGCGIGLLSYVVPVYIAEITPKNLRGRFTAVHQLMISCGMSLTYLIGAFVNWRNLALIGIVPCLIQLLSLPFIPDSPRWLAKAGRLKESDSSLQRLRGKNADVYKEANEIRDYTEALQQQTEASIIGLFQLQYLKSLTVGLGLMILQQFGGINGIVFYANSIFTSAGFSESIGTIAMVAVKIPMTTLGVFLLDKSGRRPLLLLSAVGTCVGCFLVALSFFLQDIHKWKEVSPILALVGVLVYVGFFSLGMGAIPWVIMSEIFPINVKGSAGSLVTLVNWLCSWIVSYAFNFLMTWSSTGTFVGFAVVCGFTVIFVAKLVPETKGRTLEEIQASLNSNSLKR >CAK8540881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:28241421:28245260:1 gene:gene-LATHSAT_LOCUS9840 transcript:rna-LATHSAT_LOCUS9840 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQAMELLFGKNTPKQMIPKVTLLAIFAILVFTITPLSYPLFRYSSTMKNVKQIKQQPSSAFDDLNESSSLPSSSIKKCDIFNGEWVPNPKAPYYTNETCWAIHEHQNCMKYGRPDSEFLKWKWRPFGCELPVFNPFQFLEIVRGKSMAFVGDSVGRNQMQSMICLLSRVEWPIVVSHRENDYFMVWKYPTYNFTLSSFWTPHLVRSKESDQKGPTSTGLFDLYIDEPDENWITQIEDFDYVILNGGHWFTRSMVFHENQKIVGCHYCLLENVPDLTMYHGYRRAFRTAFKAINNLKNFKGITFLRTFSPSHFENGIWNQGGDCVRRKPFRSNETELEGVSLEFYMIQLEEFKVAEKEGRRRGKRFRLYDVTQATLLRPDGHPSRFGHWPNANVTLYNDCVHWCLPGPIDTWSDFLLDMLKMEGVRSAEERRLHLKTN >CAK8536031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901045387:901046553:-1 gene:gene-LATHSAT_LOCUS5433 transcript:rna-LATHSAT_LOCUS5433 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEELKLNLNNLKALKILGKGAMGTVFLVQQNNSFMALKVVDKTSTHDAERRARWETQVLSTLVHPFLPSLLGFFETDQLLAWSVPYCPGGDLNVLRHHQIDRVFSTTVIRFYIAEILCALDHLHAMGIAYRDLKPENVLIQQSGHVTLTDFDLSRQLSHKTVKIKTLISIEDNRIRESRRKFRKWRVPLTEANGKIARVSPVVRRELSFSDGERSNSFVGTTEYVAPEVIRGDGHEFAVDWWALGVLTYEMMYGKTPFKGKNKKETFRNVLFKSPEFVGRKTALTDLIERLLEKDPMKRLGYVGGASEIKQHEFFRGVKWEMLTEVVRPPFIPARDESFFTAGKFVEGVNINEYFEKMKSPPSLPASPLRSPSSEFRNSVSFAEF >CAK8573708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631933529:631934398:1 gene:gene-LATHSAT_LOCUS26117 transcript:rna-LATHSAT_LOCUS26117 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPKRHTNQEPIKYGDVLPVSGDLAQKPVAPEDAAMMQSAESRVLGQTQAGGVASVMQAAATKNEQAGIVGHKDVTDVTGDRGVTVTETQLPGRRIITESVGGQVVGQFVEPTPVQCGPTSPVRESALTIGEALEATSHTMGHKPVEQSDAAAIQAAEARATGSNVITPGGLASMAQSAAAFNADCPREEEKIKLSDVPSGATAKLPADKAATQQDAAGVANAEMRNSPDAAATPGGVAASVAAAARLNESVTNVM >CAK8543779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639275864:639281863:-1 gene:gene-LATHSAT_LOCUS12515 transcript:rna-LATHSAT_LOCUS12515 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEDYGKKENASSDDSESDVFKAWAKDVRECEEQFKVSVKSGLSNEEVENRRKIYGLNELEKHDGQSIWKLVLEQFNDTLVRILLAAAIISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHASVIRNNESVPSLPAKELVPGDIVELKVGDKVPADMRVVELVSSTLRLEQGSLTGESEAVNKTNKPVGEDTDIQGKKCIVFAGTTVVNGHCFCLVTHTGMNTEIGKVHNQIQEASQSEEDTPLKKKLNEFGERLTMMIGLICILVWLINVKYFLTWEYVNGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGPNVDTLRAFKVEGTTYNPSDGQIENLPAGQLDANLQMIAKIAAVCNDAGVSQSEHKFVAHGMPTEAALKVLVEKMGLPEGSKDVQSGSKTTILRCCEWWNQHDRRIATLEFDRDRKSMGVIVDTGAGKNSLLVKGAVENVLDRSSKVQLRDGSIVELDNNAKNLILQALHEMSTSALRCLGFAYKDELTNFENYNGNDDHPAHQLLLDPSNYSSIENDLIFVGLAGLRDPPREEVYQAIEDCRAAGIRVMVITGDNKNTAEAICREIGVFTPNEDISSKSVTGRDFMELRDKKAYLRQSGGLLFSRAEPRHKQDIVRLLKEEGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSSIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLINLWILFRYLVIGIYVGLATVGVFIIWYTHSSFLGIDLSGDGHTLVTYSQLANWGQCSSWNNFTASPFTAGSRVISFDDNPCDYFQTGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVALPVILIDEVLKFVGRWTSGSAIRSKQKTE >CAK8566462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425515246:425515970:-1 gene:gene-LATHSAT_LOCUS19591 transcript:rna-LATHSAT_LOCUS19591 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLHYIINKSNRRASYNKRKTGLLKKVKEISTLCGVEACVIIYGENSAKPEVWPPGLGTRNVLRMFHGFSELERNKKMMDLEGFLKKSIEKSQEQLAKQILENKKNRFSIFIDEALSNQKFNTDLVKINEFNDLTAFIDSNIKEVEKRLNSMNLEEEEYYGNGIEAIPEVDQHDNIGNAQELVNKEEMQANINGLDNNKDYDI >CAK8563418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601760609:601762927:1 gene:gene-LATHSAT_LOCUS16833 transcript:rna-LATHSAT_LOCUS16833 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNHGGDDELYPGGSMDLGGRLFTVSSNVDVYSSPTKRARISVPFSFGDLEPERQVDHKSSVEILPDECLFEIFRRLSSGKERSSCACVSKRWLMLVSGICKDETETVVKTISSDESHEDVEGDGDGYLTRRLEGRKATDVRLAAIAVGTSWRGGLGKLSIRGNNSVRGITNRGLSAVANGCPSLRSLSLWNVSSVGDKGLCEIGKRCHMLEKLDLCHSQWITNKGLIAIAEGCPNLTTLNIESCSKIGNEGLQAVAKLCPNLHSISIKDCPLVGDHGVSSLLSSASELSRVKLQILNITDFSLAVVGHYGKSITNLVLSGLRNVNERGFWVMGVAHGLQKLVSLTITSCHGVTDPSIEAISKGCPNLKHMCLRRCCFVTDSGLVAFSKSAGSLENLHLEECNRFTQSGILGALSSIKAKLKSLTLVKCMGIKDIEVEVSMLSPCESLRSITIKNCHGIGSASLAMIGKLCPQLQHVDLTGLYGITDAGLLPLLENSEAGLVKVNLTGCWNLTDNIVSAMTRLHGGTLEVLNLDGCWNITDASLVAIADNCLLLNDLDMSRCAITDAGVSVLSDADHLSLQVLSISGCSEISNNCMPFLKKLGMNLMGLNLQNCMGIGSNTIELLMESLWRCDILA >CAK8560796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:44122907:44127008:1 gene:gene-LATHSAT_LOCUS14443 transcript:rna-LATHSAT_LOCUS14443 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSFLFCHHTLILLILFLFFTSSYGSASSSFTLSTFTYPQTTLKPYGSSYIRVDIPPWFSAVSTVFISDVDLDVARIERLPKHSLPIICFRDGSPPLPDALNTSLKLSTVSGINGLDIKQCFPMEKNITMKLTNELISPGAWYIGVFNGFGAARTQSRMIIRGPSYSFSANISVEACTNSMMSGEFCNTTVNPLSCTASDVYNSLKSMVKKPTAENAMTCKSNFKTLCVEEGLPNLYSLDITNTVEELTVIAGNIKLNVTSSSNASGASDVKLLCFARHGAMPTNSLYDYSRDLNKSPLVIHSPLIGRWYISVLLPVNLTKQIGDTREGDVKVCYSLESQMLQCPFGKAGPNCTMSSYTLQTVLKRSSVPFESYYLPIGEGVYSAKFPLEPLLNNSSKFGETNETWMYFTLDIPHGAAGRNIHVQLSSDTKISYEVYARLGGLPSVDIQDYYYVNKTSKSHQSVFFMLYDSSDKNIDFYLIYAREGTWIFGLRHVNTSSDSLKQKTIMSISLEGCPNQCSSHGDCKYLFDASGLTSYSFCECDRNHGGFDCSIEIVSHKGHILQSIFLIGSNAAALLPAYWSLRQKAFAEWILFTASGIASGIYHACDVGTWCALNFGVLQFMDFWLSFMAVISTFVYLATIDEVHKRAIHTAVSIFTAIIAVTNATRSSNIVYVIVIGALGLLIGWLIEMSSKYRSHSFSFRLSPNFHQSLLTIKLWFYNLVKALIRRFRWGFILAGLAALIMAGIGWILETSETYWIWHSFWHGAIYTSSFFFLCSKADIGDAEDLPPRSESYELARQDSFSRE >CAK8578964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664707437:664708675:-1 gene:gene-LATHSAT_LOCUS30941 transcript:rna-LATHSAT_LOCUS30941 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEEDELVQMVHDFIESDHSPNSPTSFITSSNHHPLHNRTQLFILQDILRSDTGLEEAKVMKYVMKHMRGRHGSEKTRVLSRWIVKRMRKDGFNASLYQTSWSTSLGCPAGEYEYIELMIEDENNDGDPMRLIIDIDFRSQFELARPTENYKELTDSLPIIYVGTENKLCKIISLLCSAAKQSLREKGLHIPPWRTTTYMQSKWLSVCRKEANPVGNGVGIGDNSVVGNSKRVKKSDLGGESGLSSQFSNMRINCC >CAK8532713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:498169396:498170124:-1 gene:gene-LATHSAT_LOCUS2391 transcript:rna-LATHSAT_LOCUS2391 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLLGAMSDPDTLIKIITYDGNIMEFYPPITPYFITHEFQGHALFPTNDHFSKPLCQFDELVAGQSYYLLPTTIPPPNNKTTVGDNGSSIIVRQGHVRSQRVPTTPHPTPYRMSLDYQYYQGVGLLQKVSAESFSCRTNPGSVNNKSSISKRRKSIGVWKVKLVITPEKLLKILSQESQTKDLIESVRIVAKCGVAAAAASGGGCGISSTTRSFVSDQWSLSSSGRSTAPSKIDVLVLNT >CAK8571312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:370711704:370713026:-1 gene:gene-LATHSAT_LOCUS23984 transcript:rna-LATHSAT_LOCUS23984 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEIDVSPFFLCPISLQLMNDPVTVSSGITYDRQSIEKWLVSSKTNTCPVTKQQLLHDHQNLNILTPNHTLRRLIQAWCTINSSYGVERIPTPKPPTTKTLIQKHLKEASDSSDSPHLLIQSLRKLKTIASESETNRRCIESAGAVEFLASIVTKNNTSCSSSWSTTEMAEATILDDDDIEGFAFDFNIGADDEAINILYNLHLTEQGLKTLLNFKNGEFLDSLMRLLQKGNYDSRTYAVFMLKSMSKIADPSKLANLKTEFFVQLVQLLKDQISKKASKATLKTLIQLVEFGRNRVRAVESGCVQVLIELLVDCKERKPCEMILVLLEMLCQCADGRYELLSHGCGLAIVSKKILRISTMANNRAVRILLSVARFSATHIVVQEMLQIGVVAKLCLVLQVDSGNKAKEKAREILKLHAKCWRNSDCLPLLASYPSSSA >CAK8578402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626532114:626534573:-1 gene:gene-LATHSAT_LOCUS30415 transcript:rna-LATHSAT_LOCUS30415 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRGLTTNFEEGVKGFIAWAFAQECCRREGGVRCPCLKCECRRIISDPEEVECHLKRKDFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEEFSNEEAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMYSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDYHRKFLPRDHVFRRNKTDFKKDVRVKDLPPPRLSPEEIWNRVSELPKFTDYGEAYRIEGYGFKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVCRWLNELRMPDGYASNLARCADTKTGKLHGMKSHDCHVFMEQLLPIAFSSLPNHVLYPLTEISQFFRDICASTLRVDNIIKLDQNIPVILCKLERVFPPGFFDSMEHLPVHLAYEAYLGGPVQYRWMYSFERFMGDSKRSVKNKAKVEGSICAHYLHWETSHFCSHYFNHLMLTPRIIRNEFDVNKRS >CAK8576233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:432810278:432810778:1 gene:gene-LATHSAT_LOCUS28439 transcript:rna-LATHSAT_LOCUS28439 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKIMKPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEINDGDVEIPQD >CAK8579644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714341245:714342922:1 gene:gene-LATHSAT_LOCUS31574 transcript:rna-LATHSAT_LOCUS31574 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLIEQYGLPQEFPANLRVLVIDHDISLLDVIQKTCFQFQYQVKTCSKVSDALDLLRQTNNCFDMILIEAQMFDMNSYEFVQYVTQEIKIPVIMMCIDGSTSAVMKSIVNGACDYWIKPLNENQIKNMWQHVARKFLNKNKKQEMNKGKMNVEGNNNTREDYITFEDIETDKDNHELRTEKTRLAWSPELHKKFVDAVTELGIDRAVPKKIQEIMNVDGLTRGHIASHLQKYRQYLKRENLEKHIRIAQNIMESNNSYGFSSGFNYQPYGGASSSTHHSVPLEMQNQNALFKSSSSSSLWPNNNSHIEQVPNNMLWQQPQEYGTWQQVPMSSLTTGALSSSPGFSPFSADVNNGGISSSAAVVEDDGNSIRVENEAELNSIYDSLENHLLS >CAK8576923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524926156:524927317:1 gene:gene-LATHSAT_LOCUS29079 transcript:rna-LATHSAT_LOCUS29079 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFLLICILSIGLAFTGVQSIGVCYGMIGNNLPSKQEVIDLYKSNGINRMRLYYPDEEALQALRGSNIELILDVAKETLSSVANANEATNWINKFVKPYSQDVKFKYITVGNEINPNDNEAQYILPALQNIQNAISAANLQGQIKVSIAIAMSLIQSSYPPNNGAFVDPAKSYIQPIVNFLVNNGSPFLANVYPYIAYVGDKQNIPLDYALFNQQGTNDAGYRNLFDAQLDSVYAALEKVGGSNLQIVVSESGWPSAGGDGATPENAATYYSNLINHVKSGTVKKPGMAIEAYLFAMFDENQKTGASTEQHFGLFNPDKSPKYQISFN >CAK8537959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450527614:450527990:-1 gene:gene-LATHSAT_LOCUS7205 transcript:rna-LATHSAT_LOCUS7205 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRVSNLNQIFVILVLVVSVWNMELQQVEGAKPCTMHLGACGPAGDCVKRCKAAHVDGLGSCDLGLCTCVHSCG >CAK8564536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680150967:680155608:1 gene:gene-LATHSAT_LOCUS17834 transcript:rna-LATHSAT_LOCUS17834 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGTISGVLPEPHGFLVHYPGYPSSTSRAIDTLGGIQGILKARSSQSNRLELRFRPEDPYSHPAFGERRPTNALLLKISKKKLTDDDGAKASNSMRGMEHGMQENHVESEHGAADKIDEKENLCADIVAHVPEAYFFEGMVDYQYVVPVHANVAKRKKRNWSEPEETHLAKGSRIDVDHEDIMIIVPPIFSPKDMPENLVLRLPTVSSSKKKDDQEIAQPHFEIGREPVLALDFHIKDILLSIINWEEYIPKGSDQWESQMAVSRLFDEKPIWCKNSLTERLLSKGLSFSHGMFRRLLSRIAYYFSSGPFLRFWIKKGYDPRKDPDSRIYQRIDYRVPVPLRSYLDTYTANKSEHRWGDICAFRAFPYKFQTSLQFFELVDDYIQVEINKPPMQGTCTFESGWFSPRKVNCIRQLLMVRYLSVFPKPGVQSLLKAATYKFEKMKKECNRITMKLDGVESQQANTGLEESEEPDNVEDEDREAVEANDSDEEESEEELDLFGDTEMPLPSPSRLNISMTHLQDLFGSFPSDEIDGNKAPENGSEEEYQIYEEDSDNYSEE >CAK8564535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680150967:680155608:1 gene:gene-LATHSAT_LOCUS17834 transcript:rna-LATHSAT_LOCUS17834-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGTISGVLPEPHGFLVHYPGYPSSTSRAIDTLGGIQGILKARSSQSNRLELRFRPEDPYSHPAFGERRPTNALLLKISKKKLTDDDGAKASNSMRGMEHGMQENHVESEHGAADKIDEKENLCADIVAHVPEAYFFEGMVDYQYVVPVHANVAKRKKRNWSEPEETHLAKGSRIDVDHEDIMIIVPPIFSPKDMPENLVLRLPTVSSSKKKDDQEIAQPHFEIGREPVLALDFHIKEIPKKVNWEEYIPKGSDQWESQMAVSRLFDEKPIWCKNSLTERLLSKGLSFSHGMFRRLLSRIAYYFSSGPFLRFWIKKGYDPRKDPDSRIYQRIDYRVPVPLRSYLDTYTANKSEHRWGDICAFRAFPYKFQTSLQFFELVDDYIQVEINKPPMQGTCTFESGWFSPRKVNCIRQLLMVRYLSVFPKPGVQSLLKAATYKFEKMKKECNRITMKLDGVESQQANTGLEESEEPDNVEDEDREAVEANDSDEEESEEELDLFGDTEMPLPSPSRLNISMTHLQDLFGSFPSDEIDGNKAPENGSEEEYQIYEEDSDNYSEE >CAK8573537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618597779:618599128:1 gene:gene-LATHSAT_LOCUS25973 transcript:rna-LATHSAT_LOCUS25973 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQLWWEAQKEVIFAFTGLSPATFFTILAILFALFYIVSGLFGSSSSDRHHQTRHIQAEVPPLRPPVQLGELTEEELKGYDGNDHDKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFDEKDLTGDISGLGPFELDALQDWEYKFMEKYVKVGTIKKEVPVAESSGGPSESTPRDVDAVNPTAAADKSDVDAVNPTAAADKSDETPSNVLIPQRYCRKKKTKRLSKVSGKFS >CAK8569847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13586425:13587370:1 gene:gene-LATHSAT_LOCUS22656 transcript:rna-LATHSAT_LOCUS22656 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLAKNGSEFEKKTKQHIKEYADAGLRTLILAYRKLEEEEYTLFNKELMEARSLVSADREQIMEDVLENIEKDLILLGATAVEDKLQNGVPECIDKLAEAGIKLWVLTGNKMETAITVGFACRLLRQEMKQIIISSDTRETKSLEKMENKSDADVAIKKSVVCQLMEGKELLGASIENIEALIDGKSLTYALEDDVKDLFLALAVSCASIICYRSSPKQKALV >CAK8574252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672340749:672341538:-1 gene:gene-LATHSAT_LOCUS26612 transcript:rna-LATHSAT_LOCUS26612 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFLHELLNGISISSTYPTRKSSKRYLSDSSVKYCKSMTKSNKVLTKMSDTVKGKLRLGAKILRVGGVEKVFMQLFGVTDGEKLLKASQCYLSTTAGPIAGLLFISTHFAVKNPSRSLLQKENLLEFKVCIPHEKIEHVNQSQNMKKPSEKYIEIVTVDGFDFWFMGFFNYRKAIRYLQQAMSESQREKL >CAK8541114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67954850:67956382:1 gene:gene-LATHSAT_LOCUS10064 transcript:rna-LATHSAT_LOCUS10064-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFLQVTSMQLIYRVVVLVRDPSCICRPRTFISSLATCHPGDEASNFWRKEQSQDLSRYVDTLTAKIGKGSSEEDILLSLISDEVVNGIPPSQNLVNRLLCRYKDDWKSALGIFKWASSHAHFKHSQESYDMMIDILGRTKVMGKMREILEEMRQESLVTLNTIAKVMRRFVGAKQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLCKEKFVEQAREIYLELKRHIAPNAHTFNILIHGWCKIRRVEEALWTIQEMKGYGCRPCVISYSTIIQCYCQDQNFDRVYELLDEMQAQNCSPNVVTYTTIMCAMAKAEKIDEALQVVDRMSSTGCRPDTLFYNSFIYTLGRGGRIDDAMHVFKVVMPKAGVTPNTSTYNSLISMFCYYAQEDRAFGILKEMEESGLCKPDIQTYHPLIKSCFKMRQIDSLLNDILNDMINKYHIGLDLSTYTLLIHGLCRADRCKWALDLFEKMIDQDILPMYRTCRLLLDEVKQKNMYQAVEKIEILMKKL >CAK8541113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67954193:67956382:1 gene:gene-LATHSAT_LOCUS10064 transcript:rna-LATHSAT_LOCUS10064 gene_biotype:protein_coding transcript_biotype:protein_coding MYATCHPGDEASNFWRKEQSQDLSRYVDTLTAKIGKGSSEEDILLSLISDEVVNGIPPSQNLVNRLLCRYKDDWKSALGIFKWASSHAHFKHSQESYDMMIDILGRTKVMGKMREILEEMRQESLVTLNTIAKVMRRFVGAKQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLCKEKFVEQAREIYLELKRHIAPNAHTFNILIHGWCKIRRVEEALWTIQEMKGYGCRPCVISYSTIIQCYCQDQNFDRVYELLDEMQAQNCSPNVVTYTTIMCAMAKAEKIDEALQVVDRMSSTGCRPDTLFYNSFIYTLGRGGRIDDAMHVFKVVMPKAGVTPNTSTYNSLISMFCYYAQEDRAFGILKEMEESGLCKPDIQTYHPLIKSCFKMRQIDSLLNDILNDMINKYHIGLDLSTYTLLIHGLCRADRCKWALDLFEKMIDQDILPMYRTCRLLLDEVKQKNMYQAVEKIEILMKKL >CAK8565853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343744758:343745237:1 gene:gene-LATHSAT_LOCUS19032 transcript:rna-LATHSAT_LOCUS19032 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITQKDIKTMSLDKIHQVTLESLEKLCRLHHHFSKVAKQNSKFTKACKKPYLDIKCKDKRCSCATKKKHHQQKYTKPRRIFKGKKKKAMKFFKREPFRGKGKIKDDLFGEKGMFSKERPNNTNKAAKLINSLQPLEGNLESLYSEQSSTSEETIFVL >CAK8572515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:542622048:542633117:-1 gene:gene-LATHSAT_LOCUS25074 transcript:rna-LATHSAT_LOCUS25074 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEWSESRYDDRNDKDDSPVREHYDDDGVDKSSRHRSKDRKKSDKERPSKDRDQPKRASEDVEKDRGAGRERNRDDWEKDRGKDGKVREIDYDREKYREKERERDRDRDKKDRGKDRDREKERELEKDSDRVREKERGKEKTRDRDRDKPKEREREKHRDRESYRDGDRDKGKEKFREERETDRDKDRSRDRGSRKAHEEDYESGNLDDKVDYHEKRDEEVGKHAKTSKLNQDDKDGETSTHLSQKELEERILKMKETRTNKQSEAASEISSWVNKSRKLEKKRVSQLSKHFEEQDEIAVERSDDEDTAHHTDHLAGVKVLHGLDKVAEGGTVVLTIRDQPILAEGDLNEDVDMLENVEIGEQKRRDDAYKAAKKKTGIYDDKFNDDPSAEKKILPKYDDPVAEEGLTLDERGRFSGEAEKKLEELRRRLTGVSTNNFEDLTSSGKVSSDYYSHEEMLQFKKPKKKKSLRKKDKLDINALEAEAISSGLGVGDLGSRRDAKRQAIKDEQERLAAEMRNNAYQSAYAKADEASKLLRPEQSLYNKTGEDETPAFADDDEDLCKSLEKARRLALKKQEEKGASGPQAIALLAASNPSNETVDDPNSTAGESRENKVVFTEMEEFVWGLHIDEEARKPEGEDVFMHDVEEANVPVEEKKDEAGGWTEVKETETDEQLNSEDKEVIVPDESIHEVVVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVEDEGKEVQNKKEIHIERTDEFGRILTPKEAFRMISHKFHGKEPGKMKQEKRMKQFQEELKLKQMKSSDTPSLSVERMREAQARMKTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAEQSSSNIPKKTKY >CAK8576441.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:478396319:478396795:-1 gene:gene-LATHSAT_LOCUS28628 transcript:rna-LATHSAT_LOCUS28628 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPLKKIHLLQFLLLLNVILGSSIPHHNHTNIKTQPPFLTSNSSIHSLLRISVNIPNHVPEICKGCQEPNGSCNAGLNCLCHPKECKDKVISKVGSIKSTGCVSFSLISFIGTIAFLMYAEV >CAK8577045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533643670:533645366:-1 gene:gene-LATHSAT_LOCUS29187 transcript:rna-LATHSAT_LOCUS29187-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARSIFRSTSSVRSAFRVASEAKPARSPFRSASSKPPSPSQSTFRSPVELSFCVESMMPYHTVTASALMTSMLSLSSRNYAWLSEAGDES >CAK8577044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533643487:533645366:-1 gene:gene-LATHSAT_LOCUS29187 transcript:rna-LATHSAT_LOCUS29187 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARSIFRSTSSVRSAFRVASEAKPARSPFRSASSKPPSPSQSTFRSPVELSFCVESMMPYHTVTASALMTSMLSLSSRNYAWLSEGL >CAK8530488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24128866:24129537:1 gene:gene-LATHSAT_LOCUS347 transcript:rna-LATHSAT_LOCUS347 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFASASAITDQRQKIEQYKHILSVVISSNDIGQARKFIDHILSDDVPLVVSRQLLQSFAEELGRLTPETQKEIAHFILTQIQPRVVSFEEQVLVIREKLDELYESEEQWSKAAQMLSGINLDSGMRVIDDTFRLSKCVQIACLYLEDDDVVNAEAFINKASFLVSNSQHEVLNLEYKVCYARILDLKRKFLEAALRYYDISQSPENAKWRHCRKTQDRSS >CAK8569501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3173025:3174968:-1 gene:gene-LATHSAT_LOCUS22346 transcript:rna-LATHSAT_LOCUS22346 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSDDLHQTHPSPLVPPDPQDFSNLFNQLMDPSSSSSLNFSHPFPNSSTTIPYPPNPFTSSSSPQNDEASELPSSKATPPSRSSSKRSRAAEFHNLSEKRRRSKINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMVRNGYSLHPVSLSGGSRPTTAFSQTDLFNLDEGNIGFHNSINAIASSANDESFVPPSFSFPEQGCISNQTVAANIANFDASSSFQPAIKDVFCNNMPQLILDSTRTEKTLPDMS >CAK8537381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:316339182:316342434:-1 gene:gene-LATHSAT_LOCUS6674 transcript:rna-LATHSAT_LOCUS6674-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFATRGIGVAKLHDPYNPNLKSLFLGQTLRIKPSINNQQPPCSGKFSTPKAFHGGEWLHSVHNLFVGVGVGLPCTVMECGDMIYRSTLPKPSGLTLTIPGVVLAFGALSYLWATPGVAPGFFDMFVLAFVERLFRPTFRKDDFVLGKKLGEGSFGVVYRVTLANKPSSKEGDLVLKKATEYGAVEIWMNERVRRACANSCADFVYGFLERSTKKTPEYWLIWQFEGDATLADLLQSRDFPYNVETLILGEVQDLPKGLERENRIIQTIIRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAATDLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSTGLIFLQMAFPGLRTDNSLIQFNRQLKRCDYDLVAWRKTVEPRCGSELRRGFELLDLDGGIGWELLTSMVRYKARQRLSAKAALAHPYFDKEGLLALSFMQNLRLRFFRATQQDYGEAAKWIIQLMARSGTQKDGGFTEAQLQELREIVPKKKSGAKRNALASALKVQRKIIKTLNESMDELSRNRKSIWWRRWIPREE >CAK8537380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:316339182:316342440:-1 gene:gene-LATHSAT_LOCUS6674 transcript:rna-LATHSAT_LOCUS6674 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATFATRGIGVAKLHDPYNPNLKSLFLGQTLRIKPSINNQQPPCSGKFSTPKAFHGGEWLHSVHNLFVGVGVGLPCTVMECGDMIYRSTLPKPSGLTLTIPGVVLAFGALSYLWATPGVAPGFFDMFVLAFVERLFRPTFRKDDFVLGKKLGEGSFGVVYRVTLANKPSSKEGDLVLKKATEYGAVEIWMNERVRRACANSCADFVYGFLERSTKKTPEYWLIWQFEGDATLADLLQSRDFPYNVETLILGEVQDLPKGLERENRIIQTIIRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAATDLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSTGLIFLQMAFPGLRTDNSLIQFNRQLKRCDYDLVAWRKTVEPRCGSELRRGFELLDLDGGIGWELLTSMVRYKARQRLSAKAALAHPYFDKEGLLALSFMQNLRLRFFRATQQDYGEAAKWIIQLMARSGTQKDGGFTEAQLQELREIVPKKKSGAKRNALASALKVQRKIIKTLNESMDELSRNRKSIWWRRWIPREE >CAK8542990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564365963:564367480:1 gene:gene-LATHSAT_LOCUS11781 transcript:rna-LATHSAT_LOCUS11781 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFSLQSFFIPILSVLFLYLYFRFFTISNKPGFKTYPLVGALPSFILNRHRFLQWTTQILRDCPTNTAVFIRPGRIHGIITANPENIQHILKTKFENYPKGERFISLLQDFLGRGIFNSDGDLWKLQRKTASYEFNTKSLRNFIVENVTVETQTRLIPILSKATEKNEVLDLQDLLECFAFDNVCKLAFNVDPGCLGGDGTTGNASFMRAFEDAAVLSSGRFMSLFPSSWKVKKILNTGTERRLKQSIAAVHEFADEIIRSRMEAKEGPTKGEDLLSRFISTEEASPEFLRDIVISFILAGRDTTSSALSWFFWILSSRTDVKEKIIKEIETVRSRSESKTASFGYEELKEMQYLHAAITEAMRLYPPVPVDTKACLNDDVLPDGTRIPKGWFISYHTYAMGRMESVWGEDCNEFKPERWLENENGDGDYVCRSESPFRFPVFHAGPRMCLGKEMAYIQMKSIAASVMERFEVVAVDKDKCPEHVLSLTLRMKDGLPVRVRAR >CAK8544025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658110123:658110557:1 gene:gene-LATHSAT_LOCUS12736 transcript:rna-LATHSAT_LOCUS12736 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFIPGGRLVESFIERQARVNAACSSLIDMGYSSDLFTINNLYENGYTDDHFLDFIKPISSITDLDELRYLSKATNQFSTRNDFSRLIDHMEWLQNDVEGMKVMEDVTTRILKKQEKKKEISTSDVSGDNITVHHLLQFLVQS >CAK8540215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545585607:545591911:-1 gene:gene-LATHSAT_LOCUS9241 transcript:rna-LATHSAT_LOCUS9241 gene_biotype:protein_coding transcript_biotype:protein_coding MNNINEDASAQDLEPQSDHSSDYLVQPQPRRLRGFAATASGTNSTAKGKKEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADINDVLAALAREAGWIVDADGTTYRQCLPPSHMGSFAARSVDSQLSGGSLRTCSVKETLENQPPVLRIDECLSPASIDSVVIAERDSKNGKYASVSPINSVDCLEADQLMQDIHSGVHESDFNCTPYVPVYMKLPAGIINKFCQLMDPEGIRQELMHIKSLNIDGVVVDCWWGIVEGWSPQKYVWSGYRELFNIIREFKLNLQVVMAFHECGGSDSSDALISLPQWVLDIGKDNQDIFFTDREGQRNTECLSWGIDKERVLKGRTGIEVYFDMMRSFRTEFDDLFTEGLIFAVEVGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQHSLRRAAKLRGHSFWARGPDNAGHYNSMPHETGFFCERGDYNNYYGRFFLHWYSQTLIDHADNVLSLASLAFEETKIIVKVPAVHWWYKTPSHAAELTAGYHNPTNQDGYSPVFEVLKKHAVTMKFVCLGFYLSNQEANDSLVDPEGLSWQILNSAWERGLVTAGENTLFCYDRERYKRLVELAKPRNDPDQRHFLFFVHQQPSLLQGNVCLSELDIFIKCMHGEMTGDL >CAK8531198.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88652962:88662243:-1 gene:gene-LATHSAT_LOCUS1005 transcript:rna-LATHSAT_LOCUS1005 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPGGSSNLRRQPKDSSYFPPTPLNTHRDSDVSLASSHQSSVGIPSFDPYKDRSYQQNATATINSFLSSQNFNVSFKPSSSPSAKDIHKTLIFLVGLLDFKINKIEDLPPLLKFLSYPHKLNKSVLKSPAAPHQWPSMLALIHWLVQSCQLNLSFSSTSDTTTLQNNNIFFQYSVDAYLNFIRGDDEAISELEEDIHSKILHEKSNAQKRLAATEQKVSELESELEGLRSAPSQKDSLEKEKEMLESDVNKFHKIIEEFGSRIESAESVLVEKQKQLDAKAVEREMICEENKDLMRKVEAQPFSTRDVERMKRELQAAERDAGEAELATNDWEEKCWELDRSLANMIKELEALTIDCNQALKRLKIGNDIQYVLNPKGTTPAEIMGIDYKVTLKPALNSFADDIKKSTVVKLEEVIALQQKSNENAARIEGKRSQLVELQLHIDQLEAQIDTIKKETQDYTSRCTAEAKNMTDDIQQTDHDMSIMERESAEILKASELKLEETMRQCEEEIQMHGRELFNVIDSVTKYKEQLGSKVSKIKRELLETVTEVAEIYRKAFPEKYSYILEACRQIEKIE >CAK8576670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504450887:504451333:1 gene:gene-LATHSAT_LOCUS28843 transcript:rna-LATHSAT_LOCUS28843 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSTSTGKSSKIRRIVRLREMLLRWRKKAKLATTYDVPEGHVAICVGPTMRRFVVRASYLNHPIFKKLLMQAEEEYGFCNHGPLAIPCDEFVFEELLRVMARPEPRLSTLEDFQRRCHVDVRNSSKSGFEFVGESRPLLHDDLIC >CAK8535232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828393463:828393975:1 gene:gene-LATHSAT_LOCUS4705 transcript:rna-LATHSAT_LOCUS4705 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKVISHRVRPFDCHDYTFIVDETMDHNEDRPMGHYRVELHQNWCDCGKFQTFRMPCSHAIAACSSARHDPFLQLSEVYKVVNLFGIYNNSFPVVASEEYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCRICRLPGHMRNNCPNVGTSSR >CAK8575585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:186949708:186951443:1 gene:gene-LATHSAT_LOCUS27837 transcript:rna-LATHSAT_LOCUS27837 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTNGSRVHKPNHTRVEGPTWLIIAAGAFLSTLSIRLGYKLKQAIDSKSIAHNATTILEGNGKSSNLRKPPDCFMQPNGCSQMQHNHACLTCNPGTGGSMELKCPQNEQMLSEFNRALPLVTVPPAEFSKENGVVWACSPDRLELPSKPFHLSNCSDSPCVSESGSDIFSKREVVQKLRQQLKRRDDMILEMQDQMAELQNSLNAQLGLSSHLQLQVEAANRDLFDSEREIQQLRKAIADHCVGYVPHDKSPTGTTWSAETRNGHLDGDIHLDLSEKTRDEEERIEMLKRQVGELKEAIEGKEYLLQSYKEQKAELSMKVKELQQRLDSQLPNIL >CAK8543203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587796275:587798830:-1 gene:gene-LATHSAT_LOCUS11976 transcript:rna-LATHSAT_LOCUS11976 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFLFDIANSLLGKLASFAFDQVARAYSVYHDLQEMKTTLSIITSLLLDAEKKKNQQHALREWLRQIQSICSDADDVLDEFRLHVNLKRAIEASGSSRVKVRRYVSSSNPTAFRFRIAHQIKDIRGRLNKAAADGAWFELVKTDVEPRQSREMTHSYVDISSVIGREKDKEVIIQLLKPTHPHTDGDQSLCVIPIVGIVGLGKTTLAKFLFNDPRIDHLFQLKMWVCASDDFDLRKILIKVINSASVAHQENMDHFDIEQLQSHLRRKLSGKTFLLVLDDIWNDDRVKWIELKDLLKVGTSGSKILATTRNTLLASMMGTVPSYVLKGLDTKKCLSLFVKWAFKEGEEEKYPNLVEIGKELVKKCAGVPLAVRTLGSSLFSNYDLHKWEFVRDHGLWNSEMKRGDILPALQLSYDQMPSYLKQCFAFFSLYPKGFTFDSDTIINLFAALGLVQPRNGSEKIESIVREYIGELNSQSFLEDFRDYGYYYKFKVHDLIHDLAIYVAREDFVLVTSDNQSIPEQARHLSILENVLFDHTLISKSKRVRTILYPVQGVGLESKNLLHTWLLRYRYLIYLDLTSSSFQTLPTSIAKLKHLCVLYILNNRQIKTLPRSIFKLQCLQVLSLFGCTELETLPQGFQKLTSLRKLYITTKQLFLSLDEFASLNHLQVLGLYRCDNMKLLVSGAQTQLTSLETLHIRSCKSLESFPLYIFPKLQNLVIKDCRMFNLSLNYEGPIPRLRLKHLHLKSFRRLLKLPRWIDDAAETLETLKIKKFSNLKMLPECLTLMTHLKRLYIDSCPQLLSLPRDMHRLTALEELHIYRCPELCQKCRPPSGEYRPMIGHIKQISFGKQ >CAK8566731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456879738:456880899:1 gene:gene-LATHSAT_LOCUS19843 transcript:rna-LATHSAT_LOCUS19843 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHLRSYGEELLKSNPNSTIKIKCVDSDGGPIFERIYVCLEACKAAFATTCRPLIGLDACFLKGDFGGQLIGVIGKDGNNKIYPIAYAVVKAETKESWKWFLNLLLEDLQSIQDNKYGFISNQQKGLVPDILETSQHVEHRLCVKHLYGNWRKKYPRIFMKEALWRAARATIILAWERAMNHMK >CAK8538809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496845492:496846262:1 gene:gene-LATHSAT_LOCUS7965 transcript:rna-LATHSAT_LOCUS7965 gene_biotype:protein_coding transcript_biotype:protein_coding MDINPRRCDTWGPIVSKIRRRLGGRHNRHLSFGDPFLWGRDKKKKKVNWIKWENVCCSKKDGGLGVKYYGKFNLALLGKWKWRILNEENSLRTNLLSCRYGDIKRVILSHPSFCSRSKISLWWRDICSIGVSDSDHASNWFSSTISLKLGSGHFLEFWNDCWIGATPFSSLFPDLYHLMANRFVKVADMRVWFGDFWHWSFCLDGANLEQVMASATTVLGR >CAK8536248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921766465:921769735:1 gene:gene-LATHSAT_LOCUS5636 transcript:rna-LATHSAT_LOCUS5636 gene_biotype:protein_coding transcript_biotype:protein_coding MRILILLSLTTLLFFSSFSHVLSEEELDEDLSFLDEPDETDAHHQQHHHHDAENESDLEEDFSGYEHQESYQAPEFNEKDVVVLKDNNFTDVVNNNRFVLVEFYAPWCGHCQALAPEYAAAATELKGENVVLAKIDATEESEVAQKFDVQGFPTIYFFIDGVHKTYSGQRTKEAIVSWIKKKTGPGIHNVTSLDEAQSILASETKVVLGFLNSLVGPESEELAAASRLEDDVSFYQTVDPEVAKLFHLDVNVKRPALILIKKEEEKLNHFDGQFGKSAIADFVSSNKLPLVTVFTRENAPEVFENPIKKQVLLFVTSNDSEKFLPVFQEAAKSFKGKLIFVLVETDNEDVGKPVSEYFGISGTAPKVLGYTGNDDGKKFVFDGEVTVDKIKVFGEDFLEDKLKPFFKSDPIPESNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQHLEPIYNKLAKHLRSIDSLVIAKMDGTTNEHPRAKSDGFPTLLFFPAGNKSFDPITVEADRTVVAFYKFLKQHASIPFKLQKPTSTPKPEGSVAKESSESSDTKENQSSNTDVKDEL >CAK8536722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11145197:11146842:1 gene:gene-LATHSAT_LOCUS6056 transcript:rna-LATHSAT_LOCUS6056 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHQSMHFVLSTDAKPRLKWSHELHQRFIDAINQLGGAEKATPKSIMRVMQIPGLTLYHLKSHLQKYRLGKSQHHLETCSDNIQDYEEIKRSDENCGREISFVDQNKINENIEIAQALEMQMEVERKLYEQIEVQNRLQLRIEAQGRYLQSVLKKAQETLSGFNSSSIGIKFTKDELSQLVAMINNTCPSSSISELTESRGLSLECGERKRKNGTMCSLESSLTSSESSERREEKNLENFDTNSLELPIRKEHSNVTSCPCLMSVIKSDGNKLKKADTNSLELPIRKEHSNVTSCPCLMSVIESDGNKLKKAKLSEMLDLNGQFQNEMDSSQSTDSKTLLLDLNCSLSSCEP >CAK8563732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627506912:627514165:1 gene:gene-LATHSAT_LOCUS17111 transcript:rna-LATHSAT_LOCUS17111 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSRELVFLILQFLDEEKYKDTVHKLEEESGYHFNMKYCEEKALAGEWDEIEKYLSGFIKIDDNKHSMKTFFEIRKQKYLEALDRNDKMKAVDILVKDLKVFSQFNEDLFKELTHLITLDNFRENEQLSKYAGTKAARNIMFLEIKKLIESNPVLRDKLVFPSMKSSRLRTLINHSLNWQHQMCKNPKANPEVKTLFTDHSCSPSNGARASTPVNLPATAVSRPSSFVPLGVHGGPFQPNPTAANVNALAGWMMNQNPSSSIQPHALVASSMPGLPHQVSMFRHMRTPSNNLGLMEYDHEQILKRMRSSMDEINYPPPPPQQQTSSLSLDELPRSVVCTLYQGSTVKSMDFHPSLHSLLAVGCINGDMSLWEAGLKEKLISKPFKIKDIAACSVFFQAAIVKDSSISITRVLWSLDGNLLGVAFTKHLIHVYAYQGSNDLRQTLEIDAHVGAVNDLAFSYLNKQTFIVTCGDDKLVKVWHLNGRKILNFEGHEAPVYSVCPHHKENIQFIFSTSLDGKIKAWLYDSDRPRIDYDAPGQGCTTILYSADGSRLFSCGTSKEGDSILVEWNESEGTVKRTYSGFRKKSNGVVQFDTAKNRFLAAGEDSQIKFWEMNSIYVLTSIDAEGGLPSLPRLRFNKEGNILAVTTADGGIKVLANTDGTRYLRSIDARSYEAPKPLVETKVSNINQHINKAERVDRSSTAAALPILNGVDSMARIIEKQRSLDDVADKSKTDLTEIVDPVHCRVVTLPDTTDPTNKVVCLNYTNSGCDLVALGAKGTQKMWKWNRNEQNPSGKATASIVPQHWQPPSGALMNNDVPDNSEGVPCMAISKNDSYVLSACGGKISLFNLMTFKVMATFMSPPPSSTILLFHPQDNNIIAIGMEDATVHFYNVRVDEITCKLKGHQNRITGLAFSTHLNILVSSSADAQICFWRIDLWDNKKTLSLELPAGREPVGDTRVYFHSDQVHLLVCHESQLALYDGSKMELIRLWAPQDTSISYATYSCNSQLIYAAFTDGNIGVFDADSLRPRCRITPSAYLHQPSPDSQNIYPIVIAAHPQEPNQFAVGLSDGSIKVVEPREPNGRWGVSASVDNRITSPSTSEQQQQR >CAK8572181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:517879627:517879941:-1 gene:gene-LATHSAT_LOCUS24774 transcript:rna-LATHSAT_LOCUS24774 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQLRARRAENERKRRQNMNLEQRENYLSMRRDNYSRRKEKDKQAQTSRTMSSRKRVPFQNFTNMRSPMSHFQGTHDNEAGPSRITHVNDIALGW >CAK8575122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:33772649:33775290:-1 gene:gene-LATHSAT_LOCUS27410 transcript:rna-LATHSAT_LOCUS27410 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKKSKSNSQSVGTSLQSEEAEETERNGRSSISEYEEQRLRRIAENQARLKAMGLPQMVSSLKTLPQINKKKKEKVEDGDEEYRPENEEERETESDSSSEHDSEFENASGSRKRKAKNKSLKVKSRLIGKKHGGNLDYVVDEDEALMQAIALSLQSSAEPSVCSDKNVENICKAEKKENIHVKEDKERKNKKPFTSRLQMTEDELIVHFFQLDESGKGTVTKRDLERAAITHDFEWTDNELVDMIRCFDSDGDGRLSLDDFRKIVVRCNMIKDSQNS >CAK8577807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589838425:589840101:1 gene:gene-LATHSAT_LOCUS29878 transcript:rna-LATHSAT_LOCUS29878 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGIMKSMVLLVLVLLCFNGAVHVNSQKVPALFVFGDSIVEVGNNNFLNTLAKSNFYPYGIDYNRVATGRFSNGRSLIDFIANMLGVPSPPPFLDPSTTGSKILNGVNYASASAGILDESGRLYGDRLSMNRQVQSFRSTLNQYKTMMNPIELNQFLGKSIVIVVTGNNDYINNYLLPGFYGSSSNYTAPVFANLLTSTLARQLLALYSLGLRKFFIAGVGPLGCSPNQRATGSAPIGRCVDSVNQIVGFYNTGLRSTLEQLNRDHRDAIFAYGNTYGVFGDILNNPAAYSFSVVDRACCGVGRNRGQISCLPMQLPCFSREKYLFWDAFHPTQSAVYVFAWRAVNGPPNDVYPINIQQMAQI >CAK8573922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:647401442:647402716:1 gene:gene-LATHSAT_LOCUS26316 transcript:rna-LATHSAT_LOCUS26316-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDIEAGIHQDGFYPSMIESPELRWGFIRKVYTIVSIQLLFTAGFTSLFVFFPPARDFVRYNDLRIVVLIVAVIFTFIILFALSKYYDKHPVNLFLLGLYTLCMSVTVGFVCAFAKAIIVLEAALLTGVVVLSLTLYTFWAVKRGEDFSFLGPFLFASLMVLLMFGLIQMFCPLGPLGRMVYAGLGALIMCGFIVYDTCDLIKRYSYDDYIWAAIAIYGDIINLFMYILTFLNDI >CAK8573921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:647401439:647402716:1 gene:gene-LATHSAT_LOCUS26316 transcript:rna-LATHSAT_LOCUS26316 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKGDIEAGIHQDGFYPSMIESPELRWGFIRKVYTIVSIQLLFTAGFTSLFVFFPPARDFVRYNDLRIVVLIVAVIFTFIILFALSKYYDKHPVNLFLLGLYTLCMSVTVGFVCAFAKAIIVLEAALLTGVVVLSLTLYTFWAVKRGEDFSFLGPFLFASLMVLLMFGLIQMFCPLGPLGRMVYAGLGALIMCGFIVYDTCDLIKRYSYDDYIWAAIAIYGDIINLFMYILTFLNDI >CAK8563206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582789117:582789531:1 gene:gene-LATHSAT_LOCUS16640 transcript:rna-LATHSAT_LOCUS16640 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLALHCLQGSVSRFAFFYLQRWPSFIQIKCLRNAALEDRCRQNQTDVMASDLHCILTCNSSDP >CAK8575855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337960459:337963723:1 gene:gene-LATHSAT_LOCUS28083 transcript:rna-LATHSAT_LOCUS28083 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCSKHSLCCCSSKIKSNNQNLSDNDDDGVGGSKKLKDPSVGFTEFSLDQLRVATEGFSSDNIVSEHGEKAPNVVYRGCLEEDRLVAVKRFNKSAWPDSRQFLEEARAVGELRSERLANLIGCCCDGDERLLVAEFMPNETLSKHLFHWEAQPMKWAMRLRVALYLAQALEYCNSRGRALYHDLNAYRILFDQEGNPKLSCFGLMKNSRDGRSYSTNLAFTPPEYLRTGRITPESVIYSFGTLLLDLLSGKHIPPSHALDLIRGKNFQMLMDSGLEGHFSNDDGTEIVRLSSRCLQYEPRERPNAKSLVTALTPLQKETSVVSYVLMGLPDRSLSSKETVSLTSFGEACSRRDLTAIHEILEKVGYKDDEHVANELSFQMWTNQIQDTLNFKKRGDSAFIARDFSTAIDYYTQFIDGGTMVSPTVYARRCLCYMMNDMAQEALGDAMQAQSISPTWPTAFYLQAVALSSLGMDNDAQESLKDGTTLETRNHRN >CAK8532901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:546759078:546761118:-1 gene:gene-LATHSAT_LOCUS2563 transcript:rna-LATHSAT_LOCUS2563 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSHTKNNNNEKESSFEVDGVQPTPSPIRKMIAVASIATGVQFGWALQLSLLTPYSQTLGVPHVWSSFIWLCGPISGLLVQPIVGYYSDRSTSRFGRRRPFILSGALAVAVSVFLIGYAADLGHSMGDDLSKKTRPRAVAIFVVGFWILDVANNMLQGPCRAFLADLAAGDEKKTRTAMSFFSFFMAVGSILGYAAGSFGKLHKIFPFTETVACNVFCQNLKSCFFFSIILLIFLASFALIYVKETPKTKLELNDDDKQVSCFGELFHALKELKRPMWILMLVTAVNWIAWFPFFLFDTDWMGHEVYGGAPGEKAYDTGVRVGSLGLMLNSIVLGLMSLAVEPLGRLVGGIKRLWGIVNFILAICLAMTVLITKVAEHDRHITGGATVGHPSDGVKAGAMIFFAVLGIPLAITFSVPFALASIYSSASGAGQGLSLGVLNLAIVIPQMLVSALNGPWDALFGGGNLPAFVVGAVAAAVSAILAIVLLPSVKPDDEAKIKLAAGGFH >CAK8561647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:336784339:336784953:-1 gene:gene-LATHSAT_LOCUS15219 transcript:rna-LATHSAT_LOCUS15219 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILKQRENMEVIRNEWDQVMNAHKFKASVFYKLLIDDGTRVLWRKLIKSNRGRSRAVFCLWKACHGMLATKDRLKRFGMIEDSSCNLCHSEEEMMNHLFFCCQETSNIWKEVLKWFNIYHEPQPWDAELVWITNITKEKGWKVDVLKMLVAETIYNIWGYRNSKNFGNIVDNTTMDTKIIDNVIYRRWKNIKIRKHIVSFMI >CAK8561648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:336784339:336784923:-1 gene:gene-LATHSAT_LOCUS15219 transcript:rna-LATHSAT_LOCUS15219-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRNEWDQVMNAHKFKASVFYKLLIDDGTRVLWRKLIKSNRGRSRAVFCLWKACHGMLATKDRLKRFGMIEDSSCNLCHSEEEMMNHLFFCCQETSNIWKEVLKWFNIYHEPQPWDAELVWITNITKEKGWKVDVLKMLVAETIYNIWGYRNSKNFGNIVDNTTMDTKIIDNVIYRRWKNIKIRKHIVSFMI >CAK8577883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595532922:595534861:-1 gene:gene-LATHSAT_LOCUS29950 transcript:rna-LATHSAT_LOCUS29950 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDHLYHNRIRTIYGRRRVTGDEDKDRLSDLPECIILHILSFLSAQHAVQTCVLSTRWKHLWKRIPTIRLSSYEFRTLKKFSVYLSKILSLRDTSTALHAFDLFRHRDIEHQLLKKFLKFVFSHNSHLQQLGISLCADSGLILSCVSSCRALTSLKLSLHPRGRELKETLFPKSLNLPLLASLDLTNFAFCGDDSGCAEPFLQFTKLNSLVICRCKVIDAQILSISSETLANLAMHNNSSLLAKIKLYAPTLCHFNYIGSHHIHEICGSGLSSVKKVNIKSLRFSASKEDALALFSWLLNFANIESLTVTSTVLQILSLVPDVLEVKLRSLHNLKSLKVELIQIKEGSLLLLMKDDMLKKAAAKSPKEAAKLRKAFETCLEPPAIPDGIVDFLRQNSPLAEVNITTEYPSSFNLKQIEESIKGAKINNYRSRFSMRSSSLPRLSVRSSSSLPRLSVRSSSSVAPALAAKSASDAAPASATEPATAASPNLHLCLGEKDVKSSNKEEEEKHQHNTDSRLQDNGQ >CAK8538515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485743875:485744585:1 gene:gene-LATHSAT_LOCUS7704 transcript:rna-LATHSAT_LOCUS7704 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVNDSQTGLKYIGNSYYYTDSVVVVMKGFFRELTRISTIFTIIDLSNNMFVGEIPHETGELISLRGLNLSKNELTGNIPQSLSKLRNLEGLDLSRNQLIGEIPTSLTNLNFLSVLNLSQNHLEGIIPKGQQFDTFGSDSYEGNTMLCGLPLSKSCKKDENGPSKSTSEDKEESGFGWKAVVAGYVCGAILGLLLGFNVFFLEKPQRLVRFFEHMFNVRLRQSHNRTGGIRRRMN >CAK8566863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:467614989:467619099:-1 gene:gene-LATHSAT_LOCUS19964 transcript:rna-LATHSAT_LOCUS19964 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLKTPHVHGSFTIYNASSTSYIWKKDNLCHKNSNWFLKSSSLAISCTRGQNKKVVLKRFVSPSCMRVKMAASKSRNVKAIVTVKQSVGGVIKNLVSGIVGNNNLVLELVSADLNPKTNSEKKTIKGKAHETDKKDNDVEYEATFELPADFGNVGAVLVENEHNSEIFLKNIVLDGFPGGPVHLSCQSWVQPMHDSPTKRVFFTNEMYLPSQTPSGLRKLRENELKELRGNGEGERKSSDRIYDYDVYNDLGDPDINSKLKRPVLGGNKQYPYPRRCRTGRKHSNTDPSYEKRDLNFYVPRDEAFAEIKKIQFNATTVSSGVKTILESLDTIFTDIDLGFDSFEDIDILFKEGFQLPTSLDSNKLNLLQKVIPRFIKAANDSQNVLRFDTPEALKRDKFFWLSDEEFARETLAGANPYSIQLLKEWPIRSQLDSNIYGPPESAITREVVESQIIGYTTIEAAIQEKKLFMLDYHDLYIPYVSKVRELENTTLYGSRTLFFLTKQGTLKPLVIELTRPIIGDKPQWKQIFTPASDSTNLWLWRLAKAHVLAHDTGYHELISHWLRTHCVVEPFVIATNRQLSTMHPIYKLLHPHLRYTLEINALGREILISAYGVIEDSFFTQKYSMELSAVAYDKLWQFDLQGLPNDLIYRGMAVEDPNAQHGVKLAIEDYPFANDGLLIWDAIKQWVTEYVNHHYPSPSIIESDQELQAWWTEIRTKGHGDKSEEPWWPKLKTQKDLIDIITTIAWVASAHHSATNFAQYAYGGYFPNRPTVARTKMPTEDPTKEEWEKFINKPEQTLLECLPSQIQATLVMTILNLLSYHSPDEEYLGELIEPSWAENPTIKVAFEKFNGRLKEIEGIIDTRNADSNLKNRNGAGIIPYELMKPFSGPGVTGKGVPYSISI >CAK8539009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501569504:501571072:-1 gene:gene-LATHSAT_LOCUS8149 transcript:rna-LATHSAT_LOCUS8149 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQENADQNGSSDKEKQQVNPQQVNPQAEIAFSQGVDDVPKNESSDSDVNAMANELPQSPDSSPLETHFQSLGTPSNELLPSQSSQRTFAIIREKVTSDGFNWRKYGQKNLKTEGFKRCYYKCSHSNCLAKKKFVMSPDGNFEGYTYTGQHSHSKPQSNTVPPVDPVLPVLEQGLPQSSLANVEGQDKSSVEYESTPQQISPLRYCPPSNASETHDSKRLRKDDSNTHTIGGDMSTGEFRLVVHTPSESGVVDDGYRWRKYGQKNVKGNENPRNYYRCTSPGCHVKKHVERSPENPINVITTYEGEHDHEPPTRRFPCNTSKPKHKSGGNNVCADMVSFQVVVLPNGVFENNIYEEEQQNEDPSPKDDPEEEQQNEDPSTKDDPVSNNTVIREPSTEVPCRSNEHDTVIVSGHDTPRTTSELKKVPEDGASD >CAK8576123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:409648777:409649010:1 gene:gene-LATHSAT_LOCUS28337 transcript:rna-LATHSAT_LOCUS28337 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLLKLALLLPVATATVERNFSAINFVKNQLRNRMSYKFLNDCLVTYIESDIFDSVENEKILQHFQNMKTHREQL >CAK8578922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662155850:662156533:-1 gene:gene-LATHSAT_LOCUS30900 transcript:rna-LATHSAT_LOCUS30900 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQLLHELLVESHTRRLLFQGPVDNQPLANSPVLTHNHNSTDSYFGIREFDANVVMILAVLLCALICSLALNSIIRCALRFSNVAINNNGSSSSSSSNSSPQSVNKGIKKKALRTFPTVSYSTELKLPGLDTECVICISEFTKGEKVRILPKCNHGFHVRCIDKWLKAHSSCPKCRQCLLETCRKIVGSEAPPMLPVSETIIRIQPLDAEALVRNYREES >CAK8568891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651654534:651657941:1 gene:gene-LATHSAT_LOCUS21800 transcript:rna-LATHSAT_LOCUS21800 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREIVSEVTPASKPSAVEASPPISASETFTVNLICGLSLALAFYISNSLYSIDLVTDPSLTLFLISIIELPIVILLYSRYRQNRQQCSYLRAVGRGVLGVPVGALLNSLGAIALGAPVTFQCLPKTLAWSLMMSLFTTVPASCVLGSSWADWKRIFAHTKPNGSIEYLICLQAHGAVIGGWFGAWPMPLDWERPWQEWPISVSYGTLAGYLVASVASLGFVLAHRSRLQHVKNE >CAK8542670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536146003:536167916:1 gene:gene-LATHSAT_LOCUS11490 transcript:rna-LATHSAT_LOCUS11490 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQTLELNSTQLFITALQSLKLPKPSLPLPPLPSSSSIPHSKLIPNTRFLIDAFRHSGDFSVSYFLSHFHSDHYTGLTSSWSRGIIFCSPTTATLLIRILNIPSPFIYPLPLHQPVLIDGSSITLIDANHCPGAVQFLFQVPFSEQQSTRYVHTGDFRFTPEMILEPALASFIGADAVFLDTTYCHPKFVFPSQDESINYVVDAVNQCDGDDVLFLVATYVIGKEKILLELARKFGKKVHVDARKMEVLRALGYGESGEFTEDVLETNIHVVGWNVLGETWPYFRPNFVRMKEIMIERGYSKVVGFVPTGWTYEVKRDKFAVRSKDSCQIHLVPYSEHSNYDELREYVRFLKPKRIVPTVGLDVEKSDSKHVDKMRKYFAGLVDETANKQEFLRGFKRCDSGVAGLEAGKGVSNDLEPGQSIEKEAKPSDLGEDSSNPDVIASLPSCLGETCIEDPTLLNEEEKVKVIQELSCCLPTWVTQSQMLDLISISGSNIVEAVSNFFERETEFHEQVNSGQTSVPTPRCCPSNDSSPISKSNLNNTNSPLRNLDIFPSQVSKLTNSKLTKLRHALPNQISPSKRKRSSESRPNKKVKVQAKSESSGSKQATITKFFSKAMPKIPGDTQSDQCGTNLGESPKVEELLPTAAGNLYKHEIDQFIQIINGDESFKKQAITIIERAKGDINKALDIYYCNSGNLGEIEISVQGECNIDRPLEKKNVSQELRVIPDISMHRVLKDNVDVTHVSLPSEKYNPKEHACWSDGQPAPYLHLARTFNLLEDEKGKIKATSMLCNMFRSLLALSPDDVLPAVYLCTNKIAADHENVELNIGGSLVTTALEEACGTNRLKIREMYNKLGDLGDVAQECRQTQRLLAPPTPLLIKDIYSALRKISVQAGNGSTSRKKGIIVHLMRSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVVLNSRHTACQEGTTENLKAELQVLSVAVVEAYNILPNLDIIVPSLMNKGIEFSVSTLSMVPGIPIKPMLAKITNGIPQALKLFQNKAFTCEYKYDGQRAQIHRLCDGSIHVFSRNGDESTLRFPDLIDMIKESCKPVASAFIIDAEVVAIDRKNGYRIMSFQELSSRGRGGKDTLVTKESIKVGICVFVFDIMFANGEQLLGFPLRLRRKYLKDLFYDERPGYFEYAKETTIEADDACLTCEATLTRINAFLEDALSSSCEGVMVKTLDIDAGYSPSKRSDKWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGWYSPFLMACFNPETEEYQSVCRVMSGFSDSFYIEMKEIFSGDKVLSKKPPYYQTGETPDMWFCPQLVWEIRGADFTVSPVHHAAIGLVHPSRGISIRFPRFICSVSDRNPEECSTAADIVEMFQSQTRKMDVTTEG >CAK8577423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563108188:563109489:-1 gene:gene-LATHSAT_LOCUS29536 transcript:rna-LATHSAT_LOCUS29536 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVVDDCLNDNTEEDVIRLVREAQQQANNTSKHRKRRTVIDRSREEGHHRLFNDYFSENPVYTEAQFRRRFRMRRHVFLRIVEALGNHDEYFQRRIDAVGRMGLSPLQKCTAALRILAYGSPADSVDDYVRIGESTALECLDRFVIGVCTIFGAQYMRRPNNEDISRLLQINTARGFPSMLGSIDCMHWEWKNCPVAWKGQFSRGDHGKPTIMLKVVASQDLWIWHAYFGTAGSNNDINVLNTSDVFNDVLNGKAPALQYSVNRTTYHMGYYLADGIYPEWATFVKTIPMPQGEKRKLFAQRQESARKDVERAFGVLQARFAIVRGPARAWHVNTMKHIMLACIILHNMIVEDERDTYAGNFDYDHVDNNFSTTEVSTGPIPNLTTMFERITHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8535250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830606336:830608432:1 gene:gene-LATHSAT_LOCUS4723 transcript:rna-LATHSAT_LOCUS4723 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFKEAKTSSYKKLLLIFSLLFVLSGFDICHGQDDGASPPPPVPELDNCDGIFLTYTLTDREKEYPHVKNVSKQAWAFKAEASLMNVGDEELKDWKMYIGFQHREILVSADGALPVDSEDFPAEVGNGTTIAGNPMTDLKTAIETAGDLNQMAVRVKMSGTQFGLGAAATPMPKTIKLMNDGFKCPQPGRKGSRMFVCCRKDPQVKAKLKKKTKFLPRRYGDLTIAYDVLQAFRTSYYAQVTIDNNHPLGRLDHWNLTWEWQKGEFINTLKGAYARIKDPSECLYSPAGRYYGDLDFSTVANCQKKPILSDLPTERAEDEKVGKVPWCCRNGTVLPPLMDRNKARSIFQLQVFKIPPDDNRTALTPPMKWHIDGVINPKYKCGPPIRVDAQEFPDPSGLTAISTAVASWQIVCNITKPKPRENRCCVSFSAFYNESAIPCNTCACGGCDDTRKCNPNAPPLLLPPDALLVPFENRTLKARAWAKLKHMRIPSKLPCGDHCPVSINWHVSSDHKEGWTARITLFNWENYGFEDWFAALKFDRSFEDFQDVYSFNGTRIPGIKTLFFQGLKGLNYLAGETNGTRAKDPRVPGKQQSVFSFHKKYTKEFSVERDAFPTKVYFNGMECSLPPHRPAKSSGHTSSISVITVIFTALMTFMLTTDRFL >CAK8560350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15263419:15270212:-1 gene:gene-LATHSAT_LOCUS14030 transcript:rna-LATHSAT_LOCUS14030 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSDKEYDVFISFRGEDTRKTFTAQLHQALIDDNINTYIDYNLKKGDEVGPSLAKAIKDSHISLVVFSQNYATSKWCLDELLHILECRELHGQVVIPVFCDVDPSHVRHQKKSYQKAFARYMRELASSNSHIDKVLEWKTALSSAANISGWDSRKYRDYSQVIHDVVADVKQKLSLMFPNELKNLVEVDENDEHIELLLKKVPRIGIWGMSGIGKTTIAKQMFAKNFAHYDNVCFLENVGEESEKFGQMYVRNKLLSELLKREITASNVHGQHTFIKRRLSGKKSFIVLDDVDNATQLDDLCEVLDDHGHNSRLIITTRNKDILSGNVDKIYEVTPWKLKDSLKLFSLGAFRQSHPKKGYERISERAVEYAGGVPLALKVLGLHFKSKEPQSWVSELKNYENKGETFPDIQKVLEVSYNGLSWRLKEMFLDIAHFYKDENKDLVTRILNTCDFNATNGVEILENKALISISNSNTIQIHGLLQKMAYDIARQEDNDRGKRRRLKDAKDICDVLRNNKGIDAVEGIILDLSQKLDLEVEADTFNLMTELRFLKFHVPKGKKKLGTVHLPENFKLYFNKLTYLEWNGYPLKSLPQPFRAEQLIQICLPYSNIEHLWFGMQELVNLEAVDLSECKQLIDLPDLSGALKLKELRLSGCENLCEVQASSFSKDTLNTLLLDRCTKLQSLMGEKHLTSLANFSVKGCSSLKEFSLSSDSIRRLDLSNTGIEILYPSIGDMNKLYSLNLEGLNLTNLPIELSRLRSLTELRVSKCSVVTKSKLKALFDGLGSLTLLHLKDCCNLFELPANIGLLSSLHELRLDGSSVEELPATIKYLSELEVQSLDNCSKLRCLPELPLSIKEFQADNCSSLKTVSTLKTFSTNMIGQKKYISFQNSIKMELDGPSLARITEDAMLTMKSAAFHNVLVRKYRYQTHSFNYNSAGVCLPGHRVPSQFKHRSTKYSSVTIDISNSVGFIFSVVVTPSNITQQHGYSVEILCQCYSEDRRTQVGYESSWNHKLVSNLITDHVFLWYDPYHSDGILVRGERKVSFKFHITTYTSNGRKLGGLVSIKECGVCPIYYSESKRVLGTGNLDKGLELELYQEIEFERLGEGYDEGEGIDIESNEIGDGKEGTDIQNQELDLNENCYRSYDCLIVSKDVQVHGNPQEKEKLKDNDNSKEMMKSEILHNSTSLKSSEETETSSNKRKQIKKEEDSTGGSFDVELSINKVIKDNPSTNPVSSFKRPTPQTSSSHLIQPTPLKEFAEVNKPIEADNNASHDSADKDQPSETSSSTTDGEDKNEMNMDYASQDETLEQEEPKDTSPPGSPNQSNDAHKNPEITDSLANIEQPTAKTAPEIEKETAKTATAKPTSSPNNSLSQEELAEMKKTNPLARLKLMISTRGSSTDKCSSSSTISGGSTPLETVDGVLQQLKVKLAEADLFEALEKNFVHGYGIKSLLKKLDVPNASSAAVNFVVAFGPLFDQIFADFQRKNEARTKMKPKEDTRSKEWSLANESNDRAEQLENALRKEDEHVAALDAKILKWKKEIEALQLKVKEAEGEKVDLRKTSQQQLDAVALIGIQHFENVSNLDAEIEGFASTISQIDRRLSAAKIQYDKFKASFPF >CAK8540328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552870226:552870677:1 gene:gene-LATHSAT_LOCUS9342 transcript:rna-LATHSAT_LOCUS9342 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPSSKLHLHGVINDAETLIADEPPKPHRLSSPPPTPHKFIHLIPILTLFCFFILYFFSHTPSPSDLDHHFTGFKRHHAELNSDIGVHYAEAKRSDGLAIRSLQQIPKSQLHRKLADF >CAK8544377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680726768:680732199:-1 gene:gene-LATHSAT_LOCUS13063 transcript:rna-LATHSAT_LOCUS13063 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASMSFSAASLVEDVLQQHGHRLKDLDLEFRKAEEAASRRYEAAGWLRKMIGVVAAKDLPAEPSEEEFRLVLRSGIILCNAINKVQSGAIPKVVESPIDSALIPNGAPLSAYQYFENVRNFMVAIQEIGLPTFEPSDLEQGGKSSRIVNCVLALKNYCEWKQNGANGAWKFGGNPKPTISAKSFVRKNSDPFTNSLSRTSSINEKTLVTLNSDIESSKMPGSLSLSKLVRSILSDKKPEEIPMLVESVLNKVVEEFEHRIASQGEQTKITLRDHVSQRNGSASKFVVADKKVENKIHKNHVAAEELQSQHLKEKMLFDQQQRDIQELKLTLHTTKAGMQFMQMKFRDEFSNLGMHIHSLAQAASGYHRVLEENRKLYNEVQDLKGSIRVYCRIRPFFPGQPNQLSAVESIEDGTITVSIPPRNGKGQRSFNFNKVFGPSATQAEVFLDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKEITDKSQGVNYRALSDLFFIADQRKDTFHYDVCVQMIEIYNEQVRDLLVTHGTNKKLEIHSSSHKGLSVPDASLVPVSSTTDVIELMNLGHRNRAVGATALNDRSSRSHSCLTVHVQGRDLASGATIRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAHKNTHIPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVATVELGAARVNKDSANVKELKEQIASLKVALAKKEGESEHSFSGSSEKYRIKTELSPYHLNQPVVDSGDQLGYRRPMVEVGNIELQSKTILRQKTKSVDFDEISVNSPPWPPINSLVQNDIEDEKETGSGEWVDKVMVNKLEANKTDNNLLGCWQESNGNLSDAFYQTYLQDSSKMYSEQSYNMFMGGDQFNSIMASDDNMDEIDAATSDSSEPDLLWQFNHSRLTGLTNGIGSKSIMKSVSKPTKSPQLSKSFVQSSLGPSPSLKQSKVVSNKTGRSPAPVDMKRKIGGRK >CAK8571071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275635902:275636432:-1 gene:gene-LATHSAT_LOCUS23765 transcript:rna-LATHSAT_LOCUS23765 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGVFQKLGDPTTKK >CAK8534607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:747912731:747917144:1 gene:gene-LATHSAT_LOCUS4140 transcript:rna-LATHSAT_LOCUS4140 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINQERKKEYKGMRLGKYELGKTLGEGNFGKVKLAKNTDSDKFFAVKILEKNKIVDLNNTDQIKREISTLKLLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDRIASKGKLSEAHGRKMFQQLIDGVSCCHNKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQHCRADGLLHTTCGSPNYVAPEILANRGYDGAKSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDVQIPIWLSPAARNIIKRILDPNPETRITMSMIKEDEWFKQGYNPANRDDEEDDAYIGGEAFSIQEVSSEADLASPKSPKLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNHSPKDLTERIEDIVIEMGFSVQKKNGKLLKVIHENKVPKSLGSLSVAAEVLEIGPSLYVVELRKSYGDATVYRQLCKKLSNDLGIAKAAELVSSEMINMYEEKKLEPI >CAK8542494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520412021:520414986:1 gene:gene-LATHSAT_LOCUS11333 transcript:rna-LATHSAT_LOCUS11333 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSNSITYNSTLCACAPGYVLNVVSKSCNLFDTDSTVTTDSGVDYYAFRLPETLSGFDNIKKFTQSQAVFLEATFVMLLSWLLFCFFLRFMSLGDGRGVWFKLRWFISRLDVCFATRHWLDDKKVVTKRKTELGGAFSIASWILFIGLFAALLYQIISKRSIEVHNVRATSAPELTSFLNDMEFNITTVSSMSCANLRDLGNLVSGNPGFIDERVVSLSTLVNYSCHNSSKGPTIALKCKGCKLVSDHMYISWQFIDLPNSPATAVGYEFHLAATDSAKKHMSFVNGTLKNGSAFDDRPVTFRGRQSNILKFNLFPRIYHNLHDLKLIQPLFHEFLPGSVFHDTNQLQTSLENSVDGLVNTTLYINFLSAYVVEVDKENILGPVSFLADLGGLYCISIGIFFYLLIQCEYRVKKLRNEDSVLQSIRNRRKAQDNWAKLRKYVKYTYGCPTMDDDNYDKSKNEPCCNGLMLHSVRSGGSSHKRRQQSRASSINLYKKPSLPANKTLASKPVESTNDLTLRYENTTKNQSVGSSRDFPPFQTRESSIIDDNFIPPPPLLECKSGSEMDMSDVQKNLKNLYEYNAMLRERLLAAQSLLQSSSSNHSSQIKSNGT >CAK8542943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561491636:561495528:-1 gene:gene-LATHSAT_LOCUS11740 transcript:rna-LATHSAT_LOCUS11740 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTLRRRLHHGDVDGKRKDHLDTSELDSLNEPLLGGDDYIENKKICTLEDLWDDERKKAQIHWTFLFSNLIAQWAQWLANVVIGSGSLLGRLLSLPSNALYLHNNRMLAPPLSPVQEERLRNLRQRLEIPFDGSKTEHQEALLLLWRLAYPDRELPSLKSDLWKEMGWQGSDPSTDFRGGGFISLENLIFFAQKYPASFQRLLLKQDGVRAEWEYPFAVAGINISFMLVQMLDLQSVHPSSLSGIYFLRLLEEDEMAFDILFCIAFQMMDAQWLAKRATYMEFNDVLKSTRTQLERELALEDISSIKDLPAHNML >CAK8575462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:126682545:126685462:1 gene:gene-LATHSAT_LOCUS27722 transcript:rna-LATHSAT_LOCUS27722 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALTQCSEKLGYLIFLLGKNFVMFMAMVVACNGVHFLLKPYQQPRITSDIIVGLLMGNVPFLRDLYGKFNHTFGFIIDFGMMCYMFALGVEMDPYVLFKKPTREAQVAYAGVILTFILAGSAAPLLHYFSMDNHILEFTLSLSTLLSSTASPVLTRLITSLNIGKSDIGKLIIAAGMYSDFICSLLLSIGYISMPLDTLCTNTEQNGRVKKAIIMNCAVLGQALFTATVSPVFMKWVDNENPEGKPMKGSHLVLSIAFMVMSCASSILYNYSPVLSAFITGICFPREGRVSKWVISKINYLLTTMFFPIFFLWMGNAADFRLFQLRELWTWPKIFGPIVIVMAGKVVGTVVSGVILGFHWPESVAIGLLLTTKGHFHIYMAIKVMGCGSDTSSGIALVIAIFFSVVHVPAVVAHIIKRAKRKLPMHRMSLQLLDPSSELRILLCLHGPDNISASINFMEISRGKSDPGILVYVTEMIELTDQIAVTVERGEGVETTNVKDKDIVQMRDQITSSFQAYINDNGNGVTLKRTMAVSTINNMAQDICTLAEDLMIALIILPFHRTQTIDGKLDGGNQGFRYVNRKLLKSAPCSVGILVNRGLGSFETISKTQAAVNVATVFIGGKDDREALAYAGRVAGHPGVKLTVIRFLVDTTVESSRMAAYRVTLSEKVQEMSLDDECFAQFYDKHISSGQISYIEKHLANAAETFSTLRSFEGQYSLVIVGREGGLNSILTKGMNDWQQCPELGPIGDVLSGPDFSTTVSVLVIQQHKHKGEIDGLDEEFNIM >CAK8532467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266991449:266994426:-1 gene:gene-LATHSAT_LOCUS2170 transcript:rna-LATHSAT_LOCUS2170 gene_biotype:protein_coding transcript_biotype:protein_coding MIITKPFHFVHHFKLSSLIDSCKSMQQIKQTHAHLITTAQISHLVIANKFLKHVALASLSYAHKLFDQIPQPDLFIYNTMIKENSLSPRSCCDSFAVFCSLIQDSGLSPNRYSFVFAFGACGNGLCVREGEQVFSHAVRVGLDNNVFVVNALIGMYGKMGLVEDGRKVFESAMDKDLFSWNTMIAAYVGSGDIVEAKELFDKMHERDVVSWSTIIAGYVQAACFMEALDFFHKMLQAGVKPNEYTMVSALAACSNHVALDQGKWIHVYIRRHKIRMNDRLLASLIDMYAKCGEIESASSVFYEHKVKRKVWPWNAMIGGLAMHGKPEEAINLFEQMKFEKVSPDKVTFIALLNACSHGYMVKEGKLYFELMTSDYGISPELEHYGCMVDLLSRSGLLMEAEDMILSMPMAPDVAIWGALLNACRIYKDMERGYRIGRIIKEIDPDHIGCHILLGNIYSTSGRFNEARTLRDSNDRKKIPGCSSIELKGLFHQFLVGDRSHPQSREIYLFLDEMISKLKIAGYVPELAEVLLDIDDEEDKETALSVHSEKLAIAFGLMNMEPGTPIRIVKNLRVCADCHQATKFISKVYDRVIIVRDRMRYHHFKDGVCSCKDYW >CAK8532468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266991449:266994189:-1 gene:gene-LATHSAT_LOCUS2170 transcript:rna-LATHSAT_LOCUS2170-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKENSLSPRSCCDSFAVFCSLIQDSGLSPNRYSFVFAFGACGNGLCVREGEQVFSHAVRVGLDNNVFVVNALIGMYGKMGLVEDGRKVFESAMDKDLFSWNTMIAAYVGSGDIVEAKELFDKMHERDVVSWSTIIAGYVQAACFMEALDFFHKMLQAGVKPNEYTMVSALAACSNHVALDQGKWIHVYIRRHKIRMNDRLLASLIDMYAKCGEIESASSVFYEHKVKRKVWPWNAMIGGLAMHGKPEEAINLFEQMKFEKVSPDKVTFIALLNACSHGYMVKEGKLYFELMTSDYGISPELEHYGCMVDLLSRSGLLMEAEDMILSMPMAPDVAIWGALLNACRIYKDMERGYRIGRIIKEIDPDHIGCHILLGNIYSTSGRFNEARTLRDSNDRKKIPGCSSIELKGLFHQFLVGDRSHPQSREIYLFLDEMISKLKIAGYVPELAEVLLDIDDEEDKETALSVHSEKLAIAFGLMNMEPGTPIRIVKNLRVCADCHQATKFISKVYDRVIIVRDRMRYHHFKDGVCSCKDYW >CAK8577907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596826319:596826827:-1 gene:gene-LATHSAT_LOCUS29970 transcript:rna-LATHSAT_LOCUS29970 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENNSEATKLRAKVLADEVGSWHLDVSIDGLVSSFLSLLKTLTGKRPHYKVDGGSEVENSSLQNIQAQIRMVLAYMLALLLPWVHNKPGFYLVLGSTNVDEGLTGCLTRVSP >CAK8573611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624936252:624937703:-1 gene:gene-LATHSAT_LOCUS26041 transcript:rna-LATHSAT_LOCUS26041 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSKSIFNACTNFTLLSNHLFPKLLPFLLLSSITLATYFELFILLQNHKVMFHFLLLCFFLLSLLLKHFFSKPFPIYLIDFSCLKPPKHCRVPFSTFLENASLFECFDNESISFMEKVLHSSGLSEETFLPPSLHYIPPKTEHSESIKELHMVVFPIMDDLFAKTKVAPSDIDILILNCSGFCPSPSLTSIIVNKYAMRSDVKTYNVSGMGCSASALCIDMAHNLLRVHKNSNAIVLSTEILSSGWYQGKEKSMLLINCLFRMGSAAILLSNKNEASKNAKYLLLKTLRTLIAFDDKAYFSAIREEDSEGKLGVTLKRDLLQVAGETLRSNISLLGYEILPVSEKFWYGVSLIKKRFNLMKSEAIYVPDFKTVIQHFCLPCSGRSVIREVGKGLKLGEREIEAALMTLHRFGNQSSSSLWYELAYLEAKERVQKGDNIWELGMGSGPKCCSVVLKCFRPILGESHKGPWCDCIDRYPVLAS >CAK8574507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1172282:1175965:1 gene:gene-LATHSAT_LOCUS26848 transcript:rna-LATHSAT_LOCUS26848 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSKRRYVPPTQSDTVFRITCPASKSDDILTLSADGVKILIEDYVGDATSDENRMVVIISPAAEQLPEESPAQLALIRVFERMVEKDEENKSLNSNPNSPVGCRLVAPSYQVGCVLGRGGKIVEKIRQESGAQIRVLPKDQSPIPPPGDEFIQITGNYNAVKKALLAVTSCLQENVGSSGSLKSPGGAQVEPYPQRGPRPPDHYSRGYSSFPGSESVGPSHRLFVEEEVVFKMLCQHDKVGSLIGKGGSMVRALQNETGASIQIVDAVPDTDERVVVISALENSEQKHSPAQDAVIRVHGRLTEIGFEQSNAVVARLLVRSPQVGCLLGKGGHVISEMRRATGASIRIFSKEQIKFISHNEEVVQVIGTLQSVQDALFHITSRIRETIFPMKNTPPNFSALPHVPPFPEMPPPLHRPRNHMMPSGHPPPHVGLPHGIDHPPGPPMPVDHHQHVFSHSMGRGPPNMDRVPYHHGYEGPNSPRSCYPQAPNRGNPGVTAGMNGNPSQNLNSSTVEITIPHIYLMHVYGENSSNLNQIREISGANVVVHDPKPGATEGQVIVSGTQDQIHSAQCLTQGFILCGQTAS >CAK8565482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:233583518:233584600:-1 gene:gene-LATHSAT_LOCUS18696 transcript:rna-LATHSAT_LOCUS18696 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMLKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGVHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVVLLRDDYIEKDRSRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNASGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8540056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537400166:537402520:1 gene:gene-LATHSAT_LOCUS9095 transcript:rna-LATHSAT_LOCUS9095 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPVRRLSRSPSPWRGQSRSRSRSLSRSLERHRPRSRSRSLEMQRPRSRSIEMQRPRSRSRSLEMQRPGSQSRPLEMQRPRSPSRSLEKQRPRSRSRSLEMQRPRSPSRGRGRSRSRSPADRNGGDTLYVTGLSSRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDANRCIKYLNQSVLEGRYITVERSKRKRPRTPTPGHYLGLKNTREIGYRDDRGGGRYRGGYGRDDYPYRRSPRHSPPYRGGRDYSPRHSPYGGGGGRYRRDRSRSPYAPYGSPDRRYARVPR >CAK8571512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434878707:434879196:-1 gene:gene-LATHSAT_LOCUS24171 transcript:rna-LATHSAT_LOCUS24171 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRHGRFAARDVVRSPLNLII >CAK8571513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434879053:434879196:-1 gene:gene-LATHSAT_LOCUS24171 transcript:rna-LATHSAT_LOCUS24171-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8532437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260761634:260764995:-1 gene:gene-LATHSAT_LOCUS2142 transcript:rna-LATHSAT_LOCUS2142 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSNILLIFTFVIFLTTFTSTNSWDDPFFLSQYCSSNRTLANTSFQINLTTLLSSLSLIATTKTNTQFYNTTFNGNNPSDTIYGMYLCRDDVPSQLCQQCIVNATQRLTSECSLSKEGIVWYNECMVWYSTAFIFSTVARTTPSFNLFNTDKVPNTKTFMSLLFSTMNKTANEAAFGNSAKKFATNEITISKFQTLYCLVQCTPNLSPHDCRICLSGLIEDLPGCCEDRVGGRVLNPSCNIRYEFYPFYIKNIGSSNPSSQQILLPQTKNSDPDSMISEDPFYLSHNCSSNKTFTFNNTFKVHLTTLFSYLSSNATKSLFYKDQVANTAFGLFMCRGDVPFSLCELCVKNATQRITKDCNFFQEGVIWYSQCMIRYSNWNFFSLVDKSHVYYEMNVTNDSSPNKERNLFNFVISTTLSNVAIVAGDSDAKFGTKSLELNDLQTLYTLGQCTRDLSSDDCKGCLGDIIGNGIPWPYLGSVGGRVLYPSCNLRFEFFQFYRDNDNVTKPKLTHSSSDKRTNRRRTIMLIVLFSVLPVILFLVGFYLIKRKAKRSFRSILNENFGDESAILEPLQYGLDVIEAATNNFANENFIGKGGFGEVYKGTLLDGRQIAVKRLSKSSTQGGKEFKNEVLLIAKLQHRNLVTFIGFCLEEQEKILIYEYVPNKGLDHFLFDVRQPKFLTWPERYNIIRGIAQGIIYLHVHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIFELNQDEASTNRIVGTLGYMSPEYAMLGQFSEKSDVYSFGVMVLEIMTGKKNVRSYESIVGDSLLSYVWRQWRDETPFNILDPKIKGTYSETEVRKCIQIGLLCAQQFPDARPTIATVVSYLNNDFIELPTPQEPAFLFQGKMDAKGIPHESSSTQSISTSTALTANELSITELLPR >CAK8572548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545863802:545864179:-1 gene:gene-LATHSAT_LOCUS25101 transcript:rna-LATHSAT_LOCUS25101 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTTSSSSAAITIFRPGTLVEISNDVEGYYGSWFTGKIVCCLHHHKFVVEYDKIMVDEEGTMGVQETVNLSQLRPIPPKEIIQDLQVGDEVDAYDRDRWWEGRISGNFENGMWAVYFITNRK >CAK8575025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22481293:22483998:-1 gene:gene-LATHSAT_LOCUS27318 transcript:rna-LATHSAT_LOCUS27318 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKHSDSYPEYSKSLFHTIKHHPLCQHFIQKRHAYNNCDDNERTVTGTVLAQRDEAFSCDKRKNISLAKLNYLNVEEKVTPHSPVEKECSQCDPATSSSDYSECNLCCKHHQLVKNDLLVPQVTDKKKDRVESNARKHIFGMSTHLSKHFIGGLETINMNKKILLTFRHDPVFPLVYHFHKQQHFQRAKMRLNKSESLPFLNSLPKTKEKGRLYSDNDTKKPVPFVSPKTACDQVIPSVAKVKVNGNFNMNEAVIIVRDVKFSSVSAKGQNSLKQKIEHVTGESGKEKIRVAMDSVIHKLPQGQRISDRLKNEILKKLTEPINKREVQRKSSIKRSVSLQEPLESYCKRLENSFKTEARTSQSEKLKLGSQNAHSPLRMMIPLQRILSLPDLQSFSYASQKGDISDWTQENFAIIDKNDFLISNIVKPSGSISKSGSTDKLNNVAKKMEIPAKKLNYEIPQIYVDTKLKEEFNYVKYVLEISGLTSNESISLWHSKDTPLDPSLYEEMENDPEFCGKIGGECNHHVLFDLINETMLEIYDRSYGYSSMVGCNILHKVWTHMSKSLCLRFNVGQRIDDHVSMDLAKHDGWVNIQFYDECFGLKVDDMIFHDLLKEIVLDLACL >CAK8564464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676047900:676049981:-1 gene:gene-LATHSAT_LOCUS17768 transcript:rna-LATHSAT_LOCUS17768 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLCSSSPSSLFHDPPSFPSSSRKSKLRNFSSSLTPLSKTILQSNHVSLQEPIPQQQSEEDAKFDNPVAKSTSSKSTNIWVNPKSPRAKQFGKKSYDARYNSLLKLSKSLDSCNPTEDDVSRILESLGDNILEQDAVMIINNMDNSVVVPFVLRYIQSKIRANREAILYNVTLKVLRKCKDLDGAEKVFDEMVKRGVKPNNITFSTIISCARSCYLPNKAVEWFEKMPSFGCQPDDVTYSVMVDAYGKAGDLNMALSLYDRARTENWRLDNATFSTVIKMYGVAGNYDGCLNVYEEMKALGVKPNLVVYNTLLDAMGRAKRPWQAKAIYKELINNGLFPNRGTYRSLIHAYGRARYSEDALLVYKEMKEKGMHLDTHLYNTLLAMCADVGYTDQAFEIFEDMKSCDICSPDSWTFSSLITVYSCLGKVEEAERMMIEMVESGFEPTIFVLTSLVQCYGKAKRNDDVVKTFNDLLNMGIEPDDQFCGCLLNVITQTPKEELGKLTDCVAKANPKLGSVVRYLVEGLEGDGEFRIYASELLGSITDKVRRAFCNSLIDLCINLDLLDKACVLLDLGLTLEIYPDIQSKSQTQWSLHLKGLSVGAALTAFHVWINDLSKSFESGEDLPPLLGINTGHGKHRYSEKGLADVIESHIKELNAPFWESPDKAGWFLTTQVAVSSWIKSRDSSKLVSA >CAK8559976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2785314:2786717:-1 gene:gene-LATHSAT_LOCUS13700 transcript:rna-LATHSAT_LOCUS13700 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTCIAMVPSPGLSHLIPLVEFAKLLLQHHNEFTVTFLIPSLSPLTPSMESILNTLPPNMNFTVLPQVNIEDLSQNLAPATQMKLIVKHSIPFLQEAVKSLISKTHLVALVLSMFSTDAHEVAKQFNLSSYLFFASGAVLFSFLLTLPKLDESASSQFLESSYETVNVPGVSFPFHVKDLPDPVLYERSSHTYTSFLDVCQKLSLFDGVIMNTFTDLEPEIIKVLQESENKKPIVFPVGPIIRNESNSEANMSVCLRWLENQPPSSVVFVSFGSGGTLSHEQLNELAFGLELSGHKFLWVVRAPSKHSSSAYFSGQKDDPLEYLPDGFLERTKENGLVVPSWAPQVEILAHGSVGGFLSHCGWSSSLESVVNGVPMIAWPLFAEQKMNAKYLTDVLKVAVRPKIDDESGIVKREEVGKSVRRIMEGDESLEINKRMKDLSDAAVTVLSDHGSSRKALSALALKWH >CAK8540915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31367783:31373367:-1 gene:gene-LATHSAT_LOCUS9872 transcript:rna-LATHSAT_LOCUS9872 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSFLDCDNLKNLEEMGKEVVEDVEEGEISDTASVEEITEEDFKKQDVVKVNVSNSDKTTSGGGGAGGGGDSRVWAVQDLYSKYPTISRGYAAGLYNLAWAQAVQNKPLNDIFVMELDGVSKAKANANSNSNNSGNDNDDLNTPLKEVVMVDDDDDREEGELEEGEIDGDDDTDCVMVGCHGSESVFDSEVLDVRDVLENITVANVANVAESFAETCTKLQSALQSKMFNGCEKDVLVRLSFNAVNVIFSVFCSMEYLQKEENKDNLLRLLSFLKNEYAHLFTPEQNKEIQVMITSIVSVDALGNNEVIGKEGKLEAFDKTQQLLGLEASGLISSSMLAHDNSANASEALIYGQNNIKGRGVMLPLFDLHKDHDLDSLPSPTREAPSCFPVNRLLSVGDGMNRFGLPLASKTEAERMELGGKDSKLHIYETDALKAFSTYQQKFSQSSFFTDDKFPSPTPSGDCEEGVVDTNDEVSSASIATSLASSKSPPLDPMPVSSTSINRFSAKSRDPRLRFINSDASTLDLNQPLGTNNMPKVEYAGGIISRKQKAVEELSLDASPPKRLRRSLENSEHNTREEKAMDGKGGWLEENTVVGSQLIERNHLIQKGETELKRTMSTSSSNLTMTNSGIEQTPITSGSAATSLPELLKNIAVNPAVLLNLLLEKQQRLAAEAQTKPVNCTTSTLHLTNSNSTRGTDTTVNTGPARTVGLLQSSVGMLPASSPATSMTPILQVDSGKIRMKPRDPRRILHGSSTLQKGGSLGSEQSKTIVSPMPNNQGTGENVNAQKLDVSVETNLTSPSIVQPDITRQFTNNLKNIADIISVPQEPSTYPPATQNVSSASVPFTSDRAEQKSVVPLPQNLKDGVELAPETCASGSSRPQNTWADVEHLFEGYDEKQRAAIQRERTRRLEEQNKMFAARKLCLVLDLDHTLLNSAKFMEVDPVHDEILRKKEEQDREKPHRHLFRFPHMGMWTKLRPGVWNFLEKASKLFEMHLYTMGNKLYATEMAKVLDPNGVLFAGRVISRGDDTETVDGDERAPKSKDLEGVLGMESSVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPDTGSLASSLGVIERIHENFFASQSLEEVDVRNILASEQRKILDGCRIVFSRVFPVGEANPHLHPLWQTAEQFGASCTNQIDDQVTHVVANSLGTDKVNWAISNGKFVVHPSWVEASALLYRRANEQEFVIKPEK >CAK8544555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692426801:692429707:1 gene:gene-LATHSAT_LOCUS13221 transcript:rna-LATHSAT_LOCUS13221 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSNNVAGVDNTFRRKFDREEYLERARERERQEEEGRAKPKGKGPPVQRKPLKHRDFEVNLESRLGKTQVVTPVAPLSQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRAERSSLKQVQERFESLKKRKDIGSFTEQDFDERILKQQQEEEERKRLRREKKKEKKMEAIEEPEIDPDVAALMGFGGFRSSNKK >CAK8579111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675758504:675759549:1 gene:gene-LATHSAT_LOCUS31079 transcript:rna-LATHSAT_LOCUS31079 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRQYENGRHKVEYYRGAHSPWNADPQHQIKEQNALVMNKKIRSIMAERQATLLEIELEAAITAKNDALAARDVALRQRDEALAQRDNALLERDNALAALQCRNSSANFSFNGGNHRGSKRTHHSSNRNQSNMTEAAYSSTDIIIRDASPVTVITSEAIESHLTKTAKDNSKASKTPSKVKKMGEDLNRKAYSEGTKIKSEWDRQDVGLNLITFDETVMPVPVCTCTGAPRQCYKWGNGGWQSSCCTTTLSMYPLPQLPNKRHARIGGRKMSGSVFTRLLSRFASEGHDLSLPLDLKDYWARHGTNRYITIK >CAK8535471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851110610:851122715:-1 gene:gene-LATHSAT_LOCUS4932 transcript:rna-LATHSAT_LOCUS4932 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVQAADHDPVVQKQTISAPALVVVKEEQLPEPPSSGGGSPAEMQQQQQQGANDVQQSPVVGPRLAPNYTVVDAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNAGIRLAGATADVHCYDVLTNKWSRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQWPRWHRVSVQGPGPGSRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAASNVQAGRLPGRYGFDDRPRQTMTEMAADGSVVLGNPVAPPMNGDIYTDISTENAILQGSRRTAKGVEYLVEASAAEAEAISAALAAAKARQENGEVELPDRDRGAEATPSGKHTSSLIKPDSVGSNNNVSGGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLSAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPIPMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPERHIEDTWMQELNANRPPTPTRGRPPVTNDRGSLAWI >CAK8570308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35483179:35486398:1 gene:gene-LATHSAT_LOCUS23069 transcript:rna-LATHSAT_LOCUS23069 gene_biotype:protein_coding transcript_biotype:protein_coding MITASASRGSRTLVVGVKMEGESHTHCSELLTWALVNVAQPGDLIVALHVLPNHETVNRDGKSSLFSLVKAFDYVLSGYEGFCNLKQVDLKLKICRGSSVRRILVREANECCATHVIVGTPRGLGKIRPSISIGRYCAKKLSKDCWVFGVDNGKVVFKRDGSSATNCADLKGHRVGLLGSIQWKFGKSSKVLNDDMQDSNHSILSDSSCYQAADQESYGGGHEEDEKNSLAMVPVKVIDAGSSVKTLHYREVADLRPGWPLLHSRISSSDMKISERSSFPRISVVQWAMQLPSRDLSYDKDQFLGLDSKSGALVPVDADIGTIASPERKSIIVPKELVGLHEKYSSSCRLFKYQELVSATSNFLPENLIGKGGSSEVYRGCLPDGKEVAVKILKPSDDVLKEFVLEIEIITTLHHINIISLVGFCFEDDNLLLVYDFLSRGSLEHNLHGNKKNLLEFGWTERYKVALGVAKALEYLHHNADQTVIHRDVKSSNVLLSEDFEPQLSDFGLAKWASSSSSYTTCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISGDYPKGQESLVMWASPILNSGKLSQLLDPSLGDNYDHEKIERMVLAATLCIRRAPGARPQMSLISKALKGDAVVMKWARVEINALKASELLDEEASPTSNLQSHLNLALLDVEDDALSLWSVDQNVSLEDYLRGRWSRSSSFD >CAK8570418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:44282440:44283174:-1 gene:gene-LATHSAT_LOCUS23166 transcript:rna-LATHSAT_LOCUS23166 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKGEALKAIENAKKRFAHRDFVGAKSYALKAKTLCPGLEGISQLVTTFEVYIASQVSCNGELDWCSIMGLKPSTNIEVVKKQYKKMAGLLHPDNNKCVGADGAFHLVSEAWSRLSGSYDMKRNAQVGAGHGFNHNGLSSARASDGNQETFWTICTSCKVQYEYLRKYINKKLSCKNCRGIFIAVEIAPANGSFPYCPWSYGSSSGYGSHSYDGVSYPPSNGTYFNGNGVTGYHSAHGPMGM >CAK8573779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636459557:636468143:1 gene:gene-LATHSAT_LOCUS26185 transcript:rna-LATHSAT_LOCUS26185 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLITKNPTLQLLLITLTLTITKTSASVFNNVTCTNTKTFSPNTTFHSNLNTLLSSLSSNVINDVRFFNTTSGNDSNKVYGLYMCRGDVPFALCRECVGFATLKIPSSCPSSKEAVIWYNECLLRYSYRFIFSKMETWPRYKIEIPMGDPGLLQSRGFYAGLRSVLNELPKQAAVSLSGFNKYAVKQENASTSVTLYGLAQCTPDLSAGDCRRCVEAAVEEFPKACCGGSIGETVLFPSCFVRYETYPFYQHSGTSASISASDNGGRNISTHVIAIIVVLLVTLVAISCIGCCLLWIKSKKRRKASVRENLVLEFNNSESLEFDFATIEIATNMFCEDSKIGKGGYGQVYKGILPCGQEIAVKRLSKTSGQGAEEFKNEVMLIAKLQHRNLVRLIGFCLEEQEKILIYEYVPNKSLDHFLFDSKKQKPLTWPERYSIIKGIARGILYLHEDSRLKIIHRDIKPSNVLLDNNMIPKISDFGMARMVDIEQIQGYTNRVVGTYGYMSPEYAMHGQFSVKSDVFSFGVMVLEIISGKKNSCTFESCRIDDLLSYAWNQWKGESPFEMLDPIMQESYAPNEVEKCVQIGLLCVQENPDDRPTMGTIVSYLNNVSIDMPFPMEPAFFMHGRTRRNSAEHQSYSEFTLKQYWNELME >CAK8572089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508954853:508959858:1 gene:gene-LATHSAT_LOCUS24691 transcript:rna-LATHSAT_LOCUS24691 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYWQLKKQIKRIKMSKTPNQNHHKNDGNSIFDSICFIAKKISLNLSHGSDHDNTNIIKVRKKTINNGGEEIYETELVQLFSEEDEVRVFFLKLDEELNKVNQFYIKQESEFLERGETLNKQLQILLDLKKIINDKQRKNYPTKKTNNAETFSRSPARDYNFSESFGDSDETNSEISQHDEVIASLEKNGVSFVNSATRTKTKKGKPKMAMRIDTPATNATGAITVITSMLWEDLVNNPSGDFVHKKKIQCAEKMIRSAFVELYRGLGLLKTYSTLNMVAFSKILKKFDKVSCQKASSNYLKEVKRSHFVSSDKVVRLMDEVESIFTKHFANNDRKRAMKFLRPKQNKDSHMVTFLVGLSTGCFVSLFCVYAILAHLCSIFSPNNESAYMKNVYPVFSVFALLSLHVFMYGCNLYMWKRTRINYNFIFEFSPKTSLKHIDSFLICTTLMTTVVGAMVVHLLLRAAGFSPSQIDALPGVLLLIFIALLFCPLDIFYRPTRYCFIRVIRNIMCSPFYKVLLVDFFMADQLTSQIPLLRHLETTSCYLSSKVFKTHHPETCHSGRSYIEITYCISFLPYIWRVLQCARRWYDDHDVNHLANMGKYVSAILAAGARVTYNRQSDHLWFAMVLITSVVATIYQLYWDFVKDWGFLNPKSRNPWLRDDLVLKRKSIYYMSMVLNIVLRVTWVEAIMPFKVGPVESRLLEFLLAALEVIRRGHWNFYRLENEHLNNVGHYRAVKTVPLPFRETDSDY >CAK8574342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676138388:676139428:1 gene:gene-LATHSAT_LOCUS26697 transcript:rna-LATHSAT_LOCUS26697 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDKIVARIHHWSSRLLSYTGRIQLVKSVTAAMVQYWMQCLPLPKVVIRKIESICRSFIWTGKNTISRKYPVAWNCTCCLTAQDGLNLLNLQVWNNVLLLKCLWNLCNKTDNLWVKWIHTHYLKENSVMNYEIKAYNSWIVCGILKQRDNMEFIRNEWEQIIITQKFKASVIYKVLIDDGTRVLWGNLIKFNKARPRAVFCLWKACHGKLATKERLKRFGMIEDNNCKLCQAEDETLNHLFFSCQETKHIWKEVLNWFNISHDPQPWDAKLVWISNNTKGKGWKVEVLKMLVAETIYNIWGYRNGKTFGNTVDITTTITNFIDHVIYRGWNNCRIKKHLVSFMM >CAK8530419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20101656:20103599:1 gene:gene-LATHSAT_LOCUS285 transcript:rna-LATHSAT_LOCUS285 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSNVSILYSAAQFLEMGEFSNSLETSISKRHIENFLEGIRFWTWFELMEALKQCQKFFHSKGYLAILDKIMDEFIERLASPCTITSPYTCSSNRSSFKLSCDTSSIISFSEATWWFEHLLFLKIDLLENVIRKMIYNDFDHYVVSKFLFYYHNSSCVDASLDVKIETMKVVINLLSLLDNGSISCKDLFNLNRVAISLKIGKFCRNNIESLIGPILDQVTIDYLLLPSPLGKDYAYDVDFVLRVMKIFLLGGGSFELNSNRVKRVVKMMDLFLVEVAPDPHLQPCEFEELVMVLPDSVRESHDQLYLAMDMYLKVHAGIDEKEKMNICCILNHEKLSQDILRHLTRNLVFPSETKPRAHVTRQSRMKTLLQENDHLKNFFDSMFGKSIKNIDVKEDVVEKVTYDGEEVKKFGELEGGTHLACVKKSGVHTMMNNNIYLPKFCS >CAK8574460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682991447:682993375:1 gene:gene-LATHSAT_LOCUS26804 transcript:rna-LATHSAT_LOCUS26804 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWSFSSRSSSSIVETDRLPWEEEEHEDNLHDQLDHTIPLSQVLQDVDSFLNTATTPASDELPDCVPVLHKLLESMIDKYNKQARGTTRINFGRDPENDKSFLDAIERVFKLSITSSLSHPCLDKAMFILESDICSLLQRPKSKIYRSTSTPTPPPRKSASSGSLQLHFQLQDDRDSLRDDDDDDDDGDLLPNFSLQEISILNNITTSMITAGYQIECCMAFTVFRRNSFKNVLQKLGYAFPRMEEIYKMKWELLEGQIVTWNKVFRHCTNVLFKTERKLYDTIFSNQPSLSRTMFGDLVRNVIFHFLNFAQAVVLTKPSPEKLFKFLDMYDTLRDELDPIIVLMKEDGLERCVEDLTYETSATKHGIVNVVVAMFYDLENSIKGDNHRIPVPYGAIHPLTRYVMNYLKYACEYKPTLEQIFSEYFKDQCVATSQQELDGTAAKSPFVVQLMRIMDLLDENTERKSKLYRDMALRCIFLMNNGRYVVQKIKGCIELHESMGDNWCRRRQTSLKMHHKSYQRETWSNVLKCLNPDGLHQQGNKVSRQVLRDRFKCFNSMFEEIHKTQSHWMVSDEQLQSELKVSISAVVIPAYRSFLGRFKHYLDSTKHVDKYIKYAPDDIEILIDDFFVGNATSLTRKKT >CAK8577408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:561822499:561823146:1 gene:gene-LATHSAT_LOCUS29521 transcript:rna-LATHSAT_LOCUS29521 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGSRFIGASQAVRLNAPRVGGSFAVWGGLFSSFDCTMVYLRQKEDPWNSIFAGAATGGFLSMRQGLVASGRSAAFGGVLLALIEGAGIMLNKVLSAQQPVPAVMDEPIPGKPNGGFPGKQVPPAGDSEAKPWFGGGLFGEDKKKDETVSDGGSETKILESFDAPPVPNFEYK >CAK8542358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504253727:504254293:-1 gene:gene-LATHSAT_LOCUS11203 transcript:rna-LATHSAT_LOCUS11203 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDGKISDMTQKMGAMEVLLKSMYMQQNPHLSEEELNGKMREALHNDNIPTPRSSISTYAPAHQKVRNEDDPQDEQDDVLQDDDDLDDDLQYDQDDDLQYDQVDGSQDDDCHDSQYNEYDEDLH >CAK8542359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504253727:504254266:-1 gene:gene-LATHSAT_LOCUS11203 transcript:rna-LATHSAT_LOCUS11203-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKMGAMEVLLKSMYMQQNPHLSEEELNGKMREALHNDNIPTPRSSISTYAPAHQKVRNEDDPQDEQDDVLQDDDDLDDDLQYDQDDDLQYDQVDGSQDDDCHDSQYNEYDEDLH >CAK8578419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628262470:628264565:-1 gene:gene-LATHSAT_LOCUS30432 transcript:rna-LATHSAT_LOCUS30432 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNAKSHSSRWEWDNLSFFNTKEIENPKSQPPNRSIELDRENNVDLFDTPDGSGCSGSELIHDSISRCSKSSNRDKDNSKTCIFSFENSHDFNDKIELSKEDPIESSNAPELSSVSGEPLLTLKLGKRMYFEDLSSESDPKNLSIFGDSMSNVSIGKKCKSVGRNLQCSHCQVEGCGLDLSSAKDYYRKHRVCESHSKSPKVVIAGLERRFCQQCSRFHALFEFDEKKRSCRRRLSHHNAKRRKPCPLDVVQSSQSVLSSSRCDGEQQMSPFSYSKTATNLAGQNIDNNVLLQTKNFLLKPAKDNIDAPRVEDFITFSDTNATQDFTCALSLLSTNPWDSYATESISLEHSNRTSSCSQAITHAMSQGTPLASSEYISEHDQRVNSSMWISNSNCEESNHFQEFQLLREPYESGFHQLD >CAK8561259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:130390916:130395132:-1 gene:gene-LATHSAT_LOCUS14868 transcript:rna-LATHSAT_LOCUS14868 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVESVGNIPLQDPPEEEFSSADLSWTKFGNAEHHDEVALIPYDRVDAFIIGESSNVLCPTRFHIERGRKRTIGTLKEYKDDEYLEYRLYWCSFGPENYGEGGEILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIVYNDRRHVNTSGFICHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENILEKHIEGIERYCGSNAKANTLASQYVHKLGMIIKRSTHELDLDDQASIRMWVERNRKSVFFHQDTSESDPFILGIQTEWQLQQMVRFGHRSVVATDSSFGVKRLKYPLFTLLVFDSRQHALPVAWIITRSFAKPDVSKWLKALIDRARSVEPGWKVNGFLIDDAAADIDLLRDIFCCPVLFSLWRIRRSWLRNIVRKCNNIEVRREIFKRLGTLVYSIWGGTNTSVVALEQFMLDFVDQTDFMEYFKVSWLPKIEMWLSTMRNFPLASQEASGALEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRFADESDSFQNVKEGYIASTSWHRALQIPDSAVTLDDKNRLFAKVASQKDNSLAHIVWNPGSEFSSCDCSWSLQGNLCKHVIKVNMICENLKGCQPSMSFRSFEEVLMDLRRKPVDDSFELDVSLAWTHQILDHIQKFVELNNSPDIGTIVNNMPLKWVSKRARTYVGKNSSISAAIPRNRNTESVVIYKKNRKRKRLSRLR >CAK8575736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:294009933:294010347:1 gene:gene-LATHSAT_LOCUS27973 transcript:rna-LATHSAT_LOCUS27973 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLALHCLQGSVSRFAFFYLQRWASFIQIKRLRNAALEDRCRQNQTDGMASDLHCILTCNNFDP >CAK8541256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98188956:98191507:1 gene:gene-LATHSAT_LOCUS10188 transcript:rna-LATHSAT_LOCUS10188 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEANISAVTNFEVLDFLRAKGASKDPTRVIAKVAMSEYKVYDYLVNTAAGSQTRESVKEYLTSVKKHELSQAEVLNVLNIRPAAEVELFHIIEDCTVRFPDEEIAEIVELVEKTLPARSNKETSKEISEGEEETETQKHENGNEISQDETEDGEQMDTS >CAK8570389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42685740:42690513:-1 gene:gene-LATHSAT_LOCUS23139 transcript:rna-LATHSAT_LOCUS23139 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGYGRGGGRGGGRGGYQQYSRPEPFVLYPEDINLPDVKHGENDASMKQLIKWDLSFDSYFKAAPYLLDDTELKGRKRMHIERFSDKKKTTFTRDSLSQVLYFDGFVKELVPGKSKQMPSRKKFRWNPEADTKKLAFFEELEKKLLAQESKDQKKKKEESEDEDENEEGKESEDDFNDGDYNQNVDYDDDEDDFNDVEAGIDEDVY >CAK8568765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639596063:639596593:-1 gene:gene-LATHSAT_LOCUS21691 transcript:rna-LATHSAT_LOCUS21691 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCAACAMEWSIQLEKGLRSGKPGVRVKAILQMGPHLQRWSRELESGIVPNGMFDLVPGEGELFANTILLCLADAFRGGNTEIRLSVVRVFLIERKHHDNRKHKQCNGLLSMARVANHLELLKRVKSVFNSGNSESKTLALVLFGCWADFANDNAQIRYLILSSLVSPHDCEHP >CAK8561820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389538664:389539371:1 gene:gene-LATHSAT_LOCUS15374 transcript:rna-LATHSAT_LOCUS15374 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRPPKNTVPPPIKSPLMSPPKQQSELRSHQECNSKLIEEEILEIETLDERPGKPNQEEIETATQSNDALLDAVPKKSETGKPIHEGASEEGCKLWVDVQKDNRNPTKGGAMKFIAPQIVNGKLEVVIEEDDIISEVKFWESSLILYAMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILQFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDELLRTLPI >CAK8573738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634077705:634078478:1 gene:gene-LATHSAT_LOCUS26146 transcript:rna-LATHSAT_LOCUS26146 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEPEPVQVNLRSSDAPSSPRISFSAEFLDENNFITISPNPLYKEKDQEKDHHEKTKNTDQFEFLSNNNMSSNSTVLSADELFFEGKILPFWQMQHLEKLNKINLKAKDVEVEIEGEEEEGGEHEEEVIEVVVNNKEDSSRVNWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSSSSNASSLGDAAAKEGSRNKENQHVKRIKKGLERTRSATIRIRPMVNVPICTQMKNSALPPLFPLKKGKLER >CAK8566369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414633320:414635965:-1 gene:gene-LATHSAT_LOCUS19502 transcript:rna-LATHSAT_LOCUS19502 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLSFSFFFVLFVTSVSAYTFSDHISTNFTASYLQFISNTGSFLSSRNKTFKAAIFNPGNQQTSFYLCIIHSASNTIIWSANRDAPISDSDKMRLTVKGITIYGENGKSKWSTPSLKSQVDKLQLTEMGNLVLLDQSNESLWESFDHPTDTIVIGQRLSAGSSLSSASSSSNLSTGNYVLTVTSSDAILRWYGQTYWKLSRDSKAYKSSNDDVEYMAVSTTGFYLFGHNETVHVYEVGLSVTNIRFAKLAFNGQFTVSSFSGTNLKQEFVGPDDGCQIPLACGRIGLCNDNTLSSSSSSSSSSPVCSCPSNFHGVSGNLGGCVPNDRSRSLPLACSNSSTNNHSQSNSSFLSIGYGVRYFANLYSDPFMYGVNLSVCEAFCSSNCSCLGILYRNSSGSCYMIENELGSISNGGERDMLGLIKSNKVWVDIDDDDDDEQNSRKDGFPVIAAVLLPCGGMILFLVFGFFLLWRKFIKSKKQEVILGKQMSISHSSGDLDSDPFCIPGLPTRFDYEELEVATDNFKTLIGSGAFGVVYKGVLPDKTIVAVKKIINIGIQGKKDFFAEIAVIGNIHHVNLVRLKGFCAQRGHRMLVYEFMNRGSLDRNLFGSAGQPVLEWQERLDVALGTARGLAYLHSGCEKKIIHCDIKPENILLHDQFQAKISDFGLSKLLSPEQSGLFTTMRGTRGYLAPEWLTNSAISEKTDVYSYGMVLLELISGRKNCSFRSRTHSMDDEHNNSSGNNGNSSNSSTTELVYFPLFALEMHEQKSYMDLADPRLEGRVTFDEVEKLVRIALCCVHEDPALRPNMVTVVGMLEGGTPLPQPRMECLNFLRFYGRRFSEASVVAEENEHGSVRVQQPRDSTGLVSGFSYISSQQISGPR >CAK8570428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45424772:45425473:-1 gene:gene-LATHSAT_LOCUS23176 transcript:rna-LATHSAT_LOCUS23176 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNTFATVLHFPELLAYVDPDFVEIPAQLLQNCFYEIFFLKLISGFHQDITNPLKDDLCKSIKRWRNFSLALKLKQDILYWLPVLEGTKPTSCFPFSVVGYEAACYSHIWSEVFVVDILASIFCNGVSNQLLDMQFRNNVLAPSGAKDSIELISYFLGRKSSIQAQRENLGSVEEIYIAIMEELSKRVHKESMLIFRIERHQQ >CAK8541387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:147703715:147704179:-1 gene:gene-LATHSAT_LOCUS10312 transcript:rna-LATHSAT_LOCUS10312 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNLRRLTQSATRLSSLSFLTHSPICRPTSTKKVSDRIVRLSAIDFQGQKHNVLGLTGQTLLKALINTGLIDPDSHRLEEIDACSAHCEINIAQEWLDKLPPRSYDEEYVLKHNSRARVLNKHSRLGCQVLLNHDLQGMVVALPEPKPWDTS >CAK8543558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619717712:619719704:1 gene:gene-LATHSAT_LOCUS12308 transcript:rna-LATHSAT_LOCUS12308 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSLNDVASCSPEMEKFLCELLLDSTQPISERFRALFSLRNLKGPAPRTALILATRDSSNLLAHEAAFALGQMQELEAIPALTSVLNDLSLHPIVRHEAAEALGAIGSDSNVSLLKRSLESDPAQEVRETCELALQRILNLRNAATTDDSTAPGISPFKSVDPAAPATCCSSVNQLRELLLDEEKGMYERYAALFALRNDGGNKAVTAIIDSLGSKSALLKHEVAYVLGQLQDKAASAALSNILRDVNEHPMVRHEAAEALGSIADDQSVALLEEFTADPEALVSQSCQVALSMLEAERSGKSFEFLFMRTPTVV >CAK8575987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380145270:380157240:-1 gene:gene-LATHSAT_LOCUS28207 transcript:rna-LATHSAT_LOCUS28207-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYSNILVILCFISNLLISLQKPIELDLTPKTAQALVEGDGGSYYIWLSSEVQVLAETNVGAGQLVLQPRGFALPHFADSSKVAYVLEGTNGVVGMVLPKTGKEVVLKLKQGDVIPIPIGAISWWFNDGQSNLNIIFFGETSTAYVPGQFTYFFLTGLQGLLGNFSTKLISKVYNFNKDEVTKLTQSQKGVVIIKLEKGQPMPKPQLDLTKDFVYDIDTKVPETEVHNGGLVTTLTEKDFLFIKDVGLSVIRVKLEPNAIKAPSNLNTPGIQLIYIARGSGNFEIVGLNGKRVLDSEVKAGHLIVVPHFFVVAQIAGNEGMESYSIVTTTKPLFEELAGKTSIWGALSPLVQQVSLNVDSKFQKLFISKATENTNIIPPTI >CAK8575986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:380145270:380157246:-1 gene:gene-LATHSAT_LOCUS28207 transcript:rna-LATHSAT_LOCUS28207 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNRYSNILVILCFISNLLISLQKPIELDLTPKTAQALVEGDGGSYYIWLSSEVQVLAETNVGAGQLVLQPRGFALPHFADSSKVAYVLEGTNGVVGMVLPKTGKEVVLKLKQGDVIPIPIGAISWWFNDGQSNLNIIFFGETSTAYVPGQFTYFFLTGLQGLLGNFSTKLISKVYNFNKDEVTKLTQSQKGVVIIKLEKGQPMPKPQLDLTKDFVYDIDTKVPETEVHNGGLVTTLTEKDFLFIKDVGLSVIRVKLEPNAIKAPSNLNTPGIQLIYIARGSGNFEIVGLNGKRVLDSEVKAGHLIVVPHFFVVAQIAGNEGMESYSIVTTTKPLFEELAGKTSIWGALSPLVQQVSLNVDSKFQKLFISKATENTNIIPPTI >CAK8563897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637791583:637795482:-1 gene:gene-LATHSAT_LOCUS17258 transcript:rna-LATHSAT_LOCUS17258 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPISGFYRNPVKFRMPTSENLVPIRLDIEIDGHRYKDTFTWNPSDPDSEVVVFAKRTVKDLKLPPPFVTQIAQSIQSQLAEFRSYEGQDMYAGEKIIPIKLDLRVNHTLVKDQFLWDLNNFDSDPEEFARIFCRDMGIEDPEVGPAVAFAIREQLYEIAIQSVVSARESRLSKKGRRGADFTPVSKGGAVAVDLVKLFGIKSSVVRKRKEWDVYEPIVDLLSNEEVDVLEAKEERNFR >CAK8564425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673485085:673487400:-1 gene:gene-LATHSAT_LOCUS17731 transcript:rna-LATHSAT_LOCUS17731 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGGRRKKGGAVVVDNSVSAQTPNGGVELDSSIFLKKAHEMKEEGNRRFQSKDYAGALEHYENALKLTPKIHPDRAVFHSNRAACLMQMKPIDYESVISECTLALQVQPLFVRALLRRARAFEAVGKYELAVQDVQLLLASDPNHKDALDIAQRLRAAFGPRQEAQQDLHSRPSPAALGASAVRGAPIAGLGPCLPARPGSKKGVNSGAGAVVSPNNKVDKSQNVLLPAENGSETTKRHQNVVLKPLSNGPAVQPNSKNVNQKGINGQLSEVAIQWRPLKLVYDHDIRLAQMPVKCSFRVLRDVVSKRFPSSNSVLIKYKDCDGDLVTITSTEELRLAESFVDSYLLKELESDKSDSISVLRLHIVEVSPEQEPPLLEEEEEKVVENEVTKGDESGSHSSLSESAPEVTEVTEVPEIEVDKLAAKKDASKEKPGATGDNECKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQDLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPLDETAGKEVVAEQLQVAYDWVKEKYSLAREKYEEALLIKPDFYEGLLALGQQQFEMAKLHWSFAIAKKIDLTSWDPTETLQLFNSAEEKMKSATDMWEKLEEQRAKELKDPNATKKEELLRRRKKHGSTTEGETSGVGGQSEISAEEAAEQAVVMRSQIHLFWGNMLFEKSQVECKLGMDGWKNNLDAATERFKLAGASEADISMVLKNHSSNGDTKGDDKKVQSPLPNKTGELEINKANQE >CAK8531547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:123836805:123837161:1 gene:gene-LATHSAT_LOCUS1331 transcript:rna-LATHSAT_LOCUS1331 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAPYPRRGTSV >CAK8565654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300219541:300222468:-1 gene:gene-LATHSAT_LOCUS18845 transcript:rna-LATHSAT_LOCUS18845 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMSHFFLVSIAVFFFFFFLEKSFAIETTWEETHHLVQISSLLPSPSCSSSTKGPKRKASLDVIHKHGPCSQLNKTGKANSVETHSEILNHDKERINYIHSKLLLSSSSNNNNKVEIELDSSSSANLPAKSGSLIGSGNYYVVLGLGTPKKDLSLAFDTGSDLTWTQCEPCARACYKQIDEIFDPSKSSSYYNITCTSPDCTQLSSATGINPGCSRSTKACIYGIQYGDRSFSVGYFSREQLTLTPTDTVDNFLFGCGQDNEGLFNGIAGLLGLGRHPISFVQQTSQKYGNTFSYCLPPTSSGVGHLTFGGAADNNYLSYTPSPAASRGASFYFLDIVGISVGRTKLPISSSLFTSGGAVIDSGTVITRLPPTVYTSLRDSFKKGMSKYPPASSFSLFDTCYDLSGVEVVSIPKVNFFFGGGVTVELVAPGILYVLSLKQVCLAFAANGDDSDVTIFGNVQQRTLEVVYDVGGGRIGFGSNGCK >CAK8568141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576152761:576154132:-1 gene:gene-LATHSAT_LOCUS21132 transcript:rna-LATHSAT_LOCUS21132 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRIPSPLLSTKQHHGIVITSFSSISFDKNKNYSINPKSNSLFSSIHSLRLSITHTPTLIRTKEQANSTLRFSEQQQSQVIEENTEELSSTSTRLLAQNVPWTSTAEDIRSLFEKHGNVIDVELSMYNKNRNRGLAFVEMGSPEEALAVFNTLQSYEFEGRVINLKYARPRKEKTPPPVEQKPITFNLFVANLSYETRSKDLKEFFDSGASGVVSAKVIFREDPRSPSGYGFVSFKSKKEANDALSAFQGKKLKGNPIRVAPSKRFVQLTEESAGSEDKSSESSTNKAVADKAD >CAK8570051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22457799:22458990:1 gene:gene-LATHSAT_LOCUS22837 transcript:rna-LATHSAT_LOCUS22837 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENEMIPCLDFSGVGDDDEGSEEWEKMSKKVREALESYGCFILMYDKNKIPESLCENMLEGMKELFDLPEEVKRKHISTRPYSSYKSDCPKSPLTQTLGIDDVFLEDNALAFTNLIWPQGNSTFSETMKSLSSKMHELSFLILKMIKDSYGLPKQCCLDIEVLKNTGHLRLMKYKTPKTNKDCETALLPHTDKSTLTMLYQNEVQGLHVQTKTNKWIQLNIPQEGFIVIVGDILKAWSNGRLHAPAHKVMMCGDKERYSFAEFTLPKEDVKIEVPDELVDDEVHPLRYRSFTYGDYLEYFVSTLKENALEAYIGV >CAK8535634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871028991:871029593:-1 gene:gene-LATHSAT_LOCUS5076 transcript:rna-LATHSAT_LOCUS5076 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTSLDPSLVYEYALILAHNELEEEDMFYFNHEFLQSMGISIAKHRLEILKLAIKDKRKFPHTVAKFVAAIKRTKKYLANYVRTLTHTEESAALVVMPKTRSSGGLGRRWKSGIMKRNKKYVVAKKEKLFLTNGIVPVPALSGDLDGYASPVVYHFQKEQKIDGGSDGGGDGYWSAAVEEIKWDTMFKDLKPN >CAK8576008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:384323570:384323941:-1 gene:gene-LATHSAT_LOCUS28227 transcript:rna-LATHSAT_LOCUS28227 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGSSKLNTLCKLWKDLYEPFKTRINNRIENRGQGYTLLRVLKLVQDNSSEFSTSLFEMFMSYYGLQENYYCFHVCGINMNVSLEDVLFLTNLLITGRAIISKSNKDPMAFNWVFPLPFCF >CAK8538680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492775360:492776972:-1 gene:gene-LATHSAT_LOCUS7854 transcript:rna-LATHSAT_LOCUS7854 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFYAHGRNHLFVPGPTNIPEEVIRAMNRNNENHRSPAIPALTKTLLHDIKKIFKTTSGIPFLIPTTGTGAWESALTNTCSPGDRIVSFLIGQFSFLWIEQQKQLNFNVDVVESEWGQGADLDILESKIASDKTHTIKALCIVHNETTTGVTNDLTKVRKILDEFKHPALVIVDAVSSIGALDFRMDEWGVDVVVTSSQKALSLPTGMGIVCAAPKAIKASKSAKSLRAFFDWNGYLKCYKSETYWPYTPSIQLLYGLRAALDLIFEEGYENVILRHNRLAKATRLAVEAWGLKNCCQKEEWYSASVTAVVVPPNIDSGEIVTKAWKKYNLSLGVGLNKVAGKVFRIGHIGHLNELQLLGCLAGVEMTLKDVGYPVKLGSGVAAASAYLQNNTPFIPSRI >CAK8533415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615537264:615538157:-1 gene:gene-LATHSAT_LOCUS3040 transcript:rna-LATHSAT_LOCUS3040 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPKEAKFPLKQEVFPSKDSTTPSSSSSTSQWLKLKDPRIVRVSRAFGTKDRHSKVYTIKGLRDRRVRLSIQTAIHLYDLQDRLGLSQPSKVVDWLLNIAKDEIDELPPLPIPQENFTLGYPSFASTISNTSVWKSNSRQGLGEIVTNKESWINRSKKEKQKKVETDHYDCAQYILHDNLLSDLASDHTSNMITSYGRNPRTNQPSFLELLNPMPFGYQLEPSCNHVAHELSNFGFVNQIKDIDGINVVPFPSSLSLSTQQYLPSHVGAMEMDTRQINYNYDQMMNPSSLNATQQ >CAK8534445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727165309:727166922:1 gene:gene-LATHSAT_LOCUS3991 transcript:rna-LATHSAT_LOCUS3991 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPLLQPEIMEAAEAPIPSESLWDQITKFTATMNAAYRRKPIPYWILLFLGIIAMVVAFPASSILSRVYYANGGQSKWIISWIAVAGWPLIALILFPTYFITKTFPTPLGLKLFLSYIVLGFLSAADNLMYAYAYAYLPASTAALVASSSLVFSALFGYVLVNNRMNVSIVNALFVITAGLTIIALDSSSDKYDNISNNQYIMGLVWDVLGSALHGLIFALSELVFVKLLGRRSFVVVLEQQIMVSWFAFLFTSIGVIVSGDFRRISSEATIFKGGKSAYYLVLVWGAVTFQLGVLGATAVIFLASTVLAGVLNAVRTPITSIVAVILLHDPMSGFKILSLLITFWGFGSYIYGSSMDHKQS >CAK8540111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540205498:540210862:-1 gene:gene-LATHSAT_LOCUS9144 transcript:rna-LATHSAT_LOCUS9144 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEESSSYPPIDPVNFDLIIVGTGLSESIISAAASAVGKTVLHLDPNSFYGSNFASLSLEEITSYLNSPLKSITAAASSSDSDYAVINLIQQPLVSDAEIISCDSVDESTFLLENSRKFNLDLGGPRALFSADKTIDLLLKSGAAQYLEFKGIDTSLVYNAKEGLVNVPDSRGAIFRDKKLSLKEKNQLMKFFKLVQQHLGDNEDGMISEEDMESPFVSFLEKTGLPPKIKAILLYAIAMVDFDQENGEVCKDLLTTKDGIDRLAQYSSSVGRFPSAPGALIYPIYGEGELPQGFCRRAAVKGCIYVLRMPVISLLMDKVTESYKGVRLASGQELYSHQLILDPSFTIPSTQSLSPKGMVARGICITRSSIKPDVSNCSVVYPPRSLYPDQVTSVRALQIGSNLAVCPAGTFVLYFSTLCNDADEGKKLLKASMKALLTLPVSGNTETIVQSDSEDKKAVVLWSAIYVQKLTMGKFEAISSTPTPDGNLNYNDLVDATEKLFSQMYPDEEFFPKTTSPEDSTDDDDNGIIMES >CAK8573740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634273399:634284434:1 gene:gene-LATHSAT_LOCUS26148 transcript:rna-LATHSAT_LOCUS26148 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQRRQGHCLSERKGQKRKLDEESQDEPQISAAPPTSDERAALLTEVENQVNILESTFTWNESDRAAAKRATHALADLAKNEEVVNVIVEGGAIPALVKHLQAPPVEDCDQKALPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALTYLVDLLKRHNQGLTSRAINSLIRRAADAITNLAHENSNIKTRVRMEGGIPPLIHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLFAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLSSSDVQLREMSAFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLAENEDNVSDFIRVGGVQRLQEGEFIVQATKDCVSKTLKRLEEKIRGRVLSHLLYLMRVSERGFQRRIALVLAHLCSADDQRRIFIEHHGLELLIGLLCSSSTKQQLDGAVALCKLADKASTLSPVDAPPPSPTPQVYLGEKFVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVTPDIAQDLLRAADQYLLEGLKRLCEYTIAQDILLENVSSMYELSEAFNAISLRHACILFILKHFDKLSARPGHSLLIQRTIPEIRNYFVNALTNANSHRQ >CAK8574205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:669556949:669558773:1 gene:gene-LATHSAT_LOCUS26569 transcript:rna-LATHSAT_LOCUS26569 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRITCLPDHLIDKILSHLPIRDAGRTSVLSSKWRNKWSTLPDLVFHRKCVSTAAFEDLSIINSKFIRIIDHVLLLHSGPINKFKLSESCYDIVGVNFVADMDRWILHLTGRSIKEFVLKILMEQPYKIPWCLFSWQTLRRLKLYYCCLKPPITFEGFRNLESLELKLVTVAQNAFENLISGCPRLERLKLIKVDGLTQFNIHAPNLKFFYFVGEFEDISFENSFQLTNVLVTLNVYLYPQSNQRRLHGCSSNLVKLFDHRPHIKSLVIADYFLKYLAAGVIPVKLHSPFMNLLSLGLNINFTDLKEISVVLCLLKSSPNVRKFVMFALKKEQTGLLSPASYCWEDIFSEPNVPLGVRYVIIKDISGTKFELDFIKFLLLYSPLLKRMTAKPTPNAKPELMTELISFKRASSHAEVVYHRKDSA >CAK8541736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:378464207:378465247:1 gene:gene-LATHSAT_LOCUS10632 transcript:rna-LATHSAT_LOCUS10632 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATTKVGKLEITLPSVSNVQIEPIIVQIDRLDLVFEENSDFKPSETPKASTSSTPSGASAKGSGYGFADKIADGMTIQIHTVNLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNESWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGSSQVGSNRRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTKVVCPASSEPGLRALLRFMTGIYVCLNRGNVDSQQQSTEAAGRSVCQLLWIIYFSALKILLLALSGRIPA >CAK8568724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635802199:635802555:1 gene:gene-LATHSAT_LOCUS21656 transcript:rna-LATHSAT_LOCUS21656 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCISNQTLFERGPFVATSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCEQALVSTDPIVTSLGSSQQVLTRFKVFLAICLYLAYL >CAK8535313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836996946:836997401:-1 gene:gene-LATHSAT_LOCUS4781 transcript:rna-LATHSAT_LOCUS4781 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSTASPCSEATLYYYGLSAEYQDQYEYLGSMKKYNLEMQCPKLKPNDVLNFHINVVSHSVDSNSVPRLNTLLHNFQQVSCKRFFQEGEDWIQSILFHPDFSCESLEGLTKRIVHEVHELFDFDQVADGVGASVSHRFTLYLRIVLQK >CAK8538859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498293924:498294343:1 gene:gene-LATHSAT_LOCUS8013 transcript:rna-LATHSAT_LOCUS8013 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEGKPMGHYKVDLLNGWGDCGKFQAYRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSTSFPVLSYDEYWPVYERDQFYHNPRMRRNKKGRLVSTRITTEMDNFDKFERKCSMRRQTGHNRTRCPNVRISNR >CAK8530656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:38228495:38229769:-1 gene:gene-LATHSAT_LOCUS504 transcript:rna-LATHSAT_LOCUS504 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPTTVTLNTLVNGMCLNGKVSEALHFHDYVVARGFHFDQVGYASLINGLCKMGETRAAVQMLRKIEGKLVRLDEVMYSTIIDSLCKDKCVNDAYDLYFEMIAKRISPNVVTFNSLIYGFCIVGQLKQASSLLNEMVLKNIYPDVYTFTILVDALCKEGNVKEAKNLLVVMMKEGVIPNVVVYNSFMDGYCLVKEVNKAEHVLRTISRMGVTPNAWSYSIMINGFCKMKMVNEALSLFNEMCCKGIAPDTVTYSSLIDGLCKSGRISHAWKLVDEMHHNGQPANIFTYNSLINALFKNHLVDKAIALVKKIKDLGIVPNMFTYNILIDGLCKGGQLKNAHNIFKDLLTKGYSLNIQTYNIMINGFSKEGLFDESEALLSTMEDSGIIPNAVTYETIIRALFHKDKNEKAEKLLREMIARGLL >CAK8530594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33183032:33185358:-1 gene:gene-LATHSAT_LOCUS447 transcript:rna-LATHSAT_LOCUS447 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIKNDEDVKAMFRCHMMFGQLPTIEVYVRLLHNPETLPTQETQSHWYGMSQTSNDEPTQNNLPFIPDEKVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVLSMQPIHAQPVSLYNPPTHMQNIDFKDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCVDYWVYQSNNERYVIQCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSGKRIFHRLFWAFRPCIRGFAYCKLIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLQLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSRKVFTDGCNKGMDEEVAKVNTHNIMQFDRKRFYFMVQEKINYNDGRPTGIFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWLKYEGFTLCHDDSMRRNKKGCPRSSRIRTKMDDAEKEKRRCGICREIGHMRSKCPNVVGPSNRPNR >CAK8533721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:653793922:653795947:1 gene:gene-LATHSAT_LOCUS3323 transcript:rna-LATHSAT_LOCUS3323 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASVARNIVGIIGNVISFVLFFSPAPTFYKIIKKKDVEEFKPDPYIATVLNCAFWVFYGLPFVHPNSLLVVTINGVGLVFEFVYLTIFYVYSNNKGRKKLLLYLVIEAIFFAAIVLITMLALHGTTKRSLVVGIVCDIFNILMYVSPLTVMAKVIKTKSVEYMPFWLSLANFLNGLCWTTYALIHPLDIYVLISNGIGVISGLVQLLLYAFFWCKGENKKEAEDDVPKPTVAAV >CAK8561046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82317379:82328820:-1 gene:gene-LATHSAT_LOCUS14671 transcript:rna-LATHSAT_LOCUS14671-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETAYYDTLGVDVDASAADIKKAYYVKARIVHPDKNPGDPKAAENFQLLGEAYQVLSDPDKREEYDKNGKEGVSQDSMMDPSTVFGMLFGSEFFEEYIGKLALASLASIEVEEDSPEPQVRMQKIQEKMKAWQKEREQKLISVLKDRLQPFVDGREEEFTTWANSEASNLSKAAFGEAMLHTIGYIYTRKAAKEIGKDIRYMNVPFLAEWVRDKGHRIKSQVTAASGAVSLIQIQEELKKLNQGENKEENVMKALEDKKEAMVNSLWRINVIDIESTLSHVCQAVLRDPTVSKEVLKSRAKALKMLGTIFQGAKVAFRRENSLRRESENRVEAGPST >CAK8561045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:82317379:82328820:-1 gene:gene-LATHSAT_LOCUS14671 transcript:rna-LATHSAT_LOCUS14671 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETAYYDTLGVDVDASAADIKKAYYVKARIVHPDKNPGDPKAAENFQLLGEAYQVLSDPDKREEYDKNGKEGVSQDSMMDPSTVFGMLFGSEFFEEYIGKLALASLASIEVEEDSPEPQVRMQKIQEKMKAWQKEREQKLISVLKDRLQPFVDGREEEFTTWANSEASNLSKAAFGEAMLHTIGYIYTRKAAKEIGKDIRYMNVPFLAEWVRDKGHRIKSQVTAASGAVSLIQIQEELKKLNQGENKEENVMKALEDKKEAMVNSLWRINVIDIESTLSHVCQAVLRDPTVSKEVLKSRAKALKMLGTIFQVAFRRENSLRRESENRVEAGPST >CAK8574121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663536110:663537885:-1 gene:gene-LATHSAT_LOCUS26496 transcript:rna-LATHSAT_LOCUS26496 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKINSIGVHGWGDMRSLTVQFITGRWFIVFASFLIMAAAGATYMFALYSGVLKETLAYDQSTLNLLSFFKDFGANVGILAGLVNEIYPPWVVLTIGAVLNFFGYFMIWLSVTKKIAKPKVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGVLKGYVGLSGAIITQLYSAFYYDDPKALILLIGWLPAVVSFAFLRTVRYMKPVRQVNEIKVFYNFLYISLGLAGFLLAMIIIQKEVNFTQSEFGLSAAVVIFLLLLPLLVVFIEEKKIWQSKKLALVDSSPVKIVTEGEIAETERVNAVHSVSVSSPKKDPKWYEDVFHPPARGEDYTILQALFSIDMLILFVACISGVGGALTAIDNLNQIGTSLRYPKKSISTFVSLVSIWNYLGRVFSGFVSEHVLVKYKFPRPLMLTLILFISCIGHLLIAFDVPNGLYAASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVMITGHLYDKEGKKQLEALGRTRKEGQQLNCVGVECFKLSFIIITAATFFGAVVSLILVARTKKFYRGDIYKRYREEAEMVEVKKEGDAKVDQPHVVTS >CAK8561327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:151500803:151504720:-1 gene:gene-LATHSAT_LOCUS14929 transcript:rna-LATHSAT_LOCUS14929 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSIKNRIVTDHWAYLEHFDAPMWADLTLEAKSPYEYNITDDDWFNKNHLFHQLSACELKSKFAHSVEDAVMLQGFASPGLPSSVSKSRGKDYNNKIKWGKGINLNALLDKHEGLSRRGCFKLDASIGYEVKPKSTLTVGKPKSGLTFEHNARGKAMSKASCGNLVGSSGSMNKKNYERPIRTMLTFENMIQKKDYKEHNKVSYDGKRKSSSSNSFGKSVVTEGSRVRDQHKYMEVSNKPCDKKSGSSSVNSISLRKSYAKQEVSKVEMDVDNMKSRGRKSSSGKSSVGSCSNPSYEVKFVSKEQRKKITNTKDVVTMNLADKSRCNLGNKFKTSSITAEGKGKGIVLGNNINVAKSLIKSQSVRSSTKLPSKVNKTNFCTAAKETLHKGKENATMKLTVNEHCNEQGVLAKGVLKSPKTRVHNRQHKDDKAGSAALTILGKVNGQREAKKLVNPVARRIYLR >CAK8569385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697824751:697825071:-1 gene:gene-LATHSAT_LOCUS22241 transcript:rna-LATHSAT_LOCUS22241 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTTIPSARSTSLRIIGALIAMTLLLWTIRSGYQTATEPRRQLYGQWISLVGVLIMLFGFLLLATGLPIIADLFLGLSEELQYSDETDLHQHIVDLKVKVDACA >CAK8576974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528698451:528703758:1 gene:gene-LATHSAT_LOCUS29125 transcript:rna-LATHSAT_LOCUS29125 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPEQPPLSSFSQERKSSAEVITEREPLLNGFHASENYSISAAILPFLFPAFGGLLFGYDIGATSSATVSIQSPSQSGIAWYNLDSVQIGLLTSGSLYGALIGSLLAFNIADFLGRRRELIVAALLYLVGALITALAPNFLVLVVGRLVFGIGIGLAMHAAPMYIAETAPTPIRGQLISLKEFFIVIGIVAGYGLGSLWVDTVAGWRYMFGISSPIAVIMGFGMWWLPASPRWLLLRAIQRKGDVQNLKESAIRSLCQLQGRTFHDSAPQQVDEIMAELSYIGVENEVTFVEMFRGKCKKALVISAGLVLFQQITGQPSVLYYAASILQSAGFSLASDATRVSILLGVFKLIMTGVAVVVVDRLGRRPLLLGGVSGIVVSLFLLGSYYIFLDNASVLAVVGLLLYVGCYQVSFGPMGWLMIAEIFPLRLRGRGLSIAVLVNFAANALVTFAFSPLKDLLGAGILFYIFCGIAVVSLAFIYFIVPETKGLTLEEIEAKCL >CAK8560341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15145751:15147877:-1 gene:gene-LATHSAT_LOCUS14023 transcript:rna-LATHSAT_LOCUS14023 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGSWFKSLIPPRKLSTNNQVKGSDKSKRKWKLWRNSSEVTGFGNSMKKGNGFVGYDKYSCSSFVVNEEAFAAAMAAVLRTPHKDFLVIKQEWSAIRIQSAFRGFLARRALRALRALVRLQAIFRGRLVRKQAAVTLRCMQALVRVQERVKAKNVRNSPEKKAKQKLLNDQRNQADPAKQAEKGWCDIPGTVEQVKAKLKMRQEGAIKRDRTMSYSNSTQHSTASSSSNSGASKPLSPLKQRNLNGKILLERWIADKPWESRLMGDIYLDSPEMTPLSKKSENVELSFNTYQQNGLVKARRNINGVKTRSSTKSLTTSQSTPSSSAISSECMYDDSPLSTSCTSESPTKVGDTKERKIGKPSYTNLTASSKAKLKACRHSSPNLKRLFMDDDYLSHSTRTNLLSADTRSISGSYSSANIWKDLYGTPSRTSYQKQFTLGDK >CAK8538396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481312987:481313728:-1 gene:gene-LATHSAT_LOCUS7605 transcript:rna-LATHSAT_LOCUS7605 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKAIFRILDLLIMVLLFCLEVSARIFTETSSNTKMGNVEKINETNKPSYVNGGYIGYPYIGGGYSSGNPGNSGGYIGGGYPGIGGGSYPSIDGGYIGNGGYGGGYPMNGGSYFGNGGGYPGTVGGVIPGNAVPYNGGGGVGGVVPGIVGGGGGGGIPGVFGGVSGVVPGGIMGNIRSYISGYINGRLSNGDQVGAKTDGNTRH >CAK8570437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46285807:46286367:1 gene:gene-LATHSAT_LOCUS23184 transcript:rna-LATHSAT_LOCUS23184 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSTSGAYSSSSNPQMSSEHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFAWDYARIEGEKVEIERKKVDAKIKKAESAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8561772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:380567256:380569202:-1 gene:gene-LATHSAT_LOCUS15331 transcript:rna-LATHSAT_LOCUS15331 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFYTLHVFFLSLIIFTFPTYSIHFQNPSFSPNDANIIYQGSAAATEEEVNFNIKEKYSCQVGRAIYAKRVLLWDSKTGEVTDFTTHFTFIIDTLSKPLYGHGLAFFLAPFGFEIPPNSASGFIGLFNTTTMVSPSNQIVHVEFDSYPNSEWGETTQHVGINNNSVISSVSTPWNTSLHSGDTAEVSISYNSTTMNLSVSWKYKSTSNPQEKTSLSYQIDLMKTLPEWVTVGFSAATGFNAELHHLLSWEFNSTLDKTDDSNTKGTRIIVIVTISCGVVIVVGAFVAYVVLKRKRKRSEKQKEEAMHLNSMNDDLERGAGPRRFTYKELDIATNNFSSDRKLGQGGFGAVYKGYFVDLDIQVAVKKISRGSRQGKKEYVTEVKVISQLRHRNLVKLLGWCHDKGEFLLVYEFMPNGSLDSHLFGKRTPLSWSARHKIALGLASGLLYLHEEWERCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLMDHELGPQTTGLAGTFGYLAPEYVSTGRASKESDVYSFGIVVMEITCGKKATKVMKDKDGEKGMVEWVWDHYGKGELLLAMDENLRKDFGEKQVECLMIVGLWCAHPDVSLRPSIRQAIQVLNFEVGLPNLPPKRPIATYHAPTPSVSSVEASITTSLEDGR >CAK8530423.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20156061:20158129:-1 gene:gene-LATHSAT_LOCUS289 transcript:rna-LATHSAT_LOCUS289 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQTCSPFPMATLSSFTASSKTLTLTLPNHAKTSSLNFNNLSICTKIHTRNPIRIRAAANEGYSSKRSGSNDDKETILLPGCDYNHWLIVMEFPKDPKPSREEMIDTYLQTLSTVVGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKRSGGSKNESRRFERRRDGPLSRQKPRLEATASDSSSE >CAK8565007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24484928:24488743:1 gene:gene-LATHSAT_LOCUS18249 transcript:rna-LATHSAT_LOCUS18249 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMIGTAFLSATVQTLVEKLASKEFLDYITNTKLDLSLLNQIRLTLLTLQPLLDAAEEKQISTPSVKDWLDGFKDAVYDAEDLLNHISYDSLRCKMESTQAASKTKQVWNILSSSFRNIYGDINSQMKDMCETLKLFAQNKDILSLQTKSVRVSHRAPSTPMFNESVMVGRNDDQEKLMNMLLSESNTCMGVIAIVGMGGVGKTTLAQLAYNDEKVQKHFDLTAWACVSEDFDVVRVTKNLLESVTKKPWETNNLDLLRVELKKNLNGRRFFIVLDDLWNHNHCDWEELVSPLIYGKTGSKVIITTRNKKVADAARTFPIFELDPLSEQDSWFLLSKIAFGSGDFSETQQQNVEVIGRKIARKCGGLPIAAKTLGGLLRWKIDTKEWIDVLNDDIWNLENDTILPALRLSYQYLSSQLKRCFSYCSIFPKDYPLDRKQLVLLWMAEGFLDHSQDKKTMEEVGDECFAELLSRSLIQQLHDDSRGHIFVLHDLVNDLATAVSGKSCYRLEFGAKSYENVRHLSYNQEKYDIFKKFKTFEKFKRLRSFLAIHFGWEKCNLSRNAVNYLLPTFGRLRVLSLSNYENITSLPVTIGNLVQLRYLNLSYTNIASLPDTICSLYYLQTLILSCCFRLTELPEHVGKLINLRHLYIDRTSIIEMPKQIAELENLQTLNVFVVGKKNIGLSVRELGKFPKLRGKLVIKNLQNVIDVMEASDTNLKSKEHIGKLTLQWDEETDDTLNERNVLDMLQPSANLEKLSIISYGGTSFPSWFGDPSFSNMVSLSISNCVNCMTLPPLGELPSLKDLKICNMPILETIGQQFYGMAAGGSNSSFQPFSSLENLLIQDMSNWKEWHPFPDSMFPFPRLKTLSLYGCPKLKGHLPSHLPSLEVIQISGCDHILATPPTQHWLSSIKSIHIIGDLNSESNTEKTQCSLLESDSPCLLQTIDISSCHMIKSVPKMIINSTCLRHLTLYGISSLTAFPINGLPTSLQLLYINKCENLTFLPLETWSSYTSLEFFHLESSCSALTSFPLNYFPMLRYLYIIKCSSLESIFISETSSCSSSTLKNLQIEDCEALRSLPQQMETLTSLETMYLMNLPNLNLSLCEGAFLPPNLQRIDVDSVRITKPVTEWGFQGLTVCSSMSIGGDDNVNMLLKEPLLPISLVSLRIKSLSEMKSLEGNGLGHLSSLERLDILHSPGLVSLGEKAFPSSLKRLHFWNCPRLESLPEDSLPTSLEDLTIEGCPLLEERYKRNEYWSKIAHMPVIEINEQVTI >CAK8542132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475896221:475899859:-1 gene:gene-LATHSAT_LOCUS11000 transcript:rna-LATHSAT_LOCUS11000 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNFTMDSVSVLNPKLNHTLPRFHPLLNNRTFKLPLHAVPRYSLNQRKPLTATFAAAPQHDSDHGEAEVEKGNEDVDVGDVGSEEESQEAWKQALDTFKEQALKVQGVSQEAYEVYSKKAAVILKDTSEQLKIHADKAKHDLSVVAKEITDEGKEYLSSAAENSPDVKEIVKTFTSPEDDLSNVSGVRDFYVGIPYGLLLSLGGFLSFMVTGSIAAIRFGVILGGGLLALSISSLKSYKKGQPSSLALKGQTAISSILFLREISSVGRGSTYFTALISGAVAAFYVYRLVLEGKPQKGSNLEGEAGI >CAK8530456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22546905:22547684:-1 gene:gene-LATHSAT_LOCUS319 transcript:rna-LATHSAT_LOCUS319 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSFILETVTFLLVVSSDPLFSCIVTFCVLILIYLPHIFCKIVFSPVLILTGVLLLIVLRFGAIQRSNSEEKENLEITGYDTNEENRDEKQGKTEEQVEIHSFDEIHKWVLRNSEENLKFEMGFESSSFLDESFVEWNVKAPLEVIYEGEETEESSVAGLLRYPSLSCYYPESDSDSSSENDFPAMGNWDSPEEMGFMWDDEEDREGLIEISLDGCKKKELEFQLEEENMIEIDISPTKRREFTGEDEVFPGEIRCN >CAK8542897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557290462:557293096:-1 gene:gene-LATHSAT_LOCUS11695 transcript:rna-LATHSAT_LOCUS11695 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTLIHHATNNHRIADPSGMRDVVNLEHHIHYMEIEAYSSMLKAFIAQSDLLTWGKEELLTELRKELNIADSEHGQILSKINSDESIRWIREQRKRASHSHAQGYIKANTSGCPSASIGNSVIRLKTPSSATFYAHNNMSHSQASLNSIHVSAPMSVYGNAEQSKEMFNYDVQLPPIARGSAPEGNYQFKQYCQPSEFLTLNNRDNLIEIRATDRVIHDVEKMLFGREKPSPVDIENAKKALDEQERALLEALGKLTDVLDGDDRILQNLQSRARNDGPW >CAK8535490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853620937:853631145:-1 gene:gene-LATHSAT_LOCUS4948 transcript:rna-LATHSAT_LOCUS4948 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSEAASAFKTRFGFHNHPSEQLSLTQNTPDLFKSAVKDNLGQNSVVRTITDWDDDCAVGQSSSAVSSIQKSFELCEDPSFWKDHNVQVIIRMRPLSNNEISVQGNNKCVRQESCQTISWTGPPEARFTFDLVADENVSQENLFKVAGVPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRIFEHLFSRIQKEKEVRREEKLKFTCKCSFLEIYNEQILDLLDPSSSNLQIREDSKKGVYVENLKEMEVTNARDVIQLLVQGAANRKVAATNMNRASSRSHSVFTCIIESQWDSQGVTHFRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVGISNGKSHHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSICCSLETLSTLKFAQRAKFIRNNAIVNEDASGDVIAMRLQIQQLKKEVSRLRSLGGGGEIQDNGTSVISFPGSPVSSFKWESAQGSFSPLTSAKRVSQNKDYEIALVGAFRREKDKEIALQALREENEAAKKLVKQREDEIQCLKMRLKFREAERRRLEAVASGKISAETHLLLEKEEHLKEIEVLQAKVDRSQDVTRFALENLQLTEEIKRLKSFYEEGEREIMNGQIMVLQNKLLEALDWKLMHEPDMKTNADSMMEDLNRDCDLISKQEPSPKSRWQSSLREENEFLRIQAIQNHAEMDTILKKLEVCLGEKEKLERQVDDLKAKFEQEKSQLSETTTEGREQIDPPSININGQMELKTMVDAIAAASHREAEAHETAIILSRENEELKVKLRAMIEDNSKLIELYDKAAAENNRNITKEENSQEIVSKFANDYLLEKREEEAALKRVIEDLQHQLTEINKEKSEEVAALKRVIEDLQHQLTEINKEKSEEVAALKRVIEDLQHQLMETNEEKSEEVSALKRVIEDLQHQLMEINEENEKLMSLYERAMQERDNLKRTLACSGHERVETQGEELDCVEKLVEVDEGEIDSIVGTTSEEVHDRGDGRYDDNPATSGSDICLESDGQEEEKLIEEENEGDILVNTEKDTEVSNLNEAKLSMNLNYAKEETELSGSDLCLESDGHAEEMLFKEENEVDILVNTEKKVTEVSNFNEAKLSMELNYAKEKLERVDEQILDAVRTLGYAEKDIVQVDELSREIQVIEHDIQVKRQQFKSSNLELHEAHNRRALTDKKLSALKYSLSNFMKHESFSYFEHREAKARATLNDLASHLDRKKGELVALQATKQLLESDIKKNQESEAELTKNIACIKSKLEEEKHKREDGKVLFAIDNTHNIDSSVKSWQFSGKAFDLLKLEEEKTKLQAEMKLSQEKFGVVRKELGNLNKKVANVESQIQAVGLEVKQGLRTTEEKERSLKRVTNEKEMFVEFRDNGILEMEHLIIDLHQYVFEYDLKEAETKILGEELQMNFLRAEELQTNMIIAANSNFLSSMSCVGTFEKVEEQMKNLRTSIQETKLLLEGISRAT >CAK8568286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590018504:590021486:1 gene:gene-LATHSAT_LOCUS21259 transcript:rna-LATHSAT_LOCUS21259 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTNLKTPLHFHFFKTPFSFRFIPSLSYRSNNPSLFHSSISSLKAAVAASDSAADNLIEKPVKEHCYDEQQQQDSNSEEQEEDRWVDWEDEILQHTVPLIGFVKMILHSGLYANGDRISSEHEKAIVENLLLFHPEFHEKIGCGIDYITIGYHPDFDTSRCMFIVRKDGEMVDFSYWKCIKGLIRKNYPLYADNFILRHFRNRSRSFSK >CAK8578353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623000913:623001167:1 gene:gene-LATHSAT_LOCUS30370 transcript:rna-LATHSAT_LOCUS30370 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIARFFMEVAPPQYVSVMRRRTSKMMETITEEDREISLNDSVISVSSPSASACASSSTNATVNTSFFLKEVHRKLSSLNH >CAK8564081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650132277:650132489:-1 gene:gene-LATHSAT_LOCUS17420 transcript:rna-LATHSAT_LOCUS17420 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYVWEGQQKELGRQKAPGSCPFCGGKVEAMDVEVQSKLCFLPMCFQIKRKYSCTLCSRRLEILYDNA >CAK8573900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645341712:645343118:-1 gene:gene-LATHSAT_LOCUS26295 transcript:rna-LATHSAT_LOCUS26295 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVPIHILLVSYPAQGHITPLLRLGKCLAAKGASVIFTTSEKAGKTMRTANNITDKLAIPIGDGSLTFDFFDDGDGTRPDLGYHARVELAGRNFISEMIKNHADSNRPISCIINNPFFAWVSDVAAQHDIPCALSWIHSSAVFAAYYNYVHNLVPFPSISEPYIDVELPFVVLKYDEVPDFIHPLNPYPILGELTIEQMKDMSKVFCVLVDTCEELESDIIDYLLKLSIPVRSIGPLFRNPIIKAVSNVRGDFGKSGNDYDSGIIEWINTKPKGSVVYISFGTVVDHSQEQVNEIAYALLEAKVSFLWAKKNHVFPDGFLEETSESGRGRVVKWSPQEQVLAHPSTACYMTHCGWNSTMEAIALGVPVLAFPSFGDHLPNAKFLVDVFGVGVKMGRNGAGKTDILVTRDEVKKCLLEVTTGEKAETLKKNAIKWKKVAEDAVAVGGSSQRHLDAFFEDIKKYRSLN >CAK8578752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:651209942:651214054:1 gene:gene-LATHSAT_LOCUS30738 transcript:rna-LATHSAT_LOCUS30738 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTRSSTNRKPNESMRLALTTFAGILFGFFIGISFPTLTTKLNISSNLLPSIDVSSIENDYESGNARSFMKNNSSDSSKYQLLNDTLKIWIPSNPRGAERLPPAIIEAESDFYLRRLWGQPSEDLTSKPKYLVTFTVGYDQKHNIDAAVKKFSGNFTILLFHYDGRTTEWDEFEWSKQAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFNAEEYLRLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHDKIGVVDSQWIIHQSIPSLGNQGESTSGQAPWQGVRERCRKEWTMFQSRMASAENAYYKAVGIDLFNSTNP >CAK8534329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717503922:717506459:1 gene:gene-LATHSAT_LOCUS3881 transcript:rna-LATHSAT_LOCUS3881 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8570342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37871494:37872416:-1 gene:gene-LATHSAT_LOCUS23096 transcript:rna-LATHSAT_LOCUS23096 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANANALRWIDTIPVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHLPSTALVRATYYRLGSLFAERGSKWSVVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYTNNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYEGDQIFHNPRMRRNKKGRPISTRITTEMDNFDKLERKCSMCLQTGHNRTRCPNVETSNR >CAK8566525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433138614:433139771:1 gene:gene-LATHSAT_LOCUS19649 transcript:rna-LATHSAT_LOCUS19649 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRSQIEKLPIPAASKDDEKLKPSSPIVEEDKVEEQDDEDEEDDTPSKSSIPPAKPHPKTEDDSDSEDEEETASGSEDEPAPKLKSLNANSKPVDQIKSKADSKPALARSGIKRPVDSDPKQAKKRKTAELEEDNKKSGDDSKRLFQRVFTEEDEMAIIKGIYDYITETGNDPFKYHTAFYEFVKKSIHFKVTLEQLRDKMRRLKQKYQKYETKAKAKSGKTPNFSKANDRIMFEFGQKIWGGKLNVNEAEEKGKPNGKLSKKEPAAKKPRTTKKLVLEPDSPLPVVKETRKVESTDTENPGSGSSLALNELIRFDESVSGSGKTLHAMKRGLELLGESKKEEFGRRWEKVQVAELEVFKMRADLAVDQAISILNALKSSSSD >CAK8565368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:153263572:153263778:-1 gene:gene-LATHSAT_LOCUS18588 transcript:rna-LATHSAT_LOCUS18588 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTSNNLNKRFWDMIEEEIMDNTNEELLLSMLEKERQFGSSARPKRRSVIHRSWEEGHIRLFSDYF >CAK8575891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:350606573:350607502:1 gene:gene-LATHSAT_LOCUS28116 transcript:rna-LATHSAT_LOCUS28116 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRNIGVALDFSKGSKIALKWTIDNLLRHGDTLYIVHINHSKSTESRNLLWVTTGSPLIPLSEFREKNVIHQYNIEPDAEVLDILDTAANQKQVTVVAKVFWGDAREKICESVGDLKLDALVMGSRGLGAVQRVLMGSVSTYVTSNATCPVTIVKV >CAK8535037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806035584:806036546:1 gene:gene-LATHSAT_LOCUS4520 transcript:rna-LATHSAT_LOCUS4520 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEIVEVDGGHIIRSRGRKDRHSKVCTAKGPRDRRVRLSAHTAIEFYDVQDRLGFDRPSKALDWLINKAKPAIDQLAQLPPWKPSLVSKQEQNDDVAEKENGNENEFRFLQNFNNNNNGCNSFIPFETETTSSSPIQFQSYNSSTPDLLSRTNSNDLRLSLQQNRNQNVLFAGNFDGIPVAWNSGCGGGGGGGATIDTHAGSVGGGSNHNHNDDASGGGFVFRTPSPSPAVMYGQNQYLSQRGPLQSSYSPSVRGWIDAPTFVAADYLRQTGALGAGFASGSFSGFRVPARIGGDEEEVHGGVSVSDRPSSASSDSRR >CAK8579718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:718303763:718305294:1 gene:gene-LATHSAT_LOCUS31642 transcript:rna-LATHSAT_LOCUS31642 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLRGTMRSHTDMVTAIATPIDNSDMIVTASRDKSIILWRLTKEDKTYGVPSRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLNAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDGDAHSDWVSCVRFSPSTLQPTIVSASWDKTVKVWNLTNCKLRNTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVEDLKIDLKTEADGTTGGNTTKKKDIYCTSLNWSADGSTLFSGYTDGVVRVWGIGRY >CAK8535481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:851794600:851795064:1 gene:gene-LATHSAT_LOCUS4941 transcript:rna-LATHSAT_LOCUS4941 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPLPFEDHTISAVDDEEDYTGGDDTMDELEEETHVNSVNASASANHDGVVLPITRTGVLTLSFEGEVHVFPAVTPQKVQVVLLLLGGRDTQADMPTDELPFDQSYRGMRDITRRLNLSRRIASLVRFHSKHQTTRSDNNVDITRSLFTSYN >CAK8539248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507530046:507535779:-1 gene:gene-LATHSAT_LOCUS8360 transcript:rna-LATHSAT_LOCUS8360 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSRKRTLEALERRIQTEHILEENKNQKTKVEHVKSTNKKAKIEHVKSPILAPSTPIDSSSHASRPSSDPPNKANFSLFGRAISQDNEDGPEYAQLSVTVDDNLLTTKRESSFERGCSVSGILHELLKKGDAAQKYMQGSRSMKIDNWILLDNYVQGRAFSSSSQTRALQIHSKRSKKHLSMKQHKKHGSFNLPREFHKFDIFKPMHEMWKDYIMLLLKSTGKNQLAQCLLGADLHGAIILVVECKLTHFTGTGGIMIRETAEAFGIITENNKFRVVPKKGSVFRLQVDCWKVTLHGDKLDSRKVGL >CAK8530678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:39419828:39423405:-1 gene:gene-LATHSAT_LOCUS524 transcript:rna-LATHSAT_LOCUS524 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNSTQLSFNSILFLFNFKMGFRVMTLFLLFSLFCYVLFCEAEEFHNVSGVSGNKQNGCNLFIGNWVVDPSYPFYDSSTCPFIDPEFNCIKYGRPDKQYLKFSWKPDSCSLPRFDGEDFLNKWKGKKIMFVGDSLSLNMWESLSCMIHASVKNAKTSFVRREALSSVTFQDYGVTIQLYRTPYLVDIIRENIGRVLTLDSIVAGDSWKGNDMLIFNSWHWWTHRGKSQGWDYIRDGHNLVTNMDRLEAYHKGLNTWARWVDLNVDSSKTKVFFQGISPTHYMGKEWNQPKKTCSGQLEPLTGSTYPAGLPPPCNILNNVLKNMKTQVYLLDITLLSQLRKDAHPSSYSGDHAGNDCSHWCLPGLPDTWNQLLYAALS >CAK8569005.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662459376:662477944:1 gene:gene-LATHSAT_LOCUS21903 transcript:rna-LATHSAT_LOCUS21903-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILFIGGTGYIGKHIVEASAKAGHPTFALVRDSTLSDPAKADLLSNFKTLGVHLVPGDLYDHEKLVKAVKEVDVVISTVGQVQLADQVKIIAAIKEAGNIKRFFPSEFGNDVDRVHAVEPAKSAFQAKVQIRRTIEAEGIPYTYVSSNYFAGYFLPTLAQPGQFAPPPPKDKVFIYGDGNPKAVFNKEDDIGTFTIRAVDDPRTLNKILYIKPPNNIYSFNELVALWENKIGKSLEKIYIPEDKLLKDIEEAPLPINVILSINHSVFVKGDHTNFVIEPSFGVEAFELYPDVKYTTVEEYLDQFV >CAK8569004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662459376:662477944:1 gene:gene-LATHSAT_LOCUS21903 transcript:rna-LATHSAT_LOCUS21903 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILFIGGTGYIGKHIVEASAKAGHPTFALIRESTLSDPAKTNLLNHFKSLGVNLVPGDLYDHEKLVKAVKEVDVVISTVGQVQLADQVKIIAAIKEAGNIKRFFPSEFGNDVDRVHAVEPAKSAFQAKVQIRRTIEAEGIPYTYVSSNYFAGYFLPTLAQPGQFAPPPPKDKVFIYGDGNPKAVFNKEDDIGTFTIRAVDDPRTLNKILYIKPPNNIYSFNELVALWENKIGKSLEKIYIPEDKLLKDIEEAPLPINVILSINHSVFVKGDHTNFVIEPSFGVEAFELYPDVKYTTVEEYLDQFV >CAK8533647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645741641:645742435:-1 gene:gene-LATHSAT_LOCUS3258 transcript:rna-LATHSAT_LOCUS3258 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEKENEENAMDSFMCPSFSTYTSNNLNDVAQQVTSENDNSTSQNDDFEFVAFQKTSDEIFFDHRHRNTTHRVLPIFNCDGNKINSDVAEISTSLRKLMVGDEKRNIDPPASSSSEVEDDLDAEPPASYCLWTPKSPMASPIKCKKSNSTGSSSNSSSKRWKFLSLLRRSKSDVKESLIVVAPTIKFNKEEKVKSGEKNPPIVAEKKIPVTERNIPAPVTAMEAFYLRKKESKRKAYLPYKQGLIGFGVGFQSTIGSGFPLHV >CAK8535602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:866364485:866365535:-1 gene:gene-LATHSAT_LOCUS5045 transcript:rna-LATHSAT_LOCUS5045 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLPLALKSEDSANLIQQNWWKLLDSAELKRSSISFFDVENHETAISRWSRARTRAAKVGKGLSKDFKARKLALLHWLEAIDPRHRYGHNLHFYYDKWLKSQSMEPFFYWLDIGEGKEVNLGKCSRAKLQQQCVKYLGPMERLSYEVVVEDGKLLYKESGEFLHTIKEGSRCKWIFVLSTSKKLYVGKKKKGSFQHSSFLAGGATSCAGRLVVEHDKIL >CAK8539085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503437450:503438971:-1 gene:gene-LATHSAT_LOCUS8217 transcript:rna-LATHSAT_LOCUS8217 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVSLSVLFLSFLLLQSPLPSLSTNSEGNALHALRTRLSDPNNVLQSWDPTLVNSCTWFHVTCDSNNHVIRLDLGNSNISGSLGPELAQLTHLQYLELYNNNINGKIPEELGNLKNLISMDLYDNKFEGNIPKSFSNLKTLKFLRLNNNKLTGPIPRELTHLKNLKILDVSNNNLCGTIPVDGNFGSFPAESFENNALNGPELKGLVPYDFGC >CAK8564050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647858731:647863444:-1 gene:gene-LATHSAT_LOCUS17393 transcript:rna-LATHSAT_LOCUS17393 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIQRKFFMFLLFLWFYSVNASVSYDNKAILVNGQRKLLFSGSIHYPRSTPEMWPDLIQKAKDGGIDVIQSYVFWNGHEPSPGKYYFEDRYDLVKFIKLVQQAGLYFHLRIGPYACAEWNLGGFPVWLKYVPGMEFRTDNEPFKAAMYKFTTKIVDMMKAEKLFQTQGGPIILSQIENEYGPVEWEIGAPGQAYTKWFSQMAVGLNTGVPWIMCKQEDAPDPIIDTCNGYYCENFKPNKNYKPKMWTENWSSWYTEFGGGVPRRSAEDMAFSVVRFVQNGGTFVDYYMYHGGTNFDRTSGGPFIATSYDFDAPIDEFGLLNEPKWGHLKFLHKVIKQCEPVLLSADSTVYWPGKNLEVHVFKPKTGDCVAFLGNYDTKSSATIKFGNGQYDIPPWSITILPDCKTAVFNTAKVGIQSPMKMIATNTPFTWQSYNEEPSYSTVNDSFTAYTLWEQVNVTRDSTDYLWYMTDVNIDQNEGFIKNGKYPLLTINSAGHVMHVFINGQLSGTVYGSLKYPKLTFSKNVKLNVGNNKISLLSITVGLPNVGVHFETWNAGVLGPVTLGGLNEGTRDLSRQKWSYKVGLKGEALQLYTESGSKSVSWVEGSSLGKGQPLTWYKTTFSTPKGNDPLALDMSTMGKGQVWINGRNIGRHWPGYKAREECGDCYYAGTYTETKCRTNCGQPSQKWYHVPRSWLRSSENYLVVFEEWGGDPTGISLVKRTT >CAK8540345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:553978885:553979832:-1 gene:gene-LATHSAT_LOCUS9357 transcript:rna-LATHSAT_LOCUS9357 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLIRTGSVPVLSGSPRTSLSRQVSFSGDKNHHVQSPRLSLHSRSTDQYPNGINRALSESAVIRSPSEDFGFSRNLNRSGSQYLPLEEAGLGGGGCGHGDVTVTSGGNGGERIKIGEYYEEMLKSNPTDALLLRNYGKFLHEVEKNLVRAEEYYGRAILANPEDGELLSLYGKLIWETNRDEERAQSYFDQAIHAAPDDSTVLGSYAHFMWEAEEEEMAANGGKMKENEEESVAELIAPF >CAK8569444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1161457:1165333:-1 gene:gene-LATHSAT_LOCUS22293 transcript:rna-LATHSAT_LOCUS22293 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFHMMMGMMLLLCLWVCGVTASVSYDHKAIVIDGQRRILISGSIHYPRSTPEMWPDLFQKAKDGGLDVIQTYVFWNGHEPSPGNYYFEGRFDLVKFIKLAQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGMAFRTDNEPFKAAMQKFTTKIVSLMKSENLFQTQGGPIIMSQIENEYGPVEWEIGAPGKAYTSWAAQMAVGLDTGVPWDMCKQEDAPDPVIDTCNGYYCENFTPNENNKPKMWTENWSGWYTDFGSGISHRPIEDLAYSVARFIQNRGSFVNYYMYHGGTNFGRTSSGLFIATSYDYDAPIDEYGLVNEPKWGHLRDLHKAIKQCEPALIAVDPTVTYFGKNLEAHVYYISSSVCAAFLANYDTKSAATVTFGNSHHDLPPWSVSILPDCKTEVFNTAKVGVKSSPKAMTPTNITFDWQSYTEDPAFSSEDDPVTAEALWEQINITRDSSDYLWYLTDVNISPNESFIKNGPSPILTANSAGHVLLVFVNGQLSGTVYGGLDNPKLTFSESVNLKVGNNKISLLSVAVGLPNVGLHFETWNVGVLGPVTLKGLNEGTRDLSWQKWSYKVGLKGESLSLHTITGSSSVEWTQGSSLATKQPLTWYKTTFNAPDGEDPLALDMSSMGKGEIWINDQSIGRHWPGYIAHGNCGECDYAGTFTNAKCRTNCGEPTQKWYHIPRSWLSPSGNVLVVLEEWGGDPSGISLVKRT >CAK8576226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:430035770:430044654:-1 gene:gene-LATHSAT_LOCUS28432 transcript:rna-LATHSAT_LOCUS28432 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPSVSASSPVEVVLDKDNYTLEELLDEEEIIQECKALNSRLINFLRDEEQVEQLLRYIIEEPPIDAENKRIFKFPFMACEIFTCEIDVILKTLVDEEELMNLLFSFLEPDRSHSTLLAGYFSKVVVSLMIRKTSQLISYVQAHQHVLRQLVDLIGITSIMEVLVRLVGADDHAYPNFIDVMQWLAESNLLEMIVDKLSPSSPPEVHANVAETLCTITRIPSSTLAIKLSSPSFVAKILDYALDNSQSKSGLVNSLCVCISLLDPKKSAVSSSLFHSFRSQNMYEPPIPVNPDTIGAMLPRLGDLLVLLNVSSDDKVLPTTYGELRPPLGKHRLKIVEFIAELLKTRNEVAEKELVNSGTIGRIVDLFFEYPFNNLLHHHIESIILSCLESKADAIVDHLLQGCDLIGRFLQVDKQCILSAEGNQRTIPAAGKRATRAGNIGHITRIANKLVHLTHNQSRILARLQENHEWNEWQATVLQERSLVENVNRWACGRPTALHDRMRDSDDDDIHDRDYDVTSLANNLSQAFGYKIYGNEDNEEEHGGVERDDEDVYFDDDSAEVVISSLRLGDDQGSNLFTNSNWFAFQDDRVGDANGGTSSSEMMDEITLNGAVNGGSNSSDDDDEVVVGEDEELTDNKNTVNGASSSSTNILSGLTGSDSMNEGTLDFESGKASTSNDMGFYKFEATDNEDLFGDRPLPDWVGWGEPSDIQVASSSMNPFLDHNESGSNLSSKSQLGDQNPNSSNGESVLSNGSPITRDSMDADEDSSQRSVAVPSLFEEDVEFVGVELEGTGNAMEQALKEGIVGEAGPLKRNVSPKVPEKENSEEGNPGVKEFNDTNYWRVDQEVAVLE >CAK8531191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87894618:87895579:-1 gene:gene-LATHSAT_LOCUS998 transcript:rna-LATHSAT_LOCUS998 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKVLRKTLVNMGYTLTEASFNYYHGEIRRSNTEALNWIDNIPREKWARAFDRGQYWGHMTSNLAEAINSVLKATRNLPIIALVLPTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQYYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKRRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8539645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519532245:519533709:-1 gene:gene-LATHSAT_LOCUS8723 transcript:rna-LATHSAT_LOCUS8723 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNESISVVVREFQVNKDTERVVTLENTCEVGPTNKLSLFTDMLGDPICRLRNSPSFLMLVAEIGEEIVGMIRGCIKTVTCGKSLSRSKTSLTKQIPVYTKLAYILGLRVSPNQRRMGIGLKLVKKMEAWFKDNGAEYSYMATESENLASVKLFTEKCGYSKFRTPSILVNPVYAHRIKISRKVTIIPLTPSHAVILYRNRFSTTEFFPRDIDSVVYNKLSLGTFLAVPCGSYSVENWPGPVRFLLGPPCSWAVLSVWNSKEVFKLEVRGASRVKRVFAKTTRVLDRAFPWLKVPSVPDLFRPFGFHFLYGLGGEGPKVGKMVRALCGFAHNIAMECGCGVVATEVASCEPFRFGIPHWKMLSCAEDLWCIKRLVEDYSNDFIGDWTKSVPGISIFVDPRDV >CAK8579529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706043380:706043928:-1 gene:gene-LATHSAT_LOCUS31469 transcript:rna-LATHSAT_LOCUS31469 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYYYWKNHGEVIPPIPLVVASHSYYGSRCQREMFDNYEQLVMDVAEPEIGNYVEQEGQDEEDVMEEYPNEEARKFFEMLKAARSHLWHGCDRYFVLSASLTTLSLKADYGLSEGYFNGWMQFMGNALPENNCMPKIFYQVRKSIAELGLASLKIEYYVKGCMLYYKEDDTLQKCKICREE >CAK8562013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:425803336:425806489:1 gene:gene-LATHSAT_LOCUS15550 transcript:rna-LATHSAT_LOCUS15550 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKSSDQVVLFLDQQQNPKPSTVDTETHHNTNSNTEPHQDKPKHPSRIKTLNRLSFSKPKSRIFEYNHQPRNKLPTFDEEENTQLPSYKVSSDEEDDYEWKEQESDDDDDEDDDPKLNKKKKFKVKWRLVFEWILFLNILTCLVCSLVIKKIKNMHLLGLEVWRWCLMAMVTFSGRLFSSWLVSFTVLIIERNFMLREKVLYFIYGLRNSIRNCLWLGLVLLSYWSVVFDDVQKKNHKFLNKMFQALVAVLVGATIWLVKIVLVKMLASSFHVTTYFDRMKESVFHHYVLDALSGPPMEYGEEISKEHHLVGSKTMPVGAARWKKMKRFGSRRIDMEKLRKLSMESSGSVWSVKRLVNYVRSSGLSTISRTVDEFGNKESEISSEWEARSCAQKIFNNVAKPGAKYIEEVDLMRYLKRVEIHTIFPLFEGALETGKISRSSFRNWVIRAYYERKALAQSLNDTKTAVQQLHKLASAVVSVIIIIVTLLVMEVATIKIILFCITQTVLIGVAFQGTCKTVLEAIIFVFIMHPFDIGDRCVIDGVQMIVEEMNILTTVFLRYDNEKIYYPNAVLLTKPISNFYRSPEMRDSIDFTIDVTTPIETIIALKKSIQMYIESKPKYWNPKHTVMAKQIDQVDKLKLCLGVQHTINHQNYGERSIRISELLMEMKKMFEIHGIKYHLLPQEIHLTQMNIGDGRVLFQS >CAK8560532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23831190:23833197:1 gene:gene-LATHSAT_LOCUS14196 transcript:rna-LATHSAT_LOCUS14196 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTNEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEITMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHNKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLS >CAK8568148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576920004:576920315:1 gene:gene-LATHSAT_LOCUS21139 transcript:rna-LATHSAT_LOCUS21139 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNYQNLNPQNSQISSVPNNPNMFPRPQMNSNSMELSTQVPPFSTQVGTEKEERVVIKKNLESKLQGMRIYTTYPIMAQCFKGSNYGA >CAK8540407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558491084:558493315:-1 gene:gene-LATHSAT_LOCUS9412 transcript:rna-LATHSAT_LOCUS9412 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVFHMTGGIGKTSYAKNSSLQKKVSDKVKHILIEAVEDLYIETTPKSIGIADLGCSSGPNTLSIIKDIFQTIQSTSHKIMHHSTEFRVYFNDLPTNDFNSIFKALPEFQKLLNQDRKNDFPSIFMGGYPGSFYGRLFPNCYLHFVHSSHCLHWLSRVPPGIYGEEKKSLNKGCVYICDKSPEVVSEAYYKQFQEDFSLFLESRSEELVVGGKMVLTFLGRKGSQHVDRGNSFLWEILTRAFTILVSQGEIEEEELDSYDVHFYAPSREEIEDEIRKEGSLEMEKLEMFEIDKEQGRESYGTEFAKAVRAVQESIISNHFGERILDNLFEIFATLVDEEFAKEDIKPITFVLVLRKI >CAK8535058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809119621:809127587:-1 gene:gene-LATHSAT_LOCUS4541 transcript:rna-LATHSAT_LOCUS4541 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPSSSGRGNGDSGSRVRKKHKKLDAICEEEYNRHHGELNEGDNLNPDSGVRRSSRVRRVPVVLDASPSPKKKRQKVGKDVMLKSVEGDKNLGRASGGSGGLRWSLRSRSRGKNVSFEVEERELPRRKRKLFDEELEVVEVDKDEELEVVEVDKDEELDVVEGDRKEELEGDRKEELEGDKKVELKGYTRKRSRLKRTGKFEAIKYVKGLEESERQEVELVVVLNQGEGSALGPETELADENPIDLRDENAASEMGNEEIETDNLQAEECSGDVELSPVEHVETVDEQGDQVESEKEEKDASDVEIAGVSAKQVDNENEGSVDKEAHIEENVSKDENILRKDELKQASKDKSGYRCIKEGRRCGLCGRGSDGKPPKRLTQDNGESENEAYSGSSASEQPTYDTWDGFDDGPGWLGRLLGPINDRYGIAGIWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRRGATIGCRVDRCPKTYHLACARANGCIFDHRKFLIACTDHLHLFQPCGNKYLVRMKKLRARKMMWETRKRSNDASRKDIDAEERWLENCGEDEEFLKRENKRLHRDLLRIAPMYIGGPDSAGSENSFQGWESVAGLKDVIRCMKEVVIIPLLYPDFFDNLGLTPPRGVLLHGYPGTGKTLVVRSLIGACARGDRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPCRTPQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSTEDRASILSLHTQKWPKPISGSLLEWIAKKTSGFAGADLQALCTQAAMNALKRNFPLQKVLSVAEKRNSSGCKNTPLPSFTVEERDWVEAFSSSPLPCSQREAGNAANGVVCSPLPVQLIPCLLRPLCTILVSLYLDERLWLPLPISKAMTSIKNVIISVLDKKKMPIDHWWLHLDDFIQETNVAYEVSKCLSCSGILSADHGFSGSCDIMDHANDKPSNKNHVNLCNARLPDMPFGMTNKSGFRILIYGNPRSGQRHLASCLLYCFIGNIEVQKIDMATISQEGHGDIVQGIAQILMKCASTKSCVIFMPRIDLWAVEEDVKIAEKTDSCSMDQLLSEMDKSCFTPSQIDEKENGINTGKHQAEMIECESNKKASYAWMTFIEQVESVGLSTSLMILATSEVPYTELPHKIRGFFKSYQSKDRQSTPVVQTVPQFSLHIDENFDHNLATNLSAIELLRNVIEQRIQLIHQRSHAHISVQKLDRAFESIEVCKDKVTQRKENEPGHEKQGEVQFPESLAKVPQPNNSRSLKGKSNVLMAISTFGHQILIYPHFAELCWVTSKLKEGPCSDASGPWKGWPFNSCIIRPTNSQDKVVISSSSGGAKSKESAGLVRGLVAVGLSAYRGVYKSVREVSLEVRKVLEILTETINMKIRAGRNRYQYLRISSQVAYLEDMVNNWAYALLSLDQASPELAAKAIPEAGGSLNSHLACEDPHIAEGEDCHLVVPASGDDLETLEKSPNGVSLNEINDNLGDTDCVGQRAHSEGSPHNHHCPNTSITNSCLVNQSLHPSTNQENGVLSGASKSVTTEDHEAAGGELGMSKDLNKSTCTRPTVVSENGFHTCEQEDIEIGNSKSIDVESDKHENTIDNNACSSKDSGPDESGVVCFYQCCPHCLRSLYHLVRKILVREWGSNRSHWTIEDVHDAVSTLSVDLISAVRKSYMAEEKAFTDLSNNKTSVEYANLTTCNTENRGKDVVPAECVSHSASQDASVSKDAATNEPVKLDLKFVFRDGVLVPMDPAKGGPLHCKFEKLSLCSLIELIVKTKGPLN >CAK8539316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509096051:509100145:-1 gene:gene-LATHSAT_LOCUS8425 transcript:rna-LATHSAT_LOCUS8425 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDFTELGEYRLRKRKEFEDLIRRVRWNLSVWIKYAQWEESQKDFKRARSVWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEVLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPRARNAYERAVEKLADDEEAEQLFVAFAEFEERCKEAERARCIYKFALDHIPKARAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKGRTREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLNQIPHKKFSFAKVWLLAAQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLAETERARAIFELAIAQPALDMPELLWKAYIDVETAECEFEKARVLYERLLDRTKHLKVWMSYAEFEATAIDECLDLSEQEQKEQCIKRARRVFEEALNYFRSSAPDLKEERAMLLEKWLNLEASSGELGDVSLVQSKLPKKLKKRRQISTEDGSSRIEEFIDYLFPEETQTTNLKILEAAYNWKRQKLSSADD >CAK8571102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:296713249:296741789:1 gene:gene-LATHSAT_LOCUS23795 transcript:rna-LATHSAT_LOCUS23795 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQDQQATLPSQTLISGNHNAIQCSQSLLQFNIDAAAQDAVLREQELATQNIIRTQREMTITTSPSKDDLDIFSARHHPNALKEYLLNMATEHRSEIALNRGKPARPQVNTEIGNGYGVPGGGAYNDIHKLNTDPDDSAFSRPESKLDRFSEQTSAGKELPEFLKQKLRARGILKDDIHTEDIKGTSAELRGNEKLPPGWVEAKDPGSGAFYYYNESTGKSQWEKPCEASLTEQSTPSLNLSENWVEALDETSGHKYYYNTKTHVSQWKHPNSAQETVSDKLALSVGHVDGQSSNLKMCMGCGGWGVGLVQAWGYCNHCTSVFNLPQCQYLSSSLNNQQRSAADPAESCDKTATKQRASWKPPFDKGNKKMSKKRAHEEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQKKKPNSNFIPISKRGDGSDGLGDAD >CAK8578251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614748510:614749487:-1 gene:gene-LATHSAT_LOCUS30278 transcript:rna-LATHSAT_LOCUS30278 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTIPTSPSFSILSFNPTITNTPSQFPPNLPLRPRHRRITVKAHSSNSTVVHHLKNIAGAAVFAAATATAALTFSSSPARAEPPPPALTEVLDEIEIENETETDTVLAETGTTSLPSKFVETNDESPEALKSLLQQKLELGENEEALKILKHLISSQPEVTDWKFLAARLTTEMGDTDSAVSFYEEILKSNPLSFEALFENDLLMDRCGEGDAVIERLADAMRVAVEDNKEKEARDVKLLMAQILFLQKKVDEALSMYELLIKEDPNDFRPYFCRGMIYTLLDKNDEAKEQFAKYRELSPKKFEVDGYLRAPLSRIKDFGTDQS >CAK8537074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:77758727:77762370:1 gene:gene-LATHSAT_LOCUS6390 transcript:rna-LATHSAT_LOCUS6390 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLWHNQNLETHNFVFVDGRRRGQDQCKQIQTDSSIETNKGKFSSMDFSFCLTSPIIYNLVHFLLVFSLLCPVFSSSKVDNNPVVNNTFKPNEELHKLNFIRNHLQQINKPSIKTIQSPDGDIIECVISHIQPAFDHPLLKGKRPLDPPERPKSHNKMDEMTENFQLWRSSGESCPEGTIPIRRTTEQDMLRANSINTFGRKLRKRVRRDTNSNGHEHAVGYVTGNQYYGAKASINVWAPRVANQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDSYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNKIAIGAAISPTSAYTGGQFDISLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLRDHASMVQFGGEIVNSNQGSHTSTQMGSGHFAREGFGKASYFRNLQVVDWDNNLIPLSNLRVLADHPNCYDIQGGINNVWGNYFYYGGPGRNVKCP >CAK8572633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:552218777:552220126:-1 gene:gene-LATHSAT_LOCUS25175 transcript:rna-LATHSAT_LOCUS25175 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRIAGVKYECLLFDMDDTLYPLSLGINLACRKNIIDYMLEHLHIEESKVSKMCLDLYLEHGTTMAGMKALGYEFDNDEFHAYVHGRLPYEKLKQDLVLRNLLLSMPQRKIIFTNADHAHAIEVLSRLGLEDCFEGIICFETLNPLSSCRQILCKPSVEAFEAAIRIAKVDPKKTIFFDDSVRNVASGKVAGFHTVIVGRSDLVPGADHALNSIHNIREALPEIWEVEEDNQQKIIKSLAVEATVHA >CAK8540576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11160919:11163375:-1 gene:gene-LATHSAT_LOCUS9569 transcript:rna-LATHSAT_LOCUS9569 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNGSNHHSLQKLHCSVKNYDWGLPGQLSHVAKLSELNSGVQFDPLKPYAELWIGTHGSGPSFLVSDDGEERVTLKDWIFKNPDLLGDKVVQKWGSDLPFLFKVLSVGKALSIQAHPDKELARRLHKLHPDVYKDGNHKPEMALAMTDFEALCGFITLEELKAVIHNVPEVVDLVGDANADLVLQSSDQTDQEKVKPVLQAVFTHLMSASKENVTNAVNRLINRLQVESEVRPLTEKELLVMRLENQYPSDIGVIAAFFLNHVILNPGEALFLGANEPHAYLSGECVECMATSDNVVRAGLTPKYIDVPTLCSMLTYKQGIPEILQGVSMNPYVNKYIPPFEEFEIDHCTLPKGERVVFPAVPGPSIFLVTAGEGTMNTVSPKVYEITEGDVLFAPANTEISVASESELHLYRTGINSKFFEES >CAK8539675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521200597:521200809:-1 gene:gene-LATHSAT_LOCUS8753 transcript:rna-LATHSAT_LOCUS8753 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIVLSLVIILLAVTTPNTVYCRDYPSKVKAIVEEPVMKENNSDVFRVLIDGKVHTMSSGPSEKGPGH >CAK8574784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9387824:9388881:-1 gene:gene-LATHSAT_LOCUS27093 transcript:rna-LATHSAT_LOCUS27093 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVVGMVVSNKMQKSVVVAVDRLFHHTVFNRYVKRTSKFMAHDENNLCNIGDRVRLDSSRPLSKRKHWVVAEILKKARIYVPPSAPVSENVSSGGPASTS >CAK8537293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:205341818:205342402:1 gene:gene-LATHSAT_LOCUS6592 transcript:rna-LATHSAT_LOCUS6592 gene_biotype:protein_coding transcript_biotype:protein_coding MSACRGSRTTATIVHTCRVAQSSRDTKTRRFQSSFVFVSVSSISQQLEWKDVLIRCKLGSTLFCFKSSINNNSATTSRTNRSGISHSFWLKLRYSSGSSTTEQFVAAKTYVSIEINLSSLPTLLSCDNSGNDSASLLQTATIV >CAK8579379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694848345:694851381:-1 gene:gene-LATHSAT_LOCUS31330 transcript:rna-LATHSAT_LOCUS31330 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSQSFSPSFILTRHDSTRPTRLPSRFTFTHFRKPQPRSSSPRLSVSSRLNSSKSSDAGGSISPDNGDVTYELHHDLSPQRRRRGSPVFVTLPVKSVGREGKVWRPKAMMLSLKALAAAGVEGVVVEIWWGVVERNEPRVYDWRGYRELVMMACMCGLKVRAVLAFHQYGTDGDDLNGIPLPLWVLDEMQKDPDLAFSDRFGRRNTEYISLGCDILPVLRGRSPIQVYADFMRDFRDTFRPFLGATITGVQIGMGPGGELRYPSLSLQKLNLAWSRELGEFQCYDKYMLASLNASARNIGKREWGNGGPSGAGSLIQNPERTEFFRNEGGSWNMPYGKFFLEWYSDMLLLHGERICREAETIFRGTEVHISAKLAAIHWHYVTQSHPSEVTAGYYNTFNRDGYLPILRMFSKYGFSMCCSCFEMQDIVMKKINPDCSPEGFLRQLLLDARLCDISLEGQNFSTDLDDDGFTQVLKMSKYYSDGIERRPFSFNFVRMDKNMFESRNWDRFTRFVRRMSDGNMFRARLNSVGKLRLKTTKAAEVGLLYQLYQYS >CAK8542135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:476915370:476918946:-1 gene:gene-LATHSAT_LOCUS11002 transcript:rna-LATHSAT_LOCUS11002 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTATLKLNLSHFSSSSSSLRKNSFSFPSNFPIRNPPSSNPRFFKIYAVSSNDIKVGTNVEVDGAPWRVIEFLHVKPGKGAAFVRTKLKNYLSGNTVEKTFRAGSSIDKADIVKETKQFTYKDGVQFVFMDLSTYEETRLDESEVGDKTKWLKEGMDCNLLLWNGKVIDVDLPITVKLKIVNADPGLRGDTVQGGTKPATLDTGATVNVPLFINVGEEILVDTRSGQYMGRA >CAK8543428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607529010:607529423:-1 gene:gene-LATHSAT_LOCUS12185 transcript:rna-LATHSAT_LOCUS12185 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSSNSKVHGKTTKVSSIDHVPKQNMMRFPTEQGHRIKGATTDDEYIAKHMIETPLDSDETFNNFIRRAKYKIRTVTMSKSNIDRDQSSKPSPAAPDHEENNHQRKQFDDFIQIAKKKMRATSSIRNSSFLNKP >CAK8533896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669113712:669115275:1 gene:gene-LATHSAT_LOCUS3484 transcript:rna-LATHSAT_LOCUS3484 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRHCRSCELTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSNLKTIHSDESPEFSWMVDGAGLPSNASELLPKLIGENKIVQKT >CAK8533897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669113712:669115007:1 gene:gene-LATHSAT_LOCUS3484 transcript:rna-LATHSAT_LOCUS3484-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRHCRSCELTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSNLKTIHSDESPEFSWMVDGAGLPSNASELLPKLIMLSFACRKPVCLLLSEFTNQHMLILCQYLD >CAK8573626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626080626:626081129:1 gene:gene-LATHSAT_LOCUS26055 transcript:rna-LATHSAT_LOCUS26055 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQGFSGVIWCSSTKGDRVQFFCLWQACHGKLATKDRLKYFGMLEDSSCNLCHAEEETMNHLFFSCPVTSHIWKEVLDWFNISHDPQPWDAEMIWLTKLTKGKGWKAEVLRMLAAETIYNIWGYRNDKTFGNTVDNTTTVTNIIDCVIYRGWNNTRIRKHLVNFMM >CAK8536519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:949325299:949327680:1 gene:gene-LATHSAT_LOCUS5879 transcript:rna-LATHSAT_LOCUS5879 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFKTTKPCFWLSLLNLIFSLHYYPSLAALTTISANQSLSADQTLVSEGGRFELGFFKPGNSPNYFIGIWYKKVSIQTIVWVANRDNPVSDKNTATLKISAGNLVLLDESSNHVWSTNTSFPKSDSVSAILLDTGNLVLRNRPNDDALDPLWQSFDHQTDTFLPGGKIKLDKKTKQPQFLTSWKNREDPSTGLFSLELDPKGSTSYLLLWNKSEEYWTSGAWNGHIFSLVPEMRLNYIYNFSFVSNENETYFTYSLYNDLIISRLVMDISGQIKQYTWLESFNQWNLFWTQPRQRCDVYSFCGAFAVCDDNSLPYCSCLKGFEPKSVSDWNLEDYTGGCVRKTSLQCEDSNPSNGDNEGFIAIFNTVLPKNAQLLQLENEVECELTCLKNCSCTAFTYRNGCSVWFGDLMNLQQLSSDDSSGQTLYVKFASEILEASNNSDRGRVIIGGVVGAVLAIGILLALLLFFVFRRRKRMLATGKLLEGFMAEFEYKDLQIATKNFSEKLGGEGGFGSVFKGTLTDSSVVVVKKLESVSKGEKKFRTKVSILGTMQHVNLVRLRGFCSESTTKRFLVYDYMPNLSLDFKLFGNNNSEVLGWKTRYQIALGIARGLIYLHEKCEECIIHGDIKPENILLDADLSPKVSDFGLAKLIGRDFSRILTSMSETRGYLAPEWFSRASITAKADVYSYGMMLFEVVSGRRNSDPSLDGEFIFFPALAAKVVNQGGSVLTLLDPRLEGNADIEEVSQMIIVASWCVQENETQRPTMRQVVQILEGILDVNVPPIPKFNQVFVDN >CAK8571440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:417115458:417117195:1 gene:gene-LATHSAT_LOCUS24106 transcript:rna-LATHSAT_LOCUS24106 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKLLTKFLSNSLILVTILLLSTTTWTIAEEVEDEHEFDYIKGSKKGPSHWGDLKKEWKACKNGRMQSPIDMSNHRVRRVSNLGKLKKNYKAQNATLKNRGHDIQVKWEGDAGSININGTNYFLHQAHWHSPSEHTINGRRYDMEVHMVHESSKRKGKSKIAVVGLLYKMGRPDPLLTKLSKYIKGMVDIEAERSIGVIEPFKIKFDGKKYYRYIGSLTIPPCTEGVIWTIDRKIRSVSRAQIKLFRKAVHDHAERNVRPIQLLNKREIQLYGPKPKK >CAK8536792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20669773:20670783:-1 gene:gene-LATHSAT_LOCUS6125 transcript:rna-LATHSAT_LOCUS6125 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQKFEFTRKQLLLYLTSTIRSCSIY >CAK8575059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25445604:25449939:1 gene:gene-LATHSAT_LOCUS27350 transcript:rna-LATHSAT_LOCUS27350 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPVTNTGTIVLRRCTLLPPRLRRLFPSLRFFASLPSPMDINNNVYNNTNSYARATPSSGNGRGRAFDTRNDRERTRGRGGGGGGPSGSGKDKIDALGRLLTRILRHMASELKLNMRSDGYVNVNDLLKLNLKTLANIPLRSHTIDDIREAVRKDNKQRFSLLEENGELLIRANQGHTTTAVETESLLKPILSAEEFPVCVHGTYRRNLESILSSGLKRMKRLHVHFSCGLPTDGEVISGMRRDVNVLIFLDVRKALEEGIKLYISDNKVILTEGFDGVVPSKYFQKIESWPERKPIPF >CAK8578911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661889261:661891178:1 gene:gene-LATHSAT_LOCUS30890 transcript:rna-LATHSAT_LOCUS30890 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDNPYMIVMIIQAIYAAMFLLSKAAFDHGMNNSVFVFYRQSAATIFLIPFALFFEWKTAPPLPFKTFCKIFFLSFIGITLSLNIYGIALINTSATLAAATTNCLPVITFFLALLLRIEALRVKTAAGAAKLAGVVACLGGAAILAFYKGPHLELLSHFHFLGNYHKTQLQHQGHFLSGSSWIKGCFLMLLSNTFWGMWLVLQTFIIKGYPSKLLLTTLLCFLTSIQSLAVALAVERDFEQWKLGWNVRLVAVLYCGIMVTGVTYYLQTWVIEKRGPVFLAMSTPLALIMTMFSSAILLGEIISLGSLLGSCALVLGLYCVLWGKSREQMPKASLDMEQASSLNEPNK >CAK8568638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625956678:625957544:1 gene:gene-LATHSAT_LOCUS21578 transcript:rna-LATHSAT_LOCUS21578 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLPFRYLGVPLTCKRLSTHHYMSLVDKIVSRIRHWSSKLLSYAGRLQLINSTIAAIATCWMSYLPFPKHVIKTIKSICMTFLWTGSDVKSRKSPIAWKNVCKPRRKGGLDVLDLSDWNSVCLTKLLWNLCNKKDTLWVKWIHAFYFKTTDIMQVQEKQGMSWILKVVLRHRVIIIDMEDWYEMKEKYYVGRVYQFLKKDDPDVGWKHMLSNTISRTRALFTMWMACHRRLATRGRLKRLGLTTDDRCNFCDKEETIDHLLFDCPPFKTCWQQILVWLGFQHLPCE >CAK8542320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501503209:501504945:-1 gene:gene-LATHSAT_LOCUS11167 transcript:rna-LATHSAT_LOCUS11167 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELLHNDPSSIFNLLKSYALSPTNILKAKDIFHQIHKPALSHWNLMIRGWSQTDNPIEAIRTYNLMYGHGLIGNNLTYPFLLKACARIPYVSCTRMVHARVLKLGFESGLFVSNALIHGYSGFGELGFARKVFDEMSERDLVSWNSLICGYGRCKRYREVLDVFEAMRMDNVKGDAVTMVKVVLACSVLGEWSVADAMVEYIEENKVEVDVYLGNTLIDMYGRRGMVDLARRVFDRMHDRNMVSWNAMIMGYGKARNLVAARELFDDMPQRDVISWTSMITGYSQAGQFAKAVRLFKEMMETKVKPDKITVATVLSACAHIGSLDVGEAVHDYIRKHDVKTDIYVRNALIDMYCKCGAVEKALVAFEEMQKKDSVSWTSVIAGLAVNGHADSALNLFSLMLREGVRPTHGTFVGVLLACAHAGVVDKGLEYFESMEKVYGLTPEMKHYGCIVDLLSRSGDLERAYEFIKRMPMEPDVVVWRILLSASQVHGNLPLAEIAANKLLELDPSNSGNYILSSNTYAGSNRWEDVIRMRGLMEESNVHKPSGSSSIEINVSNSSEDTCFVDGQEKIELNVM >CAK8536144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911675627:911676323:1 gene:gene-LATHSAT_LOCUS5540 transcript:rna-LATHSAT_LOCUS5540 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKQELSTGIYDAENAKKELARAIILHEYPLSIVDHIRFRRYSASLQPLFQVPCRNSIKKEILKVYNLERSLTLKLLENLEGRAIITSDLWTSSNQKKIYMTVTARYIDGNWNLQSHILRFIYVHAPHTSEKLCGTLVECLMDWNIDTKLSTITLDNCSKNDAMIEKIKAKLKLRDSVAFWTATPKRKGDSVSIFQG >CAK8564674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:6941303:6944073:-1 gene:gene-LATHSAT_LOCUS17954 transcript:rna-LATHSAT_LOCUS17954 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKREIIGREYDLDAKWDASLDLTVRRFVYSSFAGAFAGLLLFRSPQTRWASIAFGAGVGIGSAYTECSRLFDGSPAKLAQPKGSEAPTQILTQDSE >CAK8576173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416490042:416494324:1 gene:gene-LATHSAT_LOCUS28380 transcript:rna-LATHSAT_LOCUS28380 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNILKPKPNPQQILRDWQRRLRQECRNIERQIRDIEREEKTVQKAIKEAAKRNDMGSAKALATEIVRSRKTVNRLHENKAQLNSISMHLGESVAISRTVGHLSKSAEVMKLVNNLMKAPEMAVAMQEFSKEMTKAGVIEEIVNDAVDSALDSEDIEDEIEEEVDKVLTAIAGETAAQLPEAARKQKVKQPGQSVGAAEEEEAIAEGVDDEEEMEEIRARLAKVRS >CAK8564947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18375882:18377712:1 gene:gene-LATHSAT_LOCUS18197 transcript:rna-LATHSAT_LOCUS18197 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVCWVISVISLQFLLVNCYSTKSLVPALYVFGDSTVDAGNNNNLNTIAKANTFPYGIDFNNCSTGRFSNGKTFADLIAIKLGLPMPPPYISVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDIPRNFQSKTKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFVIIGIGPIGCIPGFVIRKPHTQDCNELINQVVKLFTNKLPRKLQELKLKAKLSGSIFTILDSFNLFMKIQNSPENYGLTNIWDSCVGEGGKPCGNRKKYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8578972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665541667:665542506:1 gene:gene-LATHSAT_LOCUS30949 transcript:rna-LATHSAT_LOCUS30949 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLNNSSSAFTSTWNLEKMETNEQNRIILQQDHHQIPMSNSSGIWPTNSYNNMMMPAAAPPPPPSSSGSLSDILGIHQIEEVEEQEEELGAMKEMMYKIAAMQPVEIDPATIRKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKKQIRLLQSTPQNQQYQLPLSSQCINSTPPPPNALLLSPSCDIVWPFAPNLLLASTAAASIDLPAGIQFNAGEHSHPHPHPHSHSHSHVHACDGSSSFNHHEVISE >CAK8574922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15300926:15302632:-1 gene:gene-LATHSAT_LOCUS27220 transcript:rna-LATHSAT_LOCUS27220 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFGRPVNSNSFQNDVANMKNAAEFSSCNEFLKTQPCKSSNQLPHIKCEDLVKDKLSGNGKNCALITSTVEGVPLQRKSSKSNRSNSSGSKRSRMSQSDDYTSPNGTEESKDSFEKLGSHNLKCTSPEKSPLPKQKGNNSKRGDKKNFKVPSSKAKFESSSMKMGTSIFSSSTGGNNFFGLYGLKHDFHDVTNLMDEPPLDELLKGTFDCPIISKDKGKKASNNNESFLSSVRKACSIIQSPKLVQSQNMEMDYSSNKKMSTSQFSSICAVENDVNEDKEQSCSTDISSSQKDSCSETDCKASPLDFPLCQPKDVLEQIALHPFREFESLLIDVSKLAISTKNSNDLRSGKQVSRRPSLPSFPWSHAFGGNFRTNSDTAKLSTSRSTCRGKWARIGLIASSSDIDRSSFIDLDSFSYDQSLVPSFGNSDSQLIQSFFASLPFRQLDSSSSVSCSKDFQANTEFGGQVDTKENDETVLAAAQTLCEIKTRSQRQSSDGILRWQRKPSHMVGLGLPDNDAWYMIETIAKRKSILSRPRVCFQLRIKKYQINKFVSVKLLCYHSENHE >CAK8530908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62296240:62298314:1 gene:gene-LATHSAT_LOCUS737 transcript:rna-LATHSAT_LOCUS737 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLITTSKPLILKSKLLCFSLFYLFTTLFLALYTTLSQSKCFFRSSPSDPILNSLFNYPSSYGEHKYAVSTTRSTCSSPVFFSDYWVVVDEIENFRKNSRGYDGVLRYMKGNADSFGGNLSTLTRFSYFNHQNDSIEVPCGFLKEFPISNSDQIAIEKCDNVVVVSAIFNDHDKIRQPKGLGSKTLEMVCFVMFVDDITLKGLEHHGLISIKSDEYKIGVWRIVKVAKDDLYENPAMNGIIPKYLVHRLFPNSQFSIWIDAKLQLMVDPLLLIHSLVVNENVDMAISKHPFYVHTMEEAMATARWKKWWDVNALKMQMETYCENGLQPWSPSKKPYASDVPDSALILRKHGIRNNLFSCLMFNELEAFNPRDQLPFAFVRDHMNPKLKLNMFEVEVFEQVTLEYRHNVKSSDGTTLKKVSSLRRTKRAEADLYVKNGSCCGGCHKYLSTMWSETND >CAK8532342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248298461:248298706:-1 gene:gene-LATHSAT_LOCUS2060 transcript:rna-LATHSAT_LOCUS2060 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDEHNETRNMNAFYASLESSERTSPSHLVPFRPSENIKKAIQSLQDLFSKDFSLLLHPGRSIEIKDILKYLLTFLQSE >CAK8570542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57655578:57656330:-1 gene:gene-LATHSAT_LOCUS23282 transcript:rna-LATHSAT_LOCUS23282 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLLAIAPLTLLVTFSHLTISITAVDPIAATGKEPIIELFMHDILGGSNPTARPVTGLLGNIYSGQVPFATPIGFNTPAGGTLIPNANGAIPTVNGVTGIPLGTGLAGTSFAPNNNNQNNVQMQLGPDGLGLGFGTITVIDDILTSQPELGSQIVGKAQGVYVASSADGSRQMMVFTALFEGGEYGDSLNFYGLYKIGSTMSRLSVIGGTGKFKNARGFAELRPLIPPGQIATDGAETLLRISVHLTY >CAK8561854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:396178364:396180919:1 gene:gene-LATHSAT_LOCUS15408 transcript:rna-LATHSAT_LOCUS15408 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYFLSLLFIVVFLLKSLFKSSHGKKQLPLPPGSMGYPYIGETFQMYSQDPSIFFINKIKRFGDMFKSHILGCPCVMISSPEAAKFVLNKAELFKPTFPASKERMLGKQAIFFHQGNYHTNLRRLVLRTFMPEAIKSIVPNIESIAQSCLKSWEGNLITTYLEMKTFTFNVALLSIFGKDEILYREDLKRCYYTLEKGYNSMPINLPGTLFHKAMKARKELAQILEQIISTRRCKKQDYNDLLGSFMDEKAGLSDEQISDNIIGVIFAARDTTASVLTWIVKYLGENPSVLESVTEEQMSILKGKQENGEEIGLNWEDTKNMPITSRVIQETLRVASILSFTFREATEDVEYQGYLIPKGWKVLPLFRNIHHSPENFKEPEKFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEILVLVHHLTTKYRWSVVGEKNGIQYGPFALPQNGLPIKLYSKK >CAK8542994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564565425:564567551:-1 gene:gene-LATHSAT_LOCUS11785 transcript:rna-LATHSAT_LOCUS11785 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVGQDSFDKSRVLNVKPLRTLVPVFPSPSNPSSSSTPQGGAPFVCASPAGPFPTGVAPFYPFFVSPESQRLSEQNAPTPQPQRATPISTAVPINSFKTPPAATNGDVGSSRRTSRNRAAHITEEEGYNNTEINELDVEDGTGNGSSKRKKRGRAKKHASGAGAGAGVSGVVPVDVDLDVVANDILQSINPMVFEVINHPDGSRDSVSYTLMIYEVMRRKLGQIEESTKEAHTGAKRPDLKAGTVMMTKGVRSNSKKRIGIVPGVEIGDIFFFRFELCLVGLHSPSMAGIDYLGSKASQEEEPLAVSIVSSGGYEDNTDDGDVLIYSGQGGVNREKGASDQKLERGNLALEKSMHRGNDVRVIRGLKDVMHPSGKVYVYDGIYKIQDSWVEKAKSGFNVFKYKLVRMPGQPEAYATWKSIQHWTDKSAPRTGVILPDLTSGAEKVPVCLVNDIDSEKGPAYFTYIPTLKNLRAIAPVEPAAGCSCVGGCQPGNYNCSCIQKNGGYLPYSVAGLVADLKSVIHECGPSCQCPPTCRNRVSQVGLKFRLEVFRTKNKGWGLRSWDAIRAGTFICEYAGEVIDSARADMLGGENEDEYIFDSTRIYQQLEVFPADIEAPKIPSPLYITAKNEGNVARFMNHSCSPNVLWRPIVRENKHESDLHIAFFAIRHIPPMVELTYDYGINLPLKAGQRKKKCLCGSVKCRGYFC >CAK8575490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:136033207:136035817:1 gene:gene-LATHSAT_LOCUS27749 transcript:rna-LATHSAT_LOCUS27749 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFRRKSGHNSTLRHRINSRVSVLQRCILRALHRFLACSGAKPGANANYSMLTPHAALPSQSHSPSLGSGIESASTPVFHTNELDTDLVNLKISLLGDCQIGKTSFLVKYVGNEKEQGREERKGPNHMDKTMVVSGARISYCIWEVQGDGKSQDQLPMACKDSVAILIMFDLTSRCTLNSVVGWYKEARKWNQTAIPVLIGTKFDDFIQLPIDMQWTIASEARTYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTIERNLNVGEPIIDF >CAK8532177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:215516830:215518881:-1 gene:gene-LATHSAT_LOCUS1908 transcript:rna-LATHSAT_LOCUS1908 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRIETAETRRNKCAACYRQFNKVEHLVDHMKISYHSVHEPTCGICRKHCRSFESLREHLIGPLPKPECKEIFANRGCKFCLKVLDSPNSRRIHQQKCQLSGLSGICGRFSNLGIRDNLTIGGGRGPQVVALACKMVGGGSDGSLDLCARVCLIDEHENIIFHSYVTPPIPITNYRYETTGIRHEYLRDAMPLKLVQRKIQDFLCNGEPIWTIRSKGGKARILVGHGLDHDLASLQIEYPTPKIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYDDCVAAMRLYMRMRTQIHKTEDYPLASDSQNRNNFASWRQSELERMSPEQMLEISRSDYYCWCLDP >CAK8533118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580547463:580548477:1 gene:gene-LATHSAT_LOCUS2766 transcript:rna-LATHSAT_LOCUS2766 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRLDGNYITSPDVI >CAK8561839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:392253550:392254204:1 gene:gene-LATHSAT_LOCUS15393 transcript:rna-LATHSAT_LOCUS15393 gene_biotype:protein_coding transcript_biotype:protein_coding MVFERNTNVLLTSFVVVKDVVLKLKPLQTKDELLVFAAVGIKLKVIIINLRRKQGAIDLKFLSVVVVLILENENMEGLERLLWSCFDV >CAK8572541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:545322762:545323274:1 gene:gene-LATHSAT_LOCUS25094 transcript:rna-LATHSAT_LOCUS25094 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITEEIKAKSEMYYGDEMCQIKSKELLGEISMPNGLLPLKDIEECGYHRESGFVWLKQKASYDHKFVKVDRHVIYGTEVTATVEVGKIKKLTGVKVKELLMWLPLHEIVMDEPPTGKITFRAVTGLFRTFPASAFEIEGEVKDVKEENKDEVKETAPAAAAPVEVKEV >CAK8565195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:66374156:66374375:1 gene:gene-LATHSAT_LOCUS18429 transcript:rna-LATHSAT_LOCUS18429 gene_biotype:protein_coding transcript_biotype:protein_coding MENIILPSNPPRVLKKELH >CAK8570766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:110593536:110595446:-1 gene:gene-LATHSAT_LOCUS23492 transcript:rna-LATHSAT_LOCUS23492-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIIFIAFLLSTATLAFSVSESSESNIISRFQQFLQIKTNHPIPNYKQSSDFLTSQANSLSLHSQTIELVSGKPLVLLKWTGTSPELPAIMLYSHTDVVPAEQDKWDHPPFEAHVDSEGRIYARGSQDMKCVGMQYLEAVRKLKDWGFQPKRSIYLAFAPDEEIGGHDGAEKFSLSRVFQDLNVGIVVDEGLPSPDDHYRAFYGERSPWWLVIKAVGIPGHGAKLYDNSAMENLLKSIESIRRYRASQLDLIKAGLKAVGEVVSINTVFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADTESLERRIAEEWAPTWRNMSFTLGQFKQKMYGRDASGKPAITKTDSSNPWWALLENAVQEAGGKLGKPEIFPASTDARYFRNLGFPAIGFSPIANTPDLLHDHNEYLHKDEYLKGIKIYESIIKAYASYDEHGKDESSKDEL >CAK8570765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:110593536:110595446:-1 gene:gene-LATHSAT_LOCUS23492 transcript:rna-LATHSAT_LOCUS23492 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIIFIAFLLSTATLAFSVSESSESNIISRFQQFLQIKTNHPIPNYKQSSDFLTSQANSLSLHSQTIELVSGKPLVLLKWTGTSPELPAIMLYSHTDVVPAEQDKWDHPPFEAHVDSEGRIYARGSQDMKCVGMQYLEAVRKLKDWGFQPKRSIYLAFAPDEEIGGHDGAEKFSLSRVFQDLNVGIVVDEGLPSPDDHYRAFYGERSPWWLVIKAVGIPGHGAKLYDNSAMENLLKSIESIRRYRASQLDLIKAGLKAVGEVVSINTVFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADTESLERRIAEEWAPTWRNMSFTFKQKMYGRDASGKPAITKTDSSNPWWALLENAVQEAGGKLGKPEIFPASTDARYFRNLGFPAIGFSPIANTPDLLHDHNEYLHKDEYLKGIKIYESIIKAYASYDEHGKDESSKDEL >CAK8533519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:630631217:630636558:-1 gene:gene-LATHSAT_LOCUS3141 transcript:rna-LATHSAT_LOCUS3141 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSACNLWCMSMPTQRITALSRREICISSSLCSNSPNDAVPPQSIPISRIVQDQSQDSDAMLKRTFVSPTRRVLMASLSLYSCFCSSRYFSALALGDTSVTLEEVTPPVFSSGPLFPAEDRIVQLFETNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDKEGHIVTNYHVIGNALSRNPSPGEVVARINILASEGVQKNFEGILIGADRLKDLAVLKVEAPKDILKPIMVGESSFLKVGQQCLAIGNPFGFDHTLTVGVISGLDRDIFSKTGVTIGGGIQTDAAINPGNSGGPLLNSKGSLIGINAAIFTQTGTSAGVGFAIPSSTVRRIVPQLIQFGKVARAGLNVDIAPDLIANQLNVRNGALILQVPKNSLAAKVGLNPTTRGFAGNIVLGDIIIAVDNKPVKSKAELLKVLDEYNVGDKVMFLIQRGDEKMELPLVLEEQSS >CAK8544807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706828739:706830030:-1 gene:gene-LATHSAT_LOCUS13457 transcript:rna-LATHSAT_LOCUS13457 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIEVSREAMSTVARKAPITIERKVPDDLDTKLPKPYLPRALVAPDADNVNGTWGHKNNDMSVLQQHVSFFDIDNDGIIYPWETFKGFRALGFNVVFSFIFTIILHVALSYSTLPTWLPSPMLPIYIHNIHRAKHGSDSGSYDTEGRFIPANLELMFSKYAREMPDKLSMRELWHMTQANSVAYDFFGWAVSKFEWGVLYILAKDEQGFLSKEAVRRCFDGSLFEYCAKMRNGATGKMA >CAK8537538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390475453:390476013:-1 gene:gene-LATHSAT_LOCUS6827 transcript:rna-LATHSAT_LOCUS6827 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASSQMNALSISDDKPSATATISDDKPSATATISLISSDKIVFNIDPSVAKEMETVQSFISETDGEITTIPLLNISSHDLPHIIEYCEKSIAGQITKEYEGEFVKKLNNEEVKELFLAANYLNTKKLFDFLSQVIADRIQNKSVEYVRKYFGIESDFTPEEEAELREKLAWTFTGVDPDEDED >CAK8560434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19124870:19127350:-1 gene:gene-LATHSAT_LOCUS14103 transcript:rna-LATHSAT_LOCUS14103 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQHIVSAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVSQMMYKNAVRFGDNHFKFVPLKIRDDEDVETMFSNHECCGFQYIDLYITFAQLQETQTSMVINPSIIPYEDVEDGDGEEENEAQVDDLYTTLFEEGIEVNIDDQCVPLQNVFIPPAHMTTLPQSVEGTSFDWPQNPRFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNSNIFPVAFALVEGETAEAWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNAVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8571800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:475674816:475675515:-1 gene:gene-LATHSAT_LOCUS24429 transcript:rna-LATHSAT_LOCUS24429 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNAFGSSTSSSGSPCGACKFLRRKCTSECIFAPYFCCEQGPDRFAAIHKVFGASNVSKMLLHIPPQDRYDAVVTIAYEAQARIIDPVYGCVSNIFALQQQVASLQAQLMQVKAQLSQNLEESKNMENLWSVNVARPQLITNMNPKSPKSSLESIDYSCCSISDGMSMLDIQSNREAFTFSLQAYSKKNNDLTELQELALRIAGN >CAK8542152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:479315423:479320226:-1 gene:gene-LATHSAT_LOCUS11017 transcript:rna-LATHSAT_LOCUS11017 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVNPLLSPPWLLLLLVSSLLSITLAYKPGDIVPMSRMGQYHSSRTVWQDLIGRHCPIFAVNREVLVPLPKPTGYTGADPYKISFQVGKEKFYIPWLLVVNRKSTEVPMIDIELKYSGSDLLGVTAKVVDMPHHYVEIHPEIGKHFWDAQHWPKHILARYTWKEHSEIDVTSGFFVLFGSGLLLSFILSIYTLQSSREKLERFVRETVAENSIPVGEIAKVE >CAK8570995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:243736505:243737017:1 gene:gene-LATHSAT_LOCUS23698 transcript:rna-LATHSAT_LOCUS23698 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTTYAVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIFSGTVAGAREAFFYDIPSISISYDWAKATSNQQDHYPATTHPKQQTIHPPLSQTLCT >CAK8569100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671111778:671112359:1 gene:gene-LATHSAT_LOCUS21989 transcript:rna-LATHSAT_LOCUS21989 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLICPNAKEQSIATTNKQMKIKTSKKNKRASNSNFTSNTYAGLLNLPPHQQPPLLPLPHVSSILHHNPLLPRSLNTQTLSPTPKKSKPKKKEQSKKRSGTRSAPEALMVNPWGPDPKDLPKNLPVVIGIGNIDVFPESVFNLAPPPSSLPLPKFSMRSKLSCNTEAAAAVAGSFVDDGATNNLRRLLRLR >CAK8563613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620517134:620517596:-1 gene:gene-LATHSAT_LOCUS17007 transcript:rna-LATHSAT_LOCUS17007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKKVEQNKLIVVEFKVSMHCNACERNVVKAISKCKGVEKFITDMNQHTVVVTGRIDPKKVLKKLKKTTGKKVEILSNKDEESNSEPDRLVIIPPLPFENDCCIETEDLKMFSDENPNACSMM >CAK8568280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589655901:589657258:-1 gene:gene-LATHSAT_LOCUS21253 transcript:rna-LATHSAT_LOCUS21253 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAAIARSFHFQKHSLINVNSVGKSSTAGHYWLRPAAAGTGLAPRYKQICAATQQRPTWLPGLDPPTYLDGTLAGDFGFDPLGLGEDPESLKWFVQAELVHSRFAMLGVLGILFTDLLRVAGVSTIPVWFEAGAVKYEFANTGTLVAVQLLLMGYAETRRYMDFVSPGSQAKEGSFFGLEASLEGLEPGYPGGPLLNPLGLAKDSKNAHGWKLKEIKNGRLAMVAILGIFVQASVTHVGPIDNLVEHLSNPWHKTIVQTLASSSS >CAK8541511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:212392922:212394434:1 gene:gene-LATHSAT_LOCUS10428 transcript:rna-LATHSAT_LOCUS10428 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSRKRVEVNANTYRSSSDATSTTILTRARYSPGKRLIGDFVSTPEQCASWIMYIETVITLGRSNLLVDMIQNIDQQKYKGLQSISSEDPNSTTSIRGEIPGLACCD >CAK8567327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:505124773:505125596:-1 gene:gene-LATHSAT_LOCUS20391 transcript:rna-LATHSAT_LOCUS20391 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPTMRRTRSMSGGMSVDMNSPDNQTTTNNMESHHEEESVMSHHNNPLQPHPHHDGVKLDPHAVVMKGTETDGGLVGSTVMFPSHTTTTTTLTTTNTNNNINHNNNPVSASAHGVYSTPHFLRICGLCNCRLAPTRDIYMYRGDTAFCSLECREEQMKQDRRKEKWKVSVSNKEDHRVAPPPRTAKASTAACT >CAK8565261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:86278424:86281427:-1 gene:gene-LATHSAT_LOCUS18489 transcript:rna-LATHSAT_LOCUS18489 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYNILKVSRSATDIDIKKSYKKLAMIWHPDKNPDNRAEAESKFKLISEAYDVLTDPDKRRIYDNHGEAVLKSGVVPSTPRTHSHFPYASSSASCSSRQNRHTAYHYNPRNPHDIYTEIFGNDGRETSGSRRTARGVPFGGHPMKKAPPVERPLLCSLEDLYSGVEKKLKITRTVTDHDAKSHTEEEIVTINIKPGWKKGTKVTFTEKGDQEPGIIPADIIFVIEEKPHARYIRNGNDLIINQKMTLVDALTNKILEIPTLDGRRLMVQLSGIITLGYVHVVPNEGMPLSKEPGRKGNLKIKIVDIKYPSSLTPQQRSDLRGVLS >CAK8568948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:658707964:658709282:-1 gene:gene-LATHSAT_LOCUS21855 transcript:rna-LATHSAT_LOCUS21855 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYDGDFMEELMALRTETPTQEENHFFSSSTNHNNLSFDNCFDQNSSCSQQVFPQSYVNNDYYSNTFNEIYGSLLDETSTPQILDSYYNNNTLDAPFASQEDFPLSMIGEEEDQTMLREEIKNLELQTTCKMEETQSPEMPVVFNSANSFERKNRSKKLQGQPSKNLMAERRRRKRLNDRLSMLRAIVPKISKMDRTAILGDTIDYMKELLEKINNLQQEIELDSNMSSIIKDVKPNEILIRNSPKFEVERGLDTKVEICCAGKPGLLLSTVNTLEALGLEIQQCVISCFNEFTMQASCSEEFERRTMLSCEDIKQALFRSAGYGGRCL >CAK8575098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:29373639:29376579:1 gene:gene-LATHSAT_LOCUS27387 transcript:rna-LATHSAT_LOCUS27387 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLVTTLLILFPFLFSTIVYGYSLSSIKSWCNQTPYPQTCEYYLTNNAYNQTIKSKSDFFKVSLQLAIQRARKGEENTHSLGPKCRNAQEKAAWADCLELYDFTVQKLTQSTTPNCKHTDSQTWLSSALTNLETCKNGFYDLGVTNYVLPLMSNNVTKLLSNTLALNKVPYQKPSYKDGFPTWVKPGDRKLLQTSSAASKANVVVAKDGSGKYTTVKAATDAAPSGSGRYVIYVKAGVYNEQVEVKAKNVMLVGDGIGKTIITGSKSVGGGTTTFRSASFAVVGDGFIGQDITFRNTAGGANHQAVAFRSGSDLSVLYKCSFEGYQDTLYVHSERQFYRECNIYGTVDFIFGNAAVVLQSCNIMARNPPQKTITVTAQGRTDPNQNTGIIIHNSRVTAASDLNPSSVKSYLGRPWQKYSRTVFMKTSIDGFINPAGWLEWSGNFALDTLYYAEFGNTGAGSSTSNRVSWKGYHVLTSASQASPFTVGSFIAGNSWLPSTGVLFAAGL >CAK8571588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444833010:444833510:-1 gene:gene-LATHSAT_LOCUS24233 transcript:rna-LATHSAT_LOCUS24233 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMKPRSMAKELGGTVKEILGTCVSVGCTVDGKDPKDLQQEINDGDVEIPLE >CAK8563336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593586819:593589648:1 gene:gene-LATHSAT_LOCUS16760 transcript:rna-LATHSAT_LOCUS16760 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGAASSFFPVTASLPDSGGNKLGGGQANIGGLKSKPASSGGLQVKANAQAPPKVNGTKVSTSVDNFKYEDLLPSSQSSRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRSDMLIDPFGIGKIVQDGLVFSENFSIRSYEIGADRTASIDTIMNHLQETALNHVKIVGLLGDGFGSTPEMCKKNLIWVVARMQVVVDRYPTWGDVVHVETWVSASGKNGMRRDWLLRDYNTGEVLTRASSVWVMMNKHTRKLSKIPEEVREEIGSFFVESAPILEEDDKKLTKLDDSTADHIRSGLSPRWSDLDVNQHVNNVKYIGWILESAPQAILESHELCGMTMEYRRECGKDSVLQSLTAVSDANAGNLAQSGHIECKHLLRLEDGAEIVRARTKWRPKPVNNFDFANQVPAGST >CAK8561618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:322576295:322576995:1 gene:gene-LATHSAT_LOCUS15193 transcript:rna-LATHSAT_LOCUS15193 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFAWTSTRILLVEFHCSSLLISLATINVTFGRDSRWRFLHGRRHGFYWSTWQNRDNLDYCLRTLKPNVVLPN >CAK8564518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679443957:679447706:-1 gene:gene-LATHSAT_LOCUS17817 transcript:rna-LATHSAT_LOCUS17817 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTGNPNYSPEVDPQPLPPTNHIHSRPILSFPKRPALRITTEFDSESTVFFHKISCKFLDSLAKLKLAFHNNSKGEIADPQISFVSKYLTLHYDLEDHSALLKSSVDVGPKLKLIGTHDVKAQQGEVTMVANLDNPGYALQLSTPLPSTGLPKATFKFPQGEISLQEINHLEEEEQVKNSLSVSGSLKGQFLKGLCTAHYKDEEFKLRYRYKDDEMSFLPTLSLPSNALSFAFKRRFGPSDKLSYWYNCDSNYWSAVYKHTYGEDFKFKAGYDSEVRLGWASLWVGDEGGKAKTAPMKMKVQFMLQVPQDDIKSSVLMFRVKKRWDI >CAK8536374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935650643:935653713:1 gene:gene-LATHSAT_LOCUS5749 transcript:rna-LATHSAT_LOCUS5749-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPNVPGSGAASALMKLGVIGGIGLYAAANSLYNVEGGHRAIVFNRLIGVKDKVYPEGTHFVIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPSQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAISNNPAFITLRKIEAAREIAHTISNAANKVFLNSDDLLLNLQEMSLEPGRN >CAK8536373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:935650210:935653713:1 gene:gene-LATHSAT_LOCUS5749 transcript:rna-LATHSAT_LOCUS5749 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIVGVWGKISRTNQLGILFRKVYPSLNPTKNLVKPHNRISFVSSLIRISKNMKVPNVPGSGAASALMKLGVIGGIGLYAAANSLYNVEGGHRAIVFNRLIGVKDKVYPEGTHFVIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPSQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAISNNPAFITLRKIEAAREIAHTISNAANKVFLNSDDLLLNLQEMSLEPGRN >CAK8575578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:181991619:181992782:1 gene:gene-LATHSAT_LOCUS27831 transcript:rna-LATHSAT_LOCUS27831 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRCFQLAFSLMSISLDQEGGLQPSRRRSLFTLASYMLIFSARTGNFPELIPIVKASLIHSTVDPFLELVDDVRLQAVNIESEKIIYGSHEDEVAAIESLSAVELDDKQLKENVISYFLTKYSKLPEVIL >CAK8578110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607502674:607505795:1 gene:gene-LATHSAT_LOCUS30149 transcript:rna-LATHSAT_LOCUS30149 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCSLTAFVGYKSGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVVVGVVGYVKTPRGLRTLNTVWAQHLSEDIKRRFYKNWCKSKKKAFTKYSKKYETEEGKKDIQSQLEKLKKYATVIRVLAHTQIRKLKGLKQKKAHLIEIQVNGGTISQKVDYSYSFFEKQVPVEAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKVGKTDQESHTAITEFDRTEKDITPMGGFPHYGIVKHDYLMVKGGCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >CAK8572397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534162058:534164991:1 gene:gene-LATHSAT_LOCUS24968 transcript:rna-LATHSAT_LOCUS24968 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELALIATKLQNVSTSDHASIVSMNLFVALLCACIVLGHLLEENRWMNESITALLIGICTGVFILLLSGGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTITSFGAIGTLISCCVITLGATQAFKRMDIGPLELGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLNQLNPSIALHFLGNFFYLFIASTLLGVLTGLLSAYVIKKLYIGRHSTDREVALMMLMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHSFATLSFVAEIFIFLYVGMDALDIEKWKFVSDSPGTSIATSSVLLGLVLLGRAAFVFPLSYLSNLTKKSQYQKISFRQQVIIWWAGLMRGAVSMALAYNQFTMSGHTQLRSNAIMITSTITVVLFSTVVFGLLTKPLIRLLLPHSKVTTSMTTTETSTPKSFIVPLLGNSRDSEADLEGHEIHRPNSLRALLATPTHTVHRLWRKFDDSFMRPVFGGRGFVPVEPGSPSERNGNSHW >CAK8538138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465669344:465669751:-1 gene:gene-LATHSAT_LOCUS7371 transcript:rna-LATHSAT_LOCUS7371 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGTASEILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHDFCVPLVPQRLLVVLLAHTTVGSSTGVKS >CAK8539305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508819117:508819809:-1 gene:gene-LATHSAT_LOCUS8414 transcript:rna-LATHSAT_LOCUS8414 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSNLSEMDSIGGYYTWSNKHNENVIYFRIDHVLANMEWYKKYIHVSLNVLAPSVSDHAILLLNSHELDRQKRMNHFKFLNNVNDMDGYQKEVPNRWRAPLNGRPMYVLWKKQIRVHASIRALRKPLIGASHSMKNARIHLLDAQDRLMHDGDNPDLIKEVKDNTEEVFKWSEIEEKILKQRSKIIWLRLGDGNNIYFYAQVKDKRGHNDLTTIYKDDDTLLSNQEDI >CAK8542291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:498979006:498985241:1 gene:gene-LATHSAT_LOCUS11138 transcript:rna-LATHSAT_LOCUS11138 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVISNNTLLLSPVGNRVSVTDLRKSETTTLPIQASSNISRIAVSPDGTFLLAIDDNNRCLFINLRRRALLHRITFKHRVGAVKFSPDGGLIAVAVGKLVQIWRSPAFRKEYFPFELVKTFADFHAKITAFDWSPDSKYLLVASKDLTARILCLKKLSGGDKYKPFLFLGHRDSVVGSFFGVDTKTNKVSKVYTVARDCYLLSWSFTGDEDGESSAPPSPGTPDRELEGELKVGDDGVVKKRKERETEDGGGYLCRGKWELLRKDCFNQAPAKVSACHYHRGLDMVVVGFSNGVFGLYQMPDFVCIHMMSISKEKITTALFNDLGNWLSFGCAKLGQLLVWEWRSESYILKQQGHFFDVNCVAYSSDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMANNNSLLSASLDGTVRAWDLIRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTSDSFEIFVWSMRTGRLLDVLSGHEAPVHGLVFSPTNAILASSSWDKTVRLWDVFDGKGAVETWPHTHDVLTVAYRPDGRQLACSTLDGQIHFWDPIDGVLMYTIEGSRDIAGGRLMTDRRSFANSSTGKCFTTLCYSADGSYILAGGSSRYVCMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTEAGPLDLIDDYNSDIEEGVERQTRGNLGQNMPGSVANRGRPIIQTKCLRIAPTGRSFVAATTEGVLVYSVDESFIFDPTDLDIDVTPEAVDKALDENQPSRALILSLRLNEDSFIKKCIFAVSPADIPAIATSIPYSYLQRLIDALASLLENCPHLEFILRWSQELCKIHANSIQQNSRSLLPSLKSLQKSITSIHQDLADTCSSNEYMLRYLCSSSASK >CAK8560030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5236127:5238212:-1 gene:gene-LATHSAT_LOCUS13748 transcript:rna-LATHSAT_LOCUS13748 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYYFKFTLKGRGQRSAPELKEQEKSQFSGSERVTKSSCSSTSSPRGIPKLYEEKGHNLRVFSFSELRRATSDFNRLLKIGEGGFGSVFKGSIKPVDGNGENVLVAIKRLNKDALQGHKQWLTEVQFLGVVEHPNLVKLIGYCALDGERGIQRLLVYEYMPNRSLEAHLFNKAYDPVPWKTRLEIALGAAQGLSYLHEEIEVQVIYRDFKCSNVLLDENFKPKLSDFGLAREGPVAGDTHVSTAVMGTHGYAAPDYIETGHLTSKSDVWSFGVVLYEILTGRRSLARNRPKTEQKLLEWVKNYPPDSKKFDTIMDPRLEGQYSINAARKIAKLADHCLRKSAKDRPPMSQVVERLKQIIQESDEEQEQEKDQDGRETNEINIVEVTENDSVEPPLEEANSSGSTELWRKRMEHLAKLGEHVEGASRKRFMIQQRTNVSS >CAK8537124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:103376933:103379593:1 gene:gene-LATHSAT_LOCUS6438 transcript:rna-LATHSAT_LOCUS6438 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKPLFNLLHNNHNQNPNLFHLLLKPFSSLNQQPHLVSQIFTVLSQPQWRKNPSFNTLIPSLTPTHLSSLFNLDLHPLTALNFFKWINHQHGFIHTVHSYQPLLFILVRNGFLRAAENVRNSMIKSCDSPQEASFVLNLLRLMNTTHHENLAFKLSVTSYNRLLMLLSRFALVDELNFLFKDMLEDGVLPNLITFNTMLNVYCKLGDVVVAKAYFCGLIKSGFCCDTFTYTSLILGYCKNHELENAYKVFEIMPREGCRRNEVSYTNLIHGFCEAGKLDEALELFFQMKEDGCFPTVRTYTILIAALCELGKEAEALKFFEEMVERRCVPNVYTYVVLIDYFCKVGKMEEGMKILKTMLEKGLVSSAVPFNALISGYCKRAMMEDAIGVLDLMKSNKVCPNERTYNELICGFCGRKSMDRAMALVNRMFESKLSPNLITYNTLIHGLCNAGAVDSAWRLYHMMIEDGFIPDQRTFCAFIDCLGRMGKVVQARKVFESLKEKHVEANEFIYTALIDGYCKAEKFDDARLLFKKMLAEGCLPNSITFNALLDGLRKEGKVDDAILFVDDMGKFNLKPTVHTYTILIEEVLRESDFNRANRFLDLMISSGCQPNVVTYTAFIKAYCSQGRLQDAEEMVVKIKEEGMVPDCMVYNLLMNAYGCIGQLDNAFGVLKRMFGTGCEPSIQTHSILMKHLMVEKHNISVDNADIWKITDFEIITTLFEKMVEHGCVPNVKTYNKLIIGLCKVEHLSIAFRLLNHMRENGIISSEVIHNSLLSGCCKLGMHEEALRLLDSMMECNHLAHLESYKLLICWLFEQSNKEKAEAIFHRLLSCGYNYDEVVWKVLIDGLAKKGYVDECTQLRNIMDKNGCRLHPKTQSMLTRELNGT >CAK8539792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525498495:525499474:1 gene:gene-LATHSAT_LOCUS8854 transcript:rna-LATHSAT_LOCUS8854 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKQQKHFVLVHGVCMGAWNWYKLIPQLESIGHKVTALNLAACGTNTHKIEDVHTFADYSKPLLEFLASLDPNEKVILVGHSFGGMSTALAMEKFPEKIAVGIFIAAFIPDTIHQPSYVIQEYIERYPASGWLDSEVLFDGTKMVILPGINFLSTKFFQLCSIEDLELLKLLKRVGSFFIEDLSEAKNFSKKGYESVHRVYVVANEDLAIPVEFQQWMIQNAGIDVVNVINGADHMAMLSKPQELRVCLLDIVEKYA >CAK8577119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:538162808:538163899:-1 gene:gene-LATHSAT_LOCUS29252 transcript:rna-LATHSAT_LOCUS29252 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKNHSIALLVLSLGLLALEVSCRSLGDKSIYERHQEWMSQYGKVYKDTQEMEKRLKIFTENVNYIEASNNAKSYKLGINEFADLTNEEFTTSRNKFKGHMCSSITRTTSFKYENASVISSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLTTEAQYPYQGVDGTCSANQASTQAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYDVSSDGTKYWLVKNSWGADWGEQGYIRMQRGIDAVEGLCGIAMQASYPTV >CAK8543771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638706245:638706724:1 gene:gene-LATHSAT_LOCUS12509 transcript:rna-LATHSAT_LOCUS12509 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGKKQESNASATEVYAVPGEPAVVINGVPEIVGASSIVPSCDALSVFEKDGNMGLGDWFIGRDIQKLFMGSYYSGKVTEYDKETGWYRVKYEDGDTEDLDWLELKEVLRPMDVTVSLKTLVNKVIRNRKKSIHKPGKKRARSQNPQIKIKKTKGN >CAK8568811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643185364:643190244:1 gene:gene-LATHSAT_LOCUS21734 transcript:rna-LATHSAT_LOCUS21734 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTERIANLALLGLTLAPLVVKVDPNLNVVLTACITVFVGCYRSVKPTPPTETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFVLGIVALSATLLPSIKRFLPNHWNEDLIVWRFPYFRSIEIEFTRSQIVAAVPGTFFCAWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADLIRPFSMLGLGDIVIPGIFVALALRFDVSRGKPPQYFKSSFLGYTFGVVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVKQLLEFDESKAATNSSKEESDAKSSKKDE >CAK8541909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:431346632:431351792:-1 gene:gene-LATHSAT_LOCUS10798 transcript:rna-LATHSAT_LOCUS10798 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTTLQLSLSSTAFNSSLKVKKLKRVRPRCFQTLCSSEHSSFKDDGDADNGGGEGLSKVILVERYSNGTAKRYILGDDLQLQTVLIEEDRSKDNKFDVLHSPDERLSWLPRMIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTIVTSSLLKAVGVGSFSGTTAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAIARGLKDPSFRVIQSHFAISANLGEVAAKEEVWEVVAQLVGLGLGILILDTPGLVKSYTVLSSTWLCIRLLHIWLRYESLSVLQFNTINIKRARILVKSHVLHSTIPGCMECNKEENILVWSQFMKPRIIFGSPLEKIDGMDRSHFMLEDLLKLYANEKYILVVNQQLDDLKFYVSFKVGATSLSVLRSIWQTFWLSENWERKGNVCDQHANSLMEMEDRFDDFIQKLKDAKWDTQQLNLKVPKGVAIDDINTF >CAK8562606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514990124:514995208:1 gene:gene-LATHSAT_LOCUS16091 transcript:rna-LATHSAT_LOCUS16091 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVSRLCSCLEPVHRTKRTEQKDDIFTATEPLDETLGHSFCYVRSSARFLSPSHSDRFLSPSTSLRFSPTHESLPKTRPDFPETSFKAISGASVSANSSVPKTVIQLEEESSAAVDSGGGVTKGTIVNGFESTSSFSALPLQPVPRGGELFEGSGFFLSGPIESGAHSGPINAGAGADSGVSGGRDVPFSAPLGGMYARKKGVSGFRKAFQRKVSEKKRPWVVPVLNFVGRKEVPGSDGKAKPVETKSESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGHMYRAVHNELQGLFWEVEEPIESHNPVEVKEVKSITEGENSSAGLANMVQRTETAPVAGTESKRRRLWEFLAEDPEDGLDLSGSDRFAFSVDDALSVSNTHAGSATSRRWLLLSKLKNGLSSKQKEGNGRKLLPWNLGNEEEKEEKTEVENKPSASGTGIAGGRRRKLGPVDHDLVLNAMSRALQVTELAYLDMTDKLLDTNPELALMGSCLLVALMRDEDVYVMNVGDSRAIVAHYEPKEVQDSSNVESASKGGDAGVCSSTESIVEESLALDGTQTEGAIKVGNQGPAQEIKLAALQLSTDHSTSIEEEIIRIKNEHPDDPHCIVNDRVKGRLKVTRAFGAGSLKLPKLNAAVLEMFRNDYIGTAPYISCLPSLRHHRLCPRDQFLILSSDGLYQYLNNDEVVSQVESFMEKFPEGDPAQHLIEELLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >CAK8570117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24420758:24424070:-1 gene:gene-LATHSAT_LOCUS22898 transcript:rna-LATHSAT_LOCUS22898 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQKNHWDKKDGVNGIVAVAIDTDKASQNALKWAIDHLVTKGSTIVLIHVNVKTSSSISTPRSGMIEHSLVVAKDIDDHTRQIFNPYRVFCARKDIQCKDIVLEHGDVSKALIEYASQTAVEHLVIGSSNKNGFLKFKVADIPGTVSKGAPDFCTVYVVSKGKIQSMRSASRAAPAFSVLQSQLSQSNARSDTPDPRLPVAKAPERRSFEGQPRSSHEGQNDSFRSPFTRKRPNDKSYGELHMPDSDISFVSSGRPSTDRLFPSVFNQNYNSESSFSNPRLSYSSDIDGSYSFESLNYGRRSVDIGTPDFSSFSHDSFESSSASQSMDDVEAEMRRLKLELKQTMEMYSTACKEALTAQQKAVELQRWKLEEERRLEQARMAEENALAVAEKEKAISKAAVEAAEAQKRIAELEAQKRISAEMKALKESEEKRKVVDSMTSRDVRYRRYAIEEIEAATNFFADSLKIGEGGYGPVYKCLLDHTPVAVKVLRPDAQQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFCKGNTIPLPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTARPPMGLTHHVGRAIEKGTFGEMLDPRVTDWPVEEAMGFAKLGIKCAELRRKDRPDLGKEVMPELNRLRELAENNEHHSFFGGFTSPSTQSQVSLQMDSLSSPLAYSGDSARNTSSPL >CAK8570119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24420758:24424070:-1 gene:gene-LATHSAT_LOCUS22898 transcript:rna-LATHSAT_LOCUS22898-3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQKNHWDKKDGVNGIVAVAIDTDKASQNALKWAIDHLVTKGSTIVLIHVNVKTSSSISTPRSGMIEHSLVVAKDIDDHTRQIFNPYRVFCARKDIQCKDIVLEHGDVSKALIEYASQTAVEHLVIGSSNKNGFLKRFKVADIPGTVSKGAPDFCTVYVVSKGKIQSMRSASRAAPAFSVLQSQLSQSNARSDTPDPRLPVAKVLAPERRSFEGQPRSSHEGQNDSFRSPFTRKRPNDKSYGELHMPDSDISFVSSGRPSTDRLFPSVFNQNYNSESSFSNPRLSYSSDIDGSYSFESLNYGRRSVDIGTPDFSSFSHDSFESSSASQSMDDVEAEMRRLKLELKQTMEMYSTACKEALTAQQKAVELQRWKLEEERRLEQARMAEENALAVAEKEKAISKAAVEAAEAQKRIAELEAQKRISAEMKALKESEEKRKVVDSMTSRDVRYRRYAIEEIEAATNFFADSLKIGEGGYGPVYKCLLDHTPVAVKVLRPDAQQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFCKGNTIPLPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTARPPMGLTHHVGRAIEKGTFGEMLDPRVTDWPVEEAMGFAKLGIKCAELRRKDRPDLGKEVMPELNRLRELAENNEHHSFFGGFTSPSTQSQVSLQMDSLSSPLAYSGDSARNTSSPL >CAK8570118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24420758:24424070:-1 gene:gene-LATHSAT_LOCUS22898 transcript:rna-LATHSAT_LOCUS22898-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQKNHWDKKDGVNGIVAVAIDTDKASQNALKWAIDHLVTKGSTIVLIHVNVKTSSSISTPRSGMIEHSLVVAKDIDDHTRQIFNPYRVFCARKDIQCKDIVLEHGDVSKALIEYASQTAVEHLVIGSSNKNGFLKRFKVADIPGTVSKGAPDFCTVYVVSKGKIQSMRSASRAAPAFSVLQSQLSQSNARSDTPDPRLPVAKAPERRSFEGQPRSSHEGQNDSFRSPFTRKRPNDKSYGELHMPDSDISFVSSGRPSTDRLFPSVFNQNYNSESSFSNPRLSYSSDIDGSYSFESLNYGRRSVDIGTPDFSSFSHDSFESSSASQSMDDVEAEMRRLKLELKQTMEMYSTACKEALTAQQKAVELQRWKLEEERRLEQARMAEENALAVAEKEKAISKAAVEAAEAQKRIAELEAQKRISAEMKALKESEEKRKVVDSMTSRDVRYRRYAIEEIEAATNFFADSLKIGEGGYGPVYKCLLDHTPVAVKVLRPDAQQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFCKGNTIPLPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTARPPMGLTHHVGRAIEKGTFGEMLDPRVTDWPVEEAMGFAKLGIKCAELRRKDRPDLGKEVMPELNRLRELAENNEHHSFFGGFTSPSTQSQVSLQMDSLSSPLAYSGDSARNTSSPL >CAK8569414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700281726:700283362:-1 gene:gene-LATHSAT_LOCUS22269 transcript:rna-LATHSAT_LOCUS22269 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDSDMWDDPTKSNDILVKLANSAKVIDSLKDLRYKVEEAQLIKQLTEMNAIDYGLYKQAYDASVDVGNILDHYEISKLLKGPFDMAGACLVIKAGPAGIFPKLWPEQLLQMYLGWAKRQGREGRTVDRCQIENEGIDSATIEFEFEYAYGYLLGEKGVHHLIKGSPNESSHLETSSATVDVILLFLENACDFEIDSVDLIISSPSTHGKRKKQIECTVCIQHVPTGICVQSSGERSQFANKMKALNRLKAKLEVIAIEQGVDSINSIVKDKILNLWKEETRRYVSHPYKLVHDVKTDIEMIDLNTVLDGNIGPFVAAHINTRE >CAK8532154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:213680713:213681441:1 gene:gene-LATHSAT_LOCUS1887 transcript:rna-LATHSAT_LOCUS1887 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCLHISQESTHQPIPNESGNQSVDAPLPTPNDNMEVDSQTIVSRRSRHRSIVWDHFTKVKVDGKDKAKCNYCSKLLNGSSNDGTTHLKGHMESCPKKKLLKPSDKGQTFLTPKTMQGKQELSTRIYDAENAKKELVRAIILHEYPLSIVDHIGFRRYSVSLQPLFQVPCRNSIKKEILKVYNLERSSTLKLLENLEGRVVITSDLWTSSNKKKDIWLSLLITLMESGIYRVTF >CAK8573557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:620127947:620129502:1 gene:gene-LATHSAT_LOCUS25992 transcript:rna-LATHSAT_LOCUS25992 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNKYIVIKNHIEDAPKESHFEIKTEPFALSLEPGSDNVIVKNLCISIDPYLINRMKSYSPSQKALSLTTPLTPGKVIDAIIIGKVVTSGNDKFEKDDLVLGVFTWAEYSVVKEQNIIRKLESSEFPLTYHLGVLGFSGLSAYAGFFDICKPQKGEKVFVSAASGSVGNIVGQYAKLLGCYVVGCAGSQKKVALLKEKLGFDDAFNYKEETDLNSTLKRYFPDGIDIYFDNVGGEMLEAAVANMKAFGRVSVCGVISEYTDAEKRASPNMIDVVYKRITIRGFLATDYSNIFAEFSAKTMDYLRNGQLEVIEDKSLGVESIPSAFVGLFNGDNVGKKVVVLAEE >CAK8534535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:739633939:739638356:1 gene:gene-LATHSAT_LOCUS4076 transcript:rna-LATHSAT_LOCUS4076 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSFNPSFFGPSKIPPINAPGKSEHGPLEVLSGSDAYHASSDASLFSSSLPVLPHEKLNLNETENGFQSVDDISTDFKKLHQEAELNGSLENGNNHAIGTMLPGDDDELLAGILDDFDLSGLPGSLEDLEDYDIFGSGGGLELETDTQESLSAGVSKLSFSDSSSGNGLPPYSLPNGVGSGAVAGEHPYGEHPSRTLFVRNINSNVEDNELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVAFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAETALKLLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELDQDESRSFRYQVGSPLANSPPGNWLQFNSPVEQSSLPTINHSPSSSIMCPTTGSHLSGLASILQPQTSNTVKVATIGKEIGRSSHGDHIFTNMSSSSGSTFNSHSLPEPKFSQYRGTLSSFGTSTSNGSSVETLTAPQFLWGSPNLSSAQTKPSAWPKPSVGHQLSTSNGMSHAFPYSSRSNSFVNSSQQHHHHHVGSAPSGLPFQRHFGFFPKSSETSLMNNVGFNGMSLGHTDGNYMLNSGVSIPKNMPDNGSSNFRMKSSPILSPVFLGNGLYPGLLPTAMESFTDRVSSRWIDNNGSQVDSKKLFQLDLDKIRRGEDTRTTLMIKNIPNKYTSKMLLAAIDESQKGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYETFNGKRWEKFNSEKVASLAYARIQGKNALVNHFQNSSLMNEDKRCRPIVFHPDGSEAVDQVVQETFPSYYSNIQAVKPSDSFGFPSKDGLPMSLDAS >CAK8566287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:400133644:400138345:-1 gene:gene-LATHSAT_LOCUS19429 transcript:rna-LATHSAT_LOCUS19429 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIELWAIFGPGVAGAVFGAGWWIWLDAVVCSSTTVPFLHYLPGIFASLAGLMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWAGVAGVLQCVFVLISGLIYWTAHPE >CAK8560707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37180452:37183597:1 gene:gene-LATHSAT_LOCUS14362 transcript:rna-LATHSAT_LOCUS14362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CenH3 MGRVKHFPRPSKPAASDNQEKRKRRSKPGTKAVREIRKFQKDVKLLIPYAPFVRCVKEITNQFSSRVTRWTPEALISLQEAAEDDLIRMFEAGILCAHHARRITLMKKDIELTRRLTGIGRPW >CAK8564040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647329763:647330428:1 gene:gene-LATHSAT_LOCUS17385 transcript:rna-LATHSAT_LOCUS17385 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSITGTHFIAIATWFAPSPLFILVNLVIGTIALVSCISAAPKIKIIQRAKSFNTRYYNNNQEPTSSATQPESGSESTQPQLVQTPTPLNRVVSFNLSLHKLAPVKTTHYLQPETENSSVELDPKLVCDEEKSSVELKRSVSEKECSMTLDWEEEEDEEALERRRPATATARSETTTCKEDEGVDAKADDFINMFKNQLRLQRLNSFVRYRNTLTLPSFE >CAK8578152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610176545:610177351:1 gene:gene-LATHSAT_LOCUS30190 transcript:rna-LATHSAT_LOCUS30190 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSMALSSPTLAGKQLKLTPSSQELGAARFTMRKSATTKKVASSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >CAK8544570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693347396:693352918:1 gene:gene-LATHSAT_LOCUS13235 transcript:rna-LATHSAT_LOCUS13235 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEHVLPFSVVSVVEDVLQQQSTPSSDYKFASRKAEEASLRRYEAAGWLRKTVGVVGGKDLPAEPSEEDFRIGLRSGIILCNALNRIQPGAVAKVVEGPSDSVIIPDGAALSAFQYFENVRNFLVAVGEIGLPTFEASDLEQGGKSSRIVNCVLALKSHAEGKLGGRSGSGSLKYGQKPPMSAKSMARKNSEPFMKSLWSMTLVDKDGYASDNSSYSDLGQDRLEGGSFSSLNSLVRQYLSDKKPEEIPIVVESLLNRVMEEFERRMQIQQEMQIQQEPQVQQEPQVQQETLKTTTQEDKAPSETEQSISKDVSVDEAMEEKEDGEQLQDKQEECYDEKYNGDAEQSNSFNLMQQSLVEQQNRIAQQSLVEQQSRIVQQSLVEQQNKSVQEVKKVVLQTKSGMQFLQKEYQKDMINLSKHLRSLAAAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLGGGQVSQNSVVCSVEEGSMSLMIPPNSKLGKEGKKTFNFNKVFGSSSTQGEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMAGPDNLDEDTIGVNYRALRDLFFLSDQRKETIIYEISVQMLEIYNEQVRDLLAPEEIRNSSSNGINVPDANLVPVSTTSEVINLMNLGHKNRAVGSTAMNDRSSRSHSCLTVHVHGKNLVSGSIIRGCMHLVDLAGSERADKTEATGDRLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDALGGQAKTLMFVHMSPEPDALGETLSTLKFAERVSSVELGAARVNKDNTEVKDLKEQIAMLKAALARKDGEAEHVQQPTNSGHVTPKVKSYASSPPMQQRNVTSSGGRKVPKDDSSNIMGQKKSATKLKRRSLDPHDMYRNSLPWPHVNNQAVNGKDDDKDSDSVSGEWVDKIPMNRTDSLTSDDSLVEQWEADSKQFSPISSSSFSENSKLSLEPAFDTTTMTTEESDELEIATSDSSESDMNWLIQAPKPTAPSNGVASIKAKKSINPKQSKIPEIRSMIPSLIPTPGKKLPTPTPITQARKNQGSIDVKRRNAK >CAK8577641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580418377:580419933:-1 gene:gene-LATHSAT_LOCUS29729 transcript:rna-LATHSAT_LOCUS29729 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVAPTLELQILNGPRKGDTLQFQPGSTVRIGRLVRGNNLQIKDPSISTKHLSIQIDSGSWVILDLDSSNGTVLDDATLPPNTPFHLRDGSTVKIGEATSILVNFIKPPNNATATQVEEKPMRGKRGKNNNSTKSVNSRVPLHSIDENESGNVVQPEPTRVTRNTRSVRNGNLDAMEEKVEEPKKNSRAAGNLKSKQKTVTFSGLSFEDSEAQEEKVEELKNARVTRNGKNKKNVVGISESSIVDLDVVEERKNARVTRNLKNKRKVIEISESSFGDLDVGEEKVEKPKNARVTRNLKNKQKVIEVSESSVGEEKVEEPKNARVTRNLKNKEKVIEISESSVVDLDAVEEEKVEEPKNARVTRNLKNKPKVIEISESSVGDLDVGEEKVEEPKNVRVTRNSKNKGIVIGEKSSLVDGVVDVEKKKRRGGAKGKKKLQEECVDDGDGKDICDEKDNENLIKNENWPDLNKISLGEWFDFLKVFLPKQMSDEAEAIIDSMRQKVERLREYVIMYPNQIA >CAK8531257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93728805:93732095:1 gene:gene-LATHSAT_LOCUS1063 transcript:rna-LATHSAT_LOCUS1063 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYISNSKISMDHGGVWILKDATYIPKLKKNSISLGTLQTNGFSYLSNGYKNIMKINQGVMNGFRVRSTSSISISNTTMTEVEANGDGEAGVPPPKLETQDSTENNGNSSEPVLSKSALKKQARQQRWEVKMAAKKAAAKEQKKKETERKRKEWEESLASMPEDERTKFIESRISLRKERMEQQTLEKNSKKERLNKSKEHGQNVVIDLQFSHLMTPSEIRSLVQQIMFCYAVNGRYESPAHLWLTGCEGEMDDQLKKIQGFDKWIIEKENKPYIEALQDRKDDLVYLTADSETVLEELDLKKIYIIGGLVDRNRWKGITMEKAQEQGIQTAKLPIANFMKMSSSQVLTVNQVVEILLKFIETRDWKTSFFAVIPQRKRSQADPEGNAGNTVVEEEEEEEERELKDDPLTSKKHCVEEIPSNKLVDRL >CAK8531258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93729042:93732095:1 gene:gene-LATHSAT_LOCUS1063 transcript:rna-LATHSAT_LOCUS1063-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVEANGDGEAGVPPPKLETQDSTENNGNSSEPVLSKSALKKQARQQRWEVKMAAKKAAAKEQKKKETERKRKEWEESLASMPEDERTKFIESRISLRKERMEQQTLEKNSKKERLNKSKEHGQNVVIDLQFSHLMTPSEIRSLVQQIMFCYAVNGRYESPAHLWLTGCEGEMDDQLKKIQGFDKWIIEKENKPYIEALQDRKDDLVYLTADSETVLEELDLKKIYIIGGLVDRNRWKGITMEKAQEQGIQTAKLPIANFMKMSSSQVLTVNQVVEILLKFIETRDWKTSFFAVIPQRKRSQADPEGNAGNTVVEEEEEEEERELKDDPLTSKKHCVEEIPSNKLVDRL >CAK8574144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665636105:665641311:1 gene:gene-LATHSAT_LOCUS26517 transcript:rna-LATHSAT_LOCUS26517 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVGKSGKVLVSRQFVEMSRIRIEGLLAAFPKLIGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSYSLDEEGICKHAFELIFAFDEVISLGHKENVTVAQVKQYCEMESQEEKLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFGPLTSMGSGKIENSFNDMSISSTGTGFGSGSGFGLSTDVDSFSTKPKGRPTASATAPPKGLGMKLGKSQKTNQFLESLKAEGEVIVEDVQPRPSQSRTAAPPLTDPVTLTVEEKLNVTLKRDGGVGSFDVQGTLSLQILNQEDAYIQVQVQTGDNQAISFKTHPNMNKELFANEHILGPKDPNRPFPTGQASDAAGVGLLKWRMQSTDESMVPVTINCWPSSSGNETYVSIEYEASSMFDLQNVVISVPLPPLRDAPSVKQADGEWRYDSRNSILEWSVLLIDNSNRSGSMEFVVPQADSSAFFPISVCFMATDTFSDLKVTNIIPVKGGNPPKYAQRTQLTTENYQVV >CAK8569892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14539503:14541601:1 gene:gene-LATHSAT_LOCUS22695 transcript:rna-LATHSAT_LOCUS22695 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNIPYNPRTVEEVFRDFKGRRTALVKALSTDVDEFFRQCDPDKENLCLYGSPSEQWEVNLPAEEVPPEIPEPALGINFARDGMLEKDWLSLVAVHSDAWLMSVAFYFGARFGFNKADRKKLFDMINDLPTIFETITGVVKKQGKEKSSSVSNNSSTKSKVNPTQDSEPQANFSKPMAMQMQSKENDEANFSKPMAMQMQSKENVEANFSKPMAMQMQSKENDEANFSKPMAMQMQPKENDEAKFSKPMAMQMQSKENDEDGCLGMDEEDEEDEEDVEDDEEQGVTLCGACGESYTTKHFWICCDICDMWFHGKCVKITPARAEHINKYKCPSCSNKRARPSSLI >CAK8569893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14539503:14541601:1 gene:gene-LATHSAT_LOCUS22695 transcript:rna-LATHSAT_LOCUS22695-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNIPYNPRTVEEVFRDFKGRRTALVKALSTDVDEFFRQCDPDKENLCLYGSPSEQWEVNLPAEEVPPEIPEPALGINFARDGMLEKDWLSLVAVHSDAWLMSVAFYFGARFGFNKADRKKLFDMINDLPTIFETITGVVKKQGKEKSSSVSNNSSTKSKVNPTQDSEPQANFSKPMAMQMQSKENDEANFSKPMAMQMQSKENDEANFSKPMAMQMQPKENDEAKFSKPMAMQMQSKENDEDGCLGMDEEDEEDEEDVEDDEEQGVTLCGACGESYTTKHFWICCDICDMWFHGKCVKITPARAEHINKYKCPSCSNKRARPSSLI >CAK8540379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555673983:555680610:-1 gene:gene-LATHSAT_LOCUS9386 transcript:rna-LATHSAT_LOCUS9386 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFNSIMPILGIPSSFLPKPISLPPPSSFRNNGDVNNSLHEQRLESNKNEDLSKSLSDDEKNVKQDNIWQLFREAQQNILYLNNQRLGAIEELNKTKEEKQFLFDKIKKLEAEKKEGTDKLSTCSELLLRIDSMVLGSVISPAEASDFRSLVLNHKVSVADVFNLVSHKTDSELLRELRHFSDQSKTRSFHIIHICTEMAPLVHRGSVASYVTGISRALQRKGHLVEVILPKYACLDLNEVQGLREVKVEAYSYFNGQLHGNKIWTGVVYGIGVTLIEPLHYSSFFNREMIYDYPDDFERFSYFCRAALDYIVKCGKQPDVLHLHNWETAIVGPLFWDIFFNKGLGGTRILLTCHDFNSQGIEQPNKLALCGLDPSSLHRHDRLQDNTNTQFVNILKGGVVYSNRVVIMSSIHPKHTIVRNLSHELELTLNVHGDKLVVAPYGFEKSIWDPSTDYFLPENFNAENMKGKAVCKVALQQQLGLSEHSSIILVGCSFSEVADIDVKKMKDIGLNAKQNDVQFILMNTSERPVLNHALESLQKELKDDDNIKFLGAYDEALSHLLFAGSDIMLCRSFLDPTDETPLKALRYGAAPIAVGPDTQKNRVIPFDRNLMNHDQETTKYSKIINNTFGNMSLGLAIDEIRSNPSKWKRRIVEAMSHDLSWDGECYEVHLAAYSAIKNM >CAK8540378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555673983:555680610:-1 gene:gene-LATHSAT_LOCUS9386 transcript:rna-LATHSAT_LOCUS9386-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFNSIMPILGIPSSFLPKPISLPPPSSFRNNGDVNNSLHEQRLESNKNEDLSKSLSDDEKNVKQDNIWQLFREAQQNILYLNNQRLGAIEELNKTKEEKQFLFDKIKKLEAEKKEGTGKNKLSTCSELLLRIDSMVLGSVISPAEASDFRSLVLNHKVSVADVFNLVSHKTDSELLRELRHFSDQSKTRSFHIIHICTEMAPLVHRGSVASYVTGISRALQRKGHLVEVILPKYACLDLNEVQGLREVKVEAYSYFNGQLHGNKIWTGVVYGIGVTLIEPLHYSSFFNREMIYDYPDDFERFSYFCRAALDYIVKCGKQPDVLHLHNWETAIVGPLFWDIFFNKGLGGTRILLTCHDFNSQGIEQPNKLALCGLDPSSLHRHDRLQDNTNTQFVNILKGGVVYSNRVVIMSSIHPKHTIVRNLSHELELTLNVHGDKLVVAPYGFEKSIWDPSTDYFLPENFNAENMKGKAVCKVALQQQLGLSEHSSIILVGCSFSEVADIDVKKMKDIGLNAKQNDVQFILMNTSERPVLNHALESLQKELKDDDNIKFLGAYDEALSHLLFAGSDIMLCRSFLDPTDETPLKALRYGAAPIAVGPDTQKNRVIPFDRNLMNHDQETTKYSKIINNTFGNMSLGLAIDEIRSNPSKWKRRIVEAMSHDLSWDGECYEVHLAAYSAIKNM >CAK8544059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660939497:660941015:1 gene:gene-LATHSAT_LOCUS12768 transcript:rna-LATHSAT_LOCUS12768 gene_biotype:protein_coding transcript_biotype:protein_coding MMVREGEMNWQQGEVESLQREEEEAIRNNVNNVMFSSLGRQSSSIYSLTLDEFQHSLCESGKNFGSMNMDEFLSSIWNAEENQQQAGSNNNNNLSVIQKGISKQASLPRQNSLSIPAPLCRKTVEQVWSEIHREQQQQQQLHPNNNVAHNTESTPRQPTFGEMTLEDFLVKAGVVREQVAMAPPAVASHRPQQHYTAVYPNNTATMAQAAPFAIGGGGGNVVVPTYQAVAPGGGAVGEPSGSGYPGNGKRDNIGTGYPAPPAVCFGGRVVSGGVGGYGVPVAQTMGMGGPVSPVSSDGIGNENSGGQFGLDMSGLRGRKRMGDGPVERVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLREENSQLKQALAELEARRRQQCLEETNVTAQTKAQKAREKLRGLRRNTSCHL >CAK8544828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:707550282:707554181:-1 gene:gene-LATHSAT_LOCUS13476 transcript:rna-LATHSAT_LOCUS13476 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDNQLSSFFHHHNHQQQHHHHHQHHQQQHQQQQQGNSATVASATTTTTASPTNGLDGSHILYPSAVSSQLEPAKRKRGRPRKYGTPEQALAAKKASTSSFSPTSDNNNSSNKNPNSFTPSPSFTSKKSHSLSLGNAAQGFSVHVIAVTAGEDVGQKIMQFMQQNRGEICILSASGSISNASLRQPASSGGNITYEGRFDIISLTGSYVRNETGGRSGGLSICLSNSDGQIIGGGIGGPLKAAGPVQVIVGTFSIDNKKDTGAGGKGDASAGKLPSPVGESTSSLGFRQTVDSSSGNNPMRVNDEHQAMGGSHFMIQQLGLHVTPPRSTEWGSHPDSRHAGYDLSGRTGHGSHQSPENGGYDQIPD >CAK8536476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945002669:945002938:-1 gene:gene-LATHSAT_LOCUS5842 transcript:rna-LATHSAT_LOCUS5842 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMATTVAVAQGHTDPGHKCKKALGSIHQNRTRLFSAGGLSNLRPLAGVVESDVPVSSEAEKRVRQTDDSLRKVMYMNCWGQG >CAK8540194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544006821:544013415:-1 gene:gene-LATHSAT_LOCUS9223 transcript:rna-LATHSAT_LOCUS9223 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENSLNGSSRKSSFRKASSRLESFRLSLGRKDHETVIELGNIDTEILLFESGEMKQKQKTATNTLSPRQMKSVIALADTILPSINDFDLPDDDDGAAATFYRISASMAGTPELLGGVISEKLIHPMTWVMKLTLWFLSTWIGTVALCGMGCLSTKFPFIQAFPDLPLHKRQQILNDWSHSFFRLLRMFYRAIKLLTLLVFFTQVDELEENCSWKAIGYCGPDPEFKAHLNNHFLHSDDDDEDNAEQAIGPLYKGLVHLNHPRDITVDALRRVGFPVSVNRRKAKASANLSSPSLVIQCDAVVVGSGSGGGVVAGILAKAGYKVLVLEKGGYCARNNLSLLEGPTMDQMYQSGGLVAAADMSVFILSGSTVGGGSAINWSASIKTPQHVCKEWCEKHELELFESEMYQEAMDAVCEKMGVQSEIEEEGFNNAVLRKGCQEMGYPVSNIPRNSSADHYCGWCCLGCKDGKKKGTSETWLVDLVKSGNGVILPGCTAMKVLHKKKKGSGEKIARGVVFEFEYKGSKDICVVESKVTIVACGALNTPALLKRSGLKNNNIGRNLHLHPVVMAWGYFPDEPVDTGASEVWPEKWKKSYEGGIMTAMSSVVAEFDKSGYGAVIQTPALHPGTFSIVMPWLSGRDMKDRMRKFSRTAHVFALARDQTSGKVHSPSHISYQMGDLDEANLQKGIDKVLRILAAAGAEEIGTHHNKGKTLNVKKASYHEFEKFVTKESSRPIKDLSTPVCSAHQMGTCRMGSDPTQSAVKQTGETWEMEGLYVADTSVFPTALGVNPMITVQSIAYCTAQSVLHVLKRKRSK >CAK8531546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:123395568:123399188:-1 gene:gene-LATHSAT_LOCUS1330 transcript:rna-LATHSAT_LOCUS1330 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSNMTERRGIPGAQFVEDVQTYLAQLGLDVNSALAFLQERLQQYKVVEMKLLAQQRELQAKIPDIEKCLDVVATLQAKKGTGEELIADFEVSEGIYSRASIEETDSVCLWLGANVMLEYSLEEATALLQKNLDNARASLEVLVADLLFLRDQTTITQVTIARVYNWDVHNRRTQQVAATAIAQE >CAK8561330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:153863758:153864660:-1 gene:gene-LATHSAT_LOCUS14931 transcript:rna-LATHSAT_LOCUS14931 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKTPLFLFLLFVIVSTSQALKFDIGGTPGWSLNPSENYNQWSGRNRFLVNDILVFKYKKGSDSVLEVNKEAYDKCNKTNPINKFEDGNTEFTLDRSGPFYFISGNDQNCEKGQKLTLVVISPRGHTPKSSPSPSPSLSASPPANTPNLSPSVSTPPAGEPTAPPPTAGGPPAPSPISPSSEASPPSPSPTIPADGAAAPGAQSMAPGGAAVPPASSDSIAPSRSLVYSVAVVVGAVFLCYGNILG >CAK8542641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534302538:534304067:-1 gene:gene-LATHSAT_LOCUS11464 transcript:rna-LATHSAT_LOCUS11464 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRMATALSFLARNIIPTFYLKNQSFRPFSFHKLGPRKANKGLTNALRVLKLVSPKKNVTDIENRRSHLRLVEDILDNTAINPLCDSSVILKTVAETTVESSVLDMEQGLGIDVCFLSHALSSCGYTRDLYGGIQYHCLAIATGFIANVYVGSSLISLYGRCGLLRDAYCVFDEMPERNVVSWTAIIAGFAQEWCVDMCLELFHEMRGLELKPNYFTYTSLLSACMSSGALGHGRGIHGQIIRMGFLCNLHVENALIAMYSKCGAIDDAFYIFENMVNKDVVTWNSMIVGYAHHGLAQEAISLFEEMIKQGVNPDAVTFLGILSSCRHGGLVKEGQIYFSSMVDHGLQPELDHYSCIVDLLGRAGLLLEALDFIKNMPVCPNAVIWGSLLSSSRLHGSVWIGIRAAESRLSLEPGCSATLLQLANLYASVGWWNHVARVRKLMKDKGLKPNPGCSWIEVKNKVHRFEAQDKSNSRMNDILLITENLVDHMSGLSLQSKMSEENIWFS >CAK8542642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:534302538:534304052:-1 gene:gene-LATHSAT_LOCUS11464 transcript:rna-LATHSAT_LOCUS11464-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSFLARNIIPTFYLKNQSFRPFSFHKLGPRKANKGLTNALRVLKLVSPKKNVTDIENRRSHLRLVEDILDNTAINPLCDSSVILKTVAETTVESSVLDMEQGLGIDVCFLSHALSSCGYTRDLYGGIQYHCLAIATGFIANVYVGSSLISLYGRCGLLRDAYCVFDEMPERNVVSWTAIIAGFAQEWCVDMCLELFHEMRGLELKPNYFTYTSLLSACMSSGALGHGRGIHGQIIRMGFLCNLHVENALIAMYSKCGAIDDAFYIFENMVNKDVVTWNSMIVGYAHHGLAQEAISLFEEMIKQGVNPDAVTFLGILSSCRHGGLVKEGQIYFSSMVDHGLQPELDHYSCIVDLLGRAGLLLEALDFIKNMPVCPNAVIWGSLLSSSRLHGSVWIGIRAAESRLSLEPGCSATLLQLANLYASVGWWNHVARVRKLMKDKGLKPNPGCSWIEVKNKVHRFEAQDKSNSRMNDILLITENLVDHMSGLSLQSKMSEENIWFS >CAK8543206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:588117483:588119821:1 gene:gene-LATHSAT_LOCUS11979 transcript:rna-LATHSAT_LOCUS11979 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLGNLPSYNPHNFSQIRPSDPSSSSKTPPTYHPTHSRTLPPPDQVIKTEAKNILLRHIYQHAREKLKPKRAAAGNLLPEHGCKQPRVST >CAK8575702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262395316:262396602:1 gene:gene-LATHSAT_LOCUS27942 transcript:rna-LATHSAT_LOCUS27942 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLALRSLTRHCHFSVEDSKWLTLPPVNTTSVNTCSNQLSSSTSTTALKWVTRCCPQLPKTLVHKLFRLKQVRMLPPQEQEQDHKFKKVTPKDTLNAGDRIFLPHSVKQQTPAPPKRLDSPLTPTLTSKQINFMSALVIYKDAEILVLNKPLGMPVQGGINIKWSLDDVAASCLKYECPESPRLVHRLDRDCSGILVMGRTKTSTTVLHSIFRDKTSRASDDNGTEKRILQRKYWALVLGCPRRSSGLVTAPLGKVVVDNGKSDRITIVDNSTSLSPQHAITEYRVIASSSHGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWGQFDLSNTEDSTEELLKEEALPFGLNLNKGSISDKRPHLHLHCKQIVLPDISQALQNVQSLSALSYDLSAVKALELEADLPPFMKKSWDVT >CAK8577556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574113474:574113641:1 gene:gene-LATHSAT_LOCUS29654 transcript:rna-LATHSAT_LOCUS29654 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRIELCISMVRMAIEFVMAVAETVVTVQERNTDHFVPFNRGSTPLPFHGYLR >CAK8564629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3347405:3348067:-1 gene:gene-LATHSAT_LOCUS17914 transcript:rna-LATHSAT_LOCUS17914 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIFGDSDDEDIEDMVVQPIRAQPISLYSPPAHMQNIYDEHDDTTSVFGSVIQNQIGDEIEIGMDFENKEACVLALQHWHITHSVDYWLYQSDYERYVIKCKKQDCGFKCRASLRKRNLKWVIGKLSGSLPCSSTSMAQDHRKLSSEMASHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFIP >CAK8577000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530619898:530623963:-1 gene:gene-LATHSAT_LOCUS29150 transcript:rna-LATHSAT_LOCUS29150 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSEGIETEKQNPTGSSDPNASVVELVNSLHQQRVYREVTLALTAGLPDARAEFSFLRLRALRSILNFLNSIADSDSTIYLFNLTQSIPQLQVVPVLFQHSLKETSNDHNYNDEIALALRVLEGCCLLHPHSTTLAHQHNAVQVLLNILSTRGVLEQSACLDALISLMVDSSPNQMDFEKYNGITQVANLIRDKQVDENLRLKCGEFLQLLIGHVNGRDSPPLATIHEDTRRLLGETSASLIWAASQFGSTLDPEQRLTALQIQARRILESLDLY >CAK8577001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530619898:530623963:-1 gene:gene-LATHSAT_LOCUS29150 transcript:rna-LATHSAT_LOCUS29150-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSEGIETEKQNPTGSSDPNASVVELVNSLHQQRVYREVTLALTAGLPDARAEFSFLRLRALRSILNFLNSIADSDSTIYLFNLTQSIPQLQVVPVLFQHSLKETSNDHNYSKLVGDMSHIFGVEPMKLTSPSTDDEIALALRVLEGCCLLHPHSTTLAHQHNAVQVLLNILSTRGVLEQSACLDALISLMVDSSPNQMDFEKYNGITQVANLIRDKQVDENLRLKCGEFLQLLIGHVNGRDSPPLATIHEDTRRLLGETSASLIWAASQFGSTLDPEQRLTALQIQARRILESLDLY >CAK8574617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3947236:3948885:-1 gene:gene-LATHSAT_LOCUS26945 transcript:rna-LATHSAT_LOCUS26945-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGWEVVTWNVMISGYNRVKRFEESKRLFIEMEKKCECVLPNSVTLVLMLSACSKLKDLDGGKYVYYKYIKNGIVEPNLILENALIDMFASCGEMDAAQGVFDDMKTRDVISWTCIVTGFANICRIDLARKYFDQMPERDYVSWTAMIDGYLRMNRFKEVLRLFREMQVSNVKPDEFTMVSILTACAHLGALELGEWAKTYIDKNKIKNDTFIGNALVDMYFKCGNVEKARKTFNEMQNKDKFTWTAMIVGLANNGHGEESLTMFSNMIEASVTPDEITYIGVLCACTHVGMVAKGKYFFSNMTIQHRIKPNVAHYGCMVDLLGRSGHLKEALEVILNMPLKPNSIVWGSLLGACRVHKNVELAEMAAKEILELEPENGAVYVLLCNIYAACKKWENLHEVRKLMMERGIKKTPGCSLMEMNGIVYEFVAGDKSHPQSKEIYEKLENMKQELINAGYSPDTSEVFLDVGEEDKETSLYWHSEKLAIAYALICSGHGVTIRIVKNLRMCVDCHHMAMVVSKIYDRELIVRDKTRFHHFRHGLCSCNNFW >CAK8574616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3947236:3949488:-1 gene:gene-LATHSAT_LOCUS26945 transcript:rna-LATHSAT_LOCUS26945 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMFCSSTLLFSSFPKFPLSLFLKRIISNTSSKSFSHSLPESPISLLESFNSMYQLNQIHSHTIKVGLSSNHVFLARVIIFCCTNESGDVYYARKVFEEIPQPSVFLWNTMIKGYSRISCCENGVSLYKLMLINSIKPDNFTFPFLLKGFTKDMTFKYGKVLINHAVKHGFLDSNLFVQKAFIHLFSLCGLVDLVCKIFDMGDGWEVVTWNVMISGYNRVKRFEESKRLFIEMEKKCECVLPNSVTLVLMLSACSKLKDLDGGKYVYYKYIKNGIVEPNLILENALIDMFASCGEMDAAQGVFDDMKTRDVISWTCIVTGFANICRIDLARKYFDQMPERDYVSWTAMIDGYLRMNRFKEVLRLFREMQVSNVKPDEFTMVSILTACAHLGALELGEWAKTYIDKNKIKNDTFIGNALVDMYFKCGNVEKARKTFNEMQNKDKFTWTAMIVGLANNGHGEESLTMFSNMIEASVTPDEITYIGVLCACTHVGMVAKGKYFFSNMTIQHRIKPNVAHYGCMVDLLGRSGHLKEALEVILNMPLKPNSIVWGSLLGACRVHKNVELAEMAAKEILELEPENGAVYVLLCNIYAACKKWENLHEVRKLMMERGIKKTPGCSLMEMNGIVYEFVAGDKSHPQSKEIYEKLENMKQELINAGYSPDTSEVFLDVGEEDKETSLYWHSEKLAIAYALICSGHGVTIRIVKNLRMCVDCHHMAMVVSKIYDRELIVRDKTRFHHFRHGLCSCNNFW >CAK8570788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115025821:115027523:-1 gene:gene-LATHSAT_LOCUS23510 transcript:rna-LATHSAT_LOCUS23510 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVATDGGRHYEGKVTAFVLVTCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYKQMKDKSGHESQYCKFDNQLLTLFTSSLYIAALIASFFASITTRLLGRKPSMFIGGLFFLVGALLNGFAVNIEMLIIGRLLLGLGVGYSNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILIANLINYGTSKRENGWRISLGLGAVPAILLCLGSFFLGDTPNSLIERGQHEKAKTMLQKIRGTANVDEEFQDLMDASEQAKKVEHPWKNIVERKYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGSEASLMSAVITGGVNVVATFVSIFTVDKFGRKFLFIEGGVQMLICQIAVGGMIAAKFGISGEGSLAKGEANLLLFLICAYVAAFAWSWGPLGWLVPSEICSLETRSAGQAINVSVNMLFTFFIAQAFLTMLCHLKFGLFFFFAGFVVIMTIFIVLFFPETKNVPIEEMNRVWKSNWFWAKFILDEDVSGDKHNASD >CAK8540048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537116222:537123114:1 gene:gene-LATHSAT_LOCUS9087 transcript:rna-LATHSAT_LOCUS9087 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNPFNKILKTLQKPGDGGEFGKYYSLPALNDSRIDALPYSIRILLESAIRNCDEFQVKADDVEKIIDWQNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFGFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELPLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYSEPQVERVYSSYLELNLEDVEPCVSGPKRPHDRVTLKEMKADWHACLNNKVGFKGFAVQKEAQTKAAEFKFRETPAKLKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACDLGLEVKPWIKTSLAPGSGVVTKYLQRSGLQEYLNQLGFNIVGYGCTTCIGNSGDIDEAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDTEPIGIAKDGKQIFFRDIWPSSEEIADVVQSSVLPDMFRETYNAITKGNPMWNSLSVPSGNLYAWDSTSTYIHEPPYFKDMSMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAARYLAERGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHIPSGEKLSVFDAATKYKSEGHGMIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKTGEDADTLGLTGHERYTIDLPSSVGEIRPGQDITVVTDNGKKFSCTLRFDTEVELAYFSHGGILQYAIRNLINAKH >CAK8568107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574072758:574074853:-1 gene:gene-LATHSAT_LOCUS21102 transcript:rna-LATHSAT_LOCUS21102 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNLKASSWDLSGIEETTALPNIETMEESNRFGVYKTKGEFSVDLKLGQVGNSATESSSSPLPLSKDVSASVSKIASPSSSSGSFKRARAVNNTALTVSCLVDGCNSDLSNCREYHRRHKVCELHSKTPEVSIGGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEPITRPAGSFLNNYQGTQLLPFSSSTAMVNSAWSNGLISSCESGMLHIHNQHQQVPVIDKQDLFLGSTATSYGEGKQLQFLHTDNNIPSLHNQNTPLLRTSNKMFCDSLTNSSVNESPCALSLLSSSQTHAPENGLNQMVQQQQPHSMSLMQPLGLSLHGNNNSFESMDRVLVPNGSESDHCSSLYNIASDGSQGNEAPQLFPYQWE >CAK8566283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399589028:399589663:1 gene:gene-LATHSAT_LOCUS19425 transcript:rna-LATHSAT_LOCUS19425 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLKEKKNTNENGVIIKYYVESVKNRSMKKTKPNEYLRKEEKHGYEYDRRAQLLAHSRYLRNPTSETVSLPVIQSRPKPKSKFISYQPVRICSCSASMETVPSLTIRSRNELKEKKQDDLSEKRKFNEKYSPRVLGKMNSLWKQLSCKEI >CAK8534513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:737728748:737729305:-1 gene:gene-LATHSAT_LOCUS4056 transcript:rna-LATHSAT_LOCUS4056 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMKYFRYYRSWRTLPSRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRPIIGDPEEVKRHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNKHASSSQSHMEFEEQFNLINDMVGNTFGVNVTYNEPQDFDGEELSNEEAQRFFQLLKEMNTSLFEGSTDSKLSMCVRLLAAKSN >CAK8570204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28586796:28589373:1 gene:gene-LATHSAT_LOCUS22977 transcript:rna-LATHSAT_LOCUS22977 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGFRLNPKLRYTLPIHSPHFFTNSSFFLSSSSSVGGRETLFNPVRLSLLSTIYEEGETLHKDFGKTFAFSSSALEAPEKDLLLGGDVITKVSKGRRPLSSVHEMIDNTKLPHGESRFGMLMENLDVLEETFADSEALRLKKNIILQIEKLGALELFNVCLTTSFGTSRVSSCTNEVLEHVEENKRNSKFDDYTGKVIVRSSKRKESRTRRKRISVSIAPSSKSLPLEDNNQEDMLRSSPASFVRKASNTKNRRAAIAQREIEMSKGVKVLAELERMKTALEEDTKQVVSLSSWAEASGVEEKMLKQKLYHGYYCRDELIRSTRSLVLYFAKKYKGMGIAMEDLLQVGYMGVLQGAERFDSTRGYRFSTYVQYWIRKSMSIIVAKYARGVIVPWSMNKAISQIQRARKVLKNTSMKYADDHEIANMTGLSLDKIRAASHCLRIVSSMNRNYNIDYLGEMADKSIESPEETVMKQHIRKDIYDILQSLDSRERQIVILRFGLKDHQPRSLEYIGKIFKVSKEWIRKIEQKALTKLRNETNMSKLNYYMDLQ >CAK8532992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:561731430:561742877:1 gene:gene-LATHSAT_LOCUS2645 transcript:rna-LATHSAT_LOCUS2645 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKINQFFVVMVVVAMMMAASSVSAVEAPTSSVSAVEAPAPGPTSDATTLFVPTMIASFVALVFGLLF >CAK8569406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699305940:699309901:-1 gene:gene-LATHSAT_LOCUS22262 transcript:rna-LATHSAT_LOCUS22262 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVYSPPVWATIIASAFLLTTLTLSLYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSISVDCEILRDCYESFAMYCFGRYLIACLGGEERTLLFMERQGRATFKTPLLNHSSYSGIVTHPFPLKYFLKPWKLGPGFFQIVKFGIVQYMIIKSFTAVLAVILEAFGVYCEGEFKLGCGYPYVAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLYTFGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPAKPYELMGDHLPGSVSVLGDYSADCPLDPDEIRDSERPTKLRLPAPDVDAKSGMTIRESVRDVVIGGGGYIVKDVRFTVHQAVEPVEKGFTRFNEKLHKISQNIKKHEKDKRRIKDDCYIPSSSPTRRLIRGIDDPLLNGSVSDSAMSRGKKHRRKSGYTSGESGGESSSDQTYGGYQIRGHRWVTKE >CAK8576289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:445261992:445263922:-1 gene:gene-LATHSAT_LOCUS28490 transcript:rna-LATHSAT_LOCUS28490 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAHLFYGPEKKISVYLDPLWNFRTVVAAINTGFRQLGGRCVTVKKIEYRCPYITLTDASPEGTYMYYLDRIENDEDVHCMFSAHSGEVNRGVTDPKEEDKMKGFVRITWLKSEYENLKNKENPTQEDVLLEAKLFILLVIATILFPDKTQNLLHSSWVPFVGDLIECGKYSWGSACLAKLYREMCKAAEKEVRSMSGCALLLTSWAFTRIPLFAPVSTVEPSYPYAQRWAQRGMNYCATPRFHLQGYRNALDHMQEKDFIWRPYIQYPVPRLEDSQIWSATTYLICFYIVEMHQTDRVTLHFGVDQQIPPLPKCLKDQHAMTMRKAQKVHWQQLNKDEVREWRHRRHVILQGDSVLGERKPSQQYLTWFRAIPYVHVAPDQFLTDPRTQPSSSTQPTAASMHPDAPPTTTSQFWGYPSSSAQHNYNFPQFTQQYQPQPYLRPPRHFTPCTAPNFEQSNPYFQYPTNPTFNTTFSQPAFTPDDVYIPTVQQTQAETYPQPPQPTHSFQYFLLTEEQLMQMPDFNIEDILEMNQDPLQGRQSPPTTHHNEDLSSDSSQSSANERLGRGHRQRRAPRCGTGGHLR >CAK8563327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592891616:592895560:1 gene:gene-LATHSAT_LOCUS16751 transcript:rna-LATHSAT_LOCUS16751 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSRVLKSAQALAAHTFLICFTLLLLLKLDHRISSSWWMIFSPLWMFHGVVARGRFSLPAPSAPRNRHWAPCHAVVATPLLIAFELLLCIYLESLYVRGFAAVDLKIVFLPLLTFEIIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFITATIFTLLKLNGSVASLGWWDLFINFSIAECFAFLVCTKWSNPVIHRSSREPSSTTTNTTVRYLDLNSGLMVSSEGDQRQARICSLQDIGGHFMKVPIIVFQVLLCMHLEGTTAFASHLSLAVLFSPLFVLQGVGVLLSASKFVEKLVLLLRSGAGGGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGASGYNTFNGYPPEIVKKMPKRDLAEEVWRLQAALGEQTEITKYSQQEYERLKNEKVLCRICFEGEITVVLLPCRHRVLCNSCSEKCKTCPMCRNYIAERLPVYDV >CAK8535603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:866439820:866441166:-1 gene:gene-LATHSAT_LOCUS5046 transcript:rna-LATHSAT_LOCUS5046 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSSFILVFVFLSSLVVFSYAINNSFSVELIHRDSYKSPFYNPTQTKSQQITNALQRSINRANYINQEFSLTKDKIKASLTYDDSTGEYLMSYSIGTPPFKVYGLLDSGSNLIWLQCKPCNICYNQTYPIFNPSKSSSYQNIPCSSSACKSTEEVTSCSNDRDVCEYTLDYGRGTKTHGDLIWETITLESTSGSIISFPKIMIGCGHTNTWRTAKNSGIIGLGIGPMSFIKQLGSSIKERFSYCLIDDRNGNLSSKINFGDAAIVSGNNVVSTPMVKMIGNNQVDYYYLNLKAFTVGRKRIKYSGFKNKGINASTHNILLDSGTSTTFVPRHFYHRLESAVKKMVKLERVHDDSGLYNLCYNTTSKKSTSKQSNYPQIVAHFSGGDVKLDSKGCFSSLSEGIECFNFFPHKRDLGIYGNNAQVNYLVGYDLKNNMVSFKPTDCSKY >CAK8541451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:176559134:176562997:-1 gene:gene-LATHSAT_LOCUS10371 transcript:rna-LATHSAT_LOCUS10371 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTRCSLRADDFPRACHRTTAPTRVLHIDRYVPSHNVSPGFSMPVVRRDEAAESEGHVVHCMKWGLIPSFTKKTDKPDHYKMFNARSESIDEKASFRRLLPKNRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSEGEILYTFTIVTTSSSSAFQWLHDRMPVILGDKNSSDTWLSSASSYKSVMKPYEESDLAWYPVTPAMGKPSFNGPECIKEIQVKTEGNTPISKFFSRKVTEDEDTKPEHKIPSHEPVKTEQTKDLIVETKTEEDESDLKFSGSPPSQNVTKFPIKREYDSISSDLKPSLANNDKPRANPTKKKEKSKTADDKQPTLFSYFGKK >CAK8544779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705413492:705416560:1 gene:gene-LATHSAT_LOCUS13432 transcript:rna-LATHSAT_LOCUS13432 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMNCVSFSSSISIPSPISRQQQPYKHLAFSSSSSLYSTSRVSITPRKQFRRNNGFTCNALFGLGVPELVVIAGVAAIVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKEPDSARGEPSEKPIAVSEQEKQDTEVSSSKDNV >CAK8566016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:367938699:367940600:1 gene:gene-LATHSAT_LOCUS19184 transcript:rna-LATHSAT_LOCUS19184 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGEEKLLAVARHIAKTLGHKNNNMADDILQIFSNFDGRFSKEKLSDEGAGNDSIRCAALEQIINSLDRRISKHLSSENFICYNSAVFLAAVDELVSTIEDWSHLSDDKSVGACLVRADDILQQAMFRAEEEFRSLMELGGESFDLTRSKEKSTQNGNSLYDSNDEDEEDEDEVDGQEDVIPVAKAVVDYNVVVDALPPATVSGLREIAKRMLAAGFGKECSHVYGCCRREFLEESLSRLGLQKLSISEVHKMQWQELEDEIERWIKASNVALKILFPSERRLGDRVFSGLSSSSAITDLSFMEVCRGSAIQLLNFADAIAIGSRTPERLFRVLDVFETLRDLIPEFEALFSDQYSSFLVNEAITIWNRLGEAIRGIFIELENLISRDPVKAVVPGGGLHPITRYVMNYLRAVCRSHQTLELVFKDNALSLKDYPKHDDRLQSNSPFSVQISWIMDLLERNLDAKSKVYKDPALCSVFMMNNGRYIVQKTKDSELGTLLGDDWIRKHSTKVRQCHMNYQRSSWNKLLGFLKVATLAAKPMKEKLKMFNLHFEEICRVQSQWFVFDKQLREELRISVEKLLLPAYGSFIGRFQTIPELAKNGDKYIKFGMEDIEARLNNLFQGSSGSNGGRK >CAK8569437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:971795:976811:1 gene:gene-LATHSAT_LOCUS22287 transcript:rna-LATHSAT_LOCUS22287 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAISTTKVTKRAPTPKRPPLLPSESDNAIAPPRRPKAREVTSRYMSSSSSSSSSFSSPPKRSNSPLVTRAVNSNSNSMRQKLTPAVMQRSQSTERRRQGTPRPNCETPVAQRMLLTSTRSLSVSFQGESFSFQVSKAKPLPASQSVRKSTPERRKVTATTPTATRGRVNGNSDQTENSVSRSLDQHRWPGKSQQQQANSMNRSLDCGISLRNSNRPGNNVVRSLRDSLLDPRASQEATLRLESNKNGGSEPEIEPEELVSSDNESVTSGSSSGAQDNGGKQMHGASHVVPARFLQEANNPIRRQTDLPSPRNSGIGNKAMDPPKLLVPKKSVLFSPASSPRGAVNSRLQGSPIRSAVRPASPSPLASPSSWSPCRGASPSRGRNGIASSLTSRFVNEPSVLSFAVDVPRGKTGENRVADAHSLRLMHNRLMQWRFVNARADASLSVQTLNAEKSLYGAWVATSNLRESVIAKRVELQRLKQHIKLISILKEQMIYLEDWAILDRVYSGSLFGATEALKASTLRLPVFDGAKIDLLNLKDAIGSAMDVMQAMASSICLLLPKVVNVKTLVAEVVNISAKERCLLEECQDLLSTIRTMQVRESSLISHTIQMKSLTRNQQ >CAK8564996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:22088175:22091396:-1 gene:gene-LATHSAT_LOCUS18238 transcript:rna-LATHSAT_LOCUS18238 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLAQLVYNDEKVEDHFDLKAWACVSEDFDVFRVTKTLLESVTSKTWETNNLDFLRVELKKNLRDRRFFIVLDDLWNDNYSDWDELVSPLISGKIGSRVIITTRQEKVAVNACTFPIYKLDRLSDEDSWSLLSKHAFGGVNFCETKCRNLEAIGRKIARKCGGLPLAAKTLGGLLRSKVDTKEWIEVLNSGIWKLQNDNILPALLLSYQYLSSQLKRCFSYCSIFPKDYSLDRKQLVLLWMAEGFFDQSQDGKTMEEVGDECFAELLSRSLIQQLHDDFRGQTFVMHDLVNNLATAVSGKSCYRLEFGGDSYENIRHLSYNQETCDIFKKFKTLCKLKCLRSFLPIGSWRETHYLSSKVVNDLLPTFRRLRMLSLSSYTNITTLPDTIGNLVQLRYIDLSDTQITSLPDTICSLYYLQTLILSRCFKLTELPEHVGKLINLRHLYIDMTSIIVMPKQIAELENLQTLSLFIVGMKNIGLSIRELVKFPKLQGKLFIKNLQNVIDVMEASDANLKSKEHIEELTLQWGKEADDSLKGKDVLNKLQPTSNLKKLSIDLYGGTSFPSWLGDSSFSNMVSLCIDNCANCVTLPPLGQLPSLKDLKICRMTILDTIGPEFYGMAARGSRSPFQPFSSLEKLAIKQMSNWKEWLPLQDNIFPFPRLKSLELFECPKLKGPLPSHLPSIEEIKIYGCDCLLATQPTHHWLSSIKQIYIEGDSNSESNTKKTQCSLLESDCPSLLQGITIIRSCHILNFFPKMIRNNTCLRHLNLYGINSVNVFPTNGLPTSLHSLRIDKCENLTFLPPETWSNYKSLVILVLWNSCNALTSFPLNCFPMLQYLYIKKCRSLESVFISETSSCSSSTLQYLEIKDCEALRSLPQRMDTLTALECITLCNLPNLNLSLCEGVFLPPNLQSIHIESVRITKSVTEWGLQGLTCVRSMEIGGDDIVDMFLKEPLLPICLVSLELKSLSEMKSLEGNGLRHLSSLEELCFNNCQELVSFPEKTFPSSLKSLGFYNCPLLEERYKRKENWSKIAHIPFIDINRHVTI >CAK8532700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:487503395:487506638:1 gene:gene-LATHSAT_LOCUS2381 transcript:rna-LATHSAT_LOCUS2381 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSTNESSNISSIHLESASNRKPTPLIKMIAVASIAAGIQFGWALQLSLLTPYIQLLGVPHKWAANIWLCGPISGMIIQPIVGYYSDRNRSRFGRRRPFIFFGALAVAVAVFLIGYAADIGHSFGDDLKKKTRPKAVVIFVFGFWILDVANNMLQGPCRAFIGDLAADDHRRMRTGNALFSFFMAVGNVLGYAAGSYRKLFMMLPFTKTEACNEFCANLKTCFFIAIFLLFILSTFALLYVEDIPLPSLESQSQSEAQTQTQSEPDVSCSGEILGAFNGLQKPMWMLMLVTAINWVAWFPFFLFDTDWMGHEVYGGDPGDNAYNRGVRAGAMGLMINAVVLALMSLAVEPLGRFVGGAKRLWGIVNIILAVGLAMTIVITKAAHHERLVSNGNTPSAGIRAASFAFFALLGVPLAINFSVPFALASIYSSASGAGQGLSLGVLNIAIVVPQMIVSALSGPWDSLFGGGNLPAFVVGMVAAVISGVLAIIILPTPKPTDVAKAPIAGGFH >CAK8577174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542851022:542851705:-1 gene:gene-LATHSAT_LOCUS29303 transcript:rna-LATHSAT_LOCUS29303 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESMNVVFKGTRHFPITALVRATYYRLRSLFAERGSKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFCVPSSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSNSFPVMSYDEYWPVYKGDQICHNPRMRRNKKGRPISTRITTEMDNFDKLERKCSMCRQTDHNRTRCPNVGTSNR >CAK8578894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661076001:661084443:-1 gene:gene-LATHSAT_LOCUS30874 transcript:rna-LATHSAT_LOCUS30874-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIILSDEDDPTTPLPLHSKKRRTEQPDPNPTVFIIDDDPTPQKQHVPSSIPSIVPDTPFSPLFDSEIAIVKCTRPSDSAPTNLPGISQMICLESDDELENSRIGNWNENGARGFSSQEEIAGNSTWTYHSTGYGSSPDRYVSCENVTRAENSGDNPSNPTSSQVEENPNENNMSLEQEENPNENNMSLEQEENPNENNMSMEQEENPNEINMSMEQEENTNEINMSMDQEENVDNMKSSKVSAKSRNRATGKTKMTKEERSRLMEEKKLLKEQEKLRKAAMKAEAAELKKIEKEKQKWEKGKFAMKYIVAEIDAKVVESGSIGGHLLTRFAEKGLTYHITSNPISGSILWSMKVPESISQLSTERIEIPYVLLVFEADKFCNLTVNDSLFDQLNSIRNHYPAYTVCYLTNRLLSYINKREQEKYKNPENNTCWRRPPVEEVLAKLTTNFAKIHYRLCVDEAELAEHVVGLTCSLASCQFRKKLTRLSVNANGSLVSKDSVDRNLIKKSTWLKALVAIPKVQPRFAIAIWKKYPTMKSLLRVYMDPTKSEHEKEFLLKDLMTEGLIGGDRRLGEVCSKRVYRILMAQKGTIRTDDVENGADFFER >CAK8578893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661076001:661084443:-1 gene:gene-LATHSAT_LOCUS30874 transcript:rna-LATHSAT_LOCUS30874-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIILSDEDDPTTPLPLHSKKRRTEQPDPNPTVFIIDDDPTPQKQHVPSSIPSIVPDTPFSPLFDSEIAIVKCTRPSDSAPTNLPGISQMICLESDDELENSRIGNWNENGARGFSSQEEIAGNSTWTYHSTGYGSSPDRYVSCENVTRAENSGDNPSNPTSSQVEENPNENNMSLEQEENPNENNMSLEQEENPNENNMSLEQEENPNENNMSMEQEENPNEINMSMEQEENTNEINMSMDQEENVDNMKSSKVSAKSRNRATGKTKMTKEERSRLMEEKKLLKEQEKLRKAAMKAEAAELKKIEKEKQKWEKGKFAMKYIVAEIDAKVVESGSIGGHLLTRFAEKGLTYHITSNPISGSILWSMKVPESISQLSTERIEIPYVLLVFEADKFCNLTVNDSLFDQLNSIRNHYPAYTVCYLTNRLLSYINKREQEKYKNPENNTCWRRPPVEEVLAKLTTNFAKIHYRLCVDEAELAEHVVGLTCSLASCQFRKKLTRLSVNANGSLVSKDSVDRNLIKKSTWLKALVAIPKVQPRFAIAIWKKYPTMKSLLRVYMDPTKSEHEKEFLLKDLMTEGLIGGDRRLGEVCSKRVYRILMAQKGTIRTDDVENGADFFER >CAK8578895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661076001:661084443:-1 gene:gene-LATHSAT_LOCUS30874 transcript:rna-LATHSAT_LOCUS30874 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIILSDEDDPTTPLPLHSKKRRTEQPDPNPTVFIIDDDPTPQKQHVPSSIPSIVPDTPFSPLFDSEIAIVKCTRPSDSAPTNLPGISQMICLESDDELENSRIGNWNENGARGFSSQEEIAGNSTWTYHSTGYGSSPDRYVSCENVTRAENSGDNPSNPTSSQVEENVDNMKSSKVSAKSRNRATGKTKMTKEERSRLMEEKKLLKEQEKLRKAAMKAEAAELKKIEKEKQKWEKGKFAMKYIVAEIDAKVVESGSIGGHLLTRFAEKGLTYHITSNPISGSILWSMKVPESISQLSTERIEIPYVLLVFEADKFCNLTVNDSLFDQLNSIRNHYPAYTVCYLTNRLLSYINKREQEKYKNPENNTCWRRPPVEEVLAKLTTNFAKIHYRLCVDEAELAEHVVGLTCSLASCQFRKKLTRLSVNANGSLVSKDSVDRNLIKKSTWLKALVAIPKVQPRFAIAIWKKYPTMKSLLRVYMDPTKSEHEKEFLLKDLMTEGLIGGDRRLGEVCSKRVYRILMAQKGTIRTDDVENGADFFER >CAK8533060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:573783000:573784733:-1 gene:gene-LATHSAT_LOCUS2712 transcript:rna-LATHSAT_LOCUS2712 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKLTLVLNIFFLFLIFLSLKLYINPSNPNSHHVSTKLFNHARILTSDIIVDSCNELHKYSNYTSKCIYVKTHPDCRSKGYINYLQIFYCNLGSSPILGHTLLLLWLVILFYLLADTASNYFCTSLEGLSNILRLSPTIAGVTLLSLGNGAPDFFASVVSFTSSNNGAVGLNSILGGAFFVSTAVLGIISILVSSNEVAVDKASFIRDVIFFLFSLFILLIIISIGKISLFGSICYLSIYFLYVCAVSATHFIYEVDKKEVESSSSSDDLVESGIPLLGYVDDTDNDSDQKSISPNQVGIGIDESQKKNKELFYGSYYLWKFLEILELPLCLPRKLTIPVVSEEQWSKPYAVISVTLAPILFAILCNTQIENVDSKSTLVAYLTSSLIGIVFGNMACVTTKSTRPPRRCLFPWLAGGFSMSVTWTYIIAEELVSLLISIGYVIGVSPSILGLTVLAWGNSLGDLIANGAMAKNGGVDGAQIAVSGCYAGPMFNMLMGLGLPLVLSAWSEYPNSYVVPKDSSLLGTILFLMVGVLWSLVVLVKKNMKLDKFLGAGLLTIYLCFLFLRLAMAIGVLN >CAK8539369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510289482:510292459:-1 gene:gene-LATHSAT_LOCUS8472 transcript:rna-LATHSAT_LOCUS8472 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVKPLQLFHKLVKTTQNERGRLMGLDVGDKYVGLALSDFDNKIASPFSVFVRKKSNVKLMASDFKSLISKYSLKGFVIGLPFDRNLVSSDAVQMKVFIDDLRRTKMLEDVDYTFWNECFTSKNVELLLKPLNLKHPVHSKTMLDKFAAVGILQGYLDYVNRKAKLITPE >CAK8566138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384320511:384322793:-1 gene:gene-LATHSAT_LOCUS19299 transcript:rna-LATHSAT_LOCUS19299 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSGSDSTRPLLSKNDGNSTEQDGRRTRIPRRNSTEHDGRRIRIPRRNSVNSLRSAFMSMLPDKVRSNLDSESPFDVDLSKATTLSQGVKDYYEKQIATLKSFEEVDAVVESDGIIEEDEEELAQQERAMKVSNYANIVLLILKIYATVRSGSIAIAASTLDSLLDLMSGGILWYTHIAMKNINIYQYPIGKLRVQPVGIIVFAAIMATLGFQVLVTAVEQLIKNSPSEKMTGEQLIWLYSIMIFATVVKLILWLYCRSSGNQIVRTYADDHHFDVVTNVVGLVAAILGDKFYWWIDPVGAILLAIYTITNWSRTVMENAVSLVGQSASPEFLQKLTYLVIRHPGIERVDTVRAYTFGVLYFVEVDIELPEDLPLKESHAIGETLQIKLEKLPEVERAFVHLDFECDHKPEHSILSKLPNNQS >CAK8564385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671498177:671500883:-1 gene:gene-LATHSAT_LOCUS17696 transcript:rna-LATHSAT_LOCUS17696-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDFATTFILGSCSKPQLGSFHSELVIVNLRYESVNKCSCFSVSAHHRATIPVEDQKPLLHSAYQNHSKGFHRDINMLPKPLMSVDDSSSASDGSKVRVGYQGVPGAYSEDAALKAYPNCETVPCDDYEAAFKAVELWLVDKAVLPIENSVDGSIHRNYDLLLRHRLHIVGEVQQRVDHCLLGLPGVTKEELNSVMSHPQALAQCRTLLNDLGVDKVGEQDSAVAAKTVAINCVRHAGAIASSRAAEIYGLDILAEGIQDDDENVTRFLVLSREPRIPGTDEPHKTSVVFGLDEGPGALFKALSVFSMRDINLSKIESRPLKQRPLRIVDDSNHRSSKHFDYLFYIDFEASMAEPRAQNALGQLQEVASFLRVLGSYPRDTHV >CAK8564384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671498177:671500886:-1 gene:gene-LATHSAT_LOCUS17696 transcript:rna-LATHSAT_LOCUS17696 gene_biotype:protein_coding transcript_biotype:protein_coding MMALDFATTFILGSCSKPQLGSFHSELVIVNLRYESVNKCSCFSVSAHHRATIPVEDQKPLLHSAYQNHSKGFHRDINMLPKPLMSVDDSSSASDGSKVRVGYQGVPGAYSEDAALKAYPNCETVPCDDYEAAFKAVELWLVDKAVLPIENSVDGSIHRNYDLLLRHRLHIVGEVQQRVDHCLLGLPGVTKEELNSVMSHPQALAQCRTLLNDLGVDKVGEQDSAVAAKTVAINCVRHAGAIASSRAAEIYGLDILAEGIQDDDENVTRFLVLSREPRIPGTDEPHKTSVVFGLDEGPGALFKALSVFSMRDINLSKIESRPLKQRPLRIVDDSNHRSSKHFDYLFYIDFEASMAEPRAQNALGQLQEVASFLRVLGSYPRDTHV >CAK8563282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:589475827:589488498:-1 gene:gene-LATHSAT_LOCUS16708 transcript:rna-LATHSAT_LOCUS16708 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPTSSKRPKSEAESDEENNKEQYDCCGICYVERGFSIPGKIDSCNHYFCFVCIMEWAKHESRCPICRQRFSNVRRLPKLGVFSSYRDVKVPFRDQVYHPHGNMTSGPVDSNTELKCCICYVAKDENLLIICDLCDMASHTYCVGMGYTVPEGDWFCHDCAVSRETNANDGLDLDQQAVELTAESSVTVLDIVRETGSHVARRPMISSIRRNNSLSSSIPLVDRVSRSEGKKPVTAMQRAQCNVQVLRENWNSLRSGSLKFNRKSFQSGGTSSQQHDSSSLSHGKLDGSDSMASTGRQQSTVQGAQSSNMVNDGDFKYDVHKAWQMMDRAKKMKQTPRRTSKITKVADDDPSCSGAREKSFAPHNCPELKNLQTRTLDFRCTRMEEQYGYSSLNKKLEDHLSPLLGEKRKSRVSCEENVQHLRDHTSTTHSVGCRERPLLSKVHTGTHSAPRDDDEINSARKRRQSAYLVTSVGSAPSIGKSDSVFPYSKDVDIFNKEKRFAKGFGNDITKNTEDAKTEIQSLVKLNLKCLTRDKKLGVETFKLVARQATHTILAACHSEQHKSSIYSSSSVCSHTDHITQFQKSTLMTNCCRQCFQVFVNDIVKSIMLEKVGCCS >CAK8562542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508351512:508352039:-1 gene:gene-LATHSAT_LOCUS16032 transcript:rna-LATHSAT_LOCUS16032 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKSHSYGSIPFSWEDKPGICKTPTNKDYPLNIINPKPLTKYSPSKNKNILELQQDKNKIPIPLPPCPTQPPQRSSSGKGFKLQQDPFLVAYKECTKTEKSCKFQSKNKKGVGFNNCVSLRRGYCFFSCRKAMDVKDDSYVKLSELPRLPKHRDRSQMFEDVHQRGFNYDSWL >CAK8578675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:646257810:646266019:1 gene:gene-LATHSAT_LOCUS30665 transcript:rna-LATHSAT_LOCUS30665 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTPIQIFKFSHSPFPRQQNSTTLFFSPSISTSTSHRNKLVLSAATSGGTTVSANGLPPSPSRSKVRRHTISVFVGDESGMINRIAGVFARRGFNIESLAVGLNQDRALFSIVVSGTDDVLRQVVEQLQKLVNVLKVDDLSNEPQVERELMLLKVHADPQYRAEIKWLVDTFRAKIVDISEDSVTAEVTGDPGKMVAVQRSFSKFGIKEIARTGKIALRREKMGASAPFWRYSAASYPDLEGKTAINALVGKKSVNPVGKTDMPVGGDVYPIEPSDSFTVNQVLDAQWGFLHDEDTSGIRSHTLSMLVNDAPGVLNIVTGVFARRGYNIQSLAVGHSEVEGISRLTTVVPGTDESISKLVQQLYKLVDLHKVQDITHLPFAERELMLIKIAVNAAARRDVLDIASIFRARAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIALARESGVDSRYLRGYAFPL >CAK8560289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13176251:13177508:-1 gene:gene-LATHSAT_LOCUS13976 transcript:rna-LATHSAT_LOCUS13976 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPGPLVWEIVKKNNSFLVKEFGNGNQSVQFSRESNNLYNLNSFKYSGLANKKTVAIQTAGKDQSVLLATTKPRKQNKPSVLSQKSVMKKEFRRMAKAVQNQVGDNYYRPDLKKAALARLSAVHRSLKVAKSGLKKRNRHA >CAK8579309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690751400:690754566:1 gene:gene-LATHSAT_LOCUS31264 transcript:rna-LATHSAT_LOCUS31264 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYHSMESIKIVDSLIDPENAEQLKRTKSDIETKITKIQKLIKNENQSKTATNSRRSKKETELMGLTEDLYKQYQSLYAQYDNVIGKVVSPTRNKASASSSDSESEYFSSEEVDGINKRRSEKEKRNLSGTVKQERDRGDDSNKVRDSNENNDDANEGLKDEASGEATECERQLNSLMEEMKSLSDDKTNLELQIDSQANEVKQLSVKNTELQNHVTELESLLKEKEGEVSNLEVKLNNSEEQAKSNIAKLMAQVNELILETKSLTTQKDEMEEKMKCDKNEASIERDELMERVNDMQQKLDSLENLNKEFETQMEGKCDKNEASSEREVFMEKLNAMQQKLDSLENLNKELEIQREELMEKCNSMQKKLDSLENLNKEIETQKEELMEKRSGMQQKIDSLENLTKELETQREELMEKVNGMRQKLDSVENLNKELETQMEVKGEEISQYLIQIENLKENLAETKSLKQTMMEEKEVFLAELKDMELELETQSNQKIDVEEQLRDTTYELKHMMNENKALQDRNDELKAAMTQRGEEITNFLLENDSDENEASMEIMALRAQVNDMRLELDSMYEQKSKLELQNERNQNEYAESLAKMESVNTKLVAQIADQENTIDRIKEEQKQTIIASNKFKLNLRTAEKKLEELADKLRKKMEDNIRLLHQRIHVAEQLNNENKNSCKLMYMRYEQENKTLAEKVAIYEDELKRLKEESKEATHSPVIDQMRIEFETALSGLDVATAKLEEHRECVMSNVSKMLHEIQLAKDWIKKMKAEMKQLKDNVDCLTALLSEKEEQELLLRDKVWHLEATVSKEGGENLNLTNAVNQLERKVGKLEKNLKEKDEDLDSLGEKKREAIRQLCLVVEFHRNRCSYLVNLVSNMRNKKKT >CAK8570059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22634394:22636143:-1 gene:gene-LATHSAT_LOCUS22844 transcript:rna-LATHSAT_LOCUS22844 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRKSSLNPYAAAYVPVSKRDASARFYPAEEENNSSQDYDGTAWNQTPQYATNNVQLLKKNPQRLSPGKSQPASSSYFSSAQSVAPLADNHFMDEEFDIDLEYLRMTFPGISDESLVDVYNVNGGDLDAAVDMLSQLEFDDAVDSSGNLPDSLDIGDVSEPTLPANSASSKQKNATAEASTSFGHL >CAK8579340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692615799:692616143:1 gene:gene-LATHSAT_LOCUS31293 transcript:rna-LATHSAT_LOCUS31293 gene_biotype:protein_coding transcript_biotype:protein_coding MFACFKIKASHKKVKVEQTKLVYKYELPKKQPTCKTVKKKVRFVDSEPTILGEENNDEFGKRRCISNDELVEKEGIRVTIRLTKQQAAELLSKCNGNVLELKDVARELLSVQGN >CAK8531712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:142473926:142475098:-1 gene:gene-LATHSAT_LOCUS1483 transcript:rna-LATHSAT_LOCUS1483 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAKPSVEPLKYQTWFLKVSIHCDGCRKKVKKVLKRIDGVFTATIDSQQQKVTVTGNVSVETLLRKLIRAGKPAEIWPESIDVKGKNSGKEKKKKNENENEPKETQSLQNKGTESASKCENETKNKNKNSKNSNGELPVKSPAGNNVAPVGGGGGSDNNKKKKKDGCGGNGTGNGNENGNNGLSTVGKSGLSHAGFQFQNLDQGMAHQVNLSPTRQQPIFYPSETCYLPMAYVSSAYNRLYPMGRVGDPSYYIPSLPYTCPPSLVDQYGTYQLQSAPSVSFEFFSDENANGCSVM >CAK8572363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:532146970:532147938:-1 gene:gene-LATHSAT_LOCUS24936 transcript:rna-LATHSAT_LOCUS24936 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFAAAALRDPKLQIPTYHGFRSSSTASSLSRNALSVPSSTRSSSLIRAVSTPEKSETATEKKRSKVEIFKEQSNFIRDPLNKDMSNDAPNLSEAGTQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQYGIGTLRLTTRQTFQLHGVVKKDLKTVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSAEPPEVVQARNDNSHGTNFTDSLEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDHVEPHMCVMHNTASFCS >CAK8541691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:356825010:356825216:-1 gene:gene-LATHSAT_LOCUS10589 transcript:rna-LATHSAT_LOCUS10589 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKRSAEVVGCKNESVGERSALERSTRASRVGRSGSENVGLSNENIGENPMPRKPKDSSARFVHGG >CAK8564892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14970870:14973011:1 gene:gene-LATHSAT_LOCUS18148 transcript:rna-LATHSAT_LOCUS18148 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTKLIKIFIFMTLFTKPIAQSPNYVGDDCQNSTQQFLTSTYKSNLRKVLSLLSSDAIVSKGYNHTSIGGNTLDGVYGLYDCRGDVTGSFCQFCVSTAASDILQHCPNRPSAVIWYNFCILRYSNHNFFGKLTTTPSWHILGSKNMINPKEVEKGEDNMQSLIKEATMENGKLYAMREFNLSNEKRYGLVQCSRDLSENQCNQCLEGMLEKVPKCCGTKIGWQVLAPSCLIKYDDFMFYQLISQTSSPSAGQGGSSSKSNTLIITIVIVLVVALALMSLCIYFIWRKYHSSKDKGRMMSKPITISHLGDIQKEDSLHGDLPIFPLIWIRQSTNNFSELCKLGEGGFGPFIREI >CAK8533782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659760522:659762057:-1 gene:gene-LATHSAT_LOCUS3380 transcript:rna-LATHSAT_LOCUS3380 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFLAMSITLILPLFIYAFYQTLYLKTPAFTNWPFVGMLPTFLWNVSRIHAFSAQFLKAKGGTVEFLGPWFTNMKGVITIDPYNVHHIMSKSFDNFVKGDLFREMFQPFGEGIFTTDSHEWKYSRNLFQYLFKQKSFEAYQEKVIHNKVEKSLIPLLNHVQEKGSMVDLQDIFNRFTFDNICLIVLGNDPNCLSVDFPEVAVEKAFNQAEESIFYRHTVPRCVWKLQRRLQIGEEKKMTEACKVFDKFLFECISLKRKELMKNRNKNEIKVESAKNHHVDLLTAMIGEEESEGDESGDKFLRDAAFNLFVAGRDTITSALTWLFYLISTHPLVETKILEEIKENFGHKEKPWVLSVDETKKLVYLHGTICEALRLFPPIPFERKEAVKPDILPSGHHVYPKTLILFSIYAMGRCEDIWGKDCLEFKPERWISERGGINYVPSYKFFSFNAGPRTCLGRDLAFIQVKMVTASILWNYCVHVVEGTCVTPSLSIVLLMKHGLKVKITKREI >CAK8578324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620379786:620381448:1 gene:gene-LATHSAT_LOCUS30345 transcript:rna-LATHSAT_LOCUS30345 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKHGNGIFCVLILLALQLQVLLVGGDYIPPAKFDGFVYKHRHFDYDTIQIEAFYDPLCPDSADSWPPLKQALHHYAARVSLLVHLLPLPYHDNSFVASRALHIVNGLNRSATFPVLESFFKYQDKFYGAPTRNLSRASIVDEIVKFVTSVVGSSYQTSIKNGFNDTNTDLLTRVSFKYATSRGVSGTPFFYVNGFVLPDAGNALNYSDWRNVIDPLIGAKKSM >CAK8534439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726358940:726363028:1 gene:gene-LATHSAT_LOCUS3985 transcript:rna-LATHSAT_LOCUS3985 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYNRRHDSSPSPFPPTISVPSPYNHRHTRHESSPLLSISPPPRPPSPDCTRNINLNRRDSLPPSFPPNSPPATTSHYRRDSYPGPFPTLPSPSSSTVSEPPLPLYHNRRESCPSPFPFPISPYHESTDLSLLPSTSSSRNLNHRLLKRRLDIRNKDNYHDNNNGLIWPFYDIKFLDDDDIRETAYEVFFTACRSCPGFGGRSALTFYSKHESSGVGGMSPSSPVAQTSRTKIALGLKILKSSMSQRMTMRGSWTMSMSSPPSPVAEGSPRPRVLPRRIMTMAEVMRLQMGVSEQSDSRLRKTLMRTLVGQLGRQAETIILPLELLRHLKPSEFNNPHEYHLWQKRQLKILEAGLLLHPSIPVEKTNTFAMNLKEIIRSGELKPIDTSKNSETMRTFSNSVVSLSMRSPDGVPTNVCHWANGFPVNVHLYTSLLQSIFDLEDETLVLDEVDELLELMKKTWSTLGINRPIHNLCFAWTLFQQYVATGQREPELLCASHTVFNEVANDAKKEKEALYVNMLKSVLGSLQSWADKRLLNYHAYFQGESIRQIENLLPVVLLASKILGDVTISDGDWQNKGDFSAERVDDYIRSSLKNAFEMIIEAENAKSTESETKQDISEVMLDLAKDTEELAMKERRTYSPILKKWHTIAGAVAALTLNNCYGHVLKQYLSEMMKTITVELILVLQRARRLEDVLVQMVVQDSADCEDGGKTIVREMVPFEVDLNIMDLMKRWIDESLEKANVCLQRAKETETWNPKSKSEPYAKSVVELVNLAKKIVQDFFQIPIAITEDLVQELADGLHKIFKEYTMFIAACGLKENYIPSLPPLTRCNRNSKFHKLWKIASPCNVSCEDPHIYGIYESSHPHSCTSRGTQRLYIRLNTLHYLLSHISSLDKSLTLTQGVVPSDRLRCSTDINNTQGKSTSYFETVNNSISAACQHLSEVASYRLIFFDSNSFFYDSLYVGDVANARINNAVTILKHNIKLMTAILTERAQPSLIKEIMKACFHAFLFVLLAGGTTRMFNESDHVSIQEDFQYLKQEFYNCGEELIAKSVVDKEGEVVEGVIGLMGMNTEELMENLSNFSSEIGNGMKLPMPPTAGKWNRTDPNTILRVLCYRNDRVANHFLKRTFQIAKRR >CAK8574983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19546403:19549116:1 gene:gene-LATHSAT_LOCUS27277 transcript:rna-LATHSAT_LOCUS27277 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIKFFQLNTGAKIPSVGLGTWQAEPGVVAKAITTAIQVGYRHIDCAEAYKNQAEIGAALKKLFEDGVVKREELWITSKLWCSDHHPEDVPKALEKTLKDLQLDYLDLYLIHWPVSMKRGTGEFKPENLDHPDIPSTWKAMEALYDSGKAKAIGVSNFSTKKLQALLDIARVPPAANQVELHPGWQQSKLHAFCASKGIHVSGYSPLGSPGVLKSDILQNPVVKEVAEKLGKTPGQVALRWGLQVGHTVLPKSTNEARIKENLDVIDWSIPDDLISKFSEIKQDKLIKGTFFVNETYGAYKTVEELWDGEV >CAK8538024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459352565:459352961:1 gene:gene-LATHSAT_LOCUS7265 transcript:rna-LATHSAT_LOCUS7265 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYGCAYLLQAWGWSRMPTLAPINRNFFTFPYANKWSVLGMNYQRCPRHSIIQYRNLIDHLEPDDFV >CAK8541196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:87179721:87181394:-1 gene:gene-LATHSAT_LOCUS10132 transcript:rna-LATHSAT_LOCUS10132 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHMKQNGVISWSTFFLFTIVVVLSSIISTSLIFSFILSFHFPKTVSKWRTPPPPQITIRETVTLPDQVLVVLNYPLSFHRQTKNDIQCVYLSADSEPRLQEPIQLHSVRFHEQIVRCPIPPRGETVSLRIKSNEPLQIKNSSIHNWDPLVYEALFDRDNTTIVFVKGLNLRPEKLYEPSRFQCVYGWDFTKPKFLFKSDVLSAAQEIIRCKTPPRILSQIQTQAHTQHEGFIKVSIQIEGKQIFPSIARPELISLHKSSKRKPYELCICTMLRNQAQFIKEWVMYHSKIGVERWFIYDNNSDDDIENVIAFLQSAGYNITWHLWAWVKAQEAGFAHCALRARASCEWVGFIDVDEFFNVKLKVDLRHVIWHYSKSGKNVAEIRTSCYSFGPSGRKGVPNKGVMMGYTCRLAGRERHKSIVRPGALNQTLINVVHHFHLRAPFVAVDVENSAMMINHYKYQVWKVFKEKFYRRVATYVADWQKEENVGSKDRVPDLGTKPVEPDDWPNRYCEVKDVSLKNWVLKNFKDKKTNLFPWQPEFEPHMKKRLRKKEKGIL >CAK8564783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9533512:9535190:1 gene:gene-LATHSAT_LOCUS18050 transcript:rna-LATHSAT_LOCUS18050 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYASRTLSGRGQLTVVPPSPRLVRSQSNGSLATITTPERTPRRFSSGEKLTNSHRSKSTSKIRTENNEENTKSNDKKKSNSKLLQYGVSPDRNGASKRTTLPSAWALSPGRQSLGSPIWPESPPPKAKGSNGNGGGKVGNSVTKVFNYFKQRKVSSMQEEMHHKFKILHNRLLQWRFINARAEVSMARVKNAAEINLFSVWLETLMLRKITIQKRIEVQKVRHMMKLQRILSGQLSFLNEWKKLEKKNKESIERLTKKLLTLSTILPLSHGLKVNIEALFEAFNTAVEVMENIEPLIKKYQPQIERILYQVTELTATSKQEEECLHLLLQMVSFITILLENEKSVQVHLIQRKIESNTANYSCNNIAQSCK >CAK8531787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151519711:151521677:1 gene:gene-LATHSAT_LOCUS1553 transcript:rna-LATHSAT_LOCUS1553 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSLVCSFVLVIVSLVIVHVYGENFHARKVSDGNYHETLRRLQSLKASLTRHDSIASTPSSFSPSPSPSSLPSEGMNNPRVYHVTSYGADPTGSSDSTEAILAAIADAANAPNEGYLMEGINNLGGAQINLEGGNYMIRKSLTIPVSGVGNLMIHGGTIKASDDFPIDGYIIDLSSTSSNENDGKSSPSSSYNFEYITLKDLLLDSNYRGGGISVINSLRTNIDNCYITHFTTNGILVQSGHETYIRNSFLGQHITAGGDRTERNFSGTAINLQGNDNAVTDVVIFSAQIGIMVTGQANIFSGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYMDYTSIVAEDPVQLHISSSFFLGDANIVLKSMTGVLNGVTIVDNMFSGSDQGVEIVHLDRSNSPFHQIDQVIVDRNVARGMSVKATVAKMSMQGNGTLWNVDFNSILLFPNLIKNVQYSLSSAGRSFPTHAIRNVSDNRVVIETNEVVTANVYVAVDQSMVN >CAK8533482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:623139006:623143210:-1 gene:gene-LATHSAT_LOCUS3105 transcript:rna-LATHSAT_LOCUS3105 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDFSASFATTPFRLTSYLTTSSKFGFKSQHRVSTGRSVRGVRITAASLWPNTDSAVSPPSPGESNGALEINGAVDKSYSFLKCDGSKTVHAAERLGRGIVTDAITTPVVNTSVYFFKKTADLIDWKEKRQFSYEYGRYGNPTTTVLEEKMSALEGAESTMFLASGMCTSSVMFLALVPAGGHIITTTDCYRRTRIFIANMLPKMGITATIIDPADVDALEAALENNKVSLFFTETPTNPFQRCVDIKLVSELCHRKGALVCIDGTFATPINQKALSLGADLVVNSATKYIAGHHDVLAGCISGSEKLISKVRSFHFILGGTLSPNSAYLVIRGMKTLHLRVQHQNSTALKLAKLLEIHPKVKRVYYPGLPSHPEYSLAKRQMFGFGGVVCFDIDGDIMRTIKFVDALKIPYIAPSFGGVESVVNQPAIMSYWDLPRQEREKYKIYDNTVRFCVGVEAYEDLKDDILHALEVI >CAK8532571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:300137345:300138307:-1 gene:gene-LATHSAT_LOCUS2263 transcript:rna-LATHSAT_LOCUS2263 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQKLKFREELLVVLMNEAEIWVQIHQLPFEFMCENVSILIGNHIGKFIAYDEQDNFGTWRKYMRIRVAINIQEPLKKSWSFDRLEGEHVHVFFKYEKLGIFCFLCGTIGHTNNLCHKKVSASSCEDMRGWGNFVHAEVEGYGGNKNTNRWLRGGRNQEGGGRGTGGSRSNDYADEINGSNVTDGQTFKAKGLNFENVKEVIEEHLVLNVCFFSFKFDEMKESQCKGTKSWIPFSQTLTIIGKSNDVAIQQRENGNGNNSSVNTNFELVTPVDSELASLLTKQVVFSERGPASQFNVAMDLAKHAIAQLSFNAAEKPT >CAK8538507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485356965:485358204:1 gene:gene-LATHSAT_LOCUS7696 transcript:rna-LATHSAT_LOCUS7696 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWVVDYLGVSRRIAQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYATRAYLLMLVGSTIFAAKTFTLVEARYLSLFIDLDGLSGYSWAATALVTLYRYLGDASMFSCKQLGGYPTLLHCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRKGALKVDNLRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYMLDRCLHQFGYRQYVPHPPLDSRMAGDIDVDWISYHQSVQNVIRLTTPATTPYETDDGYPEWYYRVLHPRLAPSSVDATIEMSVPVYEVGPSDPIVARMSSLIHRYLQQTGAEEDDPQFAYLFEALHLGLSQ >CAK8538896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499155652:499157242:-1 gene:gene-LATHSAT_LOCUS8045 transcript:rna-LATHSAT_LOCUS8045 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNNFSNFTLMASFLFLLLLFKIVQRWNTSKKSYPNLPPGPWRLPFIGNIHQTISSPLPHRSLKTMAQKYGSLMYLKLGEVPYIIVSSPEVAKEIMKTHDLSFCDRPSLMLPTIFSYNGTDILYSRYGEPWRQLRKICGTELLSAKRVQSFRSIREEEVSDLVKSIAASEGSVVNLSLKISDMTHAFVARAAFGKKIKHHQAFRSAIDEISSLLGGFCLADLYPSIRMLQSMSMAKTKFEKLHREIDVIMQDVVDDHRNVSKDEDIVDALLKIQQENEQSQHPLTDTNIKSIILDMFSAGSETSSGFMGWCMSEIVKNPKVMEEVQAEVRRVFDKKGYVDESELHQLIYLKCVIKETLRLHPHAPMLLPRESRERCQINGYDIPAKTMVLINAWAIGRDPRYWVEAESFKPERFLDSKIDFRGTDFEFIPFGAGRRICPGIGFVIAIIELSLAQLLYHFNWKLPNDMKNEELDMTESPGVTVTRKHGLCLIPIIRRP >CAK8536282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:925048586:925051601:-1 gene:gene-LATHSAT_LOCUS5666 transcript:rna-LATHSAT_LOCUS5666 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGGRSLTETPTWAVAVVCFVILLISIIIEHIFHFIGNWLKKKHKSALHESLEKIKSELMLLGFISLLLTVGQGLISEICISEKVAATWHPCSNNAPKMGDSDDESGGHRRLLADPRRILAGGGIDKCAENGKVAFVSADGIHQLHIFIFVLAVFHVLYCILTLALGRAKMRRWKRWEEETKTLEYQFSHDPERFRFANETSFGRRHLSFWTKNPVLIWIVCFFRQFVRSVPEVDYLTLRHGFIMAHLAPSSHQKFDFRQYIKRCLEEDFKVVVEISPSLWFIAVFFLLFHTHGWYSYLWLPFVPLIIVLLVGTKLQVIITQMGLRIQKQGRVVKGEPVVQPGDDLFWFNKPRLILYLINFVLFQNAFQLAFFSWTAFQFGLKSCYNSRSEDVVIRIFMGIFVQILCSYVTLPLYALVTQMGSTMKPAIFNERVATALKHWHNNAKKHIRQNRGSGFQSPMTSRPITPAHSMSPAHILRHCRSEIDLSSTRYDFELYPPYESYSPSPSKSRHPKVEVNSASSGSVIVHDMEMGYLARDEQQEIVELNCVSVGLGRPQLVVDVQHSDEFSFSKMATNQLE >CAK8532672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:472287258:472287884:1 gene:gene-LATHSAT_LOCUS2354 transcript:rna-LATHSAT_LOCUS2354 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNYDQEFWELVEEEFMDDSDEEQHLQNECQFGSSSRPKRRTAVDRGREEGPNRLFNDYFSENSVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSAIRMLACGSPTDIVDEYVRIGESTSIECLERFVKGVNVVFRDEYLRKPNNTDIEHLLQMGESRGFPGMLGSIDCMHWEWKNCPVAWK >CAK8572184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518191683:518198540:1 gene:gene-LATHSAT_LOCUS24777 transcript:rna-LATHSAT_LOCUS24777-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLGSILLGFGFGVVAVVAVQALALLWIIKRLRHSHNLHGEQAHKLETESNQLDHQQSLHFASHKQGVVWVLESGKISKEPKRKGLLEVSPIKMYGTIKGESLILRKPDGLQPHSTIELKSCTVQAVSASNLSSKKWAKKFPIKVENKTSVIYNGSKTLYLYLETSWEKEAWCKALYLASSEEKEKVKWFTQVHEDFHSYLTSLNSVYHCFMKPSVGLSVEAIERDIKPDDPSSKVRQFLKKIAKKTSRVGLENKSTWASLSGHEGKKSTENLSASQDAVLGTDSTSKHLKNSLVDNATPLGSENNHSASSERDVEKKFDIDEGTLCWNLLISRLFFDVKGSMQLKRSLQERIQRTLSNMRTPSYIGEIICTDINTGNVPPCIVGMRVLPMEMSEVWALEVDIEYSGGAVLEIETRLEARELELQTKTEDSNSEPSNVGAVPSDLLEGFEYLEKQLKLEERDNDFQGQKDDGNQNNDVSKSFKSTMSFSNDGPRWKSVLNSVAKQVSQVPLSLAIKVASLKGTLRLHIKPPPSDQLWYGFTSMPDIDFNLESSVGENKITTGYFSSLVVNRLKRAVLENIVLPNCENICIPWMLAEKEDWVPSNVAPFIWINQEFRNETSTSINTNKQSSGGVEARSKASASTSTNGQTNKQQKPKKSVESSQEPTSKSSDSLALPTSSSGSQTLESSSHLEELTIPLLENEQPQGTRGAKEPTLQNDNQLETSENKMENNSEVVSPQGSMVVVEKQNHTLAHEDGLPKKMGRKERMLGLGKKMGEKLEEKRRHIEEKSRHIVEKMRGP >CAK8572185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518191683:518198540:1 gene:gene-LATHSAT_LOCUS24777 transcript:rna-LATHSAT_LOCUS24777 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLGSILLGFGFGVVAVVAVQALALLWIIKRLRHSHNLHGEQAHKLETESNQLDHQQSLHFASHKQGVVWVLESGKISKEPKRKGLLEVSPIKMYGTIKGESLILRKPDGLQPHSTIELKSCTVQAVSASNLSSKKWAKKFPIKVENKTSVIYNGSKTLYLYLETSWEKEAWCKALYLASSEEKEKVKWFTQVHEDFHSYLTSLNSVYHCFMKPSVGLSVEAIERDIKPDDPSSKVRQFLKKIAKKTSRVGLENKSTWASLSGHEGKKSTENLSASQDAVLGTDSTSKHLKNSLVDNATPLGSENNHSASSERDVEKKFDIDEGTLCWNLLISRLFFDVKGSMQLKRSLQERIQRTLSNMRTPSYIGEIICTDINTGNVPPCIVGMRVLPMEMSEVWALEVDIEYSGGAVLEIETRLEARELELQTKTEDSNSEPSNVGAVPSDLLEGFEYLEKQLKLEERDNDFQGQKDDGNQNNADVSKSFKSTMSFSNDGPRWKSVLNSVAKQVSQVPLSLAIKVASLKGTLRLHIKPPPSDQLWYGFTSMPDIDFNLESSVGENKITTGYFSSLVVNRLKRAVLENIVLPNCENICIPWMLAEKEDWVPSNVAPFIWINQEFRNETSTSINTNKQSSGGVEARSKASASTSTNGQTNKQQKPKKSVESSQEPTSKSSDSLALPTSSSGSQTLESSSHLEELTIPLLENEQPQGTRGAKEPTLQNDNQLETSENKMENNSEVVSPQGSMVVVEKQNHTLAHEDGLPKKMGRKERMLGLGKKMGEKLEEKRRHIEEKSRHIVEKMRGP >CAK8538233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472350526:472354175:1 gene:gene-LATHSAT_LOCUS7455 transcript:rna-LATHSAT_LOCUS7455 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRILLLFLLTSIQIYHAYSNDRKTYIVYMGDHPKGIDPATLPSLHSTMAQNVLRSDFEPGAVLHSYKKSFNGFVVKLTEDEAETLAEMENVVSVFPNTKLYPSTTKSWDFIGLPQNIKRLSLESDIIVGVLDSGIWPKSKSFSDEGFGPPPKKWKGSCHNFTCNNKIIGAQYFNIKDSYTKKDIKDPTDVNGHGTHCASTVAGNVVNPVSLQGYASGTARGGVPSARIAVYKVCWETGCDDAGILAAFDEAIADGVDVISVSIGSNQVVVVPYFQHSIDIGSFHAMKRGIFTSNSANNLGPNLFTMTNFAPWLLSVAASTFGRKFVTNVQLGNGAIYEGSTINTFDLKNKMFPLIFAGDIPNTAGGFNSSVSSFCVKDSVDKDAVKGKIVLCGGIQIPTDVGFFSGAAGVIFGYVSAKDLPNTYALPATFLSLSNLIEIQHYMKSTRNPTATIFKSEEVEDLLSPYVASFSSRGPNPITPNILKPDIAAPGVNVIAAWTPLNPISEFEDDKRRLPYNIISGTSMACPHAAAVAAYVKSFHPNWSPAMIKSAIMTTATQMSSDINAEAEFAYGAGLINPVKAKNPGLVYDISEADYVEFFCGEGYASKQLQSLTQDKSKCKGKDNEKAVYNLNLPSFALNVNGTFLEHVYHRTVTNVGSAKSSYKARVISSPLLEIQVKPDVLSFTSIGQKKSFSLTIEGNINVEVISASLIWDDGNHQVRSPIVVYRNQA >CAK8536698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8066314:8066959:-1 gene:gene-LATHSAT_LOCUS6032 transcript:rna-LATHSAT_LOCUS6032 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYAGNVCPRVQLALEKNKKFVESWSSTWHGDDDMAIFGVTNGIETYCVNVHEGACACRNWDLIGILCSHAITCIWHNKKHPEEFVSEYYMKTTFQNKYFHIIYPTNSPQLWPVDGTLIVNPPVMKRAVGRPKKLRNKSNDEPKNPHVLPRKITTITCTKYRSMRHNKRTCEGKREADGVMAKGGNKK >CAK8567402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512326943:512347481:1 gene:gene-LATHSAT_LOCUS20462 transcript:rna-LATHSAT_LOCUS20462 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSLSHPFTHFFTVQRTTPSHPNAFFFIRPSHSFTRLPRRRFAPVFVAAASIKENLGRVHKTFTDFTSLNHWVVNDYFRLVDSVNAFEPRIQALSDEKLAAKTEEFRLRLARGETLADIQAEAFAVVREAAIRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTAEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMNSAERRFNYRCDITYTNNSELGFDYLRDNLAGNSEQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEAKQDAARYPVAAKVAELLIQGIHYKVELKNNSVELTEEGITLAEMALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEEKRRWSEGIHQAVEAKECLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQTPVIEVPTNLPNIRKDLPIQAFATARGKWEQVRREVEDMFRQGRPVLVGTTSVENSELLAGLLREWDIPHNVLNARPKYAGREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAREIIEDSVVPFLSREDPNSKLASEAISEKMLPKIKVGSSSLALLAKTALMAKYVSKSEGKSWTYQKAISFISESVEMSLSHGLKELEKLANEESEIYPLGPTVALAYLSILKDCEEHCLHEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMISLQDEMFQKFNFDTEWAVTLISKITNDEDLPIEGGAIVKQLLALQINAEKFFFGIRKNLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHILQYMQAVVDEIVFNNIYPMKHPRSWDLSNLLKEFKTIGGKLLHESFGGINDDTLLNSLGLLNEVNSVDVVNVCLPNLPAPPNAFRGICRKSSSLKRWLAICSDDLIENGKYRTTSNLLRKYLGDFLIASYLDVVEESGYDEGHVKDIERAVILKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLRHWTSPSESQELFL >CAK8541588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:274123260:274125508:1 gene:gene-LATHSAT_LOCUS10498 transcript:rna-LATHSAT_LOCUS10498 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVFSSRKIPVFFLSLMIFCMVELALAGTTKHYHFDIRYQNVTRLCHNKSMVTVNGRFPGPRIVAREGDRLLITVVNNVQNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNYTIKGQRGTLFWHAHISWLRSTVYGPLIILPKKNIQYPFAKPHKEVPIIFGEWFNADTEAIIAQALQTGGGPNVSEAYTINGLPGPLYNCSKKDTFKLKVKLGKTYLLRFINAALNNELFFSIANHTLTVVEADAIYVKPFETNTILIAPGQTTNVLLKTKSHYPNAAFLMTARPYATGLGTFDDTTVAGILEYEIPSNTHNAVAPLKKLPLLKPTLPALNDTSFATKFSNKLRSLASAQFPANVPQKVDKHFFFTVGLGTNPCPNNQTCQGPNGTMFAASVNNVSFTLPTTALLQSHFSGQSKGVYAPYFPTSPLHPFNYTGTPPNNTMVSNGTKVVVLPFNTSVQLVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPSKDPKNFNLVDPVERNTVGVPSGGWVAIRFLADNPGAWFMHCHLEVHTSWGLKMAWIVLDGKLPNQKLLPPPADLPKC >CAK8538363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478943402:478946662:-1 gene:gene-LATHSAT_LOCUS7575 transcript:rna-LATHSAT_LOCUS7575 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAAIRSSHYFMSHMQSSLQKPVVIPIKNVGWNFQSRTFFQSLAISDKHNDSHNKRKKTLVSCSKPTEAIDTIKSEASLDNITKESLEKNPLQKATFPNGFEALLLDICDETEIAEVKLKVGEFEMHVKRSIGVADAPISHISPATPPPVSTELIVESTTSTPPPEPSPRKNNPFVNDFHGKSTKLAALEASDTKNYVLVESPMVGSFRRGRTVKGNKQPPICKEGDIIQDGQIIGYLDQCGTSLPVKSNVAGEVLKLFFQDEEAVGYGDPLIAVLPAFDNVN >CAK8577668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582765909:582768916:-1 gene:gene-LATHSAT_LOCUS29754 transcript:rna-LATHSAT_LOCUS29754 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISSSGYKDYVAGLLAGVSAVATGHPFDTVKVKLQKHNAEPHLVQYRNGLHCTARIFKTEGLKGFYRGATSSFAGMALEGSLFFGIYSQTKQYLQGGVQNGAPRPQVILPSAALSGAIISFVLGPTELIKCRMQIQGADSLVPMSSRYSSPFDCIAKTLKTEGVKGIFRGSVTTLLRESVGNATFLTVYEYMRYHMHSHIKPASSNYNNLIEMGIGVVTGGIGGVAFWVVAMPLDVAKTLIQTNPDKNCQRNPFMVLSSIYKRTGLKGCYTGLGPTLSRAFPANAATIVTWELASKLLGVRHD >CAK8544551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692234137:692236092:1 gene:gene-LATHSAT_LOCUS13217 transcript:rna-LATHSAT_LOCUS13217 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDNQFRRIPSDGSKRNLGVTKQASNSGLKFMINTEWINAHNLLVMEPSIRGMVRDVTERKVQELLFSREKINEGGKSGVRSLELRFMNDDKLPKTTFTKTNIVPKGEALQVALYDVRSQSIVNEGPLSLIKIQICPIHGEFESREDEDWTEAEFNKNIVHKKKNKEPLLVGDGVVTLRNGVASISEITFNETSRWARKKRFSLGAKAMENGENIKEGRSQAFRVKDIRGEAYKKHYPPYLNDEVWRLKKISEKGPYRNRLHSYGIKKVKDLLRLLIINKSSLHEIFGKIPNKCWSDIIEHARLCVVDEYMLYSYEMIEQPILLLFNVIYELVGVTFDKQKFYLPNDVTLTPNQKNWVEIVKQDAYKNIGNLRAIDEAVLNSKSLEACIKSTQDLQGFVEPFISTSNVNDGMQNVEMNVDPVADIREMRQNSYDDLDGYFDGAERSTFVDLLNYVSNIEKPKAVWCKIRAVVKWGISVRRVAAARRNEALSIYSAIF >CAK8567053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482532035:482532766:1 gene:gene-LATHSAT_LOCUS20141 transcript:rna-LATHSAT_LOCUS20141 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLGVVRPPPESCDERGKRLKSDQEPKTEPEQESESEPEPEPESETDSETEREIEKEWDRAWGWESIPLEDLTVSDLSSRFEDDPYAFAYSCPKFSYENKAAKKLREDGKKALADYRERSRNISPFDVTDVPSFGIICGTNFPRPVTITDDRRPQFVYLSELALDKYNRDNQVLNYEFQHVIKATMQFIPRTTYYITFQAKAKPIHDDGVQNYPATTFQARVRVLDREPSPVVESCSIKT >CAK8542931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:560694771:560696458:-1 gene:gene-LATHSAT_LOCUS11728 transcript:rna-LATHSAT_LOCUS11728 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRLMISPLWILLLYCSIITKHSIVAVAGDSNKCEFPAIYNFGDSNSDTGGLSAAFGQPGYPYGESFFHHAAGRYCDGRLLVDFIAEKLDLPYLNAFLDAVGSNFSHGANFATAGSTIRPQNTTLHQYGGFSPFSLNVQFYQFNDFRRRTQIFRKKGGIYKTLLPKAKDFSRALYTFDIGQNDLASGYFQNMSIDQVKAYVPDVLEQFKDVVKNIYHNGGRSFWIHNTGPVGCLPYIIELHKVKPDEFDKAGCAIPYNEVAKFFNHELKSAVVQLRKELHSAAITYVDVYSVKYSLISQAKKHGFKEHLRACCGHGGKYNYNNKIGCGGKVKIDGKEILIAKPCKDPSVVVNWDGVHLTQAANKWVFDQIVNGSFSDPPIPLNMACHKHL >CAK8577220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545291136:545291680:1 gene:gene-LATHSAT_LOCUS29347 transcript:rna-LATHSAT_LOCUS29347 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRDLGLEIIHNERSSLYVNLFTDQLAVVRESLMIEEFGPQPPHKWLTLPNMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVHVNMKEGFPLPPVTVDWKKLRSPAATSWMIGFAGRLQHCQQLTPILPTHYEL >CAK8577221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545291238:545291680:1 gene:gene-LATHSAT_LOCUS29347 transcript:rna-LATHSAT_LOCUS29347-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPNMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVHVNMKEGFPLPPVTVDWKKLRSPAATSWMIGFAGRLQHCQQLTPILPTHYEL >CAK8537346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:295414918:295415558:1 gene:gene-LATHSAT_LOCUS6642 transcript:rna-LATHSAT_LOCUS6642 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQPIVSGFSPKSSHTPSIPNENQLFSLKFPSILSSSCLSLHSQNSEVLSGAYFPINIAKKKGRCYSSRRRVWCSSSRGIADPNKGHGVRAQVMERRKKLAVFVSGGGSNFKSIHEASKRGSLHGDVIVLVTNKSKCGGAEYARNSSIPVILFPKANDESNGLCPNDLVDTLRDWRLILFF >CAK8544758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704911192:704911404:-1 gene:gene-LATHSAT_LOCUS13413 transcript:rna-LATHSAT_LOCUS13413 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPHMVEIFYFSYDHFSTGVTILSNASNIDFQELLRRRFDVRNKQVHRHLQQDLIEHICQCYGHGNNNN >CAK8538991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501269779:501280976:-1 gene:gene-LATHSAT_LOCUS8133 transcript:rna-LATHSAT_LOCUS8133 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAFRNAAKKLKPKMGAVTLTTPPEQRQTITRTLFDIVKEHGPITVSNTWERVKEVGLKDLTSKNHMKVVLRWMRERQKLRLVCNHVGAHKQFLYTTWFTKPGTAQAAITTPTTTTARSIPSKKKLART >CAK8538992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501269779:501271348:-1 gene:gene-LATHSAT_LOCUS8133 transcript:rna-LATHSAT_LOCUS8133-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRNAAKKLKPKMGAVTLTTPPEQRQTITRTLFDIVKEHGPITVSNTWERVKEVGLKDLTSKNHMKVVLRWMRERQKLRLVCNHVGAHKQFLYTTWFTKPGTAQAAITTPTTTTARSIPSKKKLART >CAK8535365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:843942504:843946812:-1 gene:gene-LATHSAT_LOCUS4830 transcript:rna-LATHSAT_LOCUS4830 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVHEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDDGGPGPASNGDAAVATRPKSKAKDGGKDGEGGGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPELKARQHMFKVHLGDTPHNLTESDFEYLGSRTGGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKSSEGMWIPCGPKQQGAVQTTMQDLATKGLASKILPPPITRTDFEKVLARQRPTVSKSDLEVHERFTKEFGEEG >CAK8577738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585727478:585728115:1 gene:gene-LATHSAT_LOCUS29817 transcript:rna-LATHSAT_LOCUS29817 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNRNGWLHLIIGTTYSYLLLWGCGHFLRKSFVVMLLSRTINRPGHVWNETWSFLSDGILYAQRQLANNQELQLQEDDLKILTLLEIEGLFQENRRSLVDFKPIPYPNGYVLQQLGNRLIYEERSYDISVMKTEFTSLFNALTDEQ >CAK8577739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585727583:585728115:1 gene:gene-LATHSAT_LOCUS29817 transcript:rna-LATHSAT_LOCUS29817-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRTINRPGHVWNETWSFLSDGILYAQRQLANNQELQLQEDDLKILTLLEIEGLFQENRRSLVDFKPIPYPNGYVLQQLGNRLIYEERSYDISVMKTEFTSLFNALTDEQ >CAK8531276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95358309:95360637:1 gene:gene-LATHSAT_LOCUS1079 transcript:rna-LATHSAT_LOCUS1079 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLPSKNLWIRKQQCPCGDWKCYITYEGVAKEDSAAPESVKPDKTSSCRAIITPYVGMVFKTDDEAFEYYGNFARKNGFSIRKERSRISPQLGVYKRDFVCYRSGFAPVKKKANGEHHRDRKSVRCGCDAKMYLSKEVMDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKMLELEKGIQGGHLPFLERDVRNFVQNRKKVVQENEALLSEKRENDVLELLEVFKAVKEADVEFVYDFTVDKNEKVENIAWSYGDSVNANALFGDAIYFDISYRSVAYGLLFGAWFGIDNCGRTILFGCVFLQDETPQSFSWALQTFLRFMRGRCPQTIISDLDPSLTDAIRSEFQGIKYVIPLWNILNKVHSWFSLPLGSRIVEFESEFNALFQIENTEEFELQWSQMISMFGLGSDKHIDLLYSVRASWAQSYVRGYFLAQMVTTAYSKSIDAFFERIFAEHTCLRSFFEQVGISANFCHQSHGETQYTNLRTYIPIEEHARSILTPYAFNFLQQELSLAMQYSTSEMANGSYAVQHFKRLDGDRFVIWLAEEEQIHCSCKEFESSGILCRHALHVLIIKSYFQLPDKYYLSRWRRECSLLVEDEHNTNQSIIGREWFQEYQSLVETLLSESSVTKERSDYVRKELTKQLNRILNEVRNLPETDDVCNMNVSVSPNS >CAK8570220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29983605:30001514:1 gene:gene-LATHSAT_LOCUS22992 transcript:rna-LATHSAT_LOCUS22992 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVILFSFILLFVLLIFCANRTIKNKESSSSSWIINEAQSFYYHFKANSHLGYEHIWPNIEFGWEIIVGTLIGIFGASFGSVGGVGGGGIFVPMLILIIGFDAKSAAAISKCMVTGAAISSVFYNLKLRHPTLDIPMIDYNLVLLNQPVITLGISIGIVLSIVFADWMITVLLIIVFIVTSVKAFFKGLDTWKKETLLKEEAVKRHFLSATSSEDVEYKYLPSSPDDESQKESTQGTIVSNIQWKEFRFLCFVWIAVLLLQIAKNYTATCSIKYWILTLLQIPVTVGVSMYQAIGLYQGKKITVSKQDHGTHWPLNLLILSLSCSLLAGILGGLLGVGAGFVMGPLFIELGIAPQVASATCTLGMTFTASISVAQYFLLNRFPVPYALYLALVASVAAYIGQKVIDKLVNIFERASLIIFVLSFTILVSAIALGGVGISHMIEKIQRNEYMGFEDLCH >CAK8569133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674967282:674968524:1 gene:gene-LATHSAT_LOCUS22017 transcript:rna-LATHSAT_LOCUS22017 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCFSLFRRRTGSGSSKGCDVEDNLSSKSSVNREYLSAFRTKSYVEICNKAQGHGIESTSTNASTRSPLSTSCSTSSTLLEPREEIVRNVIKSFKVHHLLVEYFEASLEACLCCDKILQGIRETRFAYGMCANAFVEKGDDENVIYKELVSFVINNSLCLSNNVINFRDIHEKQVLLLDRLNAKRLKLRRRTTIKRLCKKVGGIGLIVSQAALLMALLLVAFHSIIGLAAAPYVMGGFFGLMKKRFKGVHGKYGSCEKLYEQIDVAAKGVYIMINDLGTMSRMVKRLEDEVEHWREVADICVKNYGNGNGRCEILKMVVREFYDCQTNFMDQLEELEEHIYLCFLTVNRSRRLLMEKITDKKCSKD >CAK8531202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88819015:88823584:-1 gene:gene-LATHSAT_LOCUS1009 transcript:rna-LATHSAT_LOCUS1009 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVEEVEKVKKEWDEAYTKTQDHIKSIQEYGKSGRSKEDQNNSLARLNGIAQDGLALLSSLHFNLDLHAPQLPTQQEVDSARELLQSWKTLTQNLRMSLRNANLQAKANLRKAAQEERELLLGGGEESTVRRRNLQTKVGMTSAAESITESLRRTRQLMVQEVERNTSTLMTLDESTGVLTKAEGEYKGHRSLLMRTRNLLSTMQRQDIIDRVILGVGFLLFSLAVLYVVSKRIGILALQRKVTGAIKAGMMGHAELRPQAVAEDMNLHQIRVDHVHNIGAPPLEQQIRDEL >CAK8534751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:763480981:763482761:-1 gene:gene-LATHSAT_LOCUS4267 transcript:rna-LATHSAT_LOCUS4267 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHGNWIKLDQRGITQGARSSHAIAVVGQKVYAFGGEFVPRVPVDNKLHVYDLDTLTWSVANASGSTPPPRVGVTMAAVGETIYVFGGRDVEHKELNELYSFDTKTNTWALISSGDIGPPHRSYHSMTADDRHVYVFGGCGVAGRLNDLWAFDVVDGKWVEFPSPGENCKGRGGPGLTVSQGKIWVVYGFAGMEVDDVHCFDLAHKTWAQVETGGLKPTPRSVFSTCLTGKHIIVYGGEIDPSDQGHMGAGQFSGEVYALDTETLSWTRLEDKVESGGHPGPRGWCAFASACRGGQKGLLVYGGNSPSNDRLDDIFYLAIA >CAK8538127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:465193164:465197357:1 gene:gene-LATHSAT_LOCUS7361 transcript:rna-LATHSAT_LOCUS7361 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGASFCFTSVASNPLGSVKYSHPIVKASVLPSPLCPPNVRLNAKGKAKVIPDDGRSETKELPLVVCFGEMLIDFVPTVSGLSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNQGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQEDELDLDLIRKAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVFLSYDPNLRLPLWPSADSAREGILSIWETADIIKISEEEISFLTNGENPYDDAVVQKLFHPNLKLLLVTEGSEGCRYYTKEFSGRVKGMKVDAVDTTGAGDAFVAGILSQLATDLSLLNKEEQLRDSLKFANACGALTVTERGAIPALPTKETVLNALLEPVL >CAK8537408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:336874750:336875514:1 gene:gene-LATHSAT_LOCUS6701 transcript:rna-LATHSAT_LOCUS6701 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVITEAAPPSRLLEEDLNNFTPPSKPLPSPFLLFPHTQQQQQPLKPNLLIIAISSPSLSLFQTILNSQTLTASLILPELPLSHPDNTIDIHSISSNILLATVRTSIPDNRAYAVADVLLNDRIRPDSVVILDSIQTMNHRGLLSSDEAVAFKLESSGERKKAVGEKLLGELEYYPSGSVVDGLGAAILGRCQILNLRASLCVSWPQFDPSVVLLLKDLVRPLVEFDFGFNGNEEALKYGRNRDHVFQSHLYI >CAK8530610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:35504848:35506548:-1 gene:gene-LATHSAT_LOCUS463 transcript:rna-LATHSAT_LOCUS463 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSGFSRPGGFRPENLGQNTMAMIGNVCFSVFVIGVLVFTIMAATYEPEDPLFHPSTKITTFLTSKSNATFKSDNSVVRTGEDFMAANESVFGSIINMTDVDNSVSGESNEAEATQCEGNSGPIDCRDPEVFHLMMRATIEKFKDIHFYKFGKPVAGSNDSTCDMAWRFRPKDGKAAAFYKDYRRFVIERYENCSRSIVSIGEYHTGMNARKRKKYQKGGLEKTSLQPDQVNALPVVGEFVNDSLPVVESESSFSRGKYLVYMGGGDRCKSMNHFLWSFLCALGEAQYLNRTLVMDLSICLSSIYTSSKQDEEGKDFRFYFDFEHLKEAASVLDKDQFWADWSKWQQKDGMNLHLVEDFRVTPMKLMEVKDSLILRKFGSVEPDNYWYRVCEGETESIVQRPWHLIWKSRRLMEIVSAIASRLNWDYDSVHVVRGEKARNRDLWPNLDAHTSPGALLSTLRDKVDEGRNLYIATNEPDTSFFDPLKDKYTTHFLDEYKELWDETSEWYSETTKLNNGVPVEFDGYMRVSIDTEVFLRGKKQLETFNDLTSDCKDGINTCNVAAN >CAK8537429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:353040891:353043577:-1 gene:gene-LATHSAT_LOCUS6721 transcript:rna-LATHSAT_LOCUS6721 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEGLWGLSNYHENRGEIAKSIKCLEAICQSEVSFSPIVEVKTRLRIASILLHHSHNANHAKSHLERAQLLLKSIPRCFELKCRAYSLLSQCYQLLGAIQPQKQVLFKEVVVSPLYVFLTFVKSLLRSDFHVAAQNSWVRKGGAYTGEIIVEMLVNLDIPWVILGHSERRQLLNETNEFVGDKVAYALSLGRKVIACIGETLEQREAGTTLACVSEQTKAIAEKVSNWDNVVLAYEPVWDIGTGKVATPAQAQEVHAALRKWVHDNVGAEVAASLRIIYGGSVNGGNGKELASQADIDGFLVGGASLKPEFVDIINSATVKKN >CAK8536376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936093082:936095026:1 gene:gene-LATHSAT_LOCUS5751 transcript:rna-LATHSAT_LOCUS5751 gene_biotype:protein_coding transcript_biotype:protein_coding MDNREINTTSSSNDNLLVLKRKRNLPGNPDPEAEVISLSPKTLMATNRFVCEICLKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKRVYVCPEKTCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKFYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFVTHRAFCEALSEETARINGSTNSLLAMGGYNNIMQNSLVPNIPTHYPSIFKPISCTDQASRSGLSLWMSQTSHDFHDTMANNNSNLHEIQQLENPPPHSNYHLNWVYGNKLSSNIGSQELTSTVSLPLVNKNNIDKDSASANNVVSVPSLYSSQQQPQSHNQTSSANMSATALLQKASQIGTSSTDSLFLGSLGPLRCNSPIQDLGDKFCGVYGSSSVLTTSHETEGKYSGEMSQMPPTKRRRAPNEEKVWGQTRDFLGVGGANANHLHPFVNQRMDLI >CAK8570000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20163350:20163886:1 gene:gene-LATHSAT_LOCUS22791 transcript:rna-LATHSAT_LOCUS22791 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKLSALLILLSFLSYSIYSHACESCNPKPKPTPPPPAPCPPPPSTTPKASPPLTPSTTHPPPTPSTTPTTSTPPPSTTTPTASPPTPSTSQKCPSDTLKLGVCANLLGLVNVVVGSPASSKCCALIQGLADLDAAICLCTAIKANVLGINLNVPVTLSLLLSACQKSIPSGFQCS >CAK8573865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643066245:643066544:1 gene:gene-LATHSAT_LOCUS26265 transcript:rna-LATHSAT_LOCUS26265 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFRPISLCNVIYKLLSKALVNRLKVMLGKCVTEEQSTFVEGCSIMDSVMIAIEVIHALKRKTKGNKARLGLKVDIIKAYDIVDWGFLRGMLYRMGLC >CAK8574495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:736301:738906:-1 gene:gene-LATHSAT_LOCUS26838 transcript:rna-LATHSAT_LOCUS26838 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVRDSTMVRPSEEVARRTVWNSNVDLVVPNFHTPSVYFYRNNGASNYFDAKVMKEALSRVLVPFYPMAGRLRRDEDGRVEIDCDGQGVLFVEADTGAVIDDFGDFAPTLELRQLIPAVDYSRGIETYPLLVLQVTYFKCGGVSLGVGMQHHVADGASGLHFINTWSDVARGLDVSIPPFIDRTLLRARDPPRPVFDHIEYKPPPSLKISQQPTKPGSDSAAVSIFKLTREQLNTLKAKSKEAGNTVHYSSYEMLAGHVWRSVCRARSLPDDQETKLYIATDGRARLQPPPPPGYFGNVIFTTTPIAIAGDLMSKPTWYAASRIHNALSRMDNEYLRSALDFLELQPDLKALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGSLSVAIALQHEHMKVFKEFLYDI >CAK8540276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548541413:548542759:-1 gene:gene-LATHSAT_LOCUS9296 transcript:rna-LATHSAT_LOCUS9296 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFWTACSTCRVLHQFERRYLGHKLVCPGCNKSFKAVEAVEDDGSGEEEEVDTLRDFMLKSKKRKKNGKMGREKGESFKGDGEKGVSFKGNDEVGVDGRMRKRTRLVGEVLEDSDSKRVVGNEEETMTLAEFQLKVKTKRKGEKEKMKGLEINMGFEVKEKKNEDSVNRKGEKEKMKGLEINKGFEVKEKKNEDYVKRKELRLEKHKDFSGDELEAMVVGDSDFYDFDKDRVGRSFKKGQVWAVYDGDDDGMPRQYALIDETVSANPFLVRISWLDLQNNGDEKIVTREKLGFHIPCGRFKIAKKTTINSVNVFSHVVDCDRAACEVYKIYPKKGSVWALYNEASSDGGEGKRYYDIVVFLTSYSEMNGMSMAFLEKVDGYKTVFKRQESGSHAVRFLGKDEFWLLSHQIPAQKFPCDEAPELLKDCWELDPASLPSDLLTIGGIDN >CAK8533654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646312685:646315765:-1 gene:gene-LATHSAT_LOCUS3263 transcript:rna-LATHSAT_LOCUS3263-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIPASGRGILHYRVTDYKGRSSRRTAWFSDMPVKRYLGKDLSWCKGVSNSKVLQIHKCEFSEPPLCKNRKLFKVISSCKKDGHTKYFDFSVIGSGIAGLRYALEVAKYGTVAVITKAEPHECNTNYAQGGVSSVLCPSDSVESHMKDTIVAGAYLCDEESVRVVCTEGPERVRELIAMGASFDCGEDGNLHLMREGGHSHNRIVHAADMTGKEIERALLKEVTSNPNIFVFEHHFAIDLLTCQNGSDITCLGVDTLNTETLEVIRFLSKATLLASGGAGHIYPKTTNPLVATGDGIAIAHRAQAVISNMEFVQFHPTALADEGLPIQPIKPRDNAFLISEAVRGDGGILYNLAMERFMPLYDERAELAPRDVVARSIDDQLKKRDEKYVLLDISHKPKNQILSHFPNIASTCLQYGLDITRHPIPVVPAAHYMCGGVHAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSVNQMKSSSLDLTASNLWPRPIVPFSLGSNAKDKILSATDEMRKELQSAMFYYVGIVRSTMWLETAERKIGSLEAKWEEYLFRHGWKPTMVFPEICEMRNLFCCAKLVISSALSRHESRGLHYTIDFPNLEESERLPTIIFPSSPLNSTRSCSRLLHKEPMYQ >CAK8533653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:646312685:646316151:-1 gene:gene-LATHSAT_LOCUS3263 transcript:rna-LATHSAT_LOCUS3263 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRTSTNIAMATCIPASGRGILHYRVTDYKGRSSRRTAWFSDMPVKRYLGKDLSWCKGVSNSKVLQIHKCEFSEPPLCKNRKLFKVISSCKKDGHTKYFDFSVIGSGIAGLRYALEVAKYGTVAVITKAEPHECNTNYAQGGVSSVLCPSDSVESHMKDTIVAGAYLCDEESVRVVCTEGPERVRELIAMGASFDCGEDGNLHLMREGGHSHNRIVHAADMTGKEIERALLKEVTSNPNIFVFEHHFAIDLLTCQNGSDITCLGVDTLNTETLEVIRFLSKATLLASGGAGHIYPKTTNPLVATGDGIAIAHRAQAVISNMEFVQFHPTALADEGLPIQPIKPRDNAFLISEAVRGDGGILYNLAMERFMPLYDERAELAPRDVVARSIDDQLKKRDEKYVLLDISHKPKNQILSHFPNIASTCLQYGLDITRHPIPVVPAAHYMCGGVHAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSVNQMKSSSLDLTASNLWPRPIVPFSLGSNAKDKILSATDEMRKELQSAMFYYVGIVRSTMWLETAERKIGSLEAKWEEYLFRHGWKPTMVFPEICEMRNLFCCAKLVISSALSRHESRGLHYTIDFPNLEESERLPTIIFPSSPLNSTRSCSRLLHKEPMYQ >CAK8575680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:247631039:247631323:-1 gene:gene-LATHSAT_LOCUS27922 transcript:rna-LATHSAT_LOCUS27922 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAPSWSDQWGNGGLGSDEYEDDVMVKNNKSNGSGKMADAKAMASVSMGKAKTVAIVSADKAKSAAVVGAQKVKSGTSAGFKWIKNQCQKKK >CAK8536462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:943279861:943281866:1 gene:gene-LATHSAT_LOCUS5829 transcript:rna-LATHSAT_LOCUS5829 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGAGREQFTHLRSYGEELLKSNPNSTVKIKCADSDGGPVFERIYVCLEACKAAFATTCRHLIGLDACFLKGDFGGQLIGVVGKDGNNKIYPIAYAVVEAETKDSWKWFLNLLLEDLQSIQDNKYGFISDQQKGLVSTILETSQHVEHKLCVKHLYDNWRKKYPGIFMKEALSRAARATTIPAWERAMNHMKELNINAWKDMMDVPAACWTQSHFKTDTQCDLQVNNICEAFNRAILEYRDKPVISLLEGIKITVRISAQKEKLSRYTGVTSPCIQKVLEKTKRAAEGWIATWHADDDFAIFEVSNGVETYAVNLLQQKCDCRKWDLSG >CAK8579593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711273759:711275564:1 gene:gene-LATHSAT_LOCUS31527 transcript:rna-LATHSAT_LOCUS31527 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIFNFCIAHFLFFSLLFVHGNELSCNQTPYPHVCNHYIGTTTTNTLSTIDSSSFHDISIKVTMDQAIEAYKLVSTMDLNHFKDNRANSAWEDCLELYEDTIYQLNRSINSNNLNDKLTWQSASITNHQTCLNGFIDFNLPSHLNYFPSILTNFTKLLSNSLSITNTLASSLSSSLSNTKQNGGRRRLLSYKFPHWLSGSDRKLLQTTPSADIVVAQDGSGNYKTISEGVAAAKGSGKGRVVIHVKAGVYKENIDIKKTVKNIMIFGDGMDSTIVSGNHNAEDGSTTFRSATFAVMGDGFIAKDMTFENTAGPQKHQAVALRSGADHSVFYRCSFKGYQDTLYVYANRQFYRDCNIYGTVDFIFGNAVTVLQNCNIFVRKPMSNQQNTVTAQGRTDPNENTGIVIHNCRITAAGDLKAYQNSVKSYLGRPWQKYSRTVVMKSNIDGVINSQGWAPWSGGFALSTLYYGEYMNIGDGANTGGRVNWPGFHVITNPSEAVKFSVGNFLAGESWISGVPFDAGL >CAK8539368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510256245:510278525:1 gene:gene-LATHSAT_LOCUS8471 transcript:rna-LATHSAT_LOCUS8471 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKPRAVEKGVVGPNLSVSSTTIPSAPVFYPTEDEFKDPLEFIYKIRPQAEPFGICRIVPPNNWKPPFALDLDSFTFPTKTQAIHKLQVRPAASDSKTFQLEYSRFLKDHSSKKLKKKIVFEGEDLDLCKLFNAVKRFGGYDKVVDGKKWGEVARFVNSSVKISDCAKHVLCQLYREHLYDYEIYCNRISKGTAVSGCKKSVEEDCKSDPGVQGQASVSKKHHGVVDGLKVKDRKVKEENRDQICEQCKSGLHGDVMLLCDRCDKGWHIYCLSPPLKQIPLGNWYCFNCLSSDRDSFGFVPGKQYSLETFKRIADRSRRRWFGQGPVSRVQIEKKFWEIVEGSVGEVEVMYGNDLDTSLYGSGFPNETNQKPQSIDDKLWQEYSTNPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSQARAFEKVMRRSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSILQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPYGAFGADLYKRYHKTAVLSHEELLCVVAQYGDVDSRGSSYLKIELLKILDREKSWREKLWKNGIVKSSRLAPRKSPQYVGTEEDPACIICQQYLYLSAVVCSCRPSSFVCLEHWEHLCECKTAKLRLLYRHSLGELNDLAFSIDKYISDEKAESRSAKKQSSCLSALTKKVKGSSITFTQLATEWLLQSSTILQNVFVTDASVIALRKAEQFLWAGSEMDSVRDMVKSLTEAQQWAEGIKDCVTKIELRLKHQDSSLKKIHIEYVNELLKFNPVPCNEPHYHKLKEYAKEASLLFQEIETALSMPSNLSELELLYSRACGIPIYVKGTKKLRRKIYSAKAWMCSVRSCISARDPAELDVDVLSKLKSEIVDLQVQLPEIDALQNLLNQAESCSSQCRCMLEGPMNLKNVGLLLKEWDSFTVDVPELRLLRNYHSDAVSWVSHFNDVLGRVHRPGDHHNVVDELKSILEKGFSLKIQVDELPLVEIELKKANCRQKASKAHDSKMPLEFIQQLLKEATMLGIEGETQFVSLSCVLDAAMRWEERAGEILSSEASISDFEDMLRASENIFVNLALLNDVKEALTEANSWLSNSKPYLVSSNCVSNSWRNIGDLQLLVSQSKHLKVNLEERRTLELILNNCKKWECEAHSLLDNAQSLFELDNTVHGISSGLLFKVEDLIARIQFAIASGVSLGFDFSDISKLQASCSTLQWCKKALCFCNHSPSLKDVLEVVEGLSHSAVSGALLKVLVDGVEWLRRALQGISRPCNSRCKLTDIQDILTDYQIIKMTFTDVNCQLEEAIGKHRSWQEQVHQFFSLSSRERTWSSILQLKELGDSVAFSCSELDSILSEVEKVENWKKRCIDSIGALFQNEKSLLHALQKIKQTLDRSLYVYGKLQKQKEPNLCNCCFVDSQDQDFVTCSTCMDRYHLRCIGLTEKDTGLGDFKCSYCEILKGKSQYSNGSSLLRFEKHIELNILVDLMSDAERFCLWIDEKDLLNQLIEKAFACKSGLREIVNHSLAYVDEDVTIISEKLIIAIKASKVAGVYDQGDSCDLEMALENYLWKIQVNTLLSGAVKPTIEEIQKHLKEGMSMEISAKDHYMLKLTNVKCLGLHWVELAKKISNDSGALGLDKVYELVVEGENLPVDVTEELKMLRARCMLYCICRKPFDPGRMIACYQCSEWYHFDCMKLSCTQDIYICPACNPCTGLPTNHDRLTSGKLEEPKTPSPRHNNPRKKRKRDMPSHSCKVYAPRNEDDSNFRLDGTECLRWKIRKSFRRVTRRRVELQSLCPFICIKR >CAK8538049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460289290:460289886:-1 gene:gene-LATHSAT_LOCUS7289 transcript:rna-LATHSAT_LOCUS7289 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFKRKSTEQSSTPTPQTPNEGRSLKLDPPIKKRFLEFGLEKLPNDPDLRPKMSDYYPVIEMKLEDIIWKRIFVNQKKLLFHKENLEISFVSLIQIGIQNMVVGWNIVKVRMLHIEEHKGCGDAFITEGLTNWKKSERFRVHIGDVNSSDNQAWRNCQALMKQKQHTEGVLCKQFIQVKEDYQIYLTGIIVAFDIY >CAK8535612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:869785164:869786510:-1 gene:gene-LATHSAT_LOCUS5055 transcript:rna-LATHSAT_LOCUS5055 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSFFLPLFCFCLSFLIVISHSIRNGFSIELIHRDSFRSPFYNPTQTEFQRIFNEVQRSINRANYINREFAPTKNKLELSLTYDIAGEYLMTYSVGTPPFKVYGSLDTGSDLIWLQCKPCNICYNQTSPIFDPSKSSSYQNIPCSSRTCKYMEITSCSYDRDACEYTLEYDPGSKTQGDLSMETLTLHSTTGSIVSFPKTVIGCGHTNTWSYSYKGKSSGVIGFGKGDMSIIKQLGSSIDGKFSYCLIVNDYYKQSNLSSKLNFGDDAIVSGDKVVSTPMVKMIGNRQKDHYYLTLEAFSVGNKRIKYKGFKREGTNASTHNIIIDSGTTVTILPRHFFNRLKSAVKKLVKLERFQDNSGSYSLCYNTTSKQPNFPVITAHFSGADVKLDSNGAFASILEGVKCFAFRPNNYGLGLFGCKAQMNHLIGYDLKKNIVSFKPTDCTKY >CAK8543102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578692078:578696199:-1 gene:gene-LATHSAT_LOCUS11886 transcript:rna-LATHSAT_LOCUS11886 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPILSDSYTLGFIGAGKMAESIAKGACRSGVLPSSRIVTAHSNPARRAAFESIGITVLSSNDEVVRASNVVVFSVKPQLVKDAVLKLKPLLTKDKLLVSVAAGIKLKDLQEWAGHDRFIRVMPNTPAAVGQAASVMSLGGAATEEDANLISQLFGSIGKIWKADEKFFDAITGLSGSGPAYIYLAIEALADGGVAAGLPRDLALSLASQTVLGAASMATLSGKHPGQLKDDVTSPGGTTIAGVHELEKGCFRGTLMNAVVAAAKRSRELS >CAK8535154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:818506044:818507911:-1 gene:gene-LATHSAT_LOCUS4630 transcript:rna-LATHSAT_LOCUS4630 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLIGVFVLDLIAFGLAVAAEQRRSTAKIVPDRDQDYNYCVYNSDISTGYGVGAFLFLLVSQIVIMVASRCFCCGKPLKPGGSRACAVILFIICWVFFLIAEMCLLAGSVENAYHTKYRTIIVEDPPSCEMVRKGVFAAGAAFIFFTSIISKFYYINYSSARESFQPYLGGGETGVGMGTYK >CAK8534620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:749409293:749409526:1 gene:gene-LATHSAT_LOCUS4151 transcript:rna-LATHSAT_LOCUS4151 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGSSSSLCNVFKACFSSGNNDEYWEGSGSGRRIFASDEDRGCWVAEPGIDRKASDFIARYYASRVTDSQSKFVS >CAK8565450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:217045678:217045971:-1 gene:gene-LATHSAT_LOCUS18667 transcript:rna-LATHSAT_LOCUS18667 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKVSKDEDSPMKTSSSMRSMDSHNFEGLAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8532215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221476570:221477072:1 gene:gene-LATHSAT_LOCUS1946 transcript:rna-LATHSAT_LOCUS1946 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQTEEQGDCSKFKAGIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLSSWAQDPSNAVSSFQVVVGSAGTTNRTVTLPRNFTLRAPGPGYTCGPAKIVKPTQFITSDKRRVTQASMTWNVICTYSQRLQ >CAK8542339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502525027:502526250:-1 gene:gene-LATHSAT_LOCUS11185 transcript:rna-LATHSAT_LOCUS11185 gene_biotype:protein_coding transcript_biotype:protein_coding MVCREGYSLRPTDLLGAYSYSKRVNLGAATSGSARGECVYTTVSYFNTIHFQCHQEAKRADAALKIPKKKWDGAALRNNESLCNSLFPVRGPSVPLTQYIRFVDQHWDNLNALGRADGNRFRLLTYDIVLMLARFATGASFSVDCRGGGQESNSRFLPFMFQMARHLLDQGSPLQRRTMARAVSVYITSSTSDLKPSSLSGTQITLGTEETVQFMMVNSLLSESYESWLQHRRAFLQRGIYHAYMQHTHGRTTARPSSVSPSVQAVGSGSIGQSATTETGQSDELLFIIRPMLVYTGLIEQLQHFFKFKKSAIATSASTGAAVSSVTEGEDESGNLEGWELVMKERLSNVKELLSFPKEMLSWLNDINSASDLQEAFDIVGVLPEVLSGGFTRCEDFVQAAINAGKS >CAK8534295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:713963735:713967193:1 gene:gene-LATHSAT_LOCUS3850 transcript:rna-LATHSAT_LOCUS3850 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQMRRERREGSRFPSQRPPRQLWVPKGTGGSASTTTETTPETTPTTTASSTATTTVAQPTGLHSREKNSNGDGGSSNQGTVIAPPLARHRGNHHGAHRGEREHVAHHVERGHVAHRVERGHVAHRVERGHVAHRVERGSDRGRSGNMVGREYGSRDSSLPQLVQEIQEKLTRGTVECMICYDMVRRSAPVWSCSSCYSIFHLNCIKKWARAPTSVDLSAEKNLGFNWRCPGCQFVQHTSSRDIKYVCFCGKRVDPPSDLYLTPHSCGEPCGKPLEKEVFGTEGRKDELCPHACVLQCHPGPCPPCKAFAPPRLCPCGKKKIATRCSDRQSDLTCGQRCDKLVDCGRHRCENACHVGPCDPCQVLINASCFCSKMEQVIFCGEMAMKGEFKPEGGVFSCGSNCGKELSCGNHICHKPCHPGSCGECEFLPSQVKTCCCGKMKLDVERKSCVDPIPTCSQVCGKRLSCGIHDCPEPCHVGDCPPCKVQISQKCRCGSTSRTVECYKTISENQKFTCEKPCGAKKNCGRHRCSEKCCPVSGPNIDLTNADWDPHFCSMLCGKKLRCGQHVCETLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTMPPLCQLPCSVPQPCGHSGSHSCHFGDCPPCSVPVSKQCVGGHVILRNIPCGSNNIRCNNPCGRTRQCGLHACGRTCHSPPCDVLPGFVEGYRAPCGQTCGAPRSGCRHMCMAQCHPTFSCPDVRCEFPVTITCSCGRITANVPCDVGGSSSNYNADAVYEASIIQKLPMPLQPVDAIGQKVPLGQRKLMCDEECAKLERKRVLADAFDITPSLDALHFGENSSFELLSDTFRRDPKWVLAVEERCKILVLGKTKGTTHGLKVHVFCPMIKDKRDAVRLIAERWKLSVNAAGWEPKRFIVISATQKSKAPARVLGVKGTTTLNAPLPTAFDPLVDMDPRLVVSFPDLPRDADISALVLRFGGECELVWLNDKNALAVFHDPARAATAMRRLDHGTVYQGAVSFVQNVASAASSVTNAWGGIKEGGGLSTLRSNPWKKAVVLDPGWKEESWGEEQWGTGGSANIQPSVLKKEAPIPASTNPWNVLNQESSSSSSFTTVTKRETSGKQTQIGDLSTKVQPSTDGAIGGNKDATEAAEVVDDWEQAFE >CAK8579358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:693908822:693910540:1 gene:gene-LATHSAT_LOCUS31310 transcript:rna-LATHSAT_LOCUS31310 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNNNNQQSFWQFSDQLRVHSSNLANLSLNDSIWGNNYSSSKNERRNFDIKVGGEINNNNNKSDGWKQMNNNNNMVGVVDVGINGGFNKGVYPNSNPSSHGNFNNNNNNLNINFKDFKGVKFGGAKVEDENFHLAKSSKKNTNPNKKHGDNNNSNSDGNKNKDVVKAATDKRFKTLPPTESLPRNETIGGYIFVCNNDTMAENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGTNIDPTAWEDKKCAGESRFPAQVRVITRKTCEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFEEQDTSNSSLKVVQA >CAK8565876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346418841:346420445:1 gene:gene-LATHSAT_LOCUS19053 transcript:rna-LATHSAT_LOCUS19053 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTRRLTTCHRHPSKPITGFCASCLTERLSGIESSSTDPELRRTKSCSGSGRTSAAVEPRRRSCEILAVPELKHGTLSDLFNLDDEKKKKQTSNRNVEISGVEISDFDGGDTLRVLVENDEETKTMKEFIDLEIRSGKNGGRDSRGGFWDAASVFSKRLRKWKRKQKLKRSGGACDGYVTGNGVGLTRMEVEKHRTRNWRETQSEVGEYGLSSLGRRSCDTDPRLSVDDSRFSFDAPRASWDGYLIGKACPKFSPMVAINGDRVLVEEEEEGEEEVVNLESGGGGGEHYPGGSDQTKHYYSDRRRRSFDRSNSRRKSMVIGDVDELRVMSNAKVSPATTELFYGAKVLITEEDLRGANLNPKNNVIVHSDCTTGSSSKEACDVEIGVDQKGPNKFHKWGKLWNKLGLVQRRKEDKLGEEECVSGDVVNKPIAESWQKLRRVVNGQGSESVSEKLIRSYSVSCRNHSRMSGLVNGLAGPETKGNVLNGRQELTLQRNRSVRYSSSNVDTGLLRFYLTPLKSYRRSRSGKSSRI >CAK8571437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:416083112:416083777:-1 gene:gene-LATHSAT_LOCUS24103 transcript:rna-LATHSAT_LOCUS24103 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINRCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYRNPLQPPLQLHIPVGQLFSRR >CAK8531751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147119535:147121769:1 gene:gene-LATHSAT_LOCUS1517 transcript:rna-LATHSAT_LOCUS1517 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISNNSKLLRWRPSLHPIFPSTSLSSRFSTSTSVAEPLSEPDHPLPIHSLPELPPQPKPQSKSQSQSPQTNPELIISRMLANRVWTTRLQNSIRSIAPKFDSNLVWNILHATTNPDQALKFYRWLERSNLFTHDAHTTLKMLQILTRFSKLNHARCILLDLPKKNLAYDEDMFVALIEGYGRAGIVQEAVKIFQKLDQKSVKSYDALFKVILRRGRYMMTKRLYNAMLREGIEPTRHTYNILLWGMFLSLRLNTAIRFYEDMKSKGIEPDVVTYNTLIHGYFRFKKVDEAESLFVEMKEKNIFPNVISYTTMLKGCVDVGKVDRAVEVFEEMKSCGIKPNAVTFTTLLPGLCDADKLVEAANLLGEMVERYIAPKDNSVFMKLMDCQCKAGNLDAAVDVLKAMVRLSIPTEAGHYGVLIESFCKAKVYDRAEKLLDKLIEKDIVLRPENSFEMESSAYNPMIEYLCDNGKTVKAETFFRQLMKKGVLDSVAFNNLFRGHSKEGNPESALEIATIMSRRGVPSDADSYKLLIDSYLRKGEPADAKTAFDHMLEGGHQPDSSLYRSVIESLFEDGRVQTASRVMKTMVEKGVKENMDLVPKILEALLMRGHVEEALGRIDLLMLNGCEPDFDHLISTLCEKEKRIAALRLLDFVLERDIIIDFSIYDKVLDTLLAAGKTLNAYSVLCKILEKRGATDWSSRDELIKSLNQEGNTKQADVLSRMIKEKVASPPKKEGKKKASRVA >CAK8579265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689091272:689092791:1 gene:gene-LATHSAT_LOCUS31224 transcript:rna-LATHSAT_LOCUS31224 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLGPLVWEIVKRNNSFLVKEFGNNTQSVQFSREPNNLYNLNSFKYSGLANKKTVTIQPAGKDQSVLLATTKPRKQNKPSALLHKSVMKKEFRRMAKAVQNQVADNYYKPDLKKVALARLSVVNRSLKVAKSGAKKRNKHA >CAK8562510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:503799675:503800477:1 gene:gene-LATHSAT_LOCUS16002 transcript:rna-LATHSAT_LOCUS16002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCILRPCLQWIETPEAQGHATVFVAKFFGRAGLMSFISNVPEPQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPLPELTGMNAPPVASDEASEAEDAGTDAIWRIRDPNPSFRLPSSRSNNKVSSGMKRRRSEDFVKIPTAINLDLRLTPIFQQKGLENRQPGSPSMTSEESVTTTACLEAGIGEKWTHGGDRKVLNLFI >CAK8562057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:432413123:432413998:1 gene:gene-LATHSAT_LOCUS15590 transcript:rna-LATHSAT_LOCUS15590 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQKEKQILVESLPSLQVPKSKFMSHSLPNSANSSPLLTSKRKPKSEVVESQSQDSTLTLKQQYMLQEFILRKSKSCGEGRASLSPFDEFDHWLIKPNTTEHDNTNHESFFVNEAIKESHVSDNELETVADEGFKCSALCMYLPGFGKAKSVKPKKEGLEMEGTISRTVSLEKFECGSWSSSKLFNNIEREHTSSYFDLPLELINGNSTNDVHSPITSAFVFEKNLKGVLKNGSPKLNGRKSDTSLPHQVRFSMSSSASRYPPSPVSCDTPSLINTKDDFNAFLEANST >CAK8577803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589493097:589494659:-1 gene:gene-LATHSAT_LOCUS29874 transcript:rna-LATHSAT_LOCUS29874 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKGFVLLLYGASQHKLRSMMFYTTLLFPMMLVLSPFAFSLTEEGQALMAMKSSFNNIADFLLDWDDVHNDDFCSWRGVFCDNVTLTLTMVSLNLSSLNLGGEISPAIGDLRNLQSIDLQGNKLTGQIPDEIGNCAELVHLDLSDNQLYGDIPFSLSKLKLLEFLNLKNNQLTGPIPSTLSQIPNLKTIDLARNKFIGEIPRLLYWNEVLQYLGLRGNMLTGILSPDICQLTGLWYFDVRGNNLTSTIPESIGNCTNFEIFDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLRENLLVGPIPPILGNLSFTGKLYLHGNMLTGSIPPELGNMSKLSYLQLNDNQLVGEIPDEFGKLEHLFELNLANNHLNGSIPHNISSCTTLNQFNVHGNQLSGSIPLSFRNLESLTYLNLSANNFKGIIPVELGRIINLDTLDLSSNNFSGHVPASVGSLEHLLTLNLSRNHLDGPLSAEFGNLRSIQIIDMSFNNLSGSIPPEIGQL >CAK8532323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247632595:247638390:-1 gene:gene-LATHSAT_LOCUS2044 transcript:rna-LATHSAT_LOCUS2044 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFEELEGGALTVLNDRKIVPGLPLVHAIDPLVLGEFEKVRCSPNDCTNSILKWFDEQATGSVVYVCLGNKTQTKREQIKDMANGLISCGFKFIWVMKLKVVDKEEEKNLEDVLGNETMKKVNEKGIAINAQGISQHLK >CAK8544090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662424346:662425687:-1 gene:gene-LATHSAT_LOCUS12796 transcript:rna-LATHSAT_LOCUS12796 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKQLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVTVEALEPVNRSI >CAK8572024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502563693:502564931:-1 gene:gene-LATHSAT_LOCUS24633 transcript:rna-LATHSAT_LOCUS24633 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQHNPWSNFMQNSGSPPFIPNQQSNPYFRNTPFISNPHHNPNFQNSPFILNPQNNPHFGNYSYHPPPYPYQYQQFTSQSTNPIMPHGAQIGSSDAQPNDQKDETPQFCTQGSLETVNLGEEVASVRVVSTHKQRFQQKEDEILIQSWLNVSKDSIVGVDQKGDSFWKRIGEAYNKHRDINYKERKPTQLKCRWHKINPSVQKFVGCYKQVVSTQQSGSSESNIMQAAYKIYFQDEGEKFTFEAAWRLLKDEPKWLAGSSEASTKRTKNSTSGAYSSSSNPQMSSEHDPSSPTLSRRPIGQTAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFVRDYARIEGEKVEIERKKVDAKIKKAESAEERLKMNNLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8571892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490594219:490595107:1 gene:gene-LATHSAT_LOCUS24515 transcript:rna-LATHSAT_LOCUS24515 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVFTTDKKTELFEKLMKNNDTLSTPPTKALGLCISLSKIKQQLLLGDMFKSSASDVEVSCAHMFEMYCKNLPLSKDFDL >CAK8532695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:486409893:486410476:-1 gene:gene-LATHSAT_LOCUS2377 transcript:rna-LATHSAT_LOCUS2377 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTDRLLQQYVVDNYVKTESGRLRWIREHQRDIRAEVYQGLQDALHVGETNAENIGKRTILPSSFIGGRRDMTQCYEDGMAIVLHGGKQDVFLTMTCNPFWSEIKSELFPFQTPQDHPNKLTRIFRSKFEQLKDDVINKGVLGKVKSYMCVTEF >CAK8562574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:511003636:511004937:1 gene:gene-LATHSAT_LOCUS16061 transcript:rna-LATHSAT_LOCUS16061 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAEWDKIWNEVVDDCLNDNTEEDVIRLVREAQQQANNTSKHRKRRTVIDRSREEGHHRLFNDYFSENPVYTEAQFRRRFRMRRHVFLRIVEALGNHDEYFQRRIDAVGRMGLSPLQKCTTALRILAYGSPADSVDDYVRIGESTALECLDRFVIGVCTIFGAQYMRRPNNEDIARLLQINTARGFPGMLGSIDCMHWEWKNCPVAWKGQFSRGDHGKPTIMLEAVASQDLWIWHAYFGTAGSNNDINVLNTSDVFNDVLNGKAPALQYSVNRTTYHMGYYLADGIYPEGATFVKTIPMPQGEKRKLFAQRQESARKDVERAFGVLQARFAIVRGPTRAWHVNTMKHIMLACIILHNMIVEDERDTYAGNFDYDHVDNNFSTTEVSTGPIPNLTTMFERIAHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8534130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697709144:697710311:1 gene:gene-LATHSAT_LOCUS3698 transcript:rna-LATHSAT_LOCUS3698 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERSKLLHNFSLPPMKWGTQRVLKCVNPDPSPLNRNSPQSDPNRKNHETVVRERIMGDLTVAATKLKVSIFEENADAHASLVVGASNASAIRPWNLRTRRAACKAPPSPSQIPPPLPRDEGRRFFDVGSSSPSSPVMMMKKNKMVMVNEKVKFSVSLSKEEVEQDFWVLAGTRPPRRPKKRPRIVQRQLNTLLPGMWLSEVTAESYKVSEVPE >CAK8575209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52663411:52664856:-1 gene:gene-LATHSAT_LOCUS27493 transcript:rna-LATHSAT_LOCUS27493 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFERGYIKKGPWSSEEDEVLLKHVNKYGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQEQFGNKWAKIATYLEGRTDNDVKNFWSSRRKRMERSSPRTPSPPQNQQKSNGKDVANNQVKVEKVPECGSNQLEENVTYPTCMGNKEVFKMVNLPDLTKPNYQNMESDINGIEFEATPLHTVPSFESSSAYNFPLLPEPHMDFPLFPECQDLVQEPFDPNFMDMFEQKKCSDCVWSQKLGIEENSQSTSSNCLFKDISNEIFEYFD >CAK8564222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659183765:659186452:1 gene:gene-LATHSAT_LOCUS17545 transcript:rna-LATHSAT_LOCUS17545 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSPSTILIALSLDSNDSKDLLSWTIRVLAKPNDTIVAVHVLVGENMKKSVSVRRRQSLLRQAKAYVISVLGEFAQTCWSNQINLEAKVVLSSTIGGGLVKEAESISADFLLLRGTRNRSNKIGTSKGIAKYCFDHVHGSCTMVSVGTRNTMTEMNAQNNSPRTVLDRLEGQSNSTEDDTFSTRASSVTYTPSSDSKIKRKSKLRKSQFSFRFIISFLGSPFRIKTFNNVSKNEIHHQPLLKCFSYENICNATNHFHQDNLVGRGGYSEVYKGDLCDGETIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLLGYCFENGLYLIFSYSQNGNLSTALHGKAGNSLDWPKRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPVEGTFGYLAPEVFMHGIVDEKTDIFAFGVLLLEIVTGRRPVDSSKQNILLWAKPLMESGDIAELADPRMEGKYDTEELHRVILTASYCVRQTSTWRPATTEVLEMLTSGQDYEIGKSRRIPKFTSDELDDYSMVFGYDVPSEISLEDYL >CAK8543155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583884447:583885185:-1 gene:gene-LATHSAT_LOCUS11934 transcript:rna-LATHSAT_LOCUS11934 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKQNSYADIIPPMLLTSSFSSLEYLKLDDLNLNDKGEILYLVRVLESAPSLIELVIKQSHKDVDTTEMFYCSKELECPSCCLKLQTVDVHFRANSQYTMSLIQFILANSPSLKTLTFYCSYNNLDAVMLLKISKDLLWMERASPKAQVNFRHV >CAK8576299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:449208941:449209299:1 gene:gene-LATHSAT_LOCUS28499 transcript:rna-LATHSAT_LOCUS28499 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKINFLAKISLTIQPRGGRWDGESGDDVNMCFGRNENEIGVTVRSRVLFDVNGEGFGNGFTICWFRACEEPR >CAK8536811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22973554:22975422:-1 gene:gene-LATHSAT_LOCUS6143 transcript:rna-LATHSAT_LOCUS6143 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYHLFLSTILVVTVPSLVHANVKEQESYIDNILPFINDTYWRGKASNAEKANNIAYTPDPYTVSENMTSSVSEMIIEGNTGRRNLVGKKLGRGRPCLATNPIDRCWRCDPNWEKNRKKLADCVQGFGRKTTGGKAGPIYVVTDPSDNDMQNPRPGTLRYGVTRNGPLWIIFSHSMVIRLNQELIMTSDKTIDGRGFNVIIAKGAGFTIQFIRNVIIHGIKIFDIQVGSGGLIRDSENHFGLRTRSDGDGISIFGSSNVWIDHVSMRNCKDGLIDVIMGSTAITISNCHFTDHNEAMLFGASNDYDGDKKMQITLAFNHFGKRLIQRMPRCRYGFIHVLNNDYTHWEMYAIGGSQNPTIISEGNRFIAPNNPNAKQITKRDYAPESEWKNWQWRSINDVYMNGAFFIQGGPELTNRPFTEKDMIKSKPGTYVGRLTRYSGSLNCRKGIPC >CAK8539862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529583693:529584199:1 gene:gene-LATHSAT_LOCUS8922 transcript:rna-LATHSAT_LOCUS8922 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKILLFSPLSTSKLHTNHSNFSSRNPKSHHARLKLKITSMAKEGSDTNIGITEKAAIAGGLISSPVIGWSLYTLKTTGCGLPPGPGGSIGALEGISYLVVVGIVGWSLYTKSKTGSGLPNGPFGLLGAVEGLSYLALVAIVVVFGLQYFEQGYIPGPLPADQCFG >CAK8579274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689482125:689482679:-1 gene:gene-LATHSAT_LOCUS31231 transcript:rna-LATHSAT_LOCUS31231 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLPFLFVFVMLFALTSATPNKRKPYKPCKNLVLYFHDILYTGNNAANATSAIVAAPEGASLTKLAPQSHFGNIIVFDDPITLNHSLYSKQVGRAQGFYIYDTKNTYTSWLSFTFVLNTTHHQGTITFAGADPIVAKTRDISVTGGTGDFFMHRGIAAVTTDAFEGEAYFRLGVDINFFECW >CAK8539995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534464937:534467572:-1 gene:gene-LATHSAT_LOCUS9038 transcript:rna-LATHSAT_LOCUS9038 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAPLSISVGGSHLKSSELFLAKRNSSLVGPNLAVQRKSNIASRKNLTSPLRAEYRDNRGGGGGELLTGFILGGAIFGTLAYVFGPQIRRAVRNENEHGFQRARRPIYYEEEGLRSIHYDEGLERTKETLNEKIGQLNSAIDNIYSRLRGKNKKVPAAAPKESDSEIEAI >CAK8569177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:679495384:679512625:-1 gene:gene-LATHSAT_LOCUS22061 transcript:rna-LATHSAT_LOCUS22061 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRLGFLTFLSLLQVCLILSLTEAEVLSTRLLLASNDITPREKHSEDYCSMYDICGKRSDGKVVNCPFGSPAVKPDDLLSSKIQSLCPTITGNVCCTEAQFETLRTQVQQVIPFLVGCPACLRNFLNLFCELTCSPDQSLFINVTSVDKVGGNLTVGGIDYFVSDAFGDGLYDSCKDVKFGTTNSKAIQFVGGGARNVKEWFAFIGKKAAPNGLGSPYAITFPPNAIKSSGMEAMNVSAYSCGDISLGCSCGDCPSSSVCSSSSSATTQKKGSCSLKVGTLTVKCVDLALTVLYIILICVFLGWGLYHRVRERKPVYRMKSVSNVTNGGTQYSHNQEKEENLPMHQIIEDVSQNRNEVRLSAVQGYMSKFYRKYGLYVARNPNVVLASSLAIVILLCLGLIKLKVETRPEKLWVGPGSKAAEEKQFFDSHLAPFYRIEQLILGTIPDDVNSTSPRIVSEDNIKFLFEVQKKVDAIRANHSGLMVSLQDICMKPLDTDCATQSILQYFKMDPDNFDNYGGVEHLSYCFEHYSSADQCMSAFKGPLDPSTVLGGFSGNDYSGASAFIITYPVNNEIDKEGNETAKAIAWEKTFIQLVKNELLPMAQSKNLTLAFSSESSIEEELKRESTADVITILVSYLVMFAYISLTLGDTPQLSSFYISSKVLLGLSGVILVMLSVLGSVGIFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQLDLPLERRISNALVEVGPSITLASLSEVLAFAAGSFISMPACRVFSMFAALAVLLDFLLQVTAFVALIVLDSLRAEDKRVDCFPCIKVHSLHADPDKGIGQRKPGLLARYMKEVHAPILSIWVVKMVVIAIFVAFSLASIALSTRIEPGLEQEIVLPRDSYLQGYFNNISEYLRIGPPLYFVVKNYNYSSESTHTNQLCSISQCDSDSLLNEVAKAALVPGTSYIAKPAASWLDDFLVWVSPEAFGCCRKFTNETYCPPDDQPPCCAPEEGGSCVSAGVCNDCTTCFRHSDLHNDRPSTTQFREKLPWFLSALPSADCAKGGHGAYTSSVELKGYDSGIIQASSFRTYHTPLNKQVDYVNSMRAAREFSSRVSDSLKIEIFPYSVFYMFFEQYLNIWKTALVNLAIAIGAVFIVCLVITCSLWSSAIILLVLTMIVIDLMGVMAILNIQLNAISVVNLVMSVGIAVEFCVHMTHSFTVASGDKDQRVKEALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLSLVLLGFLHGLVFLPVVLSMFGPPSRCIITDHVADRSSTSS >CAK8574445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682040141:682040749:1 gene:gene-LATHSAT_LOCUS26790 transcript:rna-LATHSAT_LOCUS26790 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAALSFIVGLLGVYLTMPDSDYSFLKRRCSLHDIQLLRDNLESYTSDYIAQVLVFCIHSCECY >CAK8536614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2550641:2550952:1 gene:gene-LATHSAT_LOCUS5967 transcript:rna-LATHSAT_LOCUS5967 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSSPCRTLIIFLFLLFFFSLANSRLHSPKHPFSTSSRNTHNQHHHLLDCDSFSKRNTHSLCTKLQRLDEQVSPSNDYEIDPRFGVEKRLVPTGPNPLHN >CAK8568354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598299622:598307913:-1 gene:gene-LATHSAT_LOCUS21317 transcript:rna-LATHSAT_LOCUS21317 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIVKLLEDDEDETMHSGADVEAFQAALNRDIGGDASNSQLSDSDAGSNNSFSQSLPTWPTSSHDNQTDCQNQEPKIPQEQPSSEMELKQQGPVVEQMQNVTSQDASNPSLSHKQSQDECLQRQTIPVSHQHAQTNEVQKSEKDPVFNHEVIKTNNPNCESQYAKLQQMSNQQATVNEQPSSQINRSKQVPFGLLLPILIPQLAKDRAMQLQTLFNKLKRDEIPKDHFVRLMKGIVGDQMLRIALTKVQQQTKANAGSSGQQHPVRMPTVTSSGTKFNDPHALAQLHQRSMNATADHSHNTSSAIQVKSEPTYSTMDISAKKSQEHDVRVVQPNQLPSSGSNAVSQETERSSVHIQGLNKQQQQHIHFPSTYGSSGGNYNHFSGATTGSSSLRPQPHPHDSHTRQIPHQNIGLNHLGVERQSSFTDPKRMPGGSVSTGVNNTAPQQTSTSWQPSAEQNSGLFSSVSYVKKEPNDLSIEQQHRHHLSKLHGLPSVNSGQTEQGSGVNQGTVKDEFSRGSLALTSLPHTTSASLLASSSVSQLDPTGSLSSQIPASTSGMVSKTPLKRPLGQKKPLETLGSSPPPPSKKQKVSGSSLEQSIEQLNDVTAVSGVDLREEEEQLFSGSKEDSRVSEASRRVVQEEEESLILLKAPLQRKLIEIMTECGLKGIGNDVEKCLSLCVEERMRGVISNIIRMSKQRVDIEKTRHRTVVTSDVRQQIMAMNRKAREEWEKKQAEVDKLRKLNDVDGGSGVDGDKDDGRNKATKVNKEVDDKMRTNAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDTASGSQPTKDVSRKSSPSSGRSTKDNQERERKGPNSLGNSASGRKFGKNHSPGSQTRIARSISVKDVIAVLEREPQMSKSSLLYRLHERTHSDTSTE >CAK8533761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:657931089:657932759:1 gene:gene-LATHSAT_LOCUS3361 transcript:rna-LATHSAT_LOCUS3361 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIVENLWNGDSEAQIQAAMKLSRLCSKQKHNLAESGVMVPLVSMLHSENFEAIEASLYALLNLSFGSERNKIRIVRYGVLPILLNLLHCESQTVIQLSLAAMLTLSSCKRNKIAIASSGAVQILVEFINSSNTQTQSQLDAIATLHNLTTCQEIIPLIVSSGVILSLLELIHGSVKSSSSVEKAIGLLEKIVSSSESALCQTANTGGAIRILVETIEDGSSLGKEHAVGILLLICQSSREKYRVLILTDGVMPGLLQLSAAGTCRAKSMARELLLLLRDCSSCSSRHRQINHELIEQIMDEIDAEGEKLGHTTLRLVEEMIAKLNT >CAK8537324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:265176425:265177093:-1 gene:gene-LATHSAT_LOCUS6621 transcript:rna-LATHSAT_LOCUS6621 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASFVGNIGFKVSFHLLPGVSSIPRNIWKFRIACLKSRDFSSSSVTASYILITSCRVKIPRLEYCSFADGSTIKINDGKIGHTAVAHCETSQNSDKVLTGLLLHDIEESSEQLEEVRELTVPQIEDSREQDFVRLDNSINDVEQSAAKLLAFGALTAVELRKILLSKRFSPNAVEAVINKLQRQGFINDKLYAGSFSQYRMVFIYLGSETDQTSPVQEGS >CAK8564186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655291418:655299042:-1 gene:gene-LATHSAT_LOCUS17513 transcript:rna-LATHSAT_LOCUS17513 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEECSIVLQLSEKDPFFDEKKKLLQRKGFSPKERIVLWRTSEPPSVNDTVKILLQIARIIQLNELELYFAEDDVSVEFYSPRNELEALNSIVLVTDKSLSSCTHSHTNVLQGLRQTILDLISDIGDPKNMKVVLEKHHICKKEEHLIEWGKSHGVKTQLKIAYVEGAGRGMIGGEDLKVGDIAIEIPVPLIISEELVLETDMYQLLKEVDGVSSETTLLLWSMKEKYNCDSKFKFYFDTLPEKFNTGLSFGIEAITMLDGTLLFEEIMQAREHLHAQYDELVPALCNGFPDIFPPELYTWEKFLWACELWYSNSMKIMYSDGKLRTCLIPLAGFLNHSLHPHIMHYGKVDPSTNSLKFCLSRPCRSGEQCCLSYGNFSSSHFITFYGFLPQGDNPYDVIPLDIDSSDVDSIEHKPGSNWTTHMVRGTWLSNNHSIFHYGLPSPLLDHLRKSRSSVLQTKTFLQGNLENELEVLGDLKDIFDDMVDNMGDIDIDDRENYNWGEKMAVHFKNLQRRIAHSVSVSCSSGVYMLKNELQKCMAEDIRG >CAK8568636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625698242:625699567:-1 gene:gene-LATHSAT_LOCUS21576 transcript:rna-LATHSAT_LOCUS21576 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKSVKSFLDFSYTTGRPQGREISCPCAHCANCKWERRHVVRDHLIAVGFVKGYDVWVNHGEDIPLPMKIKEDTKEQENSLDDIGGLLYDTFRNVVEAEESSEAHKEDARKFYKLINEAKQELYPECESFSTLSFIIRLYLLKCLHGWSNASFTSLLELLKEAIPELNIPESFNKTKTMISDLGLDYKKIHACPNDCMLYWKEHESGNSCNICKASRWKEFPQVESESSEHAKYDHKVPAKVLRHFPLIPRFQRLFMCSKTTKEMRWHEEEHSKDGKLRHPTDGQAWRDFDRLHHDFASEPRNIRLGLSSDDFNPFRTMSLSHSTWPVMMVVYNYPPWLSMKSEYTMLSLLIPGPQSPGNDIDVYLQPLIEELKELWELGVDTYDASKNQTFKIRAALLWTISDYPGYAMLSGWSTKGKFACAFLQS >CAK8562457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:495952752:495955283:-1 gene:gene-LATHSAT_LOCUS15952 transcript:rna-LATHSAT_LOCUS15952 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHSMLFLTFLVQFSSLQFPSLGLYNLQDTYFINCGSDTDVTESNNDYIGESNPSYPKTIFTKSSIETNQSALLSPLYQSARIFNSESSYEFTTVPNNTYTVRLHFLSISSPTDLSTAKFNVSVPGFSLLQNFDAKNTTNSPLIKEYFVKIIRKRFKITFTPQTSSFAFVNAIELFMLPIYFIPDSIARFNYISSYGQALSTYTGGLLSRALETKHRLNVGGSVVDREHDNLSRNWLPDDSYITNPQNAKNSSFSGDIKRTANDESDGPNSNKYIAPDVVYQTARESKNGSIGLNISWSVPLQENTDHFVRLHFCDLLNPQTGLTAFFLFIYDTYVININDDSRLSSELHDPYYYDFVVRSDGTGLLKITVRPNVTDYVPNAFLNGLELMKVIESSGLIPLDDLDSNSKFSLPVVVGSAVGGLVLVSFVVVVFLWIIKIRKQRPVENSSWLPVRAAAGWSSHSRLTDGTTVQGSPLPNINLGLKISLLDLQLATENFNADKIIGKGGFGIVYKGVLRNGKSVAVKRSEPGSAQGLPEFQAEIMVLSKIRHRHLVSLIGYCDERFEMILVYEYMEKGTLRDSLYNTNLSSFLTWKQRLEICIGAARGLHYLHKGATGGIIHRDVKSTNILLDENLVAKVADFGLSRTGPLDQHSYVSTGVKGTFGYLDPEYFRSQQLTEKSDVYSFGVVLLEVLCARPAIEPALPREQVNLAEWGVFCKDKGILEDIIDPSIKGQIDQNSLRKFSETVEKCLQDDGSDRPSMGDVLWDLEYALQLQRGAIHREPHEDSSSSASVSIQLPNVRRFPSLSTLSEIDDMSIGRVTDESVNAEDLVFSQLKTDDAR >CAK8561939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415355995:415357233:-1 gene:gene-LATHSAT_LOCUS15484 transcript:rna-LATHSAT_LOCUS15484 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEEHTPLLSNAPPLSSKFKTFANIFISIVGAGVLGLPYSFKKTGWIMGLLTLFFVAFLTYYCMMLLIQTRRRLESTAMFAKINSFGDLGYATSGPFGRICIDMMIVLTQAGFCVSYLIFISTTLVYLSNNSTSSTTWSSSLGFPPKVLFIWVCFPFQLGLNAIPSLTHMAPLSIFADVVDIGAMGVVMIKDVFIFLENRPPLKTFGGLSVFLYGLGVSVYAFEGIGMVFPLESESKEKDKFGGVLGIGMIIISFLFGAFGVLGYIAFGEETQAIITTNLGQGMVSVLVQLGLCVNLFFTFPVMMNPVYEVVERRLCDSKYCLWLRWLLVLMVTLVAFLVPNFADFLSLVGSSFCVFLSFVFPALFHFLVFKDELGWRCLVWDGAIVLFGIVIAVTGTWSCLMNIFYPTA >CAK8531216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:90847289:90850594:1 gene:gene-LATHSAT_LOCUS1022 transcript:rna-LATHSAT_LOCUS1022 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHNAEEKKLDELRKQIQEERERSEFRQLQEKAGLVPHQERLEFLYDSGLSVGKTSEGFKALETVPKSDATDAPSSSASKDAGVPGALFEDKPQSANDAWRKLHSDPLLMIRQREQEALAKIKNNPVKMAMIRKSVEGKEHKKKDHSKKEKKKHRSKSKHKTQSDSEDDIAERRKRRASDEDFDKKHHKAQSDSENESSEGERRRRVHYEDKKYREGSPSHHRSQSEVKDYKDADNRRKNHYEDRKYREGSPSHHRSQRKVNDYKEDADDRRKNHYEDRKYREGSPSHHRSLRKVNDYKEDADDSRKNHYEDGKYRERSPSHHQSQSKVKDYKEDADDRNDNKSKSGRYAPEGQANFDVSKRENGSFREPSSTRSSATSLERQSRYKRRNVAPKLSEEERAAKLRQMELAAELHEGERWKRIKKAEEIDAKEAIQNTSSVGKNFLDNAQKSIYGAAEGGSSSIAESVRRRTHYSQGKSGGEGNAFRR >CAK8535734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878418503:878419800:1 gene:gene-LATHSAT_LOCUS5162 transcript:rna-LATHSAT_LOCUS5162-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKRINYRLSSFLGNPGLCISCSPSSGMVCNESSYVKPCDDNNEPTNNKGLSKVAIVMIAIGSSTFVVLLLMGLVYIFACGRKSEQQVHITDNGGPSSLLNKVMEATSNLSDRYIIGRGAHGVVYKALVSQDKAFAVKKLAFAASKGKNVSMIREIQTLGQIRHRNLVKLENFWLRRDHGLILYSYMPNGSLYDILHEKKPAPSLEWNVRYKIAIGIAHGLTYLHYHCDPPIVHRDIKPNNILLDSDMEPHIADFGIAKLLDQSSTSNPSLSVPGTIGYIAPENAYTTVSSRECDVYSYGVVLLELITRKKVVDPSFTEGTDIVSWVRLLWSETGDINQIVDSSLANECLDTSIMENVAKVLMLALRCAETDPHKRPKMTDVTKQLSDSNPQRRSKKG >CAK8535733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878416451:878419800:1 gene:gene-LATHSAT_LOCUS5162 transcript:rna-LATHSAT_LOCUS5162 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSSLLFLYSFFHFYTLSSALNSDGLALLSFKSHWTSLPPLINSTWIPSHSTPCSWAGLKCNSFHRVISLNLSYLDISGQLGSEIANCTQLQHLDLSYNYFNGQIPNSFTNLHNLKYLSLSVNLLTAPFPHFLSQIPHLKLLDLSYNQLYGSISTTIANMTHLQELYLKFNNFSGAIPSSIGNCTQLQDLYLNDNQLEGVLPYSLNNLNHLVGFDVANNKLTGTIPLGFSGCRNLLFLDISFNDFGSGIPYSIGNCTALSQFAAVKSNMVGTIPSSIGLLTKLSVLRLSENHLSGRIPSEIGNCKSLNELHLYSNRLDGKIPSELGKLSELKDLELFSNQLSGEIPLDVWKIRRLEYLLVYNNSLSGELPVEMTELKNLKNISLFNNMFSGVIPQTLGINSSLVQLDFINNRFTGNLPPNLCFGRKLSVLNMGINRFRGRIPLDVGRCTTLRRVILKQNEFTGPLPEFERNTNLLFLEISSNRINGSIPSSLGNCTNLTDLMLSMNKLSGNIPPELGSLVNLRTLELAHNNLEGPLPFQLSKCTRMDKFDVGFNFLNGSLPSSLQKWTRLNTLVLNENNFSGGIPTYLSAFKDLSELQLGGNMFGGKIPTAVGTLQNLIYGLNLSSNGLIGDIPVEIGMLKTLLKMDLSQNNLTGSIHVLDELPSLDEINLSNNSFQGPIPKMLMKRINYRLSSFLGNPGLCISCSPSSGMVCNESSYVKPCDDNNEPTNNKGLSKVAIVMIAIGSSTFVVLLLMGLVYIFACGRKSEQQVHITDNGGPSSLLNKVMEATSNLSDRYIIGRGAHGVVYKALVSQDKAFAVKKLAFAASKGKNVSMIREIQTLGQIRHRNLVKLENFWLRRDHGLILYSYMPNGSLYDILHEKKPAPSLEWNVRYKIAIGIAHGLTYLHYHCDPPIVHRDIKPNNILLDSDMEPHIADFGIAKLLDQSSTSNPSLSVPGTIGYIAPENAYTTVSSRECDVYSYGVVLLELITRKKVVDPSFTEGTDIVSWVRLLWSETGDINQIVDSSLANECLDTSIMENVAKVLMLALRCAETDPHKRPKMTDVTKQLSDSNPQRRSKKG >CAK8561768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:378956498:378956996:1 gene:gene-LATHSAT_LOCUS15328 transcript:rna-LATHSAT_LOCUS15328 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDHLSPSHVDVSRPSLGFPLGTALLLIIIFSLSGILSCCYHWDKLRSLRQSFSDSNPQIDSTAKPKPYSTELKQSKGESYSVMMPGDDVPRFIAMPCPCEPSRPENIVVTVVKPPPPIKPPRLAIPLYL >CAK8574317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674821867:674823348:1 gene:gene-LATHSAT_LOCUS26672 transcript:rna-LATHSAT_LOCUS26672 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVQDLFPNLPDEILGCIVSFLPNEYSLQTSLISTRWRNLWNQILVKHGTVENITAVVAQFLTNFDEFDPLKQPRKLQFHFDDNNTLFAAIATNSKLLLDFSFPNKKVEREYGLEFKLKNLVPPSTFLVKSLTLKSISYLTSEVASSIVSNLVHLETLMITDCSGLKSLFIESETKLHKLTILDCLQLKSLHLRTSKLKSFRYRGPLPRICPESHFNLSHANLDFRQGLSCSDLKAQDFDETLLTIKNSEILTLCQWTFEVLIWPSISPLNGSFIFYKLKELCWIQNHENKNSINALVSFLKLCPALERLFVMIDSTSYSAPRSNSGLMQEIKYIELEQLNLIKLMGFTNPIDEISMAKKLIRLIKGKPPKIETSGGSYNVYALIL >CAK8570361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:39514736:39517754:-1 gene:gene-LATHSAT_LOCUS23114 transcript:rna-LATHSAT_LOCUS23114 gene_biotype:protein_coding transcript_biotype:protein_coding MITFKDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAVFAVPLLSFHFISSNNPYQMNFRFIAADTLQKIIMLFALSIWTKFTKNGNLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGTLMVQIVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDASVGDDGKLHVTVRKSNASRRSFMMTTPRPSNLTGAEIYSLSSTPRGSNFNHAEFYSMMGYQPRHSNFGTNDLYSVQSSRGPTPRPSNFEENGASSPRFGFYPAQTAPASYPAPNPEFSSTVKTVKSQNLMQQPQQQQVSLQTKGSQDAKELHMFVWSSSASPVSESAGLNAFRNSEQSEEGAKEIRMVVADEHNQNGEINNKGELGEEEDFKFIGVKGEEQVVEGPNGPNKLSSNATPEIHPKATGVADSGVGKLMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVAFRWGVHMPKIVEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVASFAMAIRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >CAK8572335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:530075868:530076427:-1 gene:gene-LATHSAT_LOCUS24911 transcript:rna-LATHSAT_LOCUS24911 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQTSLSLLVLCFLIMGTMLVSGQSANNVRATYNNYNPQNIGWSYNTAGVYCATWDANHPLSWRQRYGWTAFCGPAGPHGRNSCGKCLRVKNTATGAQTTVRIVDQCDNGGLDLDVNVFNKLDTNGQGYHQGHLTVTYVFVNC >CAK8570953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:190540397:190544605:-1 gene:gene-LATHSAT_LOCUS23659 transcript:rna-LATHSAT_LOCUS23659 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTAFESIQFNEEIQGIMAPASETTSSFTALLELPPTQVVELLHSPEITGKPPRHVISPKPYPLTSDTDNLIFPSNTSLVERAARFSVFAGENLANSPLPEVKDELPEIEEGGCVSDRTVENKNSKHAKRKEPEKKVKASSKKSKSVADENSGNGEELPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDKIINHVQSLQHEVEILSMKLAAVNPIIDFNLDSMLATEGVSLMDSNFPTAVAPVVWPEIPHNGNRQQFQQPGQSEAFHQLLWGREENTLNFMTPENSLLSYDSSANSVSLHSNQMKMEL >CAK8570954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:190540397:190544605:-1 gene:gene-LATHSAT_LOCUS23659 transcript:rna-LATHSAT_LOCUS23659-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTAFESIQFNEEIQGIMAPASETTSSFTALLELPPTQVVELLHSPEITGKPPRHVISPKPYPLTSDTDNLIFPSNTSLVERAARFSVFAGENLANSPLPEVKDELPEIEEGGCVSDRTVENKNSKHAKRKEPEKKVKASSKKSKSVADENSGNGEELPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDKIINHVQSLQHEVEILSMKLAAVNPIIDFNLDSMLATEGVSLMDSNFPTAVAPVVWPEIPHNGNRQQFQQPGQSEAFHQLLWGREENTLNFMTPENSLLSYDSSANSVNNLHTVSLHSNQMKMEL >CAK8562179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451239114:451246295:1 gene:gene-LATHSAT_LOCUS15698 transcript:rna-LATHSAT_LOCUS15698 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSTTTATTTTSFLSPTQRYAAAALFGLSLHESQVNQTRILPLPASDDSISNTNRISSSSSSSIDSVSDDPDLWVHHHSGLLQPVFKFLDIDSSAWYGLEETAGSSSATHHVGPYMRLLSQEFDEGSAESSQRLDQELALSKAVDAIVLELEKNLQTSNSKRERLNEYQHQCREKFSAPDVQSNSEKADVNFETQNETDVTPLINFEDIDQGSSNSKIDERPIEEARMLSDQRKVAVLYELLSACLSNLGVDDKECKRRRKGYDARHRVALRLLATWLEVKWTKMEAIETMVACSAMAIIKEQESNQEENQEKRSKWAKLKRGGIIGAAAITGGTLLAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAAGAAGTVAGSVAVAASFGAAGAGLTGSKMARRVGGVDEFEFISIGENHNQGRLGVEILISGFVFEKEDFIRPWEGLNDNLERYSLQWESKKLIAVSTAIQDWLTSRLAMQLMKQGAMMTVLSTLVTALAWPAVLLAATDFIDSKWTLAINRSNKAGKLLAEVLLKGLQGNRPVTLVGYSLGARVIFKCLQCLAKTENGAELVERVVLLGAPVSIKDENWEAARKMVAGRFVNAYSRNDWMLGVAFRASLLTKGLAGIEPVDIPGIQNVDVTDHIEGHSSYLWATQQILDQLQLDTCFPVYNGVSCIQFGAEPEIWTEGGQDKKV >CAK8537665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:418579386:418580351:1 gene:gene-LATHSAT_LOCUS6931 transcript:rna-LATHSAT_LOCUS6931 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSLINSVLANLPIHYLAFFKAPKKIVNDIIAIQRRFLWAGNSSKKFIPWISWNSICKPKEHGGLGIKHVGHFNCALIAKWMWRFQSGGNEIWRKTLNLRYGNLSMKVQTFADVGSSKFDSLWMKDILSSSIYDFHVDFCKFTACTIGEGHGTTFWLSNWIGDLPLKSRFQRLFQDCSRKVSTVNEMGTWEDVQWVWKIRETLIDAGSNIKPEWTDCSNLLDQVAANNNVADNWKWLLHDLLSHKVSSFYTALTSFYSVHNIGSDSATLLEILWKTVLPVKVQTFIWRMALDRLPTKSNLMKRRVIDYSQNLDCAFCS >CAK8571081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:283897463:283900965:-1 gene:gene-LATHSAT_LOCUS23774 transcript:rna-LATHSAT_LOCUS23774 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVATETSSAKMHGGYNKRYKHYSHTLGCSMNFHIYFPPSDSTDSHHKFPVLYWLSGLTCTDENFIFKSGAQRAASQHGVALVVPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSDNFPQLDTSKASIFGHSMGGHGALTIYLKNLDKYKVSRKDEPKNFSYQYSRKQYLENPHLGYVL >CAK8575803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:329109818:329114566:1 gene:gene-LATHSAT_LOCUS28038 transcript:rna-LATHSAT_LOCUS28038 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVGDLAIQMIMENLKPEDIATISCVSNRFRSFTSHDSLWFKICSTELSLTQPIDHLGNPLPSFKEAYQTWREAFVMYPWPLVKRVKRCWDNIKTWLADNFPEAKDTLCQGASEADIQELEDVLHVKLPLPTRILYRFHNGQEIEKLDPDANTFGTSLGIIGGYSFYDHLVNVYLLPISQVIQETQQITQNLGFLRRSKYVLVAASSTYSEKLFFLNCTNGQLYVGTRNLLTNRDMIPCVPHDLISLHHELDSEKIQDAMLLWLEEHGRRLQRGFIKLIEEENVRSINLFPEESPLCSTAVTNGVQVRASALVIPELVDLQDDREKYLFSYSIRLSLQSQGCVINGMTYRSCQLYWRHWIIHANDVVVSDMDGEAVIGVYPLLRPGDKEFVYQSCASLPTSSGSIEGSFTFVPGRLVDPRGDPFLATVARFPLRLPDYIF >CAK8570742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106168829:106173876:-1 gene:gene-LATHSAT_LOCUS23472 transcript:rna-LATHSAT_LOCUS23472 gene_biotype:protein_coding transcript_biotype:protein_coding MIISHSFAPLPPSCITITCSNSFSHNNHFIKSSIPPFLLLRNLTTPTPPFLLLRNLTTPTLLCSATSPRRENSNNIGFDIGITEEDQVHESDNYGGFSPQEMELKDVIDTQEGHKYDGDSRRYSSGGGPYKGEAEKDFDRNPEYAEILGDFVDDPEKAQSRMEERLRKNRNKILQTKTGSGVPMKVSFNKFDYSNSYIWFEFYNVPLAKDISLICDTIRAWHIVGRLGGCNAMNMQLSQSQMEKRPSYDYVQGANVTPTTFYNIGDLEVQDNLARIWVDIGTDDPLILDVLINALTQISSDFVGIKQIVFGGDEFENWKEDLTSEESGYGVHKI >CAK8530234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8851371:8854973:-1 gene:gene-LATHSAT_LOCUS117 transcript:rna-LATHSAT_LOCUS117 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASSFLFLPLLLIFISSILFTSFASIHIYNHEPFQDVGNAFLLSGGSEGIAASLTADAHPDSIHDGRSYIRFENITFRRRKYEAKARGTVPVHIIIFEAADRDDIGGSVYGGQRAICCTRDLAKMGGCKQGEVIRRPSATDINWPVIVDVYFKGKSRIASLDSKEVPITKTGMYNLFFVACDPKLKEIVMTGKTIWKNPDGFLPGRMAPLKKFYVFMALAYIFLGMIWLLQYVRFWDDILQLQHCISAVIGLGLFEMILWYSEYANFNNTGVRPIMVTTWVVTIGAIRKTIARLLILSVSMGYGVVRPTLGGLTSKVLLLGITYLLASELLDITEYVGTINDVSGRARLILVLPDAFLDAFLILWIFTSLSKTLEQLQAKRSSVKLDTYRKFSNALLVTVISSVVWIGYEVYFKATDPFNERWQSAWIITAFWDALAFALLCVICYLWAPSQSSQRYAYSEEVGEDSDDEEAMSLTKGKQEGQGELSLVRQEKNARTDASSDEDNESDEDKRE >CAK8539927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531387165:531388117:1 gene:gene-LATHSAT_LOCUS8979 transcript:rna-LATHSAT_LOCUS8979 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTVLKVDIDCLKCKKKLIKAVSSLRGIDEIEADEEKGKLTIIGNADPYDIMVRIRKAGKSAHILSIGDLPVPDPPKPNSPKKSEEDTKLEEKPEEKPEEKPEEKPEEIKKSEEKPEETKKSEEKPEEKSEETKKPEEKAEEESKSEENNKPEPASSDPVNPNQIYNVSPYMIMPPPYYYPQYYPVPPHYYYPQHYQAQPQPTPPQYSYPQYHEAQPVAVLHMARWDEPDTSCNIL >CAK8562811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:539034197:539034470:1 gene:gene-LATHSAT_LOCUS16276 transcript:rna-LATHSAT_LOCUS16276 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKRIGAATALEVRATGTQYAYAPCVAVSRDPRWGRCYESYSEDPKVVQAMIDIISGLQGDIPDN >CAK8530701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42401153:42402661:-1 gene:gene-LATHSAT_LOCUS546 transcript:rna-LATHSAT_LOCUS546 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYNSTSFRFFIIFTACLSVYFAIQKKKTNEDEVILNISSSFEILTNKYSSILGPKLDKLPNEDGVIKLFQLWKREHGRVYNDIDEMTKKFATFVTNLKYITETNAKRDSPHSSFLGLTNFADWSSKEFQETYLHDIDMSKIKDTVNIVNDGVADLPCRNPPSSLDWRSKGAVTNVKDQGTCNSCWAFTAVGAIEGIVAIVTGKLENFSEQELVDCDAESRGCEVGWVYDAFNWTIGNKGIALGRDYVYKAEKEDCKASQIQNSPISAINAYNQVERSENGMLCAVAKQPVGVCLYAIPDDFQHYRTGEIYEGTNCPVDSLDTNHCMLIVGYGSKDGEDYWVVKNSYGTSWGMNGYMYIKRNTGKMYGVCAINAWAYNPIKNN >CAK8571686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462006450:462007110:-1 gene:gene-LATHSAT_LOCUS24325 transcript:rna-LATHSAT_LOCUS24325 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMASAACGFVLSLNVSANNTTSSLSSRTNMLMFPIKSNNIACSRLVVRASDDTAEAAPATTDSPGGDTTTKPKPPPVGPKRGAKVKILRPESYWYKGTGSVVTVDQDPNTRYPVVVRFNKVNYANVSTNNYALDEVEEVVEVE >CAK8566841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466770509:466771309:-1 gene:gene-LATHSAT_LOCUS19945 transcript:rna-LATHSAT_LOCUS19945 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKRLIVKLSYPPGSRKRDSDSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSQTKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFARDIRILNTNGSH >CAK8541506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:208751974:208752423:-1 gene:gene-LATHSAT_LOCUS10423 transcript:rna-LATHSAT_LOCUS10423 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSLNIRGGGNYSKRRRIICIINSGKAYIFLIQESKLKEVDRKVIGNLWNNQEVIWYFNKSHGQFKGIITMWNENSIQCVFTFSGEGFLGVKVVWKNEFYYVINVYSGCSINSKRKLWVELCLCKRKWLNGKWIIGGDFNAVSNSYE >CAK8568103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573887709:573888275:1 gene:gene-LATHSAT_LOCUS21098 transcript:rna-LATHSAT_LOCUS21098 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPDRRGLTPNFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLSSNVYAETTNTHASSSRSHMKFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSADSKLSMCEIIGRKFKLECS >CAK8562800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538405416:538405865:-1 gene:gene-LATHSAT_LOCUS16266 transcript:rna-LATHSAT_LOCUS16266 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKRVSRGLRTKILRIADFRETHDVGKYLEVTLNGKGLRRKDYQYLMEQLCLKLSNWKTSNLSFTCQLTITKSVMQAVPIYPMMTYILPKGCLNEIQHIQSNFLWGDTMEKMKYHDVSWDMVTNHKDEGGLGLRKLEQMNKVCFSKLA >CAK8536576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955999979:956000517:-1 gene:gene-LATHSAT_LOCUS5932 transcript:rna-LATHSAT_LOCUS5932 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGRKGGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRSENASTTPKSPSKARKSPKKA >CAK8567850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550978142:550981278:-1 gene:gene-LATHSAT_LOCUS20867 transcript:rna-LATHSAT_LOCUS20867 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRWQNFVSMKNRIIPSLEHFSAFHSTPCSCHKWKSNPDIRGQQPSKNQIKFVTRQKRADAKKALKNLLYNSGSSRFAFEDKETKQKLDGNSNDRSNSHSRKGQPKSGQRFGGKPQKKTKQKIRRESFCEDVDDHGHPEQIFQATFGNRCYTWSYSNMRGSSSEHSTHGFEWRENTNRTNTNKWKSASNDEYDGNDKDDADSCFVGSSSDRTILGLPPTGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCVNAYKTLCNALSPA >CAK8534414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:724541270:724547307:-1 gene:gene-LATHSAT_LOCUS3962 transcript:rna-LATHSAT_LOCUS3962 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADPTVALRIPVRNPTRSLFTSTSTCCFDSRVTRSRPSFSAGPTLSLNSIKMQLLRRTGYFSSPRLKVDAEIGGEEIFNADATDKRAPNHLIIMVNGITGSASDWRYAAEQFVKRLPDKVIVHRSECNSSRLTFDGVDTMGERLAEEVLLVARRWPEVQKISFVAHSLGGLVARYAIGRLYDNSSKLERVGTTRNCFNEENTEYSKQCLKQSYEAKIAGLEPMNFITFATPHLGSRGNKQLPLLCGLPFLERRASQTAHLVAGRSGKHLFLMDSDGGKPPLLLRMVDDSDDLKFMSALHAFKRRVAYANANYDQMVGWRTSSIRRQHELPKSNLIVNDEKYPHIVYVEGDNTNGIYNTESSNVGGQTADLEEKMIRGLTKVSWERVDVSFQTSKQRYVAHSTIQVKTYWLNSDGADVVYHMIDNFHL >CAK8573486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615697605:615697808:1 gene:gene-LATHSAT_LOCUS25931 transcript:rna-LATHSAT_LOCUS25931 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKEENTSNVKKINSSCDVEALKKCLEENKGDYVKCQSQIEAFKLSCSVKKPNSSLESSPPLKTGV >CAK8575217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55597959:55599052:-1 gene:gene-LATHSAT_LOCUS27501 transcript:rna-LATHSAT_LOCUS27501 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVINSRTAKRKKEQDDDDNVSLSHNKKPRVVWTPHLHAKFLDAVQLLGLHDCSLFAAVPRKIVALMNVEGITKQHVASHLQKYRLSQKKAIDRVPSKMIVEPTQSQTYFNIHPQSYNFPVNQTSPLLLPNIKHVVHQSHTEIYSNMSGVGVAPSTPIQSQNINIHPPPSTYPIYHHTSTLFPSLHLDFQPLLSL >CAK8531588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:127836940:127842027:1 gene:gene-LATHSAT_LOCUS1369 transcript:rna-LATHSAT_LOCUS1369 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPISLSSSATSYTAAISGPRHCSLFHTSISTATSFNLRFCGLRREAFTFTSLRHRSNHNHPIRRTHFNAISAALSDNDTSPKSFDYDLLIIGAGVGGHGSALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELRNDHHLKSLGLQVSNAGYDRQAVADHANNLASKIRGNLTSSMKALGVDILSGFGTILGPQKVKVGSSNNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLETVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDRLMPGFDPEISKLAQRVLINPRNIDYHTGVFASKITPARDGKPVMIELIDAKTKEQKDTLEVDAALIATGRAPFTQGLGLENIDVATQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFDISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVTA >CAK8539181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506249459:506250809:-1 gene:gene-LATHSAT_LOCUS8301 transcript:rna-LATHSAT_LOCUS8301 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEILKKRSEEARSKRKLILNQRRPSKIRSFTEIENLRHHANDETSRHSMTTPVSYYSKEQETSFINRNTSATMFGRSLKSSRQIIGENHHVREPEFHSTTHLEEVEGRGVCSLTAKKMSFPSVPSDGFLTNPIPNLPTIHSPMLIPSIGINSPDVIIRRAAMYQDYMQQIPIPCNRGSVIPFASWMGLGTSIKKLYGQPLHYLTNTLLKQWDQMRIGSDDEYMRLDDIIHPCKAEATVWLMEQVHRQVSSHIHIANLWKKDPTHNGYVDSIFSTLQHTS >CAK8532819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:521118786:521127511:1 gene:gene-LATHSAT_LOCUS2484 transcript:rna-LATHSAT_LOCUS2484 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMRCKEDIDISTDITPRLTPLSFSLHNTNLHTHCSSCFSLITTPIIPNPIPPLYCSPHCTTSHSSIHLSSAEHHISPSTTSSLLRTALRLLLLPHRTPHSTRLNHLLTNRHLLTSHNDELSETIRINALAMATAIAKQRSGNGLIESYDDAVLEEATDALCAVLTNAVEVHDSEGRALGIAVFEHAFSWINHSCSPNACYRFSFDSSSLLSQEESKLRIAPFTHNSQQPKIDCGIFGSSGEFAQEKGQMICGPRLIVRSIKRIKKGAEVTVAYTDLLQPKALRQSELWSKYRFSCCCKRCSFLPFAYVDHALQEISVFCRDSSGLHSDYKLFRDMADRRLTDSIEDVISEYLSVGNSVSCCEKLEKILIQGLDEQLETNERKSHSKFTLHPLHHLSLNSYTTLTSAYKVRASDLLSDDSEIDLNQTEAFDMSRTSAAYFLLLAGGVHHLFNSESSLIASVANFWLGAGESLLKLTTSSGWSRFVNFDAKFECSKCSLLKRFRACMLNGQIKSEDFENVSNEFIRCVSDITHEVWSFLAHGCDFLKSCKDPINFSWLMSTKNSVDVAAHDIENDTHESVNSIGDRNEQAYNDQTVAYIFQLGGHCLTYGGLLACICYGPNSQLVSHVQNILDHENYSLYSHNV >CAK8532820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:521118786:521127511:1 gene:gene-LATHSAT_LOCUS2484 transcript:rna-LATHSAT_LOCUS2484-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMRCKEDIDISTDITPRLTPLSFSLHNTNLHTHCSSCFSLITTPIIPNPIPPLYCSPHCTTSHSSIHLSSAEHHISPSTTSSLLRTALRLLLLPHRTPHSTRLNHLLTNRHLLTSHNDELSETIRINALAMATAIAKQRSGNGLIESYDDAVLEEATDALCAVLTNAVEVHDSEGRALGIAVFEHAFSWINHSCSPNACYRFSFDSSSLLSQEESKLRIAPFTHNSQQPKIDCGIFGSSEKGQMICGPRLIVRSIKRIKKGAEVTVAYTDLLQPKALRQSELWSKYRFSCCCKRCSFLPFAYVDHALQEISVFCRDSSGLHSDYKLFRDMADRRLTDSIEDVISEYLSVGNSVSCCEKLEKILIQGLDEQLETNERKSHSKFTLHPLHHLSLNSYTTLTSAYKVRASDLLSDDSEIDLNQTEAFDMSRTSAAYFLLLAGGVHHLFNSESSLIASVANFWLGAGESLLKLTTSSGWSRFVNFDAKFECSKCSLLKRFRACMLNGQIKSEDFENVSNEFIRCVSDITHEVWSFLAHGCDFLKSCKDPINFSWLMSTKNSVDVAAHDIENDTHESVNSIGDRNEQAYNDQTVAYIFQLGGHCLTYGGLLACICYGPNSQLVSHVQNILDHENYSLYSHNV >CAK8536673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6770715:6771452:-1 gene:gene-LATHSAT_LOCUS6011 transcript:rna-LATHSAT_LOCUS6011 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNIFVILSLFILLVSIQNIVQVYGEDPTYGFTSVPLTEANFAVQKPYNIPIDQRYSFIDGVHRFWVYAHDKPYSPGSPTQPRTEIRIKGLDYHSGVWQFEGYGYVPKGTSGATIAQIHGAAHGATTLILRIYSGDMRYYDTDLVAKNLYDKWFRLNIIHDVDGGIVTVFIDGEKKFQTKDQGPGDLYFKCGVYAAPVDISNYMESRWRDIKIYKK >CAK8536425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:940630358:940630669:-1 gene:gene-LATHSAT_LOCUS5794 transcript:rna-LATHSAT_LOCUS5794 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >CAK8579174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681829580:681832594:-1 gene:gene-LATHSAT_LOCUS31140 transcript:rna-LATHSAT_LOCUS31140 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASAIAPPPPKPSCWSSIVKKEAPPPQQPPPLLETQHVLEDARNRHGISVAIVDANAVIAGGDKLHGIADKFVSVPEVLEEIRDPVSRHKLSFLPFTIETMEPTPESINKVVKFARVTGDLQTLSDVDIKLMALTYTLEAQIHGTKHLRDSPPPVQTLNVKRLPEKDLPGWGSNVPNLEEWEALEREEDNTNSNSRILPLQDLSLNIVPQDDLSVDGSVEHTSETTLEILEGSEHDGSTRRKNYLPKKKAINIEGKTVADGVDASQGQIDDNEGDWMPAVSRSTHRRFLRRKARREYYDALSSNQDQQDMEENTNGNVCEDDKASNRDVHQGDDEKHIESVVSKDDMIFAENNDGEALSATLKQMTLEEGSLEVNEEHKPSLSPEELQSNNDILLESASDVSALHSETASQTSEAADVSYTGDDISEQSWMLRSLSESSVACITGDFAMQNVLLQMGLRLLAPGGSQIHQLHRWILKCHACFTVTAEIGRIFCPKCGNGGTLRKVAVTVNENGIMLAARRPRVTLRGTKFSLPLPQGGRNAVTKNVILREDQLPQRVLHPKTRKKANKDDEFFGPDDVFSHHNSKGSPFLPPVRKALAVYSGKRNPNDNHYSSKRK >CAK8564071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:649296794:649297233:-1 gene:gene-LATHSAT_LOCUS17411 transcript:rna-LATHSAT_LOCUS17411 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKQLKESSLMMSQYVKNTKKILDSTEEAIEHSLASTGRVNVRANAIYSESSKTSCLTWFVMFVMMLVFVMVILLIRVT >CAK8534358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720182387:720184176:1 gene:gene-LATHSAT_LOCUS3908 transcript:rna-LATHSAT_LOCUS3908 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATDYRRPVRRRVPDALWWALCLAVVLLFIYILTKGTQIESRPALSKRTYKNDRILEGLNITDVFLSSDSVTRQLNDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQILLSDAATRRSPLTTRESESAIRDMALLLYQAQQLHYDSATMIMRFKAKIQTLEEQMNSVSEKSSKYGQIAAEEVPKSLYCLGVQLTTEWFRNLNLQKKLKDKRHTEMKIKDINRYHFCVFSDNIIATSVVVNSTAKNSKNPNMIVFHLVTDEINYAAMKAWFTMNEFRGVTVEVQKYEDFTWLNASYVPVLKQLQDSEIQSYYFSGNSVDGKTPIKFRNPKYLSMLNHLRFYIPEVFPALKKIVFLDDDVVVQKDLSDLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIKAHFDPDACGWAFGMNVFDLVQWRKKNVTGIYHYWQENNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIDRGAVLHFNGNSKPWLKIGIEKYKPLWEKHINYSHPLLQQCNFH >CAK8533035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:568368949:568374190:-1 gene:gene-LATHSAT_LOCUS2687 transcript:rna-LATHSAT_LOCUS2687 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGFNSQEGGGSGSTSNHGGQQGSSCSWRFLTRRKKVNSHVEDNNNSNSHGVQLAKELTIPHLMAIGVGATIGAGVYVLVGTLAREHAGPALPLSFMVAGLAAALSALCYAELASRFPSAGSAYHYAYICLGEGVAWLIGWSLVLEYAIGGAAVARGVTPNLAALIGGVDNLPAFLSYQHIPWIDIVVDPLASIMVFIVTWVLCTGIKESTMVQSIVTSVNICALMFVIVVGGYLGFRSGWVGYKLPTGYFPFGIDGMVAGSATAFFAYIGFDAVASTAEEVKNPQRDLPLGIAGSLSLCCGLYMMVSIVVVGLVPYYDINPNTPISSAFADNGLQWAAYIINVGAITALCSSLLGGMLPQPRILMAMGRDGLLPPFFSDINKHSYVPVKSTVVTGLVAAALAFFMDVSQLAGMVSVGTLLAFTISAISVLVVRYIPPIEISLPPSLQEPIDAESMECVWSHLEIFEKDAKKKRLVVQEDVSVDYPLIPKNHAIYTFVHNGNRKKIVGYVIAFICLGVFILTFAASSTYFLSSVRFTLCGVGGTFVLSGLVFLTCIDQDDARHMFGNSEGFTCPLVPLLPIISILINSYLLICLGGATWLRVSVWLALGVIIYVFYGRNHSSLKLDDANYTPSTFLA >CAK8543620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:627110180:627113472:1 gene:gene-LATHSAT_LOCUS12368 transcript:rna-LATHSAT_LOCUS12368 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRLTSFLKLSPKPKISSAVKDADEKQGKYFARKAVSFVLITITGGVALSALDDLSIYHGCSSKAMEDASKNQAIIDAIGEPIIKGSWYNASLAVAHKRQSVSCSFPVSGPLGSGVLQLKAVRNGDDSWSSFFLPRDWEILMMDALLHVPGNEEKHQTLRINLSPKLSPSIACTDCVACPSDRSEAKLSSN >CAK8534001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681462492:681463478:-1 gene:gene-LATHSAT_LOCUS3577 transcript:rna-LATHSAT_LOCUS3577 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQGLFSTLKDDLMKGLLPTSDGSSISTLLRRRKKDHVPPLDLLFAERCHSRPRVEAMSPLKEGPEETECSFSISSSSDLRLLLGVLAEPLAPLRVSTTEPFPHLAIKDIPIETSSAQYILQQYIAASGGLKLQDSIYNAYAMGNVRMIAFEFETANKLVRTRNPSKAAQSGGFVLWQMKPDMWYVELSLGGSKVHAGCNGKLVWRHTPWLGPHSAKGPPRPLRRALQGLDPRTTASMFINSRCVGEKKINGEDCFILKICSDPSTLKSRSQGSAEIIRHVLLGHFSQKTGPLIHLEDSHLTRIQNNPKAIKNVAVKSHFDHAYHM >CAK8537643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:414748059:414755723:1 gene:gene-LATHSAT_LOCUS6910 transcript:rna-LATHSAT_LOCUS6910 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTETNAKNPDSTQCVRVAVNIRPLITAELLHGCTNCITVPPGEPQVQIGTHAFTYDFVYGSTGSPSAAIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYSGEDSAGGIIPQVMRTIFNRVQDFKDESSEFLIRVSFIEIFKEEVYDLLDPNASKGEMAGNAKFAGPARVPIQIRETYSGGITLAGVTEPEVKTKEEMSSYLSRGSMSRATGSTNMNSQSSRSHAIFTITMEQKNGDDVLCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLTLGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNVEETLNTLKYANRARNIQNKAIINRDPMGAQQQRLQSRIDQLEAELLCFKGLGGGPMEEIQILKHKVSLLEASNAELQRELHARGQICESLKQRACDAQLEKDQLMMKIEAARNGKSWDEIDSSSNQDCDQMKSYVSKIHDLEEELLRLKSLNVKSSNFADWVDSDDLEFQPKNGLFAGGNEYSSDCDVKPVGITDDVEQVDVREKELEHSSAQEKLDRELKELDKKLEQKEAEMKLVNNASVLRQHYEKKLLELEHEKKYLQREIEELKSTSGDSTHKLKEEYLQKLNALESQVSELKKKQDAQAHLLRQKQKGDEAAKKLQDEIQRIKSQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRKNEYERHKLLALNQRTKMVLQRKTEEASLATKRLKELLESRKASSRETGISGPGIQALMKAIEHELEVTVRVHEVRSEYQRQMEVRAEMAAESARLKEEAEKMKLNNTSSDVSMSPAARSSRIFALDNMLATSSTTLVSMASQLSEAEERERVFSGKGRWNQVRSLADAKNLMNYLFNLASSSRCSLREIEFICRDKDMEISYLKEKIVSLRGSIEQLKMQRDGLDHQLNLMRSESMRNSGYYVGGHPYDLRKLENRRSTILFEDMEISDSESDEYDVVDATDDEWVESAKLNGRKRKSKTGELSSLEKNHSITSSDDVKDISTEAPEALPVKTASDVCCSCSKSSSCKTSKCMCRATGIGCGSSCSCRESKCANRGGSSSNELQQVTENDSGSDEANKNRLLATQGAELLQGALIEGPAETKTDNAPRKALSDIGNSLAKSNATKGNPRKKWRRNSSVVLVTEPPPPSSSQPGDSDVPKKEKANISEANVYVDIPQKMHPPKFDNASMPPKPEVNDTKIPLRIPRAMQKQGSFSTILPLGDRNASKQDESIKKEPEVDATNPIRQKRTLAKENNEL >CAK8537644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:414748059:414755723:1 gene:gene-LATHSAT_LOCUS6910 transcript:rna-LATHSAT_LOCUS6910-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTETNAKNPDSTQCVRVAVNIRPLITAELLHGCTNCITVPPGEPQVQIGTHAFTYDFVYGSTGSPSAAIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYSGEDSAGGIIPQVMRTIFNRVQDFKDESSEFLIRVSFIEIFKEEVYDLLDPNASKGEMAGNAKFAGPARVPIQIRETYSGGITLAGVTEPEVKTKEEMSSYLSRGSMSRATGSTNMNSQSSRSHAIFTITMEQKNGDDVLCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLTLGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNVEETLNTLKYANRARNIQNKAIINRDPMGAQQQRLQSRIDQLEAELLCFKGLGGGPMEEIQILKHKVSLLEASNAELQRELHARGQICESLKQRACDAQLEKDQLMMKIEAARNGKSWDEIDSSSNQDCDQMKSYVSKIHDLEEELLRLKSLNVKSSNFADWVDSDDLEFQPKNGLFAGGNEYSSDCDVKPVGITDDVEQVDVREKELEHSSAQEKLDRELKELDKKLEQKEAEMKLVNNASVLRQHYEKKLLELEHEKKYLQREIEELKSTSGDSTHKLKEEYLQKLNALESQVSELKKKQDAQAHLLRQKQKGDEAAKKLQDEIQRIKSQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRKNEYERHKLLALNQRTKMVLQRKTEEASLATKRLKELLESRKASSRETGISGPGIQALMKAIEHELEVTVRVHEVRSEYQRQMEVRAEMAAESARLKEEAEKMKLNNTSDVSMSPAARSSRIFALDNMLATSSTTLVSMASQLSEAEERERVFSGKGRWNQVRSLADAKNLMNYLFNLASSSRCSLREIEFICRDKDMEISYLKEKIVSLRGSIEQLKMQRDGLDHQLNLMRSESMRNSGYYVGGHPYDLRKLENRRSTILFEDMEISDSESDEYDVVDATDDEWVESAKLNGRKRKSKTGELSSLEKNHSITSSDDVKDISTEAPEALPVKTASDVCCSCSKSSSCKTSKCMCRATGIGCGSSCSCRESKCANRGGSSSNELQQVTENDSGSDEANKNRLLATQGAELLQGALIEGPAETKTDNAPRKALSDIGNSLAKSNATKGNPRKKWRRNSSVVLVTEPPPPSSSQPGDSDVPKKEKANISEANVYVDIPQKMHPPKFDNASMPPKPEVNDTKIPLRIPRAMQKQGSFSTILPLGDRNASKQDESIKKEPEVDATNPIRQKRTLAKENNEL >CAK8576256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:437676005:437676703:-1 gene:gene-LATHSAT_LOCUS28461 transcript:rna-LATHSAT_LOCUS28461 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHPFCLNSLNIPWCIFGDFNSILGAHEHRSFSSPSNASILDFRAWVNDSSLIEFPPKSPTLTWHNGRSGSASIERRLDRAFGNSYWFSACSNFQAISLSRLKFDHHPILIEACLDIIKLPSHFRFLEMWTFHDNRKDHISSIWAIKVFGCPMYILQTKLQILKKSLKTWNIDIFGNFKRVISSCLFSLELIQQEISLHGPKSDLKAIKRKFKQNSKKCSIMKKSCGRKK >CAK8531553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:124638138:124639742:-1 gene:gene-LATHSAT_LOCUS1337 transcript:rna-LATHSAT_LOCUS1337 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNKQVGIVLVMLMLVIGISECRKVKEEEFLESIGGGGGFGKGGGHGGGIGGGEGGGFGDNGDVGGGGEKAGGFGGGVGSGNGGGIGGGVGGGHGGGGGLGGGGGSGGGFGGGKDGGIRGVGGGASGGARDGFGGGHGGGIGGGVSGGVGGVEDGSSGGVEGGFGGGHGRGVEGGAGGGGKNGGGVGGGHGGGVGGKVSGGEGNGGGVRGGASGGFGGGHEGGIGGGGGGSGKGIGGEVGSGAAGGHGSDIERGSGDGRGVRGEVGAGGGARGGLGGGHGGSVGGGNGHSGGVGVGGGAGGGVGGGFGGGHGGGVSDGGGNSGGVGVGSGAGGGVGGGFGGGYGRGVVGGGGNGGSVGVGGGAGGGVGGGAGGGHGGGVGGGGGNNGGVGVGGGTGGGVGGGFGGGGNGGSVGVGGGAGGGVGGGFGGGYGGGVVSGGGNGGSVGVGGGAGGGVGGGFGGSYGGGVGGAGENGGGVGVGGGFGGGYGSGAGGGIGVGGGFGGGYGSGAGGGIGVGIGGSLVKEGGGSKDGGF >CAK8572860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567813063:567814751:-1 gene:gene-LATHSAT_LOCUS25375 transcript:rna-LATHSAT_LOCUS25375 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKVAKTFPVKPNLKTKPRTPKQTSESKYWSSFKTQQIPKLLSIPSITFSPTPPHSFVAANSASLSIFSSKTLSQTATISSFLDVVSSVCFRNDGRLIAASDLSGLVQVFDVNNKRTFLRRLRSHNRPVRFVHYPHHDKLHLISGGDDALVKYWDVAEGTVIHEFYGHKDYVRCGDSSPVNSDTFVTGSYDHLVKLWDVRVRDSSKSAMDFNHGCPVEDVVFLPSGGIIATAGGNSVKLWDLIGGGKLLYSMESHNKTVTSICVGRIGKDSGDESNQNRIMSVGLDGYFKVFDYGSMKVTYSMRFPAPLLSLGYSPDCATRVIGTSNGIIYAAKRKIKKTKIGETGIEETGIEETDIEETEIGKTEKRKIKKTEIGESEDSSFWRIRPVESNEKKVLTSNYYRYFQRGQGEKPSEGDYLVMKPKKVKLTAHDKLLNKFRHGEALVCVLEGKNPGYVVAVMEELVSRKKLLRCVSDLDLANLELLLAFLHKYCTVPKYSRLLLGLANKVAEMRADDIRASEVLKQHIRNLKSTVEAEIRIQQSLQEIQGIISPLLRIAGKR >CAK8566574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:437749565:437750640:-1 gene:gene-LATHSAT_LOCUS19695 transcript:rna-LATHSAT_LOCUS19695 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKKMMKVGSLIQIKMEESIFGEEFLEDLRVKSIKEILDHNWLSASIITVFSRYLYDKFISPNGLINKFSFISPHVSREDNLGNAIAKILLKDEEYFKDKMILAPCNLGKHWVLLVINLDAEVIYYMDPLNGEPTKHQNLKTKFENALQIYRANSNSKVPKVSKSKKISWSKFWCPRQINSIDCGYFVMRFMKEVIMENEIMIP >CAK8561318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:145242433:145245019:-1 gene:gene-LATHSAT_LOCUS14920 transcript:rna-LATHSAT_LOCUS14920 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGSGSGGATAEIVREEPSSSWRLNVKEFKLPRHHHNPRHHDHHDHDHRPRSFTFSGLVGNPKRQRKVAEYYKQQERLLEGYNDMDTMTETGLFPGSLTEDEMKQLAKSERLAVNVSNAVNLVLFAAKVYASIESRSLAVIASTLDSLLDLLSGFILWFTANAMKTPNHYHYPIGKKRMQPVGIIVFASVMATLGLQILIESGRQIISKTKAEMDHSELMWMIVIMVSVTIVKFILMVYCRRFTNEIVKAYAQDHCFDVITNSVGLAAAVFAVKFYWWIDPLGAIIIALYTINTWVKTVIENVSSLIGRTAPPDFLAKLTYLIWNHHVQVKHIDTVRAYTFGAHYFVEVDIVLPEDMPLNQAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKMMV >CAK8574248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672229224:672230870:1 gene:gene-LATHSAT_LOCUS26608 transcript:rna-LATHSAT_LOCUS26608 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSGLIQRPLVAAAAVAVASFSTDASDKFSFFGSSRDDCSTSNLECSSSFTSLQESHSLFASSVSDSKLAEFYFVNKIRVPVPNVKFRVPVSGSNFYNSSVALSPGSLPVVQSLYRSAELTRFSRSSLSGCSNGVSDSTEFLYKWHLPEPNTIGGLSGKSKTVVVLLGWLGAKQKHLKKYAEWYTSKGYHVITFTFPMAEVMSYHPGGKAEQNVHMLVDHLADWLEEENEKNLVFHTFSNTGWLTYGVILEWFQKQDPSLMEKIRGCIVDSAPVANPDPQVWASGFSAAFLKKNSIATKGRVSTDESGIKVSISSNEDLGLKPAPTEAALLLILKKLFEVVLHLPAVNRRLSDVLSMLSTKQPGCPQLYMYSSADRVIPADSVESFVDAQRKAGHDVRACNFVSSPHVDHFRNDPKLYTSQLNQFLEECVADHCETH >CAK8538196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468504416:468508186:-1 gene:gene-LATHSAT_LOCUS7423 transcript:rna-LATHSAT_LOCUS7423 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSYTGLPTSHLLGSVPAVIVEEDNATKHVVTNGGDRGREGHQTPGIPTEAFEQQPTNNWRGFFSVSSYSQYFNVDTDAVMTRLISSLNPVGGDFFSKIDANPDLYGLIWISTTLIFVLASLGNLATFLMQKHADGGTSWSFDVSYMNVAAWSIYGYAIVVPVAYYFFLQYMGSNASLIRFWCMWGYSLSIFIISSFLLIIPVEILRWIITLLTGVASASFVALNLRSYIEGNDFSVAIIAAFFLQIGLAVFIKVWFFA >CAK8534442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:727027178:727036240:-1 gene:gene-LATHSAT_LOCUS3988 transcript:rna-LATHSAT_LOCUS3988 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRVSNIYMLDDLDSRLSSSSDAGVKTFSIDKLSHGAHGSSKTSRSFKRGMRKGSEGLKSIGRSFGFGVSKAVFPEDLQVSEKKIFDPQDKFLLFWNKLFVISCILAVSVDPLFFYLPVINDSLRCLGIDRNLAVIVTTLRTFIDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLRRYFIIDFLSVLPLPQIVVWRFLQSSKGSDVVTTKQALLFIILLQYIPRFLRMVPLTSELKRTAGVFAETAWAGAAYYLLLFMLASHIVGSFWYLLAVERNDFCWKKACSDNGYNKKFLYCGNQYMEGYSSWQNKSEAILTSQCSTDNDNPPFDYGIFTQALKSGIVSSNKFLSKYCYCLWWGLQNLSTLGQGLQTSTYVGEVMFSIALAIAGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWLATRGVDEENLVQSLPKDLQRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSFLKEADFCGEELLTWALDPKSGSNLPSSTRTVKALMEVEAFALTAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKIMELRRKEEPEESELTHNNAGGSSYSLGATLLASRFAANALRGVHRNRIAKSAGNLVKLQKPSEPDFTADM >CAK8569286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691424267:691427131:1 gene:gene-LATHSAT_LOCUS22159 transcript:rna-LATHSAT_LOCUS22159-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKAPRRTLESYSVKHMNKPIKAGDCVLMRPSEPSKPSYVARIEGIEADSRGANVKIHVRWYYRPEESLGGRRQFHGSKEVFLSDHFDVQSTDTIEAKCIVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMTVEEAQRLDHFFCEGCSVEGQKQLQNAHSATRPSDTKVDTKRRRR >CAK8569285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691424261:691427131:1 gene:gene-LATHSAT_LOCUS22159 transcript:rna-LATHSAT_LOCUS22159 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGKPKAPRRTLESYSVKHMNKPIKAGDCVLMRPSEPSKPSYVARIEGIEADSRGANVKIHVRWYYRPEESLGGRRQFHGSKEVFLSDHFDVQSTDTIEAKCIVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMTVEEAQRLDHFFCEGCSVEGQKQLQNAHSATRPSDTKVDTKRRRR >CAK8573211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593753725:593754183:1 gene:gene-LATHSAT_LOCUS25684 transcript:rna-LATHSAT_LOCUS25684 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIMVLVYRLCVFSNLVNGDNFSGNWVTVGALTEKGIHKTSSNGKRFFIWKIGCLDENVVPIFLFGNAYQRNCQEQAGIVFAFFDYGVRKNAKGNGFSLSIYSPNQMGKMGTSVDYWSVYIL >CAK8532275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:236472710:236476547:1 gene:gene-LATHSAT_LOCUS2001 transcript:rna-LATHSAT_LOCUS2001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHSGGSGSPGDHHHHHHDGSSESQRRKKRYHRHTANQIQRLESMFKDCPHPDEKQRLQLSRELALAPRQIKFWFQNRRTQMKAQHERADNCTLRAENDKIRCENIAIREALKNVICPSCGGPPINDDCYFDEQKLRIENAQLKEELDRVSSIAAKYIGRPISQLPPVSPIHMSSLDLSMGNFGGHGMVGGPSLDLDLLPGSSSNMPMVPYQPACLSDLEKSHMSDLATSAMGELVRLLQTDEPLWMKSNTDGKDVLNLEAYAMMFDKTGNRLKNPNIRIEASRDSGVVIMNSLTLVEMFMDPNKWMELFPTIVTMAKTIENIVPGMMDNGSLQLMYEELQVLSPFVPIREFYFLRHCQQIEQGLWAIVDVSYDFPNDNQFASQFRCHRLPSGCFIRDMPNGYSKVTWIEHVEIEDKNPLHRLYRNVIYSSVAFGAERWLTTLQRMCERLACLMVSSNSTRDLGGVIPSPEGKRSMMKLAQRMVTNFCASISASASHRWTTLSSANETGVRVTVRKSTDPGQPNGIVLSAATTIWLPIPPQTVFSFFKDERKRPQWDVLSHGNAVQEVAHIANGSHPGNCISVLRAFNTSQNNMLILQESCVDSSGSLVVYCPVDLPAINIAMSGEDPSYIPLLPSGFTITSDGNQTDNTNNNNNNIQGTNNNNNNIQGGSGSNNGDGASTSSNTNIGNNNSGGSLITVAFQIMVSSLPSAKLNMESVATVNGLIGETVQHIKAALNCPSS >CAK8532274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:236472710:236476547:1 gene:gene-LATHSAT_LOCUS2001 transcript:rna-LATHSAT_LOCUS2001-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHSGGSGSPGDHHHHHHDGSSESQRRKKRYHRHTANQIQRLESMFKDCPHPDEKQRLQLSRELALAPRQIKFWFQNRRTQMKAQHERADNCTLRAENDKIRCENIAIREALKNVICPSCGGPPINDDCYFDEQKLRIENAQLKEELDRVSSIAAKYIGRPISQLPPVSPIHMSSLDLSMGNFGGHGMVGGPSLDLDLLPGSSSNMPMVPYQPACLSDLEKSHMSDLATSAMGELVRLLQTDEPLWMKSNTDGKDVLNLEAYAMMFDKTGNRLKNPNIRIEASRDSGVVIMNSLTLVEMFMDPNKWMELFPTIVTMAKTIENIVPGMMDNGSLQLMYEELQVLSPFVPIREFYFLRHCQQIEQGLWAIVDVSYDFPNDNQFASQFRCHRLPSGCFIRDMPNGYSKVTWIEHVEIEDKNPLHRLYRNVIYSSVAFGAERWLTTLQRMCERLACLMVSSNSTRDLGGVIPSPEGKRSMMKLAQRMVTNFCASISASASHRWTTLSSANETGVRVTVRKSTDPGQPNGIVLSAATTIWLPIPPQTVFSFFKDERKRPQWDVLSHGNAVQEVAHIANGSHPGNCISVLRAFNTSQNNMLILQESCVDSSGSLVVYCPVDLPAINIAMSGEDPSYIPLLPSGFTITSDGNQTDNTNNNNNNIQGTNNNNNNIQGGSGRSLITVAFQIMVSSLPSAKLNMESVATVNGLIGETVQHIKAALNCPSS >CAK8560877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51756004:51757296:1 gene:gene-LATHSAT_LOCUS14517 transcript:rna-LATHSAT_LOCUS14517 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQYHFDIASYNQNREIEENYIVNRFRERRNKISEDNAPRSRKYLNRDHAAANQRLINDYFANEPTYDDAMFRRRYRMKKNVFLRIVGDLSSSDNYFTQRVDAANKEGISPLAKCTTTMRMLAYGVAADAVDEYIKIGGTTALECLRRFCKGIIRLYEQVYLRAPTQDDLQRILHVSEMRGFPGMIGSIDCMHWEWKNCPKAWEGQFTRGDKGTTTVILEAVASHDLWIWHASFGCPGTLNDINVLDRSPVFDDVEQGKAPSVNFFVNQRPYNMAYYLADGIYPSYPTFVKSIRLPQSEPDKLFAKFQEGCRKDIERAFGVLQARFKIIREPARLWDIADLGIIMRSCIILHNMIVEDERDSYSQRWTDFEQSGESGSSAPQPYSTEVLPAFANHVRARSEFRDPNVHQELQADLVKHIWTKFGMFRD >CAK8573299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601207630:601209855:-1 gene:gene-LATHSAT_LOCUS25766 transcript:rna-LATHSAT_LOCUS25766 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSHLHKFNPFKHPIPSIRKTGSFLSFCSTPQPTVVSNPLHAFRHRTTITTSNNLTRVISFCAATKNLCLGKTIHASILINGFNKITPVTLNSLINMYSKCNRLETARFLFDSSDSYLLDDVSWNSIINGYVRLGSKNSYSQVFELLVRMHRFGFGFSDYTLSSVLRACCCVDNNCFGKLIHGFAVKLGLDFDVVVVTALLDMYAKTGCLSEAVRVFERFSWKNDFMYNAMIAGFLQEKSGVCYGNAREALGVFGEMRRKGLNCSKFTFSSIVKACVGVGDFEVGRQIHAQIIKNGLQGDEFVCSSLVDLYSFFGLIGDGLRCFEMTHKLDVVLWTSAIAGCVQNGQFENALSLFYRFLANGRKLDEFIVSCVMGACADMAAARTGEQIQGYALKFGLANFIIVNNSQICMYAKSGDIDSARITFEETENPDVVSWSVMICGYAQHGFANEALRLFESMTISGIEPNQVTLLGVLTACSHGGFVDEALRFYETMKKDYGIAANVKHSACIVDLLGRAGMLEEAQRFIIDSGFEDDPVMWRSLLGACKVHKDTHMGKHIADRVIELEPHEAASYVLLYNIYNDAGKKKRALEVRKLMQDRGVKKEPGISWIEVGNTVHTFLVDDRSHPTSELIYSRLAEILGKIKKISFDDEKLPLGISETEHSGAVKMNHHSEKLAVTFGIMSLPKSAPVRVMKNLRVCSDCHTTMKHISIVEKREIILRDAIRFHHFKDGLCSCKDYW >CAK8574886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:13555437:13555748:-1 gene:gene-LATHSAT_LOCUS27185 transcript:rna-LATHSAT_LOCUS27185 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQNRKELDEKAKQGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKEQIGTEGYQEMGRKGGLSTMDKSGGERAEEEGAEEEGVDIDESKYKTGGKNQNK >CAK8542457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516931467:516931985:1 gene:gene-LATHSAT_LOCUS11296 transcript:rna-LATHSAT_LOCUS11296 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPDQITAFPSTLSDARGADGKLRKPPPRKPPASPYTRPSLTANRRWISKLVDPAYRIIAGGATRFLPSLFSSNEDQGEPGADEQHSKDNLLITNSNLLPSELSKMASIGDDSSFDTALPRHIEKGEQHENDRFSDIEQLLRRKKFTRDEFDHLVEVLNSRAIDVASIEQI >CAK8569706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8759318:8760407:-1 gene:gene-LATHSAT_LOCUS22526 transcript:rna-LATHSAT_LOCUS22526 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIKIPSSTSKWSETEKEVAEILRDLYRVSLLSSIPFSWRCRRKRSIPKQRYRRVNAAAAAAVLRRAKATSPATPLSFPATESDDKLKRSKKKISLKKEKEASLKIIQDLTNTQASINQEIEKVKNHYDQLRSFNLKLKLRKQELLCGTNTTSDLSSKLKSPNLEIGKNDRAVGLVNSSTKSTVENEEHKKQEQQHHQNQNNGPSSSVGLGRNRGPIDIPDLNLPSEDFIEGIYFQPLDESTRNS >CAK8540556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10708429:10709499:1 gene:gene-LATHSAT_LOCUS9552 transcript:rna-LATHSAT_LOCUS9552 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISFNHNNQKSNNIHHQKGLIIEEIEGLIKVHKDGHVERPQIVPNISCNFSPQNGVISRDITINKQTNLWTRVYLPASASHNNNNQVPLLIYFHGGGFCVGSASWICYHEFLINLASKANCVVVSVNYRLAPENRLPSAYDDAFDALMWIKHEALYNKNQNWWLKHCDVSSLFLCGDSAGANIAYNVVATRLASNSNSNTNTFTCLNLNPLFLKGIILIQPFFGGEERTNSEKNLRQLQNSALSLSVSDTYWRLSLPIGVSVSRDHPYCNPLATSGISKMRDLRVPSIMICVSELDILRDRNLEFSNSLVKAGKRVESYVYKDVGHAFQVLHNYQLSHVRTQEMVSHIKNFLYK >CAK8574430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681234681:681235703:-1 gene:gene-LATHSAT_LOCUS26778 transcript:rna-LATHSAT_LOCUS26778 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSEINNISYDETSHSTEINHYAKRARYEVEDVGFPKFKGIVPQQNGHWGAQIYANHQRIWLGTFKSEKDAAMAYDSATVKLRSGESHRNFPWNDQTVQEPLFQSHYSMENVLNMIRNGTYQTKFSIFLRNQSQHGKESIIPVKNEEQFSCMQIFQKELTPSDVGKLNRLVIPKKHAVTYLPLIGGNNNITNDDDKFNDDEVVFYDKLMRLWKFRYCYWKSSQSYVFTRGWNRFVKDKKLKARDTIVFYMCEPINSTKEGKGHVFSLIDVIYNNVGDRKRHYFEDDENRRNGKLNLGKKIICNELDDQESQDIINLNVFHSKYDNAQKGFKLFGVNIN >CAK8540920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32091657:32100954:1 gene:gene-LATHSAT_LOCUS9877 transcript:rna-LATHSAT_LOCUS9877 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVILQAALSPNPDERKAAEHNLNQFQYAPQHLVRLLQIIVDNNCDMGVRQNASIHFKNFIAKNWSPDSDSDTQQKILQSDKDLVRDHILMFVTQVPPLLRVQLGECLKTIIHADYPEQWPHLLDWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVDETFPHLLNIFNRLIQIVNPSLEVADLIKLICKIFWSSIYLEIPKLLFDQNIFNAWMILFLNVLERPVPSEGEPVDPDLRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPETRAFAQMFQKHYAGKILECHLNLLNIIRVGGYLPDRVINLILQYLSNSISRNSMYALLQPRLDVLLFEIVFPLMCFSDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLHKFIQFIVEIFRRYDEASIEFKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFNSPVGHLRAKAAWVAGQYAHISFSDQNNFRKALQCVVSRMQDSELPVRVDSVFALRSFIEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNSAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPSISLEMWTLWPVMMEALADWAIDFFPNILVPLDNYISRGTAHFLTCKDPDYQQSLWNMVSSIMADKNMEDNDIVPAPKLIEVVFQNCRGQVDHWVEPYLRITVERLNRTQKSYLKCLFMQLIADALYYNAALTLSILQKLGVASEFFHLWFHLLGQVKKSGLRANFKREHEKKVCCLGLISLLALPADQLPGEALGRVFRATLDLLIAYKDQIAESAKEEEAEDDDDMDGFQTDDEDEDGSGFDKEMGVDGEDGDEADNITLRKLAEQAKSFRPADDDDDDSDDDYSDDEELQSPIDEVDPFIFFVDTMKVVQLSDPLRFESLTQSLEFNYQALANGVAQHAEQRRVEIEKEKLEKASAATPPS >CAK8530110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1286445:1287653:1 gene:gene-LATHSAT_LOCUS4 transcript:rna-LATHSAT_LOCUS4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRFAAEAFGVVTICVVAILILLGLICIAYSFYFRSRINSQGFVQLNYFSGPWIIRIAFILFVFWWGLGEIIRLTLLRRALHLKWKETVCKCYIVSSMGFAEPCLFLTLVFLLRAPLQRLETGIMSQKWNLKTSGYIILYCLPMFLLQLFVIWVGPQLDKNKGILKKLPHYFTSTVGSSSVAGGNDDIALCTYPLLSTVLLGLFAVILTSYLFWLGSRILKLVINKGLQKRVYTLLFSVLCFLPLRVLFLGLSVLSEPENLMFEALVFLAFLALVCCSGLCMCTLVYRPIADCLALGNLQDLEARTRRFNDEHNDTVSLIANQSHPEDNVEENARPSPGRYSDESTKRGSISFRTMEKGVGSTGTFVELSLFSPSRSATPPGSPSIPGWPMQSPTQVMGP >CAK8563775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630350586:630351417:-1 gene:gene-LATHSAT_LOCUS17151 transcript:rna-LATHSAT_LOCUS17151 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIGKTETWLRKHRSVYNSATKHPFILSIRDGTVQSHSFKTWLAQDYLFVREFVPFVASVLIKACKESEDSDDVEVILGGIASLNDELSWFKREAKKWDIRLSEVVPQKANRDYCRLLEGLMSSDVGYTVALTAFWAIEVVYQESFASCVEEGSKTPVELKETCERWGNEGFGQYCQSLQKMVDWRLLKASDEEVKKAEVVFLSIVEHEVEFWNMSSGN >CAK8539006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501427091:501427678:-1 gene:gene-LATHSAT_LOCUS8146 transcript:rna-LATHSAT_LOCUS8146 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFILLLTLFAVGESQTTTTAFNQTDLQTAMSDMRSRSYYGFVILLKIFNSRPNSLQNNDLTFLMPNDEDLSHFSITPDELHDFLLSHSIPTPLLLNHLMHFPNGSVVPSGLPSKVISITNSGRAGLFVNNARIVTPNVCQNSQIRCHGISAALTFENGTPFHHFPEPRNSSKSSNGSSSTPSVKKMSMPHFK >CAK8564938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:17797883:17800048:1 gene:gene-LATHSAT_LOCUS18188 transcript:rna-LATHSAT_LOCUS18188 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMKASFPLLMLLGIAFLASVCATSRSDRENPFIFESNRFQTLFENENGHIRLLQKFDQRSKIFENLQNYRLLEYKSKPHTIFLPQYTDADFILVVLSGKAILTVLKPDDRNSYNLERGDTIKLSAGTIAYLVNRDDDEDLRVLDLAIPVNRPGQVQSFLLSGNQYQQSILSGFSKNVLEASFNTGYEEIEKILLEEQEKETQHKRSLRDKRQQSQEEAVIVKLSREQIEELSKNAKSSSKKSVSSESEPFNLRSRNPIYSNKFGKFFEITPEKNPQLQDLDILVNSVEIKEGSLLLPHYNSRAIVIITVNEGKGDLELVAQRNENRQGQRKEDDEEEKKGEEEINTEVQNYKAKLSPGDVVVIPAGHPVAIKASSNLNLLGFGINAKNNQRNFLAGKEENVISQIQRPVKELTFPGSAQEVDRLLENQKQSYFANSQSQQREIGSHETVNHLSSILDTF >CAK8536885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:33433247:33434348:-1 gene:gene-LATHSAT_LOCUS6211 transcript:rna-LATHSAT_LOCUS6211 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMVSRHGLGFKPPSYHEIRTKYLKHKMEETKKFIEEHKLTGCTIMSDGWTDKRRRTILNFLVNSPKGTVFLKSIDASHIAKTADAIFKMIDDIVEEMGEKNVVKIVTDNAANYKAAGTMLMKKRKKLYWTSCAAHCIDLMLEDFEKYIKVHNETISKDFDEIPAMGLIYEEMDKAKEKIQANFNGVQRKYKPL >CAK8576417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473546889:473550550:1 gene:gene-LATHSAT_LOCUS28605 transcript:rna-LATHSAT_LOCUS28605 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFTTVVGMGGASRLTSGFRKPQVTARNPLRQAMAVGNGGRVTCFQRDWLRRDFNVIGFGLIGWLAPSSIPAIDGKSLTGLFFDSIGTELAHFPTPPALTSQFWLWLICWHLGLFISLTFGQIGFKGRTEEYFDS >CAK8535409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846139999:846140730:1 gene:gene-LATHSAT_LOCUS4874 transcript:rna-LATHSAT_LOCUS4874 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRNPTRFRSAKLLASRAERLLGVSPHAPPLDNSTPFEFSEDDVVFGFDYSHSSSSFPTPRLPHQYNLHQHHHRNPSPLGPPDSSGIPPVSPENKESPNVVDGSDHLDDDIDSVSLSSFVSSNSSSSTTAQPILVQRSASDRTRPFPSLAATKFYHSAPINIPIMTPEMAALARKYEEEEARELAEEEDFKNKIPPHEFLAKQVDFSRMHSCSLFEGVGRTLKGRDMRKVRNAVLSQTGFID >CAK8571740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466329506:466329832:-1 gene:gene-LATHSAT_LOCUS24374 transcript:rna-LATHSAT_LOCUS24374 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWKSFTLSKEKGEGLVAEDEEICEDESFQRTLAEKLWTESNFNSRAFKSTMINVWKLKHPVEIQGLGKNLFLFKFNSRRDFEHVLITGPWSFDRPLLVLNRISGEE >CAK8533022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566748422:566749474:1 gene:gene-LATHSAT_LOCUS2674 transcript:rna-LATHSAT_LOCUS2674 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQKILVTGGAGFIGAHTVVQLLKDGFQVSIIDNFDNSSMEAVVRVRELVGPKLSQNLEFTLGDLRNKDDLEKLFSKTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKHNCKNMVFSSSATVYGQPEKMPCVEDFNLLAMNPYGRTKLFLEDIARDIHKAEPEWRIILLRYFNPVGAHESGKLGEDPRGIPNNLMPYIQQVAVGRLSELNVYGHDYPTRDGSAVRDYIHVMDLADGHIAALRKLFATENIGCAAYNLGTGRGTSVLEMVDAFNKASGKKIALKLCPRRPGDATEVYASTEKAERELGWKAKYGVEEMCRDQWNWAKNNPWGYSGKP >CAK8565773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330659090:330660624:1 gene:gene-LATHSAT_LOCUS18957 transcript:rna-LATHSAT_LOCUS18957 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTTLEEKFVELQEAKQTHQNSHPKIQKVAEYLRNRKNFDKQYSPKLVSIGPIHHDNQNLKLGESYKLTWAAKYIQTTQCSPQILYKKIADNINELKGLFADDVLALANAAESLKGFRSLEEKLSWLLFVDGCSLLYILVNVNLDQLDEPKDVDIKVDQLVLVIMDALLLENQLPYLVLKLLWINDNETELVDIMKNFLKCHHWATPETKRKWKWQRILESKSTENFSNMVHSKKGKEEVHHNDTVLELPKESSPIHLLDLQRKIILTKSNSKTESDNEANNKKKKQIGKNSEEKTKMMTYRNIQDLRAAGIKLKSSATRRPTDVDFSEGWFAAKLTLPEIVVDDTTASTFLNLVAYEMCPDFKNDYGICSFAVFMDSLIDHPEDVKELRSKGILLNSLGSDEEVADLFNIISTDLVPNSETYLEIRAKIHEHYCNKWKTWIALGYHTYFNNPWAITGFVAAFIALALTFVQTWFSIYPRS >CAK8538188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:468169744:468171351:1 gene:gene-LATHSAT_LOCUS7416 transcript:rna-LATHSAT_LOCUS7416 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKATGVTEVVLAINYQPEVMLNFLKDFEAKLGIKISCSQETEPLGTAGPLALARDKLLDESGEPFFVLNSDVISEYPLKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVTEETTGKVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEIFPKIAAEKKLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSSSKLAIGSNIVGNVIVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKTNILKPEIVM >CAK8531066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:77378611:77380871:1 gene:gene-LATHSAT_LOCUS882 transcript:rna-LATHSAT_LOCUS882 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKEKERGSEMERDRKPLLMYFTCGDGEFLKTHQTFCSVSDPSKYYYTWNSALTESMLLTVQHGWYLWQNMLSKYVSSNLFLWSPYNLKKIMLPRLVYVDDHANFRCILSSPPSANDDQTCSIFIFPSYSLSMFYYQLGDAQWTEVHFYKYVVSALAMQGKELRLGRKAYFDNPAYCNGRVYAGLMTYNDGHYDSVIVVIEKVLNGFTVNCTTDLMVKHHPTNFQQLISHLIGSNNVLFRVEISHQHDRVTAVFVYKFDCARRVWEKVESIKDKVFYISSTDSAFACEAINQETEGGRVYIALTNCNFVYIYNIQDNCFLTSHHFSNLSENRSYSRWFMPDTEMATSLEEELREKKNICDVVYLKDAEEKTYDECVLLLELVELIAKHINDVLDYMHFRASNKFFRLAAPPIQWRSSSSMSRFDDRSMCPLFVFSKDNIFTFVNPKHGLEYKYNINFPQHNGWSLNSESCYSKDGWLLMVSVNKEFQVFFNPFTKQMLPLSHKIIENTSCFGMSHSPTSSECVTVHMNKEFLSNTEGFLQHLWDRSRGLFSFKDKEFHVYNVSPAFHNGLFYFLSRTGNLAVVEATTEHYNWKVLKEPQAPCNTCFNNFLVECDGNLLSVFESPMAKGIQVFKLNESTMTWIKVESLKNHMLFVGKTSFSAVANIPGMENKIYFPRFYGQNFVFYSLETNNYHTFQNDQVINFHHVREHLTGVWIQPRWH >CAK8531067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:77378644:77380871:1 gene:gene-LATHSAT_LOCUS882 transcript:rna-LATHSAT_LOCUS882-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRKPLLMYFTCGDGEFLKTHQTFCSVSDPSKYYYTWNSALTESMLLTVQHGWYLWQNMLSKYVSSNLFLWSPYNLKKIMLPRLVYVDDHANFRCILSSPPSANDDQTCSIFIFPSYSLSMFYYQLGDAQWTEVHFYKYVVSALAMQGKELRLGRKAYFDNPAYCNGRVYAGLMTYNDGHYDSVIVVIEKVLNGFTVNCTTDLMVKHHPTNFQQLISHLIGSNNVLFRVEISHQHDRVTAVFVYKFDCARRVWEKVESIKDKVFYISSTDSAFACEAINQETEGGRVYIALTNCNFVYIYNIQDNCFLTSHHFSNLSENRSYSRWFMPDTEMATSLEEELREKKNICDVVYLKDAEEKTYDECVLLLELVELIAKHINDVLDYMHFRASNKFFRLAAPPIQWRSSSSMSRFDDRSMCPLFVFSKDNIFTFVNPKHGLEYKYNINFPQHNGWSLNSESCYSKDGWLLMVSVNKEFQVFFNPFTKQMLPLSHKIIENTSCFGMSHSPTSSECVTVHMNKEFLSNTEGFLQHLWDRSRGLFSFKDKEFHVYNVSPAFHNGLFYFLSRTGNLAVVEATTEHYNWKVLKEPQAPCNTCFNNFLVECDGNLLSVFESPMAKGIQVFKLNESTMTWIKVESLKNHMLFVGKTSFSAVANIPGMENKIYFPRFYGQNFVFYSLETNNYHTFQNDQVINFHHVREHLTGVWIQPRWH >CAK8536738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12143361:12144223:-1 gene:gene-LATHSAT_LOCUS6072 transcript:rna-LATHSAT_LOCUS6072 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSILIKLLLLLQLSILCASQQDFDFFYLVQQWPGSFCDSKKSCCYPTTGKPAADFGIHGLWPNYKDGTYPSNCDPNSPFEESEISDLTSSLQKNWPTLACPSGDGIQFWTHEWEKHGTCSESVLKQHDYFETTLNLKQKSNLLDALTSAGIQADGNTYSLSSIKEAIEKGVGFTPFIECNVDSSGNSQLYQVYLCVDTSGSNFIQCPVFPHGKCGSEIEFPTF >CAK8576028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:387593296:387595608:-1 gene:gene-LATHSAT_LOCUS28246 transcript:rna-LATHSAT_LOCUS28246 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKHPVDGFSAGLVDEGNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPSVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDSRDEFRKKVGRCVRKSQEML >CAK8542785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546506179:546507335:1 gene:gene-LATHSAT_LOCUS11592 transcript:rna-LATHSAT_LOCUS11592 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFWIVSLILSSLASPAFCATPPRPVDVPFGRNYAPTWAFDHIKYFNGGSEIQLLLDKYTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGKPYILQTNVFTGGQGDREQRIFLWFDPTKAYHRYSVLWNMYQIVFYVDDVPIRVFKNSRDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCEASVNAKFCGTQGKRWWDQVEFRDLDAAQWRRIKWVRKKFTIYNYCSDRKRFPQIPAECRRNRDI >CAK8533678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649125190:649125408:-1 gene:gene-LATHSAT_LOCUS3283 transcript:rna-LATHSAT_LOCUS3283 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMSTMVALNFTSDVEKNMAHDHAQVVHITLFVAVLCLCLLIGHLLEEIRWVNESIVAIFCCKIHTHYEI >CAK8579193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682849075:682856433:-1 gene:gene-LATHSAT_LOCUS31159 transcript:rna-LATHSAT_LOCUS31159 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIFRVASSTAPPLRHDATPLRKTSVSNAFSFPSICSDRRIVSSGGRRLIVAASPPTEDAVVATEPLTKQDLIDYLASGCKPKDKWRIGTEHEKFGFELGSLRPVKYEQIAELLNAIAERFDWEKIMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVTEEMGIGFLGIGFQPKWERKDIPMMPKGRYEIMKKYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFVSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFAYRKKKYIDCTGMTFRDFLAGKLPSIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQRVLDMTADWTLEEREMLRNKVTVTGLKTPFRDGLLKHVAEEVLELAKDGLERRGFKESGFLNAVAEVVRTGVTPAERLLELYHGKWEQSVDHVFEELLY >CAK8571583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444172594:444174924:-1 gene:gene-LATHSAT_LOCUS24228 transcript:rna-LATHSAT_LOCUS24228 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPFNPSQLSSLRLLFILLCFFHGASGFGTMGPISASFGEYEVFCSIDASGKQDVICWGKNTTSPQPLSSSVYVTNIPAMSALSGGEGFLCGILANTSQAFCWAATSKQNADPVLVPSLYRNTAYSRIAAGKNHVCAVRGSYYSDRDSGTVDCWRIYKRSKNGTLTAVVSDLFSDQSVINLEMNSVVSGEGFTCGGVRDGGLVCWGPNSTSLKVSNVSDSFSVLTAGRMAVCGVSNISGDVRCWGDIEPPRIEVSFVSLSGGAHHFCGVREDNHVVQCWGNLSSSLVPKGYGFVAIASSDYTTCGIREDDLLLDCWLVNASKPDFDPPLELSSPGLCRSSSCGVNEFDFNVSVLNEPDLTSLCVRQDLRICSPCGYNCSQGFFLSSPCTRNSDRVCTACSLCQNSSCLSVCRLHSSNGFFHWHHIRRWVIIIGSSAFCLLLILVCWCFFRCCACSRGQRHRTKKHSKSCIGKHELEDDDDVNGNGNGNGHLHSFPSAASCPGLPQVFRLSELKDATNGFKEFNELGRGSHGFVYKANLADGRVVAVKRANAATIIHTNNRDFEMELEILCKIRHCNVVNLLGYCAEMGERLLVYEYMSHGTLSDHIHGGLSPLNWSLRLKISMQSAKGVEYLHKELSPPIIHKDLKSSSILLDSEWGARVSDFGLVISSDEDLNRDMESDVYGFGIVLLEILSGRKAHDIDFEPPNVVEWAVPLIKQGKAAAIIDRSIALPRNVEPLLKLGDIAELAVRENPSQRPSMSDIASWLEQIVKDGLIL >CAK8541346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132270106:132277806:1 gene:gene-LATHSAT_LOCUS10275 transcript:rna-LATHSAT_LOCUS10275 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKSDKSDTAYRRSARSSSFNQHRGNSGGSYVKAGTGTAVPSPALSSSRSFNKKSNNHAQGGQPRVNSTPANANSAELNYASVVRATPNGSHVQPQFHGGSDASVTNATTKPSETSAAQTSSRVVPKAPISQPPPTSSDSAAPTTPAKGDASKAFPFQFGSISPGIMNVMTIPARTSSAPPNIDEQKRDQVRHDSSRPVPSVPTPPVPKQLPVPKQLPANKDTCVIDQSKGGEIHTGTRAKKDTQLSHLPPPSLMHKPSVIPMSMAMPYHQSHAPVHFGVPNPHIQPRSMSTTSLQMPLPIGNAAQMQHQVFVPSHQTHPIHPQGIMHQGQNMGFTPQISHQMAHQLGNIGMGINPQYSPQQGGNYAAPRKTTTVKITHPDTHEELRLDKRANAYSDGGSSGARPHSGIPSQSPPVQSFPASHPISYYQSNSPFYQTPSSLALSNSQITPTTQPPRLSYTVNRGPQNVGFATSASHGSLPVNKTVASISSIVEQPNREFPRDVPNAISSTVSGASYVSIKPSGSGVVISSFSDSISGAKKDGSPNSLVTSSDASDSVPPKQSGACSEISSQQSTVASTEKLTSASLLPSSSALSKNMVSDVSKNKGRNKESLSRSNSLKDNQKKKQLQHQVAVQSSAVANEPSVAVDGGTSVCVASEIVGTKTTHSAAIACDDLSAAASGTLSAASESLPSVTLEEKTICSRQVSACVSAEEPVTQAVVSLNNHNSAKVDELSQEDKLLRQTVSTLQGCKNVSDSGEEFNQLKHGVTELNTEDVTLETGQQGQGGSVSYITECDRIADNLNASASTALDSKETASKHCKDSSEDAIAGSGSVSLPGAPSTTDRPSSEPSKVKASSKGKKKLKEVLLKADAAGMTFDLYNAYKRPEEKKEAVVSLENTGCVSSGNLKQLPVDASQPNVVANEQCRQSKAELEDWEDVADMSTPKLEVSNKTQLVSNESAVNEKKYSRDFLLKFAEHCTDLPEGFEISADMGALMTPNVSSHVIERDSHPSAGRNTDRSGGMSRMDRRGNGVTEDDKWSKASGVIGSGGNTGLRPGQVGNFGVLRNPRAQGMQYGGILSGPMQSMVNQGGMQRNSPDGERWQRSASFQQRGLIPSPSQSPLVTMHKAEKKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCFHLAAALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANQADEGEVKQSDEEREAKRSKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQCQNPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFERIKLLSNNMSLSSRVRFMLKDTIDLRKNRWQQRRKVEGPKKIEEVHRDATQERQSQASRLGRGMGISAARKVPMDFGSRGSSILSPPNPQMGGLPTQVRGYGSQDVRGYERQSYEARTLSIPLPQRPLADESINLGPQGGLARGMSIRGSPAVSSSTGLNGYSNLSEQASYNSREDHPPRYVPDRFSGPNAHDQSSVPEQNMNYSNRDLINVNNSILDKPVSNQPPAQAQEISGSHNTSSEKGLSEERLQNMSMAAIREYYSARDVDEVVLCVKDLNCPSFHPSMVSVWVTDSFERKDIHRNLLAKLLIDLVKLHGGTLSQAQLIKGFESVLSTFEEVVTDAPKAPEFLGRIFAKAITEHVVSLKEIGRLIHDGGEEPGRLLQIGLAADVLGSTLEVIQSEKGDAFLTEIQTSSNLQLHTFQPPGPTKSRKLDKFI >CAK8541347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:132270106:132277806:1 gene:gene-LATHSAT_LOCUS10275 transcript:rna-LATHSAT_LOCUS10275-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKSDKSDTAYRRSARSSSFNQHRGNSGGSYVKAGTGTAVPSPALSSSRSFNKKSNNHAQGGQPRVNSTPANANSAELNYASVVRATPNGSHVQPQFHGGSDASVTNATTKPSETSAAQTSSRVVPKAPISQPPPTSSDSAAPTTPAKGDASKAFPFQFGSISPGIMNVMTIPARTSSAPPNIDEQKRDQVRHDSSRPVPSVPTPPVPKQLPVPKQLPANKDTCVIDQSKGGEIHTGTRAKKDTQLSHLPPPSLMHKPSVIPMSMAMPYHQSHAPVHFGVPNPHIQPRSMSTTSLQMPLPIGNAAQMQHQVFVPSHQTHPIHPQGIMHQGQNMGFTPQISHQMAHQLGNIGMGINPQYSPQQGGNYAAPRKTTTVKITHPDTHEELRLDKRANAYSDGGSSGARPHSGIPSQSPPVQSFPASHPISYYQSNSPFYQTPSSLALSNSQITPTTQPPRLSYTVNRGPQNVGFATSASHGSLPVNKTVASISSIVEQPNREFPRDVPNAISSTVSGASYVSIKPSGSGVVISSFSDSISGAKKDGSPNSLVTSSDASDSVPPKQSGACSEISSQQSTVASTEKLTSASLLPSSSALSKNMVSDVSKNKGRNKESLSRSNSLKDNQKKKQLQHQVAVQSSAVANEPSVAVDGGTSVCVASEIVGTKTTHSAAIACDDLSAAASGTLSAASESLPSVTLEEKTICSRQVSACVSAEEPVTQAVVSLNNHNSAKVDELSQEDKLLRQTVSTLQGCKNVSDSGEEFNQLKHGVTELNTEDVTLETGQQGQGGSVSYITECDRIADNLNASASTALDSKASKHCKDSSEDAIAGSGSVSLPGAPSTTDRPSSEPSKVKASSKGKKKLKEVLLKADAAGMTFDLYNAYKRPEEKKEAVVSLENTGCVSSGNLKQLPVDASQPNVVANEQCRQSKAELEDWEDVADMSTPKLEVSNKTQLVSNESAVNEKKYSRDFLLKFAEHCTDLPEGFEISADMGALMTPNVSSHVIERDSHPSAGRNTDRSGGMSRMDRRGNGVTEDDKWSKASGVIGSGGNTGLRPGQVGNFGVLRNPRAQGMQYGGILSGPMQSMVNQGGMQRNSPDGERWQRSASFQQRGLIPSPSQSPLVTMHKAEKKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCFHLAAALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANQADEGEVKQSDEEREAKRSKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQCQNPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFERIKLLSNNMSLSSRVRFMLKDTIDLRKNRWQQRRKVEGPKKIEEVHRDATQERQSQASRLGRGMGISAARKVPMDFGSRGSSILSPPNPQMGGLPTQVRGYGSQDVRGYERQSYEARTLSIPLPQRPLADESINLGPQGGLARGMSIRGSPAVSSSTGLNGYSNLSEQASYNSREDHPPRYVPDRFSGPNAHDQSSVPEQNMNYSNRDLINVNNSILDKPVSNQPPAQAQEISGSHNTSSEKGLSEERLQNMSMAAIREYYSARDVDEVVLCVKDLNCPSFHPSMVSVWVTDSFERKDIHRNLLAKLLIDLVKLHGGTLSQAQLIKGFESVLSTFEEVVTDAPKAPEFLGRIFAKAITEHVVSLKEIGRLIHDGGEEPGRLLQIGLAADVLGSTLEVIQSEKGDAFLTEIQTSSNLQLHTFQPPGPTKSRKLDKFI >CAK8532328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247720123:247720770:-1 gene:gene-LATHSAT_LOCUS2048 transcript:rna-LATHSAT_LOCUS2048 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTILAVLLLCALSSQPLLGSAEASPDEVLDTLGNKLRADANYYIIPTETFTICGFVSCFNTSGGVALEATGESCPLDVVVVKQNPGLPLRFTPVNNKKGVIRVSTDLNVMFSNEAYDSRCPHHSLVWKIDPFSKEETFVTTNGALGNPGSQTIGNWFKIEKYDDAYKLVYCPNVCPSCKHACKDIGYYVYKTREMRLAFTIVPFKVKFQKTHN >CAK8535625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870653123:870656533:-1 gene:gene-LATHSAT_LOCUS5068 transcript:rna-LATHSAT_LOCUS5068 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSRVSEEEQSESFPFSTSVFNFSSGNPRIEETRGLMHFFPDESPPSLPVERKPLACVLGVPNHMTYADFCQFCGSFIQHILEMRIVRMDSMEDRYSVLIRFDEQESTDAFYTHYNGRRFSSLEVEVCRVVFTLDVQYTGSIEHAQPSNATSTEQPTCPVCLERLDQDTSGILTTICNHSFHCSCISKWADSSCPVCRYCQQQAEKSICFICQTTENLWICVICGFVGCGRYKGGHAIIHWKETQHCYSLEVETKRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHADSGCGSCGDNAMREAILNSKVEAIVNEYNELLATQLENQKLYFESLLQQVEQETEGKISVAVQKAVSLKQQKIQSKIDRFNKEKKFLDELNDNLLKNEEIWKAKILEIEEREKKALKRTDDKITGLEKQLSDLMVCLEGGKAVEQLPLLNDTTGGTVSDISKESPSTCSS >CAK8543435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607830930:607831697:-1 gene:gene-LATHSAT_LOCUS12192 transcript:rna-LATHSAT_LOCUS12192 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLQDRVAIVTGSSRGIGREIALHLASLGARLVINYTSNSNQADSVAAEINNNQATPRAITVRADVSDPDGVRSLFDSAEKTFNSPVHILVNSAGVLDAEYPTIANTAVESFDRIMNVNARGAFLCAKEAANRLKRGGGGRIILLTSSMVAALKPSFGAYAASKAAVEAMTKILAKELKGTGITANCVAPGPIATEMFFDGKTEEMVKKTEEESPFGRIGEVKEISPVVGFLATDSAEWVNGQIIRVNGGYV >CAK8575110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:31118170:31118657:-1 gene:gene-LATHSAT_LOCUS27399 transcript:rna-LATHSAT_LOCUS27399 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLCPLLYVRPARLTTIGGRDDYDIGNGVSLGWWRLDLMILGQETWVSKSKHEELDRIEARLWLKTKKSRAFGIKYLIELGFISCNFLVILCIWAL >CAK8571701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463451497:463468948:-1 gene:gene-LATHSAT_LOCUS24339 transcript:rna-LATHSAT_LOCUS24339 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASPVVGSQVWVEDSDVAWMDGEVLEVNGEEIKVLCTSGKKVAVKASSVYHKDTEAPPCGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFIRLPHLYDSHMMAQYKGAGFGELSPHPFAIADSAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRANVAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDLKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEVIQKYKLGNPRTFHYLNQTNCYEIEGLDESKEYFDTRRAMDVVGISSEEQEAIFRVVAAILHLGNIEFSKGEEMDSSMPKDEKSQFHLQTAAELFMCDAKALEDSLCKRVIVTRDENIVKCLDPEAASLSRDALAKTVYTRLFDWLVDKINNSIGQDPDSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTDLFLDKNKDYVVAEHQALLYASTCPFVSGLFLPSPEESSNKSKFSSIGSRFKQQLQALLEILSSTEPHYIRCVKPNNLLKPAIFEHKNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVDRFGLLAPEALDRSSDEVTSCKRILDKVGLKGYQIGKTKVFLRSGQMAELDTRRSEILGKSANVIQRKVRSYLARRSFVLIRLSAVQIQAVCRGQLARKVYEGLRQEASSLIIQRFFRMHIARKQYKEIYSSAISIQTGMRGMAARCELRFRKQTSAAIVIQSHCRKYLAQHHFMNLKKAAIATQCAWRGKVARRELRNLKMAARETGALQAAKNKLEKQVEDLTLRLTLEKRRRVDMEEAKRQENEKLQSALHEMQLKFKEAKSWLQKERETTKREAERVPVIQEVPVVDHPLLEKLTSENEKLKTLVSSLEKKIDETEKRYEETNKISEERLKQALDAESKIIQLKTSMQRLEDKFLDMESENQVLRKQSLLNSSVKTMSEHLSTHVYEKLENGHHVVEDQKSAETPRVTPVKQFGTESDSKLRRSYIERQHENVDSLVNCVTKNIGFQHGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENQDDNDLMAYWLSNTSALLFLLQQSLKSGGSSDATPVRKPPNPTSLFGRMTMGFRSSPSSANLPAPLEVVRKVEAKYPALLFKQQLTAYVEKIYGILRDNLKKELTSFTSLCIQAPRTSKGVLRSGRSFSKDSPMGHWQSIIESLNTFLCTMKENFVPSVLIQKIFSQTFSYINVQFFNSLLLRRDCCTFSNGEYVKAGLAELELWCCQAKEEYAGTSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPIMSVQQLYRICTLYWDANYNTRSVSSDVLASMKVLMAEDSNNAQSDSFLLDDTSSIPFSVDDLSTSLQERDFSEMKPADELLENPAFQFLNE >CAK8576823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516890385:516890753:-1 gene:gene-LATHSAT_LOCUS28987 transcript:rna-LATHSAT_LOCUS28987 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALHYVNKNGIIIESFLGIVHVKSTTTIALKVVVEELFCKHGLSTLRIRGQGYDDASNMKGEFGGLKSLILSENSSTYYVHYFAHQLQLTLVAIAKDHLQVCGVFNLVSTLINVIGGSCK >CAK8533093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577728444:577738884:-1 gene:gene-LATHSAT_LOCUS2743 transcript:rna-LATHSAT_LOCUS2743 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFAILVVLFLFCFTSSYATKGVDVEAICQTSKNPSFCENLLKSKPGGVGGDLSSLAKYTIDVLRTDVSNTIDVITKLIEKSGSDPMKQNKYKNCLSLFEMEDGALSEVEESLEMLENSDYNGLNVHMTVVMTNADECLTGDSDDSWAQDTPELSKNVRIVDQVAQIILIISNMLRN >CAK8533094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577728444:577728980:-1 gene:gene-LATHSAT_LOCUS2743 transcript:rna-LATHSAT_LOCUS2743-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFAILVVLFLFCFTSSYATKGVDVEAICQTSKNPSFCENLLKSKPGGVGGDLSSLAKYTIDVLRTDVSNTIDVITKLIEKSGSDPMKQNKYKNCLSLFEMEDGALSEVEESLEMLENSDYNGLNVHMTVVMTNADECLTGDSDDSWAQDTPELSKNVRIVDQVAQIILIISNMLRN >CAK8561818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389530425:389531349:-1 gene:gene-LATHSAT_LOCUS15372 transcript:rna-LATHSAT_LOCUS15372-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCRYERLRLNRINGIAKILGVVASVGRASIITLYKGPTIYAPESRLAVHQRRFLFLFEEANGKILGLGGIFLFGHCLSWFGWIVMQAFVLKNYSAQLTISAFTCLFGIVQFGTIAAFLEKDPKAWQLNSIDEAYSILYSGAVISGVAAAIQIWTISKGGPVLASIYLPLQTSLVALIASIAFGEEFFLGGVIGAILIITGLYLVVWGRSQETKSDEPENHPEEKLDSSSLIQPLISVHNS >CAK8561817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389530425:389531451:-1 gene:gene-LATHSAT_LOCUS15372 transcript:rna-LATHSAT_LOCUS15372 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILRYERLRLNRINGIAKILGVVASVGRASIITLYKGPTIYAPESRLAVHQRRFLFLFEEANGKILGLGGIFLFGHCLSWFGWIVMQAFVLKNYSAQLTISAFTCLFGIVQFGTIAAFLEKDPKAWQLNSIDEAYSILYSGAVISGVAAAIQIWTISKGGPVLASIYLPLQTSLVALIASIAFGEEFFLGGVIGAILIITGLYLVVWGRSQETKSDEPENHPEEKLDSSSLIQPLISVHNS >CAK8533984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679303627:679305148:1 gene:gene-LATHSAT_LOCUS3564 transcript:rna-LATHSAT_LOCUS3564 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITVGDAIPDGTLAYLDEENKPQSVSIHSLSAGKKVIIFGVPGAFTPTCSLKHVPGFIERAEELKGKGVDEIICISVNDPFVLNSWAKTFPENKHVKFLADGSAKYTHALGLELDLSEKGLGIRSRRFALLVEDLKVKVANVEGGGEFTISSAEEIIKAL >CAK8567849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550971309:550973559:1 gene:gene-LATHSAT_LOCUS20866 transcript:rna-LATHSAT_LOCUS20866 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLQKNLMLFASSLSPLQSCTLLVRFQLSKLLSSSPYSHRKRHGSKEYRNVRVSVWWDFETCNLPSGFSISKVKPAVTDAVRANGIKGPVHITAFGDVCQLSRPNQEALPFTGIHLTRFLKIPKGGKYSDDISRITDLMEWLSDNPLMYWVSQNPPPVHLFLISGDKDFAGILHRLRLNNYNILLASPGNAPDVLGSAATMMWQWTSILKGEDLTGKHFNHPPDCQFSSWYGNSKVPLESPFSQNIDVTEINEPSSDLKVGVRSADVSPKVREKYTTLGKLLAGTDHTNKHEDQPRKELDDHSPYFSAVDDSLVDKRPDVHPETYSKRSTFFSWIKSWWPFQKSNVKADDSTFYQKKVTRNLEDSKLSELDMTASNLEEPKPLEQQHYVTHSGKHEGSKLPGSFWNDMESFVFSPKGSLLISQSRSREDLAHKLQKHGPMTLKSFAENDIFQLVELLIADKKWLEESPSQAFPFRLTQSVWKSTRMGQCSLNHSTD >CAK8541738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:378769015:378769469:1 gene:gene-LATHSAT_LOCUS10634 transcript:rna-LATHSAT_LOCUS10634 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYKYEIFYASCYAVAYNCFNYCSNTNFEPPKSYITQIDTPTQVGEPQLHTHFAAFTSLLYCRAIFSQQGSFWGMICFGFILTC >CAK8563055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570100067:570103349:-1 gene:gene-LATHSAT_LOCUS16502 transcript:rna-LATHSAT_LOCUS16502 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLSRLISRSLSSSGAAASLLRSPPALGRKSEGCRNTNGFSTAAAVEELITPQVSINHTQLLINGKFVDAASGKTFPTYDPRTGEVIAQVAEGDAEDINRAVSAAREAFDNGPWPKMTAYERSCILLRFADLVEKHNDEIAALETWNNGKLYNQAAKTEIPMVVRLFRYYAGWADKIHGMTVPADGDYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTSEQTPLTALIVAKLLHEAGLPPGVLNIVSGYGPTAGASLASHMDVDKLAFTGSTDTGKTVLQLAARSNLKQVTLELGGKSPFIVCEDADVDKAVEIAHFALFFNQGQCCCAGSRTFVHERIYDEFLEKAKERALRRVVGDPFKTGVEQGPQIDSKQFEKVLRYIKSGIDSNATLECGGGRLGSKGFFIQPTVFSNVQDDMLIAKDEIFGPVQSILKFKDIDEVIRRSNATPYGLAAGVFTKNLSTANTLMRALRAGTVWINCFDVFDAAIPFGGFKMSGNGREKGIYSLNNYLQVKAVVSPLKNPAWL >CAK8561004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70986098:70987421:1 gene:gene-LATHSAT_LOCUS14633 transcript:rna-LATHSAT_LOCUS14633 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQFIAFILIFAILVFDVCESDDCSSKPLKTITVSQSVKANFKTIQSAIDSVPGGNSQWIHIQISSGVYKEQVLIPINKPCIYLEGAGSHSTSIEWGSHDIATFVSKADNTAAKGITFTNTLNSPVLLDGTNIIQARAAKFRGDKCAFYSCSFLGVQDTLNDDYGRHYYKNCYIQGAVDFIYGQGQSLFEASTIYFSTGKSGLKKDGVITAQYRDSPNDPSGFVFNKCNISGTGGKFQLGRAMDSYARVIIANSYLSDAVKPEGWSQTIYVGHESNLTFGEEGCKGPGADKSKRVKWMKSMSGRELDKFLSLSFIDKEGWISKLPASIFH >CAK8566180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:388563386:388566202:-1 gene:gene-LATHSAT_LOCUS19337 transcript:rna-LATHSAT_LOCUS19337 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRVLLLCGDFMEDFEVMVPFQALQAFGITADAVCPGKKSGDVCRTAIHMLSGGQTYTETVGHNFTLNATFDEVDHTNYDGLWLPGGRAPEYLAHIPSVVELVAKFVKSGKQIACICHGHLILAAAGVVEGRKCTAFPPVKPVLVAAGAHWVEPETMSTTVVDGNLITAPTYEGHPELLRHFVKGLGGKISGYDKKILFICGDYMEDYEVKVPFQSLQALGCHVDAVCPLKKAGDTCPTAVHDFEGDQTYSEKPGHNFALTATFDDVVPSAYDALVIPGGRSPEYLSLNETVISLAKHFMEANKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLSGATWLEPDPISRCFTDGNLVTGAAWPGHPEFIAQLMALLDIQVSF >CAK8577367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557751614:557751905:1 gene:gene-LATHSAT_LOCUS29481 transcript:rna-LATHSAT_LOCUS29481 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDHFDASASASEPVGYPGGPYDTSLLVKYEHHVARHIWFGEERGSKEELKVAGHDLKLIQRVPL >CAK8571502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432423126:432424619:1 gene:gene-LATHSAT_LOCUS24162 transcript:rna-LATHSAT_LOCUS24162 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPNGIFSPIATSGLLGVVDNNIPLQQQQQQQQNLPIQQQNPHHLHLSQAVSYAPLPHDTDTHHQQQQLHQSMKLGYPPLSSSRNKQQQQGSQVSDEIEDEPNFQGDETSGGDPKRKFSPWQRMKWTDTMVRLLIMAVYYIGDEGGSEGTDPMGNKKKSSGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQNLLDSMDLTPKMKDEVRKLLNSKHLFFREMCAYHNSCGHAGGGSNVQLQVEGGSGATTPQNQPQQHQQQPQQQQQQQQQCFHSTENGVGSLSGSGLRILKIGSGEVEEEEDEDEDEESEDYSDEDEDESGEGGSKCQQDEDENDGKPSKKRRRKEGGFPISMSSSSLPLMNQMNNEISGVFQDGGKSPWEKKQWIRNKILQLEEQKISYESQAFELEKQRLKWARYSSKKEREMKRAKLENERRRLENERMVLLIRKKEIELMNIQQQQHQQQQHSST >CAK8579614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712708265:712709277:1 gene:gene-LATHSAT_LOCUS31545 transcript:rna-LATHSAT_LOCUS31545 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAQAVEQDHAPPHHAMFLVIPYLRVYEVLTMSLVCTSLRDAVNNDVLPWLCIIIETPLNYRLTNETLLKITSKANGRLQKLALMNCIHITNQGLQRVIEQNPFIKELHIPACTSITPEGVLKAVETLCQRNNCLTTLSINGIYNLQKEHLDILTSNLRKNLLKENMQMQQPVYYHKRGSISAYECRENHRIIDLEICPKCSEVIMVYDCPKVDCKMKECRGCIFCIPRCENCGGCVGSEEPEECACGDIMCLECWLKVPKCSCCNKPYCKQHTNWWCISSDSSLMCRVCDENSHGYTYTNEL >CAK8562795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537820295:537820624:1 gene:gene-LATHSAT_LOCUS16261 transcript:rna-LATHSAT_LOCUS16261 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVFKEHGLVWEVFIPARRDKKGKWFGFARFRKVKEARLMAVKLENIIIRGKIFANLPKFNRRWEAKRGKIQMEEGQSVAKNAQDLSKKKKKLFETMNITYADVVGGQ >CAK8539994.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534460280:534462366:-1 gene:gene-LATHSAT_LOCUS9037 transcript:rna-LATHSAT_LOCUS9037 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIDVAHNLFHIIVTIANLVPQLLWYFLQIIVSAWYYILAVGNLLESYFISYGVLEKYKSLPIGKLRYLAIVIESEDAYQISKVVKLLQWLDSIGVKNVCLYDMNGVLKKSKQAIFQEMKNAKSIEEVNEDVTHHVPDHMTLEFVSYVDGKEAVAKAANLIFVENSKRHDLGGELDCQILLEPHLNQALQIVGCKGPEPDLLLVYGPVRSHLGFPAWRIRYTEIVHMGSLNFMRHGSLIKAIYNFTKVHQNYGT >CAK8579004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666741790:666746217:-1 gene:gene-LATHSAT_LOCUS30981 transcript:rna-LATHSAT_LOCUS30981 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSIYRFKGRPVSAALALVVLVLFSTLLWTWETNPIGTTFRSAQEWYHLPSDFHLELPIDSMRTTKPKKVVEKSLTPSRENKTKLQLGIKGAAAVDSIHPQSSDFVHKKDLKSSSRSEACNYANGKWVADSRRPLYSGFNCKQWLSSMWSCRMTQRPDFSFEGYRWQPKNCDMQEFDRSQFLRKMKDKTIAFIGDSLGRQQFQSLMCMVTGGEESPEVENVGWEYGLVKHRGAIRPDGWAYRFPKTNTTILYYWSASLCDLVPLNINDKNTNIAMHLDRPPAFMRQFLHRFNILVLNTGHHWNRGKLNGNRWVMHVNGKPNEDKKIEEIANAKNLAIKSVVRWLDLQLVSHPQLKVFFRTLSPRHFFNGDWNTGGSCDNTIPLSNGSEVSQVGSSDPVIEGALKGTKIKILDITPLSQLRDEAHMSRYTLRGIVNASDCLHWCLPGIPDTWNEILVTQL >CAK8571341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:384646811:384647369:-1 gene:gene-LATHSAT_LOCUS24009 transcript:rna-LATHSAT_LOCUS24009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLIYFGISMILFSSIAMAADHIVGDEKGWTVDFNYTQWAQDKVFRVGDNLVFNYDSSKHNVFKVNGTLFQNCVFPPQNEALTSGKDIIQLKTDGKKWYICGKANHCAARQMKLVINVLEEGAPSPSSSGHSLVSTIFGVFILASLAITAIF >CAK8579751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720766675:720767007:-1 gene:gene-LATHSAT_LOCUS31671 transcript:rna-LATHSAT_LOCUS31671 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASQSSQSASSAATTRPGVMAPRGSAAATAGMRRRRLGGGNTTGSSSAAGASSGGNNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTTLHVFGKLYRYRSAAGAAA >CAK8560311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13939636:13939998:-1 gene:gene-LATHSAT_LOCUS13995 transcript:rna-LATHSAT_LOCUS13995 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRNNAVTNIEFTGEERNRDGLIRERDFIVWVCGGKSEIKLRGGEFKGCDGKGRTLGVVEEVDDGASGAEEEEEEDEDEKKPATNGATPITVATGTVVGLRTVGWAGGAVELRFCCRE >CAK8577894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596244859:596247530:1 gene:gene-LATHSAT_LOCUS29958 transcript:rna-LATHSAT_LOCUS29958 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPFRSSVSFRRSLFDFSFLTQYQVPYRISSSFHTQKRTIPFLLSMAPPSLLRDGENRSESGLGENLGDMNWEGLGFSLTPTDYMYVMKCSKGGKFSQGSIVRYGNIEISPAAGILNYGQGIFEGLKAYRTEDGGVLLFRPEENALRMKVGAERLCMPSPSVEQFVDAVKQTVLANKCWIPPTGKGTLYLRPLLMGTGAALGVAPSPEYTFLIYCSPVGNYHTGGALNLKVEDKLYRSIAGNGGTGGIKSVTNYAPVYTSTTEAKANGFSDVLFLDSATGKNIEEATACNIFVVKENVISTPAIDGTILPGITRKTIIDIAMDLGYKVMERSISVEEMMSADEVFCTGTAVVVTSVASVTYKEARAEYKTGAETLSEKLRGILVGIQTGCVEDKKSWTVQVE >CAK8560161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9305977:9311816:1 gene:gene-LATHSAT_LOCUS13864 transcript:rna-LATHSAT_LOCUS13864 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVESGARRSVKERLDGNISVSTRQQRQVTGKRSRQDDKWEHDLYDDDEQPQITNRKVTSQDLRHKLQRKGLQPATQSGKRTAPNIRDLRERLSGTMNSQPKNSDPPKSKVIAKPSSNSVGAEAPVVKRPANPAPKKARKADTTVDDFLLTMGLEKYLITFQAEEVDMAALKHMNDEDLKAMGIPMGPRKKILLALESKL >CAK8560417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18300707:18312196:1 gene:gene-LATHSAT_LOCUS14087 transcript:rna-LATHSAT_LOCUS14087 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISIFFFSLLFTLTLKARATNTNSSYPSTFQPFHVPQTQKHDIFISFRGTDIRHGFLANLVEALCQKKITFFIDNKLIKGDEIAESLVQAIEIASISLVIFSENYASSSWCLDELVKIVECREKKGQVLLPVFYKVDPRIVRHQNGSYGSDFDEHDMKYSSSKVQRWRYALKKAADVNGFHSSNYLNDAELIKEIVKNVLKRLDQVRQFSSKHLVGIGKQISQLESLLQLDSQDVRVIGIWGMNGIGKTTIAEVMYDTLSSEYEGSYFKAEVRKEWRTHGHLYLKKDLYSTLLGEQDLKIDTQHGLPYFVERRLRRMKVLVVLDAVNDPQQLEILIGNLDWFGKGSRIIVTTDDKQVLAKMVAGKDIYEVKALNSDDSLSLFNLHAFEQNQTYEMEYYDLSKKIVRYANGIPLVLRLFGLHLHGQDKSIWKSKLKKLKKAPVKKVHDIIKLNYNDLDRHEQMMLLDIACFLEGLHLKVDDITLLLNDQGDSMGFKLERLKRKALITISPDDVVSMHNIIRETAWEIVREESNNDPGHQSHLLDPSDIYEVLENNKGSKAIRSIATDLSIIKDLKLNPEVFSKMNKLRYLDIYSKGDYYTFRQFPQKLYLPQGLESLPNELKYLRWAYYPLESLPSEFTAENLVVLNLQCSKVKKLWQEEKDVVNLKELILTLSSNLVELPDLSRAKNLATVDLRSCVQLTSIHPSVFSLNKLEKLDLGGCFSLTSLKSNIHLSSLRYLSLAGCIALEEFSVTSKEMVYLNLEFTGIRKLPSSIGLQKKLKKLLLSHINIENLPKSIRHLSMLRHLELRNCWNLQSLPRLPLSLVTLDASGCVSLENVTFPSTSLQILKENKTRVAFWNCLKLDQHSLKEIELNAQINMMKLAHKQISASSDDHDYDAQGTYVYPGSSVPKWLVYRTKSDSMTIDLSFVNHFSDQLAFIFCFIVPQIESKGFILRFNIRVDGEDENIQVYLDRPGLGIKSNHVYLMYDQGLSRYLNSLMKYQSKFRIKVTLESRTPISGHMRAMLLRGIGVSPINSSQYLNFIQHMEIIDEGTTYLPRGVIYMLFTVYIGLLIKIIFQWF >CAK8573710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:632271979:632274535:1 gene:gene-LATHSAT_LOCUS26119 transcript:rna-LATHSAT_LOCUS26119 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTDEDVKAMFRCHMMFGQLPTIEVYVRLVQDPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEIRMQDIFGNSDDEDNEDEDIVVPSTQPISAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDGIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNERYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTTSMAQDHSKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQSNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRGEIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPKGTFSVDLRNRRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVSGPSNRPNR >CAK8576736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510192452:510192922:1 gene:gene-LATHSAT_LOCUS28908 transcript:rna-LATHSAT_LOCUS28908 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFSPELLKGVVEVGHFHTTSNKLRWILDECSYKKPSVVVWLFALSYEMSRGGSHHRIRGLFERAVGNDMLCSSVVLWRCYIGYELNIAHDPSAARRIFFRAIHSCPWSKRLWLDGFLKLNSILTGKELSDLQEVMRDKELNLRTDIYEILLQES >CAK8563427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:602362783:602363666:1 gene:gene-LATHSAT_LOCUS16841 transcript:rna-LATHSAT_LOCUS16841 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIHAFILRSGFDSNVFVCNEVVSMYDKCNVLVHARKVFEELCHRGTCDLVSWNSIVSAYSHCFVLKVAVSLFREMTVSYGLISDVVGVVNILPVCGFLGLGLLGKQVHAGKARVEQIELFSNFLARILMISLLFFDHRSLVGYPTEIESYIRPGCIILTICLRLENSAWDELCYNLGSRLRKLLAASNNSLWRIGLI >CAK8575747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:301731926:301732702:-1 gene:gene-LATHSAT_LOCUS27983 transcript:rna-LATHSAT_LOCUS27983 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHNNLTTDETTQNSGTTFTRAPPHRRNKSSVWNHFTPDPYLIGIARCNYCDSKLKSNNGTTSMIGHSKICKSNPNNEANKRLKTTPPSTTNVTSPSAIGLGKFDQEKCRQAVVDMIVEMELPYMHVDHKAFRHCMSVLQPRFIPISRSTVARDVLALWDFEREKLKTFLSQHCRSVCLTTDGWTSCQNMTYMCITTHFIDNNWKLHKKILSFVRVLSHSGEVIANTVAKCLDNWGLNNVLTVTVDNAASNDRGIEI >CAK8577361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557182830:557183890:-1 gene:gene-LATHSAT_LOCUS29475 transcript:rna-LATHSAT_LOCUS29475 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVCLPLLSRSVKALSPDGSLFVIVKCFAAGIILGTGFMHVLPDSFDMLWSDCLDEKPWHKFPFSGFAAMLSAVVTMMVDSLATSYYSQKGKKGVIIPAEGEGGDQEMGAVHAGHHHHYQTKTESEESQLLRYRVVAMVLELGIVVHSIVIGLAMGSSNNTCSIKGLVAALCFHQMFEGMGLGGCILQAEYKFVKKAIMVFFFSVTTPLGIAIGIAMSSNYKENSPKALITVGLLNGSSAGLLIYMALVDLLAADFMSKKMQGSIKLQLKSYVAVLLGAGGMSLMAKWA >CAK8573151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589591031:589591933:-1 gene:gene-LATHSAT_LOCUS25631 transcript:rna-LATHSAT_LOCUS25631 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRVMAIEKINGYMQKLEMVKKIFDLLPHFTLHRVLSQISPQFYSKDELTEIEKVTQILCQQTNLPLISQSKVEGVEVSNLKRCRSSNEGGNMFNGERRVKPKLVVKKKPNNHKKEILSSPPPELPNHINNLINVLDGTDIKYIMCKTLFSTDLSSYHNRLSMPISQIKSDFLTEIEKATLETRDQEGKPSCLKVVVLDSDFNEFSLSLKKWNMTSCITYNLVQDWTDVLSKNNFKDYQKIDIWSFRVNGKLYFILDTNEPEEIEKSGKQNNSIVISKTEDKRRSQRMKTANSRWKDFE >CAK8573152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589591031:589591918:-1 gene:gene-LATHSAT_LOCUS25631 transcript:rna-LATHSAT_LOCUS25631-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKINGYMQKLEMVKKIFDLLPHFTLHRVLSQISPQFYSKDELTEIEKVTQILCQQTNLPLISQSKVEGVEVSNLKRCRSSNEGGNMFNGERRVKPKLVVKKKPNNHKKEILSSPPPELPNHINNLINVLDGTDIKYIMCKTLFSTDLSSYHNRLSMPISQIKSDFLTEIEKATLETRDQEGKPSCLKVVVLDSDFNEFSLSLKKWNMTSCITYNLVQDWTDVLSKNNFKDYQKIDIWSFRVNGKLYFILDTNEPEEIEKSGKQNNSIVISKTEDKRRSQRMKTANSRWKDFE >CAK8536793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20924101:20924831:1 gene:gene-LATHSAT_LOCUS6126 transcript:rna-LATHSAT_LOCUS6126 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVLLLTSWAFTRIPFFAPVSTVQPSYPYAQRWAQRRMNYDANPHFHVQGYRNALDHMQERDFIWRPYIQYSVPDLRHSQIWSATTFLICFYTVEIYQMDRAKLQFGFEQQIPSPPRCLREHHTLTMRKAQKVHWRELNKEEVREWKHIRHVILQGDAVVGERKPS >CAK8578453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:630125509:630150152:-1 gene:gene-LATHSAT_LOCUS30465 transcript:rna-LATHSAT_LOCUS30465 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASLSHFSRISLNSKTSLQFESSKIHESQCHPFLLSRRFNSLRKGITLPQRRESPSTRICASLTDVSLDVTVEAKQLPKGESWSVHKFGGTCMGSSQRIKNVADIVLDDDSERKLVVVSAMSKVTDMMYELITKAQSRDESYISSLDAVLEKHSSTAHDILDGDNLAIFLSKLYEDINNLKAMLRAIYIAGHATESFADFVVGHGELWSAQLLSLVIRKNGTDCKWMDTREVLIVHPTSSNQVDPDYLESEQKLEKWYSRNPCKVIIATGFIASTPKNIPTTLKRDGSDFSAAIMGSLLRAGQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMKYGIPIMIRNIFNLSAPGTKICHPAVNDNEDRANLQNLVKGFATIDNLALVNVEGTGMAGVPGTASTIFSAVKDVGANVIMISQASSEHSVCFAVPETEVKAVAEALQSRFRQALDNGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIKALRAVHSRFYLSRTTIAMGIIGPGLIGSTLLDQLRDQTSVLKEEFNIDLRVLGILGSKSMLLSDAGIDLASWKELREERGEVANLEKFVQHVHGNHFIPNTALVDCTADTVIAGYYYDWLRKGIHVVTPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIVSTLRGLLETGDKILQIEGIFSGTLSYIFNNFKDGRAFSEVVGEAKEAGFTEPDPRDDLSGTDVARKVIILARESGLKLELSNIPVESLVPEPLRVCASAQEFMQQLPKFDPEFAKKQEDAENAGEVLRYVGVVDVTNKKGFVELRRYKKDHPFAQLSGSDNIIAFTTRRYKNQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >CAK8566546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434067561:434067785:-1 gene:gene-LATHSAT_LOCUS19669 transcript:rna-LATHSAT_LOCUS19669 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERATYGGNFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQGHRHLQQDLIEHIWQRYGHENNNN >CAK8563807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632277641:632282966:1 gene:gene-LATHSAT_LOCUS17182 transcript:rna-LATHSAT_LOCUS17182 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHEELPIPIYDNLEPVYGGVSSLDEAQLRFETLKSKFAEIFGHAPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKNESEKVLRIANVNDQKYSICTYPADPLQELDLKNHKWGHYFICGYKGFYDYAKLKGVDVGKPVGLDVVVDGTVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKEIAQVTCDCERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGMKTTEAISKVATLSDVEGLCVSFAGTKNSSDPVLAVKEYLKEEPYTAEEIENITGEKLTSFLNINASYLEVIKAAKQYKLHQRAAHVYSEAKRVYAFKDVVSSNQSDEEKLKKLGDLMNESHYSCSNLYECSCPELEELTKISRDNGAFGARLTGAGWGGCAVVLVKENIVPQFILNLKEYYYQTRIDKGVINKNDLGLYVFASKPSSGSAIFKF >CAK8563929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639672840:639675290:-1 gene:gene-LATHSAT_LOCUS17287 transcript:rna-LATHSAT_LOCUS17287 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPTKPQQQGQETDYTVDQLVAFNRYNPDIFPDLENSVNEQVSSQTYSLESNLCLLRLYQFEPEKLSSQIVTRMLVKALMAMPGPDFSLCLFLIPERVQMEDQFKTLIVLSHYLETGRFRQFWDEAAKNRHILDTVPGFEQAIQGYAVHVLSLTYQKVPRTVLAEAINIEGLSLDKFLEHQVANSGWVIEKSQGRGQLIVLPRNEYNDPILKKNTAESVPLENITRIFPILS >CAK8538866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498379733:498384087:-1 gene:gene-LATHSAT_LOCUS8018 transcript:rna-LATHSAT_LOCUS8018 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKRCEPLKESEVKALCLKAIEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVSSFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDGNLNKQFRVFEAAPQDSRGAPAKKPAPDYFL >CAK8575024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22477740:22480220:1 gene:gene-LATHSAT_LOCUS27317 transcript:rna-LATHSAT_LOCUS27317 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRFFNNLTSIPPNNLRRLFSQLSTNPPTQHFPEKINSPNPHPSTRLSQNHILETLLTHKSNPKSAFKFFKTVEKKRGFVKTVDVFCLLIHILSSTPETNRYVRNLLNVYVFSDSTPSAKILVEQLLECSGTYGFETDSDSRVLNYLLNSFVRANKITDAVECFRTMLDHDVVPWLPIMNILLTAMVRRNMVRSARELFDEMVERGMYGDCYTLHVVMRACLKEGKFEEAGRFFEEAKGRGLVLDAATYSIVVQAVCKLPDLNLACGLMKEMRELGWVPSEGTYSAVVVAYVKYGNVKEAQRLMDEMVSVGLPVNVIVARSLMKGYCVRGDVNLALQLFDKIVEGGVAPDVIMFSNLIDGFSIIGNMEKAYEFYTQMKVMGIPPTVSILNALLKGFRKQNLLENAYRLLGEAVELGIANVVTYNIVLLWLCESGKVDEACNLWDKMMSQGITPSLVSYHNLILGHCKKGCMDDAYSVLNDILERGLKPNVVTYTLLIDGFFKKGDSERAFVVFEQMKAANIAPTDHTFNIAINGLCKTGRVSETYDMLNNFIKQGFIPTAITYNSIINGFVKDGAIESAMSAYREMCENGVSPNVITYTSLIDGFCKCNKIGLALEMHNNMKYKGMKLDVTAYSALIDGFCKMQDMESASKFLAELLDVGLTPNTVVYNSMISGFRHLDNMEAALNLHQKMIKNKVPCDLQIYTSLISGLLKEGKLSFALDLYSEMLSKGIVPDIKMYTVLIKRLCNHGQLNNAGKILNEMNGNNVTPPVLLYNTLIAGHFKEGNLQEAFRLHDEMLDKGLVPDDTTYDILVNGKLKISHTLARA >CAK8563806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632113879:632127532:-1 gene:gene-LATHSAT_LOCUS17181 transcript:rna-LATHSAT_LOCUS17181 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNRRSPGGFRSAPAPLHRNFDSPQRWSPGRVGGFRPPGAGDGFRPMGGEGPGDFGFNNHQPSVMSGQKRGFPFSGRGASPDHFDGGNFAKLFVGSVPRTATEEDIRPLFEEHGNVIEVALIKDKKTGQHQGCCFIKYATSEEADQAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQALVKEVEEVFSKYGRVEDVYLMRDDKKQSRGCGFVKYSHRDMALAAINGLNGIYTMRGCDQPLIVRFADPKRPRQGDSRGPAFGAAGFGPRLDAPGTRLPSNNSDPMGDRMPPPNAWRPIHQPNTGTSFNAGFHGMGPPSMPRSGDMALPINAGGPMNGMGVSIDVRFQAQSPSAMPQQNFNQPRPQIPPVNQQIPPSQKPIQSSQEFPPSHQLYPQAPMPYPQTSTLPSLRQHGQPQPLSAGPQGLGINAQFSVPQPQAQQSVLSATFPQTSLDTNIQSNTALTTPSQQQIPPSMQQQQQQQPPLQPLQQSPSQLAQLVSQQTQTLQASFHSSQQAFSQLQQQMQMIQPSTQAMTLQHHAEATKTQSQWGGPVPHAAKGTHVAAPASGAPSSSVVTSSVQAISQNTTLPKCNWTEHLSPEGFKYYYNSVTGESRWEKPEELTLFEQQKQQHSQSVQQSQIQSQPSIPPTQQIAQNQQVQPQSHFREQVPHHQQLQQPSSFQAYGVTGHQNVQELGYKQTSFVSAGDPGRYSQGINTTQELMWKNRPAGV >CAK8564401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672400535:672401791:1 gene:gene-LATHSAT_LOCUS17710 transcript:rna-LATHSAT_LOCUS17710 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIHTSTSFFSSSYVPLRRSVNGAIHVPKTNNISVPKIPRKHVEGLSTFTDQKNVITNTHNFQHGLSDSTVTMQLYAILDSVSDRIEMHNNLSEQRQNWNNLLLNNINMITLTATTLTGVAAATGAMGAPLLALKLSSTLMFSAATGLLLIMNKIQPSQLAEEQRNATRLFKQLRAKIENGISLGNVTEHDVKCLVENVLALDKAYPLPLLGVMLEKFPAKYEPAVWWPRKRKEGNTEERKMKKGNNNNNGWSEELEMELKEVIGVVKRKDIEDYERLGSIILKVNKSLAIAGPLLTGIAAIGSTFVGNGSIAAIVTVMAGSLGAVVNAFEHGGQIGMVFELYRSCGGFFKQLEESVDETLEEKDYEMRENGEIFEMKMALMLGRSVCEMRQLALKSVSCRMEGIEVDEFGSKLF >CAK8541668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:341161966:341163321:1 gene:gene-LATHSAT_LOCUS10571 transcript:rna-LATHSAT_LOCUS10571 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLFNGEKDAYWWILCFEKFFKEHGTPESLKVLKVVGALRGSALKWWIWGSRVHCRYSWDTFTTNLLWRFKPEWREILPEDEEDDPTLKSTYESMESMDPISETVEDDVEEPSSSDLCRKSLKSDTLDRTLTETEEESEATEENCPKEEIITKNASPATILTSSPATFTTPTTLPVTSSATFTTLTTFPTNSPVPFSKSSSLKISASLPTTTSASIQEPSYLQPEPPNLPSKPPDIKPKPRYEAFQVILSKTSSKNLFNKVSHSINSTKEKSFNKVFIVEHVYLGGDVATMDKQMRHLGIVVSDEFTFPFVSRACGGYAEVLEVKKIHGLLFKLGLELYVFVGSALVNTYLKFELVADAHEVFEELPVRDLGKTNTTLSFAIHASEQLIGVCAAKTHNFSTAKTHNFSALLDLHKISLEKSVTYFVIERNIGMLDAHDSKFFLVYTKHF >CAK8536010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897651249:897656558:-1 gene:gene-LATHSAT_LOCUS5416 transcript:rna-LATHSAT_LOCUS5416-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASFLPGIPQPHYPTMYHKVFLSFRGEDTRSSFTSHLYASLRNAGIDVFIDDHRLPRGNYISSSLIRAIEGSQISVVVFSVNYAGSKWCLDELVKIMQCHMARGQFVLPVFYHVDPSEVRHQTGEFGKVFRNLLDKIHANEAGFESWNSKIYQLFRNQLRWAASLAGFVVLNYRNESEAIKDIVENVTRLLDKTDLFIANNPVGVESRVQDMIQVLEKYSQQSNDVLLLGVWGMGGVGKTTIAKAIYNKIGRNFDGRSFLANIREVWEENASQVNLQEKLLLDIFKKMSTKIQSTENGKIILKDKLCHRRVLIVLDDVNTLDQLNALCGSHKWFGSGSRIIITTRDRHILRGNRVNQVYEMKNMNESESIELFSWHAFKQASPTKDFSEISKNVVEYSGGLPLALEVLGSYLFDRELIEWHSVLEKLKIIPNDQVQKKLKISYDGLNDYTEKEIFLDIACFFIGMDRNDVIPVLNGSRLFAEIGISILVERSLVIVDDKNKLGMHDLLRDMGREIVREKSPEEPEARCRLWFQDDVLDVLSNQSGTKAIKGLALKLQRANAKCFSTKAFKKMTRLRLLQLAGVKLDGDFEYLSRDLRWLSWNEFPLTCMPTNLYRGELVSIELENSNVNILWKEVQMMGNLKILNLSHSHCLTHTPDFSYMPNLEKLVLKDCSMLSEVSPSIEHLNKILLINLEDCISLCSLPRSIYKLKSLKTLILSGCRKIDKFEQDLEQMESLTTLLANNTAITRVPFSLVRSKSIGYISMCGYQGFSRDVFPSIIWSWMSPINNVTSLFQTPAAMSSLVSLVVPSSSSHQLSSFSNQLPRLRSLWVDCSSEDQLSLDAKIILDALYATVSKEFESTATTSRPVSDIPTSTLTQSSSQVQVLGSKHSLKSLLIQMGMDCQVTNILRENILQNMDVNGSGGCLFPDNSYPDWLTFNSEGSSVTFKVPQVGGRNLKTMMCIVYASTLDNITEDGLKNVLVNNYSKATIQLYKREALLSFKDEESQRVVSSLEPGNKVEVVVVFENNFIVKKIAVYLVYDKPIGKSMDRYHLPDLNVIACSDDENECSVKRFLTLGEPTDDFNQNRKKKNRVE >CAK8536009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897651249:897660737:-1 gene:gene-LATHSAT_LOCUS5416 transcript:rna-LATHSAT_LOCUS5416 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSFFPGIPQRRYFFHEVFLSFRGEDTRFSFTSHLYASLRNAGIDVFKDDQRLPRGNNVSSSLIQAIDGSQISVIVFSVNYAGSQWCMEELVKIMDCHRTTGQFVLPVFYGVDPSEVRHQTGEFGKGFSKFLDKISIDVNEGGFESWNSRIDSGSALLKHQLFRNQLRQAASLAGFVVLNYRNESEAIKDIVENVTRLLDKTDLFIANNPVGVESRVQDMIQVLEKYSQQSNDVLLLGVWGMGGVGKTTIAKAIYNKIGRNFDGRSFLANIREVWEENASQVNLQEKLLLDIFKKMSTKIQSTENGKIILKDKLCHRRVLIVLDDVNTLDQLNALCGSHKWFGSGSRIIITTRDRHILRGNRVNQVYEMKNMNESESIELFSWHAFKQASPTKDFSEISKNVVEYSGGLPLALEVLGSYLFDRELIEWHSVLEKLKIIPNDQVQKKLKISYDGLNDYTEKEIFLDIACFFIGMDRNDVIPVLNGSRLFAEIGISILVERSLVIVDDKNKLGMHDLLRDMGREIVREKSPEEPEARCRLWFQDDVLDVLSNQSGTKAIKGLALKLQRANAKCFSTKAFKKMTRLRLLQLAGVKLDGDFEYLSRDLRWLSWNEFPLTCMPTNLYRGELVSIELENSNVNILWKEVQMMGNLKILNLSHSHCLTHTPDFSYMPNLEKLVLKDCSMLSEVSPSIEHLNKILLINLEDCISLCSLPRSIYKLKSLKTLILSGCRKIDKFEQDLEQMESLTTLLANNTAITRVPFSLVRSKSIGYISMCGYQGFSRDVFPSIIWSWMSPINNVTSLFQTPAAMSSLVSLVVPSSSSHQLSSFSNQLPRLRSLWVDCSSEDQLSLDAKIILDALYATVSKEFESTATTSRPVSDIPTSTLTQSSSQVQVLGSKHSLKSLLIQMGMDCQVTNILRENILQNMDVNGSGGCLFPDNSYPDWLTFNSEGSSVTFKVPQVGGRNLKTMMCIVYASTLDNITEDGLKNVLVNNYSKATIQLYKREALLSFKDEESQRVVSSLEPGNKVEVVVVFENNFIVKKIAVYLVYDKPIGKSMDRYHLPDLNVIACSDDENECSVKRFLTLGEPTDDFNQNRKKKNRVE >CAK8536011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:897651249:897656507:-1 gene:gene-LATHSAT_LOCUS5416 transcript:rna-LATHSAT_LOCUS5416-3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKVFLSFRGEDTRSSFTSHLYASLRNAGIDVFIDDHRLPRGNYISSSLIRAIEGSQISVVVFSVNYAGSKWCLDELVKIMQCHMARGQFVLPVFYHVDPSEVRHQTGEFGKVFRNLLDKIHANEAGFESWNSKIYQLFRNQLRWAASLAGFVVLNYRNESEAIKDIVENVTRLLDKTDLFIANNPVGVESRVQDMIQVLEKYSQQSNDVLLLGVWGMGGVGKTTIAKAIYNKIGRNFDGRSFLANIREVWEENASQVNLQEKLLLDIFKKMSTKIQSTENGKIILKDKLCHRRVLIVLDDVNTLDQLNALCGSHKWFGSGSRIIITTRDRHILRGNRVNQVYEMKNMNESESIELFSWHAFKQASPTKDFSEISKNVVEYSGGLPLALEVLGSYLFDRELIEWHSVLEKLKIIPNDQVQKKLKISYDGLNDYTEKEIFLDIACFFIGMDRNDVIPVLNGSRLFAEIGISILVERSLVIVDDKNKLGMHDLLRDMGREIVREKSPEEPEARCRLWFQDDVLDVLSNQSGTKAIKGLALKLQRANAKCFSTKAFKKMTRLRLLQLAGVKLDGDFEYLSRDLRWLSWNEFPLTCMPTNLYRGELVSIELENSNVNILWKEVQMMGNLKILNLSHSHCLTHTPDFSYMPNLEKLVLKDCSMLSEVSPSIEHLNKILLINLEDCISLCSLPRSIYKLKSLKTLILSGCRKIDKFEQDLEQMESLTTLLANNTAITRVPFSLVRSKSIGYISMCGYQGFSRDVFPSIIWSWMSPINNVTSLFQTPAAMSSLVSLVVPSSSSHQLSSFSNQLPRLRSLWVDCSSEDQLSLDAKIILDALYATVSKEFESTATTSRPVSDIPTSTLTQSSSQVQVLGSKHSLKSLLIQMGMDCQVTNILRENILQNMDVNGSGGCLFPDNSYPDWLTFNSEGSSVTFKVPQVGGRNLKTMMCIVYASTLDNITEDGLKNVLVNNYSKATIQLYKREALLSFKDEESQRVVSSLEPGNKVEVVVVFENNFIVKKIAVYLVYDKPIGKSMDRYHLPDLNVIACSDDENECSVKRFLTLGEPTDDFNQNRKKKNRVE >CAK8563291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590546581:590554989:1 gene:gene-LATHSAT_LOCUS16717 transcript:rna-LATHSAT_LOCUS16717 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAVSGAESPRRRQGLLRDQVQLVKRKDSSDRYEIVPIQDSLSFEKGFFIVIRACQLLAQNNDGIVLVGVAGPSGAGKTVFTDKVFSFMPSIAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKSGKPIQVPIYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPTYILKSAKVVTVDQIKEVIAAEYTETKEETYDIYLLPPGDDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFHDKKVSIKTDWLEQLNRQYVQVQGKDRNYVKFVAQKLRLDGSYVPRTYIEQIQLEKLVNDVMTLPDDLKNKLSIDDDSVSSPKEALSRASADRRMKYLNRDISQSYSNRRDKILPKLTKLAINNRSFNARALESPAPIANQGVIIQLSDQISTLNERMDEFTSRIEELNSNFDVKKVSASQQNMALQAETCNGSGPTSHFVTGLGNGSLTGSLLPNSLSSSQLVRESPLMEEVLLVARGQRQIMHQLDTLSNLMQEYCGEKSRLGRTDQVGKIRVVESFASSGSDFSRWCCWCVLVQGFDI >CAK8563292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590546581:590553062:1 gene:gene-LATHSAT_LOCUS16717 transcript:rna-LATHSAT_LOCUS16717-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAVSGAESPRRRQGLLRDQVQLVKRKDSSDRYEIVPIQDSLSFEKGFFIVIRACQLLAQNNDGIVLVGVAGPSGAGKTVFTDKVFSFMPSIAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKSGKPIQVPIYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPTYILKSAKVVTVDQIKEVIAAEYTETKEETYDIYLLPPGDDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFHDKKVSIKTDWLEQLNRQYVQVQGKDRNYVKFVAQKLRLDGSYVPRTYIEQIQLEKLVNDVMTLPDDLKNKLSIDDDSVSSPKEALSRASADRRMKYLNRDISQSYSNRRDKILPKLTKLAINNRSFNARALESPAPIANQGVIIQLSDQISTLNERMDEFTSRIEELNSNFDVKKVSASQQNMALQAETCNGSGPTSHFVTGLGNGSLTGSLLPNSLSSSQLVRESPLMEEVLLVARGQRQIMHQLDTLSNLMQEYCGEKSRLGRTDQVGKIRVVESFAILWF >CAK8569262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688295626:688296513:1 gene:gene-LATHSAT_LOCUS22137 transcript:rna-LATHSAT_LOCUS22137 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLNTKSNFHGRSTSLPSRAHPLILKCNDHLDTLLKSSNETYSSSLLFHKIDGLRDLIECVENLIQLPLTQEAFINEHQENWVNNLLDGSLRVLDVCSAAKDAVIHTKECTRELQSIIRRRGSGTEVTAEAKKFLTSRKVVRKAISKALTNLKGNTKNCNDLSRNKDHQKEALIKLLQDVQVATLSTFQTILQYISGTTQSKSNSWGSISKLIRAKRVACSQLTDDSEFAKVDAALESFLFTKARKVEGINDLQNHLEKTESCIQDLEQGLEFLFRRLIKIRVSLLNILNN >CAK8541816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:407336355:407337580:-1 gene:gene-LATHSAT_LOCUS10710 transcript:rna-LATHSAT_LOCUS10710 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPLIMLFSSLFIHASLAEIVCEELQKDLCSFSIASSGKRCLLETEKAADGVVEYQCTTSEVVVERMAGYIETDQCVKACGVERNFVGISSDALLESQFTAKLCSPSCFQNCQNIVDLYYNLAAGEGVFLPDLCEMQKTNPRRAMVELVSSGAAPGPVSSVSEDIHAAFAPAPL >CAK8539432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512677268:512679534:-1 gene:gene-LATHSAT_LOCUS8529 transcript:rna-LATHSAT_LOCUS8529 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISRSPAITSRRKKPPHPSPSPRCSKTKSKSKLVKILKRCSSAPLLISRGDREDEDDVVDLVSQYSFRSRGGTLSRLQTFSNAFVSSPSLFPSSPKIYSNEMKGYDKEAKVVVNVTVEGSTGPVRTMVKLGSTVEHTIKHVVDKYREEGRSPKIDSNVPSSFQLHLSYFSLQGLDKSEVIGDVNSRNFYMRKSNAESTSNQDLTKHCSANTSMLLPSFVALKINQIIRRAHRFWKILVCSP >CAK8539433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512677268:512679534:-1 gene:gene-LATHSAT_LOCUS8529 transcript:rna-LATHSAT_LOCUS8529-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISRSPAITSRRKKPPHPSPSPRCSKTKSKSKLVKILKRCSSAPLLISRGDREDEDDVVDLVSQYSFRSRGGTLSRLQTFSNAFVSSPSLFPSSPKIYSNEGDLILLSINQMKGYDKEAKVVVNVTVEGSTGPVRTMVKLGSTVEHTIKHVVDKYREEGRSPKIDSNVPSSFQLHLSYFSLQGLDKSEVIGDVNSRNFYMRKSNAESTSNQDLTKHCSANTSMLLPSFVALKINQIIRRAHRFWKILVCSP >CAK8540607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12376129:12379827:-1 gene:gene-LATHSAT_LOCUS9596 transcript:rna-LATHSAT_LOCUS9596 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYTDIGKKARDLLYKDYHSDKKFTISTYSPTGVAITSSGTKKGELFLADVNTQLKNKNITTDIKVDTNSNLFTTITVNEPAPGVKAILSFKVPEQTSGKVELQYLHEYAGISSSVGLKANPIVNFSSVIGTNALAFGADLSFDTKLGELTKSNAAVNFVKDDLIGSLTLNEKGDVLSASYYHAINPLSNTAVGVDISHRFSTKENTFTLGTQHALDPLTTVKGRVTNSGKASALIQHEWRPKSLVTISSEVDTKAIEKSAKIGLSLALKP >CAK8572681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555326575:555326910:1 gene:gene-LATHSAT_LOCUS25214 transcript:rna-LATHSAT_LOCUS25214 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKKEVRNDGDDSDEEKEDMKIEKFYSLLRSFRDARDRLRRREELHDQLDQKERNKKKMKIEPCFELQDFTTDIHFPFNFSDPVKNNKKSEKKDQLKEHALDLKLSLSH >CAK8530279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11519190:11522126:1 gene:gene-LATHSAT_LOCUS157 transcript:rna-LATHSAT_LOCUS157 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLSDEAVAKTMEEIKSNNDSILPQHIHKVSSPPKQSLFQDIKHNFNETFFSDDPFGKFKNQTGSRRFVLGLESVFPIFEWGRDYNLKSFKGDLIAGLTIASLCIPQDIAYANLANLEPQYGLYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTLLTDEIPNFKSHEYLRLVFTATFFAGITQMALGLLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGLLGIKQFTKKTDIVSVMRSVFSAAHHGWNWETIVMGVSFLAFLLTTKYIAKKKKNLFWVAAISPMICVIVSTFCVYITRADKQGVAIVKHIRQGVNPSSVYEIYFSGKYLSAGVRIGVISGMVALTEAVAIGRTFAAMKDYSIDGNREMVALGTMNVIGSMTSCYVATGSFSRSAVNYMAGCKTAVSNIVMSIVLLLTLLVITPLFKYTPNAVLASIIIAAVMSLIDIKAVILLWKIDKFDFLACMGAFFGVIFQSVEIGLLIAVAISFAKILLQVTRPRTAILGKLPGTTIYRNILQYPKASQIPGMLIVRVDSAIYFSNSNYIKDRILKWLADEEIQRTSSEFRSIQYLIVEMSPVTDIDTSGIHAFEDLIKSLEKRDIQLLLANPGTIVIEKLHASALSGLIGEDKIFLTVGDAVATFGPKGADL >CAK8531958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184499618:184502897:-1 gene:gene-LATHSAT_LOCUS1709 transcript:rna-LATHSAT_LOCUS1709-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKEAFNISDLSSALNEEDRADLVNALKSKIQSLAGQHSDVLESLSPVVRKRVEVLREIQSEHDELEAKFLEERAALEAKYQILYQPLYTKRYDIVNGVAEVEGVAVETTDAEEDKEKGVPSFWLNAMKNNDVLGEEITERDEGALKFLKDIKWTRIEEPKGFKLEFFFDTNPYFINPVLTKIYHMVDEDEPILEKAIGTEIEWLPGKCLTQKVLKKKPKKGAKNAKPITKTETCESFFNFFNPPEVPEDDEDIDEDMAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQGEEFGDLDDEDEDEDDDAEEDDEDEDEDEDDDDEDEEETKTKKKSSASKKSGIAQPGDGQQGERPPECKQQ >CAK8531959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184499618:184502897:-1 gene:gene-LATHSAT_LOCUS1709 transcript:rna-LATHSAT_LOCUS1709 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKEAFNISDLSSALNEEDRADLVNALKSKIQSLAGQHSDVLESLSPVVRKRVEVLREIQSEHDELEAKFLEERAALEAKYQILYQPLYTKRYDIVNGVAEVEGVAVETTDAEEDKEKGVPSFWLNAMKNNDVLGEEITERDEGALKFLKDIKWTRIEEPKGFKLEFFFDTNPYFINPVLTKIYHMVDEDEPILEKAIGTEIEWLPGKCLTQKVLKKKPKKGAKNAKPITKTETCESFFNFFNPPEVPEDDEDIDEDMAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQGEEFGDLDDEDEDEDDDAEEDDEDEDEDEDDDDEDEEETKTKKKKSGIAQPGDGQQGERPPECKQQ >CAK8535185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821552470:821552742:1 gene:gene-LATHSAT_LOCUS4659 transcript:rna-LATHSAT_LOCUS4659 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATKAWVVASSIGAVEALKDQLGVCRWNYAFRSLHQHAKSNIRSYTQTKKLSSSSSAAVSNKVKRTKEESMKKVMDLNCWGPSTARF >CAK8566437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:423181553:423184377:-1 gene:gene-LATHSAT_LOCUS19568 transcript:rna-LATHSAT_LOCUS19568 gene_biotype:protein_coding transcript_biotype:protein_coding METSRGNGTVSVSYDRHGLLEVCVKNDRNVGSYGIFLGDNPFDFPLPATIFQLIVIITISQALYFLLRPLQTPKFICSLLGGILLGPSFLGKSEIYWKALFPARQADVLVVTSIIGVIYFLFFVALKMDLLMTVRAAKSTWRLGIIPFLASFIVISTLINVFYLPNNFPHLKSDTSRTALSATMAFSNFPVVSEALIELNLIATELGQIALSSATLNDVIQFSTIVSHHLTDTTKVKYLVFGTMSWVLFLLCSFFILRPIMKMIAQNTPIGKPVKRIYVVFILLGVLVMAGITDIIGVTFLIGPLIYGLVIPSGPPLGTTLVEKCEVIILEFLLPFFFADVGMTTNLSVLHSWKEFLTLQLILFAGDIAKVIACVLVSLSYDIKPKHGTVLGLMLNIKGVTHLIALTKLRKLKILEDETYSHLVLSVMLTTGFITPIIKILYKHRPRALKSSHIYDGQMRTIQNSSRNSEFRIVTCLYNEGNVRGITTLLEVCNPVPASPLGVYVIHLIELLGKSAPILLPINYRRNKKLLSVNYPDTNHIMQAFENYSNNSSGPVIVLPYVNVAPYKSMHDAVVNLAQDKLAALIIIPFHENNHIDLSGHTSTSIRKLNTRFQAHVPCTLGILVDRYSRLGAANTKNYFHVGIFFIGGPDDREALALGIRMSDRENMKVSLFRFIVMNKKQCVSKSQSGREMSVSEQEYEDMLDEGLIDEFKSMKFGIGNVCWYEVAVEDGVEVMKAIRGLEGDYDLVMVGKRHTIGSLRDEEMGNFIENVQILGIFGDMLSSTEFCIGMVPVLVTQCGGDKRAMRLDRVGSNNVSERSVFNGSQKSVFNGSQKSLNVNK >CAK8578957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664174677:664175924:1 gene:gene-LATHSAT_LOCUS30935 transcript:rna-LATHSAT_LOCUS30935 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDDLYITVPSLFRCPISLDVMKSPVSLCTGVTYDRSSIQRWLDNGNNTCPATMQILQTKDFIPNRTLQRLIQIWSDSVRDRVDSPDSHLSGDSFDKRDQLLVALTDLESGSENRFESLEKIVRFAKDSEENSVFLAKTEGFVALLVGFLDNVDGGVERSVELLEQVVIALDLVLSKIENRESILKNQKEREGESVDSLLLVLKQGSCESKTASIRVLKTIADDNESKLLIAEKEGLLSQLLNLITPENDVNLIENSLSCLVAISTPKRNKAKLIHHGAVKVFSNILTSNLSVSVMENVLKLLETVSSTKEGRSEICEEPSCVAAIANKVLKVSTVATEHAVTILWSVCYLFRDQKAQEAVTKANGLTKILLLMQSNCTPHVRQMSVDLLKIFRVNSKSCLSSYDTKTTHIMPF >CAK8574335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675940378:675944522:1 gene:gene-LATHSAT_LOCUS26690 transcript:rna-LATHSAT_LOCUS26690 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTEFASTLLGVAYRKPKTLNLLSNNTRIRKTTFLHRSNSSPVSKLLCNNIHTRQVRSFTVASSSTASPPQIDEDEEVSTVIPPDNRIPATIITGFLGSGKTTLLNHILTAEHGKRIAVIENEFGEIDIDGSLVAAKTAGAEDIMLLNNGCLCCTVRGDLVRMISELVTLKKGKFDHIVIETTGLANPAPIIQTFYAEENIFNEVKLDGVVTLVDAKHAGLHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGESDINSLVQRIRKINTLASLKRTEYGKVNLDYVLGIGGFDLERIESAVNDEGSKEEDHAHSHEHDHDHNHEHHHHEHDHHHHDESHDHKHDHHSHDHTHDPGVSSVSIVCEGNLDLEKANMWLGTLLMEHSEDIYRMKGLLSIEGMDERFVFQGVHDIFQGSPERLWGADEPRTNKIVFIGKNLDTKELEKGFKACLL >CAK8561537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:278875403:278876407:-1 gene:gene-LATHSAT_LOCUS15119 transcript:rna-LATHSAT_LOCUS15119 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLVQDPTTRRIWFGIATAHDFESHDDITEERLYHNIFASHFGQLAIIFLWTSGNLLHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGAIFLLFLSFISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSYLAWVGNLVHVAILGSRGEYVRWNNFLSVLPHPQGLGPLFTGQWNLYAQNPDSSNHLFSTSQGAGTAILTLLGGFHPQTQSSWLTDMAHHHLAIAILFLIDGHMYRTNFGIGHSIKDILEAHIPPRGRLGRGHKGLYDTINNSYTRFN >CAK8543228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590541196:590541459:1 gene:gene-LATHSAT_LOCUS11999 transcript:rna-LATHSAT_LOCUS11999 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRKCGIVQPRQHPTLLFTELEPTFYKVALKDPKWLAAMNNEYQALGKNQTWALTSLPPNRHAIGCKWVFRIKQNSDGVLLLIPC >CAK8567653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533836537:533840120:-1 gene:gene-LATHSAT_LOCUS20686 transcript:rna-LATHSAT_LOCUS20686-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSNNSSSSSSNNSSPSSSSSSSSWIHLRSVLFVVTSSSPASCSSSDRGRLKSPWSRRKRKRVLSPQQWKNLFTHDGKIRDGGLKFLKRVRSGGVDPSIRAEVWPFLLGVYDLDTTKEKRDNIRTQNRKKYEKLRRQCRQLLNSNSGSFKFFEIGEISYEGDGVSLVQDSGSPSSEDAASARESLSSGEQSPDFGYSDDPSISLLEGDDAPNSSYADASALDSDSTDSDSSESPGVIQTFPSDDALEENNIETTSKENSSPSQMNDTSKLRSSEDFATWQRIIRLDAVRANAEWMPYSPSQAVVHESRAHRSAEAVGLKDYGHLDAGRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVISEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLDIVAKIIKFKDSHLFRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRSGIGKSAWNRIRQRAPPTDDLLLFAIAASVLQRRKLILEKYSSMDEIIKECNGMAGQLDVWKLLDDAHNLVVTLHDKMKIEASFR >CAK8567652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533836537:533840492:-1 gene:gene-LATHSAT_LOCUS20686 transcript:rna-LATHSAT_LOCUS20686 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTNLNANNDTPLLSHGGAARFSFITALSTPSTAALLFTAFAGGISLVAVVFFYGASRGRLKSPWSRRKRKRVLSPQQWKNLFTHDGKIRDGGLKFLKRVRSGGVDPSIRAEVWPFLLGVYDLDTTKEKRDNIRTQNRKKYEKLRRQCRQLLNSNSGSFKFFEIGEISYEGDGVSLVQDSGSPSSEDAASARESLSSGEQSPDFGYSDDPSISLLEGDDAPNSSYADASALDSDSTDSDSSESPGVIQTFPSDDALEENNIETTSKENSSPSQMNDTSKLRSSEDFATWQRIIRLDAVRANAEWMPYSPSQAVVHESRAHRSAEAVGLKDYGHLDAGRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVISEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLDIVAKIIKFKDSHLFRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRSGIGKSAWNRIRQRAPPTDDLLLFAIAASVLQRRKLILEKYSSMDEIIKECNGMAGQLDVWKLLDDAHNLVVTLHDKMKIEASFR >CAK8533411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615204804:615205229:-1 gene:gene-LATHSAT_LOCUS3036 transcript:rna-LATHSAT_LOCUS3036 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIKDHNGKLSEQRIEYEWRTKFCQTCLKIRHNCDLKKHSGKKIQPDMIWKPVASKNTKTQLEGEVSKNSIEEELSKVKRGDLELENNAMDTWTTVTSGRMDKGKKAMIQTLKSSFVAYQKSFTPLRIGEGSMGDNNFDQ >CAK8532554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:293625272:293625901:-1 gene:gene-LATHSAT_LOCUS2247 transcript:rna-LATHSAT_LOCUS2247 gene_biotype:protein_coding transcript_biotype:protein_coding MFNISSNSHTISSKASNVELRLASSCPKKKAGRKIFKETRHPVYRGVRKRNLDKWVCEMREPNKKTRIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSVWRLPIPATSDTKDIQKAATEAAEAFRPDKILMTKDVDNAVPVIVLPAIAIEKLNMFCIEEEEGMTNMPELWRNMALMSPAHSFEYQEYENIDVEDYQDEEVSLWSF >CAK8543097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578206764:578209318:1 gene:gene-LATHSAT_LOCUS11881 transcript:rna-LATHSAT_LOCUS11881 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTNWPWKPLGDFKFVILTPWIAHTIYSFTWTQPDPMYYLVLPFVFIRMLHNQIWISVSRYQTANGKRRIVDKGLDFEQVDRETNWDDQILLTALLFYITYMVFPMASDLPWWRTDGVVLTAILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVAHPFAEILAYFTLFLIPVFTTLFMKKSSIAALYGYILYLDFMNNLGHCNFEFIPKKLLSFFPLFKYLSYTPTFHSLHHTKFRTNYSLFMPIYDYIYGTVDTSTDTMYEKCLKRPKESPDVVHLTHLTTFDSIYQLPLGFSSLASNPQTSKWYLHLMWPFTMFSMLITWFCGRAVVIESNTFNDLKLQCWLIPKFQTQYFSKKHRQTLNSLIENAIMEAELNGAKVISLGLFNEKHFSAHCELYIGRFPELKIKIVDGSSLVAAIVLNNIPKGTSKVLLRGKFNKVVYAIANALCTKNIKVGVLYKDEFDELAKRVIKSKENLCISPINTPKIWLVGDEWDEDEQMEAPEGSLFIPFSHFHPNYMRESCFYHYTPSMITPNTFMNSHSCENWLPRRVMSAWRIAGIIHALEGWNVDEYGDTILDTKKVWEATIRHGFQPLKIYSHKPSVTN >CAK8576883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521978822:521979256:-1 gene:gene-LATHSAT_LOCUS29045 transcript:rna-LATHSAT_LOCUS29045 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRVIKMAKKWQKMAVRRRKRISYLRNEVGLKSSAVNKGHFVVYSFDSKRFVVPLEYLNTNVFRELFKWSEEVFGLPGNRPIMLPCDSVFLNYAISLVQERIPEDVERALITFMYACHNKASSNSCHDVRQKSEQIVIYGF >CAK8575095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:28400611:28403958:1 gene:gene-LATHSAT_LOCUS27384 transcript:rna-LATHSAT_LOCUS27384 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGMLPISASKRGKLLAAGYTTLHAISRTSTTILARDIEVSESEASEILKFATKTSALDRSSGSNTNIIDGGQTAWDMLNEERFSSLVTTSCVDLDNILGGGISCKEVTEIGGVPGIGKTQIGIQLAVNVQIPLDYGGIGGKAIYIDTEGSFMVERVLQIAEACIEDMSEYSHHFHKDNQAYGVKMHPNSILENIFYFRVCSYTEQIALVNYLDKFVTEHKDVKIIIIDSVTFHFRQDFDDMALRTRLLGEMSLKLMKLARNFSLAVVMLNQVTTKHIEGSFQLTLALGDSWSHSCTNRIILYWNGDERHAYIDKSPSLKSASASYSVTSRGIRNCNSTSNSKRIKMV >CAK8542397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:508692504:508695190:-1 gene:gene-LATHSAT_LOCUS11238 transcript:rna-LATHSAT_LOCUS11238 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEEREENAAEPEPKNSNESTRPEDDNEEEEEPDAVAVVEEIQQVIESVVQFGEYRKMQRKESQILARRFKHMLPLMEDLRELHQPVPQNGLVWLKNLRDALLFAKDLLKLCNQGSKIHLALEGESVMIKFQKVYKKLSRAFKGVPFEELGISDEVKEQLELMHVQLTRARRRTDTQDIELAMDMMVVFSDDDDRNADSAIVERLAKKLELHSVEDLEVETVAVGLLARERKGQQEESTQKIIGLLNKFKRIAGMEETAVVLDDDPAMPNKMLLRSTSLIIPHEFLCPITLEIMTDPVIVASGQTYERESIEKWFKSNHNTCPKTRQPLEHLQLAPNCALKNLISEWCQNHNFTLPKISTTSCQETSSTENQEAIPSLVESLGSINLEHQRKAVERIRMLSKENSENRILVAKHGGIPPLVQLLSYPDSKIKEHAVTALLNLSIDEGNKKLISKEGAIPAIIEVLENGSIVAKENSAAALFSLSMIDENKEVVGMSNGIQPLVNLLQNGTVRGKKDAATALFSLSLNHANKDRAIRAGIVTPLLALLKDKNLGMVDEALSILLLLVLNAEGRQEIGQLPFIETLVEFTRQGTPKNKECAASVLLELCSSNSSFTLAALQFGVYEHLIEIKESGTSRAQRKANAILELISKSEQI >CAK8542749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542413090:542417805:1 gene:gene-LATHSAT_LOCUS11558 transcript:rna-LATHSAT_LOCUS11558 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESQQLDPNKNEVIRLERESVIPVLKPRLIMTLANLIEHSSDRAEFLKLSKRVEYTIRAWYLLQFEDLMQLYSLLDPVHGAQKLEQQKLTSEEIDVLEQNFLTYLFQVMEKSNFKIVTEDEIEVAHSGQYLLNLPISVDESKLDKTLLKKYFEKHHHDNLPDFSDKYVIFRRGIGIDRTTDYFVMEKVDMLIGRFWAYLLRITRLEKIFSRKSKPNKKDSKGNEMIREGTGDDFYVERIRLENMQLSSRNLLGKTLIQEPTFDRIIVVYRRASTKSKTERGIFVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLVSAVVGLVAVFSSLEMPSADWWVIFAVLSTVIGYIVKTYFTFQQNLAQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVILSFFILMEQGKATRQDLDHWCEELIKEEFGEECDFDVDDAVGKLEKLGIVSRDSIGRYQCVGLKRANEIIGTTTEELVLKARQGNLTT >CAK8575346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:90303971:90305140:1 gene:gene-LATHSAT_LOCUS27616 transcript:rna-LATHSAT_LOCUS27616 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEHAVGTELVMSNVIGEEEIDFSCDPYVGLEFFNADDALRYYISYATRMGFKVRIGQLYRSRTNGSVSSRRFVCLKEGHQLSSRTGCPAFIRVQLNDSGKWVVDHFHKEHNHNLENESENFAPTLQPIASATVDSSTGITRRPRKKLLEVGNGEPISPFGVINFKRLRKEELEGQARIEPHVGQEFSSPVEAYQFYHTHAAYKGFRIRNGQLFRSKNDGGITSRRFVCSKEGFQHPSRVGCKAYLRIKRQPSGKWVVDRLEKDHNHDLVPEKETRTASLPASNILTEVVNTEMVNSDMFRIDNYPVLRGGRQNHIKSDWYNMLLEYFQSRQAEDTGFFYAMEVDNGNCMSIFWADGRSRYSCSQFGDVLVVDTSYRKSLSMVPVAP >CAK8562094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439746835:439747184:-1 gene:gene-LATHSAT_LOCUS15620 transcript:rna-LATHSAT_LOCUS15620 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLLFTSLNAVLCATITPVYDFVCFLPYWERRRERRRLEREASNHQRI >CAK8578659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:644619832:644622675:1 gene:gene-LATHSAT_LOCUS30651 transcript:rna-LATHSAT_LOCUS30651 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWYRESSDYRVSSNAKPEQNQVTMKERYEHDDSKLPSNPKEVEDLRRDSAANPLIAFTYEELKIITGHFRPDRVLGGGGFGSVYKGFISEELREGLPSIPVAVKVHDGDNSHQGHREWLAEVIFLGECSHPNLVKLIGYCCENEHRVLVYEYMARGSVENNLFSKILLPLPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKQRPAREQNLTEWALPLLKEKKKVLSIIDARLEGNYPIKGAHKAAMLAYHCLNKNPKARPLMRDIVDSLEPLTTYSELPIEKTFTIITEVAETDVKKKEAK >CAK8539153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505616011:505616310:-1 gene:gene-LATHSAT_LOCUS8273 transcript:rna-LATHSAT_LOCUS8273 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGSLLTQYLLKKGGLIKETWMDEDLREAILKYVDVAAKEPKFIAPAYAETRPEPLFAKLGSEDEEK >CAK8534148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699201817:699205749:-1 gene:gene-LATHSAT_LOCUS3715 transcript:rna-LATHSAT_LOCUS3715 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAESQRTLYPYVTGSSVVAIKFKDGILMAADMGGSYGSTLRYKSVERLKPIGKHSLLGASGEISDFQEIMRYLDELILNDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGIKKGQKYLGTVNMIGINYEDNHVATGLGNHLARPILRDEWNENLSFEEGVRLLEKCMRVLLYRDRSAVNKIQISRITEEGATVFPPYSLKTYWEFSAFKNPTVGAEGSW >CAK8540088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538968839:538976168:-1 gene:gene-LATHSAT_LOCUS9125 transcript:rna-LATHSAT_LOCUS9125 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNNNNKRGVLNRLKTLINNSRLFCSSSPKGPMQDHSSSETESGYSIGRGRIDISKGKTFDARELGITSSMISRPTQYVLKLLQDKGYKSYLVGGCVRDLLLNRTPKDFDVVTTAQLMEARRQFRRSARRADVVGRRFPVCLVHIKGSIIEVTSFETESKTSKGMEKVLHSMLPKCSNKEDRYFCKSTLRRDFTINSLFYDPFANKIYDYANGIADLRSLKLETVIPAQVSFKDDPGRILRGFRIAARLGLSLSRETEAAIWTCSSLVKDLNKDRMMIELNYMLSYGASESSLCLLWKFKLLQFWLPVHAAYLDEQATKEDGQASNMLMKLFFHLDNLVGCDRPSDCILWIGLLAFHLALVNNPQDALVVWALASVLYHGEWQEGIKFAKEHAKMYVNFAPEIKRSSADKSDEEIAETVTKLASLVIDSIHALVNINRFSQSLSRYPSVPRPHVVIVSRKTGKAVSKIFDVLIDDIKFYKSERKSAKINYDMLGSGHISETRFVLGKIVLETMRSGIVGEGDGSEVEKCHLKTEGTKGFGQMVAKKEKRKVLSTPNLEHRPEKLKKKKLAENTRIAEQKKGLSKYKETDEEHQKPVKLGQQVDLSKKNSMPTNKCSNREQLINDIDRKQIVSARKSSKDHARHLKMNEHRTPSQSTVSKNNKVIANNHNINKGVTTDESVDKVVNEKGRQQPQKSKKSLSPLFCQGRHS >CAK8563968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642662739:642663485:1 gene:gene-LATHSAT_LOCUS17321 transcript:rna-LATHSAT_LOCUS17321 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASEIAQKLNLLPHPEGGFYAETFRDHSVHLSTSHLPPEYKVDRPVSTCIYFLLPSGNMSRLHRIPCSETWHHYIGEPITVVELNETDSSVKFTCLGSDLTKDQTPQYTVLPNVWFGSFPTSDFTVSADGSFLKGPERDGESHYSLVGCTCAPGFQFQDFELAKRSYLVSRFPQFETLINTLTFPE >CAK8540478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8517213:8517590:-1 gene:gene-LATHSAT_LOCUS9480 transcript:rna-LATHSAT_LOCUS9480 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTGRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGVEKPKSI >CAK8542794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547195007:547196491:1 gene:gene-LATHSAT_LOCUS11601 transcript:rna-LATHSAT_LOCUS11601 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCYTPRVNTPQQPTFSSDKARFFLQNCNNFKQLKQIHARIIRFGLTHDQLLIRKLCQISSSYGKLNYSSLVFDQLDSPDTFTWNVMIRACNTSGSPEKAIVLFKEMMCHGFVPDKFTYPFVVNACVASGDVDFGRVVHGVSIKMGFWSDVYVQNNMMNLYFKCGGDVVDDGWKVFDKMRVRNVVACTTVIAGLVGCGRLDTAREVFEKMGSKNVVSWTAMIDGYVKHGNPIMAFDLFERMLIDNVRPNEFTLVSLIKACTDLGSLKLGRRMHDFALKNGFEVGPFLGTALIDMYSKCDSLDNAVEVFGLMQVRNLATWNTMITSFGVHGFRDTVLALFDEMERTNVVPNAITFVGVLSACVQMNDLEKGHKYFSLMTEHYGITPIFEHYTCMVALYVRANELNEICTLGNTMSLSMKATHNVAELLRESKLTSIDDIEKLIHKHYRNSDLSELVLDHSATPTQPNFNRPYLASILKAVGDPGYYNISKTSP >CAK8537066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:75620056:75628016:1 gene:gene-LATHSAT_LOCUS6382 transcript:rna-LATHSAT_LOCUS6382 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMYGVPTTVEYGEKTLMTPENLIFPTDYNSFLMSTSSTGRIPMFGSDDIFTAEPSSAGIQDDVASSVMKAKIASHPYYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDQCKRDVVVSTCFGADPELDEFMETYCDMLVRYKSDLTRPFDEATTFLNKIETQLSHLCTGGGGASVPTPSDDGGASSEEEDLSTGDDVQDGQSKSEDRELKDRLLRKFGSHIGTLKLEFSKKKKKGKLPKEARQTLLQWWNLHYKWPYPTEADKIELAKTTGLDQKQINNWFINQRKRHWKPSENMQFSMMENFSGRFLTEE >CAK8563084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572384698:572387864:-1 gene:gene-LATHSAT_LOCUS16529 transcript:rna-LATHSAT_LOCUS16529 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELTRKGEGAAKFKQGLGFSTSSSNDAVPKPGSALASSSSFLSQFVKASSSSTPINPPEPDRKAQLQSIQNKLKKKPTTESRISEKPNHGYSSSRDSDRRKRRSRSRSRSGDRYRERGRDRDSRRRSRSRERYNGYRDRDRSRSRSGSDGDRSRDRRKRERERERERERERGRRRSRSVSPRKQRGSEVRTNDVRERGKVSGMLKGKNVGVDYGKLIEGYDNMAPAERVKAKMKLQLSETAARDTERGVGWERFEFNKDAPLDDEEIEVAEDDASLVKHIGQSFRFSSFESKREEQIQAAHDEAMFGATAPPPPTISTDSEPERENEKEVDNKKDLVSSLLSETVLAKQKGSWRDRVRQT >CAK8531421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108855815:108856603:-1 gene:gene-LATHSAT_LOCUS1214 transcript:rna-LATHSAT_LOCUS1214 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLILLLSLAFSLSVVFAADPSTLQDFCVTNPIAQGNSVCKDSKLVEANDFFFSGLHIAGNTTNPAGSRVTPVFASQLPGLNTLGISLARIDIAPWGVNPPHSHPRATEILTVLEGTLEVGFITSNPENRHFTKVLQKGDVFVFPIGLIHYQRNTGYGNVVAIAALSSQNPGAITIGNAVFGATPDISSGVLTKAFQLDKNTVNYLQTKF >CAK8576858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:520634368:520634676:-1 gene:gene-LATHSAT_LOCUS29021 transcript:rna-LATHSAT_LOCUS29021 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLIRITGGMKVKADRDESSPYAAMLVAQDVATWCKESGITALHIKLRAPGGNKTKTPCPGAQAALHALDCSGMKIGRVEDVTPIPSDSTRRKSGRKENG >CAK8531488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115059307:115059998:1 gene:gene-LATHSAT_LOCUS1277 transcript:rna-LATHSAT_LOCUS1277 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKVSRCLNLGSYNYLGSAAADEYCTPRVIDTLKKYSPSTCSTRVDGGTTALHNELEECVASFVRKPAALVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNVPAHLEEVLREQIADGQPRTHRPWKKIMVVVEGIYSMKGKLCKLPEIIAICKKF >CAK8532710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:495697302:495698398:-1 gene:gene-LATHSAT_LOCUS2388 transcript:rna-LATHSAT_LOCUS2388 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPIMIADLKLGNNVWKLAIRIVDLWTVTERNGQQHFECVIQDSKGDKIHVVTRNRDFDLWKQRLQEHMTYMVYNGDPLNNDIPLKICENPLKLFFNSGTTVTMVDLPEIPPHQFHFKPIVDFLHGDFQVNRLYVVRSQVAGGGKKACVNITLSDEIHRKMVHLKCLERIQIAS >CAK8573337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604356854:604357642:1 gene:gene-LATHSAT_LOCUS25798 transcript:rna-LATHSAT_LOCUS25798 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYPHDDSDFNFSIGWLGKFKNRHGIKSFRRFGESGSVDVQDMEQKLVSIREKIDQFPMKDVFNMDKTGLFYRLQGDHSLTIKQLEGRKQDTERLTVVICCNEDGSEKIPLWIIGKYAKPRCFKNVNMNSLDYQYQINKKAWMTSMFFDKYVRSFDQMMHGRRVLLVVNNCPAHPRNIEGLRNVELFFLPPNMTSKIQPCDVGIIRAFKMHYRRRFYCKILEGYEVGQFDPGKINVLDAIDLPIPAWTIDVRKETIANCF >CAK8533899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:669299513:669302115:1 gene:gene-LATHSAT_LOCUS3486 transcript:rna-LATHSAT_LOCUS3486 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDSPLLGPHHVVPNRFSKKILLCFLLLFVAVTIIGLAVRGDVNFGILKGAERYNEGKTDNKGQVIESEIGVVAADDARCSAVGVSMLRLGGHAVDAAVAAALCGGVVFQASSGIGGGSFMIVKSSSSSNAQAFDMRETAPIAASQNMYQSNPEAKFLGALSLGVPGELAGLHAAWLNHGRLPWKTLFQPAIELAKTGFVVSPTLGDYLASSENKIMSDPGLRNIYAPNGVLLKGGEICKNVELGQTLEIVAEEGIQTFYNGTIGEKLVKDVREVGGILTMEDLRNYKVEITDAMVVNAMGYTLHGMPPPSSGTLALSLVLNILDSYGSLDAANGNLGIHRVIEALKHMFAIRMNLGDPNFENVSETVSEMLSPSFAQTIQRRILDNTTFPPEYYMERWSQLRDHGTSHLCVVDADRNAVSLTTSVNRHFGAGIRSTSTGIVINDEMDDFSIPTDITPDKLPPAPTNFIEPNKRPLSSMTPIIITKDDQLVGVIGGSGGMSIIPAVTQVFLNHFILGMNPLDAVLRPRVYTKLLPVTVSYEKMTAYDGDHIELSEESRLFLKERGHELEACEIKSIIQLIVHAPKTPINIHRKLGEGTNSHGTLTAVSDPRKGGRPAAV >CAK8539849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529002925:529003500:-1 gene:gene-LATHSAT_LOCUS8909 transcript:rna-LATHSAT_LOCUS8909 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFHSLLHQLSRIPDFNFHAKCEKLQIIDISFADDVLFFTRGDGKSVQLRMDQLQMFSQSTGLVVNPTKCRVYFGGVDIETKNDILASTSFMEGDLPFCYLGVPLTSKRLSTHHYMSLVDRIVSRIRHWSSKLLSYAGILQLINSIITAIAAYWMNCLPFPKHVIKTINSICRTFLWTGSEVKSRKSPIA >CAK8535081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:812978036:812978401:-1 gene:gene-LATHSAT_LOCUS4562 transcript:rna-LATHSAT_LOCUS4562 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQIIDRVKECTNEVLRLSSLEERILQQKSKIDWLKLGDGNNSYFHTTLKIRHRQDHLEQLKDENDRDLIHQEEIEGEIPSYYKNLIGTSNNLISIDLVAMRNEPQLSQEQRDVLSAPHH >CAK8534657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753253417:753265877:1 gene:gene-LATHSAT_LOCUS4184 transcript:rna-LATHSAT_LOCUS4184 gene_biotype:protein_coding transcript_biotype:protein_coding MLALYESSFHSFEDETILDEAINFTTKYLKEYDLNDNRDTYISHLINHALELPLHWRIPRWESQWFINVYERKQKMDPVLLQFAKMDFNIVQSFYQEELKQASRWWKRTGLGEKLSFARDRLVENFVWTVGTNFNPNFEYSRKVITKVNSLITVIDDVYDVYGTLEELKLFTEVIDRWDPNGMDNLPIYMKICFETLYNFVNEFALEVQSKSGYHITPQIKKTWTSLCKAYLIEAKWYYGGYTPSLEEYLENAWISISSHVILTHAYFSIPQSFKMEDLVCLEENSNIIRFSAMISRLANDLGTYKREKETGDIPKSIQCYMNETGVSETQAREYLNSMIYIIWKKMNKEVHTSSFSESFKDTAINLARMSLCMYQHGDGHTIQDSRIQNHVMLLIFNPIPNVYTK >CAK8568945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:658203519:658206117:1 gene:gene-LATHSAT_LOCUS21852 transcript:rna-LATHSAT_LOCUS21852 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTTFSAPKFDSLFLKSSSRPSPSTQSHLSLLGKPRTTFIHKGIIRCDAQNSVQNNGSSSSLSPLELLKTSSADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCSLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVMEWMSKTSSVPVSELCEHRFLLYNRDATQHIFQVSAGLESLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRAETNIAAGAVSVSSAAVELAYMKLPGSSHDNARMLVIGAGKMGKLVIKHLASKGCPSVVVVNRTEERVAAIREELKDIEIIYKPLSEMLSCAGEADVVFTSTASETPLFVKDDVKDLPPASQNVGGHRLFIDISVPRNVGSCVSEVESVRLYNVDDLKEVVAANKEDRLRKAMEAQVIISEELNQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCLGKMGDDITKKTRKAVDDLSRGIVNRMLHGPMQHLRCDGSDDRTLTETLENMHALNRMFSLETEISVLEQKVRAKVEQNQK >CAK8565851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343458788:343459243:-1 gene:gene-LATHSAT_LOCUS19030 transcript:rna-LATHSAT_LOCUS19030 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSMSLISIGLTFNQIPKTKLSVSGRLNPRSSARLRIKAVQENGGQRRLVDIIRLVPDLSKNYFRSPSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >CAK8537206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:134692161:134701564:1 gene:gene-LATHSAT_LOCUS6512 transcript:rna-LATHSAT_LOCUS6512 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRLSSPLGEAKVPLISPNEEDQEQTLTRKVLIESKKLWHIVGPAIFSRIASYSMLVITQIFAGHLGDLELAAISIANSVIVGFDFGLLLGMASALETLCGQAFGAKQYHMLGVYMQRSWIVLFICCIFLLPIYLFATPVLRVLGQPEDLAVLSGEVAMWMIPLHFGFAFQFPLNRFLQSQLKTAAIAWVSLMALLVHVLVSWLFVYKFQLGVIGAAATLNFSWWALTVGLYVYTVYGGCPLTWKGFSMEAFSGLWEFLKLSAASGVMLCLENWYYRILVLMTGNLPNAEIPVDALSICMTINGLEMMIPLAFFAATGVRVANELGAGNGKGAKFATIVAVLTSLTIGLFFWILILTLHNKFGYIFSTSKPVIDEVSKLSLLLAFTILLNSIQPVLSGVAVGSGWQSYVAYINLGCYYIIGVPLGFLMGWYFKQGVMGIWAGMIFGGTATQTLILCLITLRCDWEKEAEKAKLHITKWSDKKQELS >CAK8567777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544043466:544044533:1 gene:gene-LATHSAT_LOCUS20800 transcript:rna-LATHSAT_LOCUS20800 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLATSFIFIPFFLLSLCLKGTFADYGGGWESAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDNDPKWCLPGSILVTATNFCPPNFAESNSNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPISFRRVPCVKKGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSIKGSKTGWQTMSRNWGQNWQSNNYLNGQSLSFQVTTSDGRVVTSNNVVPSNWQFGQTFTGAQF >CAK8578640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643091109:643091576:1 gene:gene-LATHSAT_LOCUS30633 transcript:rna-LATHSAT_LOCUS30633 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHRKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAEA >CAK8563975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643213625:643215383:1 gene:gene-LATHSAT_LOCUS17327 transcript:rna-LATHSAT_LOCUS17327 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMLRSSSSSSSIPSLPPPSPKSPPEYPDLYGKRREMAKVQMLEREISFLEEELKSVEGLQPASRCCKEVADYVVVNSDPLLPSNKKNRRSCRFWKWLCRMPCFNLSWICCCCCCCDGLSVHLKLPRCCSDCKPCSCCSCSNCFPSLSCSLPKWNCCCCFSCPKSNCCKDSFVSGNCCTFPSSCNFGCLSCPSLCSCKCTCTCSCPTCPKCPKVSSCCCCTDSCFNPCFCC >CAK8541427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162359986:162360240:1 gene:gene-LATHSAT_LOCUS10347 transcript:rna-LATHSAT_LOCUS10347 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEHNVAKAEEFKVLANEAFKDRKFSHAIDLYTQAIELNSQSAVYYANRAFAHLRLEEYGSAILDATKAIEVDPKYSKGYYR >CAK8561412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:203922137:203922574:1 gene:gene-LATHSAT_LOCUS15010 transcript:rna-LATHSAT_LOCUS15010 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWRLLKDEPKWKGQEMNNSSKRSKISSTGTYSSSSNPENPIDCSEYNSATQTDRLAGQKAEKRKGKGKASSSKTPIVDLSGMERASENKLAIYGKIAEAKLAESIPVLYEILMKDKSAMDDEQRREHEEICQSIKEKYFKRS >CAK8562355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476515330:476515692:1 gene:gene-LATHSAT_LOCUS15857 transcript:rna-LATHSAT_LOCUS15857 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNGGKVSFSRVNAPIELQQLFLDGSVEGRHFRQHIRSYNHVLSFTSIGVHVDENILASGRGIYTFHAQGAFYHNIRGFYPNEGARPRFLQLYIYDTDNELHNRMQENPQLHQNVVQKL >CAK8563293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590749818:590751239:-1 gene:gene-LATHSAT_LOCUS16718 transcript:rna-LATHSAT_LOCUS16718 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEGEKSVQREKERKKILALAPIAKPLAGKKLSKRTLKLVKKAAEHKCIKRGVKEVVKSIRRGQKGVCVIAGNISPIDVITHVPILCEEAEIPYVYVPSKEDLATAGSTKRPTCCVLVMTKPSKGELAQEVQEKLKLEFDQVASDITELTTSLF >CAK8531429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:109136106:109138495:-1 gene:gene-LATHSAT_LOCUS1222 transcript:rna-LATHSAT_LOCUS1222 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYNGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYGEEEEEEIGA >CAK8579425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697721610:697724411:1 gene:gene-LATHSAT_LOCUS31373 transcript:rna-LATHSAT_LOCUS31373 gene_biotype:protein_coding transcript_biotype:protein_coding MDYESNSWIWEGVYYYPHLFGGLMVTAALLGLSTSYFGVIGVPSLPLPHSWYDLGVFRKKKNVGKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIVANKGPPVLSMDERLALVSGLKWVDEVITDAPYAITENFLNRLFHEYNIDYVIHGDDPCLLPDGTDAYAAAKKAGRYKQIKRTEGVSSTDIVGRIMSSLKEQNNREDVKPQDECQSKVSHISQFLPTSRRIVQFSNGKGPRPNARIVYIDGAFDLFHAGHVQMLKRARELGDFLLVGIHSDETVSENRGNHYPIMHLHERSLSVLACRYVDEVIIGAPFEITKDMITTFNISLVVHGTVAEKSLPSEKDPYEVPKSIGKFRLLESPKDITTTSVAQRIMANHDAYVQRNAKKAKSEKRYYEERKYVSGD >CAK8564818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11244472:11248630:1 gene:gene-LATHSAT_LOCUS18083 transcript:rna-LATHSAT_LOCUS18083 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILSIIIFFLFSQLSTAIDSISQFQSLDDGKTLVSNDGTFELGFFRAGNSTNRYVGIWYKNIPSRRIVWVANRDNPIKDNNSNSTMLIINKEGNLVLLTNNNQTLVWSTNITTQSLSSTSSHVAQLLDNGNFVIKDKDRFLWQGFDFPCDTLLPDMKLGWNLKTGLNRRLTSWKNWDDPSSGDLTWGIVLSSNPEILLKKDTTEFHRSGPWNGVGFSGAPAVSVTRIVDTKFVNNSNEVYYTYTLVNKSEVSITYLNQTLNVRQRVTWLPKDNIWRVYESVPRDDCDADNPCGSYGKCSPNDTPICQCLDGFEPKSLQNWNTFNWTQGCVRKIHPAFECRVDDGFGRFSGLKLPKTTHTWVDGNMTIENCKKKCLEDCSCMGYSKLDVRGDGSGCCIWFGDLIGLKQVSSLQQDLYVRMDASIVDSNGEVSGGNKKSHTLTIAITIPLVIVFLLVIIIFYLYKRKRKQRENIILTKKKEEEEQDFELPFFNLSTMIDATNDFSNDNKLGEGGFGPVYKGLLALERQEIAVKRLSGSSIQGTKEFKNEVILCSKLQHRNLVKVLGCCIQEEERMLIYEYMANRSLDLFIFDPTQKKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKPSNILLDSDMNPKISDFGLAKICGDDQVGGNTNRVVGTHGYMAPEYAIDGLFSIKSDVFSFGILLLEIVSGKKNKGLTYPNNNHNLVGHAWRLWNEGISKELIDDCFGESFILSEALRCIQVGLLCLQRHPHNRPNMASVHAMLRNETVLAQPMEPGFIIERVSTEENSTTENLISSSVNEVTISLLDVR >CAK8532111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:206474407:206480600:1 gene:gene-LATHSAT_LOCUS1847 transcript:rna-LATHSAT_LOCUS1847 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARDIDELPKNAANYTALTPLWFLERAAKVHPTRKSLIYGSRHYTWHQTYQRCRRFASALSNFSIGLGNTVSVIAPNVPAIYEAHFAVPMAGSVLNTVNIRLNASTVAFLLSHCNASVVIVDQEFFLLAEEALKIWSTKTNTFKPPILIVVGDENCDAKSLKYALSKGAIDYEDFLQSGDPEYAWKAPEDEWQSITLGYTSGTTSNPKGVVLHHRGAYLMSLSAAMVWGMNQGAVYLWTLPMFHCNGWCYTWTLAALFGTNICLRQVSAKAVYEAISKYKVTNFCAAPVVLNSIMNAPEEDTILPLPHVVDVNTGGAPPPPSLLSGMSKLGFRVMHNYGLSETFGPSVYCAWKPDWDSLPPESQAKLHARQGIRYIALENLEVMNTKTMRPVPADGKTIGEIVMRGNVVMKGYLKNPKANEEAFANGWFHTGDLAVKHEDGYIEIKDRSKDIIISGGENISSVEIENTLYSHPAILETSVVARPDEKWGESPCAFVTLKPGVSSSNEKRFVEDIMNFCKAKMSAYMVPKSVVFGPLPKTATGKIQKNLLRAKAKEMGAVMMSKM >CAK8566642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444737607:444738435:-1 gene:gene-LATHSAT_LOCUS19759 transcript:rna-LATHSAT_LOCUS19759 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNWLDLPRDITTNILRRVGTYEIVTSVCEVCPLWWNICKDPIMWRTIHMTSLWMPPYPNYNLDLVKICCIAIERSCGHLEDIDVNSFATDDLLECIANNANNLQYMRLFFCRKISDKRFGDTVRRLPRLEKLDISHTNVSIDSLEAIGRSCPLLKSLKFSRMIFMVNECDDDVAFVIAETMSGLCHLDIEGYRFTNDGLLAIIDGCPLLESLDIIACDILDLSRCLKTRCFEEIKYLRLPIKYTY >CAK8572189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:518368868:518370669:-1 gene:gene-LATHSAT_LOCUS24781 transcript:rna-LATHSAT_LOCUS24781 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEGSSRSGPRRTVVGDLLKPLNSEYGKVDPGWGTTPWMGVAMALFAVFLSIILEIYNSGVPWFTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRMYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGNASVGQSTLTRFYSLHTFVLPLLTSVFMLMHFPMIRKQGISGPL >CAK8571600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446769981:446771841:-1 gene:gene-LATHSAT_LOCUS24244 transcript:rna-LATHSAT_LOCUS24244-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPFLPTKTTLSSPQCFSSPSSFSLNTTFKPFSSVSLRSTLSLSNRFVSRVAVSSFDQEEDTFEDGDTRSYSANQRLFVGNLPFSVDSAQLAEIFESAGSVEMVEVIYDKTTGRSRGFGFVTMSSAAEVEAAAQQFNGYLVDGRELRVNSGPPPPPRDNPRFGDNPRFGANPRFGGENSRFGDSSRSRGPPRGGSDSEHRVHVGNLAWGVDNGSLEALFQEQGSVIEAKVIYDRDSGRSRGFGFVTLSSAEEVERAIQSLDGLEWQSYTGLTRRC >CAK8571599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446769962:446771841:-1 gene:gene-LATHSAT_LOCUS24244 transcript:rna-LATHSAT_LOCUS24244 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPFLPTKTTLSSPQCFSSPSSFSLNTTFKPFSSVSLRSTLSLSNRFVSRVAVSSFDQEEDTFEDGDTRSYSANQRLFVGNLPFSVDSAQLAEIFESAGSVEMVEVIYDKTTGRSRGFGFVTMSSAAEVEAAAQQFNGYLVDGRELRVNSGPPPPPRDNPRFGDNPRFGANPRFGGENSRFGDSSRSRGPPRGGSDSEHRVHVGNLAWGVDNGSLEALFQEQGSVIEAKVIYDRDSGRSRGFGFVTLSSAEEVERAIQSLDGVDLNGRAIRVSPAGARPARQF >CAK8530143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2795004:2801934:1 gene:gene-LATHSAT_LOCUS33 transcript:rna-LATHSAT_LOCUS33 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNEEPNSNLNTNKPPLKRTKTLAQQPSLNLRVSIAADNGIGNSSSSSTKTDFEQQQWNYPSFLGIGSTSRKRRPPPPPKPSNLTPNLKPPASDFHTKPHSEPKTSSSSSSPPSLPIAITKQQQRQQQQQQQQHSISSPIFYLFILTCVIFVPYSAYLQYKLAKLKDMKLQLCCQIDFCSGNGKTSLQKDVVDDGSFSYYILNADSRTISLYIVLFTLVLPFVLYKYIDYLPQMINFLRRTNSNEEDVPLKKRVAYMVDVFFSIYPYAKLLALLFATLFLIAFGGLALYAVTGGSMAEALWHSWTYVADAGNHAETEGMGQRIVSVSISAGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIERNHVLILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGLLFKDILISFPDAIPCGVKVSADGGKIVMNPDDNYVLRDGDEVLVIAEDDDTYAPGPLPEVRKGYFPRIRDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKARERKLAAGELDVFGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYSDTKSTSLRLSGFSHNSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRTRKEIVIGYRLSSQERAIINPSEKSVTRKWSLDDVFVVIASGE >CAK8535064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:809889525:809890988:-1 gene:gene-LATHSAT_LOCUS4547 transcript:rna-LATHSAT_LOCUS4547 gene_biotype:protein_coding transcript_biotype:protein_coding MHINMRAIRTLSCENNQRILATFVSHRRFLHSHHHSPQAAFIQNLLKFRRDKPTEQIEQALNLTGIHPNDHLVLDVLQRHRSDWKPALVFFKWASKTNIYTPTCHVYNEIINILGKMNRFEEVHQVLDEMSHRKELINEEIFSTLIRRFVAAHKVDEAINMFHRREEFGLENELDSEAFRTLLMWLCRYKHVEDAETLFHRNLNRFQFCHDIKTWNVILNGWCVLGNTHEAKRLWKDILASKCKPDIFTYSTFIKAMTKKGKLGTALKLFNGMWKDESCKPDVVICNCVIDALCFKKRVPEALQIFHDMKERGCLPNVATYNSLIKHLCKIRRMEKVYELVEDMERTSGDCLPNAVTYSYLLKSLKAPEEVLGILERMERNGCAMSDDVYNLVLRLYMKWGDLDGLRKIWEEMERNGLGPDRRSYTIMIHGHFENGRIKDAMRYFREMTSKGMVLEPRTEKLVISMNGQLNESTGKQEGTNVEASNV >CAK8568236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:584095128:584096063:-1 gene:gene-LATHSAT_LOCUS21214 transcript:rna-LATHSAT_LOCUS21214 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLERDDKEFFEASSPPQPQTSITDPNVPISMSQELTPFQRMFSKPNLPPQPQPSLQEPIPKLTPFQRMFSNQIPSPQPKVQRPVPQLRPFHFQPIFSHPIPPPQPLIPQPQRSILYFPPGPSHPPPPSPPHQPPRVRARLNPLSEKSETIPIPFPWATNRRAKLHSLHYLRQNGIVNITGEVQCKRCDTIFEMSFDVTEKFPKLWTYILENRQFMNDRAPPNVWMNPTLPDCVHCNQENCVKPIIAKKKKNINWLFLLLGQLLGCCNLAQLKYCCKHTNNHRTGAKDRVLYLTYLALCKQLDSTGPFNL >CAK8579503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704376863:704377408:1 gene:gene-LATHSAT_LOCUS31447 transcript:rna-LATHSAT_LOCUS31447 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEARQGVVAKKLWKMVRVSLFMITKGIAKTKTMIHGKLAGKGFINTLMMNHQLYYSSFTCRDNDNSFISPCEYEFSCSNTPVNPLYQSSRRLSKPRQRYKDVFIMNTIAVRNSCLDTLPVARELRVTDSPFALKDEGDSYQVDMAADEGDSYQVDMAAEEFINNFYKQLNRQNRSIATH >CAK8538927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499677806:499679959:1 gene:gene-LATHSAT_LOCUS8073 transcript:rna-LATHSAT_LOCUS8073 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAPTPPNKFYFYYGHRRPSQNRPTVRGGIFSNRQTLTPPKSKSNKQIDRFQIQKWDPHFLSHPNSPSLDTSPSASIRLSPIARFIIDAFRKNDYKWGPTVVTELNKLRRVTPTLVAEVLKVQTNPNLAFKFFHWVEKQKGYHHNFASFNAFAYCLNRANHFRAADNLPELMDAQGKPPSEKQFEILIRMHSDAGRGLRIYHVYDKMRNKFDVKPRVFLYNRIMDALVKTGHLDLALSVYSDFREDGLVEESVTFMILIKGLCKAGKIDEMLEVLGRMRNKLCKPDVFAYTALVRIMVPKGNLDGCLRVWEEMKRDTVEPDVMAYGTIIAGLAKGGRVLEGYELFKEMKSKGHLIDRAIYGSLVESFVAENKVGLAFDLLKDLVSSGYRADLGIYNNLIEGLCNMNKLEKAYKLFQLTIQEGLEPDFLTVKPLLLAYAEKKRMEEFLKLLEKMEKLGYSVIDNLSKFFSHLVEKKEPIMALEVFTHLKERGYVSVEIYSVVMESLHLNGEVEKALSLFDEIKGSDLKPDSFIYNIAILCFVDRGEIKEACVCHNKIIEMSCIPSVAAYCCLAKGLCEIGEIDEAMMLVRDCLGNVASGPMEFKYCLTILHICKSNDAEKVINVLNEMMQQGCSLGNVVCSAIISGMCKYGTIEEARKVFSNLRERKLLTESDIIVYDELLIDHMKKKTADLVISGLKFFGLESKLKSKGCRLLPD >CAK8541698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:357384247:357384942:1 gene:gene-LATHSAT_LOCUS10596 transcript:rna-LATHSAT_LOCUS10596 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGDGFEEVWRLQWLMVGGSFDGSGAFEAKFFSSFLSFENTTYNNVGLCFLWCLQINDECCLLIVS >CAK8573456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613507328:613507741:-1 gene:gene-LATHSAT_LOCUS25902 transcript:rna-LATHSAT_LOCUS25902 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRMLPDRRELTPNFEEGVKWFIMWAFAQKCCQTEGGVRCLCLKCECRHIISDLEKVERHLKRRSFIKYYWVWTYNGEQLPSNVYAETTNTQASSSRSHMEFEENFNLIDDMVCNAFGVNVTYDEPQDIDGEKLSN >CAK8571128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:308314011:308314304:-1 gene:gene-LATHSAT_LOCUS23819 transcript:rna-LATHSAT_LOCUS23819 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNLKNFFQRKYPNESEDEIMIRILDHMKSQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGEGQADEATAEDFWDAMIQCMKVKGKSKN >CAK8567403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:512487829:512489047:1 gene:gene-LATHSAT_LOCUS20463 transcript:rna-LATHSAT_LOCUS20463 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVIDFSKLNGEGRAKTMALIANGCEEWGFFQLINHGISEELLERVKKVTSEFYKLEREENFKNSATVTLLHDIAEKKSSEKLENVDWEDVITLLDDNEWPENTPSFRETMSEYRSELKKLAEKLTEVMDENLGLPKGYIKKALNGGEGDSAFFGTKVSHYPPCPYPELVNGLRAHTDAGGVILLFQDDKAGGLQMLKDGEWLDVQPLPNAIVINTGDQIEVLSNGRYKSCWHRVLSATDGNRRSIASFYNPPLKATISPAPQLAEKENQVEDTYPKFVFGDYMSIYAEQKFLPKEPRFRAVKAI >CAK8542027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456883870:456889093:1 gene:gene-LATHSAT_LOCUS10901 transcript:rna-LATHSAT_LOCUS10901 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLQPQWNQTEQPLTLHTLSSIRSLLINPSTPKRTVSSILQTLTQSPNPTHHTLNLLSDLATHHSSFSQLALDSLLRATDSPTRLAVDSLASVSELSSPGEFELDDKRFVSMCFVPSIPGRVWMLRNAGYMFRIRPALLFTVLLGFTKDPYPYVRAASLEGLVGLSGCGEFHDVSMVKGCYLRALELFDDMEDCVRLSAVRVVASWGLMLSASSADMKAYWCNEVFAKLCSMARDMSVKVRVEAFNGLAKMEIVSKDFLLQSLSKRVLGNGKQRETMDQITSEQFTMLATSVAGALMHGLEDEFFEVRKSACQSLHRLTILSVEFAREALDLLMDMLNDDSVVVRLQALETMHHMAINGCLKLQEKHLHMFVGALVDNSREVRCAVRKILKIVKLGDLAMFKSSIDRLLKSLDSYLQDEADVFSAFSHLGRNHNKFVGYIVKEIFEEVEAAFEGNVEFKSARIAALLIICISAPIFNEYLGIIPSVLFSYAVTLLGRIYHAFSDIMDKDTLLAYLCEKSRPPSDSVPDINHRDGEQQLSLIEGDTPNCASNEVIDSEIESQIMKEQKELTRYQVEQHQSEYSEVTVYVNYILAKFPDMWQMIETGLTNEVLRSLRCLKEELASLKFDSSESCDALAFTLLYLRIIKLLVEVWEHLLPAKGSCSHGLGELELKLGKLDRRIKELMSKFVGFSAEEELNILELILVTYALRLCKVETICVNLTFKRLTSIYSCVESILKERPVLPSNFVVELGKLLHECQTASINGAFCSPLQFDKCLKLFSLKKFVFHGTIRQLKAELSISNNDSLHPFPFVSGLPVGVPCGITLHNIISKCKLWLKMSLDDGSVQHVFLDLDHLEGSGDVRNFVFTARFYRTLKVDSFTLKVCISLESLFENVCPVQRYGGPKYELVPLCKEKQVYFSNVSKD >CAK8531288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:97193605:97193995:-1 gene:gene-LATHSAT_LOCUS1091 transcript:rna-LATHSAT_LOCUS1091 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASTKPEYPVIDRNPPFTTVVGNFNTLDYLRFVTITGVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRIMGFFPNDGEVARYNKK >CAK8564449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674829407:674829757:1 gene:gene-LATHSAT_LOCUS17754 transcript:rna-LATHSAT_LOCUS17754 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQTLILLILLLIASASSRKLPSPSVGGYTPIEDINDPHVIEIANFAVNQYDKQSGAKLKLNKVIKGESQVVAGTNYHLTLSAGEGSVSKIYEAVVYEKLWLHFRNLTSFEPAHA >CAK8543142.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582817144:582817446:1 gene:gene-LATHSAT_LOCUS11924 transcript:rna-LATHSAT_LOCUS11924 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYNFFPTDLFYPRPQPQQSTASPTVLPLQTPNTQDHSQNQQQQQPASTMIKPTPSISSLVYTHKTQSFDVVDNNKSKLSPNPLSYMVWIHEEDEE >CAK8577875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:595245379:595248291:-1 gene:gene-LATHSAT_LOCUS29943 transcript:rna-LATHSAT_LOCUS29943 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYRWKSFDENEDRPSKPRRYGVTEMRSPHYTLFNHNVLQDIFESMGDVVDGLKFSGGSDSLMPKAFIKQVIDTAHQYDVYVSTGDWAEHMIHKGPSGFKDYVEECKKLGFDTIELNVGSIGVSEETLLKFVRLVKTGGMKAKPHFQVKFNESEIPRGGNRAYGAYIPPASRSFELVEDVDLMIRRAERCLEAGADMIMIDADDLSKHADNMRADVIAKIIGRLGLEKTMFEASNQSASEWFIKQYGPKVNLFIDHSHLVDVECIRGRNLGRNHASVLGSSYFLF >CAK8566707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454109700:454112380:-1 gene:gene-LATHSAT_LOCUS19819 transcript:rna-LATHSAT_LOCUS19819 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKGMQQQQLIQEQQRQQHFLLLQQLQKQQQSSAISRFPSNIDAHLRPIRPLNLQQNPNPNPILNLHHNPNSNPNSNHLHQHNPNSNNLHQQPQQKIIRPPGNQMELQMAYQDAWRVCHPDFKRPFSSLEDACDRLLPYHVVADYEAEEDDRILDSDTTGQMLSRSQQWDNNIAAKIAEFTATFEKQTLAFNIISQKRSLGEFRSEERLMIEQALLQEEKRALIELRAELESREKAGREAHEAKLRMAAMYQAEQQARADSQSHVEMMSRAPIRGSALGSQGSDIVIGGHDLGDQDHSEMMNGWGNNAQRDEKEPSEDFLNDEAENGDSGMPDGWREVGEFDLNAR >CAK8567386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:509954286:509960822:1 gene:gene-LATHSAT_LOCUS20446 transcript:rna-LATHSAT_LOCUS20446 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSENRTKWRKRKRDSQITRRQQKHDEEEDDDDENPNADEDNERDYDSEDQHNQNQNHNHPNSQHQQEIEILSDHGVQISQFPVVIKRAVNRPHSSVTAIVALERAMELGLGDSKGQLQNPPFLENVSHGQLQALSTVPSDSLGLDQDRADSSYVITPPPILEGRGVVKRFGSRVLVLPMHSDWFSPGTVHRLERQAVPHFFSGKSQDLTPEKYMECRNYIVALYMEEPKKRITASDCQGLQIGVDHEDLSRIVRFLDHWGIINYCAQMPSHEPPNPMSCLKEDTGGEIRVPSETLKSIDSLIKFDKPNCKLKAEEIYSPLTTHSADVPDLDGRIREHLSENHCNYCSCPLPAVSYQSQKEVDILLCTDCFHDGKFVIGHSSIDFLRVDSTRDYGELDGESWTDQETLLLLEAMEICNENWNEIADHVGTKSKAQCILHFLRLPMEDGKLENINVPSMSLSSSVMNRDDNGRSHHYSNGDSAGPVHQIRDSDNRLPFANSGNPVMALVAFLASAVGPRVAASCAHAALSVLSGDNSGSQTEASGHDNRTNPENIDCRDGGSRGEAAISNNHNEEKAKALSSRDQNEGRTTPLSAEKVNEAAKAGLSAAAMKAKLFADHEEREIQRLCANIINHQLKRLELKLKQFAEIETLLMKECEQVERAKQRFAAERTRVISARFGTTGTTPTMNASGVGPSMVSNGNNRQQMMSASPSQPSISGYGNNQPVHPHMSFAQRPSMFGLGQRLPLSMIQQSQSTSSGAMFNTPGNVQPGTNHPLLRPVSGTNSGLG >CAK8569991.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:19573624:19574247:1 gene:gene-LATHSAT_LOCUS22783 transcript:rna-LATHSAT_LOCUS22783 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKTKSSSNKLYSYSTSNAAFSSPSPNFASSTSSSTFFNKPHTHTHSHQHQHHHQRSASPTRVNLSTGSLSNFQFSIDQRSISPNRTVPSHVISKKNHHHQHQHHQKKTCMCSPTTHPGSFRCSIHKNSGSNSLHHGESYPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQKRRDAFEPRSSRLSVMSKADE >CAK8530998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68960572:68960739:1 gene:gene-LATHSAT_LOCUS820 transcript:rna-LATHSAT_LOCUS820 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLERALTLLLSVAVSVFHITSAEDPDKFFNWNVTYGDIYPLGVRQRGILING >CAK8534669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754195027:754195674:-1 gene:gene-LATHSAT_LOCUS4195 transcript:rna-LATHSAT_LOCUS4195 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRIRHCLPNGLYRQPLYGRIVGLNARKLNVFSRNFGQAARKEEEDVEEVEIDQRSLPADFDPATFDPNENRGPPSERVFRLVDEVASLTLAEAAELGLVLMKKMGIKEMPNVGYLKAGTANLAGMAAKASTSVKEEVKPEKTVFELKLLSYEAASKIKVIKEVRGFTDLGLKEAKDIVEKTPSIIKKGVSKEEGEQIIEKLKALGANVVME >CAK8571030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:271996540:272000657:1 gene:gene-LATHSAT_LOCUS23727 transcript:rna-LATHSAT_LOCUS23727 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKKRRTRVSVSDDDEEIESDNNNNSNQNENSLYQVLGVESTSTQQEIKKAYHKLALRLHPDKNPGDEEAKAKFQQLQSVISILGDEQKRAMYDQTGSVEHADLAGDVVQNLHEYFRTMYKKITEADIEEFEANYRGSDSEKTDLINLYKECKGNMNRLFCSMLCSDPKLDSHRFKDIIDEAIASEELKEKKAYKKWAKKISETKPPTSPLRSRAKANKDPKTNLYAIISKRQNERKGQLDSMFSSLISKYGGDHVPEPTEEEFEATRRKMESRREMESKRSSKKAKQNN >CAK8563111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574395580:574398751:1 gene:gene-LATHSAT_LOCUS16554 transcript:rna-LATHSAT_LOCUS16554 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRTGKVDSFFFSLCRQRSFQILFVIALFYVLLFTLEIPFIFKNTATRFERYALEQQQQLQPSQSQPHQITRRRNRRSILSGLILNDTAFDSEVYHSAYRAGRRVWEELESAKTPIQTGAVLKPENKSRPCPQSVYVSGVDFVGNGSLMTIPCGLTLGSHVTLVGMPLGTPEGKISHFIVELQGLKTGEGEDPPRILHFNPRLKGDWSNKPVIELNTCYRMQWGTSLRCDGWKSRADQDTVDGLVKCEKWIGGESGDDRDAVESKSEWWLKRLIGRTKRVTVDWPFPFNENKLFVLTLSAGLEGYHFNVDGRHVASFPYRTGFTLEDATGLAVAGDIDVHSIFAASLPSMHPHISPQQYLEFSTRWRAPPLPEFGVELFIGILSAGNHFAERMAVRKSWMQHSLIKSSEVVARFFVALHQRIEINAELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVHIAAAAYIMKGDDDTFVRVDAVINEARNVPDSMSSYIGNINYHHKPLRHGKWAVTYEEWPEEDYPPYANGPGYILSYDIAHYIVSEFEKHKLRLFKMEDVSMGMWVEKFNSTKQVYYSHSLKFCQFGCIEGYYTAHYQSPRQMMCLWEKLQRQTSPLCCNMR >CAK8577165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542072253:542073379:-1 gene:gene-LATHSAT_LOCUS29294 transcript:rna-LATHSAT_LOCUS29294 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSQKQHIIVLFLILALGGITNVLSRKLQQSSSSLEERHEQWMTEYGKVYKDDAEKDKRFIIFRDNVEFIESFNDANNKPYKLSVNHLADLTLEEFKASHNGYKKRSTGTGLTSTSFKYEDVTSIPSSVDWRVKGVVTPIKDQGQCGSCWAFSTVAATEGINQITTGKLVSLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKATDGSCNNATTVPVAKIKGYEKVPVNSEVALLKAVANKPISVSIDASDSSFMFYSHGIYTGECGTELDHGVTAVGYGSANGSDYWLVKNSWGTVWGEKGYIRMQRGIAAKEGLCGIAMDSSYPTA >CAK8535753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879470617:879473433:-1 gene:gene-LATHSAT_LOCUS5180 transcript:rna-LATHSAT_LOCUS5180 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEERKLMEESSNRGEFQASLAKLSSLRSGGSFKSTLSGRSTPRSNSPSFRRLNSSRTPRKDGRGFGGSLLFRSNRVLLWLLLITLWAYLGFFVQSRWAHSDKKEEFSGFGTGPRNTGVDADPSLRRDLIASDESLSVNNDTVRNKGGIGRTINVALAKKEIDDGDASSRRKASSKKKSRRSSKGKARGKQKLKVEIKNNTIEEQEPEIPQTNSTYGLLVGPFGSIEDRILEWSPQKRSGTCNRKGDFARLVWSRRFILVFHELSMTGSPLSMMELATELLSCGATVSAVALSRKGGLLSELSRRRIKVLEDKAELSFKTAMKADLVIAGSAVCASWIEKYIERFPAGGSQVAWWVMENRREYFDRSKGVLHRVKMLVFLSDSQSKQWLKWCEEENIKLRSQPEIVPLSVNDELAFVAGISSTLNTPSFSTDKMIEKKQLLRESVRKEMGLTDNDMLVISLSSINPGKGQLLLLESASSVVEHQQLEDGKKMKKLSNIKEGMSTQARRHRVRKLLPLLKKGKVASNDMSSNSIIRRKQSLKVLIGSVGSKSNKGDYVKSLLSFLEQHPNTSKSVLWTPATTQVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNVTGLVHPIGRAAGNNILAQNLLYLLKNQLARKQMGMEGRKKVEKMYLKQHMYKKFVDVIVRCMRSK >CAK8535754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:879470617:879473409:-1 gene:gene-LATHSAT_LOCUS5180 transcript:rna-LATHSAT_LOCUS5180-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSNRGEFQASLAKLSSLRSGGSFKSTLSGRSTPRSNSPSFRRLNSSRTPRKDGRGFGGSLLFRSNRVLLWLLLITLWAYLGFFVQSRWAHSDKKEEFSGFGTGPRNTGVDADPSLRRDLIASDESLSVNNDTVRNKGGIGRTINVALAKKEIDDGDASSRRKASSKKKSRRSSKGKARGKQKLKVEIKNNTIEEQEPEIPQTNSTYGLLVGPFGSIEDRILEWSPQKRSGTCNRKGDFARLVWSRRFILVFHELSMTGSPLSMMELATELLSCGATVSAVALSRKGGLLSELSRRRIKVLEDKAELSFKTAMKADLVIAGSAVCASWIEKYIERFPAGGSQVAWWVMENRREYFDRSKGVLHRVKMLVFLSDSQSKQWLKWCEEENIKLRSQPEIVPLSVNDELAFVAGISSTLNTPSFSTDKMIEKKQLLRESVRKEMGLTDNDMLVISLSSINPGKGQLLLLESASSVVEHQQLEDGKKMKKLSNIKEGMSTQARRHRVRKLLPLLKKGKVASNDMSSNSIIRRKQSLKVLIGSVGSKSNKGDYVKSLLSFLEQHPNTSKSVLWTPATTQVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNVTGLVHPIGRAAGNNILAQNLLYLLKNQLARKQMGMEGRKKVEKMYLKQHMYKKFVDVIVRCMRSK >CAK8541515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:212630675:212631427:-1 gene:gene-LATHSAT_LOCUS10431 transcript:rna-LATHSAT_LOCUS10431 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIIKKRVKRFIRPQSDRKICVKQSWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFLVHNVKDLELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDIVVTNKLARLRSQEDE >CAK8540553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10619990:10622268:-1 gene:gene-LATHSAT_LOCUS9549 transcript:rna-LATHSAT_LOCUS9549 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEVKNKQVIFKGYIDGVPKQTDMELKLSNIQFNQQLPPQSLLVKNLFLSCDPYMRGRMRDFHGSYIPPFVPSQALEGFGVSKVIASEYPNFKVGDLISGFTGWEEYSIISITKAQQLRKIEPDDHIPLSFHLGLLGMPGLTAYAGFYEVCAPKSGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSKEKVDLLKEKLGFDEAFNYKEELDLDAALKRYFPQGIDIYFDNVGGDMLDAALLNMKIHGRIAVCGMISQSSVSDPKGIHNLTSLIYKRIRMEGFLQSDYLHLFPKFVEQVSSYYKQGKIVYFEDMNEGLESAPAAFVGLFHGKNVGKQVIRVAHE >CAK8566969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476847613:476849325:1 gene:gene-LATHSAT_LOCUS20063 transcript:rna-LATHSAT_LOCUS20063 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSILARQSCAILRNYNAAYASPIQVPLSNFAINSTQSTISSSLGSPQISHFPNVINNPRFLSQQIATENEVPVDSHSSQNEDGTVHLVNDDVSFQNDEHGSVEVVEEEETETFQIDDEKLEKIVSLLQSSADESFESSLDNMNLTIRQDFVIKAIESIETVLGENLVRFFKWAWTENSHVVTTQVLESFVITICNSGRSIKDKDVYSLLDLVNEIGEKEIGVINVTILNELVTSFSKLGKGKAALGVFEKFEGFQCVPDADTYYYTIEALSRRSDFDLAWSVCQKMLDAQRIPDGEKIGRILSWLCKGEKAKEAHAVYMAAVENKRYPPLSSVNFLASHLCHKNETVPLALEVLNDIPVERRKRAIKPFSAVVRALCRVKDVDAAKQLVLKMIADGPLPGNAVFNYVITGYSKAGEIEQAVEILRLLESRGLKPDVYSYSVIASAYSNGGEMEQARKILEEAKKNHLKLSPVMYHTLIRGYCKLERFDEALELLSEMKDFGVCASADEYEKLIQSLCLKALDWERAEKLQEEMKEKGLYLKGITRALVRAVKETEKEAVEAQSSSLVA >CAK8541260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98853305:98854585:-1 gene:gene-LATHSAT_LOCUS10192 transcript:rna-LATHSAT_LOCUS10192 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNMDNTPSSPGKSKMEKRTRWQTSLQKLTFWSVLSMALIYFLFFRAPSSFSSDTSRRSLRTYSYGGAAWEKNIRSSARTSSKNGVSVLVTGAGGFVGSHVSIALKRRGDGVVGLDNFNDYYDPSLKRGRQALLAKTGVFIVEGDINDAALLKKLFEVVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEVCKSVVPQPAIVWASSSSVYGLNTKVPFSEKDKTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGNSIPIFEGANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKTAPAQLRVFNLGNTSPVPVTDLVSILERLLKVKAKRNVMRMPRNGDVQFTHANISYAKKVFGYKPTTDLETGLKNFVKWYLNYYSAEKKADQ >CAK8534800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769646688:769653922:-1 gene:gene-LATHSAT_LOCUS4314 transcript:rna-LATHSAT_LOCUS4314 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGVNSANPKPHSVSSPPTHAASSAGASSPACDPTGEGIRRYKSSADSYMVIGSALQGASIPSCRPWERCDLLRRLSTFKLAGKLPKVAGPLACAKRGWVNVDVTKVGCELCGAQLDFALPPASSVEADASIEELSKQLDRGHKINCPWRGNSCPESLVQFPPTSPSALIGGFKDRSDGLLQFYSLPIVSMSAVEQMRITHGPQIDNFIAKLQIHTSGELGCRVETSFTGDQGPRSYSYAQKLISLCGWEPRWLPNVLDCEEQSAESAKNGYNSDPTKGSVPDPTSSKKEFSTSSRKDTGDNDVPGSEFNCESRSPLLDCSLCGATVRIWDFLAASRPVHLTPCGTDTPQTSKKIASTRGISAASGINEWAAAGSVEKERTGDRDEATTSDKRILVSNKGLDLNHKMASGPGRYLTNVTSTLDHVRCAGEGSSSRNRQPSRSDIGDLEASYESQGPNARKRRLDGYETRADRPHLRVQQVDSAERTAANHDNNEIRGGQQFSAGPSKRARDTKHLEAPQFSLRNPSGAVPNYSMDIQTKAEENAVNQLNPEKDHVINMPSTRDSTHASSVIAMNMVCHSSDDESMESVENSPADVNDVNFPSVDLNETSELNSSYQAQQSAIIQPPLERLGGETGVSSSDACGEVLNTEILTAQARDGPSFGISGGSVGMGASHEAEIHGTDVSVHRGDSLGDAEPIDEVIENQGQVSEFAPNHGNIGDFVPAEMSREDPQGDSQAVVSQSTARADSGSKTIASTKVESVESGEKTSCSTGMLGLENGAHPSLSCNAVVCSAYEVSKEEVTQTRKASYIDDGAHPSLSCNAVVCSAYEVSKEEVTQTGKASYIADSEYHESGNLDANILGTPYRDNISGRVEFDPIKLHNDYCPWVNGDVAAAGCDSPCSTSDVGTTALCGWQLTLEALDSFQSLGHLPVQTLESESAASMCKGDWFTSSQKLLARNSYVRNGGRN >CAK8575872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:344285632:344285952:-1 gene:gene-LATHSAT_LOCUS28099 transcript:rna-LATHSAT_LOCUS28099 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAQRNNNYNTQHIFDSRGNPTIGVDITVSDGTFAKAAVPSGASPGIYEALELRDGGSDYLEKGVSRVVDSVNTVIVPALIRKDPTKQTEIDNFMVQQLDGIINE >CAK8538093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462341642:462346256:-1 gene:gene-LATHSAT_LOCUS7328 transcript:rna-LATHSAT_LOCUS7328 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPALKRTNSLADNMPDALSHSRYHMKKCFAKYLEKGRRIMKLHHLMEEVERIIDDKIERNQVLEGILGFILNSIQEAVVDPPYVAFAIRPDPGVWEYVRVNSEDLSVDAITPTDYLKLKERVYDQEWANDENAFEADFGAFDFGIPKLTLSSSIGNGLHFVSKFLASKTTGKLTKTQAIVDYLLRLNHHGESLMINDALSSAAKLQQALIVADVFLSAIPKDTSYHNFELRLKEWGFEKGWGDTARRVKETMKTLSEILQAPDPINLEKFFSRIPTMFKVVIFSIHGYFGQEDVLGLPDTGGQVVYILDQVKALEEELLLKIKQQGLNFKPQILVVTRLIPDARGTKCHEEFEPIHGTKHSHILRVPFYTEKGILRQWVSRFDIYPYLERFTQDATTKILDLMEGKPDLVIGNYTDGNLAASLMARKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFMADTIAMNSSDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVFDPKFNIAAPGADQSIYFPYTEKDKRLFQFHSAIEDLLFNKVDNNEHIGYLAERRKPIIFSMARLDVVKNLSGLVEWYGKNRRLRNLVNLVIVGGFFDPSKSKDREEMAEIKKMHDLIQKYQLKGQFRWIAAQTDRFRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPLNGDGSSNKIANFFEKCKVDPSYWNMISEFGLQRINECYTWKIYANKLINMGNIYTFWRQVNKEQKEAKQRYIHMFYNSSFKNLVKNVPIPSDEPQKPVGNQQSLKQQGSSTRRSQSTLRRLLLGAQ >CAK8575975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:377890948:377891916:-1 gene:gene-LATHSAT_LOCUS28196 transcript:rna-LATHSAT_LOCUS28196-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRQKTKVDLLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKYLPLDLRPKKTRAIRRRLTKNQQSLKTEREKKKEIYFPLRKYAIKV >CAK8575974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:377890452:377891916:-1 gene:gene-LATHSAT_LOCUS28196 transcript:rna-LATHSAT_LOCUS28196 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRQKTKVDLLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKYLPLDLRPKKTRAIRRRLTKNQQSLKTEREKKKEIYFPLRKYAIKEM >CAK8561237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:127284821:127285210:-1 gene:gene-LATHSAT_LOCUS14846 transcript:rna-LATHSAT_LOCUS14846 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDVQNKIKLIEEAIAEDLNKKVKEQSSQEMSMENLKNFFKRKYPNESEDEIMVRILDHMMNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8542911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:558497115:558511440:1 gene:gene-LATHSAT_LOCUS11709 transcript:rna-LATHSAT_LOCUS11709 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGTGTYDFRRHRVAEYPLAEPKAGERSQKGGGGGSLQSTITVSEIQRDRLTKIAESNWLKTVEKKKDFEPELVVKIYETELLVKEGQGNKPVPLQRVMILEVSQYLENYLWPHFDPLSATFEHVMSIIIMVNEKFRENVAAWVCFHDRKDVFKEFLERVVRLKEGRELNIAEKTNYLVFMINAFQSLEDEVVRKTMLRLASLKSWYSLSYGRFQMELCLNPVLVKKWKRMLKMEPVKGGQHSDPSTTVEVTFLRNLIEEFLEILDSQVFSQRQLSGEDDEVFDETGLWLINDACVLYCERFMEFLIDLLSQLPTRRYLRPIVADVAVVAKCHLSALYRHGKGKLFAQLVDLLQFYEGFEINDHTGVQLTDHEVLESHYSRLQSFQLHAFKKKDNLRELALTNIGSLHTRANLSKKLSVLSPEELRDLICCKLKLVSKEDPWTKRVDFLIEIMVSFFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINIDGETAFRGWSRMGVPIKQFRIAEVKQPNIGEVKPSSVTAEVTYSISSYRSHIRSEWDALKEHDVLFLLTIRPSFEPLSAEEENKASVPQKLGLQYVRGCEVIEIRDEEGTLMNDFSGKIKREDWKPPKGELRTVTVALDTAQYHMDVNNIAEKGGEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPKWLEKVFLGYGEPSAAQWTNMPDLLETVDFKDTFLDADHLKESFVDYEVTFKNSDGTENLNPTPPFKIKLPRTLKGSNGVLPGSALSTSGAANDANMVGANHQKETLTIETYTPPDPGPYPQDQPKQNSVRFTSTQVEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFRAACAGNEEKATFVRDRFPFKEFFSDTPHPVFTGNSFEEDMRSAFGCLLHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRNLGDLPYLKEAAIFNRANAGFAYDYQLVDVPEHLGKGETTPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYNFIGPPSKVSTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSIFEQCYELQPTFQLLLKRPDRLALNMNEITSYTERNAEDPGPSHHVHLVSGIEEMASIIDRLYQEKMRYQYEQNGSYFSHLEPSVNTDKVQNRRQIEDTEMLKQNDEMADESGDATTSDNNRVPEDLPPERSMEDDTKVNGISHLEPSINTNTVQNRQQVTDTDMPEQDDMPHESGEATAVDNHVSGDMAPERSMEDITVVDNSVGVANGSPTP >CAK8566754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459800538:459802765:-1 gene:gene-LATHSAT_LOCUS19864 transcript:rna-LATHSAT_LOCUS19864-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAHQPQGLYVTPSTRHLSWNKRLKLKQCLTKHHMIGRTSWHHLSKHNICLSVGPPCFCVSKIKPLRISGFKGISHNDDLRTRASWLKAPKTSVGLEESGETQNVPFSYAAEAGDSLATRASWLKAPKTSVGLEESGETHNVPFSYAAEAGDSLATSSAFHGLFNKWLKMLRTQSSCQEVEGIFGRLFPTDLPETLQMTHSKERSEVFKVAWSHFLALDAAIKIPLLIFVPLYLAVNVKYGAEVSKELTPLWVFGPLILATHIMIIRGLCALYALSFNQTVKVLRKVPSWCIFANNYIFGGGIKEHIAVYLLRPISSLKDVDYVQLTRRILKVLLEWLMEKYLDFMESIWPHYCQMIRFLKTSKLI >CAK8566753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:459800538:459802765:-1 gene:gene-LATHSAT_LOCUS19864 transcript:rna-LATHSAT_LOCUS19864 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAHQPQGLYVTPSTRHLSWNKRLKLKQCLTKHHMIGRTSWHHLSKHNICLSVGPPCFCVSKIKPLRISGFKGISHNDDLRTRASWLKAPKTSVGLEESGETQNVPFSYAAEAGDSLATSSAFHGLFNKWLKMLRTQSSCQEVEGIFGRLFPTDLPETLQMTHSKERSEVFKVAWSHFLALDAAIKIPLLIFVPLYLAVNVKYGAEVSKELTPLWVFGPLILATHIMIIRGLCALYALSFNQTVKVLRKVPSWCIFANNYIFGGGIKEHIAVYLLRPISSLKDVDYVQLTRRILKVLLEWLMEKYLDFMESIWPHYCQMIRFLKTSKLI >CAK8564448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:674753447:674753788:1 gene:gene-LATHSAT_LOCUS17753 transcript:rna-LATHSAT_LOCUS17753 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTLFLLTVLLMASAAAARKQHQLGGYSPITNINDPHVIEVAKFAVTQYDKQSGAKLKFNKVIKGESQVVAGTNYRLTLSAGVGSVSKIYEAVVWEKSWLHFRNLTSFKPL >CAK8542889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555784531:555788278:1 gene:gene-LATHSAT_LOCUS11687 transcript:rna-LATHSAT_LOCUS11687 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAGRFTFRRCFFYFSLNLFFFFFFISSLAEDEQTLTLTTLRGVDIEKPVLDVSPSLLLGNSDTEGVKNKNIKLCERVQVSGISRLNLRSYANSYHITLAPSVAIPERLHTKIKICFHRNNTLGWCQCEKDEWRGLQKGTWSAVMSPYDTRYVDVKIDREILGSVTVALEEGFQQWRLVSLAVGLILLLLAPIISSWVPFYYSSSMAIGIFLVVIIILFQGMKFLPTGRKNIFYLAIYGSVLGAGSFLLHQFSMIVNSILQSFGMSEEMHNPVAIFVLLGIILAGAALGYWIVRRFVISKEDGTVDAGVAQFVKWAMRIIGATFVLQSTLDTPLAVGALISCGAVCQIGSLIKLLHEWYETSGNDDYSLNWTRGTPGRAEFLSKSHPKGKMWNSPKSDSNGKMWNNPKRTSWSDSPVRGIVSPSSGTQLGTNYYSTFHKTGNRKKFTKEEWDDFTRDSTKQALAEWAASPEFTDWIIEHADRIKLLPSESSDEIMESESDSTEGGSGNGFRLFSWQ >CAK8562095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439839114:439839420:1 gene:gene-LATHSAT_LOCUS15621 transcript:rna-LATHSAT_LOCUS15621 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKFWRELLFNKQNSNIAKTQTLVASSFTTFLSKTLFLSFSSVLFFLISNPSFQGLRLIFNLQFFLMLN >CAK8561519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:265821940:265824522:-1 gene:gene-LATHSAT_LOCUS15103 transcript:rna-LATHSAT_LOCUS15103 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEPLPAILMPLSRVSDCDLLCGEDTSEILTGDSTEYSSDLGSSSPSPSLFAEEEEAISIFMEQERKFVPGFDYLSRFQSRSLDANAREESVAWILKVHAYYGFQPLTGYLSVNYMDRFLDSRTLPESNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFQPQTILRMELLVLTVLDWRLRSVTPLSFLSFFACKLDSTGAFTDFLISRATEIILSNIQEAGFLAYRPSCIAAAAILSAANEIPNWSFVKPEHAESWCEGLRKEKIIGCYELMQEIVISNNPRNPPKVLPQLRVTTRTRKWSNVSSSSPSSSSSPSFSLSYKKSKLDSNCFWVDDDKGNSE >CAK8569652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7266454:7270913:-1 gene:gene-LATHSAT_LOCUS22480 transcript:rna-LATHSAT_LOCUS22480 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGHHDERRERKSDFENSEDDRRTRIGSLKKKAINASSKFRHSLRKKSRRKNASRSNSLAIEDVRDVKELQAVDAFRHSLILENLLPPRHDDYHTLLRFLKARKFDVEKAKHMWANMIQWRREYGTDTIMEDFEFSEFNEVLQYYPHGYHGVDKEGRPIYIERLGKVDPNKLMQVTTMERYLKYHVQGFEKTFAVKFPACSIAAKRHIDSSTTILDVHGVGFKNFTKTARELIMRLQKIDGDYYPETLCRMFIINAGPGFKLLWNTVKTFIDPKTSSKINVLGNKFQNKLFEIIDVSELPEFLGGSCTCADQGGCMRSDKGPWQDPNVMKMVLNGEIQCSRQIVTVTNDEGRVIECDKVSYPMIRSSDTSTAESGSEVEDITSPKASGNYTNPRLTPVHEEARLAGRAGLSYGFSEYDEYVPMVDKTVDVAWKEKQVTTYNSYGTTEKYLSRTGASDGKRTYIWAIIIGFFVAIFTFARSIKFRRTKGIKDNESDCLQNMPNLLVSAEPITKEESRPPSPAHRSTEEEHASSTLKRLCVLEEKVEMLQSKPNVMPCEKEELLNAAVYRVDALEAELITTKKALYEALIRQEELMAYIDSQERRKFEKKKFCW >CAK8576154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416221013:416221420:1 gene:gene-LATHSAT_LOCUS28365 transcript:rna-LATHSAT_LOCUS28365 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGTASEILEEGWDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHDFCVPLVPQRLLVVLLAHTTVGSSTGVKS >CAK8541986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448067556:448068425:1 gene:gene-LATHSAT_LOCUS10863 transcript:rna-LATHSAT_LOCUS10863 gene_biotype:protein_coding transcript_biotype:protein_coding MERESQKTNHSIVRRAGEYDYEVRHTSLNGEKYVVNFYKKECSCRLWMLTGLPCCHAMSCMKDQHLEIDDFVPDFYKKEQYATFYAHVIYPLNGEALWAKTSDVDLQPPPIKRQPGRPKKKRNSEVGEMVRDETHMKRARHGIQCSRCHKDGHNKATNKLPQPQASLSLVQDATSQQPSQADTSQSPPVATSQPPSQAVTSQPPPSVATTQPPPQFVTSQPPPSVVTSQPPPQSITSQPPPPIVTSQPPSKTKKKLHKDDKPVSSQP >CAK8531253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:93428740:93430246:-1 gene:gene-LATHSAT_LOCUS1059 transcript:rna-LATHSAT_LOCUS1059 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMSALKLKAVSEANPNDTAKKAETVDLGNGSDVVFIQRLTPSEQSWKWFHYLDKHIPWTRPTIRVFGKSFLQPRDTCYVATSGLTELSYSGYQPHAYSWDDYPPLKDILDAVHKALPGSSFNSLLLNRYKGGNDYVGWHADDEKLYGPTPEIASLSLGCDRDFVLKKRPSKKSRDGSDEPASKRLKKSGHDDQHTFRLKHGSLLVMRGYTQRDWIHSVPKRAKAEATRINLTFRRVF >CAK8569917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15495033:15497887:-1 gene:gene-LATHSAT_LOCUS22716 transcript:rna-LATHSAT_LOCUS22716-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRVSSLLHCTHTFHGSSIQPRSLCNRNVTTVTTTIFSRRRTSNIGVSMAVTTREQEIVLEEEKKKLQLGIAEFYDESSGIWENIWGDHMHHGFYDPDSTVSVSDHRQAQIRMIEQSLSFASLSEDSTKWPKSVVDVGCGIGGSSRYLAKKFGASCVGITLSPVQAERANTLAAAQGLADKVSFQVADALEQPFPDGQFDLVWSMESGEHMPNKPKFVGELVRVAAPGGTIIIVTWCHRDLRPDEESLQPWEENLLKKICDSFYLPAWCSTAEYVKLLETMSLQDIKSADWSPFVAPFWPAVIRSALTWKGFTSILRSGLKTIKGALAMPLMIEGFRKGVIKFAIITCRKPEK >CAK8569916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15495033:15497887:-1 gene:gene-LATHSAT_LOCUS22716 transcript:rna-LATHSAT_LOCUS22716 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRVSSLLHCTHTFHGSSIQPRSLCNRNVTTVTTTIFSRRRTSNIGVSMAVTTREQEIVLEEEKKKLQLGIAEFYDESSGIWENIWGDHMHHGFYDPDSTVSVSDHRQAQIRMIEQSLSFASLSDSTKWPKSVVDVGCGIGGSSRYLAKKFGASCVGITLSPVQAERANTLAAAQGLADKVSFQVADALEQPFPDGQFDLVWSMESGEHMPNKPKFVGELVRVAAPGGTIIIVTWCHRDLRPDEESLQPWEENLLKKICDSFYLPAWCSTAEYVKLLETMSLQDIKSADWSPFVAPFWPAVIRSALTWKGFTSILRSGLKTIKGALAMPLMIEGFRKGVIKFAIITCRKPEK >CAK8530184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4758322:4759530:-1 gene:gene-LATHSAT_LOCUS72 transcript:rna-LATHSAT_LOCUS72 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSNYIPNDLIISILSKLPLKSLKRFECVQKSWTLLLKDSHFVSVFRKKFMSKTLFGFDDTSYLMRYYMFGPPNVRSYFYFLFGERLENKVKMDYSLPFVDCGQDFIVVGSCSINGILGIVSQNEGFALWNPTIDEYKVIPPSPAESVPYRNFSWLIHGFGYDHVKNDYKVLRRIDFYQLNRHDCECLGLDEENVPWKDVSYEPVWEIYNLRSDSWRKLNINIPMTIPYIFLIPNNDDGIDRCYTKGMCHSLYKVSEYIFQTCLMSFDVCNEVVFTTPMPSYMNDNMDSEWNYKHLMILIKGFIALSSHHGETNTIHISILNEIGVKESWTKVFILGPLTCVAYPFAGGKNGDLFLRKENGELACFDLDTQMINELGVEAYKSHIIVYNKSLLSIRSIHD >CAK8573179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:591822407:591822586:1 gene:gene-LATHSAT_LOCUS25656 transcript:rna-LATHSAT_LOCUS25656 gene_biotype:protein_coding transcript_biotype:protein_coding MVPITTYFLLFVSSIFYVIWDARSVLEEHAAQLDRPGFQRSSMGFATYTIGHLDFPIIM >CAK8530398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19231378:19235138:1 gene:gene-LATHSAT_LOCUS266 transcript:rna-LATHSAT_LOCUS266 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFSQFFFLSVIAIWFISLTAFGAKTIHPEEKKALEDIAKSLGKKDWNFDIDPCSNKPNWVTPPIPHIYDNNVTCDCSVADDNFCHVIWISLKGQNLQGTLPAELSRLRYLQMIDLARNNLNGTIPKEWGSLTNISKLALLSNRLTGSIPVEIANISNLQVLELHNNQLSGNLPPELGYLTQIQIMRLFSNNFTGELPVTFGKLTTLLDFRIDDNQFTGRIPDYIQNWTSINNLMIQGSGLSAPIPSGISLLKNLTDLRISDLNGSEYAPFPQLNNHTLLKRLVLRNCNINGTLPEYLGTMTKLQLLDLSFNKLSGTIPKSYAHMIAANYIFLTGNLLSGQVPALPNKTNLDIDLSYNNFSIIQRSQICQNENVNLFSPSWARNNIGLNSCLSFTCPKTSNSLYINCGGNQVIVNGKSYEDDSGSGGPARFHAYPTGNWAFSTTGAFLGSEEVEDTYAPRNISKLTMEDAELYTRARVAPISLTYYGYCLQNGSYTVNLHFAEIMIPDDQTYGSLGRRVFDIYIQGELVQKDFNIAKEAGGVGKKIIKQFNNVVVTSNTLEIRLYCAGKGTQNLPTSSVYGPLISAITVELSGK >CAK8568608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:623744894:623748843:-1 gene:gene-LATHSAT_LOCUS21550 transcript:rna-LATHSAT_LOCUS21550 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKLSNSTEMLPVSDPPSDEDRERLLQGDDKIFRGSSMSKRGFFAAISYMSCAVLLVMFNKAALSSYNFPSANFIALLQMVCSCCFLYVLRYRRIISFTSSEAEIISENSKIFVPLKTLWHTFPIAGSYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLVGQRYTRSIIFSVGLIVFGAFIAGAQDLSFDAYGYAIVFLSNISTAIYLATLARAGKTSGLNSFGLMWCNGIISGPLLFIWTLFRGDLTMTINFPHLLSIGFIVVLLLSCILAFFLNYSIFLNTTLNSALTQTICGNMKDLFTIGFGWIIFGGLPFDFWNVIGQSLGFAGSGLYAYYKLIGK >CAK8544969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715266033:715268747:1 gene:gene-LATHSAT_LOCUS13606 transcript:rna-LATHSAT_LOCUS13606 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFSTTPNLSLPLRSNANPILPSPTFTPFFGSTRKFHSISPIKLSNPRSIVASVSDALKTNTLASPSNLLITKEEGLVIYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDSVVSTYRDHVHALSKGVPARAVMSELFGKATGCCRGQGGSMHMFSKEHNVIGGFAFIGEGIPVATGAAFSSKYKREVLKQADADYVTLAFFGDGTCNNGQFYECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLIECETYRFRGHSLADPDELRDPAEKAHYASRDPISALKKYIIENKLANEVELKNIEKKIDEVIEEAVEFAEESPVPHRSQLLENVFADPKGFGIAPDGSYRCEDPKFTQGTAHV >CAK8575866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:343805644:343808695:-1 gene:gene-LATHSAT_LOCUS28094 transcript:rna-LATHSAT_LOCUS28094 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTSCSQSVEHALQMVEGVKKAIVGLALDEAKVLFDPILVDTNKIVEAVEDAGFGAELVSSRNDMNKVHLKLEGIDSMEDLNVIVSSLYLAAGVNHVEMDLTEQKVTVSYDSDMTGPRFLIQCVHEASSGHSVYQATLHTNLGNRESEKLNEIRMYRNQVLLSCLFSVPVFMFSMILPMLPRYGYWLNYKIHKMFTLGLFLRWILSTPVQFIVGKRFYLGAYHSLRRWSANMDVLVALGTNAAYFYSVYVVVKTLTSATFQGQDFFETSSMLISLILLGKYLEIMAKGKTSAALEKLVQLVPDKAYLLDFDTNGNVVGGTEIDTELVQKNDIIKIVPGAKIPADGIVIKGKSCVNESMITGESRPVNKIPGDKVISGTINENGCLLVKATHVGSETALSQIVQLVEAAQLARAPVQKLADDISRVFVPIVVAAAFITWLGWFISGEAGLYPKNWIPKAMDAFEFALQFAISVLVVACPCALGLATPTAIMVASGVGASQGVLIKGGDAVEKAHKVKIVVFDKTGTLTIGKPVVVRVMLFSKFSMEELCDMTIAVEANSEHPIAKAVVAYAKRKCESFGSSSRDQVQDANEFIVHMGSGVSGKVKEKTVLVGNKRLMQAFNIPISSTVETFVSENETLARTCVLVSIEGKIAGAFSVTDPVKLEAKSVVSFLHSMDISTIIVTGDNCATANAIANEVGISEVFAEIDPLGKADIVKDLQMKGMIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADVVLVKSNLEDVITAVDLSRKTISRIWLNYIWAFGYNILGMPIAAGVLYPFFGIRLPPWLAGACMAASSLSVVSSSLLLMFYKKPLKMD >CAK8571930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494598166:494598654:-1 gene:gene-LATHSAT_LOCUS24551 transcript:rna-LATHSAT_LOCUS24551 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8571931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494598511:494598654:-1 gene:gene-LATHSAT_LOCUS24551 transcript:rna-LATHSAT_LOCUS24551-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8535791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882538432:882540217:-1 gene:gene-LATHSAT_LOCUS5214 transcript:rna-LATHSAT_LOCUS5214 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSVMHATPISNSPMESPSHPSFGRHSRNSSGSRFSGIFRSSSSRKGGRKRNDKGWPECGVILEEGSYREFEDKAFARRFQALIAVFSFVLVFTVFCLIIWGASRPYKAEVTVKSLTVHNLYVWEGTDFTGVPTKIVTLNSTMHINIYNPATFFGIHVHSSPINLVYSEISIATGELKKHYQPRKSHRIVSVNLEGNKIPLYGAGSSMTVSQTGSVEVALVLKFEISSRGDVVGKLVRTTHRKEIACPLVINSSGTKPIKFKKDSCTYE >CAK8539638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518994160:518999446:-1 gene:gene-LATHSAT_LOCUS8716 transcript:rna-LATHSAT_LOCUS8716 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLRSSLHSSPQEFISLAITQTLKSSKPSLKTLIHNIKPSSNLIFSLPPSLCNAITSTIQSFQNLLQSNSDNPQTPLATALRRSSRKPNADTEQKPRPDKKDRLLKRLQILTHVLSLCVSHPKKIFDPSCLLPGVQALHDNLIVLEADSVLSSGIETLCEEWWKENLVGRESLISQTLPFLISRTLTLKKKVDVHRVYMLREAFSLFDFEDDSIEDLKLLLNRSVISPLFLKTEDGRKFLSFLFGLSDQLRKELLAMIRSQIPFGRKSMLEAYGDILFRAWKAAPEDSRSEIENGFLQGLVEGSIHASSGSFASYIRRVLGGFINQRTVDGVEKLLYRLAEPVIFRSLQAANSNVRQNALHLLLDVFPLEDPDAPKGDKDTLIDKQFFLLERLLVDDCPEIRTIAVEGSCRVLQLFWEVIPSPIITKMLSKIIGDMSHDVCSEVRLSTLNGIIYLLENPHSHEVLKVLCPRLGHLIQDNVLTVQVAMADLLLHLKDVPNYQFNKVVDLNLLLSELASDQPSVAQKITKLLIPSYFPSVVPIEEACNRCITLVKRAPLAGAIFCKYAILEGASKTRLIELVNVFLSLVLSPDKLNADLIEGFLVAASYLCENLTFEPCYKNVLKEFITVEKVKGLLTAASTQQAQSSLFNIFSTVCPDNVEGLLEEGMSVVTNCSGLPEDVDRQSKVRSAHKLLLSLGGFDDMFEALTTLLHKAANRCHVKFGADMPSPSVGSKSFGKLSVKSSVKTKSFGKLSVKSKIINRKQSFEDDYVVAVGVAWQVRDLLQHKDTRKAIFKSQPLEKLFFSLKMVSEISIVNCGKYEYIDVSPVLAYVALALQMTVDNVGRSSGKSGGLKRKKRNIDSSSLLSETVLGLTIEHMLDCLEKLFGSDDSVRSRNVGSSNLKSTSGKKQNSENRRRVSLTNAGCPRGSVHSEAQYVLCKVKMLTAVLKFIADTAAMYFAPHNHGLFLKYTSKCIQHIVSSLDHLFHNKIQFHEEDKKNTIICLKSSFSYAAKILNVILAGSDGSSITASKAFNLANNLLDLIVSIESCLGSVYASRLVSAARPWLPDVILALGSLSVLQDTDCETEHATVSEQMKFCFPKWPLVVAKTVLSAVNEGEEDAESSQTDKCPAFNKLLAMLIILLKKNRSIMDAVGNVFLVCSLVGLERKDFELALGLLQFVCSKLFNSDDKDWGDMMLSSLQEIYHKIEQQMKEDRNEDELEKLLHAKELLEPLWNYHLYETRKVDMTDV >CAK8569682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7932681:7932872:-1 gene:gene-LATHSAT_LOCUS22506 transcript:rna-LATHSAT_LOCUS22506 gene_biotype:protein_coding transcript_biotype:protein_coding MALHWLEAVLPLGIIAGMLCVAGNAQYYIHRAAHGRPKHIGNDLWDVAMERRDKKLVDQASSN >CAK8537310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:219364992:219365621:-1 gene:gene-LATHSAT_LOCUS6609 transcript:rna-LATHSAT_LOCUS6609 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKGVKSFLDFAYTTGRPQGREISCPCAHCVNCKWERRHVVRDHLIAVGFVKGYDVWVNHGEDIPSPMKIKEGTKEQENSLDNIGGLLYDTFRNVVEAEESSEAHNEDSRKFYKFINEAKQELYPGCESFSTLSFIIRLYLLKCLHGWSNASFTSLLELLKEAIPELNIPESFNKTKEMISDLDLDYKKNSCMSE >CAK8570063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22772931:22773918:-1 gene:gene-LATHSAT_LOCUS22847 transcript:rna-LATHSAT_LOCUS22847 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVPAREVKLKNPTTMPNWLELPRDLTLNILRRLPMFDIITNASLVCPLWWNFCKEPCIWRTIHMPDFFHSGYSIADWVKMFQYAVDRSCGQLKDVYICMFGNDELLEYITDRASNLQRVGIESCDELSDKGIIEAVKKLPLIEELIVTKNNNLSKHFFEVVGSCCPLLKTLTYGRNLYQNENMSIDQTEEAFAIAKTMPGLRSLTISGLGLTSVGVVAILDGCPLLESLDLQHCFFMHSEGSLSQSLRERYCEQIKKFIPPIDYCCFDYWCYVYDSDDYHFDFCYDSNFYFD >CAK8578634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:642808153:642808563:-1 gene:gene-LATHSAT_LOCUS30627 transcript:rna-LATHSAT_LOCUS30627 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSFSLSHINGQDMWPKVDTEEILSPTYNRGPGRSKKLIRREPDEDPNKVRSETNYCCIRCGVHGHNARSCTSQVVDLKANKCKRKPKKTASGQGKGQGHSQTQTTSV >CAK8560730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:38802182:38803705:1 gene:gene-LATHSAT_LOCUS14384 transcript:rna-LATHSAT_LOCUS14384 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLDLEEFKRQGYMMIDFLTDYYKNIENYPVLSNVEPGYLAEKLPSSPPFQPESIESILEDVQHHIIPGITHWMSPNYYAYFPSSGSIAGFVGEMLSNGFNVVGFNWLSSPAATELETIVMNWLAKMLNLPKCFIFSSNFECGGGGVLLGTTCEAILCTLVAARDEKLSKIGKENIGKLVVYCSDQTHSALQKATQIVGIHAQNFRVIKTEGSNFFALSRESFLSTILSDVENGLVPCFLCATVGTTATNAVDPIKLLCNVAKEYDIWVHVDAAYAGSACICPEFRHYIDGIEHVNSFSFNAHKWFLTNLACCCLWVKDHSALTKSLSTYPEFLRNVNSDSKEVIDYKDWQVPLSRKFNSLKLWIVIRSYGVENLKKFLRNHVEMAKTFEGLVRKDERFEIVVPTRFALVCFRISPSAINIDNGSEGCYCIGKKMNDGYLVNEVNHKLLDSINGSGKAYMTHCEVDGAFVIRCAIGSTLTEEEHVIVTWKLVQQHASFLLGTPQTA >CAK8570473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49020358:49022218:1 gene:gene-LATHSAT_LOCUS23219 transcript:rna-LATHSAT_LOCUS23219 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNGLRSAAKLIASSESSISKSVIRNFHSTGVKRMGGGHGHSEPDYMHSYHMYNLDQMKHQGLKMSLAVFTAFSIGVGVPVFAVVFQQKKTASG >CAK8533113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:579583632:579589062:-1 gene:gene-LATHSAT_LOCUS2761 transcript:rna-LATHSAT_LOCUS2761 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQNLINSAVLTLCVLVLSTCNYQACAFGKFGKFGNFENHKWASKDHFWDRKKDDYVVRSICADLITTHGYKCDEFEVTTNAGYILSIQRIPVGRSESSSNATREPVIIQHGIMMDGASWFMNSPAQNLPMILADNGFDVWITNGRGTKYSRKHTTFDSSKKQYWNWGPDELVSDELPAIINFVFKQTGQKINYLGHSLGTMVALLSLSEGKWVNEVKSVALLCPISYIGNMKAKLATLSMRSERGKKYTARDFTEFKPKGRITLSFIRVICATFRLNCNDLFTALTGENCCLDRAAFVRLAQVEPQSTSKKTLYHLSSIYLNDIVAKFDYGRREINQRYYGQPKPPIYNLSNIPNNIPIFMSYGGKDALSDVADVQRLLSLHFQNHDKAKLNVQFIHEYAHFDYMMGVNANDLVYKHVASFFKQKF >CAK8571590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444859928:444860379:1 gene:gene-LATHSAT_LOCUS24235 transcript:rna-LATHSAT_LOCUS24235 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIIVNDYYRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSNDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVANAIDFTGEGFDIEEGVPILK >CAK8562741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532723282:532724718:1 gene:gene-LATHSAT_LOCUS16212 transcript:rna-LATHSAT_LOCUS16212 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSPMLLLLLLISHSSSQMLLLPLTHSLSKTQQFNTTHHLLKSITTRSMSRFHRHRHHHQLSLPLSPGSDYTLSFNVGPYSQPITLYMDTGSDLVWFPCTPFNCILCELKPNPSSSSPLNNISHSVPVSCSSKQCSAAHSSISSSDLCAMARCPLDYIETKDCGSFHCPPFYYAYGDGSFIARLYRDTLSLSSLQLRNFTFGCAHTTFAEPTGVAGFGRGLLSLPAQLAKHSPQLGNRFSYCLVSHSFRRERVRKPSPLILGRYEDEKQRNGGEAVEFVYTSMLENPKHPYFYSVGLKGISVGEKTVPAPKILRRVNKRGDGGVVVDSGTTFTMLPAGFYNSVAVEFDRRVRRVNPRAREVEMKTALSPCYHLNAMAEVPALKLRFVGVNSSVVLPRKNYFYEFLDGGDRSKKGRVGCLMLMNGGDEFEVSGGPGAILGNYQQQGFEVEYDLEKKRVGFARRKCASLWDRLNRDKN >CAK8570016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21174829:21178081:1 gene:gene-LATHSAT_LOCUS22807 transcript:rna-LATHSAT_LOCUS22807 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSVNPLPADGLDVVHQNGVDDEPSNSGEDGVVSNGLDSHVPETTETVALTGNFENFDLSESTAIGNSAMKETEETNDSADGNSLTVSKEKEEKITVQAEQSKAQKGPVKIKNAKVASSSGIRASLVKNNKIGKDKQASSAVSNGTSAVDSRPKQPSKSRSFNDRQSQLSKHPSKSDAASSQIAAEKKNPKSLKKGTLDKVQGEAEPSVTNAEDAKPRRVGTLPNYGFSFRCGERAEKRREFLNKVEEKIQAKEEEKSTLQAKSKESQEAEIKKLRKSLTFKATPMPTFYQEPAPPKVELKKIPTTRAKSPKLGRKKNSTNSESDGNGSTSSRQGRLSLQEKVLQSNSTKGTTLVNQKKPIRKSLPTRLASEKTNSTTAPTSRATKKDITTLSKATNEEKIEIVAANEEKTEIVAVNEEKTEIIAANEENITLSSETNAALPLNVVPSDKPSEEEFHVNGDITVVEENPHLTLSPEPITTVH >CAK8532998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:562492210:562493128:-1 gene:gene-LATHSAT_LOCUS2651 transcript:rna-LATHSAT_LOCUS2651 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEWESVSDDGYFEMEYLYPSPPRKQNQLVPLQIPLELKIGNTSKDVLLKDMTKNQSFEDNQEENVSQVFFKIKENELVDMKIESPKSCSSKEIVTSPRMIIEKDVFGDEKEDSSWEEENNSGFSIWKWSLSGVGAICTFGFVAASICVVYFGSQQRKKLQQDKKIMFQIYTDDKRIKQVVQHATKLNEAISAARGVPITRAHITVGGNYDVFD >CAK8560271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12786735:12788303:1 gene:gene-LATHSAT_LOCUS13959 transcript:rna-LATHSAT_LOCUS13959 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIRFHQFSTSTSIHSHHNNQTFPKKTYLPIPHRTILQPKGQDLDFINVCHSHIIHSQWEKLNPLSKTLTPFRIQHILLKLQNDHVLSLKFFNWVHTHNPNSHTLQTHSFLLHILTKNRNFITAQSILSRIITDSNLFESLLHSYRLCNSSPLVFDTLFKTFAHMNKLRKATDTFVRMKEYGLFPTIESCNAFLSSMLYLKRPELVLSFYHQMRKNYISPNVYTLNMVVSACCKLGELNKASEILEKMKDMGLSPNVVTFNALISGYCNKGLLGLALKVKTLMMGNNGVFPNVVTFNTLISGFCKEGKMHEANRVFSEMKLANVAPNVVTYNTLINGFGQVGNSEMGVMLFEEMEKSKVKADILTYNGLILGLCKEGKTKKAAYMVKELDKENLVPNASTFSALIAGQCVRKNSERAFLVYRSMVRSGFSPDENTFRMLVSAFCKNEDFDGAVQVLKDMMDRFMTPDSSILSEVCTGLCRCGRKQFALMLCSEMEAKRLLPQGFDKEKIVISSHENDTSI >CAK8534658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:753466208:753467988:-1 gene:gene-LATHSAT_LOCUS4185 transcript:rna-LATHSAT_LOCUS4185 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSSSSNQKKSLPIDTTFKLPSNIPTWPQGGGFGSGIIDLGGLKVSQISTFNKIWTTLEGGQEDLGATFFEPTQIPQGFFSLGHYSQPNNKPLFGWVLVAKDESNGALKNPIDYTLVWSSKSQKIKQDKDCYIWLPIAPNGYTPLGHIVTTSPEKPSLDRIQCVKSDLTDQCEINSWIWGKDKKIDEKGFNVHCVRPSNRGTQAHSVLVGTFLAHVGEITNGPLPISCLKNSNFMSFSSMPNLPQVKALAQGYAPLMYLHPNEKFQPCSIKWYFTNGALLYKKEEEANPIEIDPLGSNLPQGGNNDGSYWIDLPKDKANRERVKKGDYKNFQAYIHVKPMFGGTFTDLAFWVFYPFNGPGTLKVGLIDNISLGKIGEHIGDWEHVTLRISNFNGELKSVYFSQHSNGQWVDASEVEFQSGNKSVAYSSLNGHAIYSKAGLVLQGVSEIGIKNETKKSDMVVDFGDGFEIVSGEYLGDEVVEPSWLNFFRQWGPKITYDLGEELKKLDKVVPGLKLPNELLGEEGPTGPKLKRSWSGDEV >CAK8531912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:175077515:175077760:-1 gene:gene-LATHSAT_LOCUS1669 transcript:rna-LATHSAT_LOCUS1669 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHKERKEKVEKDNTTRGISEKKIIDNQINDDRCKRELECDKVSKRIICDSIISNGEKAQDPNDVLAFSRSVNNVDSSLE >CAK8533028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567849333:567849680:1 gene:gene-LATHSAT_LOCUS2680 transcript:rna-LATHSAT_LOCUS2680 gene_biotype:protein_coding transcript_biotype:protein_coding MIKITVEDHFKHRFTNLGGYRPILEGIHFSSLTEADSLDLEKDFSKEEIKGVIWDCDGDKNPSLDGFNLTFIKNYWDILGEEIIDVIQEFYNTTTLPKTFTASILALIPKIQNPQ >CAK8538924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499626853:499628053:-1 gene:gene-LATHSAT_LOCUS8070 transcript:rna-LATHSAT_LOCUS8070 gene_biotype:protein_coding transcript_biotype:protein_coding MANNDTNEPLLLRLQDTEPQKGKRRLNQRRLLRSRSAPDTDQRPLVRNGNESNPLSEPMFGNLHPSFRKVAIILMVYLGVGTLIFYFARNQIKGMKTDRFLDALYFTIVTMTTVGYGDLVPNSDLTKLLACAFVFSGMALMGVILSKAADYLVEKQEVLLIKAIHMHQKVGPSEILKELETNKTRYKFILVLFLLLILVITGTIFLVSVEKLDVIDALYCVCSTITTLGYGDKSFSTQAGRIFAVFWILTGTICVGQFFLYMAELNTESRQKALVNWVLTRKMTNFDLEAADLDEDGTVGAAEFVIYKLKEMGKISQEDITLVMKEFEELDIDQSGTLSVSDITLAQSS >CAK8560860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51110768:51111454:-1 gene:gene-LATHSAT_LOCUS14503 transcript:rna-LATHSAT_LOCUS14503 gene_biotype:protein_coding transcript_biotype:protein_coding MSYACIFKYIIIGDTGVGKSCIQLQFTDNRFQPVHDVTIGVEFRVRMISIDQKPIKLQIWDTAGQEMFRSIIRSYYRGAVGALLVYDITRRETFDHLASWLEDARRHANLSMTIMLIGNKCDLIKKRVVSTEEGEKFAKENGLMFMEVSAKSAEKVEEAFVKTSVKICKKIKDGEYDAFNDINGIKIGYGSKPSVAFNSNGIKIGYGSKPSVARAHKLPCVSASGCCT >CAK8576499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:484585395:484586207:1 gene:gene-LATHSAT_LOCUS28682 transcript:rna-LATHSAT_LOCUS28682 gene_biotype:protein_coding transcript_biotype:protein_coding MREESRVGVGLAEPHRITWYGEEYFEPTKRNQASHTRVSNNASWSKVHRSSNEILSPSINKERDVGKSNEVIPNGYLNADGPVHTSIPLKEKNMTSVNILAKPLNLMKDSGWDMHANNKGDDELAFSMLNRNLPIYMICPHCRRSRNKKDIADVKFDSGISQLKRIFMVTPTFPVILATCPVVQFEASCLPSSVPDRERKLQFSLGCQVILPPDSFLTLKLPFVYGVQLEDGNKHPLKPFEQQPEMTAWITKGTVLQILSKGSSDEGYQT >CAK8541298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:108543172:108543859:1 gene:gene-LATHSAT_LOCUS10228 transcript:rna-LATHSAT_LOCUS10228 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGHAVSHIFIFICLLLIFLGIPSFCFKPKKLVNVTSNFSSDSGWASTLSTWYGPPEGYGSDGGACGYGKAVGQPPYNSMISAGNPVIYQSGKGCGSCYQVKCTENPACSGKPVRVFITDECPGCEHYFDLSGKAFGSMAKAGQANNLRNAGKISVQYEKCP >CAK8532560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:295274774:295275460:-1 gene:gene-LATHSAT_LOCUS2252 transcript:rna-LATHSAT_LOCUS2252 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTKNSSTSQSLSSKPTISSNTSLQNIEVFRGMSGFQEEMRLAASTPKKRAGRKIFKETRHPVYRGVRKRNLDKWVCEMREPNKKTKIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSAWRLPIPATSNTKDIQKAAAEAAEAFRPGKILKTKEIETVVAIVADEELINMFCVEEDEEEALNIPELWRNMVLMSPTHSLGYHEYEDFDINFQDDVISLWNFEI >CAK8542312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500990748:500992927:-1 gene:gene-LATHSAT_LOCUS11159 transcript:rna-LATHSAT_LOCUS11159 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFNPPSSTTALLLRSRHPIRVGPTSLRFFTICSSPPSSRVLSIVASATEASAKVIDGKAVAKQIREEIAVEVSKMKEAVGVIPGLAVILVGDRKDSATYVRNKKKACESVGINSLEVNLPEDSTEEEVLNYISGYNDDPAVHGILVQLPLPSHMNEQNVLNAVRIEKDVDGFHPLNIGRLAMRGRDPLFVPCTPKGCIELLHRYGISIKGKRAVVIGRSNIVGMPAALLLQREDATVSVVHSRTSNPEEITRQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVDDPSSSRGYKLVGDVCYEEAIQVASAITPVPGGVGPMTIAMLLQNTLSSAKRIHSFE >CAK8570573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:62222159:62241003:1 gene:gene-LATHSAT_LOCUS23311 transcript:rna-LATHSAT_LOCUS23311 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLATTRNVVVYFPCFRSFSPFIHSPPPSSYISSLPSRFLRTSRRVQKISCFEDRKASRGSGKVTKKLMVLDDKDLSHILWWKEKLQMCKKPSTTNLIERLEYSNLLGLNSNLRYGSLKEGTLNWETLQIKSQFPRQILLCRVGDFYEAVGIDACILVEYAGLNPFGVMRSDSIPKAGCPVMNLRQTLDDLTHNGYSVCIVEEVQGPTQARSRKRRFIAGHAHPGNPYVYGLVGVDHDIDFPEPMPVIGISHSARGYCINMVLETMKTYSSEDCLTEEAVVTKLRTCQYHHLFLHTSLRRISCGTSTWAEFGEGGLLWGECSSRHFEWFDGNPISDLLANVKELYGLDHEVMFRNVTVSSGNRAQPLTLGTSTQIGVIPTDGIPSLLKVLFPPLCKGLPVRYVRDLLLNPPSYEISSEIQATCKLMSNVTCSFPEFTCVSSAKIAKLLECKEANHIELCRIKNVLDDILLMYRKPELNEILKHLIGPTWMTTGLEIDFQTLVAGCEVASSMIDGIISLDGEKDQKISSFSVIPDVFFEDMESVWKGRIKTIHINDVLTSVDKAAEALDLAVTADFTPVVSRIKALMSPLNTLKGEISYYRDQEAVWFKGKGLTQIIRTGSPGEEHIKQLKHALDSKGRKVGEEWYTTMKVDAALSRYHEANAKAKTRVLEVLRELAAELHSHINIIVFSSTLLVITKALYAHVSEGRRRNWVFPTIVEAQKLEDGKPSNKNREMKIVGLLPYWFNTAEGGAVHNTVDMKSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPAESALIPYFDSIMLHMKSYDSPADHKSSFQVEMSELRSIIAGTSEKSLVLVDEICRGTETAKGTCIAGSIIETLDKIGCLGIVSTHLHGIFKLPLNLKNTVHKGMGTTCIDGQTKPTWKLTDGTCKESLAFETAKREGVPEIIIKRAEDLYLSVYAKEMLSAENFAKQEEMSTYVNGNNLNGTHIHSKKVISGTSHEGISLANPIEVSHKEVENAITVICQDFITELQRKKNAPDLTKIKCFLIGTRELPPPMTIGSSSVYIMLRPDKKLYVGETDNLEDRVRAHRSKEGMQEATFLYFLVPGKSLACQIETLLINQLLNQGFLLSNIADGKHRNFGSSNLYV >CAK8561494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:250213739:250214898:1 gene:gene-LATHSAT_LOCUS15081 transcript:rna-LATHSAT_LOCUS15081 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGKAINGPTEVTNFVYRENLGVEPTEDDTIKCSVRITWLESLYENLKNKTVPTQEEVLLEAKIYILLVIATILFPDKSQNLLHSSWIPFVGDLVACGTYSWGSACLAKLYREMCKAAVKDVRSMNGCVLLLTSWAFTRIPLIAPVTTVEPPFPYAQRWAQRGMNYRVNPRFHLQGYRNALDHMQEQDFIWRPYIQYPVPSLEDRQIWSATTYLICFYTVEMHQTDRVRIQFGLDQQIPPPPRCLREHHAMTMRKAQKVNWRELNKEEVREWRHRRHVIL >CAK8577313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554978726:554980196:-1 gene:gene-LATHSAT_LOCUS29432 transcript:rna-LATHSAT_LOCUS29432 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKPVIGLSWQPQLPFPSLSKATKEPSHAQLQAKAPSSTIWKPNSELVDGLFVPPNDPKKLNKLLKQQVKDTAGKQWFDMPAQTMTPELQRDLKLLKLRDAFDPKRHYKKGDSKSKTLPKYFQVGTVVGSPLDYFSGRLTKKERKETLADELLADQNQSAYRKRKVREIEEQRQPAGNEHWKIRGQSSRKRAKERRKF >CAK8567203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494228264:494230318:-1 gene:gene-LATHSAT_LOCUS20274 transcript:rna-LATHSAT_LOCUS20274 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEGGQPEEKSNVDPKQKAIDDWLPVTASREAKWWYSAFHNLTAMVGAGVLTLPYALSNMGWGAGVTILILSWIITLYTLWQMVEMHEMIPGKRLDRYHELGQEAFGEKLGLWIVVPQQILVEVGTCIVYMVTGGKSLQKVHDTLCPSCKDIRTSYWIIIFASVNLVLAQCPNFNSLSIISLSAAAMSLTYSTIAWAASVKKGITPNVNYGPRSNSTADNVFHFFSALGDVAFAYAGHNVVLEIQATMPSTHEVPSKKPMWKGVVLAYIGVAYCYFPVALIGYYMFGNSVEDNILITLEKPAWLIAAANLFVVIHVIGGYQVFAMPVFDMIETVMVKTLHFPPAFALRFSVRSIYVALTTFIAICVPFFGSLLGFLGGFAFAPTTYFLPCIIWLKLKKPKKFGLSWTINVICIIIGVLLMILSPIGALRNIIVQAKGYKFFS >CAK8562217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457236812:457237241:-1 gene:gene-LATHSAT_LOCUS15733 transcript:rna-LATHSAT_LOCUS15733-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQRIPIHTSFKLPAQFKVAHDKLVIASGAEPSTFGIKGVKEHAFFREVNQAQEIRKRLLLNLMLSENPGISEEEKKRLLYCVVIGGVEDWLLTAVRFGLLVFFE >CAK8562216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457236812:457237412:-1 gene:gene-LATHSAT_LOCUS15733 transcript:rna-LATHSAT_LOCUS15733 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDDALQGFRVGETPEFMMLCAYHQGIIWFSLLCWLQRVLVHLNSGLLPSLLVVYRMHFQRIPIHTSFKLPAQFKVAHDKLVIASGAEPSTFGIKGVKEHAFFREVNQAQEIRKRLLLNLMLSENPGISEEEKKRLLYCVVIGGVEDWLLTAVRFGLLVFFE >CAK8541355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:133346854:133358013:-1 gene:gene-LATHSAT_LOCUS10280 transcript:rna-LATHSAT_LOCUS10280 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNVIINCSNCHTPLQVPPGAGSICCALCQAVTLVADPRAIPPQPFSSPHAPPPPSLYSQAPPGPPPNPHGRKKAVIVGISYKFSRHELKGCINDAKCMRYLLINKYSFPESSIIMLTEEEDPRGPKFPSKNNIRMAMFWLTQGCQPGDSLVFHYSGHGSQQRNYNGEEADGFDETICPLDFETQGMIVDDEINATLVRPLPHGVRLHALIDACHSGTVMDLPFLCRMNSHGQYVWEDHRPRSGVWKGSSGGEVISFSGCDDHQTSADTSALSKITSTGAMTFCFIQAIERSGPGATYGTILTAMRSAIKNAGGGGGSGGGDVVTSLLSMLLTGGSLTAGGLRQEPQLTAGEAFDVYRKPFSL >CAK8542234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492487083:492488988:1 gene:gene-LATHSAT_LOCUS11089 transcript:rna-LATHSAT_LOCUS11089 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKTCTGVCFTGSAFPLSNVTTSNKNTRSSCWKPTQAAVKTTFHLPMRSYEMKNRTCTEDIKCLRLITAIKTPYLPDGRFDLEAYDALVNTQIENGVEGVIVGGTTGEGQLMSWEEHIMLIAHTVNCFGGNIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLDGIVAHFQSVLSMGPTIIYNVPSRTGQDIPPHVIKNLAQSTCLAGVKECVGNDRIKEYTDNKIVVWSGNDDECHDARWGYGATGVVSVASNLIPGLMHELMFGGKNPALSSKLLPLIGWLFQMPNPIGLNTALAQLGVVRPVFRLPFVPLPLEKRIEFANLVKEIGRQHFVGTQDVQVLDDNDFFLVSRY >CAK8542539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:524410016:524413810:-1 gene:gene-LATHSAT_LOCUS11373 transcript:rna-LATHSAT_LOCUS11373 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSHPLCCRTIVTAKTHRSHKQPQIPSSSQHFPLLSFGTTSLARRPSPLPPPSVLFATPDNQLSGEGDDTHTSDAALLDFYSLRRDVEIASQRVKEIRESACLQLLEEEVANLETEASCSSFWDDRAKAQQTLSTLADVKDKIKLLNEYKNQVEDAETIVMLTEEMESVDSGLYEEASSLIKELNKSVDLFELTQLLSGPYDKEGAVITITAGAGGTDAQDWADMLLRMYVRWAEKQRYKTRVVEKSLGEEAGIKSATIEVEGRYAYGYLSGEKGTHRLVRQSPFNSKGLRQTSFCGIEVMPLLPEESLNVEIPEEDLEISFSRAGGKGGQNVNKVETAVRITHIPTGVTLRCTEERSQLANKIRALSRLKAKLLVIAEEQRATEFKQIRGDVVKAEWGQQIRNYVFHPYKLVKDVRTGHDTPDITSVMDGELDPFIKSYLKHKYSMTLSTSGVN >CAK8535486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853200840:853202383:1 gene:gene-LATHSAT_LOCUS4944 transcript:rna-LATHSAT_LOCUS4944-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTTLTRGFAVVIFLIAVYCGLDPFKHSPLQGFPDFEAHKINLPSWSEVPMDHDKHNLLQKSKLVFVNQVQGPESIAFDPHGLGPYTGVADGRVLFWNGLSWTDFAYTSSNRSELCNPKASASPLSYVEIEHICGRPLGLRFDKKTGDLYIADAYFGLMKVGPQGGLATSLAREAEGVPLRFTNDVDIDAEGNVYFTDSSTTYQRRNFNQLIFSGENSGRVLKYSPATKETIVLARNVGFPNGISLSKDGSFFVFSEGMIGRLRKFWLKGDNAGTSEILAILPGFPDNVRVNEDGDFWVAIHCRRFHAVVVKYSPEGKILQILEDSEGKAVKAVSEVEEKDGKLWIGSVLMPFIAVYHLT >CAK8535485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:853200840:853202383:1 gene:gene-LATHSAT_LOCUS4944 transcript:rna-LATHSAT_LOCUS4944 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTTLTRGFAVVIFLIAVYCGLDPFKHSPLQGFPDFEAHKINLPSWSEVPMDHDKHNLLQKSKLVFVNQVQGPESIAFDPHGLGPYTGVADGRVLFWNGLSWTDFAYTSSNRSELCNPKASASPLSYVEIEHICGRPLGLRFDKKTGDLYIADAYFGLMKVGPQGGLATSLAREAEGVPLRFTNDVDIDAEGNVYFTDSSTTYQRRNFNQLIFSGENSGRVLKYSPATKETIVLARNVGFPNGISLSKDGSFFVFSEGMIGRLRKFWLKGDNAGTSEILAILPGFPDNVRVNEDGDFWVAIHCRRYMYSYINARFPKLRKAILKLPIPTRFHYLLHTGGRFHAVVVKYSPEGKILQILEDSEGKAVKAVSEVEEKDGKLWIGSVLMPFIAVYHLT >CAK8566666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447054325:447072140:1 gene:gene-LATHSAT_LOCUS19781 transcript:rna-LATHSAT_LOCUS19781 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRMSMSKLLKKLRFPASLTFSASTVTKNPLPISFSGKGVSHWYQTGAYGWRTNLRDPCLWIVISGHVVATLGISANVAFAEDVMSKTSYDDSTGGLKKIEDGSVASNIHTAKWRVFTDKGREFFLEGNFEDAERLFLAAIQEAKEGFGEQDPHVASACNNLAEMYRVKKAFDKAEPLYVEAIKILEESFGPDDVRVAVAVHNLGQFYLGQRMLDKAQVSYEIKQRVLGYGHSECSDTMYQIGMVLYLQGKEKEAETIIKDSISMLEKSAEGESVVCIKRLRFLSQLYLKSHRLDEAEMVQRKILNRMELSKGWNSLDTVIAAESLALTLQASSETKQSKELLERCLDVRKTLLHSDHIQIGANLLHLARVAMLDCSLHKKSDVSKAKAELDIAKDHLHNSIRIARQCLDKVLKQKDKLKKNSMLVRSRKEAQATLVILLQSLNTLSSVELAKQELQQIQEVEINLKAKEALLQCIAAYNEFVVHKKSIGDSPEIKNEYLSCLKRAQTLLGKKLDGEGIHKLSQTS >CAK8576533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:488860088:488860450:1 gene:gene-LATHSAT_LOCUS28713 transcript:rna-LATHSAT_LOCUS28713 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVGTIYATSENTISTPPLTVSSEMPPPHTKKRKNHSEAWNHFIVSSKKEQKASCKYYDTKIKYSNITLGIISLYRRKKNKKLRANIMTQKLSIVTELVPCMLIYRDASFTRGKGHRLL >CAK8541861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418416055:418418049:-1 gene:gene-LATHSAT_LOCUS10752 transcript:rna-LATHSAT_LOCUS10752 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLSSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTPLFEGSTDSKLSMCVRLLAVKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEALKHFDRVHSDFAAEPRNVRLGLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKSGIDVYLQPLIDDLKRLWIGEWTYDISRKQNFTLRAALMWTINDFPAYGMLSGWGTHGKMGCPHCMEFTKAFTLEFGGKSSWFDCHRRFLPRDHVFRRNKTDFTKDVRVKDLPPPRLSPEEIWNRVSELPKFTDYGEAYKIEGYGVKHNWTKRSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNIFNTVMDVQGKTKDNEKAETREAALKAEMDDMRNRQNAEIEEMRKRQSDMEAQMRKYFASRSSYSPRDDDQNEDELFSGEE >CAK8564646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4971871:4975520:-1 gene:gene-LATHSAT_LOCUS17930 transcript:rna-LATHSAT_LOCUS17930 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNSDAQIQPTKTKKGGWHAAIFIIIMEAAEQFANIGLGSNLILYLNKALNEPLTEAAKNRNTWVGVSSIFPLLGGFIADSYLGRFKTIIIASLIYLMGMILLTLSVSIIKNKKLFFTALYILSVGDGGHKPCVQTFAADQFAEDTLEERDAKRSFFNWWYLAIVIGSVFAVFVIGYLMDNVSWGIGLLVLASMLAIALVVFLLGTKRYTKESPKGSPITSIVRVFVAAARKWRVRDTRGPDSYWYGHDHDSFHHSRSTPIIRTLAHTKQYRFLDKAMIIDEHDASRKIVNPWRLCSMTQVEEVKLVIRLIPIWLSCLMFTVVQSQLGTFFTKQSSTLDRSIGQHFVIPSAALQGFVGIVILFAVPLYDKVFVPFARKITGHHSGITVLQRIGVGLFLSIFTMIVSALVETKRVGVAKNHNLLDNNHSKEIIIPMSIWWMLPQYTILGVSDAFTIVGLQELFYDQMPDAMRSLGAAAYLSIVGVGSFVSNGIISVVVDVTSKVGGKWLGSDLNRAHLNYFYWVLAMLSALNLCVYMWIAKGFVYKKRHVVETSAMEYNS >CAK8563753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629319513:629322174:-1 gene:gene-LATHSAT_LOCUS17130 transcript:rna-LATHSAT_LOCUS17130 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNVRTDARRNRYKVAVDAADGRRRREDSMIEIRKSKREESLQKKRREGLQSQEQFPTLDKKLESLPSMVAGVCSDESSAQVEATILFRKLLSIERNPPPIDKVIQSGVVPRLVEFLAREDFPRLQLEAAWALTNIASGTSENTKVVVDHGAVPAFVTLLNSPSEEVREQAVWALGNIAGDSPWHRDLVLGHGALISLLAQMNDQAKLSMLRNATWTLSNFCRGKPQLPFELVRLALPILGRLVFSDDEEILADACWALSYLSDDSNDKIQEIIDAGVSGRLVQLLQHPSASVLVPVVRTVGNMVTGDDMQTQVMVDYGALPCLLNLLLQPLKKCIKKEACWAVSNITAGNKEQVQAVIEVGLIPPLVSLLQNADFDIKKEAAWATTNVAAGGIPEQIKYLVDQGCIKPLCDLLLCPDPIVIAVCLEGLQNILIAGEVEKSQRDSEDANLYAQMIEDSEGLEKIESLQNHDNNEIYEKAVKLLETYWCEVEDDILPSGNGDQSGFNFGSKEPLLPSGGFNFW >CAK8562647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:520880080:520880722:1 gene:gene-LATHSAT_LOCUS16126 transcript:rna-LATHSAT_LOCUS16126 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRDINVIRRDGILKKVQETKGYYDPLQYPILFPFGTHGWDVNTTNCNGRRLSCRAYYSYMLQIRPNDQSMLLNAGRLLQQYVVDNDVKIESARLRWIREHQHDIRAEVYQGLQDALHVGETNAENIGKRTILPSSFIGGR >CAK8571919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493248134:493250371:1 gene:gene-LATHSAT_LOCUS24541 transcript:rna-LATHSAT_LOCUS24541 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVNSVFSQPQRKRCATHYHIARNILHQQFTKTDPLLSAGSGSIYATKTNNVNCLLSAESMVVSKQSQKHLPVLNQNCAQEKGLAATCDHNLAATKSSKTANPVDSTHQMQFVLQGQHPGSTGNLAHGPAFIFSPAHYQATVAGASNQAGGANSPNYASSYNRPQHSIAGSPCTSSTLPAATSAMSFSYPHFSANNSPYATIVHNNGYSFPISTTSLGATAAIRGASPSQTTHILGGPLYPSQTFHPFQNLQQHPHSQALVQPSYLAAQTSCSSSSHMQSHRAQVNSNNNLTSKAAERQSQKQQTPHSHPHKQETEVSGKSVQSVANHASYPIKNLQGQNFTIPVQPVNFSFKPCATSDIVGGNSKQQPLNGGVEVISSQAFAVSFASFNGTNLPSNLNFSSMKQNPVVIQSLPDAARQGYQAASTPHTVQQKTYSITIEKRGGNSSHQDDDKKTTHGKSSTNGPTTLVFDNSSKNINFVLSPSNGNWPGHSIASTVITTMPFSSNTSSSQQSSQMLQKQHSMQQLQPATAIRNKASSTNTASATKFPNNSPVFSQSHTQFKSSNQTSHSKITGRTAGSHVNHSSSITSKTPTAKNISQEKVRGSQGHMQISFGGDYTASLSSQGQQQPNNSQSLCSTAEGTPFNGGHLKPNSEGWKVNSSVNTQQTENSSAGSSQKSSPVCGRNVPSILSSCPSHFSELK >CAK8530369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17111472:17111908:-1 gene:gene-LATHSAT_LOCUS237 transcript:rna-LATHSAT_LOCUS237 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKYGYPYPAQGPYQGPPPVAAPPQYYAAPPPPPPKRQPGFLEGCFAALCCCCLLDECCCDPTIIFGG >CAK8579768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721662089:721662592:-1 gene:gene-LATHSAT_LOCUS31686 transcript:rna-LATHSAT_LOCUS31686 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVASCTPTKGVFKLLLLDGRIESYTKAIKAAELMVEYPGQFVCDSSYLKVGHRIQGLLADEELERRKFYFLLPMELLYSVLTHEEMSSLNYKASRATKHASFNNLGKIFPVFSEFCMFPNSEAKRVVLEDDKNEVVMETEHVQRYCKQRSWKPALETIDETPSRP >CAK8565186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:61755350:61758696:1 gene:gene-LATHSAT_LOCUS18421 transcript:rna-LATHSAT_LOCUS18421 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLRVAVAVGAGCLAKYWNNRSSENGDGSYRLSSEDLKFENVESSSYCLPFVKHMQRQEMGKDVSLDRRGLDEKSSDLSSLDGFSTGDMLSNRRYNESDLLSISNLAMPLSPYGYYDSFKHGEDGNERKSDTFGNHGFFLSEFSTNVVPIQNSFGHKTCVSTKRFPKHVSRPLNSLESCFMEEYVFSPLSLSCKATRSFRVSNGSRIFNRGNDTFINSSTASKEHKLHKAGRAKDKDDTKKMKLDAIIGRNRRSSFSEDVLNGKNTRYDPTLLFSFGISLGIIICIMGNKREIIKLRELLKQSENLVQDLQDELDMKDSLTVKELHNENYGSQETCDHSFNGKELHEFSPEKHVDCSPRIECKESSCDKKEEQSSESMSKIEAELEAELERLGLDMNNSSLDRKLSELVEIDPDFVADFAQGELRANVFGGTDANVTTPLPSNYGVSPHELSVRLHEVIQHQLEKRVKELEIALENSQRQVRFLESKHDGSFEKASSPTKGNSMIREDCDTMSQPLILNLSGEALDAYNEAYEELIKINDSEDNSPSTNINDSDDDNKQGSPLSHDWHVTGFEHSSATNSVVDEGSPSREPYFSKETMLEGKSSSELNVSGDESCDCDNDEMERQLIRQIVERTKKGSPLFQNAKKILYSMDEDEQH >CAK8544843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708613400:708626699:1 gene:gene-LATHSAT_LOCUS13491 transcript:rna-LATHSAT_LOCUS13491 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVRNEKVKKTNRSNLDKALILKVTLTRDTYQRHYLIVNHIGAIRAEHDDFTIRFASAMNQLLLLKSTDGSDVDWSKEVKGNMYDMVVEGFQLLSRWTARIWEQCAWKFSRPCKDASPSFSDYEKVVRYNYSAEERKALVELVSYIKSVGSMMQRCDTLVADALWETIHAEVQDFVQNTLASMLRTTFRKKKDLSRILSDMRTLSADWMANTNKSESELQSSQHGGEESKANIFYPRAVAPTTAQVHCLQFLIYEVVSGGNLRRPGGLFGNSGSEIPVNDLKQLETFFYKLGFFLHILDYSVTVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDCVLESPNSGLLESVLIPFDIYNDSAQQALVLLKQRFLYDEIEAEVDHCFDIFVSRLCEAIFTYYKSWAASELLDPTFIFASDNAEKYAVQPMRLNMLLKMTRVKLLGRMINLRSLISERINKIFRENIEFLFDRFECQDLCAIVELEKLLDVLKHSHELLSRDLSVDSFSLMLNEMQENISLVSFSSRLASQIWSEMQSDFLPSFILCNTTQRFIRSSKTIPVQKPSIPSAKPSFYCGTQDLNSAHQSFARLHSGFFGIPHMFSIVRLLGSRSLPWLIRALLDHISNKITLLEPMITGLQESMPKSIGLLPFDGGVTGCVRLVKEHLNWEAKAELKVEVLHGIKEIGSVLYWMGLLDIVLRETDTTNFMQTAPWLGLLPGPDGQILPSQDGGVSPIVSLFKSTAAAMVSYPGCPSPNSFHIMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDIAISKDFYRIYSGLQIGYLEESVQVPSSSPERLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNIAEVEAASVVQTHKNSHFAVQGWEAILEAMKKARRLNNHVFSMLKARCPLEEKTACAIKQSGAPLHRIRFENTVSAFETLPQKGA >CAK8535282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:833967171:833967674:1 gene:gene-LATHSAT_LOCUS4754 transcript:rna-LATHSAT_LOCUS4754 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLMCILGLIVIVGNSHVASAQDYPEDYLNLHNEARSVLGWHNMPDLVWDDDLAAYAQNFSNHRKDCKIVSSNTNGHLFGENIAVSTGNMSGREAVKLWVDEAPHYNGYLNRCEGGECTHYTQVVWKRSKFIGCGKVKCNNGGTFVICNYDPPGNIGGEYPFME >CAK8540385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:556773249:556773696:1 gene:gene-LATHSAT_LOCUS9391 transcript:rna-LATHSAT_LOCUS9391 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDLSPLVLNGSRPSICFPLGIALLLFTFFILSGIFSCCYHWEKYRRFHQSISAHEAAQSHTHTESEPFKFIPQFSELEMEETEKSITVLMPGDEVPKFIAMPCPCQPPRPEGIVVDLEQP >CAK8544006.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655516280:655516687:1 gene:gene-LATHSAT_LOCUS12718 transcript:rna-LATHSAT_LOCUS12718 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVSTPFAFSSADFWTNPGTCFWEQVGVKAPGTAKSTAFLPFVRSETVMVWTSPAGSRKEKVDSGSLSPTESVAEIFGDEENLRGFGWRRLSLKGRVKAEDRVLVLGDKSDAVVEDERSLEKVREVAIVDLRF >CAK8541059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:52639613:52644205:1 gene:gene-LATHSAT_LOCUS10010 transcript:rna-LATHSAT_LOCUS10010 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETTSMDHITEPLIQEPQIHNEKSEFLSEPVPEWKEQITVRGLVVSAVLGCLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKTWTGLLTKIGVFTKPFTRQENTVIQTCVVACYGLAFSGGFGSSLIAMDQKTYELIGPDYPGNRAEDVKNPGLGWMIGFMFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTKTGAELAGNQVRQLGKYLSISFFWSCFKWFFSGIGDSCGFDNFPSFGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSVFLGAIISWGFLWPFVSKHAGDWYPANLGNNDFKGLYGYKVFISIAIILGDGIYNLVKIIVITIREMWRTRSKQNSLPVVTEVPDGDSSELHLEEKKRNEIFMKDGIPTWFAASGYVGLAAISIVTIPFIFPPLKWYLVLCSYILAPALAFCNSYGTGLTDWSLASTYGKIGLFIVAAAVGTNGGVIAGVASCAVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCIIAPLTFWMFWTAFDIGSPDGPYKAPYAVIFREMAILGVEGFSELPKYCMEMCGGFFAAALAINFLRDVTPKKYSQYIPIPMAMAVPFYIGAYFAVDMFIGTVILFVWEQVNRKDSEDYSGAVASGLICGDGIWTIPSAILSILRINPPICMYFGPSSSS >CAK8567303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502350838:502351455:-1 gene:gene-LATHSAT_LOCUS20367 transcript:rna-LATHSAT_LOCUS20367 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPIKLLMPSSFTNIQEIALKTIPSASKIEIKRVLESLYGFEVEKVRTLNMDGKKKKRGGILIAKPDYKKAYVTLKNPLSINPNLYPIRVIEEDKKSLNKQAMASVVEEAPSKSHWLDENKEAQRFKPQNGYNRGRFDSSGSNRGSGSNQGRFDSSGLNRGRFDRSGSNRSDGSAAKFPWTNMRSGRSTATR >CAK8571399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:399614787:399615284:-1 gene:gene-LATHSAT_LOCUS24066 transcript:rna-LATHSAT_LOCUS24066 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPCQAPEGHRLCANNCGILGNPATMNLCSKCYGDIRLKNQQDASTKSTIENALSSSSAVASVASPTLLTSEPVVVSTPILTNIVSNSVTTGSNSVMVQPNRCLFCRKRVGLTGFKCKCGSMFCGTHRYPERHGCGFDFKTVGREEIARANPLIRAEKLQRI >CAK8575622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211996360:211997103:-1 gene:gene-LATHSAT_LOCUS27870 transcript:rna-LATHSAT_LOCUS27870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpI MNVLLCYINTLNRFYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >CAK8544947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714183070:714184506:-1 gene:gene-LATHSAT_LOCUS13587 transcript:rna-LATHSAT_LOCUS13587 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFICNPIFFTIAILLFSIWALHKLFAIEKVKRKWRKIEDWFHVYHVFNVPELNDNMQYNTFYRKLSIYFRSLPSLQDAHFNNLITSNDNQNDVALCLSPNQTVQDHFLGATLYWSNQTEPNRIFILKIRKTDKRRIIRLYLQHIHAVVDEIEKQGKRDLRIYMNAGNVAGAGALRLWRFVPFTHPSTFETITMEIDLKNKVKSDLESFLKGKQYYHRIGRIWKRSYLLYGPSGTGKSTFVAAMANFLSYDIYDVDLSRVHTDSDLKFLFLQTSPKSIVLVEDLDRFLDVKSSTALSLSAILNFMDGICSGEERLMVFTMNSKENVDPDLLRPGRVDVHIHFPLCDFSSFKTLASNYLGVKDHKLFPQVEENFQNGASLSPAEIGELMITNRNSPSRAIKSVITALKTDGDGRGYKSIERRRGNDGDDDVDEGAREHSFKERKKLYGFLKLRASGRKSCSSSPNNSAVGSPLRICDK >CAK8564034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647026713:647028176:-1 gene:gene-LATHSAT_LOCUS17379 transcript:rna-LATHSAT_LOCUS17379 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHGGYDGEIGGVRSNLIPSVKAELFDDSAGSSSPGYNEVLSERKDSVVTEEEGATASHAIHIKEEVAEDDGANASSSMEFPKPMAGLHEVGPPPFLKKTYEMVEDPETDPIVSWSGSRDSFIVWDYHEFSKTLLPKYFKHNNFSSFIRQLNTYGFRKIDSDRWEFANEGFKGGKKHLLKTIRRRSKYNKLHQGGFNLMTPGVESEVEKLKRDQNMLKLEILKLRQQQENSNIELTNVNERVRRAEMKQYQMIYFLTKMARKPVFMDQLIQKMKRKKEVDGGIDMVKRPRLLGTQGTSVDYRSQGREQFDTLQTELNGLFTENVNIGRMEPPVSEPLENGFVSSLHEMRACGDSRQSAQDVSSAYHVMSEKLLSENSVIDEEMDVNDSNIYLELEDLISKPTDWGGSASGLVGQTS >CAK8572766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561650586:561651978:1 gene:gene-LATHSAT_LOCUS25293 transcript:rna-LATHSAT_LOCUS25293 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVVHLVEEVKLGGPVHYRWMYPVERYLGKLKSHVRNKAKPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSHVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVNDYLKQFRSITQNQMKHSQRSAAEIDKKVHREFAHWFRNCICTNLDNIHGPDKDVLISRAYGPFDKVKRFTAFNINGFKFRTSERDNLLKTQNSGVFGLFGTLSYSSNSDTQMRFGGVPYYERLVDIIVLSYDGFTVPMFKCEWDNTTNPRGIKIDKLSFTSINFTKLLHSGEHEDNESYIQASKAQMIFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSLIEPYPSQNLDQIFLNDNIGTSSANDNNN >CAK8533676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648953327:648954322:-1 gene:gene-LATHSAT_LOCUS3281 transcript:rna-LATHSAT_LOCUS3281 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTSSKTTTFLPLLFFFFSFLLNLSESRLTLDYYKQTCPQFEQIVQQTVTSKQIQSPTTAAATLRLFLHDCLLPNGCDASVLLSSTPFNKAERDNDINLSLPGDAFDLIVRIKTALEFSCPNTVSCSDILSTATRDLLIMLGGPHYNVYLGRRDGRASASPSVNGHLPKPSMPMSQIIEIFTKRGFTVEEMVALSGAHTVGFSHCSEFSSEIYNNSSSPSSYNPRFAEALRNACRDYQKNPTLSIFNDVMTPNKFDNVYFQNLPKGLGVLKSDHGLFSDPLTKPFVETFAADEDRFFKVFASSMQKLSLLGVKTGRRGEIRRRCDQIN >CAK8535883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888697904:888698425:1 gene:gene-LATHSAT_LOCUS5302 transcript:rna-LATHSAT_LOCUS5302 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNRTPSNKKDDKELKKKLIDGNKAVDPQLWHVVDGGMVQIPQDNSKIFYFPQGHAKHAYQSVTFPADFIIPSQIPCRFAAIYYRVDPDTDEVYAKLRLVPLQISEASFDDDDAAGIDNMSETNNRHRFYTKTLTQSDANNGGGFSCPMYCAESLFPPLDYSVMLPSQVRN >CAK8536281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924951964:924952287:-1 gene:gene-LATHSAT_LOCUS5665 transcript:rna-LATHSAT_LOCUS5665 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGASQSQSSTSTSSRPGGGGVVAPRGSAAATAGMRRRRLTSGNSTASVGGGSTGSNNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYKSGGGV >CAK8564002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645558227:645562042:1 gene:gene-LATHSAT_LOCUS17352 transcript:rna-LATHSAT_LOCUS17352 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYINILLYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYSINPSRLRLTYEDVWLSSSDGVRLHAWFIKLFPDFRGPTILFFQENAGNIAHRLEMVRIMLDKLQCNVFMLSYRGYGASEGYPSQPGIIKDAQAALDHLSQRSDIDTSRIVVFGRSLGGAVGAVLTRNNPDKVAGLILENTFTSILDMAGVLLPFLKWVIGGSGSKGPKLLNFIVRSPWSTIDVVGEIKQPILFLSGLQDEMVPSSHMQMLYAKAATRNNQCLFVEFPTGMHMDTWLTGGDHYWRTVQQFLEQHAPEKNEDRSSPNGNARKSLL >CAK8564003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:645558227:645561943:1 gene:gene-LATHSAT_LOCUS17352 transcript:rna-LATHSAT_LOCUS17352-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYINILLYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYSINPSRLRLTYEDVWLSSSDGVRLHAWFIKLFPDFRGPTILFFQENAGNIAHRLEMVRIMLDKLQCNVFMLSYRGYGASEGYPSQPGIIKDAQAALDHLSQRSDIDTSRIVVFGRSLGGAVGAVLTRNNPDKVAGLILENTFTSILDMAGVLLPFLKWVIGGSGSKGPKLLNFIVRSPWSTIDVVGEIKQPILFLSGLQDEMVPSSHMQMLYAKAATRNNQCLFVEFPTGMHMDTWLTGGDHYWRTVQQFLEQHAPEKNEDRSSPNGNDAGAR >CAK8541809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:405477101:405477413:-1 gene:gene-LATHSAT_LOCUS10703 transcript:rna-LATHSAT_LOCUS10703 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYHGEIRRIDIEASNWIDNIPREKWVRAFDRGKRWAHMTSNLAEAMNFVLKATKNLPITASVQSTYY >CAK8573914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646614707:646616678:1 gene:gene-LATHSAT_LOCUS26309 transcript:rna-LATHSAT_LOCUS26309 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKPKDNDFQLSVVPGMSKPPLPPSSNAIVEYTPPVFKEEEEDLEIKLRRIIDNVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDVDYQRRKELAEFNMRREERLKAAEERTAKKRAKRQKKKQKKKEKKIKTNDGEQQQKKEESSDDGDSDNNEEA >CAK8566977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477411503:477414512:1 gene:gene-LATHSAT_LOCUS20071 transcript:rna-LATHSAT_LOCUS20071 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAMGVSESGRENGESGCQAKKTDDTPATDTSQKMRGGAESRFRKRSKYLSYPYTNSEPRLKSFPAETEESKTPSPAPKAKASSRTSNPKNGSLSSTKFGGNRFQNNWYRKFISCSKMCSNPKFIGASSSDLLSGLHSLAVGGMSPIKDKRFDMVEWFFCKYRIAKYHDETELATSLFNLNEGKTSKPVDDGVVDTKSEKKRKNTQAESAARRKMKSLFGLSNTNNNVSTGDCTGSGKKSKQKRKVEEITSQNQLQNAETTSQNHNFPSEKKTKSKKRPKLEAAQEHQGAQSASHLDAKSIECNSLVVDLQVMPPSMSVDFHQKSNGEIKKEQVSIVSNPKLHVSQSELDGNVTPSNLLGSTSEASTVAPEELVGNITNHNLFNDTTSDVDIVSINKTGMEVAPEELIEDVSINRTGIEVAPEEHPRKIPDLNSISFESCSTRKESENVNMLLPELQSQHPRGLSACSRITKAVNLDRVEIIGESPGTFLFLQFAQGPGVDIPSNGDLLKTFCQFGPLKATETHLIKDNTCAQIVFVNSPDATEALRSLEYNKPFGASLVGYRLHYPPVVVAPPLEHFRTPTQPSISMSMSGEAPPPLQVIKQKLQMMSSVLENSGNNLSPETRAKLENEINNLLGKVNSRTNRV >CAK8564641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4512517:4512747:-1 gene:gene-LATHSAT_LOCUS17925 transcript:rna-LATHSAT_LOCUS17925 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLSFLVLFLASLILIPQGFATRVNPTQYTRPTPVLPPRRGRPPPTPTPVLPPRIYRPPHIPPVYTPPSEKKP >CAK8563148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:577828848:577831427:-1 gene:gene-LATHSAT_LOCUS16585 transcript:rna-LATHSAT_LOCUS16585 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVINEQSSVDFSGNSGSRSKLLRYPLRSSNKLKEPKAEASNSINPSESKRGRNTPIVSKSVGVLDFSAKGKSSSAKPPRRLSNPVKASPTPSPKAVANITPTSETRSRRSGNGQGPQTRVQTPVSDILRSTARKFSLLSSASYWLNQIKLSESAAKHNISLGFFKLALEAGCEPFQKLQDELKSYLRRHQLDEHGERVKELVESYNIAETIEQPQVSEIIEQPQVSEIIEQPQVSEIIEQPQVSESISQMPEEGSRSTDDEVQYSSSIMGTGKLTPKCLNTDSPLITTPPVTITEPTKKEINQKKNLGSKLRENLRMNSANSKTASDIRNSRSVKKSEKPSKLQTSKSVVKKRGKKADGKEVSASPSTSAGEKENMDVRSTDDDLIEVV >CAK8534019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681773647:681775544:1 gene:gene-LATHSAT_LOCUS3595 transcript:rna-LATHSAT_LOCUS3595 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKGGKVTITQVLAPDELLQFISDTSTEGRHFRQHIRSYNHVMSFTSLGVHVDESLVTTGRGIYTFRAQGTILHKIGGFHPNQGSRPRYLQLYIYDTDHELQNRMRENPILNQAIVYKLQKILHQCNPFVIMFRQLALEPNVEECRLLIKECPSNQPQYSLPSASQVAAIVIGGGDEDTIERGRDINVINCDGNLTKVQEIIGYYDPLQYPILFSFGIYGWDIETKNNVGKNVTCREYYSYVLQIRYNDQFVLLKSGRLLQQYVVDNYVKIETGRLRWIRRNQNNIRSEVYQGLQDALHDGENNAYNVEQRTILPSSFIGSKRDMTQRYQDGMAIVLNDGKPDIFLTMTCNPSWMEITSELGIHQTPQDRPDLLTRIFRSKFEQLKDDVINKGVLGRARSYMYVTEFQKRGLPHVHMLLILDTDDKLREPEEYDSVVKAEIPQYESEPELYEVVLKHMIHGPCGVLNQKSPCMKNGHCKKRYLKEFYEETRQGNDSYPEYRRRFSDPIFLNRNKSIDNRWVVPYNPWLLLKYDCHINVEICSSIKSIKYLYKYVYKLFVVTVLSIVQQIVYQ >CAK8565751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:321699466:321699774:1 gene:gene-LATHSAT_LOCUS18938 transcript:rna-LATHSAT_LOCUS18938 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIAGSFKFYKYSLLFLIQRFSLIEQVYYGIKIHGSHGVSCWLLRNVQGLTNEIKGKSVSWIVKATNLYTACGGRDLDKTGSRARVELLALVWGSMAHNS >CAK8576440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:478182356:478183130:1 gene:gene-LATHSAT_LOCUS28627 transcript:rna-LATHSAT_LOCUS28627 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEECMLQLLNYYQLLIWTYTLEDKICDLYDIFVDGLDENAGPQIRNLYAELAKLWPFGYMDNHGIKHGICRAKERRRASYTKNKACLLQ >CAK8571386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395571007:395573094:-1 gene:gene-LATHSAT_LOCUS24053 transcript:rna-LATHSAT_LOCUS24053 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFHLSILSIAALALCISAEYSSVNFSYIGPNGPEKWGSLSPAYAACSNGKVQSPVDLVYTDIVLNNQLESLDRNYIPTNATLVNNQFNIGVHFEGKVGDIHISGKNYSLRQLHWHAPAEHRAHDRIHVVELHLVHLTEDNNNIAVLASLYNLGDPDPLISKIEDKLIELKNQSRAGNKNAKIALGTFDVEELNKKIHRYYRYVGSLTTPPCTEGVIWNIIGKVRTISKKQLKLLKAPLSVDFFHNARPLQQLNGRKIEMYHYHPNQKEGTRKL >CAK8563405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600548406:600550178:-1 gene:gene-LATHSAT_LOCUS16822 transcript:rna-LATHSAT_LOCUS16822 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIEKTLPEWRDKFLSYKELKKKLKNLEPAAAGEDRPAKRLRIDSGSGNSAADAGEIPKEESDFRNLLENELEKFNNFFVEKEEEYIIRLKELRDRVAKVKDYSEEMMKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVLQQPFFTTDMIYKLVKECETMLDYLFPVNVPPAVDEIIPEAEGCDPSTSTTTESDGLLIPKELEEIEYMESLYMKSTISALHVLKEIRSGSSTVSMFSLPPLQLSGLEETWKKATVLEQAAK >CAK8538775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496134853:496136700:-1 gene:gene-LATHSAT_LOCUS7937 transcript:rna-LATHSAT_LOCUS7937 gene_biotype:protein_coding transcript_biotype:protein_coding MESELVQRRIDIIAAHFASTDENEISPTLLLPMNCSASLNSVFRRRDNNVYFARQASASYGYCMRQKSLKKGDSTCFAAKCEDLQGRAPCFARPARKDSMAKTQGYDFDAFGPPAFARPSKQIKQLNNEKRIHHSEIGNEWSPRMDVAESKGKYVIMVEVPGASVGDIRVEVDDQKLSVKGRRSSSSWKVAGCPNGCAVSSYLKREIPYGPFEVLWPLPTGVNKDNISAEFLDGFLQIIIPKV >CAK8564212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657866670:657871073:1 gene:gene-LATHSAT_LOCUS17535 transcript:rna-LATHSAT_LOCUS17535 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKGFQCLSESETVIDYRQNFIIMDSPTAVRSPATTAGSGSGSNEDGRRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSVSREVSFEELMGKMRELYEGVAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFTRLRIFLFSQSEQDGSHFIDGDDSERRYVDALNSLNDASELRRLQQMEFPMIGTVEDILVGDQFGNPMGLENGIHRQRSGEMAMSQYNLHHIGIQLQQPMGQRYNEIDAQWNPGYYSPRHHGLSHHDSRSSLVDYPSSPSATRYRMPFPDIPDKGIDRVPEDYARHHGNHHHPLYDNQPQYPENVMWLQTGAALGDKSGFQGNVFHSSHGHDGNNICEHCRMNFPRAQPHLEHPNMPPPVSVPCPECHSSREALTVNVDAKLQPSMYPNDAQNHERACGLQHQSSGRVSDHYVGDVPILNFPPGHGSLIDGHALPSSHVHQQVGPELGVELFPDQTMSAMPRLKIPPLEESSAQYGNAPSTYGVDNNYAMPRGPAPGYTLWTNGPTPVHIGPPYEATTLHQPVDGVTNAGLFRGEGRPGFFIGTDSQSPRVDSSQKFSGHDGSAIPEYPYANAPKLNPIPTGQEIQHPIIDDATIHPPHDRNAGTCLEHVQLQKSSLNIIHNNEVLKNGAHLTEVVMGLQSINLLGEGKEAKSEDKVENFNMQRVSSRDQNQVVEDVSITAAVIVECNNSSSKPSSEFGLVEKLVDKDCSAPGDSQHLVDQFNILPELIASVKKAALECHDEVKPTGEEHANCQMNNSNTKEETEKEVEPVNALGDLELDTENDHVDTSKIEPTKAEAEAVARGLQTIRNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERARLIADFWKEALMLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGDEPYADMHCASIIGGIVNNSLRPQIPTWCDPEWKSLMESSWASDPVERPSFSEISKKLRTMAASVNVK >CAK8565823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339185899:339187188:-1 gene:gene-LATHSAT_LOCUS19004 transcript:rna-LATHSAT_LOCUS19004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSQIVSEDVAHPLEQEQEVQQIQGEGLSSLPPSALIEVSKRKPSRKPSTVWKDFKRVNDKAICKYCGKQYAANSGSHGTTNMHKHLKVCLKNPNRVVDKKQKTIAIGKESEDDPNSVSLKLVDFNQERIRLTLAKMIIIDELPFKYVENEGFNMFMKEAQPRFKIPSRVTVARDCLCLYFDEKEKLKSMLSANKQMVSLTTDTWTSIQNMNYMCVTAHYIDDEWNLKKSILSFGIIADHKGETIGITLENCMKEWGIKSICCVTVDNASANNLAIDYLNRGVSFWNGCTLFNGEYLHMRCIAHILNLIIKEGIKDIDESVKRIRAACKFVKASPSRLATFKKCAEAVGVCSKALVTLDVETRWNSTYLMLNIAEKYEHAFYRLEHADVAFLTNLRIESKGCPNRADWCCTPKFDQHLFIHQVFES >CAK8539277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508150725:508151036:-1 gene:gene-LATHSAT_LOCUS8387 transcript:rna-LATHSAT_LOCUS8387 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRGKGIKGLGKGGAKRHKMILRDNVWGITKPAIRRLARRGGVKRISGLIYEETRLYLRVFLTKIIHDAVSYTDHARRKTVTAIDVVYTLKRQGKTLYVFGG >CAK8571413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:403231761:403232096:1 gene:gene-LATHSAT_LOCUS24080 transcript:rna-LATHSAT_LOCUS24080 gene_biotype:protein_coding transcript_biotype:protein_coding MNDETEREIISDDKEAEAALHKNHLSMLDRLSKRQQSRSTNSTEPSTESTSSFFSGFSRLKSSIESLIAESQSITFDPSQLKPHFDKISESISDLEKLVVQSSYFLPSYDV >CAK8565084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:34304815:34312051:1 gene:gene-LATHSAT_LOCUS18323 transcript:rna-LATHSAT_LOCUS18323 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSEGESGDKPMKTMGSQVCQICGDNIGSTVDGNPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYNKHKGSPAILGDREEDGGADNDVNDFKYNSETQSQKQKIAERMLSWQMAYGRGEEVDAPNYDKEVSHNHVPRLTGGQETSGELSAASPERMSMASPGNLRGKRVHNHLSYSSDLNQSPNIRVIEPGLGNVAWKERVDGWKMKHDNKNAIPMSTGQATSERGIGDIDASTDVLFDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAFSWILDQFPKWLPVNRETYLDRLSLRYDQEGELSQLAAVDIFVSTVDPLKEPPIVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFSKKYAIEPRAPEWYFSKKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVAKATKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYDPPIKPKHKKPGFVSSLCGGDRKSSKSGKKGSKKKSSKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYINTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDSAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDSQMCGINC >CAK8542602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528932383:528936206:-1 gene:gene-LATHSAT_LOCUS11427 transcript:rna-LATHSAT_LOCUS11427-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLFSLHIIVSLLFLFMFLLEPVYGTKKCYIVYLGAHSHGPNPTPLQLDVATNSHYDLLSSTLGSREKAKEAIIYSYNKHINGFAALLEEEQAAHIAKKTNVVSVFLSKSHKLHTTRSWEFLGLHRSGNTAWQKGRFGENTIIANIDTGVWPESKSFSDKGFGPIPSKWRGVKACQIRQFGKLKKNPCNRKLIGARFFSSAFEAYYGKLNPSLLTARDFVGHGTHTLSTAGGNFVPGASIFAIGNGTVKGGSPRARVATYKVCWSLTDVADCFGADVLAAIDQAISDGVDIISLSAAGQYLVNPEDIFTDEVSIGAFHALSRNILLVASAGNDGPTPGSVVNVAPWVFTIAASTLDRDFSSTITFGNNQKVTGASLFVNLPPNKAFSLILATDGKLANATNRDARLCRPGTLDPLKVKGKIVACDREGNIKSVAEGQEASSAGAKGMLLSNRPQQGRTTLAEPHVLSCVSKPHNESDYHSSANISAYDIISMDSKLKTGTTIRFSGAKTFYGRKPAPVMASFSSRGPNKIQPSILKPDVTAPGVNILAAYSLFASASNLLTDNRRGDPFNVLQGTSMSCPHVAGIAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNKPIQDAFNKKLATPFAYGSGHVQPDPAIDPGLVYDLGIKDYLNFLCAYGYNQQLISALNFNGTFTCSGSHSISDLNYPSITLPNLGLNAVNVTRTVTNVGSPSTYSAKVHLPGYKVAVVPNSLTFKKIGEKKTFQVIVQATNVTPKGKYQFGDLQWTDGKHIVRSPITVKRR >CAK8542601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528932383:528936215:-1 gene:gene-LATHSAT_LOCUS11427 transcript:rna-LATHSAT_LOCUS11427 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMGGFLFSLHIIVSLLFLFMFLLEPVYGTKKCYIVYLGAHSHGPNPTPLQLDVATNSHYDLLSSTLGSREKAKEAIIYSYNKHINGFAALLEEEQAAHIAKKTNVVSVFLSKSHKLHTTRSWEFLGLHRSGNTAWQKGRFGENTIIANIDTGVWPESKSFSDKGFGPIPSKWRGVKACQIRQFGKLKKNPCNRKLIGARFFSSAFEAYYGKLNPSLLTARDFVGHGTHTLSTAGGNFVPGASIFAIGNGTVKGGSPRARVATYKVCWSLTDVADCFGADVLAAIDQAISDGVDIISLSAAGQYLVNPEDIFTDEVSIGAFHALSRNILLVASAGNDGPTPGSVVNVAPWVFTIAASTLDRDFSSTITFGNNQKVTGASLFVNLPPNKAFSLILATDGKLANATNRDARLCRPGTLDPLKVKGKIVACDREGNIKSVAEGQEASSAGAKGMLLSNRPQQGRTTLAEPHVLSCVSKPHNESDYHSSANISAYDIISMDSKLKTGTTIRFSGAKTFYGRKPAPVMASFSSRGPNKIQPSILKPDVTAPGVNILAAYSLFASASNLLTDNRRGDPFNVLQGTSMSCPHVAGIAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNKPIQDAFNKKLATPFAYGSGHVQPDPAIDPGLVYDLGIKDYLNFLCAYGYNQQLISALNFNGTFTCSGSHSISDLNYPSITLPNLGLNAVNVTRTVTNVGSPSTYSAKVHLPGYKVAVVPNSLTFKKIGEKKTFQVIVQATNVTPKGKYQFGDLQWTDGKHIVRSPITVKRR >CAK8562522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506226050:506227633:1 gene:gene-LATHSAT_LOCUS16013 transcript:rna-LATHSAT_LOCUS16013 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVNFQQQHQHHRYQQYQPDPSLNSTTTLTTSSSSRSSRQTTTTTTNYHYYHHQEEDEECFNNFYYMDHNNTNDEDLSSSSSKHHYYNYPYDQQPPHHHVSTTTITTSSPNTNFNTINTPTTTDINYSFSPTQDYFNFEFSSGNSWSQNILLETARAISDNNTNRIQQLMWMLNELSSPYGDTDQKLSAYFLQALFSRMNDAGDRTYKTLSFASEKTCSFDSTRKMLLKFQEVSPWTTFGHVASNGAILEALEGNPKLHIIDISNTYCTQWPTLLEALATRSDDTPHLRLTTAVTAVSGGSVQKVMKEIGARMEKFARLMGVPFKFKIIFSDLRELNLRELDIKEDEALAINCVNSLHSISGTGNHRDGLINFLRGLEPRVMTVVEEEADLEHCFGSDFVEGFGECLRWFRVYFEALEESFLRTSCERLMLEREAGRGIVDLVACDPFESTERRETASRWRRRLQCGGFSTASFSDEVCDDVRALLRRYREGWSMTPCSAADDGIFLTWKDKPVVWASVWRP >CAK8544314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678128148:678131855:-1 gene:gene-LATHSAT_LOCUS13005 transcript:rna-LATHSAT_LOCUS13005 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKTPKPTKVRGRKKLSNGRNTLQLHFSKFSLNQYKPLPTTACPSTAFSRLRSMIEAEANDSPTICVRDTDEAAETDSRDCILSQDLFCSPDYLTPENQRIFNCLTFNKDNSPCPKSPEKLTTRNKRCRLDDVYGKSLSPTIFNDHQQDVELDKDSVAEEVSLADEVSLDKLMVAGKPNPQNYVSHSAAALRCRAMPPPCYRNPYLKDVAQKEIDPFANQRSKCAGFFPKFTANEGLSRYHTDFEELEQIGRGNFSCVFKVLKRIDGCLYAVKRSTRQLHLETERKKALMEVQALAALGSHENIVGYYSSWFESEQLYIQMDICDHSLSKFKGSELLSEGQLLEVLYQVANALRFIHEKGIVHLDVKPDNIYVKNGIYKLGDFGCATLIDNSLPIEEGDARYMPQEILNEKYDHLDKVDIFSLGASIYELVRKSPLPESRCHFSNLKEGKLSLLPSNTMQFQNLLKAMIDRDPVKRPSARELVENPIFDRVLKTGKN >CAK8574481.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494120:494512:1 gene:gene-LATHSAT_LOCUS26825 transcript:rna-LATHSAT_LOCUS26825 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGIRPHCLVLQLSQVSIVALIFAVKITVQESRLTSAESKFACSI >CAK8563543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615035515:615039860:1 gene:gene-LATHSAT_LOCUS16941 transcript:rna-LATHSAT_LOCUS16941 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRKAAPPITSSDVDSSIRTEPKKRTTSKQFERIDFLFELYANKSIGLIDPDGIEALCKDVNVDHTDVRMLILAWKLKAEKQGYFTKDEWRKGLKCLGVDTHPKLKKAINGLKKEVTVPECFEDFYSYAFQYCLTEDKQRSIDIETICELLTVVLGSEFPSQVNLLIEYLKIQNDYRALTRDHWGSFYRFFKEVSFTELQKYDSSQAWPVIIDNFVEWLKEKETKI >CAK8570752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:107154939:107160654:-1 gene:gene-LATHSAT_LOCUS23480 transcript:rna-LATHSAT_LOCUS23480 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSSLASHFLPLSNKNPSLTPFQSQPLFFPTLHPKPLFLSSRTSISTVASASSSKNSSNNNNPKQSKQDEAVEVEVEEELPWIQDKALDLVEFTGSVTQAVPGPRVGPTSLPWILAVPLGYAGLTFVIAFVKTVRKFTSPKAQRRKMVGKNASLCKSVDELLQRGRDDVKVDDLKGIENKTGFGLEEILRKYIRYALNEKPFNPDVVADLIQLRRASALNDSQVAKILNEISRRIVRDKGPIVMNISGYTEKGFKRKLAVQALFGKIFYLSELPEFCSRDSSLVVKEIFGVTDEDADQLRLHTTSEAGNLDSLEKMVDSSDSEDSSEVS >CAK8570046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21754989:21761294:1 gene:gene-LATHSAT_LOCUS22833 transcript:rna-LATHSAT_LOCUS22833 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDTKTWWNQCMNVFSKSTREDDGDDEVALKCVAIDRILSSACFMRNGETKEEGKDVDSIMKLELAEKRALLERLVKIAEEDNEKFLLKLKERMDRVGLELPTIEVRFEDINVEAQVYVGSRALPTLLNFFVNVLEGCLNNLHIIPSPKKQLHILQNVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKQSGRVTYNGKGLEEFVPQRISAYVSQYDNHIGEMTVRETLAFSARCQGVGHNYEMLMELLRREKESKIEPDSDIDAYMKEAAIEGHQNSVVIDYVLKILGLEVCADVMVGDQMIRGISGGEKKRLTTGEMLVGPIKVLFMDEISNGLDSSTTFRIISSIRQSIHILNGTALVSLLQPAPETYELFDDIVLLTDGQIVYQGPRENVLEFFESIGFKCPERKGVADFLQEVTSRKDQWQYWARKDEPYNFVTVKDFVQAFESFHIGQKLGQDLADPFDKSKFHSNVLITKKYGINKKELLKACASREFLLMKRNSFVYIFKATQLTYLATLTTTLFLRIKMKHSTIEDAEIYMGALFFTVTVAMFNGISELNMTIMKLPIFYKQRDLLFYPSWAYSLPPWILKIPITLIEVAIWECISYYAIGYEPNIGRFFKQYLVILCINQMASALFRCMAALGRDIVVGNTFGTFSLLAVTVLGGFVISREDVHDWFLWGYWSSPLMYGQNAIAVNEFLGHSWRKVIPSSNETLGVLILKSRGFFPQAYWYWIGVGALMGYVLLFNFFFALALHYLSPFRKDQAGLSQEKLQERNASTADFDDKSDTKMDEAVGENKASSIGRKGMVLPFEPLSLTFDDIRYSVDMPQEMKNQGVCEDRLELLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGSITISGYPKNQMTFARISGYCEQFDIHSPNVTVYESLLYSAWLRLSPEVDHATRKMFIEEVMELVELNSLREALVGYPGENGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKSGGEQIYAGPIGVQCFDLIEYFEAIQGVPKIKDGYNPATWMLEVTSAGSEANLKLNFTDVYNNSELHRRNKQLIQQLGAPSQDSEDLHFDAQYSQTFMAQCIACLWKQHLSYWRNTSYTAVRLLFTIMAGLLFGLVFWDVGLKRTKEQDLFNAIGSMYAAVTFIGVVNGASVQPIVAIERTVFYRERAAGMYSALPYALAQVIIELPHILVQAVVYGIIVYAMMGFEWTTSKVLWNLFFTYFSFLFYTYYGMMTMAITPNPHVAGILSTSFYAIWCLFSGFIIPLSRIPIWWKWYYWMCPVAWTLYGLVVSQYGDNMDSTLDNGKSVEEFIRIYFGFEHDHLGMVAIVVASFTVLFALTFTFGIKAFNFQKR >CAK8563382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598838192:598841473:1 gene:gene-LATHSAT_LOCUS16801 transcript:rna-LATHSAT_LOCUS16801 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLLKRNTDCVYFLASPLTCKKGVECEYRHHEIARLNPRDCWYWLSGNCLNPTCAFRHPPLDGHAGVPSEPIQSSVPASANKTMVPCYFFFNGFCNKGSKCSFSHKPDDSLLVVKPSKNDTLKPLKNDTVKPSKNDTVKPLKNDTVKPLKNDTVKPLKNDTVKPLKNDNGNIHALNLENKALSGNKTSVAPTPRETHFEQSLSAPKALSDTRLKSKEDLQLPLPKNVKQQSVCLEFSSFDYNEAAVTQSDSLVPDNGFAHNMSHSCSEQSSEEQENCHVEPEERWESSPGFDVLVHDESENLGYENGSEYLPLLDMDDHELNEQYAGYEYKNTDEYDTICSDADILYEQATCDDYRCFDRDFASEREVYGYSREIALDSIFSRKRVRMSAAEMDACDSDLDLRHHLRRRREVNDPAVTGFFRRHDEPSSLMVRNQERHRRGDVVQQQNRRLTSQLGFSSIREVEDLSIANKHRLFRPSQQNRPRKHYREKPAKRPFPSSKVSRQPVVKQQRVIQESSTFSGPKTLAEIKEERKKAGESSHCESSSAGFQDPKPLHEILKDRRTMD >CAK8541958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:441908897:441912010:1 gene:gene-LATHSAT_LOCUS10839 transcript:rna-LATHSAT_LOCUS10839 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVPPTGKILSLDVNPNTTTLHSLKHQIENFHGIPISHQRLFLSQSLRLLGDNDSLFISNLGVGNYSTLTLHVPFYGGTQPPAVPKPPRFDFLNSKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAGVAPGVGRGRGKGGDDAAEEDEEREDKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWEDIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLYTLSPDDWQSLEKFGSGGYSSKNKKKRFESFVPVPDTLLEKARQEQEHLTALDPKSRAASANGTETPWSQTPVTDLTAVGEGRGTVLSVKLDRLSDSISGMTNVDPKGYLTDLNSMKISDSEKHRFLLKSVTQTNPKYPHGWNAAAQLEKSAGKLQAARRLIQKGCEECPKNEDVWMEACRLAKNPDDAKAVIAQGVKSIPTSVKLWMQASKLENDDMNRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDNAKKVLNRARERLTKEPAIWITAAKLEEANGNTSMVGKIIERGITALQIEGVVIDREAWMKEAEAAERAGSVATCQAIIHNTIGVGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWVKAAQLERSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNLEEERKLLNEGLKQFPSFYKLWLMLGQLEERLANTAKQQDQPEKQHAHNMEAKKVYDSGLKSCPSSVPLWLSLANLGEEMSGLSKARAVLTMARKKNPQNPELWLAAVRAELKHGFKKEADILMAKALQECPNSGILWASSIEMAPRPQRKIKSTDALKKCDHDTHVIAAVGRLFWIDRKVDKARNWLNRAVTLAPDIGDFWALCYKFELQHGTEENQKDVLKRCVAAEPKHGEKWQAISKSVENSHQPTESILKKVVIALRKEEKAAEDSKH >CAK8574338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675956186:675959050:-1 gene:gene-LATHSAT_LOCUS26693 transcript:rna-LATHSAT_LOCUS26693 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIEINYEEEYVLNSRGMKLLATKWIPANENPKALIFMCHGYAMECSITMNSTARRFVKGGYAVYGIDYEGHGKSDGLAGLVMNFDHVIDDCFNHFSKICEKGENKKKMRYLLGESMGGAVAVLLHWKKPDYWDGAILSAPMCKIADDIKPNAFMIPIFSALSKLAPTWQIIPTEDIIDIAFKVPEVRKQIKANKYCFKGKPRLRTGYELNRVATEIEERLHEVSLPFLILHGEADRVTDISVSKELYEVASSSDKTLKLYPKMWHGLLYGEPAENLEIVFSDIFSWIEERTKYGNTRIERELKNENEDSSRGNNSCC >CAK8536789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20617275:20617871:-1 gene:gene-LATHSAT_LOCUS6122 transcript:rna-LATHSAT_LOCUS6122 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQVPNRQSMKKTVIGDGPLERQQIAENKSLKNDISRSKEQSKRIVVEDESREKHESNNKNQQQKKKMFGNDISRAKDQQKKMVVVEEESMARQQSACKKTKRSPLCQSMLIGDFLEKNGRDVEKEMENLIEDEENIVLEEQEQEENVEYEGDAEKKG >CAK8533241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:595681231:595684380:-1 gene:gene-LATHSAT_LOCUS2886 transcript:rna-LATHSAT_LOCUS2886 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEEEITEPVSPTGQYLNSSCLCIYILGVLEFEFSIDDCQTLSLLQDVFLPINTRFSSIMIKDPFSGEKKWKKVEVNLEDHINVPKFSSEKLCNSFYDESLDEYMSKISMEHLPQDRPLWEIHIIKYPTKNAAATVVFKLHHALGDGYSLMGALLSCLERADNPSLPFTLPSSQRPKSVFSTKAIIKRFPSVFSSTLRSMMDFGWSIVKSSMIEDDLTPIRSRADDVKLRKITISSVSFTMERIKEVKSRLDVSINDVIAGLIFFGIRLYMLEVNQESRKASSTALVLMNTRNIKGYKSVKEMVSKNNNGAAWGNQFSFLHIPIPELNDPKFENPLEFIWEAHKEINRKKNSLVTPLTGMLLKMVKTIRGPEAAARYVHSTLRNSSTTISNIIGPVEQMALSNHPVKGLYFMVVGPPESLTVTIMSYMGKLRIAFGSEKDFIDKHKMKCCMEKSLEMIIKASTKTSA >CAK8573962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650533115:650534137:1 gene:gene-LATHSAT_LOCUS26355 transcript:rna-LATHSAT_LOCUS26355 gene_biotype:protein_coding transcript_biotype:protein_coding MERDWANLEALPLGLILDNLEEKIDHLWFSVVCKNWQLIAKLNHQNHQFKMNTIPMVMVPPNDSLTNKNGLFGIPNKRLYPFQFQLGLIKPNLHLCGSSHGWLALVDDSRSIITLMNPFQNIPYITLPPLNRVYKVTLSADPIKNPNDYVVAAIHGNSCDLAFIKSGQKNWTYMEILEPFFIDVIFYKGLLFAITIHRKIISINICGSNNLLPNVILEEKVEEGIFQDKFLVKSLNEELWMVKRCALRRNVYHVYKLKLDAKGEKLEEMCKLKSLGDDILFLGQGDSISVSASYFSKSLQRDSIYFADFLKIYNMKNGKSRCHGPYGKYTFWILPHFQWD >CAK8567941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558363570:558378278:-1 gene:gene-LATHSAT_LOCUS20948 transcript:rna-LATHSAT_LOCUS20948 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTNARKRPAPPSQQPPTKQALTQEDEFVDEDVFLDETLLTEDDESAILRDMEQRQALAARLSKWTRPPLSQDYISQSCSILFQQLEIDYVIGESHKELLPNSSGPAAIIRIFGVTKEGHSVCCKVHGFEPYFYISCPPGMGPDDISHFHQILEARMREANRNNNVGKFVRRVEMVQRRSIMYYQQLKSHPFLKIVVALPTMVASCRGILDRGIQLDGLGMKSFMTYESNVLFALRFMIDCSIVGGNWIEIPAGKYKKATKSLSYCQLEFDCLYSNLISHAPEGEYSKMAPFRILSFDIECAGRKGHFPEPTQDPVIQIANLVTLQGEDQPLIRNVMTLKSCSPIVGVDVMSFDKEEEVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAAALKIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEISIEGRVQFDLFQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNAKQAGSEQGTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPENARNLNIPPESVYKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTINGYEHNAEVIYGDTDSVMVQFGVSAVEEAMNLGREAAEYISGTFIKPIKLEFEKIYYPYLLISKKRYAGLFWTKPDTFDKMDTKGIETVRRDNCLLVKNLVTDCLHKILIDRDIPGAVQYVKNAISDLLMNRMDLSLLVITKGLTKTGDDYEVKTAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPILRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQFTCIGCKALLSNGDRTLCSHCKGREAELYCKTVAQVSDLEILFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEARLQLDRWNF >CAK8579543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706505600:706508410:-1 gene:gene-LATHSAT_LOCUS31481 transcript:rna-LATHSAT_LOCUS31481-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQKVALMSNENAKDLSVMEPKQYKKSQSRLSSTPEETGDESRCHICMESNSCERVISRTKLMNSLIGKRKPQEAVTVFNSLAEEGHRPTIITYTVLVAALTRLKRFKSIPSLLSKVEENGMKPDSILFNAMINAFSDSGKVHEAMKILRKMKESGCKPTTCTFNTLIKGFGVIGKPYEAMKLLEMMYQDGNVKPNERTYNILIQAWCTKHNLEEAWDVLHKMVNSGLQPDVVTYNTLARAYAQNGETLEAERLIFKMQQYNNKVRPTDRTCSIIINGYCKEGNMEEALRFLYKMKELGVHPTPVVFNPLIKGYLDITDTNGVDEALTLMEEFGIKPDVVTYSIIMNAWSSSGLMDKSEEIFDNMVKAGIEPDIHAYSILAKGYVRSGQPEKAEALLTSMTKYGLQPNVVIFTTIMSGWCSAGKMDRAVRLYEKMQETRIPLNLKAYETLIWGYGEAKQPWKAEELLVTMEERGVAPETSTIKLVADAWRAIGLSDEADRILNGSEEESEYDKNSNSETIPEKRIYEKPKPGASQSNNLLQMPGIVVTQPERITNIGNIKSQMTVKTYDTTRNATVSMVFVRANSYGAQPLIVSRHQIHSQIIRPLLDCCRLVSIHC >CAK8579542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706505600:706508410:-1 gene:gene-LATHSAT_LOCUS31481 transcript:rna-LATHSAT_LOCUS31481 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQKEPKQYKKSQSRLSSTPEETGDESRCHICMESNSCERVISRTKLMNSLIGKRKPQEAVTVFNSLAEEGHRPTIITYTVLVAALTRLKRFKSIPSLLSKVEENGMKPDSILFNAMINAFSDSGKVHEAMKILRKMKESGCKPTTCTFNTLIKGFGVIGKPYEAMKLLEMMYQDGNVKPNERTYNILIQAWCTKHNLEEAWDVLHKMVNSGLQPDVVTYNTLARAYAQNGETLEAERLIFKMQQYNNKVRPTDRTCSIIINGYCKEGNMEEALRFLYKMKELGVHPTPVVFNPLIKGYLDITDTNGVDEALTLMEEFGIKPDVVTYSIIMNAWSSSGLMDKSEEIFDNMVKAGIEPDIHAYSILAKGYVRSGQPEKAEALLTSMTKYGLQPNVVIFTTIMSGWCSAGKMDRAVRLYEKMQETRIPLNLKAYETLIWGYGEAKQPWKAEELLVTMEERGVAPETSTIKLVADAWRAIGLSDEADRILNGSEEESEYDKNSNSETIPEKRIYEKPKPGASQSNNLLQMPGIVVTQPERITNIGNIKSQMTVKTYDTTRNATVSMVFVRANSYGAQPLIVSRHQIHSQIIRPLLDCCRLVSIHC >CAK8579740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719720082:719720549:-1 gene:gene-LATHSAT_LOCUS31661 transcript:rna-LATHSAT_LOCUS31661 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPSVKVDDMDELKRVFTRFDTNGDGKISVTELDSILRSLGSTVPADELQRVMDDLDTDRDGFINLDEFATFCRSGSAECDASELRDAFDLYDKDKNGLISATELCQVLNTLGMKCSVDECHTMIKSVDSDGDGNVNFEEFKKMMSNNRPSGN >CAK8571344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:385199936:385202116:1 gene:gene-LATHSAT_LOCUS24012 transcript:rna-LATHSAT_LOCUS24012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIQGVGREQFTHLISYGEELLKSNPNSTVKIKCADSDGGPVFERIYVCLEACKAAFATTCRPLIELDACFLKGDFGGQLIGVVGKDGNNKIYPIAYAVVEAEMKHSWKWFLNLLLEYLQSIQDNKYGFISDQQKGLVPTILETNQHVEHRLCVKHLYGNWRKKYPRIFMKEALWWAARATTILGWERAMNHMKELNINAWKDMMDVPTACWTRSHFKTDTQCDLQVNNMCEAFNRAILEYIDKPVISLLEGIKHYITVRISAQKEKLSRYTGVTSPSIQKFLEKTNRVVEGWIATWHANDDFAIFGVSNGVETYVVNLLQQKCGCRKWDLSGILCCHAIACIWYNKKEPEDYVSSFYRKSIVLTTYSHIIMPTNGQQLWPVNVANPISPPVKRRSIGHRKKNRNKANDEPRIRNKLPRTPQTVKCKKCWSFGHKKRTCKGKRAAERAIPKGGNKKSKKRVTSRARKWEKLL >CAK8560356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15394910:15396631:-1 gene:gene-LATHSAT_LOCUS14035 transcript:rna-LATHSAT_LOCUS14035 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDGQQYDSKMNELLASDGQEFFTSYDEVYDSFDAMGLQENLLRGIYAYGFERPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDFLGVKVHACVGGTSVREDQRILQAGVHTVVGTPGRVFDMLRRQSLRSDCIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVSINFVTLDDARMLADIQKFYNVTIEELPSNVADLL >CAK8539585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517058094:517059489:1 gene:gene-LATHSAT_LOCUS8667 transcript:rna-LATHSAT_LOCUS8667 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIVSPIYVPEHEFEDLSFFLQYPMDFLPSPFDNNYETTPNSLPLETQPDDHYVAQNRPTKKIKTLIDTKQTKDHLIASNPKPSSYSSPQLISFEHFNATPVSSQQFYSYDYSDVKPKLEKGCNENKDFSANYDYGTSKTRNTTQAKEHVMAERKRREKLTRSFIALSAILPGLKKMDKASVLGDAIMYMKKLQARLHTLEAQVEDNKKTGSAIQVKRSVIFSTDNNHDDDSNSNNSNNQTLPEIEVRVSRKDVLIKIQCDKHSGRATTSTVLGKLETLNLTVQSTNLLPFGNNIVDLTIVAQMNEENCVTAKDLMGSIRHALIFNK >CAK8530440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21670948:21673157:1 gene:gene-LATHSAT_LOCUS305 transcript:rna-LATHSAT_LOCUS305 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEKQQEKVMVLEEGRKDEEGEENERLLEGSAVLDFDMLCSSVALQTANGTWGKLGGGGGDDEHRGEEFGGVLRMWEGELLDCFEHRRIALESACCPCYRFGKNMKRAGLGSCYIQAFVYFVVAICALFNFIAFIVTRHHYFLYLAVTFIVTGGAYLGFYRTRMRKKFNIKGSDSSVDDCAYHFVCPCCTLCQESRTLEINNVQDGTWHGRGDTVCIGGIRNGSKALSALIPPPIESIKLTDEIYTL >CAK8544894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710660898:710663646:1 gene:gene-LATHSAT_LOCUS13539 transcript:rna-LATHSAT_LOCUS13539 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEVINMEKVIEEFESMTKDADKVQRETLRRILEGNSSAEYLQNLGLDGRTDPESFKACVPLATHKDLEPFIYRILEGDDSPILTGKSITTMSLSSGTTQGKPKYIPWNDELFESTMQIYKTSFAFRNREFPIRNGKALNFIYGSKQFKTKGGLIATTATTNVFRNPSYKPTMKALQSQCCSPDEVIFGGDFFQSLYCHLLCGLIFREEVQLVSSTFAHSIVHAFRAFEQVWEELCNDIREGVLTSRVTVPSIRTAMSKLLKPNPELANIIHKKCSGLSNWYGLIPELFPNAKYIYGIMTGSMEPYLEKLRHYAGVIPLLTADYGASEGWIAANVNPKLPPELATYAVLPQIAYFEFIPLSQLENEDTFSCVDSQPVGLTEVKIGEEYEIVMTTFSGLYRYRLGDVVKVMGFHNSTPELKFIRRSTLLLNINIDKNTEKDLQLAVEAAAKLLVQENLVEVVEFTSHVDLSTEPGHYVIFWEISGETSERVLSECCNCLDKSFIDAGYTSSRKVNAIGALELRVVRRGTFQKILDHYLGLGTAVSQYKTPRCVGPTHNIVLQILSENVVNRFFSSAYN >CAK8563611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620399153:620402917:1 gene:gene-LATHSAT_LOCUS17005 transcript:rna-LATHSAT_LOCUS17005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRSRSRSYSPRRRSRTPPRGRKRYDDDRHPDTRSRRDRRSPLPSGLLVRNLPLDARPEDLRGPFERYGPVKDVYLPRNYYTGEPRGFGFVKYRYGEDAAEAKQHLNHSVIGGREIRIVFAEENRKTPQEMRVTSHGSSRNGGGSRRRARSRSPRRRYRSYSRSPSPARGTSRDDRGRDDYSPGRSRSYSRSVSPDGRKEDKRSPRASPGGRKDGRRSSRASPYGGKDDRRSPRASPGVGKDDRRSPRASPGGGKDDRMSPHARENGRSPNVKKDQAPNRSESPRDKDHSPSRSRSRSYSPR >CAK8538939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499846831:499847357:1 gene:gene-LATHSAT_LOCUS8084 transcript:rna-LATHSAT_LOCUS8084 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQAFFIVFSLLMVGNMSYGRKDLGEYWKNKMNEQAMPEAIKNLIKVPAQEKQDDSFVKNFDVHPNIILYHQKHDKQVSSEAKEDDSFVRDFDVHPNIILYHQKHDKHVQP >CAK8535590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865133706:865134437:-1 gene:gene-LATHSAT_LOCUS5034 transcript:rna-LATHSAT_LOCUS5034 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIRVEEKNNNNESVSSSIMQSLPRDLLLNVIAIIGSQSFIDLHKIKVCCKDFFEVAQERYVLQKISLDNFPFIQWIPNKNALLFFKRCVESKNSESLYRDGLLKYFNYPNGNMAGLKSLKIAAQMGHKEAMYVYGIILLCSEDHESKNQGVEYMRALRKSKCIMSSRMKVQSLTNSLWKNNGILVRNQTPICNSKSTCYGWRLKKGRWLLLDDEDDDFNLCENCRWDYELEFFYNLLNVE >CAK8541453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:178329521:178330588:1 gene:gene-LATHSAT_LOCUS10373 transcript:rna-LATHSAT_LOCUS10373 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAKPSDLHFHSRNPSIQTSQMQNIASESKPLKDSKKKVSVSFTQNQDGKKMLKENKCKSNTKSNMCYCSPTTHEGSFRCRLHRISAPKKSVTEKTNVRCSKLAHVEFKPQLSRFGRVGSHDSLIQLSRLGS >CAK8573153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589660340:589660802:1 gene:gene-LATHSAT_LOCUS25632 transcript:rna-LATHSAT_LOCUS25632 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFNHFVIRFRQLLQLPNIGECSFILKERLSNHHQYNLPTAEQVAAIIVGGDSDSMEYGRDINVIRRDGNLKKVQETKGYYDPLQYPILFPMSCRAYYSYILQILPNDQSMLLNAGRLLQ >CAK8571891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490446488:490447076:-1 gene:gene-LATHSAT_LOCUS24514 transcript:rna-LATHSAT_LOCUS24514 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEYFDASASAFELVGYPGGPYDTSVLVKYEHHVARHIWIGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSGLASLKRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWGVDYLGVSRRVAQQ >CAK8565747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:320582714:320585224:1 gene:gene-LATHSAT_LOCUS18934 transcript:rna-LATHSAT_LOCUS18934 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYIENHVEENEHIFCSQYSPVPVPENVTLPEFVLQNAEFYGDKVAFVDAESGKEVTYNEVARDIYRFSKALRSLGLRKGNVVIVVLPNVVEYAIVALGIMASGGVFSGANPASHTSEIKKQVESADAKLIVTDSATYEKVKSLGLPVIVLDEEFVEDAMNWKKLLEAADRAGDDQMKEPIHQNDLCAMPFSSGTTGMSKGVMLTHRNLVANLCSTLFAVVPEMIGKVTTLGLIPFFHIYGITGICCATIRNKGKVVVMERYDLKSFLNALIKHEVTFAPIVPPIILGLVKNPIVDEFDLSKLKLQAVMTAAAPLAPELLTSFEHKFPGVLVQEAYGLTEHSCITLTFAQKKSGNTNKNSVGFILPNLEVKFIDPETGKSLPRNTPGELCVRSQCVMQGYYKQVDETAQTIDKNGWLHTGDVGFIDDEENVFIVDRIKELIKYKGFQVAPAELEAILLSHSSVEDAAVVPLPDEEAGEIPAASVVLRKGAKESEEDIMKFVASNAAHYKKVRVVHFVEAIPKSPSGKIMRRLVKEKMVEKIKENSSLTKSNTY >CAK8544341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:679228245:679229063:1 gene:gene-LATHSAT_LOCUS13030 transcript:rna-LATHSAT_LOCUS13030 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPEKQVMVVGMDDSDYSTYALEWTLDHLVTQSPNPIFKLVLVHAKPSVSSRVGFVGPGAAEVLPIVDADLKRTAALIIERAREICTKRSVKDIVVETVEGDPRNVLCDAVEKHHASTLVVGCHGYGALKRAVLGSVSDYCAHHAHCTVMIVKKPKTKH >CAK8566324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406981903:406983197:-1 gene:gene-LATHSAT_LOCUS19461 transcript:rna-LATHSAT_LOCUS19461 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLKKTGSVGHEKMSQEQQAKIIEVRKVIGTLSDKESVYCTDASISRYLKSQNWNVKKASQMLKQSLKWRREYKPEEIRWDDVSNEAKIGKMYRANYCDKHGRPVIIMRTDRQNSKSLKEEIKHFVYCMENAVLNLPPLQEQVVWLIDFHGFNLSNVSFKMTREISHILQKYYPQRLGLAIMYDAPGIFQPFFAMVKVLLESESYKKVKFVYSNDQNTKKIMEGLFDMDQLEPAFGGNNDTEFDMNKYAKRMKEEDNKMHSLWTQTNSLSLLSRNIPSSDSTGSETEADSDASNNEKTLGSSIPNPEKSLEV >CAK8543052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572079965:572082285:1 gene:gene-LATHSAT_LOCUS11839 transcript:rna-LATHSAT_LOCUS11839 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSLDQWRSYFRSSSSDIFDIIDHAIVVAAADCPKEFKLRRDGIAERLFSVMLNRCKDCEKVETPVTDNENDELCKRGFVRDGGSKESKVNCGEGNGVVEVNPISNYTFVDAEALTDMLEAESELLAEVMRIKEVLNSYEDESDSALFESLRRLQLMHISVDLLKSTEIGKAVNPLRKYGSKDVRQLARVLIDGWKEMVDNWVNGNAAKPAAEGGTPDSINPSVVENAEDEEEGGLPSPPLDDGAFFVSQPGSMELSQFFEGMDDDGNLRKSAPPNKNRDNGGKPTSDTLVKDKRNFPVFNATAIPANDNKSQQMKKTEAAVRLNKPVAADAGRGRPMNPNIQRKPNVEPRLQQKAGNSTVPKRPLNAQQDPKCSSDAEKLEATKRKLQERYQQAENAKRQRTIQVMEINELPKQGAVQRNTNFKPGNHNRQWANGRR >CAK8569894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14555941:14556423:1 gene:gene-LATHSAT_LOCUS22696 transcript:rna-LATHSAT_LOCUS22696 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLVGLDAPVLHALHQMMDLSDDNYSDKSSSHNAPTRSYVRDAKAMAATPADVKEYPNSYVFVIDMPGLKSGGIKVQVEDDNVLVISGERKREEEKEGAKYLRMERRVGKFMRKFVLPENANTDAVSAVCQDGVLSVTVEKLPPPQPKKPRTIEVKIA >CAK8541390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:148122643:148122972:-1 gene:gene-LATHSAT_LOCUS10315 transcript:rna-LATHSAT_LOCUS10315 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTLKGCLSLMSLRDNFYDVWVMKEYGNEKFWSKLLSVPHMKECGVYGNARALCISKNDQVLMEFIKNGKFNLAVYDFINNTFKIQDNIHDEMMAQEVYAESLISPL >CAK8538883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498746548:498747064:-1 gene:gene-LATHSAT_LOCUS8032 transcript:rna-LATHSAT_LOCUS8032 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRYLGFATLVLTACMLGISVEFECGGDIVAIKTQCERFVQKGGPKIPPSKSCCEALKDADITCYCKYLTPDIEKMLSIEKVLFVAKTCRCKNIPTDKCGSYIIPPPPPLSKV >CAK8570569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:61384343:61389575:-1 gene:gene-LATHSAT_LOCUS23307 transcript:rna-LATHSAT_LOCUS23307 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVPPPTTPFFHTKKDLSLSAFSSSSSSQRCQKHASRRISCSVAAPQQTQRQPSSTGSVKTAMTMTEKILARAAEKENAVPGDNIWVNVDVLMTHDVCGPGSIGIFKKEFGADAKVWDREKLVIIPDHYIFTSDERANRNVDILRDFCQEQNIKYFYDIKDLSNFKVNPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPSYLLAKDLILQIIGEISVAGATYKSMEFVGSTVESLTMEERMTLCNMVVEAGGKNGVIPPDSVTYKYLEGKTSVPYEPVYSDQQARFLSEYRFDVTKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPQVCVSTTNRNFPGRMGHREGEIYLASPYTAAASALTGYVTDPREFLQ >CAK8535466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850681536:850682204:1 gene:gene-LATHSAT_LOCUS4927 transcript:rna-LATHSAT_LOCUS4927-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLKATQNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMGDEAIKSSSHNVIQFDRERFCFMVAESIKQRDGRPLGTFSVGLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSAFKVYSQSFLGLPHQQNWQTYEGFTLCHDETMRRNKKGRPNSIRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8535465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850680558:850682204:1 gene:gene-LATHSAT_LOCUS4927 transcript:rna-LATHSAT_LOCUS4927 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHSIRELVNNDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIIIDDGTQLGDTRKFHRLFWAFEPCIRGFSYCKPIVQINGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPKNGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPREKWSRAFDGGQRWGHMTTNLAESMNSVLKATQNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMGDEAIKSSSHNVIQFDRERFCFMVAESIKQRDGRPLGTFSVGLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSAFKVYSQSFLGLPHQQNWQTYEGFTLCHDETMRRNKKGRPNSIRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8565493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:243188614:243190638:1 gene:gene-LATHSAT_LOCUS18706 transcript:rna-LATHSAT_LOCUS18706 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTDAVIVKSSRLKSVVWNDFDRIKKGDTCVAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNHGLAQYITAREKRKEGTLAIANFNLDQDSNKDDTLNLVNIKFEQAQLKDESLNTGSSNFDQRRSRFDLARMIILHGYPLAMVEHVGFRAFIKNLQPLFELVTLNRVEADCIEIYEKERKKMNEMFDKLPGKISLSADVWIAMDDAEYLCLTSNYIDESWQLRRRILNFIRIDPSHTQDMLSQAVMSCLMDWDIDRKLFSMILDSCSTCDNIASRIGERLMQNRFLYCKGQLFDIRCVADILNVMSQIALGGISEIVNKIRETTRYIKTSQTVLGKFHEMAKEVGIMTQKCLFLDNPMQWNSTYSMLEAALEFKDALILLKENTNDCNISLSEVEWERLSLSEVEWERLTTVTGYLKLFVEVINIFTRSKYPTANIYFPELCDVKLHLIEWCKNSDACISSLVLKMRSKFDEYWEKCSLGLAVAAMLDPRFKMKLVDYYYPQIYGSMSASRIEEVFEGVKDLYGEHSIGSPLASHDQGLAWQVGNGGSPLCLPWSAKDSRDRLMGFDKFLHETSQGEGAKSDLEKYLEEPLFPRNVDFNILNWWKVHTPRYPVLSMMARNVLGIPMSKVAPELAFNYSGRTVDRDWSSLSPDTVQALVCSQDWIRSELEN >CAK8530551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29735380:29737083:1 gene:gene-LATHSAT_LOCUS409 transcript:rna-LATHSAT_LOCUS409 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAFDVGAVPFNPDGWGPMDSTTAANNNNDLPLNVPFAPFSRSDKLGRIADWTRNFNNQTRSKNPADSAFDFTLDDSFPGNADDDATFRLVDGKPPPRPKFGPKWRYQQQRQLPQRRDEEVEAKKREAEKERARRDRLYHQNRSNPNNPRREAAVCKSSVDIQPEWNMHDQIPFSTFTKLSYNVPEPEDLLLCGALENYDRSFDRINPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAHSLSVEAAYINQNFSQQVLIRDGNKVTFDEPNPFANEGEEVASVAYRYRRWKLDNDMYLVARCEVHSVVELNKQRSFLTVNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLGSADMMKLGYVSRIHPRDHFNHVILGVVGYKPKDFAAQINLNTTNMWGIVKSIVDLCMKLNEGKYVIVKDPSKPQIRIYEVPVDAFENDYVEEPLPEDEQVQPTAEGADGGEEAVATTNDVEDKAIDAQA >CAK8560720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37954285:37957287:1 gene:gene-LATHSAT_LOCUS14375 transcript:rna-LATHSAT_LOCUS14375 gene_biotype:protein_coding transcript_biotype:protein_coding MTANNGRGSDEHKRKSNVVIGNENNGLTRNFASLVGSGHPSTSFCSTTDPKQLHVRKYHNSFSREIALKKVEEQIPLRLSKRQKGSDSRINLNHEPCKMKSASSTSSYPQKGKGVIEKDFDNVMSGAECFNDGIVLRVWMKYESHRMKKPERLCIFKQILELVDFAHSQGFVLQEIKPSCFTLSPSNKIKYIGSYSQQVFDDRKSCFTVFKSCLKAIVTCKETESLKQQQHFKEKMCVDGSSYRRDFAEEKQFVSETIQLEEKWYTSPESVDDGTCTFSSNVYSLGVFLFELLCNIESLEAHSSVMFDMRHRILPPKFLSQNAKEAGFCLWLLHPEPSSRPNTRMILESEFISELVESSSGDNVMVSEGDVGDTEELLSFLISVEEEKKKHEAKLAEELNFLNEDIKEVERNHSYETDTVFPLAQLNYRNFQNSSTDIGRSIPRSFPDEARFMSTISQLENSYFSMRFQGLLKEAATVKTSDKTVMESRWRLPHLENVSSNDPKRVQGSIGCLGPFFDGLCKFARYSKFEERGTLRNRDLLSSANVICALSFDRDEDYIAAGGISKKIKIFDLNATSSDSIDIQYPVVEMSNKSKLSCVCWNSYIKNHLASTDYDGVVQMWDAGTGQPLSQYMEHQKRTWSVHFSVSDPKMFASGSDDCSVKLWNISERNSIGTILSPANVCCVQFSEYSSNLLFFGSADYKVYGYDLRHTKIPWCTLSGHGKAVSYVKFIDAQTVVSASTDNTLKLWDLKKTSSAELSSDSCDLTFRGHSNEKNFVGLSVLDGYVACGSESNEVYCYHKSLPVPIASHKFESIDPISGHSNSCDNNGQFVSSVCWRKKSNMLVAANSVGIVKLLQMV >CAK8571010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:261592683:261598022:-1 gene:gene-LATHSAT_LOCUS23710 transcript:rna-LATHSAT_LOCUS23710-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVNLIFAVFSATLISYGLAFTNPLDVTALQDLYRSLNNPQGLHGWNGGDPCEEYWTGVACSESSVIHLKLQGLNLTGYLGSSLYNLQHLKIFDISSNGIMGEIPYGLPPNATHINMASNYLSQNIPHSLSNMKKLRHLNLSHNFLYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLMNLEKLFLQNNRFTGSVTYLGDLPLIYLNIQENLFSGILPLHFQSIPNLWIGSNMFHAVDGTPPWGFPLETVPVEHNTSRPPTIQANAIENYAPSKVRKNKKKMGPGGIAFIAGGGTLLVTGLALFIVISVNKLHTRKLKNFVFESNDHHSPFSTHSFPSHPFPSHRFPSQPISAAKEVSYAAQDDMLQIPPFNAASLLNPRRLPSQNHKRKGEASRRSFSERDKFTGRTKVYTVAEVQLVTNSFSKDNLLGVGSLGPVYRAELPDNKFLAVKNIQMAGLSFSEEEKFLDVVCTSSRLKHPNIVALKGYCLEHGQHLLVYDYVRNLTLDNALHSAAYKPLSWGLRLRISLSIAQALDYLHSTFSPPVAHGNLKAANILLDENLIPRVTDCGLAILKPLTNTTAKIRASEISIKDTGYNSPDHGQPGISNTKSDVFAFGVLLLELLSGRKPFESFMPKEEQYLANWASSRLHDSESLEQMVDPAIKRTFSSKALSRYADIISLCTQPVKEFRPPMSEIVDSLVSFMQKLSMSKSAGGVADGTEFDPLERSFRTTTSRFMASPSMSYVSV >CAK8571011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:261592683:261598022:-1 gene:gene-LATHSAT_LOCUS23710 transcript:rna-LATHSAT_LOCUS23710 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVNLIFAVFSATLISYGLAFTNPLDVTALQDLYRSLNNPQGLHGWNGGDPCEEYWTGVACSESSVIHLKLQGLNLTGYLGSSLYNLQHLKIFDISSNGIMGEIPYGLPPNATHMNLSHNFLYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLMNLEKLFLQNNRFTGSVTYLGDLPLIYLNIQENLFSGILPLHFQSIPNLWIGSNMFHAVDGTPPWGFPLETVPVEHNTSRPPTIQANAIENYAPSKVRKNKKKMGPGGIAFIAGGGTLLVTGLALFIVISVNKLHTRKLKNFVFESNDHHSPFSTHSFPSHPFPSHRFPSQPISAAKEVSYAAQDDMLQIPPFNAASLLNPRRLPSQNHKRKGEASRRSFSERDKFTGRTKVYTVAEVQLVTNSFSKDNLLGVGSLGPVYRAELPDNKFLAVKNIQMAGLSFSEEEKFLDVVCTSSRLKHPNIVALKGYCLEHGQHLLVYDYVRNLTLDNALHSAAYKPLSWGLRLRISLSIAQALDYLHSTFSPPVAHGNLKAANILLDENLIPRVTDCGLAILKPLTNTTAKIRASEISIKDTGYNSPDHGQPGISNTKSDVFAFGVLLLELLSGRKPFESFMPKEEQYLANWASSRLHDSESLEQMVDPAIKRTFSSKALSRYADIISLCTQPVKEFRPPMSEIVDSLVSFMQKLSMSKSAGGVADGTEFDPLERSFRTTTSRFMASPSMSYVSV >CAK8566970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:476877707:476879952:1 gene:gene-LATHSAT_LOCUS20064 transcript:rna-LATHSAT_LOCUS20064 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVVEGSNNGVGSNNGVGSNNGVGNSSSYNLQPSGIDNEDILFCIDIDPQSMVEMKGLTGPSERPLSRLDAIKQAIVLFVNAKLTINRQHRFAFATLSNSVSWLREEFSSKVRSTIAAMRELSATTSSSQPELTTLFQLAAHEAEKSRTQGRILRVILFYCRSTVRTQHQWPGNRRLFTLDIIYLHDKPGPDNCPQEVYDTLVDTLEHVTYYEGYIFESGQASARVLFRHVSVLLSHPQQRCIQDYINIPKPLAMNALRVEPMAD >CAK8532229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:224489757:224496240:-1 gene:gene-LATHSAT_LOCUS1959 transcript:rna-LATHSAT_LOCUS1959 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSKEHQVKLTESIRRNKHRRNQIKSQETRLDSMLVPYPSCKNSLVFPCRPGYGQLGTKCLIKANHFLVHVSVSDLSHYNVKITPEVSSSKTRRAVISELVRLHKNTELANRLPVYDGGINLYTAGLLPFVYKEFNVTLIEEDDYVTREREFKVAIKFATSVSMHQLRELLSGKKVETPQEVLTVFDIVLKEVAAQSYIPIGRFLYSPDVRKPQRLGGGIESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPVPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVDITHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGYTIKYPHLPCLQVGSPSKANYMPMEVCKIVRGQRYTKGLNEKQITSLLKFSCQRPREQETDILQTIQQNNYENNPFAKEFGISIDNTLASVEARVLPPPWLKYNDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESIAHVFCQQLAQKCRISGMEFNQEPVIPVYSARPDQVKKALKYVHTAAINKLDGKELELLIAILPDINGSLYGDLKRICETDLGLISQCCLAKYVFKTHRQYLANVALKLNVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHAESGDNNCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIKDLYKCWKDPQRGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLESSYQPPVTFVVVQKRHHTRLFPNNHDDNNSSDTSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARCYMEPDHPQIAKQRGARSKDRGVRPLPALKENVKNVMFYC >CAK8531513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118166954:118168944:1 gene:gene-LATHSAT_LOCUS1300 transcript:rna-LATHSAT_LOCUS1300 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETEIIYQQSIPVLDVKYHLCVSQENAVKVDVSPASNVVPIFNQLRVSSESISTETSLFESTASLSEKITDAIIEEAVAKFTPNVRSGSCADIGPRGSMDDDHIQIDDLAAHLGFVLKYPTPSAFYAVFDGHGGPDAAVFVKNNAMKLFFEDTTMLQSYATDALLMKRLEDSHRKAFLGADLAMADEQSISSSCGTTALTALILGRHLLVANAGDCRAVLCKRGVAVDMSHDHRPSYLPERKRVEDLGGYIDDGYLNGYLSVTRALGDWDLKLPLGSASPLTAEPDVQVVTLTEEDEFLIIGCDGIWDVMSSQEAVSLVRRGLRRHDDPQQCARELVKEALRLHTTDNLTVIVISLSPVESIVESCPPQRRRFRACSLSEEARDKLRSLLESCCSRVRAAISFGEGWWFRLF >CAK8531514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118166954:118168813:1 gene:gene-LATHSAT_LOCUS1300 transcript:rna-LATHSAT_LOCUS1300-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETEIIYQQSIPVLDVKYHLCVSQENAVKVDVSPASNVVPIFNQLRVSSESISTETSLFESTASLSEKITDAIIEEAVAKFTPNVRSGSCADIGPRGSMDDDHIQIDDLAAHLGFVLKYPTPSAFYAVFDGHGGPDAAVFVKNNAMKLFFEDTTMLQSYATDALLMKRLEDSHRKAFLGADLAMADEQSISSSCGTTALTALILGRHLLVANAGDCRAVLCKRGVAVDMSHDHRPSYLPERKRVEDLGGYIDDGYLNGYLSVTRALGDWDLKLPLGSASPLTAEPDVQVVTLTEEDEFLIIGCDGIWDVMSSQEAVSLVRRGLRRHDDPQQCARELVKEALRLHTTDNLTVIVISLSPVESIVESCPPQRRRFRACSLSEEARDKLRSLLESN >CAK8540896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30482878:30483668:-1 gene:gene-LATHSAT_LOCUS9854 transcript:rna-LATHSAT_LOCUS9854 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYEAYLGSPVQYRWMYPFEIFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSISALPGRPYGKENVHWLTQKELQSAHFHVLINRIEVRPYLEAFNASYFQSTGEQAISGHIRACFPAWFKDQLSCIVAPTQEILHLRNISKEPAQRAIEWHTYFVNNYKFHTQAWTEGKKTINSGVFVKGVTYGGEDDLYHYARNEI >CAK8574954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17655052:17655874:1 gene:gene-LATHSAT_LOCUS27251 transcript:rna-LATHSAT_LOCUS27251 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQLLGVRGSPFVQRVQYALRLKGIEYTFIEENLRNKSELLLKYNPIHKKVPVFVHNGKPLSESLLIIEYIDETWENYHIFPQQAYEKASARFWSKFIDDKILPAISKAAWTVNKEEREKGTEEALQALQFLENELKSKFFGGENINIVDIAASYLAFWLPAIEEAVGLKLLSGDKFPKLHKWSQEFINQPIVKEILPSKEGLLGFFKSRYAAISAAK >CAK8542229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491819541:491821013:-1 gene:gene-LATHSAT_LOCUS11084 transcript:rna-LATHSAT_LOCUS11084 gene_biotype:protein_coding transcript_biotype:protein_coding MHILGTNRFCIDSTLLIGTHCNNLLCKIYDPNYSISNSATKLYPIRNKRNLLWKKPSDFDIHKRHFDSVLVGVSTEGIVEQVVEGNQKRFRWNEIRDGITEEQKLAISKLPFKMVKRCKAVMRQIICFSEEKGKLCDVLGAWVKIMMPTRADWLSVLKELKNIDHPLYLEVAEHALIEESFEPNLRDYTKLIHYYSKANQLEAAENIFMLMKERGFICDQVILTTMVHMYSKAGHLERAEEYYEEIKLLGEPLDKRSYGSMIMAYIRAGMPEKGESLLEEMDAQEIYAGSEVYKALLRAYSGIGNAEGAQRVFDAIQLAGIIPDDKMCSLLIYAYGMAGQSEKARIAFENMRRVGIEPTDKCISSVLVAYEKENMLNTALEFLMDLEKDGIMVEEETSRILAGWFRKLGVVEEVELVLRDFATTTGHQRS >CAK8568169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:579517522:579523642:-1 gene:gene-LATHSAT_LOCUS21158 transcript:rna-LATHSAT_LOCUS21158 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQPRKPLKQEDESVLSAKAEKLRALQSQFFANHRNRIYTQEALDLSAKLLEGNPECYTAWNYRKLAFQHNLSRPDPDYVAYLFDQELKLVENALRKNCKSYGAWYHRKWVLSKGHSSIDNELRLLNGFQKADSRNFHAWNYRRFVTSLMKRSDEDELIYTEEVIGENFSNYSAWHNRSVLLSNLFKRKAEEFPLEEKVLQEYEHVRSAIFTDPADQSGWFYHLWLIDLTVKNYAPLLVSSWPSHGSNITLNGNNCIDGCGLSLLNSTLSDTRTLPLVLYFNQAVEGVNLSTVAVKSELLKEDLVWKPLLTSNSSTAQVWGAYLNLGNMELEQSKTYSIEIAIGHSMGIVSSNGYQYGDPSQIAFKLCVQTASTKPVEEQGGKLTSWKDNNFQKIDHFEDSDSIVSADPHIPTTSFWCMKAIEEEITNFQDLLSEDECKIGKLSLARLLTALDLLTHQHDKSKNHTEEVLKLYTDLMELDPTHSLYYKDEHSLVLLQQITSSRESLLPYCHYYKDATEANTSYVCLRLQNLSLSRMGSIENLLWVQMLDLSHNELRSIEGLEAMQLLSSLNLSHNKFGSFTALEPLRSLKSLKVLDISYNELGSHSIDTRRYLCSSPLANTEAFAWDRFEILCGSFSSTKFWEAFLIFESLNLTDLNVTGNAVADENFTSFIVKVLPTLKWLNSEELS >CAK8571445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:420165202:420166712:1 gene:gene-LATHSAT_LOCUS24111 transcript:rna-LATHSAT_LOCUS24111 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKMKHNNIVKKFISKFLILVTILLVSTKWTIAEEVEDEREFDYTKGSKKGPPYWGELKKEWAACKNGKMQSPIALTNDNLKIVPNLGKLKKNYMSENATLLNRGHDIQVKWLGEAGSIKINGTEFFLHQAHWHSPSEHIIDGSRYEVELHLMHRSLIVHEKYKTAIIGVLYKFGPPDPMLTKLSKHIQAVGNNISEMDIGEVNPSEFVCEGDEYYRYVGSLTVPPCTEGVIWTINKKVGTVSEDQVSLLRKAVQDHATKNARPLQPRNGRDILYYDPKEK >CAK8563269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588278023:588279394:-1 gene:gene-LATHSAT_LOCUS16697 transcript:rna-LATHSAT_LOCUS16697 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPYSLPPERRLPREETLRHCLQIGVKALKSNMTPLDVVELVVRELENIPHFNAGRGSVLTNKGTVEMEASIMDGNTMKCGAVSGLTTVVNAVSLARLVMDRTPHIYLAFDGAEEFARQQGVETLDSSHFITPENIERLNQAKDANRVQIDYTQPSQKDTIKNETETSLANGDSQIGTVGCVAVDSNGNLASATSTGGLVNKMAGRIGDTPVIGAGTYANEFCAVSATGIGEAIIRATVARDVAAMMEFKGLSLKEAADCVVHERTPKGAVGLVAVSASGEVAMSYNTTGMFRACATEDGYSEVAIWPNTKVE >CAK8539298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508621023:508622309:-1 gene:gene-LATHSAT_LOCUS8407 transcript:rna-LATHSAT_LOCUS8407 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMDYFHLSGPLHLTYVNWDYAYHRKSVAASLVQGVYVLEKDRQGRRKGPDSLAFPWWTFFHFQLIHTLVDDVDNSIFGAIYEFKLPPAMCHDALHRSPRYVIAFRGTITNADTVSRDVELDVKFLKNGLHRCSRSEIAVEAVRNMVASIGGNGSNIWLAGHSLGSGMALLAGKAMAKNGILIESFLFNPPFASAPIERIRSKKTKHRLRIASSVITAGLAIAMNSDKKSSSCDSFAALSAWIPCLFVNPSDYICSEYVGYFEHRRKMEEIGAGSIEKLATQNSLGSLMMSAFGKESEPLHLIPSAILTVNYTPTQSFKEAHGIHQWWKPDLHLESKLHKY >CAK8530255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10491129:10492882:-1 gene:gene-LATHSAT_LOCUS135 transcript:rna-LATHSAT_LOCUS135 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFSTSAEKEIVRDVKEKLAYVAIDFEQEMETTKSSSAVEKSYELPDGQVITIGSERFRCPEVLFQPSLIGMEATGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >CAK8532185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216735360:216736580:1 gene:gene-LATHSAT_LOCUS1916 transcript:rna-LATHSAT_LOCUS1916 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPASSSKNEDIASSSSNKNTSYKEVAVSNPSQEQVDYFENPITEKIMYIDKEDIKINPNDGWSIKTRYHESRGYPGLHGKSRPHLEILLTITESVTITHHYQNNNLESFINFSKCHINKILLPREWGLNPNGENAIRIVEGNYIYFNYWDYVQTFTQTFYYQNPKNKHSWFFSINPEMINKLIPNWFYEWWTKFGPSLEILPKELLDLYNPWCDNSPLIINILSDNLITGQCPFWFFTKFQIPWIWRQSITISQDKFNIPILERNFFYKWWNKMSSEEIHNKIILIQATIAEDQNKKFKEQSSQQMSMGNLKNFFQKKYPNESEEIMVRTLDHMKNQFFSTFPTKTSKDENSSMKTSSSMGSIDSNNFDCLVGEAQANDPTPEDFWDAMIQSMAHKAKDKAKR >CAK8568742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638297908:638298945:1 gene:gene-LATHSAT_LOCUS21673 transcript:rna-LATHSAT_LOCUS21673 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEGENEYNKEHEELIPGLPDEIAEICLLRVPYPYLPLVRCVSSSWNRAISNPSFLLSKKNHSNYPHLFVLGFHTLTSKLQWQSLDLFSNRWFILPQMPLPNDTVCSTSFASASLPSQGKIFVMRGTSTVVYRTAVNQWSTASKMISEKSYFAAEELNGKIVTVGGSGTEIYDPESDTWRRGAKFPGELERYETVVNGGKMYVTEGWWWPFAVRPRGWVYELENDTWREMREGMKDGWAGESVSVCGRLFMIPDVDLPMKVYDDETDTWRCVSGERLPRDKVKKPFVARGLGDRIYVASLGLKVVVGTVVVDDNYGVKVTWQVVDAPEAFGEFSPCSCQVVYA >CAK8570899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:159512861:159514262:-1 gene:gene-LATHSAT_LOCUS23610 transcript:rna-LATHSAT_LOCUS23610 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDKDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVVASLHGFGEDSWAMVRRDLGLEIIHNERSSLYANLFTDQLVVVRESLMIEEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSLNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPTHYTL >CAK8561963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418772152:418776857:-1 gene:gene-LATHSAT_LOCUS15505 transcript:rna-LATHSAT_LOCUS15505-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSQTHPLLTPLNNSQQDQTNTTAVFTAKSDDIPPITGADTFAREFLNESKKLWYLAGPAIFTSISQYSLGAVTQVFAGQVGTLQLAAVSIENSVIAGFCLGITLGMGSALETLCGQAFGAGKLDMLGIYMQRSWLILNATAIILSLLYIFAEPLLKLIGQTTAISEAAGLFTIWMIPQLFAYAMNFPVQKFLQAQSKIMAMAWISAAALVGHTFLSWFLMLHLGWGLVGAAVVLNSSWWFIVVAQIVYVLSGSCGEAWSGFSFQAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLENAEVSVDALSICSNILGWTVMASFGMNAAVSVRVSNELGASHPRAAKFSLTVAVISSFLIGLILSMILIIFREQYPILFSNDPEVRKAVIELTPMLALCIVINNIQPVLSGVAIGAGWQAVVAYVNIACYYLFGIPLGLFFGFFLDFGVLGIWSGMLTGTVLQTLVLFYMVYRTDWNNEASLAEERISKWGGLDLKMNDNGENGQTIETSANSRS >CAK8561962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:418772152:418776860:-1 gene:gene-LATHSAT_LOCUS15505 transcript:rna-LATHSAT_LOCUS15505 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSQTHPLLTPLNNSQQDQTNTTAVFTAKSDDIPPITGADTFAREFLNESKKLWYLAGPAIFTSISQYSLGAVTQVFAGQVGTLQLAAVSIENSVIAGFCLGITLGMGSALETLCGQAFGAGKLDMLGIYMQRSWLILNATAIILSLLYIFAEPLLKLIGQTTAISEAAGLFTIWMIPQLFAYAMNFPVQKFLQAQSKIMAMAWISAAALVGHTFLSWFLMLHLGWGLVGAAVVLNSSWWFIVVAQIVYVLSGSCGEAWSGFSFQAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLENAEVSVDALSICSNILGWTVMASFGMNAAVSVRVSNELGASHPRAAKFSLTVAVISSFLIGLILSMILIIFREQYPILFSNDPEVRKAVIELTPMLALCIVINNIQPVLSGVAIGAGWQAVVAYVNIACYYLFGIPLGLFFGFFLDFGVLGIWSGMLTGTVLQTLVLFYMVYRTDWNNEASLAEERISKWGGLDLKMNDNGENGQTIETSANSRS >CAK8534680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754761973:754762339:1 gene:gene-LATHSAT_LOCUS4203 transcript:rna-LATHSAT_LOCUS4203 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIFSSLSFTCNKTLFPKNGSLSLPLWNSNFHRPIQCKGTTYEAANIQNQTVARPSFTFQPSIWNNDYIQSLSSEYKKICMQRNAKC >CAK8538673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:492457115:492457578:1 gene:gene-LATHSAT_LOCUS7848 transcript:rna-LATHSAT_LOCUS7848 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDYSFFKLFILFSSTPTTAPAIILWNCLIDTYDPHSSSRIDTNFKNLKHQKSFVEVVNNIYDIPLIQLPNPYVKGDRIPISVSKDEYQLGLEDCKHDLHGRVIWLKGTSPLTVAALKNKALFLLEINRECR >CAK8534163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:701412911:701413811:1 gene:gene-LATHSAT_LOCUS3730 transcript:rna-LATHSAT_LOCUS3730 gene_biotype:protein_coding transcript_biotype:protein_coding MFIETRKSRKGKQVDEETQFVIDKLQESIETSTEAGTQTFQSLLEKEKPGRVRCYGRTVTPSLLKKNEEISLIKMQYDGKIFDMTQKMGAMEVLLKSMYMQQNPHLSEEEVNDKMREALHNDNIPTPRSSTSTYTPAHQKVRNEDDPQDEQDGVLQDDDDLQDDDDLHYDLDDDLQYDQDDDLQYDQVDGSQDDDSHDSQYNEYDEDLH >CAK8539652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519861359:519861859:1 gene:gene-LATHSAT_LOCUS8730 transcript:rna-LATHSAT_LOCUS8730 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGNTWMRWMEACIFKIHVSILFNDNLTKDFEVGIGLRKGNPLSPFLFVLVVEGLSSLIYASKRGDFESFRVDEGLSVEIIQFVDDTLIIGERGWKNLWSIKAILRGFDLVSVFSVNFHESMLIGINVSNHFLVVASNFLSCKIEEPSFNFLGIPIGSNPRGIKS >CAK8544531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690809223:690811013:1 gene:gene-LATHSAT_LOCUS13201 transcript:rna-LATHSAT_LOCUS13201 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVPFSISLLFWICIFSSSSAVTLSGGCNKPAILFVFGDSNSDTGGLVSGLGFPVNLPNGRTFFHRSTGRLSDGRLIIDFLCQSLNTRFLTPYLDSLSGSTFTNGANFAVVGSSTLPKYVPFALNIQVMQFQHFKSRSLQLATSGTKNRITEEGFRDALYLIDIGQNDLADSFTKNLSYVQVIKKIPTIITEIEKAVKSLYNEGGRKFWLHNTGPFGCLPKFIAMSDKKDLDSLGCISSYNSAARLFNEALYHSSQKLRTELKDATLVYVDIYAIKNDLIANATKYGFSNPLLVCCGYGGPPYNFDVRVTCGQPGYQVCDEGSRLVSWDGIHYTEAANTWIASKILSTAYSTPRLPFGFFCRH >CAK8570997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:244205085:244207266:1 gene:gene-LATHSAT_LOCUS23700 transcript:rna-LATHSAT_LOCUS23700 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGAEEDFNGLAANHYSAAAIGANAYGAGAGGGGGDRGEPRSNIVKSGGPQKALEIEIPEFKLNELKRLTENFGTKALIGEGSYGRVFRAKMSDGVEAAIKKLDTSSSPEVDSDFESQLAIVSRLKNEHFVALMGYCLEANNRILVYEYASLGSLHDTLHGRKGVQGAEPGPVLNWNERVKIAFGAAKGLEFLHEKVQPSIVHRDVRSSNVLLFNDYEAKVADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPSSNA >CAK8568447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606681997:606682323:1 gene:gene-LATHSAT_LOCUS21403 transcript:rna-LATHSAT_LOCUS21403 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQDTGREQFTHLRSYGEDLLKSNPNSNVKIKCADSDGGHVFERIYVCLKACKATFATTCRPLIGLDACFLKGDFGGQLIGVVGKDENNKIYSIAYVVVEAETKDY >CAK8541115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:67962480:67963127:1 gene:gene-LATHSAT_LOCUS10065 transcript:rna-LATHSAT_LOCUS10065 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLDLQKAYDMVNWQALECILREIDLPRRFVGWIMKATTTVSYRFNVNGEFTDVLQAKRGIRQGDPISPMIFVSMMEYMNMLMVKMQLNPNFNHHINCEKLALTHLTFADDVLLFCRGDVGSVELSLKKFYDSAGLIINPSKCKLFCGGLDAYTIQEIKIVSGFDEGPLPVRYLGVPLLSKKLNVSHYLPLVERIVCRIRHWSVSCLALLVGFS >CAK8539987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534198129:534201327:1 gene:gene-LATHSAT_LOCUS9031 transcript:rna-LATHSAT_LOCUS9031-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESTFPTAQTPDDQITTVTAPLEASDPILERLKSLKITPPVLTSPPTEGTLTDILVRRPSSSPATVNPKVLLELFSMYRDWQEERVQEISKKQEEIENKIEVADALAIKLLQRYNHSTSTMKTASQHLSGVHSLQVEIGRLKGRLTEVISNCDALCKRIAAEGPEPLRSSIKPFAIAKANQEICSSSTNLQNVTKTSPPSAE >CAK8539988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:534198129:534201327:1 gene:gene-LATHSAT_LOCUS9031 transcript:rna-LATHSAT_LOCUS9031 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESTFPTAQTPDDQITTVTAPLEASDPILERLKSLKITPPVLTSPPTEGTLTDILVRRPSSSPGMATVNPKVLLELFSMYRDWQEERVQEISKKQEEIENKIEVADALAIKLLQRYNHSTSTMKTASQHLSGVHSLQVEIGRLKGRLTEVISNCDALCKRIAAEGPEPLRSSIKPFAIAKANQEICSSSTNLQNVTKTSPPSAE >CAK8572705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:557217396:557225509:1 gene:gene-LATHSAT_LOCUS25238 transcript:rna-LATHSAT_LOCUS25238 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGITGAALPNLYSTVIPNRRLSVSFASASPSGPKTVEVTAPKIEYKPTVLDDLFLNLFRNKLVQEVGWDSKKSGYDGLIEVANRLMMKGTTNSHTIEATVRILRSLFPPFLLELYKMLIAPLGGGKVAAIMVARVTALTCQWLMGPCKVNSVDLLDGNSCNSGVYVERCKYLEESKCVGICLNTCKFPTQTFFKDHMGVPLLMEPNFADYSCQFKFGVLPPLPEDDAVLKEPCLEACPIASQRRMAARNIGATACPKT >CAK8575656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:237110983:237111216:1 gene:gene-LATHSAT_LOCUS27899 transcript:rna-LATHSAT_LOCUS27899 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPALQQPSQAEDPNGVERHSSSQWRVFFLIFHKVARKSYSTLERIVTDGEIRKRKYSPIAKKRRTWAMTLRSLSG >CAK8530479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23643258:23643737:1 gene:gene-LATHSAT_LOCUS340 transcript:rna-LATHSAT_LOCUS340 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTTFLLFTTLILLASSSFATRSVSSGSSPSSPSTQTKNSNPNPKDNSNNNNQGGGIPGGVFGPGGGFNIPGFGNGFGNGFGGGYGSGYGGPNGGHSKNGIIRPTVTCTEKGPCYQKKLTCPAKCFSSFSHSGKGYGGGGGGGGCTIDCKKKCSAYC >CAK8537927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448172409:448172737:-1 gene:gene-LATHSAT_LOCUS7175 transcript:rna-LATHSAT_LOCUS7175 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIEFYLVLFMVTFATVLSPTVAKLTPNYYDRICPKALPIISLLLSKQFTASHELEHHCCVCISTIASSTGVMHQFY >CAK8573990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652614836:652617393:-1 gene:gene-LATHSAT_LOCUS26383 transcript:rna-LATHSAT_LOCUS26383 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGVHTIRSHGVRVARIHMHDWLILLLLVVIDAVLNIIEPFHRFVGEGMLTDLRYPLKDNTIPFWAVPVIAVLLPLVIFLVYYFIRNDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPNGTGVFEKVTGDVLCNGDSSVIKEGHKSFPSGHTSWSFAGLVYLSWYLSGKVRVFDRNGHIAKLCLVLLPVLMAALIAVSRVDDYWHHWQDVFAGGLIGTTIASFCYLQFFPPPYDTDGWGPHAYFQMLAETRNVQPPVNNDTQLAQSAELQAVSVYIPSQHDGDARGNSWDSSPMLGGGLAQNARMH >CAK8532643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:338843011:338843313:1 gene:gene-LATHSAT_LOCUS2326 transcript:rna-LATHSAT_LOCUS2326 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRNIRDHKRRLLAAKYELRRKLYKAFCKDSELPSDMYDKLRYKLSKLPRNSSFARVRNRFISTGRPHSVYEFFQISRIVFRGLASRGPLMGIKKSSW >CAK8538594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488207573:488208935:1 gene:gene-LATHSAT_LOCUS7775 transcript:rna-LATHSAT_LOCUS7775 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKRSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSGNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8544244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673775819:673777758:1 gene:gene-LATHSAT_LOCUS12942 transcript:rna-LATHSAT_LOCUS12942 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVMVSGGCGVKGRRGGRDGSGEEVSPAALMLAALKKSMVACSVESPDDVISAVHHPMEIGWPTNVKHVSHVTFDRFNGFLGLPLELEVHVPAPVPSASVSVFGVSAESMQCSYDSKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENGEEEHLREQLNSGIVPNDIDVHCLAGLIKAWFRELPSGVLDGLSPEEVLECNTEEESVELVKELKPVESALLSWAIDLMADVVVESEYNKMDARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLRDREETSTEEYSSMSSHSSDHQSEVDDYDSQHEMDTSGECKRAKLDYDDHADYSHNIQEAACNYNVESVISVTDTKTEDSCLSSSDRDDSTTTEGSNDDTTSIPSIESKETNDVEMVNKCGDSVSSS >CAK8542263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:495118048:495118773:1 gene:gene-LATHSAT_LOCUS11112 transcript:rna-LATHSAT_LOCUS11112 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYLTALVLLTTISATAAATGGYKNHTVGGTSGWFFNSTTNTPATNYSSWASTQTFNLGDYLIFNTNSNQSVILTYNNTVYLNCTADDSDTGTFIYSSGPDSFNQALTIPVPLTIVGPNYFFSDTSDGVQCQHGLAFEIQVQRGLGLPPSLNQPPPPPYKEPPGPDNAQSPSVTVADMPKNDAFSKRADVRVGVYGLGAALVLLQFW >CAK8571083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:285750740:285751084:-1 gene:gene-LATHSAT_LOCUS23776 transcript:rna-LATHSAT_LOCUS23776 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTGFVGKWLCMRLLRFVLQSALHFGISPLGVCIWSLEISRPTFVRTKSWSSRCESRSLANGLQHEW >CAK8537325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:265177198:265177887:-1 gene:gene-LATHSAT_LOCUS6622 transcript:rna-LATHSAT_LOCUS6622 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQGLFSTLKDDLMKGLLPASGGSPISTLLRRRKKDHVPPLDLLFAERCHSRPQVEAMSPLKEGPEETECSFSISSSSDLRLLLGVLAAPLAPLRVSTTEPFPHLAIKDIPIETSSAQYILQQYIAASGELKLQDSIYDAYAMGNVRMIASEFETANTMHKFQLKKIVHSEDRMQKHAPPASKPQRCAPKPAPPSIIMSNQAVTGPYNLSLFPKLSIVVWSHIPTCT >CAK8570192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28172042:28175672:1 gene:gene-LATHSAT_LOCUS22965 transcript:rna-LATHSAT_LOCUS22965 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSHLNTNTDTTAILSVAAGAKTGRKGRFSLMAAAQTNSTPAVVFTALAGVAIVAVIFYGASRKRKHALSPQQWKMLFTEDGRLRDGGIKLLKRVRSGGVGPRIRAEVWPFLLGVYNFNSTKGERDALRTQNRKEYEKLRRQCRKLVKASNETRKLNEIGEISYEGGDGSLVQDSGSSSSDDAASAREFLSSQDRSPDAESSDYPFIVSLEGDDYVNVNKADASLLDSDFSESPEVIQTVPPDDDQEDNNPMKTTKDSADGPEVIQTTPPDDVQEDNNPMKTTTDSAEGPEVIQTAPPGDVQEDNNPMKITKDSAEGPEVIQTAPPDDVQEDNNPVKATKEVSSLSQEKVPLKPPTNEDFSTWQRIIRLDALRANADWMSHYPSQAAVSDSVARRTAEAVGLEDYGHLEAGRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSIISEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLELVAKIIKYKDAHLFKHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAVRAGIGISTWNKVRKRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIIRECNSMSGQLDVLKLLDDAHNLVITLHDKIQT >CAK8571211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:338236547:338238391:-1 gene:gene-LATHSAT_LOCUS23895 transcript:rna-LATHSAT_LOCUS23895 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSCSLDWSLPCTKWYYTRATFNFHAFIIPICHFPSFNSISTSNPKLFHANFSASSHTPILEEPSSNTPLILLDVNFSDPNNYSKPEIGQNLNDFLCGLFQDPNKYELAFDYYQRLKERPEFRPKKSTLNHVIRYLLRFKKWEFFSSVSEDFKVYHVFPDVATCSRLISFCIKNRKFKIAESFVDAFSSSGEIGVLAFGSAFESYNKLHMFRRTILVFEKMICNGVVMDSRCYLHVMEAYSRIGNCNRVVELFNEFESKKLSDSNRYLGQIYGVLCESLGKSRRAFEALEYFRDMTKKGISEYSIYSTLICSFASLREVEVAEKLVTEAKSKTTIRDPDVYLKLVLMYVEESLLDKTLEVVEAMKNANVKVSDCVLCAIINGFSKRRGFLSSVNVYEKLISKGYEPGQVTYASIINAYCRLGQYIKAENVFAEMIQKGFDKCVVAYSSMIVMYGKTGRLRNAMKLVAKMKEKGCKPNVWIYNSLIDMHGKEKNLRQIEKLWNEMKRRKVAPDKITYTSIIGAYFKAKEFDKCIKIYNEYRLNKGVIDKAMAGTMIGVYSNVGMVDELAKLLQDMKMEGTGLDQRLYQSAWNAFTDAGMELQTKWMKESFHVT >CAK8543365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603057296:603057613:1 gene:gene-LATHSAT_LOCUS12127 transcript:rna-LATHSAT_LOCUS12127 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNQINTQFQTPNQPLPNQTFTPMSPYDQAGYRPDIASSSQPPQNNYEGMGNPFDFDDFTDMDTSTWAEVMQLLDDNTVDPTPPQRPPRNVRNRECGTGGHLN >CAK8569356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696122905:696123864:-1 gene:gene-LATHSAT_LOCUS22217 transcript:rna-LATHSAT_LOCUS22217 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYDDYDSNEVRCSDSDTSDVQSNDAQEHSYSVSNGYQSLGDGKGDDNIHNVVELDAIVGDRVVNINFINADEIRATEFGIVDEAFEFYYRYGKCKDFSIRNSDVRTRRPDGSKITVMRLFVYNKHGLREKKHLCRVDRKRYHRRLTRTNCPARIRVHYKANKDRYVVLVFEEVHNYELTLSRFMNLHPIYRETYEADRAQIDGLQSHGIRTCHIMGYIVAQKGGYAGYGFKKKDLYIYFDKKMCVTIKDGDVAGSLNYLNVKSSTDMTLYVEYAVNNDGRMKSLFWVDGAICLTIFVLAMWLRSTQYTRRTNTTTLW >CAK8562408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:486255985:486257181:1 gene:gene-LATHSAT_LOCUS15906 transcript:rna-LATHSAT_LOCUS15906 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPARAHLAGLKRLSARAASIAATTTVRNGLLSFSPLADKIITNLRNSGIQVQQGLSDAEFARIEAEFGFVFPPDLRAVLTAGLPVGAGFPDWRATGSRLHLRATLDLPMAAISFQIARNTMWARCWGPKPSEPEKALRVARNALKKAPLLIPIFNHCYIPCNPSLAGNPVFYVDENRIFCCGIDLSDFFQRESPNRGSEVRSGPVILKKQRSVTEKSVSNVCAEVNFTRRSLDAGGRTPRWVEFWSDAAVDRRRRSSSSRAESPERFFDIRKFEVPKWVEKYVEEIGSVLRKGGWSEPDITEMVEASGSGFFEGDMVMLDNQAVLDALLLKVNRFSDSLRKSGWSSEEVSEALGFDFRPEKERKPVKKLSPELVHSIEKLVGSVSNS >CAK8562101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440674312:440675019:1 gene:gene-LATHSAT_LOCUS15627 transcript:rna-LATHSAT_LOCUS15627 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEKLSEDMLKKLKSLIAKLFEHYLFLYPVSHDGGSNASSSNIASHSRIENGEDDEDWDNLFRMNMKKKHCEVQKNELEGYLEDGVEDDSPTFNILTWWKGKTNKYHVLSRIARDILAIPVSTVSSESAFSTGGRVLDSFRSSLNPSTVEALICTQNWIKSPKVIDLEKELVELEKVESELAGLVSIDVGITAVELNTTVTTRF >CAK8562286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466398181:466400459:-1 gene:gene-LATHSAT_LOCUS15794 transcript:rna-LATHSAT_LOCUS15794 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNQGDKEAQKTSLNKYALACALVASMVSIVSGYDTGVMSGAMIFIKEDLGISDTQQEILAGILNVCALVGSLTAGRTSDYIGRRYTIFLASILFIIGAILMGYGPNYAILMVGRCICGLGVGFALMVAPVYSAEISSAASRGFLTSLPEICIALGIFLGYISNYLLGKYFSLKLGWRLMLGIAAIPSLFVAFVILSMPESPRWLVMQGQLGKAKKVLLRVSNTTQEAELRFKDIKIAAGLDENCTDETVEVTQKSQGEGVWRELLIRPTPSVRWTLIAAVGIHFFEHATGIEAVMLYSPRIFRKAGVTSKEKLLLATIGVGLTKIIFLLVALVLLDKVGRRRLLQVSVGGMIIGLTTLGFSLTMVEHAHEKLSWALTLSIMATYVYVGFFNVGLAPVTWVYSSEIFPLRLRAQGASIGVAVNRSMNALVSMTFISIYKAITIGGSFFMFAGLSVVAWIFFYFYLPETKGVALEEMEMLFTKKSKEKNVAMVTAPMHSV >CAK8562103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:440710193:440715840:1 gene:gene-LATHSAT_LOCUS15629 transcript:rna-LATHSAT_LOCUS15629 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRNCVVVLSSDEELDTPKTRSSSSARTNPKPKPKSSSTGSRGRKKARVFSSAPRLSKLHEINLFDDDFNEVFTRSKVFAGTQQNTAEELWVDKYKPRSLEELSVHKKKVEEVKTWFEERLKPSKGVYRNNVLVVSGQAGVGKSVAIHVIASHLGATVCGWNTPTPVIWQEHLYNSGTATKYTSKLDEFVSFVERIRKYGLIPTSFTGESKPSIIFLIDDLPMMHSKAALGRLKDCLHLLVHTTQIPTAILVTDYGNADSADYNARSIEELKISLERSGACKVAFNPITVNSIKKVLFRICQIEQCDVTADHVDLIAKASGGDIRHAITSLQFFCVNPNQVHCLSLSTRTPHALKEESNKPVELDDGSSLYFGRDETVSLFHALGKFLHNKRETGVAAEYDQNSFLIQERFSRLPLKMDVPEKILCQSHVQPGPVADFLHENVLDFLNDEAVEDAWILSSYLGDADILLAKLRGMLSSYNEAESILQSAAASIAVRGVLFGNSQPISSRWHAIRRPKLWQVQKESSYYKNEMFRQRIPACNQLSSYHMSVIATEYTPMFKLLGNRVGSGYNELDQESAQNLDMEDFDTDKMSLDGEAMEISDDDIEDW >CAK8578296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618131606:618134415:-1 gene:gene-LATHSAT_LOCUS30321 transcript:rna-LATHSAT_LOCUS30321 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVDFEPQIQHHPRIFFPNLLSPHECTELEFIHKCSSTVGYRPNVFSTTLSHLIATNSSQFIIPFIPIRERLKDKLEEFFKCEFELFIEFTGLISWSRGASIGWHSDDNRPYLKQRHFSVVCYLNTYGKDFNGGLFHFQDGEPATIIPTAGDVVMYTADDRNIHSVDEITDGERLTLALWFSRDGSSDEDTKLVSLLSQHLLYQNMASPLLPLPASSNMYWFSQDQASNDKFGFNICWARLHVLGYDIYFSQDTSCDSDVSELMVKPVHLVRGAELLDHKFANILHALQVVQFFCWKESDLQTNMSNIDCKVVKLSDAQREKINGLINDVDLASRIFFRRPSHSKENGSVFSNWTGIMAAIAAWEDYVLKLNKQIHLQLPYWRMQESLYNVQLDA >CAK8543410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606418510:606418899:-1 gene:gene-LATHSAT_LOCUS12167 transcript:rna-LATHSAT_LOCUS12167 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKKMPPEFSIPLSSVSVRSIFLLILLLVIFLLWLRISPQMDFEPFWIFLLLAHSLCSMKHLNISRKRGQEGTLLVAEEQ >CAK8574658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5803177:5805046:-1 gene:gene-LATHSAT_LOCUS26983 transcript:rna-LATHSAT_LOCUS26983 gene_biotype:protein_coding transcript_biotype:protein_coding MTENWNQTALLVIDMQKDFIEDGSPMLVQGGKDIVPNVIKAVEVARKRGILVVWVVREHDPLGRDVELFRRHRYRTGKVGPTAKGSEGAELVDGLVIREGDFKLVKTRFSAFFSTHLHSVLQREGINSLVITGVQTPNCIRQTVFDAVALDYQHVTVLVDATAAATPDIHLANVYDMKNIGVATPTLQEWSEIKA >CAK8575741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:297096571:297096960:1 gene:gene-LATHSAT_LOCUS27977 transcript:rna-LATHSAT_LOCUS27977 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCVVRKEEDSMIIRSPEPKVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISQKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRSKTF >CAK8571913.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492599069:492601187:1 gene:gene-LATHSAT_LOCUS24536 transcript:rna-LATHSAT_LOCUS24536 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLASSSPTLLFSATSSNLLPISNSSTSRLSFSSSLQSSSLSISSTFSSHLSLTSKRFANHATFNISASAAEKKKVLIINTNSGGHAVIGFYFAKELLGAGHSVTILTVGDESSDKMKKPPFNRFSEIVSAGGSTVWGSPADVGSVVGGEAFDVVLDNNGKDLDASRPVIDWAKSAGAKQFLFISSAGIYKPTDEPPHVEGDAVKADAGHVGVEKYIEETFDSWAVFRPQYMIGSGNNKDCEEWFFDRIVRDRPVLIPGSGLQLTNISHVRDLSSMLTLAVENPDAASHNIFNIVSDRAVTLNGIAKLCAQAAGHPVNIVHYDPKAIGIDAKKAFPFRITHFYAEPRAAKSKLGWSSTTNLPEDLKERFEEYVKIGRDKKTIQFELDDKIIEALKVPVSV >CAK8562385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:480925268:480925792:1 gene:gene-LATHSAT_LOCUS15886 transcript:rna-LATHSAT_LOCUS15886 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEFVASKMVEEDLEVEIEGEDVDNEMKYREASLMMYVIGKDLSMNDVKQFMTRFWNFIKLSEMFYHEEWYFIIRFKSFASKETVQMKGPYTIYNMPMILKDWSQDFNFKRDMLRTLPIWVTLPHIPLHLWGPKSLSKIGSVLGNPLFTNECTTNKLRISNARILVEIDITQK >CAK8541424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162184337:162185688:1 gene:gene-LATHSAT_LOCUS10344 transcript:rna-LATHSAT_LOCUS10344 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSSLPDPILCHILSFLQTKQSVATSILSKMWNNLWLSVPTLCFDETVKDQITSVKFNDFVYSVLLSRDATLPIKSFHFNVSYSYDLPSPIISINKWVNFVVQRKLEDLYLRVKSVERPELPITILTCKTLVVLKLSRFAVKEGCSVALPSLKTLHLKYIWFSKLRDFMLFVTGCPILEDLLTRVTFGSDESLNCNEWDSFCLSNLTTGYIHCTYSYFPLQAFYNVSSLRFQAVQVHYRNDLIPTFHNLTQLQLNIIRYSCQFLVEVLHHCPELRKLDLRQANLDKIRNRKTDRENWVDPDVVPQCLSLNLRTCNLLNFFGLPDELMLARYILKSASILETMTIWNCGHSKNKKIISSYPRASSTCKLTVIYLATSFQSFI >CAK8567580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:526797313:526797673:-1 gene:gene-LATHSAT_LOCUS20621 transcript:rna-LATHSAT_LOCUS20621 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLIANHYNVVLVCLGFECWTFFPMTTSFSPNVSFYYIGFVNTNHWVNMKEGFPLLPMTLDWKKFHSPAATSWMLGFAGHLQYW >CAK8576840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:518643545:518654682:-1 gene:gene-LATHSAT_LOCUS29003 transcript:rna-LATHSAT_LOCUS29003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRAANKAKANGHLRLGDLVLAKVKGFPPWPAKISRPEEWEKAPDPKKYFVQFFGTKEIAFVAPADIQVFTSDCKIKLSTRLHGKTRYFTQAVREICAAFDEIQKQKASGDDTDDSQIDSEAPPVDETVSNPKDTTDAVMSHAEKDNIYVGNVDSNLEDCLLKTGERVSLDEKPTKSGYPNESSSVSSPLVKSKLSTGPEIKKNSIKPTLKGASNVNDFGQHDNENSVLTNGSKPRKLVTGSKRRLEVADDINKNGGSSTGTLLKVGKSTGSADLSRSGETFKSGKKGKDASAVKLNSPETFKPDSNGSTGEKGKNLISKKASLEVKNELQEADGKNSSMGKNNQVHAKHCVGANESLHATKKLKHMETRDDLTSGHIPKDVKSALPCSTFVEDKSSKIELKRSTLNSKTEKSSVHELLPMIKQHSHVQKTMPDSDRVASDDKKVWSNFKLKGDTKDVTTKQAQKKRKAVCLNEDDDVPKTPVHGGATKNIKSPFASEVKKGNNAHSEKSDAAKLAHRNSSELEEAHLKGPSSQLHIDASSVKPPEKEKADEAIPVYVPLSHDKLDSKQFPSKVARISSASPVKSPQPVSATTKSNAERNKSFKPLPKASSIATLKKADNGSSKSSHNLSSCQNQVSAHKKKLASSAENSKTTPKSLPQEVDVPVSTIDFKEPDALHVDGLEESMEERSNIYTGSGTPEASKTMKHLIAAAQAKWKKAHSQYLSSDIHNVQGETPSPSTVQPFLPVSRNFAQTDVPGVYELATSASPPTNEYHSASQNQLDADEIEERRVGSVQRGPEGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENEASFHRKVDLFFLVDSITQCSHSQKGIAGASYIPIVQAALPRLLGAAAPSGASARENRRQCHKVLRLWLERKILPESVIRRYMNEIGVSNDDITVSFSFRRPSRAERSVDDPIREMEGMLVDEYGSNATFQLPGFLSCHAFDEDEDEEGFQINSCTDMYGTSPTDPTPKFGGSDTHTVTPNDKRHCILEDVDGELEMEDVSGHPKDERPLYLNSSDETDMLLQSSNRNLDPTSNISEDILATPEGSPPLPLDSPPPTPPLPSSPPPPPPLSSPSPPPPPPPPMLQPPPLPLPSSAPLVSLVPQSSGLPRPSHVSQSLMPPQSSYQSSPKLGYQQNIPHDFSSSTSGNQIVQMAGNSFSGGHANAIVKNELFPQPSAFTLAAGCSSQEPSGLNPSRQLEYGHNDVYLNAQVHQPNQQYQQGNIPYAQRHAHPAPPQNLSNQFSYPNHTVQQHLPHAFHPPFPLPSLPDGLRQFVADEQWRMSSTNNQHQNGAYRGINPSCPGPPFGQEGFQPPLERPPLSNVGFQRAISNTLPSAPVSGHGAPQMLPCRPDISAVNSWRPT >CAK8572033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503270822:503287207:-1 gene:gene-LATHSAT_LOCUS24642 transcript:rna-LATHSAT_LOCUS24642 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAIKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIREAGLASEVVAVSMGPSQCVDTLRTGLAMGADKGIHVEVDDSLYPLSVAKILKKLVEIEKPGLLILGKQAIDDDCNQAGQMVAGLLNWPQGTFASKVVLDKEKQVATVDREVDDGIETISLNLPAVITTDLRLNQPRYATLPNIMKAKKKPIKKFTPEELSVEIKPDLEIVEVTEPPKRKSGVVVSSVDELIDKLKHEANVI >CAK8572034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503270822:503273101:-1 gene:gene-LATHSAT_LOCUS24642 transcript:rna-LATHSAT_LOCUS24642-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAIKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIREAGLASEVVAVSMGPSQCVDTLRTGLAMGADKGIHVEVDDSLYPLSVAKILKKLVEIEKPGLLILGKQAIDDDCNQAGQMVAGLLNWPQGTFASKVVLDKEKQVATVDREVDDGIETISLNLPAVITTDLRLNQPRYATLPNIMKAKKKPIKKFTPEELSVEIKPDLEIVEVTEPPKRKSGVVVSSVDELIDKLKHEANVI >CAK8534047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:688324576:688325764:-1 gene:gene-LATHSAT_LOCUS3621 transcript:rna-LATHSAT_LOCUS3621 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEQNNMIAPFIMKTYHMVNEPNTDNLIKWGPSNNSFIVLDPLEFSHSLLPLFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQKHLLRNIVRKKHVGGVGNGRNNNNNNNNNNNCYFNEVKFEDFEEDEAMVTEIMKLKEEQRNLDQELEGMNKRLETTEKRPQQMMAFLCNVVEDPQILSRILNQREKQIVLDKKKRRLISPVTTVPMAAATETSSDSSGMAVGNSMKTEFEEDEVTVANNMMSCSPETGFEIDYFHRAPPPLPEVTPVGGWWGQTEIGQMGYGYDCKVSPMTLTGVSSAAPFMGGENSGDGKMGGVEVFSEMAAENSSLPPYPFSLLDGSF >CAK8574979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19379001:19379888:-1 gene:gene-LATHSAT_LOCUS27273 transcript:rna-LATHSAT_LOCUS27273 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQCWIQTKRKYSMSSNSNIVTIPSSSCYGDSWEEQAFAEDAAAGSLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRKDRARLKQQPSNDQILFHHHHHHEVEIENHHHLLHQKPLIQSDHNYLAYNSNLFPNSPLCGLVYEKTNPNSHLDLSSSSKDLFREETLISPPESWLNLPRDDRLCSPKFQQEVDNDNLDEKVSKGILDSRVRDDESDVSMSLNLVLCRAHPLEEDVINCKKRKKIDSSSNQLFSNSKSTFDNQQNIQEKMFEFSPNSIEELDLELRLGTRSKV >CAK8542405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:509887461:509887703:-1 gene:gene-LATHSAT_LOCUS11246 transcript:rna-LATHSAT_LOCUS11246 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDNYLYKMSKVMKDDNVSSMLTPADNMKINSAIMNGKSLIDNHCNQRQETCVFVDFMKELESMFESMLNKINKGFLLL >CAK8575847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:336772107:336772589:-1 gene:gene-LATHSAT_LOCUS28077 transcript:rna-LATHSAT_LOCUS28077 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNGGGHMHGGMDGMTHNSSSSTSSTNETTAMGHGMMHMTFYWGKDALILFNDWPAGNTGMYILALIIVFVMSVLIELLSRTRLIKPGSNPVTAGLVQTLLHVLRVGLAYLVMLALMSFNGGVFLVAVLGHALGFLLSSSAFRKQNHDDEPYDLPPISC >CAK8579147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679779870:679781138:1 gene:gene-LATHSAT_LOCUS31115 transcript:rna-LATHSAT_LOCUS31115 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLMANFEEGVKGFIAWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFYQLLKEMNTSLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYEAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVRSKAINRKQKRVAVKSMFYLPIIPRLKRLFASMHSASQMTWHHTNKTSSGIMRHPSDGEAWKHFDRVHSDFAAEPRNVRLRLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGLSSPKSGIDVYLTCLLPNGSIWESIVY >CAK8573269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:599290802:599296359:-1 gene:gene-LATHSAT_LOCUS25738 transcript:rna-LATHSAT_LOCUS25738 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWVAFCLALLIHSCRAAEPLTVDGKVLILDESNFDSAISSFDHILVDFYAPWCGHCKRLSPELDAAAPVLAKLKEPILIGKVDADKHTRLAKKHNVDGYPTILLFNHGVPTEYRGPRKADLLVRYLKKFAASDVSVLDSDSAVNSFVEEAGTFFPVFVGFGLDSSVIEKLGIKYKKNAWFSVAKDFSEDLMITYDFDKIPALVSLNQQYNERNTFYGPFEDDFLEDFVKQNLIPLVVPVSYETLKLIKADGRKIVLTIVEDENEERSKELVKLLRGAASANRDLIFGYVGVKQLDEFADKFDTSSKLPKMVIWDKEDDYLSVVGSENIEEEDQGTQITKFLEGYREGRTVKKTLSGPTFMQYLQRSFDIRMVYVVVFMIAVLMLIQTLGKGGDSGEYQRVANQDKVNQPSSSTTEGEESKEYKEGDKED >CAK8572070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506724488:506726159:-1 gene:gene-LATHSAT_LOCUS24675 transcript:rna-LATHSAT_LOCUS24675 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKTGLELMLDFSSEFHKGNFKNESSAGANAASKVNTTISVKDPLSEIVWSPDKGFGLKCVDSSFKNKNTSLFRDVEPSSMVLALLQSVTCGTSINDKPTDDVFVQPLTGICADPDVSSMNVPIRPPTSDSVVIIPECKPYEHHDTGHIERRSQDNESKPSFERKPSPRKHCEEGVYTCVDNKVVELEGGSYTRVEHVIEEKSSGALGTYIVSSFNCEAATSAASSRVLVSKSVQNKNKHKVNEMMMPYNKNGIHLSCENYHSKELFLASRKRCKPEVIIESKKVKMRIQETSSYSKSHVERDSSFMNLISNMMKGYSQSSSQDDVKSPALAQIRPIDFVNSRGHQKNNTSNNCKVEETREQFGDKELLIETKKLHNCCINKESSSIGLKNEKGNSDHISKHNANHVTPFTRLRNSEPMVSMFAKRLGAIKQCQQTE >CAK8543761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:637058077:637058595:-1 gene:gene-LATHSAT_LOCUS12499 transcript:rna-LATHSAT_LOCUS12499 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQREVEGLENINLSNCLMMLCYPQQQQQRNKPQQKSYGAVEYECKTCNKKFSSFQALGGHSASHKRSKLESGEFVKTNGLSLSLGNKPRMHECSICGQEFSLGQALGGHMRRHKAMVNQEFSSIEKVVKLPVLQRLSSARVLCLDLNLTPLENDLKLLFGKMAPNLDVLV >CAK8562584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:512196545:512200076:-1 gene:gene-LATHSAT_LOCUS16070 transcript:rna-LATHSAT_LOCUS16070 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEELWTNWLCEMDSDDYSFINQSSINADTVSSFESNLERPSKLRKTDSSSTCSYNISFENENPPPITVEPALKPKSKTVKNESKRAIIQESNKKNGSLSKSATDHIPDHIIAERIRREKISKQFIALSALIPNLKKIDKASVLCDAIKYVKELKEQVRVLEEQCKKKSVELMVDEHISYTSSFNETSKTNMLLPEVKTRLSGKNVVVRILCEKDKAVMVNVYREIEKLHLSVINASSFSFGSSLLAITIITKVEDELNMSMKKLARNLRIGLMQLN >CAK8565535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263341403:263346739:-1 gene:gene-LATHSAT_LOCUS18740 transcript:rna-LATHSAT_LOCUS18740-3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSQATPFRSPYYCPSCLSFSSHSSSRPFRFPLSSLSFFASYGSPQHSRRKIESIQTANNVLLNSNLSSNLLQFREVMKFSTASDSMLEITNHTRRKEELNSLSSYEVQARMMMRSGPREYRLSKPCRGDKIGWVEASYKLTQEKMEMHDPAKSSPNPFNTNTIACSSIRQESAISKNEGCNLMLQDLCEPASSSLQQSIIENSKVLIDTERVETESDISSFKISEEKIDEVNGNHSLTTNAKEATNTKLTVTMTRSDEQSKLRERLCSIYDEILVVNTLSLAKKVAKMLTVNYRHLIHACDTEVSKIDVKKETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKKILNMFADFFQDPFIKKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRRWVGGYSLEALSGDEEVMSRANLNYEKDLIGKVSMTTIFGLRKAKKDGSLGKTVTIDPVEVLQREERIPWICYSALDARSTLKLYESLKNHLSDLPWKLDGELLRENMFDFYEKFLQPFGELLVKMESVGMLVDRLYLQEIEKVAKAEQQAAVSRFRKWGSKYCPDVKYMNVGSDTQLRVLLFGGTVNRKNHNEAIPTERIFKVPNVDKVIDKGKKTPSKLRDIKLNSIGYSLNVDMYTASGWPSCSGAALKALAGKVSAEYDFGLEDEDGNPSQIEDEPLETDNSAYGTAFSAFPTEEEGREACHAIAALCEVCSIDSLISNFILPLQGDNISGTDQRVHCSININTETGRLSARRPSLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLTNCKSMLEAFEAGGDFHSRTAMNMYPYIREAVDNNEVLLEWYPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPQGLSKDWKVSVKEAKNTVDLWYNDRKEVLKWQKQRKKEALEFGCVYTLLGRARRFPEIFQGQHYYKGHIERAAINTPVQGSAADVAMLAMLEISNNKQLKDLGWKLLLQVHDEVILEGPTESAEVAKAIVIDCMSNPFNGKNILKVGLSVDAKCAQNWYAAK >CAK8565534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263341403:263346739:-1 gene:gene-LATHSAT_LOCUS18740 transcript:rna-LATHSAT_LOCUS18740 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSQATPFRSPYYCPSCLSFSSHSSSRPFRFPLSSLSFFASYGSPQHRRKIESIQTANNVLLNSNLSSNLLQFREVMKFSTASDSMLEITNHTRRKEELNSLSSYEVQARMMMRSGPREYRLSKPCRGDKIGWVEASYKLTQEKMEMHDPAKSSPNPFNTNTIACSSIRQESAISKNEGCNLMLQDLCEPASSSLQQSIIENSKVLIDTERVETESDISSFKISEEKIDEVNGNHSLTTNAKEATNTKLTVTMTRSDEQSKLRERLCSIYDEILVVNTLSLAKKVAKMLTVNYRHLIHACDTEVSKIDVKKETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKKILNMFADFFQDPFIKKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRRWVGGYSLEALSGDEEVMSRANLNYEKDLIGKVSMTTIFGLRKAKKDGSLGKTVTIDPVEVLQREERIPWICYSALDARSTLKLYESLKNHLSDLPWKLDGELLRENMFDFYEKFLQPFGELLVKMESVGMLVDRLYLQEIEKVAKAEQQAAVSRFRKWGSKYCPDVKYMNVGSDTQLRVLLFGGTVNRKNHNEAIPTERIFKVPNVDKVIDKGKKTPSKLRDIKLNSIGYSLNVDMYTASGWPSCSGAALKALAGKVSAEYDFGLEDEDGNPSQIEDEPLETDNSAYGTAFSAFPTEEEGREACHAIAALCEVCSIDSLISNFILPLQGDNISGTDQRVHCSININTETGRLSARRPSLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLTNCKSMLEAFEAGGDFHSRTAMNMYPYIREAVDNNEVLLEWYPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPQGLSKDWKVSVKEAKNTVDLWYNDRKEVLKWQKQRKKEALEFGCVYTLLGRARRFPEIFQGQHYYKGHIERAAINTPVQGSAADVAMLAMLEISNNKQLKDLGWKLLLQVHDEVILEGPTESAEVAKAIVIDCMSNPFNGKNILKVGLSVDAKCAQNWYAAK >CAK8565537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263341403:263346739:-1 gene:gene-LATHSAT_LOCUS18740 transcript:rna-LATHSAT_LOCUS18740-4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSQATPFRSPYYCPSCLSFSSHSSSRPFRFPLSSLSFFASYGSPQHSRRKIESIQTANNVLLNSNLSSNLLQFREGSYRLKRISFGFNKFNDMLYNRNSPIHTSVMKFSTASDSMLEITNHTRRKEELNSLSSYEVQARMMMRSGPREYRLSKPCRGDKIGWVEASYKLTQEKMEMHDPAKSSPNPFNTNTIACSSIRQESAISKNEGCNLMLQDLCEPASSSLQQSIIENSKVLIDTERAETEISSSIIENSKVLIDTERVETESDISSFKISEEKIDEVNGNHSLTTNAKEATNTKLTVTMTRSDEQSKLRERLCSIYDEILVVNTLSLAKKVAKMLTVNYRHLIHACDTEVSKIDVKKETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKKILNMFADFFQDPFIKKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRRWVGGYSLEALSGDEEVMSRANLNYEKDLIGKVSMTTIFGLRKAKKDGSLGKTVTIDPVEVLQREERIPWICYSALDARSTLKLYESLKNHLSDLPWKLDGELLRENMFDFYEKFLQPFGELLVKMESVGMLVDRLYLQEIEKVAKAEQQAAVSRFRKWGSKYCPDVKYMNVGSDTQLRVLLFGGTVNRKNHNEAIPTERIFKVPNVDKVIDKGKKTPSKLRDIKLNSIGYSLNVDMYTASGWPSCSGAALKALAGKVSAEYDFGLEDEDGNPSQIEDEPLETDNSAYGTAFSAFPTEEEGREACHAIAALCEVCSIDSLISNFILPLQGDNISGTDQRVHCSININTETGRLSARRPSLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLTNCKSMLEAFEAGGDFHSRTAMNMYPYIREAVDNNEVLLEWYPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPQGLSKDWKVSVKEAKNTVDLWYNDRKEVLKWQKQRKKEALEFGCVYTLLGRARRFPEIFQGQHYYKGHIERAAINTPVQGSAADVAMLAMLEISNNKQLKDLGWKLLLQVHDEVILEGPTESAEVAKAIVIDCMSNPFNGKNILKVGLSVDAKCAQNWYAAK >CAK8565536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263341403:263346739:-1 gene:gene-LATHSAT_LOCUS18740 transcript:rna-LATHSAT_LOCUS18740-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSQATPFRSPYYCPSCLSFSSHSSSRPFRFPLSSLSFFASYGSPQHRRKIESIQTANNVLLNSNLSSNLLQFREGSYRLKRISFGFNKFNDMLYNRNSPIHTSVMKFSTASDSMLEITNHTRRKEELNSLSSYEVQARMMMRSGPREYRLSKPCRGDKIGWVEASYKLTQEKMEMHDPAKSSPNPFNTNTIACSSIRQESAISKNEGCNLMLQDLCEPASSSLQQSIIENSKVLIDTERAETEISSSIIENSKVLIDTERVETESDISSFKISEEKIDEVNGNHSLTTNAKEATNTKLTVTMTRSDEQSKLRERLCSIYDEILVVNTLSLAKKVAKMLTVNYRHLIHACDTEVSKIDVKKETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKKILNMFADFFQDPFIKKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRRWVGGYSLEALSGDEEVMSRANLNYEKDLIGKVSMTTIFGLRKAKKDGSLGKTVTIDPVEVLQREERIPWICYSALDARSTLKLYESLKNHLSDLPWKLDGELLRENMFDFYEKFLQPFGELLVKMESVGMLVDRLYLQEIEKVAKAEQQAAVSRFRKWGSKYCPDVKYMNVGSDTQLRVLLFGGTVNRKNHNEAIPTERIFKVPNVDKVIDKGKKTPSKLRDIKLNSIGYSLNVDMYTASGWPSCSGAALKALAGKVSAEYDFGLEDEDGNPSQIEDEPLETDNSAYGTAFSAFPTEEEGREACHAIAALCEVCSIDSLISNFILPLQGDNISGTDQRVHCSININTETGRLSARRPSLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLTNCKSMLEAFEAGGDFHSRTAMNMYPYIREAVDNNEVLLEWYPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPQGLSKDWKVSVKEAKNTVDLWYNDRKEVLKWQKQRKKEALEFGCVYTLLGRARRFPEIFQGQHYYKGHIERAAINTPVQGSAADVAMLAMLEISNNKQLKDLGWKLLLQVHDEVILEGPTESAEVAKAIVIDCMSNPFNGKNILKVGLSVDAKCAQNWYAAK >CAK8565427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:191947715:191949440:-1 gene:gene-LATHSAT_LOCUS18645 transcript:rna-LATHSAT_LOCUS18645 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSCLARVAAGAAVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRHIGQTTLGSAAIFGLFLGAGSLIHCGKSY >CAK8571514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434884597:434884860:-1 gene:gene-LATHSAT_LOCUS24172 transcript:rna-LATHSAT_LOCUS24172 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQFRTRRLLVDCLSCSRGESGSPRAGRGTDWERALWGLFPGHRTVNSELVRTRGIRLFN >CAK8571816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478780299:478781168:1 gene:gene-LATHSAT_LOCUS24444 transcript:rna-LATHSAT_LOCUS24444 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIIAVEPVSEPATAEPVSEKVEEPKAEAEKTKKVKETKPKKASKPRNPASHPTYEEMIKDAIVSLKEKNGSSQYAIAKFIEEKQKQLPANFKKLLLQNLKKKVASGKLVKVKGSFKLSAAAKKPAVVKPKTKPAAKKSVKAKPVAKPKAKAFVKPKVASKAKAVTTKPKAAAKPKAAAKPKTVAKSKAAVKPKPAKVAKTSTKTTPGKKVAVTKTAPKKVVVTKKAPVKSVKAKTVKSPARKASGVKRGGKK >CAK8563731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627444572:627451755:1 gene:gene-LATHSAT_LOCUS17110 transcript:rna-LATHSAT_LOCUS17110 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLSRELVFLILQFLDEEKYKDTVHKLEEESGYHFNMKYCEEKALAGEWDEIEKYLSGFIKIDDNKHSMKTFFEIRKQKYLEALDRNDKMKAVDILVKDLKVFSQFNEDLFKELTHLITLDNFRENEQLSKYAGTKAARNIMFLEIKKLIESNPVLRDKLVFPSMKSSRLRTLINHSLNWQHQMCKNPKANPEVKTLFTDHSCSPSNGARASTPVNLPATAVARPSSFVPLGVHGGPFQPNPTTANVNALAGWMMNQNPSSSIQPHALVASSMPGLPHQVSMFRHMRTPSNNLGLMEYDHEQILKRMRSSMDEINYPPPPPQQQTSSLSLDELPRSVVCTLYQGSTVKSMDFHPSLHSLLAVGCINGDISLWEAGLKEKLISKPFKIKDIAACSVFFQAAIVKDSSISITRVLWSLDGNLLGVAFTKHLIHVYAYQGSNDLRQTLEIDAHVGAVNDLAFSYLNKQTFIVTCGDDKLVKVWHLNGRKILNFEGHEAPVYSVCPHHKENIQFIFSTSLDGKIKAWLYDSDRPRVDYDAPGQGCTTILYSADGSRLFSCGTSKEGDSILLEWNESEGTVKRTYSGFRKKSNGVVQFDTAKNRFLAAGEDSQIKFWEMNSIYVLTSIDAEGGLPSLPRLRFNKEGNILAVTTADGGIKVLANTDGTRYLRSIDARSYKAPKPLVETKVSNINQHINKAERVDRSSTAAALPILNGVDSMARIIEKQRSLDDVADKSKTDLTEIVDPVHCRVVTLPDTTDPTNKVVCLNYTNSGCDLVALGAKGTQKMWKWNRNEQNPSGKATASIVPQHWQPPSGALMNNDVPDNSEGVPCMAISKNDSYVLSACGGKISLFNLMTFKVMATFMSPPPSSTILLFHPQDNNIIAIGMEDATIRFYNVRVDEITCKLKGHQNRITGLAFSTHLNVLVSSSADAQICFWRLDSWDNKKTLSLQLPAGREPVGDTRVYFHSDQVHLLVCHESQLALYDGSKMELIRLWAPQDTSISYATYSCNSQLIYAAFTDGNIGVFDADSLRPRCRITPSAYLHQPSPDSQNIYPIVIAAHPQEPNQFAVGLSDGSIKVVEPREPNGRWGVSASVDNRITSPSTSEQQQQR >CAK8542305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500728105:500729768:1 gene:gene-LATHSAT_LOCUS11152 transcript:rna-LATHSAT_LOCUS11152 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTDRLSELRTSKDQILADSGSEFGADEEEENCSEQILYSASFEELASNSIKYDTIIWVSISLLLVLAWGIGFLMLLYLPFRRHVLRKDFASRRLYVTQSEIAYKVSRPSFMPFWGTITIERRVPLSLVIDIIIEQGCVQSIYGVHTFRIESIAHGKAAPVDELQLQGISDPDLLRKVIITEASKISGDLSKNWKQIAPSMARIPTTTEGPGVLKSQSINPKVIGSTHSSLVERRIGGGLLLNKLEEVNESVKRLELLIEKSLGSLIAS >CAK8533314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602330342:602333222:1 gene:gene-LATHSAT_LOCUS2954 transcript:rna-LATHSAT_LOCUS2954 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRNDDYESGPLPSPRSLDRFGFVKPDANTSEGVVKTSRSAYEYEKIKERRRVRKWRKMIGVGGSDWKHYLRKKPNVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLFQFERLVREHLPKLGEHFSQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHGLKNFSEDAMNPDTLLPLAYSIKITKRLEELKLEYEKKNGKIISRSGEISENEKPILPSIQSN >CAK8562971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562812611:562812898:-1 gene:gene-LATHSAT_LOCUS16428 transcript:rna-LATHSAT_LOCUS16428 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISIFTVLLVVLAMFYCVFDYFTAEIILYLAVVAAVATATIMSLRATIVIWITVLVLLSFAGNRRKSLVQRGRQIVFDVVWHLVRVSFRSHKR >CAK8578860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659028469:659032011:-1 gene:gene-LATHSAT_LOCUS30842 transcript:rna-LATHSAT_LOCUS30842 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAKRKTKHTEDSKPDYEPATATGSSQENESQNQITKVPPKVKRVKTLKPQSEPEYFEDKRNLEDLWVETFPVGTEWDQLDSLYDIKWNFSNLENAFEEGGLLSGKKVYLFGCTEPQLVMHKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIIPMKQMKMDWVPYIPLEDRDSQVERLKTEIFILRCTQRRSALKHLKLDRLKKYEYCLPYFYQPFKEDEFEQSTEVPIIYPVEPKPVFCEFDWELDELEEFTDKLIEAEELSADQKDAFKEFVKEKVREAKKANREAREARKKAIAEMSEEAKAAFDAMRFYKFYPVQSPDAPDVSSVKSRFINRYYGKAHEVL >CAK8561608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:318874503:318877727:-1 gene:gene-LATHSAT_LOCUS15185 transcript:rna-LATHSAT_LOCUS15185 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPQPKQDELLPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTTFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPHEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYEFGFPVLAKCVEIGLPEIIILVIFSQYIPHMMKGEKPIFDRFAVIFSVTIVWLYAYLLTVGGAYKNSAPKTQITCRTDCAGIIGGAPWIRVPYPFQWGAPTFDTGETFAMMAASLVALVESSGAFIAVSRYASATPIPPSVLSRGVGWQGVGIMLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSFLQFCNLNSFKTKFILGFSIFMGFSIPQYFNEYRAFKGYGPVHTRARWFNDMINVPFASEPFVASFFAMFLDVTLHKKDNQTRKDRGMHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >CAK8539907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530936991:530939180:1 gene:gene-LATHSAT_LOCUS8963 transcript:rna-LATHSAT_LOCUS8963 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGFVSVLTFLLFVFVGLMSSSSEAAIQKYQFDVQVKNVSRLCHAKPIVTVNGRFPGPTIYAREGDQVLVNVTNHAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQTGNSYTYQFNVTGQRGTLWWHAHILWLRATVYGAIVIMPRLGTPFPFPQPAREFEILLGEWWNNDVEEIENQGNKMGLPPNMSDAHTINGKPGPLFPCFEKHTFAMEVEQGKTYLIRIINAALNDELFFAIAGHNMTVVEVDAVYTKPFTTQAILIAPGQTTNVLVRANQVAGRYFMATKAFMDAPVSVDNKTATAIFQYKDIPNTVLPVLPQLPATNDTGFVLSYNKKLKSLNSAKYPANVPLKVDRNLFYTIGLGKNSCPTCLNGTRFLASINNVSFVMPQIALLQAHYLDIKGVFRADFPDHPPTPFNYTGAPLTANLASLTGTRVNKISFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPSKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFLVENGPEQSQSVLPPPKDLPSC >CAK8565833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:341718701:341720544:-1 gene:gene-LATHSAT_LOCUS19014 transcript:rna-LATHSAT_LOCUS19014 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNSLQITKTASGAYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPLALLCCAIVTYVSSFLLSDCYRNPDPVTGKRNYSYMDAVRVNLGNKRTYVAGFLQFLTLYGTGTAYVITTATSLRAIMRSNCYHKEGHKAPCSYGGNLYMMMFGLVQIVMSFIPDLHNMAWVSIVAAIMSFTYSFIGLGLGIATVIQNGTIMGSVTGVQTANGSEKIWLIFQALGDISFSYPYAILLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGSATPGNLLTGFGFYEPFWLIDIANVCIIIHLVGGYQIYSQPIYSTADRWCAKKYPNSGFVNDFHRVKLPLLPAFEINLFRFCFRTTYVISTTGLAILFPYFNQVLGVLGAINFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRIFSFACFLVTVVGFVGSIEGIISEKISGKG >CAK8569518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3846339:3846635:-1 gene:gene-LATHSAT_LOCUS22361 transcript:rna-LATHSAT_LOCUS22361 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFYRSDKRKVMSQDEIDAGNKIMQILIDADVNKDGCYTKGEIKKALKDLGSYIPGWRASNCLKKLDADKDGQINGEEIDNLVDYLLDQGFGKKSKK >CAK8578935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662824766:662825207:-1 gene:gene-LATHSAT_LOCUS30913 transcript:rna-LATHSAT_LOCUS30913 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYMIANRYNVVLVCLGFECWTFFPMTSSFSPNVAIYCIGFVNKNHWVQVNVKEGFPLPPVTVDWNKFRSPAATSWMIGFAGRLQHWQQLTPILPTHYEL >CAK8575580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:184054412:184054957:1 gene:gene-LATHSAT_LOCUS27833 transcript:rna-LATHSAT_LOCUS27833 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEADVAATVSGKEAAALIFTYGTLKRNFSNHPLLQDLILSGDASFIGTYRTVQNYPLVCGPYRVPFLLNIPGSGQSVYGELYSVSAEGLSRMDELEGTSRGHYERLPIKVVPSGEEMEAKITCAEAYYAHGSYAIEMWNKNGKQGLKCYTEKETIGYVKRKDRPQHLTFLDHIRLFLSD >CAK8540833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24239653:24240042:1 gene:gene-LATHSAT_LOCUS9799 transcript:rna-LATHSAT_LOCUS9799 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFIVNIYSSCFIRTKRLLWSNLVSCMLKFMYGEWCLGGDFNAVKLVKERKGRIVSVNRLEMDDFFCFIESMEVVDPTPIDRNFSWFSSDGVSISHLDKFLLSQGLMEKWNVDGQIVGSRDLSDHCPI >CAK8538724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493882351:493888829:-1 gene:gene-LATHSAT_LOCUS7895 transcript:rna-LATHSAT_LOCUS7895 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRDLFFLTTRRTLHKTPNSSNSNFLSNSITTTSFQETMQPSDHGSSSQRYSYNPALNWNPQLHNYFTKAYGSDRFSRISSALTRPSRYSCIRVNTLRSNCDAVIEKLRLLVKKSSNADDCENGYDAVNPLKECLDSDSGPVFKCRIPGLDYVVFVWGSGPHRVDYGNVAPKEVIVSRKCAEAVLRGAQVYVPGILACSAHVEKGDTIAVSVSVEQKGSDGSWSAGMTRGIVLQGSETDPYYLERNGLYIGQGTAMLSRAGMFRVTQGLGVDMKDRVYELHSFHNVLEGEIFLQNLPSIIAAHALDPQMGERILDMCAAPGGKTTAIAILMKDKGEVIATDRSHNKVLDIHKLAAELGLTCIKAFKLDALKSVSRRNDNDTFIDTCHNNVTSDLKNQVPSNLQVERISPLVTESFKTETLEENGKGNKAHEGAYPSKAEIRKSMRKARNGPGRNQSGGGRVDSSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEDTIESLRSHAKYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDKYKYLSLAPQHPKIGGPGLVGSCEFPDGYVEEWLQPGEENLVQRFDPSAPLDTIGFFIAKFAVGSKDT >CAK8542219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:490285414:490292789:1 gene:gene-LATHSAT_LOCUS11075 transcript:rna-LATHSAT_LOCUS11075 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFLPIVAFSLLLGTIIALLFFNTYYRKRQSEVRSIANVNPNPNPNPNPITSSSKPLPNKKSNPKHHSSDKDQSKRHHPLDLNTLKGHGDVVTGLSFSPDGHNLATACADGLVRVFKLEDASSKSFKFHRINLPPGGHPTAVAFSDDASSIVVSSHSLTGCSLYMYGEDKPKASEDKPQTKLPLPEIKWEHHKVHDKKAIITMFGTSATYGSADGSALIASCSEGTDIILWHGKTGKSLGHVDTNQLTNNMATISPNGRFIAAAAFTADVKVWEIVYAKDGSVKEVSNVMQLKGHKSAVTWLCFSPNSEQIITASKDGSLRIWNINVRYHMDEDPKTLKVFPIPLCDSTGTALHYDRLSISPDGKVLAATHGSTLQWLSVETGKVLDTAEKAHDGDITGISWGPKTIPMGKEQVLVLATASVDKKVKLWAAPS >CAK8536682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7024588:7025010:-1 gene:gene-LATHSAT_LOCUS6020 transcript:rna-LATHSAT_LOCUS6020 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSIIFADKTFTLVEARYILLFTDLDKLSGYNWGAAALVTLYSHLGDASMFGCKQLGGYPTLLQCWIHDYFPTLGKGKRIRYQRKIVSPLSDEIIL >CAK8565402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:168899879:168900727:1 gene:gene-LATHSAT_LOCUS18620 transcript:rna-LATHSAT_LOCUS18620 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRNKALRKIVVNMGYALTETTFNYYRGELRRTDRAALEWIDNIPREKWPRAFDGGQRWGHMTTNLAEAMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNYNKGMADEAIKSSSHNVIQFDRERFCFMVVESINQRDGRPLGTFSVDLRKWWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKECPNST >CAK8538046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460230030:460231910:1 gene:gene-LATHSAT_LOCUS7286 transcript:rna-LATHSAT_LOCUS7286 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSDLININLSETSEKIIAEYIWIGGSGIDMRSKARTLPGPVDDPSKLPKWTYDGSSCGQASGEDSEVVLHPQAIFKDPFRRGNNILVMCDTYNPHGEPLASNKRHAAAKIFSHPDVAAEEPWYGIEQEYTLMQKDSPWPYGWPVGGFPGPQGPYYCGLGADKAFGRDIVDAHYKACLYAGITISGINAEVMPSQWEFQVGPALGISAGDEIWVARYLLERITETAGAVLSLDPKPVKGDWNGAGAHTNYSTKSMRNDGGYEVIKKAISNLEKKHKEHICAYGEGNERRLTGKHETADIHSFKWGVANRGASVRVGRDTERAGKGYFEDRRPASNMDPYIVTSMIAETTILWKP >CAK8540979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38392859:38393257:-1 gene:gene-LATHSAT_LOCUS9933 transcript:rna-LATHSAT_LOCUS9933 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQEKLVHYQVEQQPSWSYYMRRVTRTMEEDEMERIMRLATQNAVVIFSISSTSCMCHAMKSLFSGMGVNAMVHELDQDHKPFMMRLLGNSTSLPVVFIGGKLVGSMDTVLAFHINGSLVPLLKHAGALWL >CAK8540442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561312724:561314890:-1 gene:gene-LATHSAT_LOCUS9447 transcript:rna-LATHSAT_LOCUS9447 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDFYEISSLISSISESILSRELKMYLVESKGGAIGCMLLALFFLGTWPAVLTLLERRGRLPQHTYLDYSITNFLAAVIIAFTFGEIGKGTHDQPNFLTQLAQDNWQSVMFAMAGGVVLSIGNLSTQYAFALVGLSVTEVITASITVVIGTTLNYFLDDRINKAEILFPGVGCFLVAVCLGSAVHSSNTADNSAKLKDSLREGNIVKSKDLESGSNSAEKLKAGSADFLIELENTRAIKVFGKSTLIGLTITFFAGICFSLFSPAFNLATNDQWHTLKKGVPHLNVYTAFFYFSVSCFVIGIILNIIFLYHPVLNLPKSSLKAYFGDWNGRGWALLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVLFGEYRRSSRRTYTLLGSMLFMFIVAVVVLMASSGHRKH >CAK8540443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:561312724:561314568:-1 gene:gene-LATHSAT_LOCUS9447 transcript:rna-LATHSAT_LOCUS9447-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRILDFYEISSLISSISESILSRELKMYLVESKGGAIGCMLLALFFLGTWPAVLTLLERRGRLPQHTYLDYSITNFLAAVIIAFTFGEIGKGTHDQPNFLTQLAQDNWQSVMFAMAGGVVLSIGNLSTQYAFALVGLSVTEVITASITVVIGTTLNYFLDDRINKAEILFPGVGCFLVAVCLGSAVHSSNTADNSAKLKDSLREGNIVKSKDLESGSNSAEKLKAGSADFLIELENTRAIKVFGKSTLIGLTITFFAGICFSLFSPAFNLATNDQWHTLKKGVPHLNVYTAFFYFSVSCFVIGIILNIIFLYHPVLNLPKSSLKAYFGDWNGRGWALLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVLFGEYRRSSRRTYTLLGSMLFMFIVAVVVLMASSGHRKH >CAK8561978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:420565714:420568118:1 gene:gene-LATHSAT_LOCUS15518 transcript:rna-LATHSAT_LOCUS15518 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLFSSRKPKSRNTKACFVNVRRQHKPKMVFSSSISCLLIYISIVVSFVSPINYLETQNQQSFNQTFRSEQELKKLKKTIATRLRQINKPAVKTIQVPNGDIIDCVLIHKQLAFDHPLLKGQKPMSFQESLKEHNQIGNTSDFQLWGLSGESCPNGTIPVRRVTEQDMLRAYSIKSFGQKLANSFPHEHAVALVEKDEFFGAQATFNVWSPYLESQSEFSLSQMWIASGTYGVDLNSIEAGWHVYPRLYGDDRPRFFIYWTADAYKSTGCHNLLCPGFVHTNQNFPIGAELPKLSSYKGQQFSITLKISKDKNTGNWLLGYGDGNIIGYWPAPLFTHLKYVGDEVHFGGEIVNAKSRGSHTSTSMGSGHFAEEGYGKAAYIRNMQVIDSDNNLIPLSNPTYAVSNPNCYNIIGKTSPKWGDHIYFGGPGKSEKCP >CAK8534798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769608936:769610050:1 gene:gene-LATHSAT_LOCUS4312 transcript:rna-LATHSAT_LOCUS4312 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEDNKELGQMDVDASGGSVSMPSPQKQEETLKKKYGGMIPKKPPLISKDHERAYFDSADWALGKQGGGKPKGPLEALRPKLQPTQQQTRYRKSPYAPSGEEGGSVPSEDAPSNE >CAK8539078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502974417:502978149:-1 gene:gene-LATHSAT_LOCUS8210 transcript:rna-LATHSAT_LOCUS8210 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDGDTFPVHTKDHESKLSIKDDDETEVDDSPIEQVRLTVSITDDPSQPALTFRTWVIGLGCCIVLSFVNQFFGYRTTPLYVSTVAAQIVSLPIGKLMAASLPTTIYKFPFLNWSFTLNPGPFNLKEHALITILASCGSGPYAINIIVIVKAFYHRSINPVAAILLALTTQMLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHDKEIRPKGGNTRLQFFLLVFIASFTYYTIPGYLFPSLSTISIVCLIWKNSVTAQQIGSGMKGLGIGSFTLDWNTVAGFLGSPLPVPGFAIINQLAGFFIFLYIVVPITYWNNFYEAKKFPFISPHTFDSTGAVYNVSRVLNSTTFDIDLDSYNNYSKLYLSVIFAFDYGLSFATLTATASHVFLFHGKEILLMWRKTTAALKDKAGDVHTRIMKKNYAQVPEWWFMSILALMIILSLVCCEGFGKQLQLPWWGFLLSLVIALVFTLPIGVIQATTNLQPGLNVITELIIGFLYPGKPLANVTFKTYGYISMVQALTFIGDFKLGHYMKIPPRSMFVAQLVGTVVGTCVNFGTAWWLLTSIPNICNPDLLPEGSPWTCPGDDVFYNASIIWGVIGPQRMFTKDGIYPGMNWFFLIGLLAPVPVWFLARKYPNHKWIRLIHLPLIFSGGGNIPPARAVNVLSWGVVGIFFNFYIYHKYKTWWARHTYILSASLEAGIAFMGVLLFFALQNYDIIGPEWWGLDGSDHCPLAVCPTAPGIKAKGCPTF >CAK8575214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:55048067:55049751:-1 gene:gene-LATHSAT_LOCUS27498 transcript:rna-LATHSAT_LOCUS27498 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEELPSSVPHSSSREKVLNDMLEKGLRRFGWLEFMQCILVSFASFVDAQQSFITIYTDEYPTWHCTNSTLCNSDSNICDIPKSSWSWDGPSHKTVISQWNLECASSFVTGLPQSSFFIGCLFGLFLLATLADTSLGRKNSLVMSCLSMSIVSTLIVFSTDIWIYSALKFVIGFLRSTIGTCVLVMLAEKVSTEWRFTVGIVEYTCFIVGYMSLSGIAYVNRFNSWKSVYIWMSVPAICYSVLAYFFVTESPRWLLMQGRHQEAMAMLKGVSSLESGNDLTVSLIEAPVNKQKASVFHLYSSIAELFERGWALKRMVAVMVLGIGIGTVYFGMPLAVGNLGFDIYLAVVLSALIEIPACVATYFLENRRRKPSILVFSVASGVCCIMCVVVGSGIQEIRVGLAMTSFFCSCTAFNVFLIYILELFPTSVRNTTTSLVRQAIVFGNVFTPFLISAGRKNDIFSYGVFGVVIILSCCTLLGLPETRGLALCDTMDQQEKKDDMSV >CAK8577084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535917459:535933482:-1 gene:gene-LATHSAT_LOCUS29223 transcript:rna-LATHSAT_LOCUS29223-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLLRSLWSSTRKSFSTPHPSRYFSRAFSAASSSDPTGSLDPGRLRNVAVIAHVDHGKTTLMDRLLRQCGADILHERAMDSITLERERGITISSKVTSIAWKENELNMVDTPGHADFGGEVGRIVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKIDRPAVTEEICDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASTTYTKDPPAEAKNMSQLLDAIVTHVPPPNANIDAPFQMLVSIMEKDFYLGRILTGRVYSGVVRVGDRVHGLRNKDSGAEKIEDGKVVKLMKKKGTAMVVMDSAGAGDIISIAGLSSPSIGHTVTSVEIMSALPTLELDPPTISMTFGVNDSPLAGRDGTHLTGGRIGDRLTAEAETNLAINVLPGLSETFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDKGQKLEPIEEVTIEVNDEHVGFVMEALSHTRAEVTDMGPVAGAIGRTRLSLTCPSRGLVGYRNVFSSETRGTGFMHRAFLTYEKFRGPLGNVRKGVRVSVGYGPITAHALMSLEARGTLFVSPGMEVGANPLCYFMSYMLYSIHSFWIIV >CAK8577083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535917030:535933482:-1 gene:gene-LATHSAT_LOCUS29223 transcript:rna-LATHSAT_LOCUS29223 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLLRSLWSSTRKSFSTPHPSRYFSRAFSAASSSDPTGSLDPGRLRNVAVIAHVDHGKTTLMDRLLRQCGADILHERAMDSITLERERGITISSKVTSIAWKENELNMVDTPGHADFGGEVGRIVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKIDRPAVTEEICDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASTTYTKDPPAEAKNMSQLLDAIVTHVPPPNANIDAPFQMLVSIMEKDFYLGRILTGRVYSGVVRVGDRVHGLRNKDSGAEKIEDGKVVKLMKKKGTAMVVMDSAGAGDIISIAGLSSPSIGHTVTSVEIMSALPTLELDPPTISMTFGVNDSPLAGRDGTHLTGGRIGDRLTAEAETNLAINVLPGLSETFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDKGQKLEPIEEVTIEVNDEHVGFVMEALSHTRAEVTDMGPVAGAIGRTRLSLTCPSRGLVGYRNVFSSETRGTGFMHRAFLTYEKFRGPLGNVRKGVRVSVGYGPITAHALMSLEARGTLFVSPGMEVNKLNYTTQGSWLTWQCHTPALSKVLRFILI >CAK8569152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676644198:676647845:-1 gene:gene-LATHSAT_LOCUS22036 transcript:rna-LATHSAT_LOCUS22036 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLERALTLLLSVAVSVFHITSAEDPYKFFNWNVTYGDIYPLGVRQRGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSFEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPSGDYTVLIGDWYKSNHTTLKAHLDNGKKLPIPDGILINGRGPNGLSFNVEQGKTYRLRISNVGLQHSLNFRIQNHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPSQDYYIVVSTRFSPKILTTTSVLRYSNSAGPVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTIILSSSPGQVNGKQRYAINSVSYVSPDTPLKLADYFKISGVFRVGSISDRPTGGGIYLDTSVLQADYRSFIEIVFQNNENIVQSYHLDGYSFFVVGMDGGQWTTSSRNNYNLRDAVSRCTTQVYPYSWTAIYIALDNVGMWNLRTEFWARQYLGQQFYLRVYTASTSIRDEFPIPKNARLCGRASGRHTRPL >CAK8541433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:166416766:166417116:1 gene:gene-LATHSAT_LOCUS10353 transcript:rna-LATHSAT_LOCUS10353 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFLSEEGIDVRKMMEHKRSICSVDESNYNSIASKKQKPDLSITTKDRKEKIGERIVALQQLVSPYGKTDTSSVLKEAMEYIRFLHKQVKLLSAPYLETTPAIQTT >CAK8570451.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:47524498:47528268:1 gene:gene-LATHSAT_LOCUS23198 transcript:rna-LATHSAT_LOCUS23198 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVSYVLHLVLLFSTIFVSAWSQDGDVMQKLKASVHGVDWPGSDYCKWESVTCSDSRVTKIQLQSRSLTGFLPKELVQLTALTDFYCNDNKLHGDFPNMPNSLQNLYIDKNDFTSMPSDFFDNMSNLLLVSMGYNNFTQWKIPSSLKNCLALKTFSANNASFVGEIPEIFGKENFPSLVSLQLSYNHLEGNLPNSLADTSIETLWLNGQNSINKLNGTLSVIQKMTSLKVLWVNVNSFTGPIPDLSNLTQLENACFRDNRLTGVVPPSLTSLLSLHVVNLTNNQLQGPPPKFQDGVVVDNDLSGSNSFCTKVDGQPCSPVVNALLSVVEPLGYPYILAESWKGNDPCGDNTWKGVICSGSNISTIDFRKLGFSGSISPSFASLSSVTKLLLSDNNLTGTIPMELASMPALKEIDVSNNTLYGQIPMFRGDVVVNTAGNPDIGHDKPSSPPSPSSGGNDKKKFSVGAVVGVVMGVVCLLGVGVLVFVICRRRYKKRYGKVQTPNAIVVHPRHSGDGNAVKISVAAAGGSHAGGVGGTSGFSQSSSVQNVEAGNMVISIQVLREVTNNFSDKNILGKGGFGTVYKGELDDGTKIAVKRMQSDMVGDKGLNEFKSEIAVLTKVRHRHLVALLGYCLEDNEKLLVYEYMPQGTLSQHLFDWKDDGVKPLEWKRRLSIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGQASFETRLAGTFGYLAPEYAVTGRVTTKVDVYSYGVILMEMITGRRAIDNSQPDENIHLVTWFRRMQLNKDSFEKIIDPAMDIDEEGLESFRTIAGLASHCCAREPHQRPDMGHVVNALAPLVEIWKPSEPDDEDMYGIDLDMSLPQALSKWQNMEGRSSTLDVSYSSSMIPSCDNTQSSIPPRSPGFADSFTSADAR >CAK8576807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516100400:516113625:1 gene:gene-LATHSAT_LOCUS28973 transcript:rna-LATHSAT_LOCUS28973 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPLSTETPNPIPNSSSSATPASSSSPAPPISYGVHQNVNVSGNSHQLSSHSGMKPNSAVNPPHMSVQPPVHGLPPHAAGPSYLYNIPQSAPPFTGNQHAQSTTNMSDSVTQDFSKMSSASSNLHPIPPLTSTSAMPPPSDPNYRPTTLWMPTGPSFPVHHVMPGTPGPPGLAKLVRIPSNPAAQSPSTEFSSAAVPRQNIPTGPIALDPNASHKGMPYPSIPSMVAPPQGFWLQPPQMSGIPRPPFHQYPAAFPGPFPFPARGVTLPTVPVPDSQPPGVTPVGPVGISTFSASSHQLRGTPGLQAEVISEHADDKNLNAIITQSVNAANDQLDAWTAHKTEAGIVYYYNVVTGESTYDKPTGFKGEAHQVSVQPTPVSMVDLPGTDWQLVSTSDGKKYYYNKRTKTSCWQVPIEVAELKKKQEGDVTKESLMPVPNTNSSSDRGSGMVALNAPAITTGGRDAATPKPSSVQSSPSALDLIKKKLQESGAPVTSSSIPTPSVQPGSESNGSKAADSTAKSMQNDNSKDKQKDANGDANVTDTSSDSEDEDSGPSKEECINQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSARRSLFEHYVKTRAEVERKEKRAAQKAAIEGFKQLLNEASEDINDNTDYHTFQKKWGNDQRFEALDRKEREHLLNERVLPLKKAAEEKAQARRDAASASFKSMLKEQGDITFNSRWSKVKESLRDDLRYKSMKHEDREFLFNEYISELKAAEHAAERETRAKRDEQEKLRERERELRKRKEREEHEMEKVRIKIRRKEAVTSFQALLVERIKDPMASWTESKPKLEKDPQGRATNSVLDSTDMEKLFWDHIKMLQERCVHDFRALLAEVLTSEAASRETDDGKTVLNSWSTAKRLLKPDPRYNKVQRKDREALWRRYAEDMLRRQKSSHDSKEDKYTDARGRKSLESSKHTLESGRSHERR >CAK8536148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911840303:911841214:1 gene:gene-LATHSAT_LOCUS5544 transcript:rna-LATHSAT_LOCUS5544 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLCEIDVPEAAFAMVVTEISQCVCEMVNGAYKNSRNLSIRVDFSVTWNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTIEDYQNENVNGDTRSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAISCIEELKTVTTKETEKCNICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8541856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418205495:418205868:1 gene:gene-LATHSAT_LOCUS10747 transcript:rna-LATHSAT_LOCUS10747 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQNNPRHYVRGFRFALDRLRANDFIWRPYPNYPECILADSQIWSATTSIISFHIVEMHQADRVKLQFGFQQDIPSQPICLREQHETEMLNTRGDH >CAK8577396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560506774:560507142:1 gene:gene-LATHSAT_LOCUS29509 transcript:rna-LATHSAT_LOCUS29509 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFRERDRTREKRLSLTRASILLLWVFLVFALILTLFYSINNETNNNNNKNHSFRLLRQQHQQQQQQRSINKALMFHASTRKRSRTRTRSTDFDDQAGSPEKALYGDEKRLIHTGPNPLHN >CAK8535003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801767194:801767778:-1 gene:gene-LATHSAT_LOCUS4490 transcript:rna-LATHSAT_LOCUS4490 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGKDIVDGSSSRSSTSTIGDGNSAGDNHHQQHQQQQQPQQPIPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNSNHVLEFLRYLDQFGKTKVHLQGCLFFGQTEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGSIRIYLREVRDSQAKARGIPYKKKKKKRIPIKHNGDTSNLPMQ >CAK8564786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9584400:9584874:1 gene:gene-LATHSAT_LOCUS18053 transcript:rna-LATHSAT_LOCUS18053 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGHLYYFLTVLHPLAGGKLKFNTPLLVHKIVAYWGEGTQMNAPVQSNPSAGIVFRGRSNRLGGTQTTTGSTSHETSGDAAAAASSPQQQNQGDGVAFRGKSYRLNG >CAK8537025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:63202115:63208342:-1 gene:gene-LATHSAT_LOCUS6345 transcript:rna-LATHSAT_LOCUS6345 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSPATLEPNPHHNSQPPSPNPVPNQPDLPPQSSQSQSTTSSSTPLPTNPNSNPSLPIAPSLPPPPALSYATGAPPQIPGVLPPSAPSFRPLGAQVPQFTPLPNPAGVYQNPNPPPGVSGSSMPVPMPQMQPMMSYQVPGTNPAMRHYAPIPNGYAMHPQGPLNPAGIPRYPPPYGTMVRPVYPPRLPGTINVLPVSRPPVAGIPSIRPIIPPIVRPVVLPSVTPAEKQHTTVYIGKIAPTVENEFMLSLLKLCGNIKSWKRPQDLSSGTPKSFGFYEFDTAEGVLRALRLLTKLNIDGQELMINVDEAMRNYLERYVQKKTESSKEKETQAAEAKKDDEVAKPSDVNEDAKPDPELSNKEEGNDSVNKKSHDVATFGIVTDEDREADRDTLEKIKTMIEERLKTRPLPPPPPPPIRDGSVDSFSEQPTKTREGDSDVDTKKSEAAEDKNERDANGDNKPASEHDRPETPDRRHDRKSRERDRERELKREKERELERYEREAERERIRKEREQKRRIEEVERQFEVYLKDWEYREREKEKERQYEKEKEKDRERKRRKEILYDEEDDDGDSRKRWRRNAIEEKRKKRLREKEDDLADRQKEEEEIAEAKKRNDEDQQLKRQRDALKLLTEQIVNGGDETTTTREITSEIKNIITVQDTVADYRHEDHTGDGNVLNTINDESTMASVATTDTQSSGGNAPMKKLGFGLVGSGKRTTVPSVFHEDEDDDAHKDKKLRPLVPIDYSTEELQAVEPTASGPTPPNLAAAAEFAKRISSTNFKEERLDGERDRSRHSHEKSNHRDRDRSDEDGTHHRDEHREKNSDRDRDRDHGLEKNKTYDHRRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHDRMRPWISKKIKEFLGEEENTLTDYIVSSTQEHVKASQMLESLQVILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >CAK8576939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:526343140:526346253:-1 gene:gene-LATHSAT_LOCUS29093 transcript:rna-LATHSAT_LOCUS29093 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFSFLPPSSHSLSSLSPSTPKSLPPISFSSSSLRLNLTPSPSPSLRLNRRADPLFILHSTDSGGGFGGHDGGGSFGGHGGGGDGNDGENDDGHSVLNEALLLLAQAGRSLESVPADLAAAIKDGKIPASVVARFLELEKSPFMQWLLKFTGFRERLLADDLFLAKLGMECGVGVIAKTAAEYDRRRENFFNELEIVFADVVMAILADFMLVYLPAPTVSLRPPLGVSAGAISKFFHNCPDNAFQVALSGTSYSFLQRFGAIVRNGSKLFAVGTGASLVGTAVTNALINAKKAVNKSSADEIENVPILSTSAAYGVYMAVSSNLRYQVVAGVIEQRVLEPMLHQHKLILGALCFAVRTGNTYLGSLLWVDYARWIGVQ >CAK8579170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681245115:681245720:-1 gene:gene-LATHSAT_LOCUS31136 transcript:rna-LATHSAT_LOCUS31136 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPQKQEPTTSSKSHDDKCLSKGERYTVWMKSLVLHSNGCTVYDSKGNIVYRVDNYDRKGGREVNLMNLKGNVVCTIRKRLLAFGCWEGHKYCSSNSNSRSLEEQAWFQVKRCLRGKVDCEIKVGSQNVSIERVSKGKSFGFRIISKDGEIIGEAKQKESSSGIVLSNDVLTLDLAAGTDHSLTMALITVYGLMCGLM >CAK8567291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:501568862:501569326:-1 gene:gene-LATHSAT_LOCUS20356 transcript:rna-LATHSAT_LOCUS20356 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVKVAVKQKRKEKGEDPEVQQDNLILKHQEGSSVRGEKVTSHNMDVETVAESLGAVILSIEKVPQKAIVNKEGAQNRKWTRRKTTKEVKSGSGKTVKPKLVKRQLVDVMITEGPIEKGILGEKKRKQTATVSEGHNLLTEVVLDDQHRLPQ >CAK8542948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561665332:561672680:-1 gene:gene-LATHSAT_LOCUS11743 transcript:rna-LATHSAT_LOCUS11743 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIEIGIKIRKLVFISIRGVYRSACHHPFLLGFLAFLLFLYRYFPFLFSVLVSASPVLVCTALLLGTLLSFGQPNVPEFEENEEKEERVTHGISSFQTGFSEGETVVSDRDASYFVRGYSELRSDVEESGIDEANVSGEKDDRTEKDRGLVNDSAPDHENLIDIQPENQVKEDVEREFRSFELGKSNEVRDGNLTSEVVSSDDEAIEKQYVMVQKEDGDDDDIFEIENGKVPEGEYVSLKEGDADCSPSSSWKRVENNSDADDDSEDSGSDGAESSSPDASMADIIPMLDELHPLLDINAPQPAHLSRDGSDAASEKSQKDDDDDDDDDDDGDDDHSVESDEDIEKHGEAEEDGVDEEEEEMEGGKEDESKSAIKWTEDDQKNLMDLGTLELERNRRLENLIARRMARRLMTEKNLIDLDSADIPYNVAPISVRRNPFDFPDESYAAAMGLPPIPGSAPSILQPRRNPFDIPYDPNEEKPDLKRDSFQQEFTHFNQKDAVFRRHESFNVGPSVFGISKQERHDISWKPVFISERMASEGTSYFQRQSSEVSDSKLSSVPDSESVSSGDQDERKFSEPDLSPEAELTSNTEHVINEAGHGSASSEENESVEMIQAEENDVFQEENEIVLGGVEDPSEMEFYPATREVEIDEQFNDGETSLRRESSVEVSSSRSSQSFQSEVIDDIPDDESEKTANLQHEDGHIPESRISTQISVEESRISTQFSVEESGISTQTSMEESNFQHISREVEDTHLVEPVYDSSPLAAEKLISFSSVSSDSAAEISETVLPPVSVDTTADVADKESEAHNDRPDNYSSGHEKTQAASSELHAEVEHEMSAEKSEDIDQHNVAAEESSAVIPNSVDQNGSTVTEFVDTNFSSDVVSEKGVADSGMVRGHDIESPESNYQLASSEKLDDESAEEDALPNEISRQDNEDMSVPIQGDNETLDSVPSDAHRIPSNSSPMHAAGDSQFSQVDTEHLEKSRSTEEHIFPIEQDNVFLSSSVEQGNTDIHQDLDSNMVSFTTDSQHEADVKSPSDLESHLSSSDKLVDPQSSSDHDENQNSDDVKVEPSQDAGTSNYGVGESHDDLDGASVFISSATSVNSDTPEFRSPTSESHLEVHKQRREIANEDQNEALQNLVPSAEGFMSPNNEENINEFDYMNEIDEEFLSELDTVGDFSVGDAGVLHHTDIVQDETRDAQVSSLPEDVKTAEVEQDNDIPVLEARSLEDIDIAFKQLQEGVDVNDVILPSTIKDQLDSKECKDHVEVNSDLQVIEARSLEDIDVVIKEISKDNQEELPEKLDAEDASIKTEADEVSSAKLNEQKIDVSTNAEEMSRTTIDKSENASSSSSGNK >CAK8536080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905728225:905730708:1 gene:gene-LATHSAT_LOCUS5481 transcript:rna-LATHSAT_LOCUS5481 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKLNHQLQHQNGHLSPFKFAKLLDPEASWDKDQLGDVLHWIRQAMGLVFGLLWGAFPVVGGFWFILFLVLSSGIIYGYYSVILKVDEEEFGGHGSLLQEGLFASTTLFLLSWILVYSLAHF >CAK8561018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:74912337:74913084:1 gene:gene-LATHSAT_LOCUS14646 transcript:rna-LATHSAT_LOCUS14646 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGSKFGSDLVKSIKEDLQKLYDWYKQAYDQKHNSTQPFGSGGNNVSNDETIVGVARLSIMARDDAFEQHLEEQNSIDQQNELEGYNSSKCVKRDPNFDILVWWKRNSAEYPILSTMAKDILATPVSTVASESAFSTGGRVIETYRSSLTAEMTEALICTQNWLRPSLTYFKDMNLMEDFELSEDIVTEFQQMSSAARGGSGVSSSQPQPKPSGCA >CAK8578392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626337733:626348384:1 gene:gene-LATHSAT_LOCUS30406 transcript:rna-LATHSAT_LOCUS30406-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRTAARSRVRSSSEPDANSLREGRPKFNRQRGRSKYGSSIPLFNNVSLKLVLAISFFAFFIILFFIRHLVNSVAEPRLPRAVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARSPQSLMAGLMWIGVKDGRYHLRHVCRHEDDLSTYGWKKHNGRDYGHQVLVDHGMILTTEFLKSKSDDSGYGGDWAVRIDLQIDKSKWNEEFGKGGQLFFYLADEGGNVLDVSQENFNIRENSLLASGSQTDIGDWQLHLKSTDDLKLHYSGFRTPHYHNLSDLVEENLASQIRKHAQLQLSDSSDDSSNVLVFQIVGGFPFTTDFVLISGTDSVSSRVEERVNSLTGTSLSNELKYKEQAFDEKIEKIFNLAEKAAVGSLLGGIGYFYGQSKIALSRTLNFRKHVDYVSYWPAELYTAVPSRSFFPRGFLWDEGFHQLLIWRWDIHISLDIIGHWLDLMNIDGWIPREQILGNEAISRVPEEFVPQHPTNGNPPTMFLALNDIITGLKNNEFTGKDRDEISMFLERAYVRLEAWFQWFNTTQSGKQMSSYYWHGRDNITMRELNPKSLSSGLDDYPRASHPSTDERHLDLRCWMLLAADCMHSIEKLLDKETKSGKNYGSTANLLSDHELLNQMHFDNAYGAYFDFGNHTEKVQLKWKEMETGQNYAPRQLVRDVLERPVLRFVPHIGYVSLFPFMGRIIPSGSWILEKQLELISNNSLLWTDYGLRSLAKTSSMYMKRNTEHDPPYWRGPIWINMNYRILSALHHYSKDNGPYQDKAKAIYTELRSNLIRNIVRNYQQTGFFWEQYDQIKGKGKGAHPFTGWTSLVVLIMAESYGTI >CAK8578391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626337733:626348384:1 gene:gene-LATHSAT_LOCUS30406 transcript:rna-LATHSAT_LOCUS30406 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRTAARSRVRSSSEPDANSLREGRPKFNRQRGRSKYGSSIPLFNNVSLKLVLAISFFAFFIILFFIRHLVNSVAEPRLPRAVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARSPQSLMAGLMWIGVKDGRYHLRHVCRHEDDLSTYGWKKHNGRDYGHQVLVDHGMILTTEFLKSKSDDSGYGGDWAVRIDLQIDKSKWNEEFGKGGQLFFYLADEGGNVLDVSQENFNIRENSLLASGSQTDIGDWQLHLKSTDDLKLHYSGFRTPHYHNLSDLVEENLASQIRKHAQLQLSDSSDDSSNVLVFQIVGGFPFTTDFVLISGTDSVSSRVEERVNSLTGTSLSNELKYKEQAFDEKIEKIFNLAEKVDSESISVGKAAVGSLLGGIGYFYGQSKIALSRTLNFRKHVDYVSYWPAELYTAVPSRSFFPRGFLWDEGFHQLLIWRWDIHISLDIIGHWLDLMNIDGWIPREQILGNEAISRVPEEFVPQHPTNGNPPTMFLALNDIITGLKNNEFTGKDRDEISMFLERAYVRLEAWFQWFNTTQSGKQMSSYYWHGRDNITMRELNPKSLSSGLDDYPRASHPSTDERHLDLRCWMLLAADCMHSIEKLLDKETKSGKNYGSTANLLSDHELLNQMHFDNAYGAYFDFGNHTEKVQLKWKEMETGQNYAPRQLVRDVLERPVLRFVPHIGYVSLFPFMGRIIPSGSWILEKQLELISNNSLLWTDYGLRSLAKTSSMYMKRNTEHDPPYWRGPIWINMNYRILSALHHYSKDNGPYQDKAKAIYTELRSNLIRNIVRNYQQTGFFWEQYDQIKGKGKGAHPFTGWTSLVVLIMAESYGTI >CAK8544161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:669495409:669496810:-1 gene:gene-LATHSAT_LOCUS12863 transcript:rna-LATHSAT_LOCUS12863 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTPSVSSYICNRIYNLSPTHSSISLTTNFPQRPISHKPFDPILKSQSFTLSPLTIQPLQPLFAAADEFETNVDTTVSQQDEQETETETELETSDEPKKEKEQNVSTSDDAGRLYVGNLPFSLTSAQLSEIFAEAGTVVSVQIMYDRVTSRSRGFAFVTMKSVEEAKAAIQMFDGALVGGRNAKVNFPEVPKGGERLVMRKEMRNSYQGFVDSPHKIYAGNLGWQLNSQDLRDVFAEQPGLLGAKVIYEKDSGRSQGFGFVSFETAEDLQAALNAMNGVVVQDRPLRLYLAAGRNSSSSPPVIEESTASNVDSSELVSSSST >CAK8569048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666619561:666621314:1 gene:gene-LATHSAT_LOCUS21945 transcript:rna-LATHSAT_LOCUS21945 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIQKKPRILCLHGFRTSGQILKKLVSRWPETVLQKLDLVFIDGQFPAQGKSDVEGIFDPPYYEWFQANEDFSEYRNFEECLTYIEDFMLENGPFDGVLGFSQGAFLAAALPGMQEQGVALEKISKIKFLILISGAMFGGMKYGTPKLASNAFSKPIDCPSLHIIGETDFLKPESIILQKAFVDPVVIHHPKGHTIPRLDEKSLATMLGFIDTIQGMLLDGHNKD >CAK8569049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666619561:666621314:1 gene:gene-LATHSAT_LOCUS21945 transcript:rna-LATHSAT_LOCUS21945-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIQKKPRILCLHGFRTSGQILKKLVSRWPETVLQKLDLVFIDGQFPAQGKSDVEGIFDPPYYEWFQANEDFSEYRNFEECLTYIEDFMLENGPFDGVLGFSQGVALEKISKIKFLILISGAMFGGMKYGTPKLASNAFSKPIDCPSLHIIGETDFLKPESIILQKAFVDPVVIHHPKGHTIPRLDEKSLATMLGFIDTIQGMLLDGHNKD >CAK8535827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883907634:883908284:-1 gene:gene-LATHSAT_LOCUS5247 transcript:rna-LATHSAT_LOCUS5247 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIVGIVVGGAFGILLLALILYFVLYRRKKVAHVTFLPVPGASEDQYSQLQHGCGSSLDKASESTTIASPRLTGITVDKSVEFSYEELAKATDGFSAENIIGRGGFGSVYYAELRNEKAAIKKMDMQASKEFLAELKVLTHVHHLNLV >CAK8569120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:673341345:673344120:-1 gene:gene-LATHSAT_LOCUS22004 transcript:rna-LATHSAT_LOCUS22004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLNGAISVARALKANSTAVTTLLRPPRAAQYVTTCWTSSNPRNLIDHSGLSTALAIRSNAVGFRYYGSATATSLAQQDLKATGSEQNRFNPKEVVLFQYEACPFCNKVKAFLDYYDIKYKVVEVNPMNKKEIKWSDYKKVPIVTVDGEQLVDSSDIIDKLVKRIHPDYDLNADEEKKWRGWVDNHLVHVLSPNIYRTVSEALESFDYITTKGNFSLYERLVAKYGGAAAMYFVSKKLKKKHNITDEREALYGAAEQWVDALKGRKFLGDLEPNLADLAVFGVLRPIRHLKSGRDMVEHTRIGKWFSEMEHAVGQAS >CAK8532222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:223298240:223299367:-1 gene:gene-LATHSAT_LOCUS1953 transcript:rna-LATHSAT_LOCUS1953 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHIQALEEQAIAATVQSNVFAQVWAEALPKSLHCLDVKLTSDWLKKPSLQKLSDQTRNSLRLTDQNLYHFCIFSDNVLATSVVVNSTVLNAEYPMQLVFHIVTDGVNYGTMQAWFLGNDFKGATIEVQNVEEFHWLNESYSPIVKQLLNSESRAFYFGAYPNVNAEPKLRNNKFLSLLNHLRFYIPEIYPQLEKIVFLDDDVVVQKDLIPLFSLELHGNVIGAVETCLEAFHRYYKYLNFTNPIINSKFDPQTCAWSLGMNVFDLIQWRKSNVTAIYHYWQEQNADGTLWKLGTLSPALLSFYGLVEPLDRRWHVLGLGYDLNVDNRLIESAAVIHFNGNMKPWLRLSISRYRPLWHKYINHCHPYFQECAIG >CAK8565340.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:139601325:139603913:1 gene:gene-LATHSAT_LOCUS18561 transcript:rna-LATHSAT_LOCUS18561 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKSLFTSISLLPEERNLTRYEVYNVCIEQPQMIIAEGIWASHTAVPPKSSFTMLEIQIIAIFLITQLFHLFLKRLGFSYFISQVMTGFLLGPSIKCGRLDEYKKLLFPFGGPDILNTISSYGYAFFLFLNSVQMDFTLITKTGKKSWVIALLSYFLPIMVGFLFIFSTRRIWKDLLGNEQAYTLPIVVISQSGCSFAVIASLLRDIGILNSELGRLALSSAFLTDLSGGIFAGVGTAIVSSMDMGVVLIVKNLLLFFVYLIGIPLVGRPIMMWMVKNTPEGKPINKIYINAIVVVFFMLGLLAGAFNQPFLAGATILGLAVPEGPPLGSELVNQFELFSTWILTPLFVTCCVMKVDLTLCAPPIHIFVVTGFILLVHTIKLLQCMTVCKYCNMPTTDGLCLALILSCKGVVDCCSYILVYDGTRQTPESIGVMVISTLVFATISRIGVKALYDPSRKYAGYQKRNIMNLKQNSELRLVAVVHRASHMVHVKNFLNLCSPAPDNTLIADVVHVMELIGRTTPIFIAHRVQNKMGSTHNYSGELVVTFDLFERDHAGSATANTYTAISPQTFMQDDVCYLALDKNAAIIILPFHIRWTKEGLIESDDSMVRTLNSKVLERAPCSIGILVNRGNSATSNNNLMGYKVAMIFLGGPDDREALCLAKRFSKNLNNILYVYRLLASNHDAMGWEKMIDDEELREVRGAYVKLENVKYEEKTIEDASETTTFIRDIANKFDFIMVGRRNGVKSPQTLGLENWTEYTELGVIGDLLASPDMETKASVLVVQQQQQTNSISST >CAK8542422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512254310:512254947:-1 gene:gene-LATHSAT_LOCUS11262 transcript:rna-LATHSAT_LOCUS11262 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWVVDHLGVSQRVAQQQVRECRGFLLQAGVVI >CAK8540825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:23970681:23972103:1 gene:gene-LATHSAT_LOCUS9792 transcript:rna-LATHSAT_LOCUS9792 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEDKCGKIQATVRKNLIPTFKEQIEVGSSYMFENLMVGSNHPSYKVTTHKYKLNFMQSSKVFKVNAPDIPQYHFDFIPFSEILSATKEDRLLDIIGHVVETNTVNEVEKNEKKSEVMDLTIEDLESNKIHCTLWEDFAEMMQKLMDNHKSSDPIIMILQQCKLKKFFGVMGVSNAYYGTKMMLNAGLIDTANYISKMNGTEIEITQGVSQMSGPTILPLEDDLLQTQKMTIKNLIESAEKCVGTILARTCEIETDLGWYYQACTKVCIKVCSN >CAK8560476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21350851:21353179:1 gene:gene-LATHSAT_LOCUS14144 transcript:rna-LATHSAT_LOCUS14144 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITTLLTILFLTISLQTQASVHDYKSETFSVKGNAFVVHGGSEGIYSSTLNETSVSPSIPDSFIRFDKVTFRRNKELSNFSSWPIQAVVFEVEDREMIGGSAYGGQRAVCCTGDLAKLGVCNEGQVIHRPSTVNPDWPQVYGVAFEMDDEVAELPLKSIQITKTGMYNLYFIHCDPRLKDLVVEGKTVWKNPSGYLPGRMAPMKIFFQFMSFAYVLLGVFWFFQYLRFWKEVFPLQNCITLVITLGMFEMAFWYFDYAEFSETGIRPTGTTIWAVTFGTVKRTIARLIILIVSMGYGVVRPTLGGLTSKVIMLGGTFFVASEVLELVEHVGAISDLSGKAKLFLVLPAAVLDVFFILWIFTSLSATLNKLQARRMMIKLDMYRKFTNALAVAVVVSVGWICYELYFKSNDIYNEQWQNAWIIPAFWQVLSYSLLCVICVLWAPSQNSTRYAYRDDGSEEFDRDDTTLTLIKPSSISTKDVRSVPDVRPVQDNNGTSNDDLEEDKRE >CAK8575670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:244605096:244605344:-1 gene:gene-LATHSAT_LOCUS27913 transcript:rna-LATHSAT_LOCUS27913 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSQGILYSLHRCKTLHLVRHAQGFHNVKGDKDPKAYLSYALFDASLTPVGWKQVDNLREHVKGSGLSERIELVIVSPC >CAK8540173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542883816:542907324:-1 gene:gene-LATHSAT_LOCUS9203 transcript:rna-LATHSAT_LOCUS9203 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPGSPDDLNLDELRELFYPHIESFDHLIDAGFETIFRSINLKSFVVTHPSTSKKLIISLEKPALHPPQKEGVAKSTRQALYPFECRQAKLTYSGRFTANVCLQYEGDAAIVREHFSFGQFPIMLKSKRCNLRGASPRKLVSLKEEASEMGGYFIVNGLERYIRPIIMPKRNYPMSTVRSSFSEKREGYTDKAVVIRCVRADQTSLTVKLYYLRNGSARLGFWIQGREYMLPVGILLKALIDTTDREIYVNLTSCYNEKYEKGKGAVGTQLVGERAKIILDEVRDLSLFTRLQCLQYIGEHFQPILHELRNESHYTVANAVLKDFILVHLDDNFDKFNLLIFMLQKLFSLIDQTSVPDNPDSLQNQEVLLPGHLITLYLKAKLEEWLEKGKLMLLDEIEKKGETFNFNDFTQVKKVLVKNHANAVSKAIESMLTSGRLSTTISLDLPQVSGYTLQAERLNYLRFLSHFRAVHRGALFAGLRTTTVRKLLPESWGFLCPVHTPDGGPCGLLNHMTRTCRITSFYDSQGNIKDYFKIKLSILNILIDIGMTQSLPKIFLPGPPEVLTVLLDGCIVGFIPSIEIEKVVAHIRKLKVSSAAAIPNDLEVGYVPLSMGGAYPGLYLSTSPSRFVRPVRNISIPSNGDDNVELIGPFEQVYMEIRCPDGGDGGRKSPFPPTHEEIHPTGMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSSQTIQHRADQKLYHLQTPQSPLVRTSAYTEYNIDEYPSGTNAIVAVLAYTGYDMEDAMILNKSSVERGMFHGQIYQTETIDLAEQGPATERSSRVFRKSKLDKSSCPSIDSDGLPHVGQMIRPDEPYCSSYNEVTNKTFVFKKKGTEPAYIDYVSVDVKNKKHLRKANIRFRYPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGNTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKFKDATPFRSSVESGSKSASLVDELGDMLKEKGFNYHGCEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRSTGTVDQVTRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHTCSDYHIADVCSICGSMLSTTFIQAQKQTVREIGGLPPRRAPNKVTCHACQTSKGMETVAMPYIFRYLAAELAAMNIKMTLKLNHGANVSDTSV >CAK8535907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:890523762:890527700:1 gene:gene-LATHSAT_LOCUS5325 transcript:rna-LATHSAT_LOCUS5325 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLSSSLSWSLPCSSKFKVVKAAYDTQQRLPYNPNTPRKLTNNTQTQPQPLPLTTPPPPPPLSTRKDQYITDLLKRDTPLPTSGKIEEEEESETYLGYERWLPTPPKVVKPRSVFNATALAYIGDGIYELYARRHFLFPPLSIEEYNNRVMAVVRCEAQDALLQKLLDSNFLSDQERDVLRWGKNIVSSKTKTKKRAGSAVYNRASSLETLVGYLYLTNVNRLEKLMLELGFSVDSSMPLNLEELITGELNR >CAK8575972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:377584098:377585960:-1 gene:gene-LATHSAT_LOCUS28194 transcript:rna-LATHSAT_LOCUS28194 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRSIFFQPSPPPSNFPPPSPLRTFSESLMDENIETAESLITKWDYSNTNQSEQIITPLFSGSTRHEAKQYLNAVKGLQSAMQYLVTRDSTSNSLVKAQFLMQLAMKTLQKEFYQILSQNRECLDPETVSNRSSVDCRSSVSVSDYDDEVSDEEFRVAGNTISETERVSMLAMADLKAIVDCMISSGYGKECVKVYTLMRKSIVDEALYHLGVERLSVSQIQKMDWALMELKIKAWLNAVKVAVRTLFHGERILCDAVFANSSGKRIDESCFTEITKEGAASLFGFPEMVVKCKKTSEKIFKILDMYEAISDLLPQIQSIFSFESTSNVRLQAVTSMAKLADAAKTVLTDFESAIQKKSSKKKLPGGGVHPITRYVMNYISSLADYSDVLSDIVFDLPQSPLPESYFESPMRGNNQSSSEISEKIAWLILVLLCKLDVKAEYYKDVGLSYLFLANNMQYVVVKVRRSNIGFLLGEDWLMNHELKVREYVSKFVRYGWDKVFSTLPENPTAEMPVEKVKASFFNFNAAFDGECRKQSSWIASDPKLRDEIKGAVSSKLLSRYSGFYEMNRVGFESIVRYEPEYIESCLGKILYGVHDSGSVSSFSSTTSSSCGSSSR >CAK8567281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:500946116:500956713:-1 gene:gene-LATHSAT_LOCUS20347 transcript:rna-LATHSAT_LOCUS20347 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTTGMVLFTLRYFAGPDVPRYVLFTVGYTWFCSLSIIILVPADIWATIASHTENGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVSERLKTSVHVNLVFYLIVGSIGLFGIILLIMMHRSWTGSLLGFAMTCSNTFGLVTGAFLLGFGLSEIPKSIWRNADWTTRQKVLSHKIAQMAVKLDDAHQELSNAIVVAQATSKQMSKRDPLRPYMNVIDDMLTQMFREDPSFKPQGGQLGENDMDYDTDEKSMAKLRRHLRNAREEYYRYKSEYITYVLEALELEDTIKNYERRNSTGWEYNSTIRPDRTGKLGSLFDTLEFFWKCIIRKQVEKGFAVLLGIMSVAILLAEATLLPSIDLSLFSILIKSVRTQELLVQAFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVNLLMICSMVARYAPPISYNFLNLIRLGPHKETIFEKRMGNIDNAVPLFGDRFNKIYPLIMVTYTLLVASDFFDKVFDFLGSWKRYIFKTEAEDMDGLDPSGIIILQKERSWLDQGRKIGEEQVVPLARNFNGIDIESGSNYRERNGVEMKTTDLITEEGNVGVTKTLDEETRRYGSSREAISNKYAAIRGQGGSLSKFKAEEKNTASAYSALDEGNTNSKSSAGAPSSGLASTWHTMKTGFQSFKSNIGAKKFLPIRQIQENAVSRVSSSESLDDIFQRLKRPSLDQVTYNDED >CAK8568560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:617189067:617190121:1 gene:gene-LATHSAT_LOCUS21506 transcript:rna-LATHSAT_LOCUS21506 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNLLKPMLGRGELRCIGATTLNKYRKYIEKDPALERRFQQVFCCQPFVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKTIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLNDLDITEIVSQWTGIPLSNLQQTEREKLVLLEQVLHKRVIGQDIAVKFVADVIRRSRARLSDPNRPIASFMFMVPTGVGKTGLGKALANYLFNTENALVRIDMSEYMEKHAVSRLVGAPPG >CAK8543619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626841383:626843776:1 gene:gene-LATHSAT_LOCUS12367 transcript:rna-LATHSAT_LOCUS12367 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPNYIIHAHFNGETFISENSGFGFQNTDVTRLSMSRKANFVHFKERIQSKILSGPVSQIFYRSPVFFDNNQVKYFQEKIQDDSDVDQMFDSHERSGFDHIEVYLLLCQTEHEVGETTDIDEIDVVDEEEEEPEAMVDQMVNLFGTGDYTAMTPLQDIDEDAVPLNQVYCPPQHMTNLQFSGDDTSSDYFYNPSQQLEGVLKVGNQYRTKDECMKAVRKFHMDNFVDFYINRNDSNRYVVVCRNEGCKFRLATSNRKRSDSWVIGSMDPPHTCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVSTIISHVVARFNYTPSYRKAWIGRIKAVEHVYGNWEHSYNQLPQYLLALQKYVPGTVVILESLPAYTPEGTCVDGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLSGKYKGTLLMAVAQDGNNNIFPIAFALVEGETSEAWSFFLRNLRTHVAPHPNLCLISDRHASIVSAYNNPANGWHDPPSVHVFCIRHIAQNFMREIKDRNLRKKVVNAGYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSTVLQSGQIFSEVSMKYMRDETSKATSHRVRPFDHHDYSFIVDETMDHNEGRPMGHYRVELHKNWRDCEKFQTFSMPCSHVIAACSTARHDPFLQLSEVYKVVNLFGIYSNSFPVVPSEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKLIRMCGICRIPGHTRKNCPNVGTSSR >CAK8531495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115596080:115609744:-1 gene:gene-LATHSAT_LOCUS1283 transcript:rna-LATHSAT_LOCUS1283 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWNQCLSMLGEAKVDDNGTVYDTKDSNVMYLDKDSEDREINISSAICFLRGKAYEALENRSQARLWYKAAIKADPLCYEALECLIENHMLTCDEETNLISSLQFGSEDGWLSSFYSCLVKKYDKEIVVEAKFRHLENESCKTDQSNPSFCRTLKTNTDLLACKAEYYHQCGEYQKCFELTFVLLEKDPFHIKSTLVHLAAAMELGNSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFSKATSLDGTFPPAWIGYGNAFAAQEEGDQAMSAYRTAARLFPGCHLPALYIGMECMRTHSYKLAEQFFMQAKSICSSDPLVYNELGVVAYYMKEYKKAVWWFEKTLALIPTALSEMWEPTVVNIAHACRKLEMYREAISYYEKALALSTTSVSTYAGLAYTYHLQDDFTTAITYYHKALWLKPDDQFCTEMLSWALIDESRICAESHLEFR >CAK8572955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572667109:572669286:1 gene:gene-LATHSAT_LOCUS25454 transcript:rna-LATHSAT_LOCUS25454-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSVVASASLIFSRETKPDNQNIPNLAKVWNVLELRILVAVSLFLQMLLIFLGNRRKYIVSKRLKLLIWFTYLSADWVATVALGILSKDTKDRKSDSDFVIMAIWAPFLLVHLGGPDTITAYSLEDNQLWPRHMLELLYQLAVAVYVVYRSWNTDPLKYVTVPIIIAGIIKYGEKTWSLRSGSSDGFRESILPPPDPGPNYAKFMDDYTAKIDEGYHVTLDEINETTPLVLDHNSQGGTTIPNPNIPDGQALSDGFKFYNIPECLFADLIFSFQDHRSSQFFFYGSNWKSAFKAIEVELGLIYDMLYTKAAITYSYRGIFLKSVSFFCTLSSFIAFYFLMSNKNEFFKLDNKGQKHWNYDLIITFVLFIGAIVLEMYAVIVLLSSSWVMNWLSQHKNWRVDLLYKLVSFCQICFKLSHTIRWSNKMSQFNLIRFCLKEESVKCIEIQKLLRIYNFFEKFYYQKTKQVSEGLKELIFDQLKDKSVEATDTEACKKLCAHKGDRVLTKWNCHNIRDINQSIKEVEFDQSILLWHIATDLCYLDDDESQNLNGVALQSCEKSQLVSNYMLYLLVICPFLLPNGIGQIRFEDTCAEVDELLKERKYIKKRSEVCKMILRVNTTISPSEVKGDRSKSVLFDACRLAKSLQSLETEENWSKERKWKMISNVWVEMLCHAASQCRGLNHAKQLSQGGELLTHVWLLMAHLGITEQFQISKGHVRVKLKLS >CAK8572954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572667012:572669286:1 gene:gene-LATHSAT_LOCUS25454 transcript:rna-LATHSAT_LOCUS25454 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVVASASLIFSRETKPDNQNIPNLAKVWNVLELRILVAVSLFLQMLLIFLGNRRKYIVSKRLKLLIWFTYLSADWVATVALGILSKDTKDRKSDSDFVIMAIWAPFLLVHLGGPDTITAYSLEDNQLWPRHMLELLYQLAVAVYVVYRSWNTDPLKYVTVPIIIAGIIKYGEKTWSLRSGSSDGFRESILPPPDPGPNYAKFMDDYTAKIDEGYHVTLDEINETTPLVLDHNSQGGTTIPNPNIPDGQALSDGFKFYNIPECLFADLIFSFQDHRSSQFFFYGSNWKSAFKAIEVELGLIYDMLYTKAAITYSYRGIFLKSVSFFCTLSSFIAFYFLMSNKNEFFKLDNKGQKHWNYDLIITFVLFIGAIVLEMYAVIVLLSSSWVMNWLSQHKNWRVDLLYKLVSFCQICFKLSHTIRWSNKMSQFNLIRFCLKEESVKCIEIQKLLRIYNFFEKFYYQKTKQVSEGLKELIFDQLKDKSVEATDTEACKKLCAHKGDRVLTKWNCHNIRDINQSIKEVEFDQSILLWHIATDLCYLDDDESQNLNGVALQSCEKSQLVSNYMLYLLVICPFLLPNGIGQIRFEDTCAEVDELLKERKYIKKRSEVCKMILRVNTTISPSEVKGDRSKSVLFDACRLAKSLQSLETEENWSKERKWKMISNVWVEMLCHAASQCRGLNHAKQLSQGGELLTHVWLLMAHLGITEQFQISKGHVRVKLKLS >CAK8541749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:381473767:381483901:-1 gene:gene-LATHSAT_LOCUS10645 transcript:rna-LATHSAT_LOCUS10645 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKSVLAVIRAARPTFRNQNDKIAFVVHSSFLASGYILTATGPLALSDTALSNSSNDEVAVDHWNELNDEYAFVYLNAEKGGKKVLVKCIVMNEKLLVHALSEGFPEPLHLEINVGDYSGEDRGSNFSQQFKNLDKLVKRIDADILSKLDGSSNASSSTISSETIDKRELERSEPVPGFGEPAGPYDHNPGFIIPPVGIGSGSDLFPGPAAGVYPSRGDHGFGGSMLVGPNDPRWFGGGVGGGPAFPGGLPGVPPGARFDPIGPPGLPGFEPNRFARNPRRPGYDAHPDLQHFRRDTDSDYI >CAK8576651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:501458547:501460751:1 gene:gene-LATHSAT_LOCUS28824 transcript:rna-LATHSAT_LOCUS28824 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACFVDAATAVLPPPGYALAGDFTLPLPFTFSAAATITDDADAATAVEDVAVEDSAANWSPSLSSKLFRIDGWGFPYFGVNDAGDISVRPHGAATMSHQEIDLLKVVKKASDPKCCGGLGLQLPLVIRFPDILKDRLESIHAAFDGAIQSQGYESHYQGVYPVKCNQDRYIVEDIVDFGSAFRFGLEAGSKPELLLAMSCLCKGNRDAFLVCNGFKDTEYISLALIARKLALNTVIVLEQQEELDMVVEISKKLCIRPVIGVRAKLKTKHSGHFGATSGDKGKFGLTTIQILHVVKKLEQLDMLDCLQLLHFHIGSQIPTAELVADGVREASQIYCELLRLGAQMKVLDIGGGLGIDYDGSKTGDSDVSVAYGLEEYAAAVVHAVKYVCDRRNVKHPVICSESGRAIVSHHSILIFEASGASTNTAPSLSSIGLQYLGEGLSEEALADYQNISAATLRGEYEACLLYTEQFKKSCVDQFKQGTLGIEQLAAVDALCDLITETIGAKDPVKKYHVNLSVFTSIPDFWGINQLFPIVPIHRLDEKPTARGILSDLTCDSDGKIDKFIGGESSLPLHELEGHGGGYYLGMFLGGSYEEALGGLHNLFGGPSVVRVLQSEGPHGFAVTRAVAGSSCADVLRVMQHEPQLMFETLKHRALEFCGQHDDDSVVSAGGLANSLAQSFDNMPYLVSSTTCCLNALTNNDGFYYCSGDDFSADTVSVATSVAGEDENWPY >CAK8536377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936144224:936145368:-1 gene:gene-LATHSAT_LOCUS5752 transcript:rna-LATHSAT_LOCUS5752 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSYPEDNNHSVLMERRKDSIIRTCPTCGHHIKCQDQGAGIHELPGLPAGVKFDPTDQEILEHLEAKVRSDIQMLHPLIDEFIPTLEGENGICCTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHTDSDGSETRWHKTGKTRPVYVIGKLKGYKKILVLYTNYRKQRKPEKTNWVMHQYHLGNNEEEKEGELVVSKVFYQTQPRQCGSLMKDSSSFSDQKLIGDQVVNEVVNHKNSGFVEFYNTSFISFDQGEQHRSSNAQVISHFPVHDGTSFIP >CAK8538967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500486118:500486318:-1 gene:gene-LATHSAT_LOCUS8111 transcript:rna-LATHSAT_LOCUS8111 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPGRVCMLCTCLIVIVIVIGFMFGFGVFKHGFHKIKDTVSYCDSCGGVGGGGRPFLGYAPPPLF >CAK8564476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:676980616:676981835:1 gene:gene-LATHSAT_LOCUS17778 transcript:rna-LATHSAT_LOCUS17778 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHYLSFFLFVFILELSTNVYGFSSPKKSVKPLLPAEALLSISHYHSTCPDAEGIISQKVAAWVNKDPTLAPSIIRLHFHDCAVRGCDASILLNHKGSERTAFESKTLRGFGMIDEIKTELEKRCPRTVSCADILTAAARDATILAGGPFWEVPFGRKDGKISLTREANLVPQGHENITGLLAFFKERGLDMLDLVTLSGSHTIGRSTCYSIMNRIYNFNGTGKPDPSLSVYYLKLLRKRCKKDLDLVHLDVITPRTFDTTYYKNLVRKVGLLSTDQLLFSDARTGPFVEAFATQPFLFDSQFSVSMVKLGNVQVLTRPNEGEIRVNCNYVNHV >CAK8573646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626999581:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRSNVSLKVRSMAEGEQKEQPKVSVDPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8573650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626991339:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069-5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFINIPSVYIPSFRRNVSLKVRSMAEGGQKEQPKVSVDPITPIAPTPAPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8573651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626983306:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069-7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQLTNIPSVYIPSFRRNVSLKVRSMAEGEQKEQPKVSVGPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFSGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8573645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626999581:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRSNVSLKVRSMAEGEQKEQPKVSVDPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFSGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8573647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626999581:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFTNIPSVYIPSFRSNVSLKVRSMAEGEQKEQPKVSVDPTTPIAPTPTPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8573649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626991339:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069-6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFINIPSVYIPSFRRNVSLKVRSMAEGGQKEQPKVSVDPITPIAPTPAPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVSAMGVEIARGQGLFEQLSSGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8573648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626982483:626991339:-1 gene:gene-LATHSAT_LOCUS26069 transcript:rna-LATHSAT_LOCUS26069-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCQSIMSSSMTNISSRSRVNQFINIPSVYIPSFRRNVSLKVRSMAEKEQPKVSVDPITPIAPTPAPQPAYTRPPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFEQLSGGGVAWFLGTSVLLSLASLIPFSQGVSVESKSKSIMSSDAELWNGRIAMLGLVALAFTELVKGTSLV >CAK8532207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221177317:221184389:1 gene:gene-LATHSAT_LOCUS1938 transcript:rna-LATHSAT_LOCUS1938 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQGNHGPNSGQGSNPPPPPALLPPPPPPLGYQQALPPPPPPHFQHFVPPPPPAVPRVYLHGPPNVPNIGPSYSIPSQMHHGNSMAQQTFSSIGQNSQHSPNLGVHSHTILPPVPPPIGHSHQETSWAPRLPSRVLPPPPSSSQGQILHNHHLPPPPPPPPFNAPSPDGVYGHSTVGNYNHMASVAPPPSLPPLPSSPPPVLPSPPPPAPLMAASTSSQVACTDDQYSSEAVESVEGFVGSCPSGTVPVHNSDSETNQDVGNCGDVALVALRDELLPTRSVMLDLTPPLPQPAEENTVSADSDMEMEDDITLSDKDQGSTYAIEGVTLPHDRVDEVFSINEKIQRLQSSTQDEPDKGILSSGVSCSGSTGVSKKNEGLGPSSDVVPMKSARSATKVLSPLNDSIELAESLPSTKSGRLEAPLDKYFIGNGASDHSEATDPNRDSEQVMRTGSPIRLLQNYASDETSDNEDEVSTEDANNVFTDSAGVDSCVPNALKDHKSNLETDIGLKSPSVPDVTGKRSVSHTSDGCVAPNLENQVSVNFSSSVEALQGEDRLGDTGFDIDSKSGTAEQKREKETSKFEPTVLKVDEFGRHIRDGASGSDSDESHSHRTKRTNKRDRSRSRSRSRSPIDRRSRRRRRSPWRRKDKRSLSRSWSPRRRRSRSRSPILRRSGDIHGENVRRDKDQCFDFLRRKCYRGALCRFAHHESDRSATSRRSRNKHDLELDSREKGSRVNEEVKSISSKVSDYEHGVRNQDTDLHQNITGQEVVQRKEDFEGRAVVSTTFGIDGQSFNSIPNSDGVREVSPKVHETLVVGEKPKTSIHESDSSQNVLSSYQQHLVDDFQPEALIHADASKPSGGTSKDVIPSEDGSFVQQRQSNVSVEIPEHSGGTSKDVLLSDDGSFVQHLPSNVSVGVPEHSGYPSQLLNVAGVTGLSSDKRSANEVSGSEPLPFTLPSTQLHSANSSVGPCVASEQPSMHPQGSKELPPRSVSSVQIPLHTYPLPAFTGSHFQGENAVHMPQIPRPYGVVQQNALFPFQSPYPPPLQTPNSHFSVPPHSSWTSLPPPPPPPPPPPLSQAVYNSSSNLGVAKSFISSEFNQNQLHSRTDYVSQTSLIPGLPSNSQNSKFEDQAYPPLQDHSQTFVRTEPLSPNHLHQGHPAYQSLSSSTSFGGLHHQPKQFSWESDVNRPQPSLGVRLPPEGHFGTSSHIHPLSQQQQSSHNFQYTSSDVNLAGPGGTATVSRHPPHIPDSNHSTSLPAFGASRVSAHYNPYASTFEQPLSSKFSSSFLRQENDIDYGNNYGPSRYREGDSVGSRHTASPKAAGAVGRILPGSGEQYNPLFDSIEPSSSLKKFDFEQKQEVTGESNFSLRPKSSHMSLDAKEKKHEKVGAVASTSSLNNDEYGETADAEVGAVDNESLSNDVDVANMSPEENEINQTKSPGKRKSKDSRSMKLFKVSIANFVKEVLKPSWRQGNMSKVAFKTIVKKTVDKVSGAMKGHRIPKSQEKISQYIDSSQRKLTKLVMGYVDKYVKV >CAK8541907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:430281366:430282178:1 gene:gene-LATHSAT_LOCUS10796 transcript:rna-LATHSAT_LOCUS10796 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLIDLEGDFYSCKHCKTAFALVDDIISKSFQCRHGKAYLFDKVVNVTVGEKEERIMITGMHTVVDIFCVTCGSIVGWKYEVAKENSQKYKEGKFILERYKVLGPDGSEYMPPVEDAEDA >CAK8537906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:446095881:446101421:-1 gene:gene-LATHSAT_LOCUS7155 transcript:rna-LATHSAT_LOCUS7155 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTIYVKNLNEKVKKDELKRSLYCLFSQYGRILDIIALKTPKLRGQAWICFSEVTAASNAVRQMQNFPFYEKPMRIQYAKTKSDCIAKEEGSFVPREKKKKQEEKAEKKRFPEESKQSSVPNGTHGASNGGPTASFRPGSNSQEAAAPNNILFIENLPYETTGRMLEMLFEQYPGFKEVRLIDAKPGIAFVDFEDDIQSSMAMQALQGFKITPQNPMVINFAKK >CAK8579123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677084727:677085230:-1 gene:gene-LATHSAT_LOCUS31091 transcript:rna-LATHSAT_LOCUS31091 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTYNIKRCGSLVKRKKIRSYLTKGGADICFIQETKSCKMNDEVVQSIWGSSDYLWPALDFRGQSGEIFTIWRKGVLEPIISCRGNGYLGLNASWKGKKFYFLNVYSSCILSEKRARWSEILELKGSLGEGEWIFGGDFNSIKNSEERFGRGGHNRLEMKEFGNL >CAK8568112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574222360:574222849:1 gene:gene-LATHSAT_LOCUS21107 transcript:rna-LATHSAT_LOCUS21107 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSEVPPTDDMKVTLPVETDVPALASADPSIRTDGGFSGGLSDTFVLTGYEDHVAFKLWKGEVPTCGLKLKKFSDVVMPPEVKRIIEECGLLSLVESSLIVIDSQLLTTFIERWHKETFFIPSSIW >CAK8568716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:635139928:635140332:1 gene:gene-LATHSAT_LOCUS21648 transcript:rna-LATHSAT_LOCUS21648 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGRGRPKTTVPPSPEILTSLKTPEHESPINVQTPEIEPRTTAGEDKDMTNTMAKENKKTLTEPTKPQPEERKPWVDVINDNRNPVKGMAIEYVAPKIVNGVIEIDIEQEDIETEIQFWDNALIFYVVGAT >CAK8572830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:566319087:566319413:-1 gene:gene-LATHSAT_LOCUS25349 transcript:rna-LATHSAT_LOCUS25349 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAALTADKPVVIFSKSSCCMGHSAKALISSFGANPIVIEIDKMVNGDEIERSLIQLGCRPSVPAVFIGQQYIGGVNQVISLNVQNKLAQLLLKARAIFIWGPIAP >CAK8572933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572011105:572011853:1 gene:gene-LATHSAT_LOCUS25435 transcript:rna-LATHSAT_LOCUS25435 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQKYPFVLLLSSLFLTFLYQCCATQFIVGDSAGWVIPPYPTYYTCWADSHFIREGDSLEFNFDTKFYNLIQVSKSEYEHCTALEPLKVFNTSPINFPLKEKDVYYFICSVSNYCSLGQKIIIDVHRVSLQITPAPSASPPKISPRSLPNGYAPQPSAAMINPSASIDVPSPTPLGGPASPSSSQGVKYDFDVALLVCVMVGTFLGFWII >CAK8565083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:34200484:34202647:-1 gene:gene-LATHSAT_LOCUS18322 transcript:rna-LATHSAT_LOCUS18322 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNTDPPPRLQGKYAAIVICWFLGIGGLFPWNSMLTIVDYYIYLFPKYHPSRVLTIVYQPFAFGTLAILAYNEAKINTRLRNLFGYTVFFFGISALLILDLATSGKGGIGTFIGICIVSGVFGISDAHVQGGMVGDLSYMLPEFIQSFLAGSAASGALTSVLRLVTKAVFENSPNGLRKGAVLFFALSSVFELLCVVLYASVFPKLAIVKYYRSKTASEGSKTVTADLAAAGIQTSPSEATEDSKQQERKGIKQLFVENIDYALDLFLIYVLTLSIFPGFISEDTGSHSLGTWYALVLIAVFNMCDLIGRYIPLVKFLNLESRKLIMIAVISRFLLIPAFYFTAKYGTQGWMILLTSFLGLTNGHLTILVFTSAPKGYKGPEQNALGNMLVLSLLGGLLAGVTLDWLWLIGKGW >CAK8531798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152442543:152442986:1 gene:gene-LATHSAT_LOCUS1564 transcript:rna-LATHSAT_LOCUS1564 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVYKVYSESFLGLPHQQNWPTYEGFTLCHDETMRRNKKGHPNSTRITTEMDDFEKEKRRCGICREIDHMRRKCPNVAGPSNRPV >CAK8531674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:138318843:138320652:1 gene:gene-LATHSAT_LOCUS1448 transcript:rna-LATHSAT_LOCUS1448 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQEKSQKIYPTFAEVVEELKRMVDIGFPIAAMSLVGYLKNMILVVCMGKLGSLELASGALAIGFTNITGYSVLSGLAMGMEPLCTQAIGSQNFSLVSLILRRTILMLLVASLPISLLWLNLEPFMLCLHQSQDITRIASLYCRLSIPDLIANSFLHPIRIYLRSKGTTWPLLWCTSLSILIHIPFVIFLTFKLHLGVQGIAISAFVANFNTLFFLLSYMFYMHVSKVSISIPNPTPPLLQEKPTSVKTLGKEWSMLIRFSIQSCLGVCLEWWWYEFMTILAGYLYNPRVALATAGIVIQTTSLMYTLPTALSASVSTRVGNELGAGQPSKANLSTMVAIGMALASSTLGLLWTTLGREKWGKVFTNDKEILELTMVVLPIIGVCELANCPQTTSCGILRGSARPGIGAGINFYSFYLVGAPIGIVLGFVLKLGLVGFCYGLLAAQIACVVSILVVVYNTDWERESLKAKNLVGKGGSCDTLFAHVVVEDQTVKCEQGIVFLNDNK >CAK8543188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586261185:586262357:-1 gene:gene-LATHSAT_LOCUS11962 transcript:rna-LATHSAT_LOCUS11962 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGKNGSTPPVQDLSTDANLSKIDDGKDVLQHFTRASGDIEETKASDLKGGENCPKGTSPEEIDVSGDVNMEASITPDDVIRAGGFGARDDISSLLPVASDSTDFEASIRDARDYEESQGEVSRPGLGWTGATKTE >CAK8576163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416263805:416264983:1 gene:gene-LATHSAT_LOCUS28372 transcript:rna-LATHSAT_LOCUS28372 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRLSLLKQPISSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYVHANGASMFLIVVHLHIFCGLYHASYSSPREFVRCLGVVIFLLMIVTAFTGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFILVGASLLHLAALHQYGSNNPLGVHSEMDQISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTLPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTDETDQ >CAK8562838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:546727715:546728509:1 gene:gene-LATHSAT_LOCUS16303 transcript:rna-LATHSAT_LOCUS16303 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRNKQVILKDCVSGLPKESDMNIVDSTITLKLPQDSNDVLLKNLSLSCDPYMQNLMKIPQIPPNPLSYTPPSVITGLGVSKVLESRDPDYKKDDLVWGMTKWEEYSLIPAAQIFFKIEHTDVTLSYYTGILGMPRITAYFDFFKVGALKKGEKVFVSAASGAVSQLVGQFAKLHGCYVVGSAGSKNKVLL >CAK8542592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528285495:528287461:1 gene:gene-LATHSAT_LOCUS11418 transcript:rna-LATHSAT_LOCUS11418 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAGSSLKMSWSRGKQSSGWTAFDLKQKSKNNIESEVDKDPFPPIGSSGSMRHGDKLGKKKHVPLKPFSSVVLRNENFPSFSEDVNGQKAVFGYDSDRKSCGTTAQEDVNLASKKLKEQHPWAENSLIDDILAAVNNSVDKAVALLETMASADDFEECKESSYPRPRPTISKTGEGHTLKMVKDDILVNSNIVNCLQVNDKDSENRNSSSGQKFSDVSNLKYKMDLLNSIPVEPEWEEDDIYISHRKDALKTMRSASRHSKAAANAFLKGEHFSAQQHSSRAREEWNNAEKLNSEAATKILSIRNSDNDMSRLDLHGLHAAEAVQALQEHLRRIESQGFSKSSTLSNGVKKNGHAQSTLGSLNFMEWENLDKPLRLRSLALHVITGVGNHSRGQAALPTAVRSFLSENRYRFEEMRPGVITVWPKFRQS >CAK8544076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661388144:661388896:1 gene:gene-LATHSAT_LOCUS12784 transcript:rna-LATHSAT_LOCUS12784 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTLIVDCEENLLSNIHEQHVQHKQESVVNSEPHLEMKFESEAAAYDFYNEYSKRIGFGIRREYANKSKKDGVLTSRRFTCFKEGVRGVDKRYQMTGEHTRAETRTGCQARMVISLDRKIEKYKVVDFVAQHNHPLQPLEYVHMIRSHRRISEAQASQIVLGDESGLTPKDLHEYISKQVGGIETVGFTRRDLKNYLQTKRMQSLKYGEVGALMMYFKQESENPSFFYEFQMDVEEQITNIFWRMHK >CAK8544106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663171176:663173984:-1 gene:gene-LATHSAT_LOCUS12810 transcript:rna-LATHSAT_LOCUS12810 gene_biotype:protein_coding transcript_biotype:protein_coding MWASAEGGAPEVTLETSMGSFTIELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKQELKHTGAGILSMANAGPNTNGSQFFITLAPCPSLDGKHTIFGRISRGMEIIKRLGSVQTDNNDRPIHDVKILRTSVKD >CAK8576182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:419312068:419312734:1 gene:gene-LATHSAT_LOCUS28389 transcript:rna-LATHSAT_LOCUS28389 gene_biotype:protein_coding transcript_biotype:protein_coding MSCETVQRNVQAAVKDVRSMNGCVLLLTSWAFTHIPLFSPVSTLQPSYPYAQRWAQRRMNYDANPRFHLQGYRNALDHMQEKDVRLKS >CAK8564781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9477488:9479623:-1 gene:gene-LATHSAT_LOCUS18048 transcript:rna-LATHSAT_LOCUS18048 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKPFLNNKIPNPNFPSPPLKTWLNSNMVLAMQLNSLTDPNSKTLIPNFDLTLLLSDEILLKIISKLPNSQSKSNSLVSKRWLNLQGRLIRSLKIFDLNFVLSGRLIHRFPNLTHVDLVPATFVVYPQKGAVLVSHRVVSMRVDTGWCFGFNKEGKSLLPVEAIDKGLKEVAKGCPNLRKLEVTGGSEEGLVSIGEECVTLMELELHKCNDNVLRGVAACRNLQVVKLIGSVDGFYGSVVSDIGLTILAQGCKRLVKLELVGCEGSFDGIKAIGSCCLMLEELVFVDHRMDDGWLAGVSFCENLKTLRFVSCKVIDGNPGLEEHLGFCVALESLHFQKCQLRNKNTTGAVFSVCRTAKEVVLQDCWGLDDGVLRLAVVCRRVKLFDIEGCSLLTTEGLESVIESWTDLECLRVVSCKNIKDSDISPALATLFTTLKELKWRPDTKHLLPSKEVNMGKKGGKFFK >CAK8575995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:381370184:381372645:1 gene:gene-LATHSAT_LOCUS28215 transcript:rna-LATHSAT_LOCUS28215 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALDMTLDDIIKNNKKSGSGNSKGRSRPAPGPGPARRLPNRVSNRATPYSAAKAPETTWQHDLYGDQHVAAGFPAQGGRAPSIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRHGVHYDRSGRSKGTAEVVFSRRQDAVAAVKRYNNVQLDGKPMKIEIVGTNISTPGAAPAVNAALGNFNGIPPSGQGRVGDFRGQGGRGQGIRRNRGRGRGSVGSRGGGRGAGRGRGRDEKVSAEDLDAELEKYHAEAMQIN >CAK8577725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585389506:585394031:-1 gene:gene-LATHSAT_LOCUS29806 transcript:rna-LATHSAT_LOCUS29806 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRIIAKNFMDMVASMPAMKLDKLYENGFICEAILRSLPPLAKKYVLQLLHIDVAVSARLFAEWVLPDGVSKHKVAIDRLVQLRLFIEALDRKNEKTYKLNSTYQRSLQQLLVHGGTLPRESMPSNITVRLPTLEDLETYALEQWECFLLQLISPSHADKTLNISSSLMKVFQRRLLSQRDREAPKLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDAGDLISFMLELSFHVIGEAYNINTLTDFQRNIIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSFTESSSRKEGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKETLYNAFDNGITADQIVSFLRQNAHPRVAQRIPAVPDNVTDQIRLWESDINRVEMTEAYYYDEFPSRDVFEGACDCAREWNGLLWEDSKKMHLVVKSEVHSYVRDFLRRQK >CAK8535252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830638550:830639545:1 gene:gene-LATHSAT_LOCUS4725 transcript:rna-LATHSAT_LOCUS4725 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIHALVMFFFLVFMHKGDAYEFVVGGQKGWSVPSDPNANPFNQWAEKSRFQVGDSLVFNYQSGKDSVIEVNSQQDYESCNTDASSSGKSSDGHTVIKLDRSGPHYFISGNKDNCLKNEKLLVIVLADRTNRNSNQTATTPSVSPSPSPLSSHTSDALTPSLPPSQLYGSSPPPPSQLDGSSPPPLQQVGSAPPLGTDVTNPATPTTSPVSEPPPPNDASSILLSFGCSVGALMVSLLVYSK >CAK8560811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46529455:46532881:-1 gene:gene-LATHSAT_LOCUS14457 transcript:rna-LATHSAT_LOCUS14457 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGGVYSVAYVFLFGLTLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENDEYVGVGARFGPTLESKEKHATHTRVVMADPPDCCSKPKNKLTSEIILVHRGKCSFTTKANIADEAGASAILIINNRTELFKMVCEVNETDVDIGIPAVMLPQDAGLNLERHIQNKSIVAIQLYSPLRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAVIEQDKLLKDASEEYVAESVGSRGYVEISTTAAILFVVVASCFLVMLYKLMSFWFVEVLVVLFCIGGIEGLQTCLVALLSCFRWFQHPAQTYVKIPFFGAVPYLTLAITPFCIVFAVVWAVKRHASYAWIGQDILGIALIITVLQIVRIPNLKVGTVLLSCAFLYDILWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKLPRLFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKNLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGDLKILWTRGEPERPCPHIQEVNQPVN >CAK8537322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:262606757:262607305:1 gene:gene-LATHSAT_LOCUS6619 transcript:rna-LATHSAT_LOCUS6619 gene_biotype:protein_coding transcript_biotype:protein_coding MNHMKELNVNAWKDMMDVPAACWTRSHFKTDTQSDLQVNNMCEAFNRAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYTGVTSPSIQKVLEKTKRAAEGWIATCHTDDDFAIFGVSNGVETYAVNLLQQKCGCRKWDLSGIPYCHAIACIWYNKKEPEDYVSSFYRYHLLVYYVHLHS >CAK8562788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537513057:537524584:-1 gene:gene-LATHSAT_LOCUS16255 transcript:rna-LATHSAT_LOCUS16255 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVLRTISASLQILKPLHAPNLISKNKKGFFCKMSSESPSLTHSITLPSKQSEPVQILAAPGVSSSDFWSAIDSSLFKQWLHNLQTENGILANGTMTLNQVLIQGVDMFGKHIGFLKFKAEIFDKETGNKVPGIVFARGPAVAMLILLESEGETYVVLTEQARVPVGRIILELPAGMLDDDKGDVVGTAVREVEEETGINLNVEDMVDLTAFLDSSAGCTIFPSPGGCDEEISIFLCRKHVDKEVITHLQGKETGLREHGELIKVRVVPYKNLWRTTADCKVLVAVALLEMSKKEGLLPSLAT >CAK8573667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:627967251:627968207:-1 gene:gene-LATHSAT_LOCUS26082 transcript:rna-LATHSAT_LOCUS26082 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLFDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSKNRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8533989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:679613126:679617095:1 gene:gene-LATHSAT_LOCUS3569 transcript:rna-LATHSAT_LOCUS3569 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDSDQSPPHHHQPLLSSLVVRPSLAENSPGGAHSNDYEPGELRRDPPPPPYSRSDRYSDDPGYRFRAGSSSPVHRRDADHRFTSDYNHLSRNRGYGGGRDPGRYRDPPHPYARGRAGGRPFGRAFDGPRYGHGHARGEGHGRNNPNVRPREGDWMCPDSLCGNLNFARRDFCNNCKRPRPAVAGSPPRRGSPPLQAPPRRYPGPPIDRSPERPMNGYRSPPPRMMGRDGPREYGSAAPLPPLRHEGRFPEPNVHRERMDYMDDAYRGRNKFDRAPPLDWDNRDRGRDDFSNERKGFERRPLSPRRPLSPRRPLSPRRPLSPPAPLLPSLPPHRGGGDRWTRDARERSRSPIRGGPPAKDYRRDNVMNRVGGRDDRRGGVGRDRLGGMY >CAK8530370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17317544:17318911:-1 gene:gene-LATHSAT_LOCUS238 transcript:rna-LATHSAT_LOCUS238 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYQSYPDSGESSPRSREIDFENPPTPIPWDEQQQHQQQTSNYKAKFMCSYGGKIQPRTHDNQLSYVGGETKILAVDRNIKFSSIISKLCSLIESPDVSFKYQLPGEDLDALISVTNDDDLDHMMHEYDRLYRASARPARMRLFLFVNDSDSASNSNSNPPDPIKPSNVDYLFGIEKPVAVVVPPPPVVKFNNPVPEQVAPPPEYLTRPGLNLNPSDRVIVSDPGLNHPVDIQRQLQRMQIAENEQNAYRRKSEDGLIGNYPPGDYYVQKTTPEKFPMSNFAPPPQQPHHTGYWQEKPVSGEAYPPVAPGGGDQPQPVYMVPAPGNYYQAPVMRPPVSQGYYAVQRMSSDGYREMPVYGGAPPHKAPATYAPAQPVKGPAYAEGVSVVRSTGIPDNTPGSGSYAQVAYDSATGRQVYYNTPGGVVHAPPYQGVAAPVTTDVKVMSKISQGSV >CAK8530380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17887080:17887886:1 gene:gene-LATHSAT_LOCUS248 transcript:rna-LATHSAT_LOCUS248 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFPHFISSEKAAMEAGLLVPSSPSSSVSVILTQDDLKKIAAYKAVEYVESGMVLGLGTGSTAKHAVNRIGELLQQGKLTNIIGIPTSTITHEQALSLGIPLSDLDTHPVVDLAIDGADEVDPYLNLVKGRGGSLLREKMVEGACKKFVCIVDESKLVNYLGGSGLAMPVEVIRFCWQYTAGRLQSLFDESGCVAKLRIFGEKKEPYVTDNGNYIVDLYFEKSIGDLKVASDAILNIAGVVEHGMFLDMATTVIVAGELGLTVKNK >CAK8538381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480299177:480302457:1 gene:gene-LATHSAT_LOCUS7592 transcript:rna-LATHSAT_LOCUS7592 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRNNAELFPERRVIIDAALNSIAKTDHGSKIVRRGSRRFSRITKQRLLRWIFLLLALFSIFLTVYGLKKFLQAKIESRFSTPIYLEGELHLEETSFLNNYGRPPKSKRRKHFPCEVGLRQSVDGLIEPKDYMNFTWVSQDYVIREEKTSENDLFEPRFGGHATLEEREESFYVKNQTIHCGFVKGPPGYPSTGFDLDENDRAYMSSCKVAVSSCIFGSSDFIRRPTSKLISQYSKDNVCFVMFMDDQTLSKLLSEGNHPDERGYIGLWKVVIVNSLPYDDMRRTGKVPKFLSHRLFPNSRYSIWLDSKMRLTSDPMLIIEYFLWRTKAEYAISNHYDRHSVWEEVLQNKRLNKYNHTAIDEQFSFYESDGLPRFEPSNDNPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPDKPFHLYMFKDCERRALLKLFRHRTFPSPPGTA >CAK8569390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698298586:698300556:-1 gene:gene-LATHSAT_LOCUS22246 transcript:rna-LATHSAT_LOCUS22246 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSRSRSRSRSRSPGIRKIRSDRHSYRDAPYRRDSSRGFSRDNLCKNCKRPGHYARECPNVAVCHNCGLPGHIASECSTKSVCWNCKEPGHMASSCPNEGICHTCGKTGHRARECSAPAMPPGDLRLCHNCYKQGHIAVECTNEKACNNCRKTGHLARDCPNDPICNVCNVSGHVARQCPKSNIIGDHSGRGSFRGAGGAGGGGYRDVVCRNCQQLGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRYPSDRYASDRYPSRRY >CAK8576538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489425163:489427101:1 gene:gene-LATHSAT_LOCUS28717 transcript:rna-LATHSAT_LOCUS28717 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLNTSGNLGGEETLTTISDMTVLQRQKARINFQQFSSSPQFTMVACDSALGEVVANSIKPDPGFGKDTIKKRKAEINNNNSKVDVVVVPECDKRIKISAEEEESKTSDQISKGHKNKKSNNGTKNNRENSGDTNSKEKLDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKIAGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDELFAKEVFPQNFPTIGMQSDMTNPTYLQFNSAQQVSSCGGLINNMGIIPPEIGLRRNINIPAASSLPEIFDSSCFTHILPSSSWEGDFQNLHSMDFDQGRSMSFPSQPFTGMIQASNLKMEM >CAK8569026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664061576:664062818:1 gene:gene-LATHSAT_LOCUS21923 transcript:rna-LATHSAT_LOCUS21923 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEENTEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIISDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSADPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >CAK8539582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516927047:516927463:-1 gene:gene-LATHSAT_LOCUS8665 transcript:rna-LATHSAT_LOCUS8665 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYINKVMVRIRGDLKSVELILGAFKTFFEPTDLSMNPSKCTIFCGGMEASSIKEVKNFSGFEEGLLPVKYLEVPVGRVRIAPTYKHMFMPYIVRCETLNTPPHAQD >CAK8532011.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191015415:191015900:-1 gene:gene-LATHSAT_LOCUS1756 transcript:rna-LATHSAT_LOCUS1756 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVLSPFTIPSPPPPSPLSNQNNNTIPMLYYTLVVMGVAAIVLAIYNIIIIKRCNTNNHNNQPQATIENLSSTRFENQQRNLLSSFKYKKEVVTKEEECSVCLSVYEEGEEVRKLPRCKHSFHAVCIDMWLYSHFDCPICRTSVGSFCDGMIESGGSISV >CAK8575476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131835334:131835717:-1 gene:gene-LATHSAT_LOCUS27735 transcript:rna-LATHSAT_LOCUS27735 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRLGLTPKFEEGVNGFIAWAFAQECCRHEGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKYYWVWTYNGEQLPSNVHAETTNTHVSSSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEP >CAK8573220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593984280:593986151:-1 gene:gene-LATHSAT_LOCUS25692 transcript:rna-LATHSAT_LOCUS25692 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTKKVVDVAFKAGKNIDWEGMAKLLVSDEARREFSGLRRAFDEVNTQLQTKFSQEPEPIDWEYYRKGIGPRLVDMYKEAYESIEIPKFVDTVTPQYKPKFEALYVELKEAEEKSWKESERLEKEIAEVQELKKNISTMTADEYFAKHPELKKKFDDEIRNDQWGY >CAK8536826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24692897:24693379:-1 gene:gene-LATHSAT_LOCUS6156 transcript:rna-LATHSAT_LOCUS6156 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVLQAILSYVLSLFILSDTVCNDIEKFLKSFWWGGGSNNRGIHWMAWDKLACSKKEGGLGFRDFKAFNMSMVAKQGWSMLSKPQALVSRILKARWNIGDGSYIKVMHEPWIRGKRDKCLSGP >CAK8538865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498368893:498371152:-1 gene:gene-LATHSAT_LOCUS8017 transcript:rna-LATHSAT_LOCUS8017-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRISVLFLSFLLITTTSVSLEDGFISVIISDKGLDFAKDILINQAIASIVVSQLPQIEKSVQVPLVGKAHVILSEITIKNIQVSSSSVETGDSAIGVVVSGATANLTLNWRYTVSSWLVPIGISDSGTATVKVEDMQVGLTVNLTNQGGTLKLNLLDYGCDVGELSIKLNGGAAWLYQVLVDAFKGNIGSAVEDAVSKKIREGIPTLDDLLQTLPQTISLDKTAALNVSFVDNPVLSNSSIELKINGLFSERKGVSVPQSYHKGSDHISVSNGDLPKMINISIHENVFKSASEVYFAADALQWILDELPNQSILNTAEWKLIIPQLYKQYPNDDMNLNVSVSSPPVIEVSDQDIDATISIDLIIDVLEAGEVIPVTCISVNISASCDVEIVRKSLTGRLKLKKFSTYLKWSKIGKLHMNVIQSLTSTVLKTVLIPYLNSQLKKGIPLPILKGFALKNARIFNTPPWIGVSSDVTFLGDYYLRHHLAYAS >CAK8538864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498368893:498371191:-1 gene:gene-LATHSAT_LOCUS8017 transcript:rna-LATHSAT_LOCUS8017 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLIHPSQRQIMSHRISVLFLSFLLITTTSVSLEDGFISVIISDKGLDFAKDILINQAIASIVVSQLPQIEKSVQVPLVGKAHVILSEITIKNIQVSSSSVETGDSAIGVVVSGATANLTLNWRYTVSSWLVPIGISDSGTATVKVEDMQVGLTVNLTNQGGTLKLNLLDYGCDVGELSIKLNGGAAWLYQVLVDAFKGNIGSAVEDAVSKKIREGIPTLDDLLQTLPQTISLDKTAALNVSFVDNPVLSNSSIELKINGLFSERKGVSVPQSYHKGSDHISVSNGDLPKMINISIHENVFKSASEVYFAADALQWILDELPNQSILNTAEWKLIIPQLYKQYPNDDMNLNVSVSSPPVIEVSDQDIDATISIDLIIDVLEAGEVIPVTCISVNISASCDVEIVRKSLTGRLKLKKFSTYLKWSKIGKLHMNVIQSLTSTVLKTVLIPYLNSQLKKGIPLPILKGFALKNARIFNTPPWIGVSSDVTFLGDYYLRHHLAYAS >CAK8535124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:815720599:815720949:1 gene:gene-LATHSAT_LOCUS4601 transcript:rna-LATHSAT_LOCUS4601 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSLAALSFLFLFLFVAQEIVVTEANTCEHLADTYRGPCFTDASCDDHCKNKAHLISGTCHNIKCFCTQNC >CAK8539613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518286405:518286742:1 gene:gene-LATHSAT_LOCUS8693 transcript:rna-LATHSAT_LOCUS8693 gene_biotype:protein_coding transcript_biotype:protein_coding MNKITALFFMSLILCCMLTHSARPEPSSQQESLVVAQHEDVEAVDTTCEGIEEDECLMRRTLIAHTDYIYTQKHNP >CAK8532763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510836901:510837695:1 gene:gene-LATHSAT_LOCUS2436 transcript:rna-LATHSAT_LOCUS2436 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRDRFLFCAEAIYKSQAETGEIKGHYLNATAGTCEEMLKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHVGTVVGKLEGEREITLGFVDLLCDDYFEKDRSRGIYFTQDWVSLPGVIPVASRGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELVAACEVWKEIKFEFPAMDTL >CAK8569028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664230355:664231512:-1 gene:gene-LATHSAT_LOCUS21925 transcript:rna-LATHSAT_LOCUS21925 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSASNVEVSNHIPDDIVFSILSKLSLKSLKRFESVCKPWSLLFDNPNFMTMYRNYFISTDHSFYDDISFLLHLKEDDTVYSLSEERYENITKLDLQKLSLRYRRRDNYSFDIFSPISFNGTLYLQYYNKGGNPKFTLWKPTTAEFRIISAEYNHFSQFWSDHYQVGYDHVKDEYKMIRCTHGPPGSMPEISYFWEIYSLNNNSWRKIDDFPHSSICGDEVYLDGVSHWWGKTKTHINLESFDFSKESFITTPMPSYADDAFDFSSTRTRILTILNGSIAFIVNYEEAKIFHISILGELGVRESWTELFIVGPLPCLQMPIGIGKKGNVLIRKEDNKLAWFDISSGTMDEIAVTAKSHGQILFYKENFVPDGGIYSKFPLQI >CAK8570891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:152941419:152943674:-1 gene:gene-LATHSAT_LOCUS23603 transcript:rna-LATHSAT_LOCUS23603 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPNLNLSISPIDTIMANKAITYLKRHPQNLPSLSPHFTPQAATYLLLTSQNNKPLILNFLNWVNSKPHPFFTPHCQTLTLHILTRFNLFKTAQTLAENLITSSNHTASIIFNLLKQTYRSCNSSSAVFDLLIKSYSQLNLIENAIQTLHLANRHGFSPGVLSYNSILDAILRNGSDRLSIQQANRVFNDIIRNNVSPNIYTYNVMIRGMVSAANLESGLRLIREMETKGCFPNVVTYNTMITAYCKENKLEDAFGLLKIMANNRVEPNLISYNAIINGLCSQGRMNETMEVIEEMNLNGLSPDCITYNTLVNGFCKAGNFHQALVLLHEMAGKGLSPNVVTYTTLINGMCKVKNLSRAMELLDHMRVRGLSPNERTFTTLVDGFCRQGLMNEAYKVLSEMIDSGFSPTVVTYNAFVNGFCFLGRAEEAVEVLKGMVDKGLFPDVVTYSTIISLFCRHGELGKAFQMKVEMIEKGILPDAVTYSLLIQGLCQQRKQSEAFDLFRDMLGQGLSPDEVTYTSLMNGYCVEGELSKALDLHDEMMQNGFLPDVVTYSVLINGLNKKARTREAKKLLLKLFYDESVPNDVTYNTLIENCSDNEFKSVVGLVKGFCMKGLMDEADRVFETMHQRNFKPDGAVYNLMIHGHCRRGNARKAYNMYAEMVRCGFVSHMVTVISLIKALSKDGMNDELSSVMQNTLSSCTVNDAELPKALVEINFKEGHMDAVLTMLTEMANDGLLPDGGDYSCASASA >CAK8570892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:152941419:152943626:-1 gene:gene-LATHSAT_LOCUS23603 transcript:rna-LATHSAT_LOCUS23603-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAITYLKRHPQNLPSLSPHFTPQAATYLLLTSQNNKPLILNFLNWVNSKPHPFFTPHCQTLTLHILTRFNLFKTAQTLAENLITSSNHTASIIFNLLKQTYRSCNSSSAVFDLLIKSYSQLNLIENAIQTLHLANRHGFSPGVLSYNSILDAILRNGSDRLSIQQANRVFNDIIRNNVSPNIYTYNVMIRGMVSAANLESGLRLIREMETKGCFPNVVTYNTMITAYCKENKLEDAFGLLKIMANNRVEPNLISYNAIINGLCSQGRMNETMEVIEEMNLNGLSPDCITYNTLVNGFCKAGNFHQALVLLHEMAGKGLSPNVVTYTTLINGMCKVKNLSRAMELLDHMRVRGLSPNERTFTTLVDGFCRQGLMNEAYKVLSEMIDSGFSPTVVTYNAFVNGFCFLGRAEEAVEVLKGMVDKGLFPDVVTYSTIISLFCRHGELGKAFQMKVEMIEKGILPDAVTYSLLIQGLCQQRKQSEAFDLFRDMLGQGLSPDEVTYTSLMNGYCVEGELSKALDLHDEMMQNGFLPDVVTYSVLINGLNKKARTREAKKLLLKLFYDESVPNDVTYNTLIENCSDNEFKSVVGLVKGFCMKGLMDEADRVFETMHQRNFKPDGAVYNLMIHGHCRRGNARKAYNMYAEMVRCGFVSHMVTVISLIKALSKDGMNDELSSVMQNTLSSCTVNDAELPKALVEINFKEGHMDAVLTMLTEMANDGLLPDGGDYSCASASA >CAK8542413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:510938783:510942564:1 gene:gene-LATHSAT_LOCUS11254 transcript:rna-LATHSAT_LOCUS11254 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEQPLDLYKLFMVVKDKGGYDVVCKNRLWDLVGEEYGLGVNVGSSVELVYSKYLSTLETPLKNVVDGEFPKCDLVDDRVKFGERLMELQAEFLLDDYGEEDAGDELKSVHECRRKFCGTNRVKGVNLELNAAELERVYDYIDGRKLCDANRVKDGNHESNAVKNVKGGGVVDVCMQESRTNEISLGKLGKQNDAAEIMEEFDVGKIKAVDVSDTVNDMPGLSDGSKRCDNDDDDFLILYMSSVNRESFGRKRKRESMSEMLSWVTSTAKNICDPVVGSMPEKSKWKSYGNEENWKKVLLFREAAFLKKEFGSNCEKLSWLAQKMHPCMYDDNLGVNYNLRQRIKSNNGVLVGKSASIFSRLRRISSDTESSTQKKLCDSCASESVLDTPPTVNIPLGPNHQAEVPEWTGTIHESDSKWLGNQIWPLKTVKSRLLDRESVGNGRRNSCKCRVQGSVECVRFHIAEKRAKLKLELGVAFYQWNLDKIGEEVRRWWTPQEEKKFKDVVKSNPASLDRRFWDDIFKAFPKKSRESLVNYYFNVFLLQRRAYQNRHTPDNIDSDDDESEFTPLKGVFGHQTIKPPSITLLSPKKPQTKPQSKGR >CAK8544319.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678312209:678313606:-1 gene:gene-LATHSAT_LOCUS13009 transcript:rna-LATHSAT_LOCUS13009-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGQVLPTTPMKGRKAPPSHFTFKIQSFSLLSKASIEKCVSEEFEAGGYKWSLSIYPTGNRKGNGQGHVSIYLMLMDTTSLPNDWEINAIINFSAYNFSDDEYVTTHDATVRRFHVMKTEWGISKFINLETFLDPSNGYLNDDDCVFGAEVFIVKTTNKGDCLSMIQESATVSYSWKFSKFSSANLDKYESESFVAGDYKWKLLLYPNGVVEGKGICVSLFLALDASTLPANTKLVVECTLRAKDQISGQHAQRKFNRKFSSSNLVWGSRQLVTLTKLKNLSNGFLVEDNCIFEVEFLVVGLVTPRID >CAK8544318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678312209:678313630:-1 gene:gene-LATHSAT_LOCUS13009 transcript:rna-LATHSAT_LOCUS13009 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSITEMKTAGQVLPTTPMKGRKAPPSHFTFKIQSFSLLSKASIEKCVSEEFEAGGYKWSLSIYPTGNRKGNGQGHVSIYLMLMDTTSLPNDWEINAIINFSAYNFSDDEYVTTHDATVRRFHVMKTEWGISKFINLETFLDPSNGYLNDDDCVFGAEVFIVKTTNKGDCLSMIQESATVSYSWKFSKFSSANLDKYESESFVAGDYKWKLLLYPNGVVEGKGICVSLFLALDASTLPANTKLVVECTLRAKDQISGQHAQRKFNRKFSSSNLVWGSRQLVTLTKLKNLSNGFLVEDNCIFEVEFLVVGLVTPRID >CAK8571259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:356260736:356260936:1 gene:gene-LATHSAT_LOCUS23937 transcript:rna-LATHSAT_LOCUS23937 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKLLDGIYTEIEGMTEFFWWGSRNGERKIHWLWWDRMARTKKEGGMGFRGIREFNISLLGKQY >CAK8564482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:677790310:677792781:-1 gene:gene-LATHSAT_LOCUS17784 transcript:rna-LATHSAT_LOCUS17784 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFHANNVLVMFFFLSLLSLLNNTVTGFSEHDHSLMDSLSSRKEVVEIAGYGEEKLSTVLITGSLHCEPNHHPHAWPIPGAWVAVNCQSHGSERKGKSMVAKGVTDEFGDFMVDLPSYLHAIPNLEKTCRVKVHKIPKGSLCRPTRQVRKHNGLFKLSSIGNGIRTYDAGNIRIQHSTSEPRHAPPRKDVKEIKEWV >CAK8564645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4955365:4957525:-1 gene:gene-LATHSAT_LOCUS17929 transcript:rna-LATHSAT_LOCUS17929 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEENKRDVKDQPTKTNRGGWHAAIFIIVMEAAEQFANIGLSTNLILYLNKALNEPLTEAAKNRNTWVGVSSIFPLLGGFIADSYLGRFKTIIIASLIYLLGMLLLTVSVSILKNKKLFFVALYILSVGDGGHKPCVQTFAADQFAEDTEEEKNAKRSFFNWWYLSIVIGSVFAVFVIGYLMENVSWTVGLVVLASMLAIALSIFLLGMKRYTKERPTGSPITSIARVFVAAARKWRVKNTPGRDNYWYGNDHDSLHTSQSPPVIQTLAHTDQLKFLDKAMIIDEQDTSRKDINPWRLCSMTQVEEVKLVIRLIPIWLTCLMFTVVQSQLGSFFVKQSSTLDRSTVQHFLIPPSALQGLVGIVILFAVPLYDKVFVPFARKFTGHQSGITVLQRIGVGLFLSIFTMTVAALVETKRIDVAKNHNLLGNTHGKEITIPMSIWWMLPQYAICGVSDAFTIVGLQELFYDQMPDSMRSLGAAAYLSIVGVGSFVSNGIINLVVIITSKSGEKWLGSDLNKAHLNYFYGVLAMLSALNLCVYLWIAKGFVYKKRHVVETSTV >CAK8567460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515990951:515997037:-1 gene:gene-LATHSAT_LOCUS20511 transcript:rna-LATHSAT_LOCUS20511-3 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKLQKNFGIVDGVHSKSRNMQKFRLYETRSRFYMIGRDKNRTFWRVLKIDRLEPSELIIVEDPTLYTETECGDILRCIHEGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITNRKKIGTICGHAVYAITKSEMVPIPHPSVRSKLAYSKDENRYKKLLCSMDLTKDFFYSYSYNIMLSLQKNLCGHNCIGQSLYETLFVWNEFLTRGIRNNLQNTSWTVALVYGFFKQENLSISGREFNMIIIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFADASDGSPMQISSVVQVRGSIPLFWSQESSPLNLKPDIILSHKDAMFEATRLHFDNLVKRYGNPIIILNLIKTYEKRPRETILRTAFAKAVNFINQNLSEDEHLRFLHLDLNRQSRRSEATNVLLQLGKVAAIALKLTGIFYCPVTPNTWLEGLFPYSYSENNNVYETDAVRNVTSVNSRSKFHVDKETKVGNCDYNGDDENKDYSVKRKTLQSGVLRTNCIDCLDRTNIAQFAYGLVALGRQLHVLGFIEDPHIDLDNSLATEVMKAYESMGDTIAFQYGGSAAHNKIFSERRGQWKAAAQSQGLIRTIQRYYNNSCLDGAKQKAINIFLGHFQPHQGKPALWELDSDQHYNIGSHGPNLADGIVGRPFIRRWQSDYILGENDATIRNLHAPDCQHSSKNPDKQSLLESTSDIFTCESSICHCWKIHGGVGMDQYCKSDHISYEEHEDACDYSNFLDVDWLSSSGNSLEEELLERSISISSDNIVSEQTTEVSASESGPILKERQSEEELNKNG >CAK8567459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515990951:515997037:-1 gene:gene-LATHSAT_LOCUS20511 transcript:rna-LATHSAT_LOCUS20511-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKLQKNFGIVDGVHSKSRNMQKFRLYETRSRFYMIGRDKNRTFWRVLKIDRLEPSELIIVEDPTLYTETECGDILRCIHEGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITNRKKIGTICGHAVYAITKSEMVPIPHPSVRSKLAYSKDENRYKKLLCSMDLTKDFFYSYSYNIMLSLQKNLCGHNCIGQSLYETLFVWNEFLTRGIRNNLQNTSWTVALVYGFFKQENLSISGREFNMIIIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFADASDGSPMQISSVVQVRGSIPLFWSQESSPLNLKPDIILSHKDAMFEATRLHFDNLVKRYGNPIIILNLIKTYEKRPRETILRTAFAKAVNFINQNLSEDEHLRFLHLDLNRQSRRSEATNVLLQLGKVAAIALKLTGIFYCPVTPNTWLEGLFPYSYSENNNVYETDAVRNVTSVNSRSKFHVDKETKVGNCDYNGDDENKDYSVKRKTLQSGVLRTNCIDCLDRTNIAQFAYGLVALGRQLHVLGFIEDPHIDLDNSLATEVMKAYESMGDTIAFQYGGSAAHNKIFSERRGQWKAAAQSQGLIRTIQRYYNNSCLDGAKQKAINIFLGHFQPHQGKPALWELDSDQHYNIGSHGPNLADGIVGPFIRRWQSDYILGENDATIRNLHAPDCQHSSKNPDKQSLLESTSDIFTCESSICHCWKIHGGVGMDQYCKSDHISYEEHEDACDYSNFLDVDWLSSSGNSLEEELLERSISISSDNIVSEQTTEVSASESGPILKERQSEEELNKNG >CAK8567458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515990951:515997037:-1 gene:gene-LATHSAT_LOCUS20511 transcript:rna-LATHSAT_LOCUS20511 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKLQKNFGIVDGVHSKSRNMQKFRLYETRSRFYMIGRDKNRTFWRVLKIDRLEPSELIIVEDPTLYTETECGDILRCIHEGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITNRKKIGTICGHAVYAITKSEMVPIPHPSVRSKLAYSKDENRYKKLLCSMDLTKDFFYSYSYNIMLSLQKNLCGHNCIGQSLYETLFVWNEFLTRGIRNNLQNTSWTVALVYGFFKQENLSISGREFNMIIIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFADASDGSPMQISSVVQVRGSIPLFWSQESSPLNLKPDIILSHKDAMFEATRLHFDNLVKRYGNPIIILNLIKTYEKRPRETILRTAFAKAVNFINQNLSEDEHLRFLHLDLNRQSRREATNVLLQLGKVAAIALKLTGIFYCPVTPNTWLEGLFPYSYSENNNVYETDAVRNVTSVNSRSKFHVDKETKVGNCDYNGDDENKDYSVKRKTLQSGVLRTNCIDCLDRTNIAQFAYGLVALGRQLHVLGFIEDPHIDLDNSLATEVMKAYESMGDTIAFQYGGSAAHNKIFSERRGQWKAAAQSQGLIRTIQRYYNNSCLDGAKQKAINIFLGHFQPHQGKPALWELDSDQHYNIGSHGPNLADGIVGPFIRRWQSDYILGENDATIRNLHAPDCQHSSKNPDKQSLLESTSDIFTCESSICHCWKIHGGVGMDQYCKSDHISYEEHEDACDYSNFLDVDWLSSSGNSLEEELLERSISISSDNIVSEQTTEVSASESGPILKERQSEEELNKNG >CAK8561863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:398948911:398949234:-1 gene:gene-LATHSAT_LOCUS15416 transcript:rna-LATHSAT_LOCUS15416 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTTIDTFYLTDEQLTNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATRQVLFHRFYCKKSFARLNVMKVAARSVWLASKLEKIAQAKHESVGVPSR >CAK8564811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11185529:11188055:1 gene:gene-LATHSAT_LOCUS18076 transcript:rna-LATHSAT_LOCUS18076 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIHNHVALFSFIIIFHLVSSTLGDQNSNNNYIIHMNLSAMPKPFSNQQSWYLATLSSLLDITSNQVTTNNDNLNYISSKKLTYTYTNVMNGFSAILSPLELEALKTIPGYISSIKDFPVKPDTTHSPQFIGLNPISGTWPTTRYGKNVIIGLIDSGIWPESESLKDDDMPNIPSRWKGQCENGTQFDSSLCNKKLIGARFFNKGLLASNPNITISMNSTRDIDGHGTHTSTTAAGSKVEGASYFGYASGSAIGVAPQAHVSMYKVLWEEGAYTSDTIAAIESAITDGVDVLSLSLGFDNAPLYEDPVAIATFAAMEKNIFVSTSAGNRGPVLETLHNGTPWVITVAAGTLDREFHGDLTLGNGAVVTGLSLYPGKFSSEKFPMIFMNSCDDLKKLIKARNKIVVCEDKNRTLGAQTDNLDRAKVVGGVFISNSNEDITYYIQTKFPSIFLNPINGELIKDYIKCNPNNPKTSMTFNTTILGTKPAPSVDSYSSRGPSHSCPFVSKPDITAPGTLILASWPQNVPTTKLQTQSNLFNNFNLLSGTSMSCPHIAGVAALLKEAHPNWSPAAIRSAIMTTSNMLDNTKALIKDIGNDNQPASPLALGSGHVNPNRALDPGLVYDAGKQDYVNLLCALNFTHKNIMAITRSSSNNCSNSSLDLNYPSFIAFFNNATVRESKVVTQEFQRTVTNVGEEPTIYVANITPIEGFDVSVVPNKLVFKEKNEKVTYKLRIQGLRMEENNKVVFGYLSWTDSKHVVRSPIVVTSINSELTPP >CAK8532902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:547086562:547090818:-1 gene:gene-LATHSAT_LOCUS2564 transcript:rna-LATHSAT_LOCUS2564 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNDAVSFPPRYDVVSSRDKHVHDNVHGNIFIDSLCLKFIDTEQFQRLRELKQLGFTHLVYPGAVHSRFEHSLGVYWIAGQSVEKLNSYQGMELGIDKFDMQTVKLAGLLHDVGHGPFSHLFEREFLPQVNSGSHWSHEQMSVNMVDYIVEEHNIDIESQMLKRVKEMILASSEFSLPRSSSEKSFLYDIVANGRNGIDVDKFDYIARDCRACGLGCNFEFQRLMETMRVLDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAIELMVVDALVQANAYLQISDTIQDPAEYWKLDDSIIKTIETSPLEELKEARELILRIRRRNLYQFCNEYAVPRDIMDNVKKVTPQDIVCSQKNGGVMLKEEDVAVSNVKIDLTRGKHNPLESIHFFKDYESDEKFTIPDERISHLLPTSYQDMIVRVYSKKPELVETISEAFENYQLKTYGIKAQVHSTPKKKRGYDSCV >CAK8545003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:718059163:718064353:1 gene:gene-LATHSAT_LOCUS13638 transcript:rna-LATHSAT_LOCUS13638 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKSFGGFMEKQKSFRVVMERQLSFMGSDRKKSKESPGKRGDLPIHLAARSGNLAKVREILQNCDETNHLLAKQNLEGETPLYLASENGHHMVVAEILKYSNLHTASIVARNGYDPFHVAAKQGHLEVLRELLHSFPNLAMTTDLANSTALHTAATQGHIDVVNLLLESDSNLAKIARNNGKTVLHSAARMGHLQVVKALLTKDPTTGFRTDKKGQTALHMAVKGQNQDILLELVKPDPAVLNLEDNKGNTALHIAANKGRTQNVRCLLSTEGININAMNKAGETPLDIAEKFGSPDLVSIMRDAGAVNSTDQGKPPNAAKHLKQTVSDIKHDVQSQLQQTRQTGMRVQKIAKKLKKLHISGLNNAINSATIVAVLIATVAFAAIFTVPGQYVEEKVHGLSLGQANIAKSPAFLIFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISIAFISLTYVVVGSHSRWLAIYATVIGSFIMLSTIGSMCYCVILHRIDETKLRAESRSFSMSHASDQEILNSEYKRMYAL >CAK8539650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519793929:519795146:1 gene:gene-LATHSAT_LOCUS8728 transcript:rna-LATHSAT_LOCUS8728 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVQCANAIETNNATQAQQILWVLNNTTPQEGDSNHRLAASFIRALTIRILAKIGCCKPLEQEVTELNHTNNFAIQTHKFSVIELANFVDLTPWHRFGYTAANSAILEATRGFSVIHIVDFSLTHCMQIPTLIDAIANRDEVPPSIKLTVAVRNRNVHIPIPPLLDLSYDELGSKLVNFAKSRNVSMEFKAVSSTYADGFASLIEHLRVKVQDLVYNKTHEEALVINCHMMLHYIPEETLYTNTNSNCPSLRSMFLEALRSLEPTIVVLVDEDADLTSSDLVTRLRSAFNYLWIPFDTVDTFLPRGSKERHWYESDICWKIENVVAYEGIERVERVESKSRWEQRMRNAHFQGVCFSEDSVMEVKGMIDEHAVGWGLKKEDEFLLLTWKGHNVIFASAWLPS >CAK8536349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932907911:932909440:1 gene:gene-LATHSAT_LOCUS5726 transcript:rna-LATHSAT_LOCUS5726 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLSRRGNITTVEKDNVPLRTTHFEHRETHELGPNFQSLPRNENQTYLDRGARVPLNANVSESYLDRAGVPLNANVSEEEKRLTTEEISKYRNQAQQSALEALSEAQEKYERAKQATNETLRNTTQAAQAKGATVEKTQPGYEATRDTVSNAARTAAEKAAQAKNATFEKTQQGYEATRDTVSNAAKTAAEYATPAAEKAKCVAVQAKDVTLETGKTAAEKAKCAAEIAAKVAVDLKEKATVAGWTASHYATQLTVDGTRAAANAVEGAVGYVAPKASELAAKSVETVKGLAASAGETAKGFTARKKEESWREYEAKRASQIQEGEEILPSNVSNYTQKVTPSGERTQAQGTNLQEKVQGKGSDILGAVTETVSDIGSSMIKPIDNAANTKVKEHGGTTITQKGQDAGGGVLDAIGETIAEIAHTTKVIVVGEDDEVEKSMQKNIGSDSHSLDRAKQEGYRAPKNNLS >CAK8573064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580501919:580505340:1 gene:gene-LATHSAT_LOCUS25555 transcript:rna-LATHSAT_LOCUS25555 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDSGASSSLEVADLSDSITRLKMNHSSDEHADDAPPSSSDGNNNVSDDVINQVDQFLLDAVQNPRERLSILRMEQDVVKFIHDPNQHQLEFQKLPTSYLRLAAHRVAQHYSLQSMVLVDDSLPDGSGSIIIVHKTFAYKPPLVRLADIPVKLPSEDNTLKKVAIKQRPQKQSLVSDTDSNSVKNRNSKSVEERTEEYSRARARIFSSSDNCSTLGGKPGCESGKQDNSLLCSLDFSRVEDKPSSVPDVSSSRVLVESSTTNIRARSRAEKESVGRPMQSSRVAIYRDREIDRKDPDYDRSYERYVHRFDPGFGFNGGPYIMQPMYTAVSNYRTDLSQLGSTHELQLFTEQHPQPPFQHIPGPWAPPLPAGIGYGYPEAMLPFNPRQVGTCSAPALYLHSSHYSCHCHGVPFIPHEPLHQPFPQSHQPPPDANFGLAWPW >CAK8530371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:17374769:17387992:1 gene:gene-LATHSAT_LOCUS239 transcript:rna-LATHSAT_LOCUS239 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRVLVPAKDVDLSLVQYEPETVQAPHLTGFGFRLLVRLIEAPLIGPLLMNYLKKENKIDELLRNTVIPEEPMFKPEYPPQEKEPGVVELDEDGRPEDRVKSALNCLPHYDPAELWESSSAPFRYWKIRDYAHAYRFRKLTPSMVAERIISIIEENGIDKPPTPLLITFDASEVRKQAAASTQRFEAGNPLSILDGTFIAIKDDIDCLPHSSKGGSTWLHEVRTVKKDAVSVSRLRSCGVIFLGKANMHEFGMGTTGNNPNYGTARNPHAPDRYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKINYGRSSMEGSLCDSGTVEVIGPIASSVEDAMLVYAAMLGTSPTNRISMNPSTPCLPTLSFDDDTDALGSLRIGKYTPWFNNVHSTEVSDKCEDALNLLSKAHGCEVIEVVIPEILEMRTAHVVSIGSECLCSLNPDCEEGKDTKLTYDTRTSLALFRSFTAADYVAAQCIRRRNMHYFMEIFKKVDVIVTPTTGMTAPIIPPSALKSGETDMQTTGYLMRFVVPANLLGFPAISVPVGYDKKGLPIGLQIIGRPWAEATILRVAFAIEKLCGESKKRPVSFYDVLRAN >CAK8568733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636901961:636902245:-1 gene:gene-LATHSAT_LOCUS21665 transcript:rna-LATHSAT_LOCUS21665 gene_biotype:protein_coding transcript_biotype:protein_coding MDITPTQSPWPFKLTRRQFPIIVSYVMTINKFLGQYLDYVGIYLPGSVFIHGQLYVAISRFKSKRGLRMLILDKENQELHNTTNVVFKEVFENV >CAK8541049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:48001661:48004286:-1 gene:gene-LATHSAT_LOCUS10001 transcript:rna-LATHSAT_LOCUS10001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENQNPDSSFPFWKPVPRRLLPDSPFFAIGNLERELLVKQVALEFTEEKEQIESFIQEDEREVFCPIVGCGARLASMEDFENHYNARHTASCSVCSRVYPTSRLLSIHVSEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYKSRQQHLVDKHKFPTSFEFFKKALPSRKQRMKSQRKKPSHKEDTSGMMEVENAAIDDLVSAVSRLNTSDSTPSSISFGRRNTKGLSFVPRAVQHGRGANSGSSVTKR >CAK8537221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:161513088:161515967:-1 gene:gene-LATHSAT_LOCUS6526 transcript:rna-LATHSAT_LOCUS6526 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKHLNFVEPDEGSCISSMNYCKRVGMNKNKGQWTVEEDGLLVRLVEQYGLRKWSYIAQEFHGKIGKQCRERWHNHLKPNIKKDSWSEEEDKILIKAHKEIGNKWSEIAKRLPGRTENSIKNHWNSTKRREYSKRKYHSKYSTCTLLQEYIKSLNSDKNPPNDYIITSSTNTVVKNESTVSTESREAEELCPIECLPSFNFDDFPDFCFDDNLFQDGYSIDYLLNDIETMEGNNI >CAK8532018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:192490966:192508210:1 gene:gene-LATHSAT_LOCUS1763 transcript:rna-LATHSAT_LOCUS1763 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVYIPVQNSEEEVRVNLDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKVDQFRQILEEGSSPEIDDYYADIRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQASAAFKIVLDGDRDNVPALLGQACVEFNRGRYSDSLELYKRALQVYPNCPAAVRLGIGLCRYKLGQFEKARQAFERVLQLDPENVEALVALAIMDLRSNEAVGIRKGMVKMQRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALSVTNHGPTKSHSYYNLARSYHSKGDYDKAGVYYMASVKEISKPHEFVFPYYGLGQVQIKLGDFRSALSNFEKVLEVYPDNCETLKALAYIYVQLGQTDKGLEFIRKATKIDPRDAQAFLELGELLILSDTGAALDAFKTARTLFKKGSQEVPIELLNNIGVLQFERGEFELAKQTFKEALSDGIWLSFFSEANKSSIDAATSTLQFKDMQLFHDLESNGHVVEVPWDKVTVLFNLARLLEQLNESGTASLLYRLILFKYPDYIDANLRLAAIAKARNNILLSIELVNDALKVNDKCPNALSMLGELELKNDDWVKAKETLRAASDATDGKDSYATLSLGNWNYFAAVRNEKRNPKLEATHLEKAKELYTRVMIQHSSNLYAANGAAVVFAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVYFAQGNFGLAVKMYQNCLRKFYHNTDSQILLYLARTYYEAEQWQDCIKTLQRAIHLAPSNYTLRFDAGVAMQKFSASTLQKAKRTADEVRATVAGLQNAVRIFSQLSAASNLHIHGFDEKKIDTHVGYCSHLLSAAKVHLEAAEHEEQQIRQRQEVARQVALAEEARRKAEEQRKIQMERRKHEDELKQVQQQEEHFNRVKEQWKSSTHSKRRDRSDDEDGGTGEKKRRKGGKRRKKDKHSKSRNDTEEAEADMMDEQEQEVEDEDADMNSREEPQTLTNDAEENPHGLLAAAGLEDSDAEEEPAGPSSTISRRRQALSESDDDEPIIRQSSPARENSVDMQESDGEIREEKTYEDEASDEEK >CAK8575692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:253757501:253759535:1 gene:gene-LATHSAT_LOCUS27933 transcript:rna-LATHSAT_LOCUS27933 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDSTRYYEILGVSKNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYDVLSDPEKREIYDTYGEDALKEGMGGGGGGGGGHDPFDIFSSFFGGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVLCSKCSGKGSKSGASMKCAGCQGTGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVHVEKGMQNSQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKSEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVEFPETLSPDQVKGLEAVLPAKPSSQLTDMELDECEETTLHDVNMEEETRRKQQQQHEAYDEDDDMPGGAQRVQCAQQ >CAK8538605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488831906:488832298:1 gene:gene-LATHSAT_LOCUS7786 transcript:rna-LATHSAT_LOCUS7786 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEWAKKNPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNLFTRNEVRNHLIAFGFQKGYDVWVRHGEKKSKLGDLNNNHMNEEEDQIDDIDGLLHERFRDVVQEENDVNVSLNEDAKSSII >CAK8538184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467872881:467873588:-1 gene:gene-LATHSAT_LOCUS7412 transcript:rna-LATHSAT_LOCUS7412 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHSDQYWFWMKRRQIQAQTESWEEKAFAEDAARSILNGSIWPPRFYSCNFCKREFRSAQALGGHMNIHRRDRARLKQNLSPQKNNDFINSSSLGNHCFPAKRRSISPSRMISTSTISSSPYSSSIIWGQKKIECNKAREDSFKDCVETSLSLGMFGQKPSTVLPCKEKGIICKRLKIDNTSYLPSLFIKPCSNDHQRLLTFQQPSEIVLGVNHGMEDLDLELRLGKQQKVIN >CAK8573479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615517158:615520685:-1 gene:gene-LATHSAT_LOCUS25925 transcript:rna-LATHSAT_LOCUS25925 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSRKGVSGFSSSSTAEEVTHGIDATGLTAIVTGASSGIGVETTRVLALHGVHVIMAVRNTAAARDVREAILKEIPSAKIDVMELDLSSMVSVKKFASEFISSGLPLNILINNAGIMACPFMLSKDKIEQQFATNHLGHFLLTHLLLDTMKKTAHESNKEGRIVNVSSEAHRFAYSEGIRFDKINDQSSYSNWRAYGQSKLANILHANELAKRLKDDGVNIIANSLHPGAIVTNLYRHTSVINGIVNMVGRFAMKNAQQGAATTCYVALHPQVKGVSGEYFSDSNLGKATSQGRDADLAKKLWDFSINLIEEK >CAK8538571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487428484:487429137:-1 gene:gene-LATHSAT_LOCUS7755 transcript:rna-LATHSAT_LOCUS7755 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSFDRNMLMMMMMMMAMMWNIAKSELHYVGGNKYGWVPGVNLTLWSLNEQFLVHDWLYFGYDRHTFNLLEVNQTSYEKCIDTGFIKNITGGAGRDVFQLTEAKTYYFLSGGGFCWQGVKVAVDVLDHLPPAPAPAPKESDGSSISSKKIYHSLVGVILVLIFTSFLM >CAK8575555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:165990033:165990868:-1 gene:gene-LATHSAT_LOCUS27811 transcript:rna-LATHSAT_LOCUS27811 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPSCDKSGMRKGTWTAEEDRKLIAYVTRYGCWNWRQLPKFAGLSRCGKSCRLRWLNYLRPNIKRGNFTQQEEELIIRMHKNLGNRWSVIAAELPGRTDNEVKNHWHTSLKKRVQQKNAISHEETRLTKSSISSQISDITGPLSPFSSSSEFSSTAEDDFGFLDAFVESMDECFWLDDPSYTPSGIVQNNIHDTTTSNDAFLVSHNHMSYESFVIDNDFSSFLDAYTESTVDSFWTNPYEGDVTCVL >CAK8560611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28444938:28446401:-1 gene:gene-LATHSAT_LOCUS14272 transcript:rna-LATHSAT_LOCUS14272 gene_biotype:protein_coding transcript_biotype:protein_coding MASNISLLLLFSFLTTFLHFIIFTFAQSDNYIIHMDLSAMPKTFSNQHSWYHSILSQVTTTNNYLNSSSSKILYTYTNVMNGFSANLSPQDHESLQNSPGYISSMPDIPLKLHTTHSPQFLGLNPDKGAWPASEFGKDVIVGVIDTGVWPESESFKDDGMTEIPSKWKGKLCQFNNSDHSSLCNKKLIGARFFNKGFLAKYSNLSTTNLNDARDTTGHGTHTSTTAAGRKVDGASFFGYANGTATGIASMSRVAMYKTIGGDGLATPSDIMAAIDAAMSDGVDVLSISMGAQEVPFYEDTLAIATFAAIEKGIFVSVSAGNEGPLFHTLSNSVPWVTSVAAGTLDREFRGILTLGNGVSLTGLSLYLGNFTATNSPIVFMGSCDNITELNKVKNNIVVCEEKNGTLLSIQINNMIAADVVAGVFISNIPDINDFNNRIPSIIITPINGKIVQSYIKSHNSKNSSSTASISFKTTGLGVKPSPICVET >CAK8576848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519458199:519462145:1 gene:gene-LATHSAT_LOCUS29011 transcript:rna-LATHSAT_LOCUS29011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSIIVVVLVIGCLSKEGLGVREQEEDRVYELPGQPLVNFKQYSGYITVNESHGRALFYWFFEAVDQPQQKPLLLWLNGGPGCSSIGYGEAEELGPFSPQNSTQPKLKLNPYSWNKAANLLFLESPVGVGFSYTNTTIDISQLGDTITAKDSHTFLLNWFKRFPQFKSHDFYIAGESYAGHYVPQLSELILDNNNNHNSSNEDYINFKGIMIGNALLDDETDQKGMIEYAWDHAVISDGLYKNITTICNFSYPIQNQTDECNIELNKYFDVYKIIDMYSLYAPRCFSNTSNTRRSSDGWNKMRAGYDPCASDYTEIYLNRPEVQKALHANVTKISYPWTHCSNNISFWNESPVSMLPVLKKLIAGGLRIWIYSGDTDGRIPVTATRYTLRKLGLPIVQDWTPWYTSRQVGGWSIVYDGVTFVTIRGAGHQVPTFAPKQALQLVRHFLVNKNLPQHPI >CAK8565033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:29608226:29609961:-1 gene:gene-LATHSAT_LOCUS18274 transcript:rna-LATHSAT_LOCUS18274 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCYTFITPSIPSLSLHNHPSSKLPVRVSYINASLSSSSSDSKAKSSSSSPQNVPSSTPYVESRPNEPAFNYAFANTNGNPLFRMIQNTESSIERVIFDFRFLALFAVAGSLAGSLLCFLNGCVYIVGAYKVYWTSCVKGVHTGKMVLLLVEAIDVYLAGTVMLIFGMGLYGLFISNTPPDVSPSVDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVVIATGLDLLSYSVCIFLSSASLYILHNLHKQD >CAK8535484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:852374116:852380547:-1 gene:gene-LATHSAT_LOCUS4943 transcript:rna-LATHSAT_LOCUS4943 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDVMANERVCYVHCNFCNTTLAVSVPCSSLLTIVTVRCGHCSNLLSVNMGASLQSFPPQQDPQKQQLISCHQEPISRKEVIGSSSSSSSKCKAFQPHEQPRTPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQAKMDHGVGDTTQKSNGFY >CAK8535483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:852374116:852380547:-1 gene:gene-LATHSAT_LOCUS4943 transcript:rna-LATHSAT_LOCUS4943-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDVMANERVCYVHCNFCNTTLAVSVPCSSLLTIVTVRCGHCSNLLSVNMGASLQSFPPQQDPQLQKQQLISCHQEPISRKEVIGSSSSSSSKCKAFQPHEQPRTPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQAKMDHGVGDTTQKSNGFY >CAK8533087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:576722412:576723021:-1 gene:gene-LATHSAT_LOCUS2737 transcript:rna-LATHSAT_LOCUS2737 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNSERRKLLNETNEFVGDKVAYALSLGRKVIACIGETLEQREAGTTVAVVSEQTKAIAEKVSNWDNVVLANEPVWAIGTGKVATPAQAQEVCLHRYAV >CAK8569910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15401026:15402117:-1 gene:gene-LATHSAT_LOCUS22711 transcript:rna-LATHSAT_LOCUS22711 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQDPSLSSAYIRSLVKQLATTKKPKETMNTKHHHEHQNLRKHVKVHKKQGRRRLHTTRPYQEKLLNMAEARREIVTALKFHRASKIQAREEEKHQIYQQQQDLRLSHSNQQLSSFDFEQDLRLSRRNPRIYPSCINNLSNDFSYPPSSSSFSHPSLSLPTWPISSSFSPNLLVENSNITLPNQTLGLNLTLHDFNTLDSTLLLDDDNNNNNDLSFISYSPPPSLSPPLYPTNDLEIPSIKEISQGEDASSMVHTIESSPTNQVKEGGSHAAMDDEGMEEMRSLGEQYQMEWNDTMNLVTSTLWSNILKKMENDAPQEDACRHVFDEFMEFDPTWLNAEDERCLEQWPESENYLPDLGLPW >CAK8569911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15401026:15402027:-1 gene:gene-LATHSAT_LOCUS22711 transcript:rna-LATHSAT_LOCUS22711-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKHHHEHQNLRKHVKVHKKQGRRRLHTTRPYQEKLLNMAEARREIVTALKFHRASKIQAREEEKHQIYQQQQDLRLSHSNQQLSSFDFEQDLRLSRRNPRIYPSCINNLSNDFSYPPSSSSFSHPSLSLPTWPISSSFSPNLLVENSNITLPNQTLGLNLTLHDFNTLDSTLLLDDDNNNNNDLSFISYSPPPSLSPPLYPTNDLEIPSIKEISQGEDASSMVHTIESSPTNQVKEGGSHAAMDDEGMEEMRSLGEQYQMEWNDTMNLVTSTLWSNILKKMENDAPQEDACRHVFDEFMEFDPTWLNAEDERCLEQWPESENYLPDLGLPW >CAK8561325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:150234260:150245972:-1 gene:gene-LATHSAT_LOCUS14927 transcript:rna-LATHSAT_LOCUS14927 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTEENISRAFHMHDTITDEDGDVTEDSEPENHNEEEEQQAGNYRSSITSAGISRIVDPRAKWVQEWNRVFLLVCGAGLFVDPLFFYALSISDSFMCLFIDGWFAVTVTVLRCMTDALHLWHIFLRFKMAKRNSFVFSRDTAGADAGCSVALAYLKSRRGFFFDLFVILPIPQIVLWVAIPSLLKQGSVTLVMTILLIVFLFQYLPKIYHSVCHLRRTQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRATKCLKEQCLKTNGCDIKILSCHKPIYYGSTRLLNKDIGRMWWAENREARSTCISGPDNYNYGAYKWTVQLVTNDNRLEKILFPIFWGLMTLSTFGNLESTTDWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQVMQLKMRNVEWWMRKKHLPQGFRQRVRNYERQRWAAMRGVDECEMTKNLPEGLRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRVKSLIFTKGETIAREGDPVQRMLFVVRGHLQSSQVLRDGLKSCCMLGPGNFSGDELLSWCLRRPFIERLPLSTSTLVTLETTEAFGLEAQDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWGAVAIQLAWRRYRHRLTLNSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFDF >CAK8562785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:537448554:537449582:1 gene:gene-LATHSAT_LOCUS16253 transcript:rna-LATHSAT_LOCUS16253 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRSITCLASLMVAMVLMMNGTEAQDNASCAAQLTPCAEFLNSTKPPSSCCDPLKKTVETQLTCLCNLFYTPGLLKSLNIDVNQALGLAGKCGIPGGLSSCKQAGSAPAPTAGGSPPVKGSGDGGDKGGADRVSFTGFSFVVLLLASMLFN >CAK8533444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:618609676:618610164:1 gene:gene-LATHSAT_LOCUS3067 transcript:rna-LATHSAT_LOCUS3067 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGKATNGPTEVTNDVYMENLGVEPTSADQNRGFVKITWLESLYELLKNNSSPTQEHIILQAKVYILLVIAAILFLDKSQNLLHSSWIPFIEDLEKCNTFSWGSACLAKLYRKMCKAAVKDVRSMSGCVVLFTTWVFTRIPLVAPVNTLQPSFPYT >CAK8534354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719974872:719975660:1 gene:gene-LATHSAT_LOCUS3904 transcript:rna-LATHSAT_LOCUS3904 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVMARTSNNISSKRHFHWTNKVGTEEGDAHTSESFSTLIQKEDLERDKVVDLEPSSDDAKATTTNAARRKLRAVAISRLRSALTVFGKNRSNTPFGLGSRVVGTLFGYRRGHVHFAFQKDPTSQEAFLIELATPISGLVREMASGSVRIALECDKEKEVEKKGLRLLEEGMWRTYCNGKKCGFANRRECGQKEWDILKAVEPISMGAGVIPGTEAGAEGELMYMRAKFERVVGSRDSEAFYMMNPDSNGVPELSIYLLRV >CAK8543933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650426832:650428072:-1 gene:gene-LATHSAT_LOCUS12655 transcript:rna-LATHSAT_LOCUS12655 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASRWHYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVSIPYHDAPSEMPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALHIARSQ >CAK8538320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477077552:477079787:1 gene:gene-LATHSAT_LOCUS7532 transcript:rna-LATHSAT_LOCUS7532 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTHEQQHQLLSNLHGGATSAPPPTPSNNNNNLLSSPDAADALSRLLHRLPPNLSLPNRRSSSSATAPPSLSFSSLTPNELLSSVSNLGYVQLTNHSVSSELANSAESESLKLFDLSRDQKESFLPQNWPLGYEGGDNDDDDGVAESFRLDLPCSTVSDELKLDSLSEFARALEKVGLNIIDMLTKGLGVVNPVEEDPTRFSSIMWISECLAGNKPGSRSGFYPFIIGLQYQIRCQKHSLLSDSSGWVSVLPHVDSILVTVGDIAQVWSNGKLKKVRGRPMATLGDENGSRCITMSLLITLPTESNVAPLLPIGFKDKVEEDDEEEENNIGGEVHKRVFNNIDFEDYAWRVYHECLLFKDPLDRYRVTQ >CAK8575762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:312030887:312032332:1 gene:gene-LATHSAT_LOCUS27998 transcript:rna-LATHSAT_LOCUS27998 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSETLSKNLPLKPIPGSYGLPIIGPMHDRHDYFYNQGRDEYFASRVKKYNSTVIRVNMPPGGFIAPDPKVIALLDGVSFPILLDNAKVEKRDVLDGTFMPSTNFFGGYRTCAFQDTAEPSHSLLKRLIFFILSSKHNTFIPLFITNLSEHFTDLEKELSKQGKANFNSSVGVACFNFLFKFITDKNPTETKIGDSGPTLVQVWLAAQLAPLATAGLPKIFNYIEDVLIRTLPIPAWTVKSSYNKLYDGIMEAGTSVLDEAEKMGIKREEACHNLVFTLGFNAFGGLTNQFPILLKWVGLGGASLHKKLSDEIRTVVKEENGVNFRSLDRLTLTKSVVYETMRIEPAVPYQYAKAREDLVVQSHDASFEIKKGEMIFGYQPFATKDPKIFEKPEEFVADRFVGDGEKMLRHVFWSNGRETDEPTPDDKMCPAKDLVELLCRVYLVEFFLRYDTFTFDFKPSVLGPSITIKSLTKASSTV >CAK8571105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:297798125:297801457:-1 gene:gene-LATHSAT_LOCUS23798 transcript:rna-LATHSAT_LOCUS23798 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFRNILNKAKKFAKLELKTYIEEFEEKLTKFHTEKKEQEVTARNAQIHQQKIDSRENFNMDKNSEDYSKANNSDEADGEVIDTCNEETNTLSINGKSKTKPVPEASSSALSELTEPNQMDIEVQSPMVKTRGGQWSRIKKNNVKEERGDISITKKRVTRSRR >CAK8559924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1240323:1240988:-1 gene:gene-LATHSAT_LOCUS13657 transcript:rna-LATHSAT_LOCUS13657 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVNNLFFMFFFVVVLTNIQTCFADCDNLQDTCPSISPNKQTIFINGLPCKNPSNVTSQDFKTAELSKAGPKDIFGASIKLVSAFEFQGLNTLGLSIGRTDIENDGLVNFHYHPRATEMIFVKKGVLMAGFIDTKNQVFQNVLKVGDVCVFPKGLFHYILNQGFDDAVVYSVYNSQNPGHVSIVPTTFDTTLESLDKLKRRIISLSASQVHDGIINSNT >CAK8577497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:569188651:569190912:1 gene:gene-LATHSAT_LOCUS29600 transcript:rna-LATHSAT_LOCUS29600 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITILFLIFLSSLLSYPFLIKQHKPLSKPKLPPGSMGWPYIGETFQLYSQPPNIFFSSKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHSHLFKPTYPKSKEKLIGSSALFFHQGDYHTRIRKLVQTSLSPESIKKLIPDIETHVISSLESWVSSGQVINAFHEMKKFSFNIGILSVFGNLDDNYREELKENYCIVEKGYNSFPNRIPGTAYSKALLARQRIQEIISEIICKRKEQIKKDLLGHLLNYKNEKGEMLTDEEIADNIIGVLFAAQDTTASVLTWILKYLHDDQKLLEAIKEEQLAVYEANDGGKMPLTWSQTKNMPLTHRVILESLRMSSIISFTFREAVVDVVYKGYLIPKGWKVMPLFRNIHHNPEFYINPQSFDPSRFEVSPKPNTFMPFGNGVHSCPGNELAKLNMLILIHHLVTKFRWEVVGTESGVQYSPFPIPLQGLPTRFWRIQ >CAK8577164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542015388:542016514:-1 gene:gene-LATHSAT_LOCUS29293 transcript:rna-LATHSAT_LOCUS29293 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSQKQHIIILFLILALGGITNVFSRKLQQSSSSLEERHEQWMTKYGKVYKDDAEKDKRFIIFRDNVEFIESFNDANNKPYKLSVNHLADLTLEEFKASRNGYKKRSTGTGLTLTSFKYEDVTSIPSSVDWRVKGVVTPIKDQGQCGSCWAFSTVAATEGINQITTGKLVSLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKATDGSCNNATTVLVAKIKGYEKVPVNSEVALLKAVANQPISVSIDASDSSFMFYSHGIYTGECGTELDHGVIAVGYGSANGSDYWLVKNSWGTVWGEKGYIRMQRGIAAKEGLCSIAMDSSYPTT >CAK8569661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7456275:7459642:-1 gene:gene-LATHSAT_LOCUS22489 transcript:rna-LATHSAT_LOCUS22489 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSNFFHIINDIISSLVGTKVYIFFKFISLGIATCLTFPTLWILVSLSAIFFSSSSFLSFFHFLSGLKQQGVYFINLIAEDFNLITMYPNQAFSSGSYAEMISGNTLLPHNYSESVGGQNELKFIASMDDSMNMQSIDQGHSNAATSDPTTQFGLVESEQNVQCQGLSLSLGTMMPSFQYQYPGNGFTSLMNAQISNLKGSVSLKDDEDLRNAECNAYQNSVKREGFYNPHSSLGLNEGQSDPCLHGSAILPNNGLNAHYLKAAQELLDEIVNVRKTLKQTGLEKQQSFRDTGLDGSRDSDGKSTSQSMQISSGPNGSNANNSSTELSPAERQHLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGESETSSNLLSENTPKVPRDEDLRVWDDKRDESHEKLITIDSVQQQGQIAGLKLDHASSSTTAGTELERGIQSNDHWTNVMDSRIGKMQADQQRFNMNNSPYSNAPISINQNGDNCIMDSTPATYDDLSELSNFAVGGHVSLALELRNSESDGFGLSNDDVNKRRNQTLASSPDNDLLDYHFTDNGKQQHKFGNPHLLHEFVV >CAK8569662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7456275:7458731:-1 gene:gene-LATHSAT_LOCUS22489 transcript:rna-LATHSAT_LOCUS22489-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNQAFSSGSYAEMISGNTLLPHNYSESVGGQNELKFIASMDDSMNMQSIDQGHSNAATSDPTTQFGLVESEQNVQCQGLSLSLGTMMPSFQYQYPGNGFTSLMNAQISNLKGSVSLKDDEDLRNAECNAYQNSVKREGFYNPHSSLGLNEGQSDPCLHGSAILPNNGLNAHYLKAAQELLDEIVNVRKTLKQTGLEKQQSFRDTGLDGSRDSDGKSTSQSMQISSGPNGSNANNSSTELSPAERQHLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGESETSSNLLSENTPKVPRDEDLRVWDDKRDESHEKLITIDSVQQQGQIAGLKLDHASSSTTAGTELERGIQSNDHWTNVMDSRIGKMQADQQRFNMNNSPYSNAPISINQNGDNCIMDSTPATYDDLSELSNFAVGGHVSLALELRNSESDGFGLSNDDVNKRRNQTLASSPDNDLLDYHFTDNGKQQHKFGNPHLLHEFVV >CAK8538327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477618071:477619073:-1 gene:gene-LATHSAT_LOCUS7539 transcript:rna-LATHSAT_LOCUS7539 gene_biotype:protein_coding transcript_biotype:protein_coding MISDILLTCHRSFIEDSQAGIKIYLQDNSYYGQNNSIVTVTETLDEQMRAVDSDVSKLAEDSHYSQSMNAPFSY >CAK8540290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549216368:549220981:-1 gene:gene-LATHSAT_LOCUS9307 transcript:rna-LATHSAT_LOCUS9307 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRSSHPELGEWYNSLADLYQNKLWHQLTLKLEQFVALAVFQAGDALIQLYNNFITDFETKINLLKLAHFAVMVSRQYSEKEAAVAYLEGVIEKLQATREQRIEEPILYIKMQIALFKLEQGDQKECKNLLENGKSTLDSMTDIDPTVYASYYWVSSQYHKSRQEFAEFYKNALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCQVHNAALRAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLSAIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIQQIKSLRDRLDSWTGKVHTALLSIEAETPDLIGS >CAK8568054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567125892:567126677:1 gene:gene-LATHSAT_LOCUS21051 transcript:rna-LATHSAT_LOCUS21051 gene_biotype:protein_coding transcript_biotype:protein_coding MASAINHLYTVLLSIVLSSASSSTAEPLPPIWPEQFHSVMFMNKSGTLQKIDLWYDWPNGRNFNIIQDQLNEVVDYDLEWTNGTSFVYTLHPSDRHCKLFYPGVGILRRNWLDGATYLGQEKIDNFVCNVWEKVDFIVYYEDAVTRRPVKWIFSTGYTAHVMTFEVGAVLEESNWQAPVYCFNQTEKMLESAAVRGDSFGSLMGGKFVDVLDI >CAK8567882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553932265:553935823:-1 gene:gene-LATHSAT_LOCUS20897 transcript:rna-LATHSAT_LOCUS20897 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSSEPRVPAEQQEAENVAASTGALPILQKAFSKFANSETNAIPLENLQKCFGFAREGRSYNAENAKDSFPVLLDHLGSSLVDHFFISGKGGINWVEFVKGYNKCCARVSASTLLNKFIRVFIDVTRKADVPVNLEFESEDADCKANGYLLPNHVFLLLSVCWALSWDGRNSKGKGNVSVPDLSHLVLSAVTSCVEDKDGFDVWNCDISCLEVQLPAGKFVTWVMSTVPCLPDCLKLYFHARLQMLVTEGDELASSDSSSIEEISSTAACDYILTQGRAWAISITQRSTVNEEISRAFISSGAGMNDNLIYRSSTHGRGLNRFWSRVEGYHGPLLILVAASSGNDHEGNSAIRKFVIGAQTNEGLENKDVFYGTTGCLYSLNPVFHVFPPTGKEKNFVYSHLHPTGRAYQSHPKPVGVAFGGTPGNERIYIDEDFSKVTIRHHAVDKTYQSGSLLPDQGFLPTEALISEVEVWGLGGKAAKEVQDSYKKREDLFTEQRRKVDLKTFSNWEDSPEKMMMDMVSDPNAARREDR >CAK8535571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864079316:864080542:1 gene:gene-LATHSAT_LOCUS5018 transcript:rna-LATHSAT_LOCUS5018 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIAAAFIIVLLISFNAVAGSSSSINVTVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDASAAVAVRNEANNSIFFQCSIQGFQDTLWAVSGRQFYKNCEIYGTVDFIYGNAAAVFQDCMIYARYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSPEDEERKSEVRATLGRPLRNYSTVAILQCYIDSMVDPRGWEEMPGQGTDNVTYVEFENVGPGSNTDGRVEWHGVRVLGDHNQTLVFTASYLLDADSWIPTRGVPYDSEL >CAK8535572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:864079322:864080542:1 gene:gene-LATHSAT_LOCUS5018 transcript:rna-LATHSAT_LOCUS5018-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAFIIVLLISFNAVAGSSSSINVTVSKDVRSGNYTRVGEAIRNAPDFSQKPYTIQVLAGIYQEYILIPSTKINIHLFGDGPNHTIILANQNGSTIDIRGEGFMAQNIGFINSAGLDASAAVAVRNEANNSIFFQCSIQGFQDTLWAVSGRQFYKNCEIYGTVDFIYGNAAAVFQDCMIYARYRQFVTFTAQSRESPYEKTGFTFQRCSFTMSPEDEERKSEVRATLGRPLRNYSTVAILQCYIDSMVDPRGWEEMPGQGTDNVTYVEFENVGPGSNTDGRVEWHGVRVLGDHNQTLVFTASYLLDADSWIPTRGVPYDSEL >CAK8544856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709371595:709372536:1 gene:gene-LATHSAT_LOCUS13503 transcript:rna-LATHSAT_LOCUS13503 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDSEIISHSIRELVNNDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDKRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGG >CAK8543772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638799731:638800823:1 gene:gene-LATHSAT_LOCUS12510 transcript:rna-LATHSAT_LOCUS12510 gene_biotype:protein_coding transcript_biotype:protein_coding MESVFLKSMVVHNHTLQQQFPLVSMDLSWLTEFLKGMVKPVCATAVVFLAVALSFSQKLGLEVEMIIAVLRAFLQLSIIGFVLQFIFNQENSGWILLAYLFMVSIAGYTAGQRAKQVPRGKYVAGASILTGTAITMFVLVALSVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIKTQINLVETALALGATPRQATHQQVKRALIIALSPVVDSTKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMMIGAATISSIMATYLCWPAFFTKAYQLETKVFST >CAK8567844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:550263121:550264080:1 gene:gene-LATHSAT_LOCUS20862 transcript:rna-LATHSAT_LOCUS20862 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDSNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8563303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590977957:590980609:-1 gene:gene-LATHSAT_LOCUS16728 transcript:rna-LATHSAT_LOCUS16728 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEITAMASPSTRPSLPTPSTFNKPQHKRLHNISLPTSTTISLLALFTPPNEARASVTISKDQIVSSLTQVEQTIDQVQVVGSGFLDTTQRVAGAIGNVLKPGFDAALPIVQQAGEEALKFASPAFSEASRKAQEALQSSGVDTQPVVTAAKTVADAAQQTTKVIEGAKPIASSTMETIISSDPTVIAGTAGALFVAYLLFPPIWSVISFNLRGYKGDLTPAQTLDMLCTQNYILIDVRTEKDKNKAGIPRLPSSAKNKMVAIPLEEVPSKIKGLVRNVKRVEAEIAALKISYLKKINKGTNIVILDSYSDSAKIVARTLTRLGFKNSWIVGDGFSGGKGWLQSRLGTDSYKFSFAEVLSPSRIIPAGVKSFGTTSRQSSQKLLPGAD >CAK8560636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:32768511:32770528:-1 gene:gene-LATHSAT_LOCUS14296 transcript:rna-LATHSAT_LOCUS14296 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVHKRFHHNNSSNDGFGSNMKKNESTMNNKNDNQALLKQVALTDMLGGWNDGILTIGTLGYDPMKSINNHKDYYSLEAEKPHDLVDDDDDDESFYNAEQEELNPLINNTLDENNNSEDVVCENHDDVEEVNATKEKEVMIENFKEIVYVPPPPMIFHEIIEANDVEADQKKRITLADLFLADSELKMKLDYPKAKVLNESSEKPNLKPKNGFSFAKKIIPLVKDNAHPIKDIKKLMKKMLKRKIHPDLDVKNHKAEGNTIDNHMNEGNGSSYFIPI >CAK8574465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:216008:221445:-1 gene:gene-LATHSAT_LOCUS26809 transcript:rna-LATHSAT_LOCUS26809 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPITASTIGFHFTAATEKPIFSVPFMKVSSRFSHTKPCYFLHKTRLLSSGTTIIPRAAAPVTDVQDGNQGETDTVPTPIVIIDQDSDLNATVVKITFGDRLGALLDTMSALKSLGLNVVKANVFLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTIINNLIQYHPESSSQLAMGAAFGLLPPKEQVDVDIATRIYVSDDGPDRSLFYVETADHPGLLVELVKSITDIDIAVESGEFDTEGLLAKAKFHVSYKGKAISKQLQQVLANSLRYFLRRPATEESSF >CAK8570114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24297210:24299505:1 gene:gene-LATHSAT_LOCUS22895 transcript:rna-LATHSAT_LOCUS22895 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKNILITGAAGFIASHVTTRLINRYPSYNIVALDKLDYCSTFKNLQPCTSSPKFKFIKADIASADVVNHILIEEEIDTIMHFAAQTHVDNSFGNSMEFTYNNIYGTHVLLEACRAAKCVKRFIHVSTDEVYGETDLDSDIGNHETSQLLPTNPYSATKAGAEMLVMAYHRSYGLPIITSRGNNVYGPNQYPEKLVPKFILLAMKGEKLPIHGDGSNVRSYLHCGDVAEAFDVILHKGVIGQVYNIGTKKERSVLDVAEEICKLFKLDSKKVIEFVQDRPFNDKRYFLDDQKLKKLGWEEKTTWEEGLKMTIDWYKNNPDWWGDVSTALNPHPRFSAINLSDEAQWSFQYGYSRLLRSFTEVGRRESGMKFLIYGKTGWIGGLLGKICDEERICWEYGRGRLQDRSSILEDVKRVRPTHVLNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCKESDLYVMNFATGCIFEYDKEHPLGSGIGFKEEDTPNFLGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVISHNEILELYRDYIDPSFKWENFDLKEQAKVIVAQRSNNEMDGSKLKKEFPELLSIKDSVIKFVFEPNKKT >CAK8538265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474002635:474003959:-1 gene:gene-LATHSAT_LOCUS7481 transcript:rna-LATHSAT_LOCUS7481 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQQQHRVDPKVWQAFAGDSFTVPKLHSKVYYFPQGHLQHVCPNTPNTQTLDGCRPMILCTVSAVDHLADRETHQVYAKLLLTPVIDGSVVPLESSNEEDGDQIVSYAKILTNTDVKRGGKLYVPMACANSIFPALPPVDSINQSPCQDLFLTDVCSVVWKFHHVHRKYPFQHLFSSGWSGFVGAKKLVSGDTIVFVKNSVGNISLGIRRKTKVAGTAKITKKEVSMAIKLAEKNAAFEAVYYPTVGGFDFVVGAKTVEDAMKVNWRCGMRVTHMVKNDDTPKGISIFNGTISNFSPPSTRPWRMLRVEWDDPQVSENLKQLSPWQVEVIEWDIQFPPTKKLRGAQGSVLSSEIERDPSRFNSMHHHASTSSNYNTKKTSPASIMLFGHRIQPIESDFNDSDVKSIL >CAK8539651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519841895:519843590:-1 gene:gene-LATHSAT_LOCUS8729 transcript:rna-LATHSAT_LOCUS8729 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNLLLIWLLFLILCLHSCPTYAQLSRHHYKNSCPNVESIVREAVKKKFHQTFTTIPSTLRLFFHDCFVQGCDGSVLVSSTPHNRAERDHPDNLSLAGDGFDTVIKAKEAVDAVPLCRNKVSCADILAMATRDVVALAGGPYYEVELGRFDGLRSKDSDVNGRLPEPGFNLNQLNSLFARNGLTQTEMIALSGAHTVGFSHCNKFSNRVYNFNSKSRVDPTLDLHYATKLKSMCPRNVDPRVAIDMDPVTPHAFDNVYFKNLQKGKGLFTSDQVLFTDSRSKNAVNAFASSNKIFRANFVAAMTKLGRVGVKNSQNGNIRTDCSVI >CAK8565832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:341493549:341494716:1 gene:gene-LATHSAT_LOCUS19013 transcript:rna-LATHSAT_LOCUS19013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKDNVKRGQWTPEEDNKLTSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGHFSDAEEQTIVKLHSVFGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQAAHLAEAALGCFKDEVLHLLTRKPIDYQGQHSNAGLANNITDYFTCKPEEREDTVEKIKFDLSKAMQQEHEMMPSNKPWDSTAATSASFAMPYSIFPTMSGFQFSPSCFGNKGDASPWSQSLCTGSTCTAIDQQSQFHEKLEEENEDDSETTKEIRNLSNIFNSDCILWDLPADDLVNPMV >CAK8565667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:301956105:301957067:-1 gene:gene-LATHSAT_LOCUS18858 transcript:rna-LATHSAT_LOCUS18858 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAFDYMFVAARSKPIVKILEEIRVYLMQIWESNRKKIAKYEGIILPNIKKRMERESQKTNHWIRTSEYDYEVRNISLNGEKYVINLYKKECSCKIWMLTGLVCCHAMSCIKDQHLEIDDFVPDCYKKEQYSYCYAPIIYPFNRKALWEKTSVVDLQPPPIKRQPRMTKKKRNREAGEMMRDETHIKRARHGIKCSRCHKDGHNKATCKQPQPQAPPSQVQQATTQPPPTNVSSQVQEATTQPPPLVVTSQLPLPVVTSHTPPPIVTSQPPPKTTKNLHKGGKPVSSQP >CAK8572945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572402211:572404730:1 gene:gene-LATHSAT_LOCUS25447 transcript:rna-LATHSAT_LOCUS25447 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLQAYGPSMLPTLNIGGDVVLVEHLSPRIGKIGHGDLVLVRSPLNPNRNLTKRVVAMEGDTVTFFDASGSDSSRTAVVPKGHVWIQGDNIYASRDSRHFGPVPYGLIKGKVFFRVWPPSSFGLLDN >CAK8565719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:312806866:312809152:1 gene:gene-LATHSAT_LOCUS18907 transcript:rna-LATHSAT_LOCUS18907 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEILYFTSFLSLITFVFIAHRILETKKSNTSTLNLPPGPLKLPIIGNIHNLLGSLLPHRKLRELSTKYGPLMHLKLGEVSTIVVSSPEYAKQVLKTHDLVFASRPEILAAKIMSYNSLGMSFAPYGEYWRQLRKICTLELLSPKRVQSFQPVRGEELTNLIKLIASKEGSASPINFTKEVLSTIFTITSRVALGKKCKENQKFIVVVKEAIRVAGGFELGDLFPSYAWLQHLSGLKPKLEKLHKEADMIMQNIIDEHREVRKSRVNEDHAKEVEEEDLIDVLLNQECLSDNSIKAVILDMYGGGSESSASTITWAVAEMIKNPTIMEKVQAEVREIFDKEKLPNESDIEKLKYLKNVVKETMRLHPPGAFLLPRECGEACEINGYDIPYKSKVIVNVWAISRDPSFWSDPDRFYPERFDENSVDYKGNSYEFIPFGSGRRMCPGVTFGLVNVEFSLALLMYHFDWKLPNGVKKEDLDMSESFGVTVAMKEDLYLIPFTYHP >CAK8572838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:567016792:567017438:-1 gene:gene-LATHSAT_LOCUS25356 transcript:rna-LATHSAT_LOCUS25356 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYEAYLGDPVQYRWMYPFERFMGDSKRSMKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSGKDNVHWLTQKELQSAHVHVLINCIEVKPYLEAFNAFYFQSTGEQATTGHIHASFPAWFKDQLSCIVAPTQEILHLRNLSRGPVQRAIE >CAK8578054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604157035:604161849:1 gene:gene-LATHSAT_LOCUS30098 transcript:rna-LATHSAT_LOCUS30098 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREHRQLQLDENDDNEWRLYEAYNELHALAQELHTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYGPHCESPSCHLLSDDDPSLSHQMSLPQIQAYIEAENARLERDSCSQFSAKEIIIKVEYKYCPNLTIIDTPGLVAPAPGRKNRALQVQARAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTVIVSTKLDTRIPQFARPSDVEVFLSPPTSTLDGCILGDSPFFTSVPSGRVGSGSHCLYSSNDDFKQAVSFREIEDVASLEEKLGRPLSKQERSRIGVSKLRLFLEEILQKRYINNVPLIIPLLEKEHRSVTRKLSDIKQELSTLDEAKLKEKGRAFHDMFLTKLSLLLKGTVVAPPDKFGETLPDERINGGAFVGADGVQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKAHDTFEPFLHQLGSRLLHILKRLLPISFYLLQKDCEYLSGHQVFLRRVASAFDNFAESTEKSCREKCMEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTEHSNDPTATALSQPNVQDKEDTKPQLEVKLSHVASGTDSSTSSQTAETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPARLREDLESAFEDDLENVFDITNLQLSLGQQKGDMEIELKRINRLKDKFRMIHEQLIQRQAV >CAK8573582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621900055:621902028:1 gene:gene-LATHSAT_LOCUS26015 transcript:rna-LATHSAT_LOCUS26015 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAALNKKEVSQTSQGCCPKLLFINSSLLSHSNNNTVTKPRNSSSQCRQTFAATTSSSIFPNTKFTNHESLPSLHESYTEFNRVYPQFSETEKIDSLRAKEYYHLSFLNQSCLDYIGIGLFSYFQRQQHDASETQLSSPSTSTPQSPLQYSDIPFFSISNKTGNLKTLLLHGGSESEFESSMRRRIMKFLNISENDYFMVFTANRTSAFKLVADSYPFQSCKKLLTVYDYESEAVEAMVGSSEKRGAKSMSAEFSWPRLRIQSTKLKKMLVSDNNKKKKKNKKSGLFVFPLHSRVTGARYPYQWMRTAQENGWHVLIDACALGPKDMDSFGLSLFQPDFLICSFYKVFGENPSGFGCLFVKKSSISLLESSSCAGIVNLVPERNLLNDLSENYSTSSNNNQVEVDSKKPPSILHEQELSSLISFSGRMQTPQFVKVEADPKAPEGSGTDEGKRIVENNQIKILEDSKNGSFDIECRCLDQVDSLGLTLITNRGRYLINWLVNSLLKLKHPNDEGAPLVKIYGPKIRFDRGPALAFNVYDWKGEKVEPVLVQKLADRNNISVSYGFLHHIWFADKYSEEKGRVLQSKEGKGEKTATNKKKDRDNNLGVTVVTAALSFLANFEDVYKLWTFVARFLDADFVEKERWRYTALNHKTIEV >CAK8579553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707332489:707332902:-1 gene:gene-LATHSAT_LOCUS31491 transcript:rna-LATHSAT_LOCUS31491 gene_biotype:protein_coding transcript_biotype:protein_coding MEENFWQEKSKVKWHTDGDRNTSYFHKMTKIRHYTKLISSIQQDEEVYTEPENISRIFTNHFEQLFTTNNACVNNCLVDEAIPNLIFDQANATLTIFPSNNEIKNAVFDLSNDSPLVPTDLEAFYFNTIGKLSRLMR >CAK8578802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655190300:655195098:-1 gene:gene-LATHSAT_LOCUS30786 transcript:rna-LATHSAT_LOCUS30786 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKARGATRSSNARILLFSFLLALQYGAQPLISKRFISREVIVTSSVLACEAAKVIFALYFMTKEGSLGRMYKEWTLVGALTASALPAAIYALQNSLLQVSYKNLDSLTFSILNQTKIFFTALFTYFMLRQKQTIQQIGALFLLLAAAVLLSVGEGSNKSSTSANADQVLFYGIVPVLIASVLSGLASSLCQWASQVKKHSSYLMTVEMSIVGSICLLASTLKSPDGEAIRRHGFFHGWTALTWIPVILNALGGILVGLVTSHAGGVRKGFVIVSALLVTALLQFIFEGKPPSLYCLVALPLVVCSISIYQKYPYQVKKKES >CAK8565578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283216285:283218656:-1 gene:gene-LATHSAT_LOCUS18777 transcript:rna-LATHSAT_LOCUS18777 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSAAKTFNISSVNAAVINRRASWAAGIGGLSVAGTVMWRSSSSKRSLPFLCSSLSTDARIKEAVHTDKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFASDDVEVQTEQILKNMGEILKSGGASYESVVKTTILLADLKDFKKVNDIYAKYFPSPAPARATYQVAALPMDAKIEIECIAAL >CAK8565524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:258939588:258940157:-1 gene:gene-LATHSAT_LOCUS18730 transcript:rna-LATHSAT_LOCUS18730 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFSSKKASCLPPPPTPTTTTAKSSSNRRQSPRSPLQDLYRISSSSNGSDASSSVSTEAPKGCLRFLASSSFKTPVNRPKNITKTPNLASHGLVLKQPKSNSSKENHPNSDNIRLQTKTVVPNKANKNPPCLYQWQSGKKTGSRTGQKSKLSLALNENEKNLSTPKESKKKEDVNVVESARLKSSYS >CAK8573043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:579921896:579926495:-1 gene:gene-LATHSAT_LOCUS25537 transcript:rna-LATHSAT_LOCUS25537 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQNPVLDPKDQRITSSMEDSNAMTIEFLRARLLSERSISRSARQRTDELEKKVVELEEQLRTVTLQRKMAEKATADVLAILEDQGISDVSEESDSGSDIDIPCESGVSNDSSKEGERYTSSKGRQHRSDEMYGSRMNSSPVFNRSLSWKGRHDSPRSLEKYKNSNMRRQNSFSSASSSPKHHHGKSCRKIRHRLNRLAMEESKDKSVNDNCHENAFVSSSEGYPKISIAGSNIPRIESKIPEEDESEVNQVNKNHHVDEYGREENMEKALEHQAQLIDQFEAMEKTQREWEDKFREKNSTTLDSYDPGNHSDMTEDKEESKDQIPYSSKVVAPNAQEYKSESRGLNSSEVFKFEARDVMPKSHDDTRGYNKQNSATLRTSSLLGQERSHSPLSGNRSESSINHNHQSSETNNHDPRGRGHPGSKPSFPNVIQGGLHQKDDASRNKNDLYALVFHKQSHEFNGVLESLKQARTSLQQELNRLPLVESSKAIKPSTFVGKSEGRFEIPVGFSGLFRLPTDFSKEATGGFGSNLYHYNRGMSRISDGQFITNPYHGAARSLSAVDQSHATRYLENGPISDSKKSPSDPFSNGGGPPYSSQPMYPSFPINPSHHITLPKSSNGGGPPNSSQPMYPSLPINPSYHITSPKSPQMPFGGELSKPYSSRTVGFPHADPFSFHGDHLR >CAK8572728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558592587:558593548:1 gene:gene-LATHSAT_LOCUS25258 transcript:rna-LATHSAT_LOCUS25258 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDKVLRKILVNMGYALTEASFNYYRGEIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMSSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCEICREIGHMRSKCPNVACPSNRPNR >CAK8573754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634914531:634922650:1 gene:gene-LATHSAT_LOCUS26162 transcript:rna-LATHSAT_LOCUS26162 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFFGSIRRSIVFSTPPAHADDGRFSGFANKIGSAIRKSRIGLKSPPPPPPPHIRWRKGELIGSGAFGRVYMGMNLDSGELIAVKQVLIEPGIAFKANTQANIRELEEEVKLLKNLKHPNIVRYLGTAREEDSLNILLEFVPGGSISSLLGKFGSFPESVIRTYTKQLLEGLEYLHNNRIIHRDIKGANILVDNKGCIKLADFGASRKVVELATINGAKSMKGTPHWMSPEVVLQTGYTTSADIWSVACTVIEMATGKPPWSQQYPQEVSALFYIGTTRSHPPIPEHLSAEAKDFLLKCFHKEPDLRPSASDLLLHPFITCEYQESHSISRSSVRGSCNQMATNGMNSRNFLDSIQGSTCTGLKDVCQMDSLRFSNANLLKPGSYQGTDNYDDDMCQMDDEDDFLVASSVKANSLLPSDDIKSFNPMCEPVEDGWPCESDETLNLKKSRLNLSPDRTIRKTKPSPIAEKEFSFSSEPLGVEDDDEVTECKIRAFLDDKAFELNKLQTPLYEEFFGKSIAAIPPVPVAVAQIKVISEVSNIKSKSRSPSQDRRRFSMAGIANVTSPESHRKYLTKPVTARSRPLQEIQPSELYDSKETLRDAEQGSSVSPSFSERQRKWKEELAEELERTREVMRQTGGGKITSPKDRNFLRDRD >CAK8533924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671947615:671950709:-1 gene:gene-LATHSAT_LOCUS3511 transcript:rna-LATHSAT_LOCUS3511 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLFIFLFTYANSQSHSQLYNHEHEILLNIKKHFQNPPFLTHWTKSNTSSHCSWPEIVCENDSITSLSMTNTNITQTLPPFLCDLKNLTHIDFQYNYFPYEFPTFLYNCSKLEYLDLSQNLFVGNIPNDINRLGRLQFLSLGANNFSGDVPRSIGKLKSLKNLQLYQCLFNGTIADEIGDLFNLEKLFLFSNGMLPRTKLPSSFTKLKKLKIFHMYDSNLFGEIPETIGEMVALEDLDLSGNSFSGKIPNGLFTLKNLSILYLYKNNLSGEIPDVIEAFELTDLDLSMNNLTGKIPDGFGNLVKLTSLGLFVNQLSGEVPVSIGRLPALTDFIVYQNNLSGDLPQDFGRFSKLETFQIGSNSFKGNLPQNLCHYGRFVGLTAYDNSLSGELPKSLDNCSSLQILRVENNELSGNIPNGLWRSMNLTTIMISENKFTGELPERLSQSLSRISLSYNQFSGRIPNGVSSWKNVVVFNASNNFLNGSIPSELTSLPQLTTLLLDHNKFIGRIPSDVISWKSLISLDLSHNQLTGEIPDAICRLPSLNMLDLSENEISGRIPPQLMKLTNLNLSCNYFTGRIPSDFENPVYDRSFLNNSGLCADTLVLNLTLCNSGTARRRSDSSTPKAMIIILVLVASLTVFLLIFSIISFYRKRKRGLKRTWKLTSFQRLSFTKSNIVLSMSEDNIIGSGGFGSVYRVALDDGLGYVAVKKIRGNSRKLDQKLVDSFLAEVEILSKIRHNNIVKLMCCISSDDSLLLVYEYHEHQSLDRWLHKKNKTSVASGTVHHNIIDWPKRLHIAIGAAQGLCYMHNDCSPPIVHRDVKTSNILLDSQFNAKVADFGLARILIKPEELATMSAVAGTFGYIAPEYAQTIRVNEKIDVYSFGVVLLELTTGKEANHGDEYSSLAEWAWRHIQIGTDIEELLDEDAAEPSNLIEMINIFKLGVMCTSTLPASRPSMKEVLKILIGCRDPQANAEKIVDIYDDAPLLKNLRWEKQVEYSE >CAK8537226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:165412384:165416910:1 gene:gene-LATHSAT_LOCUS6531 transcript:rna-LATHSAT_LOCUS6531 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSTLVHLLVVVFCLVAFGFAIAAERRRSVGTINITAGTNETYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGGNRAWSIIYFLSSWATFLVAESCLIAGATKNAYHTKYRGMIYAQNFSCESLRKGIFIAGAVFIVATMILNVYYYMYFTKASTTPLSKKANRVSSSVGMTGYA >CAK8569590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6294413:6295926:1 gene:gene-LATHSAT_LOCUS22427 transcript:rna-LATHSAT_LOCUS22427 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCAPLKSDSRLKHRRLTVLRFIRGVICLVVFLSTAFICLVYLSPLAVVGLRLFSVRYSRKAVSFFFGLWLSMWPFLFEKINKTKVVFSGDSIPMRERVLLIANHRTEVDWMYLWDLALRKGRLGFIKYILKSSLMKLPIFGWGFQILEFIAVERKWEIDEKILQQNLSTFQDPKDPLWLSIFPEGTDYTEKKCKSSQKFAAEVGLPVLTNVLLPKTKGFHTCLEALRGSLDAVYDVTIAYKNQCPSFLDNVFGLDPSEVHMHIRRIPVDEIPVSETKAASWLMNTFQIKDQLLSDFKIQGHFPNQLNEEGISTFKCLLTLTLIVSFTALFTYFTFFSHIGFKLYVGLSCAYLSIATRFKIQLMPLTDYVHAFYNNKKQKNG >CAK8567395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510816561:510819045:1 gene:gene-LATHSAT_LOCUS20455 transcript:rna-LATHSAT_LOCUS20455 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSAMYNFVMTYPSSRWMPCQSWSFLRWPGLEAFFRLLVVFLLWSTFSQLCFIPSSSMYPTLRVGDRIIVEKASYYIKSPAIRDIVTFRDPTQLSGENTNVFIKRIVAKEGDTVEVHHGVLYVNGVAQEENFVIEPPTYTTKLTYVPKGHVYVMGDNRNNSYDSHVWGPLPMKNIVGRYVMCCHRPTN >CAK8574811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9851479:9852048:-1 gene:gene-LATHSAT_LOCUS27116 transcript:rna-LATHSAT_LOCUS27116 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQYLITIFFFFLISCHTITSTSSLENEEAKKVLDLNKKEKLSHLKFYWHSIASGNNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELSSKVVGKSQGFYVYASKEEFSLFMGMNFALIEGKYNGSSFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHYLDLKTAYAIDEYNVYVFHY >CAK8535767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880519004:880520353:1 gene:gene-LATHSAT_LOCUS5192 transcript:rna-LATHSAT_LOCUS5192 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENLVTEKIMYINDEDMKINPNDGWSIKTRYLESRGYPGRHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWSLNPNAEKAIRIAEGKFIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINHELVNKPIPNWFYEWWAKFGPSLKILPKEILNVYNPLCDNSPLIVKKLSDNLITGQCPFLFFTKFQIPWIWRWSITISKNKFNIPILERNFFYKWWNKMNSEDVQNKIKLIEEAIAEDHNNKVKEQSYKQMSMENLKNFFQRKCPNETEDEIMVRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPTVEDFWDAMISSMKYIRKAKN >CAK8563099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573619077:573619505:-1 gene:gene-LATHSAT_LOCUS16543 transcript:rna-LATHSAT_LOCUS16543 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRENSKSEKSLCEQSMQVVVNILRLSSFSIAQTTLGVSTTRKSGKAKDSFSESDYSDNETNKEKLVSNQQFPASTRRSQQPQSRANPTYLIKSVGSHDSTEYMIHKENRLHEVKSKKELCVDGLASDYISKIRNKLGRSL >CAK8563100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573619077:573619454:-1 gene:gene-LATHSAT_LOCUS16543 transcript:rna-LATHSAT_LOCUS16543-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVNILRLSSFSIAQTTLGVSTTRKSGKAKDSFSESDYSDNETNKEKLVSNQQFPASTRRSQQPQSRANPTYLIKSVGSHDSTEYMIHKENRLHEVKSKKELCVDGLASDYISKIRNKLGRSL >CAK8561905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:408158187:408158717:-1 gene:gene-LATHSAT_LOCUS15453 transcript:rna-LATHSAT_LOCUS15453 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYHVRSISLPSRSHPSTIRVTEELNKLKAWEITSTSTSTSASTLIALSILEDLYISLEHLLNMPSTQQLISHHRGEKFIQEVLDSSMRILDVCGITRDTVLQIKENVQALHSSLRRRKGDSSVETSVAEYKFFTKKMKKNVNKLITSLKHMDTKLGLSPNLELDHHLSSFFCY >CAK8538621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489356564:489356970:-1 gene:gene-LATHSAT_LOCUS7801 transcript:rna-LATHSAT_LOCUS7801 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGTTEVEYTQNSVEINNLALFAVQEHNIKQNGVLEFVRVLSAKKQVVSGTLYEIVLETKDGGKQKVYEAKILEKPWLNFKEVQEFKLVTENDAPSSSTV >CAK8566703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453822369:453825354:1 gene:gene-LATHSAT_LOCUS19815 transcript:rna-LATHSAT_LOCUS19815 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERMVEFSRTYMDRPPRKRARLGWDIPETSKAQVGLFCGQEVENISSYAPSEHITSSLFKGVARNGSPPWRDDDKDGHYVFELGDNLTSRYKVHGKMGEGTFGQVLECWDRERKEMVAIKVVRAIKKYREAAMIEIEMLQQLAKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHMIHTDLKPENILLVSSEYLKVPDYKSSSRAPFSFFKRVPKSSAIKVIDFGSTTYERENQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQQLLKRVDRHAEKYVRRGRLDWPEGATSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRFDPFERVTARDALRHSFFMRDHLRR >CAK8560697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36236475:36242892:-1 gene:gene-LATHSAT_LOCUS14352 transcript:rna-LATHSAT_LOCUS14352-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQDEWAQPQSGLLPNGLLPNEAASMIQVLDSERWSKAEQRTAELIACIQPNTPSEQRRNAVAEYVQKLITKCFPCQLQVFTFGSVPLKTYLPDGDIDLTAFCKNQALKDSWAHQVRDMLQNEEKNENADFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDSLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPIISLPDVTAEPPRKDAGELLLSKSFLDACSSVYAVLPGGPENQGQPFVSKYFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLNCPKDELFLEVNQFFLNTWDRHGSGQRPDLPSNDLWRLRLSNHDQSLGSENLRNNSHKIDNTSNHEFHVEREQVSHSGVSQHSNLSSENSSKNSDVSTFSHTQSQKSHVNQNNSRNTGQARRDTTSTQGAYVDKVQRNMKTDNPVSDLRGKFLFARTRSSPELTDSYSEVSSQGRRARTDNVKGQNSVVKLENGRRKNFEPVVAARVDDSSGRHSSRQVVGSATEAISNHDEPSSGVLGEEFASVTGVGGMQMMNQEEQDLLNMMASPAAQGFNGQTHVPMNLPPGHLPFPFPPSILASMGYGQRNMANIPFIETPWGSNMQFPQGLVPSPLHPYFPGFGLTSNTQDLAESGNENFSTVEMNVAEADNDYWHEQERSSVSGVESDNGNFEMLPDDKQQSTSSSYNFATSSRAGSSSSSARNHQKFTRENRGSTREEHIDNFHYQDGRRNEVYFDDRIANSELPSAPPSSSFRSKSPSESSWDGSSAKSSKSTREKRGKKSTPSVPTTIHGKGKNVSETSSNRADDENREWTPLLTMTSDMADRSTEPATGLSLQVQRHQISGFETAQTSGSDSQLPMAPVILGPGSRQRAIDNSGVVPFAFYPTGPPVPFVTMLPFFNFPSESSETSTSNFSGDERAENNDSVLNFDSSEVYDHSEVSSPSNSITRAGVESSDHRPDILNSDFASHWQNLQFGRFCQSPFQPPSMMHPSPIMVPPVYLQGRYPWDGPGRPPSANMNLVSQVVNYGPRLVPVPPLQSVSSRPTNVYQRFVEDMPRYRSGTGTYLPNPKVSVRDRHSTNTSRRGNYNYDRSDHHGDREGNWNTNSKLRSTGRGHNRNQGEKPISKSERLATSESRTERPWSAHRHDSFIPHQNGPVRGNSSQNSHTNVAYGMYSIPGMNPSGVSSNGPTMPSVVMLYPYDHNAGYSSPAEQLEFGSLGPMGFSGTNELSQPNEGTRSGGGILEEQRFHGGPAQRSSPDQPSSPHVSRGPDSNVR >CAK8560696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36236475:36242892:-1 gene:gene-LATHSAT_LOCUS14352 transcript:rna-LATHSAT_LOCUS14352 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQDEWAQPQSGLLPNGLLPNEAASMIQVLDSERWSKAEQRTAELIACIQPNTPSEQRRNAVAEYVQKLITKCFPCQVFTFGSVPLKTYLPDGDIDLTAFCKNQALKDSWAHQVRDMLQNEEKNENADFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDSLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPIISLPDVTAEPPRKDAGELLLSKSFLDACSSVYAVLPGGPENQGQPFVSKYFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLNCPKDELFLEVNQFFLNTWDRHGSGQRPDLPSNDLWRLRLSNHDQSLGSENLRNNSHKIDNTSNHEFHVEREQVSHSGVSQHSNLSSENSSKNSDVSTFSHTQSQKSHVNQNNSRNTGQARRDTTSTQGAYVDKVQRNMKTDNPVSDLRGKFLFARTRSSPELTDSYSEVSSQGRRARTDNVKGQNSVVKLENGRRKNFEPVVAARVDDSSGRHSSRQVVGSATEAISNHDEPSSGVLGEEFASVTGVGGMQMMNQEEQDLLNMMASPAAQGFNGQTHVPMNLPPGHLPFPFPPSILASMGYGQRNMANIPFIETPWGSNMQFPQGLVPSPLHPYFPGFGLTSNTQDLAESGNENFSTVEMNVAEADNDYWHEQERSSVSGVESDNGNFEMLPDDKQQSTSSSYNFATSSRAGSSSSSARNHQKFTRENRGSTREEHIDNFHYQDGRRNEVYFDDRIANSELPSAPPSSSFRSKSPSESSWDGSSAKSSKSTREKRGKKSTPSVPTTIHGKGKNVSETSSNRADDENREWTPLLTMTSDMADRSTEPATGLSLQVQRHQISGFETAQTSGSDSQLPMAPVILGPGSRQRAIDNSGVVPFAFYPTGPPVPFVTMLPFFNFPSESSETSTSNFSGDERAENNDSVLNFDSSEVYDHSEVSSPSNSITRAGVESSDHRPDILNSDFASHWQNLQFGRFCQSPFQPPSMMHPSPIMVPPVYLQGRYPWDGPGRPPSANMNLVSQVVNYGPRLVPVPPLQSVSSRPTNVYQRFVEDMPRYRSGTGTYLPNPKVSVRDRHSTNTSRRGNYNYDRSDHHGDREGNWNTNSKLRSTGRGHNRNQGEKPISKSERLATSESRTERPWSAHRHDSFIPHQNGPVRGNSSQNSHTNVAYGMYSIPGMNPSGVSSNGPTMPSVVMLYPYDHNAGYSSPAEQLEFGSLGPMGFSGTNELSQPNEGTRSGGGILEEQRFHGGPAQRSSPDQPSSPHVSRGPDSNVR >CAK8531614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130895154:130895564:1 gene:gene-LATHSAT_LOCUS1392 transcript:rna-LATHSAT_LOCUS1392 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8536582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:229164:232196:1 gene:gene-LATHSAT_LOCUS5938 transcript:rna-LATHSAT_LOCUS5938 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDMDSLFEGMVLFNPTQIEDKNHGDHSEATVSSTSQQPLDDHSEATVSSTSQQPLDEDIFSDLTLVVDPPDTDLQSQSSHHHHHPSFSRRRKRSGLRIGYGRDTVDVDVDPSPPLHISTFPASPLDSMFVADVTTSDAANTLPSSATDVDAANTLPSSATDVDADSVSVNTQPSGATDVDAVSVNTQPSGATVSVSVNTQPSSATQSTTQVETFSEEGFRQIKDTIHDKLNHARQLVTSASSARKDAIRSRRKALENANLASLKYIELENQLEQACEAEDFETAEKVSEYLSAAEKEKQIFATSLKQADAFLGALDLKLQHALQTHIAAEEECAILLHHYATNAVNDADFAMKKATLLHSKEMEQWLSSSEALEVKRMELEIESHFISEAHTELNNNIEHSIEDDKKEKEILCQRKSMLMGELEKLLALVKQKEKEIADNDSDLKAVEHKINNVVSGFKEIQSTIDVKNDKLQSELAQVKLETETLSLKKDEIDNFLVREEGMGAKLREFARVSEEEAKGYTKIVKLRRSLMSYILKSGEDKLRLTNNEEKLSGDVKLFQQEVSAARASLQELSSKKSSIQQDIASLKQRIIFIDKRVPELEAEKKVATAARNFKEAARIASEAKSLCVEKENIQMEMDTATSNLEKLEDEIKGTFDKLQETEGMILLKEKELAMAKYQMLLLTAATARAEKKAAQEMGDAEEANLLLAEAEAADCEAERIRSTYNFKVEDVSNLPKDLISMDLVSIIDQKQLEKLAITSSV >CAK8577065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535226480:535230284:1 gene:gene-LATHSAT_LOCUS29207 transcript:rna-LATHSAT_LOCUS29207 gene_biotype:protein_coding transcript_biotype:protein_coding MMKENPKGKCGSSSHKSMKEKEKYLVDKIQGIFTNLQSARKESRANDIVIFEEQMHQLLREWKAELESPATSLADGSLGSFTGDLAQLLQAIEEKDDAISPLTNPGLLKTDVHQNNINDNNYPYFQEKCFDNNQPLNHTFEGSASTIFNNALNSSDMAQLDFHPFGLNQDMSHNTVGHNSDLIGQFDLYQNHSLGHNTEIKNSESGQFSFEEGFDCSQFFIDNDTTQFGDNLTPNILPNIRPPPSAFLAPKCALWDCFRPAQGLERCQDYCSTNHELLANSEGLPGMTPILRPGGIDIKDGPLFAAVLAKTLGKEVGIPKCEGAASTKAPWNASEFFDLSFLEGETIREWLFFDKPRRAFDSGTRKQRSLPDYSGRGWHESRKQVMKEHGGHKRSYYMDPQPLSYLEWHLYEYEINNQDGCALYRLELKLVDKKKSPKGKVTKESLNDLQNKMGKLTAAVPSPDDGKSVKGKSEAKSENIGQPEN >CAK8535912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:891059488:891063877:1 gene:gene-LATHSAT_LOCUS5330 transcript:rna-LATHSAT_LOCUS5330 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGAAKFLLSFSIICLINFLPLCQGKEKWENINKYKYPFIRKASSFESPPSISTTNTNNGYDYIIVGGGTAGCPLAATLSKKFNVLLLERGGVPFTNPNVSFLDNFHIALADTSPTSASQFFISTDGVFNARARVLGGGSSINAGFYTRASSRFIEKMGWDTKLVNESYPWIEKQIVHRPTFSHWQRALRDGLLDVGVSPFNGFTYEHKYGTKVGGTIFDRFGRRHTAAELLSSGNPNKLTVLIYATVQNIVFDTTRKRPKAVGVNFKDENGKMHKAILGDDMQSEVIVTSGAIGTPQMLLLSGIGPREELEKLNISVVLDNRFVGKGMADNPMNTIFVPLKKPVKQSLIETVGITDKGVYIEASCGFGQTNESIHCHHGLLSAEIGQLSTIPPKQRTTEAVKAFAKNKRDIPIEAFKGGFILSKVANPWSTGDLKLINTNVDDNPSVSFNYFSHPYDLDRCVEGIRLASKVVQSKHFTNLTLCERQTTEQFLNNTVKANVNLIPKHINDTESLEQFCRDTVITIWHYHGGCHVGKVIDSDHKVLGVNRLRVVDGSTFTESPGTNPQATVMMMGRYMGVKILRERLGKFAGV >CAK8560541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24341022:24344258:-1 gene:gene-LATHSAT_LOCUS14205 transcript:rna-LATHSAT_LOCUS14205 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPPSTSLLPSNPSNTYMIFSKLMLSIITTTFFLVHVSSSCNQFDKDSLLSFSSNISSFSPHPPLNWSSSSDCCDWEGITCDQNNQHVTHLFLPSRGLNGFISFSVITSLQSLSHLNLSHNKLHGNLQNQFFSILNHLLVLDLSYNHFSGELLLGNGNRNTSVVQVFDLSSNLFNGTLPVSLIQNLAEGGNLISFNVSNNSFIGHVPISDFCVVHKNSSLRFLDFSSNDFDGAIETGLGACSKLERFRAGFNFLSGNIPIDIYDAVSLVEISLPLNKIDGTIGDGIVSLTNLTVLELYSNHLTGFIPKDIGQLSKLDKLLLHVNNLTGTIPPSLMNCNNLTVLNLRVNRLEGNLSAFNFSGLVRLVTLDLGNNKFSGVLPPTLYDCKSLAALRLASNHIEGQVSSEILGLESLSFLSISDNKLENITGALRILTGLKKLSTLMVSKNFYDEVMPNGVDVIEPDGFQNIQVLGLGGCNFTGQIPSWLKNLMKIEALDLSFNRFDGSIPPWLGKLPQLFYIDLSVNQLTGLFPIELTKLPALTSQQANDKVERTYLELPVFANANNVSLLQYNQLSSLPPAIYLGNNRLSGSIPVEVGQLKVLLQLDLKKNNFSGNIPDQISNLINLEKLDLSENELSGEIPDSLNKLHFLSFFSVANNNLQGRIPTGSQFDTFSNTSFEGNSQLCGLVIQRPCSSQQNATGTSARGKSKKIIVILIIMVCFGIATLITLLTLWILSKRRVNPRGDSDKIELESISQYSNSGVHPEVDREASLVVLFPSKNNETKDLSILEIIKATENFSQANIVGCGGFGLVYKASFQNGTQLAIKKLSGDLGLMEREFKAEVEALSNAQHENLVALQGYCVHDGYRLLIYNYMENGSLDYWLHEKSDGASQLDWPTRLKIAQGAGCGLAYLHMICEPHIVHRDIKSSNILLNEKFEAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVLLELLTGRRPMDVCKPKISRELVSWVQQMKNEGKQEQVFDSTLRGKGFESEMLQVLDVACLCVNMNPFKRPSIREVVEWLKNVRRNND >CAK8537715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422573352:422575918:1 gene:gene-LATHSAT_LOCUS6978 transcript:rna-LATHSAT_LOCUS6978 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASTNLQYQSSQRKNNPNFQDITETDYSTKRNSGLKSFISIVKKLALIFSSKSKKTASNVVGSHDRKNTSKARLMVSSSTDLSSESGNKNSSKWKFSSSYASSSTTSGQLGVGNFTFDEILKSTAKFSPDNQIGEGGFGTVYRGKLNDGTIVAVKRAKKEALQSHLYEFKNEIYTLSKIEHLNLVRLYGYLEHGDEKLIVVEYVANGNLREHLDGTRGDGLEIGERLDIAIDVAHAITYLHMYTDNPIIHRDIKASNILISENLRAKVADFGFARLSEDPGATHISTQVKGTAGYMDPEYLRTYQLTEKSDVYSFGVLLVEMMTGRHPIEPKKQIDERVTIRWAMKMLRKGEAVFAMDPRLRRNPASIKAMKKVFKLAFQCLAPSIHSRPPMKNCAEVLWGIRKSFKDDMTPIPTLPSHQSENFPQREKNKHMVFGIEDDDSYKFTSAPNHIRS >CAK8542751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542468893:542470299:1 gene:gene-LATHSAT_LOCUS11560 transcript:rna-LATHSAT_LOCUS11560 gene_biotype:protein_coding transcript_biotype:protein_coding MSISINGAQVGYFNCNRGVRQGDPLSPLLFCLVEDILSRGISNLVEQGSLNLIKGPRGSLVPSHVLYADDIMLFCKAKPYNMEALKSLFLKYAQCSCQHVNPHKFIIYAGSTTSSRFTLLAQTLGFKEGQLLFSYLGISIFRGKPKAVYFQPLVDRVKAKLSNWKASLLSMAGRVQTIKSVIHELEDFLPKMTGILNQVHIPLVPRSDELRWMHSLEGTLSLKYAYNFKSPPRNNIDWAKLIWNSSVPPSKSLFVWKLIPSKVPVDEALANRAILLFPSVCNLCDCHIETNEHLF >CAK8531419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:108220206:108225442:-1 gene:gene-LATHSAT_LOCUS1212 transcript:rna-LATHSAT_LOCUS1212 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPVSVICTILFFSVLSPQVRGEASGSVFFIDSSTHQFLRARSSNHESPSISLQEVGAAVPILLGFAPPSTLSASSSSKLNEVLIPNPFNRPRSVFLLEVNGIKGLESIAQDNAMFSKSSWDANFIGSDKVDIHLPGEKDASVFSLDEELEYFTDKEISEFSSLIGGSYAPDAIEPVNGVLTIPLANGALVNLHMSKKAERKFVIGLLSLAQNVKRAIQMHHEFSQSTLSPAELLTGSFNVIKVLQEQGEAESIAQHGVELLLVTLTKIFGSLQEAYKGQIVGIIFCQTATPQESGKTFNVIFTPSDYHKVRMLEEANALNTTFAEVALVRTTLAWITGILLLVSTLMGTCYLLYMPITRDTLLYSNVKLD >CAK8531815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:156314257:156315024:1 gene:gene-LATHSAT_LOCUS1580 transcript:rna-LATHSAT_LOCUS1580 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALVALNQEAAYIPTPKLKNVSRLRTKHSVYELPDSHCLLEGWEVWEPDDPGKYLLAIWTPGETANSIQPPERKCGTQGSGQLYNEEECFSCNSFREASSQMVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSWIWNLNRRTVHFGTSIPSIFKGLSTQEIQQCFWRGFVCVRGFDRQTRAPRPLKARLHFPASKLAKNKEQAKKDAKVANSEGLNLKSNTEQPECLASIPNLQQNGGS >CAK8561201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117318288:117318755:1 gene:gene-LATHSAT_LOCUS14812 transcript:rna-LATHSAT_LOCUS14812 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTVEKKIEKSDPIYHNQVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSNHQVPIEIKKPQGRAFAIRWLVAASRKLPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8571088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:287853641:287855103:1 gene:gene-LATHSAT_LOCUS23781 transcript:rna-LATHSAT_LOCUS23781 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVQINDLELTLKPFYRRASEAEERLSRLEAAINSKKDTGNEEHLKIVNYLQSKLETANAELVSENQKAQVLAAENEKLQYRIVHLLRSLKNADLKLEQVKALEQLDSLKLQDS >CAK8539233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507238264:507239561:1 gene:gene-LATHSAT_LOCUS8346 transcript:rna-LATHSAT_LOCUS8346 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTVLKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPQIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALVEAFKPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMRATREVLSEYGNMSSACVLFILDEMRNKSTQDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVVI >CAK8537493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:375445756:375448901:1 gene:gene-LATHSAT_LOCUS6782 transcript:rna-LATHSAT_LOCUS6782 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETVTLVLVNLAGIMEKADESLLPGVYKEVGADLHTDPTGLGTLTLFRSIVQSGCYPIAAYLATRHNRAHVIALGAFLWAAATFLVAFSSSFFQVAVSRGLNGIGLALVTPAIQSLVADSTDDNNRGMAFGWLQLTGNIGSVVGGLFSLLIAPMTIFGIPGWRVSFHVVGLISIIVGTLVYIFAKDPHFEDKGTLTNNSNSVQKETFWSGVRALIQEAKSVSRISSFQIIVAQGVTGSFPWSALSFAPMWLELTGFSHGKTAFLIALFVVASSLGGLFGGKMGDVLSRHLPNSGRIILAQISSGSAIPLAAILLLGLPDDPSTTLSHGLLLIIMGFSISWNGSATNNPIFAEIVPERSRTSVYALDRSFESILSSFAPPAVGILAQHVYGYQPIPEGSSESQEILTDRENAASLAKSLYTAIGIPMALCCLIYTFLYKTYPRDRERARMGALIESEMKDIELDGLLVDKSEEMYIGDYDGDGVDLDDEEHVFL >CAK8560424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18831891:18832352:1 gene:gene-LATHSAT_LOCUS14094 transcript:rna-LATHSAT_LOCUS14094 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYQTTNAVVESALTNMLLKDLNELLNLHGENIEDYDLSPLPLNTVQGDSIPSVIQEELAVDIPNEDIKSVAKLNNDQVIAFKTITNVIDQKHSGVFFVDGLGGTGKTFLYRALMASLRSRGEIVLATASSGIAATLLPGCRTAHSRFKIL >CAK8569687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8139303:8139761:1 gene:gene-LATHSAT_LOCUS22511 transcript:rna-LATHSAT_LOCUS22511 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSRRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRKRYRMHKHVFLHIIEALGQHDEYFRMMVDATGRASLSPLQKCTAVICMLAYGTSADSVDDYLRIGETTTLKCVDKFT >CAK8534565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:742937290:742937732:-1 gene:gene-LATHSAT_LOCUS4103 transcript:rna-LATHSAT_LOCUS4103 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVTIYCIGFVNRNHWVQVNMKEGFPLPPVTVDWKKFRSPAATSWMIGFAGRLQHWQQLTPILPTHFEL >CAK8541024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:45880107:45886690:1 gene:gene-LATHSAT_LOCUS9977 transcript:rna-LATHSAT_LOCUS9977 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGITNLSPDVALSLAPDVEYRMRQIMQEAIKCMLHSRRTTLTADDVDAALNLKNVEPIYGFASGGPLRFKRAVGHRDLFYIDDKDVDLKDVIEASLPKAPLDTALTCHWLAIEGVQPAIPENAAVDVISAPSDVKKNEQKDDNLPVDIKLPVKHVLSRELQLYFDKVTELALNEPSSGLFKEALVSLATDSGLHPLVPYFTCFVADEVSHGLSNFPRLFALMRVVNSLLQNPHIHIEPYLHQLMPSIVTCLVSRRLGSRLTDNHWELRDFTANLVASICKRFGHVYSSLQSRLTKTLLNAFLDPKKAITQHYGAIQGLGALGPNVVRLLLLPNLETYIRLLEPEMLLERQKNEMKRHEAWRVYGALLRAAGQCIYVPLKMFPAFPSPAPHTVWKTSASVLTSPPNKRKASPMELEQQPPLKVTATDGEASVVVENSSPSHKPEETGTQASSADSKIGSSSSSGQMKNQITTDEVKSSIGDTQGLNISAALAQVWKEELNSGRILTSLVELFGENILSFIQTREMYTFL >CAK8533837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664175827:664186304:-1 gene:gene-LATHSAT_LOCUS3430 transcript:rna-LATHSAT_LOCUS3430 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWERLIELTKRAQEKKTEPVVWSIQVTSSLNTAGVSLPSAELANRLVSHICWDNHVPITWKYLEKAMEVRMVPPLLVVVLLSTKVVPNRHPLLHPAAYSLYLHLLNKHVFSLSSLVNSPNYPSLMNSIHHVLRLSQLYDDSHHPHHNPHPGVVLVQFLFTLVWQLLQASLQDEGLLQHKSLLFVDPDPVPDHDLTMELDTHPHKHALHAKNTTTAIQFIACFLHNKLTSRILSLVQRNMPTHWGAFVDELEQLAGNSMILRTLKNLSPELFFPLNLKSNWPLSSEPKKKMKLSSVLAATASASAVQSHNDSSLWLPIDLILEDAMDGHHVMAASAVELFTGLVKALQAVNGTAWHNAFLGLWIAALRLVQRERDPCEGPVPRLDTCLCMLLCITTLVVANLIEEEEGELIEEAERSPMNQRKNKPATGKRRGELVTSLQLLGDYEDLLNPPQPVTWVANQAAAKAIMFVSGHSGYLEHVNVNDLPTNCSGNLWHLIIEACIARHLIDTSAYFWPGYVSAPYNQLPHSIPNHLPSWSSLMKGSPLTPPMVNVLSVTPASSLAEIEKIFDFAINGSDEEKVSAASILCGASLVRGWNVQEHVVFFIIKLLSPPASPNYSGTENHLISYAPFLNVLLVGISSVDSVQIFSLHGAVPLLAAALMPICEAFGSCVPSESWTAATGEKLSCHAVFSSAFILLLRLWQFNHPPVEHVMGGAATPALGSQLGPEFLLLVRNSTLASFGKSPRDRIRSRRFSKMISFSPEPVFMDFFPKLNTWYQQHQECLASTRRALVPGGPTLQIVDALLSMMCRKINKSPQSLTSTTSGSSNSSGSSLDDSLMKLKVPAWDILEATPFVLDAALTACAHGRLCPRELATGLKDIADFLPASLATIVSYLSAEVTRGVWKPAFMNGTDWPSPAANLSLVEQQIKKILAATGVDVPSLSIDGNAPATLPLPLAAFLSLTITYKLDKSYERFLVLIGPSLINLSAGCPWPCMPIVGSLWAQKVKRWSDFFAFSASGTVFHHSRDAVVQLLKSCFTSTLGLGSACIYNNGGVGALLGHGFGSHFLGGISPVAPGILYLRVYRSIRDAMFLTDEIVSLLMLSVRDIACGGLPNRDAHRLKKTKYGMRYGQVSLAASMARVKHAALLGASFLWISGGSSLIQSLITETLPSWFLSAQGLEQEVGESGVVVAILRGYALACFAVFSVTFAWGIDSLSTASKRRPKVIGIHLEFLANALDGKIYLCCDSATWHAYVSGFMSLMASCAPLWIEELDVGLLKRVSKGLRHLNEDDLALHLLEIRGTTLMGEVAEMIIQNEF >CAK8566258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398287513:398288556:-1 gene:gene-LATHSAT_LOCUS19404 transcript:rna-LATHSAT_LOCUS19404 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLWGHLPVLLRANSKDSIEFILQTLWRTRKTGLQSSDRCIIQDILQLENDSDLDPLLVCLRMLIRRYIYENTYKDDIPKLFPGEVLPELRKLLTLMLQKFQREWQDDMLKDQNIVPQLKAMTWNMANQGTEVSDPAAVINLKLQNEAQFHSGELDVKFQLATDSLEMMLKAMHNIRDQFSTKDEAPKGN >CAK8566259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:398287513:398288556:-1 gene:gene-LATHSAT_LOCUS19404 transcript:rna-LATHSAT_LOCUS19404-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLWGHLPVLLRANSKDSIEFILQTLWRTRKTGLQSSDRCIIQDILQLENDSDLDPLLVCLRMLIRRYIYENTYKDDIPKLFPGEVLPELRKLLTLMLQKFQREWQDDMLKDQSTSIQNIVPQLKAMTWNMANQGTEVSDPAAVINLKLQNEAQFHSGELDVKFQLATDSLEMMLKAMHNIRDQFSTKDEAPKGN >CAK8533696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:650900619:650900930:-1 gene:gene-LATHSAT_LOCUS3300 transcript:rna-LATHSAT_LOCUS3300 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMRDNIWRITKPSIRRLVRRGGVKRISCLIYEETMLFLKVFLTKIIHDTASYTNHARRKVVTAMDVVYTLKRRGKTLYGFGG >CAK8571557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439966787:439967524:-1 gene:gene-LATHSAT_LOCUS24206 transcript:rna-LATHSAT_LOCUS24206-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLISDICMSKAINLWDLFTYSKTHGMGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >CAK8571556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439966787:439967890:-1 gene:gene-LATHSAT_LOCUS24206 transcript:rna-LATHSAT_LOCUS24206 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTHGMGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >CAK8535085.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813210302:813212927:1 gene:gene-LATHSAT_LOCUS4565 transcript:rna-LATHSAT_LOCUS4565 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAKSISETPLLLATHDDNGRHSTERWWNKILDIEEAKHQLMFSVPMILTNLFYYLITLVSVMVVGHLGELQLAGSTLANSWFSVTGPALMVGLSGALETLCGQGFGAKEYHMLGIYLQSSCIISFIFSIIISIIWIYTEHILVFLYQSRDISRTAALYMKFLIPGLFAHSILQNILRFLQTQSVVMPLVILSAIPVLLHVGIAYGLVEWTGLNFIGGPIATSISMWISMILLGLYVMNAKKFENTWTGFSMQSFHYLFTNMKLALPSAAMVCLEYWAFEIMVFLAGLLPNSQITTSLIAIGANTESFAYMITYGLSAAASTRVSNELGAGHPERAKHAMKVSLKLSLFLGFGFVLLLVFGHDIWIQLFSNSPIIKEEFASITPLLAISILLDSVQGVLSGVARGCGWQHLAVYVNLVTYYLIGLPISCFLGFKTNLQYKGLWIGLICGLVCQTGMLLLLTWRVKWTRLNLSRDKDKDQPIVV >CAK8533167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586430672:586432987:-1 gene:gene-LATHSAT_LOCUS2814 transcript:rna-LATHSAT_LOCUS2814 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESVSPEDSSVADSSQVIGKENDCPSANASPMETNDECQTSNISNDDRFRIDVGRGQQCQNPDSTSISVADTDVTNEEEIYVAPNIDMEFESEDHAYKCYNKYAILKGFSIRKDFINKSRIDGSVVSRRFTCHRQGFRPNKDYTNPKKFQQETRTGCLAHMTISRQTNGKFRVTSFETEHNHEFVTPSIAYTLPSQKKLSFVQAVEVNLTESRLNGVPKLGMGFDSEEHAYEFYNSYAAQVGFSVRKDYVNRSKVDGAVASRRFTCFREGFRKKEKRVTNVKRPRKDTRIGCLAQLIISRQLDGKYHITHFEEKHNHELVAACRVHMLRSQKRLAVTRVEDSIVHGCNVLPKPVEGFLNLDYDPVDREYQLPFKCTREIKEEETEKIRHYFQIKQSKNPSFVYAFQLDAENRMTNIFWADAKMIVDYRDFGDVVCFDTSYKFYEDSRPFAPFLGINNHRQMIIFGAALLYDESVESFKWLFHVFIKGMSETKPKTILIDQDPIIAEAINSVFPQTNQRVCVWHVYQDALKRLSLVSVKSDSFVNDLSSCFFNHDEEEDFVIAWNAFMDKYNLWENEWLRQIYESRNQWAIAYGRHIFCADIASLLVRENLTGNLKKHLKNNDSGVLPFLKHLTKMVTDWHYKELEANYDTSRHMPALMGDIVTLKHVREPYTPKIFELFQKEYEACLNLVLKHCSESGSLYNYKVSIYEQVREYTVSFDSSDETICCSCMKFECVGVLCCHALKVLDYRNIRIVPSKYILKRWTKDARV >CAK8572026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502786328:502792178:-1 gene:gene-LATHSAT_LOCUS24635 transcript:rna-LATHSAT_LOCUS24635 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKSALPSQIMAERGSNLVVINPGSANIRIGLASQDTPFNVPHCIAHYTKQVPKRNVQDQMLNSQVTTAQHMEREKAYDVIASLLKIPFLDEEPSGNSFPRKMGRVDGYNSHSNRKDSPFTWTNVYEEVTSSSSLSALETTNKDEASVSLDSKDGTDFKEIGTSNRKFREFICGEEALRISPTEPYCLCRPIRRGHLNISQHYPMQQVLEDLHAIWDWILIEKLHIPRNERNMYSAILVMPETFDNREIKEILSVVLQELCFGSAVVHQEGLAAVFGNGLSTACVVNIGAQVTSLICIEDGAALPSTARTLTFGGEDISRGLLWTQRHHQTYPQIRTDMLTKPIDLLMLNQLKESYCEIREGQLDAVAVVHSYEDKAPPGSHRTRLTALNVPPMGLFYPMLFVPDVYPPPPRTWFSDYEDMLEDTWHIDFSRRSDMSDTFYPNVNGGLPMWESYPVYSTKPKKEENLGLAEAITNCILSTGRIDIQRKLFCSIQLTGGVALTNGLVPAVEERVLHAIPPNEAIDTVEVIQSRSNPTFVSWKGGAILGVLDLGRDAWINREDWIQNGVHVGSNRKYKDSYYLQAQAMCYINS >CAK8563133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576654659:576657732:1 gene:gene-LATHSAT_LOCUS16572 transcript:rna-LATHSAT_LOCUS16572 gene_biotype:protein_coding transcript_biotype:protein_coding MKITILASTLFVILTTLFNHSSLALTQDGQTLLEIKSTWNDTKNVLSNWQDFDESPCAWTGISCHPDDEQRVRSINLPYMQLGGIISPSIGRLSRLQRLALHQNGLHGIIPVEITNCTELRALYLRANYFQGGIPAGIGNLSFLNILDVSSNSLKGAIPSSIGRLSHLQVLNLSTNFFSGEIPDIGVLSTFQKNSFIGNLDLCGRQIQKPCRTSLGFPVVIPHAVSDEASVPPKRSSSHYLKAVLIGAVATLGLALIITLSLLWIRSSSKKERAVRKYTEVKKQVDPSASAKLITFHGDMPYTSSEIIEKLESLDEEDIVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPSSRLLIYDYLALGSLDDLLHENIERQPLNWNDRLKITLGSARGLAYLHHECSPKIVHRDIKSSNILLNENMEPHISDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFVKRGLNVVGWMNTLLKENRLEDVVDRRCTDADAETLEVILELAARCTDSNADDRPSMNQVLQLLEQEVMTPCPSEFYESHSDH >CAK8576847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519444070:519447076:-1 gene:gene-LATHSAT_LOCUS29010 transcript:rna-LATHSAT_LOCUS29010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATGCYKCGKPGHWSRDCPFSAPSSNPNPNPNSNTADLPPSSSSNPSGPRSAIEKPKKIPRTRPKLTPELLLSDDGLGYVLRYFPRSFKYHGRGHEVRDLGNLIELYSEWHSRLLPYYPFNQFVHKVEQVAATRRVKTSLRELRERVANGGDPSKLHEPPGVQNTPVTEQENGEVTHQDNEMFDEPENVIDIQEDMLNDIYDQATEEPSRPMQNVIGTSTDPRSNAIEKTSNEAPNNGGSLSSNAEITAEQRARMEANRLKAIEKKSNEVPNNGASLSNNTDITAEQRARMEANRLKALERRAARASLSQAS >CAK8576421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:473783196:473784120:1 gene:gene-LATHSAT_LOCUS28609 transcript:rna-LATHSAT_LOCUS28609 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVSAALKRLEGKVALITGGASGIGEATARLFSQNGAKVVIADIQDDQGHSVCQELHKSSTSYVHCDVTNEKDVENAVNTTVSKYGKLDIMFNNAGITGVNNTNILEYKLSDFQQVINVNLVGVFLGTKHASRVMIPAKRGSIINTASVCGSIGGVASHAYTSSKHAVVGLTRNTAVELGPSGVRVNCVSPYVVATPLAKNFFKLDDDGCLSVYSNLKGANLMPNDVAEAVLFLGSDESKYVSGHNLVVDGGFTVVNNGFCVFGQSV >CAK8560290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13189442:13190692:-1 gene:gene-LATHSAT_LOCUS13977 transcript:rna-LATHSAT_LOCUS13977 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPGPLVWEIVKKNNSFLVKEFGNGNQSVQFSRESNNLYNLNSFKYSGLANKKTVAIQTAGKDQSVLLATTKPRKQNKPSVLSQKSVMKKEFRRMAKAVQNQVGDNYYRPDLKKAALARLSAVHRSLKVAKSGLKKRNRHA >CAK8575312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:75418042:75418467:1 gene:gene-LATHSAT_LOCUS27585 transcript:rna-LATHSAT_LOCUS27585 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFTILDDEPESEVEFQHLLVSNYHLEDDDDEPVSFSVLPIQWSDSEVSNVDDDKRGKIFLHGSYDSGLQKFFMQVTAWKFDISGLKPEVLLLSKDERWIKLQKPRKSFQETVKTVLITLYFLHCVKEKTSIIYCVFLE >CAK8571757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:468574959:468578426:1 gene:gene-LATHSAT_LOCUS24390 transcript:rna-LATHSAT_LOCUS24390-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAYGNSRTRVITSVLLLLIVGGLVYLYSRNSGSSSFEYTSKSLKIEGGDGSVVPKTIPVCDDRHSEIIPCLDRNLIYQTRLKLDLNLMEHYERHCPVPERRFNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHRGADKYIASIANMLSFPNNKINNGGRLRNVFDVGCGVASFGGYLLSSDVLAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAQDEEDRRIWREMSALVERMCWKIAAKKNQTVIWVKPLTNDCYLKREPGTQPPLCSSDDDPDIVWGVKMKACISRYSEQVHKAKGSDLSPWPARLTTPPPRLADFNHSNEMFEKDMDVWQQRVSNYWKLLGNKIKPDTVRNVMDMKASLGSFAAALKDRDVWVMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWTIFSDIIEKECSVEDLLIEMDRILRPKGFIIIRDKQSVVLSIRKFLPALHWDAVNKSNVEQDSDQGEDDAVLIIQKKMWLTTESIRVSEK >CAK8571756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:468574819:468578426:1 gene:gene-LATHSAT_LOCUS24390 transcript:rna-LATHSAT_LOCUS24390 gene_biotype:protein_coding transcript_biotype:protein_coding MARMARGRAYGNSRTRVITSVLLLLIVGGLVYLYSRNSGSSSFEYTSKSLKIEGGDGSVVPKTIPVCDDRHSEIIPCLDRNLIYQTRLKLDLNLMEHYERHCPVPERRFNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHRGADKYIASIANMLSFPNNKINNGGRLRNVFDVGCGVASFGGYLLSSDVLAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAQDEEDRRIWREMSALVERMCWKIAAKKNQTVIWVKPLTNDCYLKREPGTQPPLCSSDDDPDIVWGVKMKACISRYSEQVHKAKGSDLSPWPARLTTPPPRLADFNHSNEMFEKDMDVWQQRVSNYWKLLGNKIKPDTVRNVMDMKASLGSFAAALKDRDVWVMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWTIFSDIIEKECSVEDLLIEMDRILRPKGFIIIRDKQSVVLSIRKFLPALHWDAVNKSNVEQDSDQGEDDAVLIIQKKMWLTTESIRVSEK >CAK8530427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20532970:20536052:1 gene:gene-LATHSAT_LOCUS293 transcript:rna-LATHSAT_LOCUS293 gene_biotype:protein_coding transcript_biotype:protein_coding MMITTNRCQTLFSFAFLFYFIRFCSEFSCAASTSRVSIKHGDFIKDKEGEILVSNGFNFVMGFFGFQNSSSRYVGIWYHNIPGPQVIWVANRNKPIHGNNGSFTISTNGNLVILDENKKQLWSTNVSIIHSNKSNSEAVLKEDGNLVLSNGKVVLWESFENPSDTYLPGMKVPVNGKSVFFTSWKSSTDPSLGNHSMGIDPEGLPPQIVVWEGEKRKWRSGYWDGRIFTGVDMTGSFLHGFVLNWDTNGGDRYFVYNNELMLNGFVRFQIGSDGYEREFIWNQNEKNWTELQKGPHNECEIYNFCGGYAVCGLSVSGSATCSCLRGFELKDKGDLSGGCRRMTALKADMRNGSFGEDGFLVLRCMKLPDFANVVDTRDCEGNCLKNDSCTAYAEVIGIGCMAWYGELVDVQKFEHVGNTLRIRLARSDLRNGRKNKKIVIVIISAVLAGLTCIGMFVWLVWRNKRKLKVSTDSRRKDSDVIPMFDARKSREVSAEFSGSVELSLEGNQLSKTELPFYNFSCMSAATNNFSEQNKLGQGGFGPVYKGKLPSGEEIAVKRLSRRSGQGLEEFKNEMMLIAKLQHRNLVRLMGCSIEGDEKLLVYEFMPNKSLDCFLFDPTKQAQLDWARRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDENMNPKISDFGLARIFGGNQNEANTTRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRHSDDSSLIGYAWNLWNERRPMELVDGCIRASSPKNKVLRCIHIGMLCVQDSATHRPNMSNVVLMLESEATTLPLPVQPLITSMRRSEDREFYMDGVDVSNDLTITRVVGR >CAK8531859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166795848:166806562:-1 gene:gene-LATHSAT_LOCUS1623 transcript:rna-LATHSAT_LOCUS1623 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKKERKIVVSKPLQLAIESDSDSEEAYKAPNLLQRLLSLFKNVRPGSDLTSLQLPPQFNLPKSQLQCYGESLYCTASDMLSICNRGQSPLDRFISVVAWCISTTRPASFGVAPYNPVLGETHHVSKENLNVLLEQVSHHPPVTALHATDQKENIEIIWCHRPVPKFYGTSVEAQVHGKRELKLLNHGETYEMNCPHLLFRILPIPGADWVGNVDIRCLETGLLAELTYKSSHSFLGLGGNHKVIKGKILESSSMKILYEIDGHWDRIVKLKDRKNGKVRVIYDAIEVISGLKAPILKDEECVWPTESVNVWSELSQAIMNKDWEKAREAKQVVEEREREHVRERESKGENWIPKHFLVSYSKEVGWNCSPIHKCVSAAPIIAL >CAK8531860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166795848:166801006:-1 gene:gene-LATHSAT_LOCUS1623 transcript:rna-LATHSAT_LOCUS1623-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVDKKERKIVVSKPLQLAIESDSDSEEAYKAPNLLQRLLSLFKNVRPGSDLTSLQLPPQFNLPKSQLQCYGESLYCTASDMLSICNRGQSPLDRFISVVAWCISTTRPASFGVAPYNPVLGETHHVSKENLNVLLEQVSHHPPVTALHATDQKENIEIIWCHRPVPKFYGTSVEAQVHGKRELKLLNHGETYEMNCPHLLFRILPIPGADWVGNVDIRCLETGLLAELTYKSSHSFLGLGGNHKVIKGKILESSSMKILYEIDGHWDRIVKLKDRKNGKVRVIYDAIEVISGLKAPILKDEECVWPTESVNVWSELSQAIMNKDWEKAREAKQVVEEREREHVRERESKGENWIPKHFLVSYSKEVGWNCSPIHKCVSAAPIIAL >CAK8568749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638926898:638928082:-1 gene:gene-LATHSAT_LOCUS21680 transcript:rna-LATHSAT_LOCUS21680 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSNPDYDVIIIGAGVMGSSTAYYAAKTGLKTLLLEQFDFLHHRGSSHGESRTIRATYPQNPYYGLVIKSYDLWRKAQAEAGFKVYYEARHFDMAPSNDPTLVSVVENCGENNADYELLRREQVAEKFSGRIDIPDDWVGLLTDHGGVIRATKAVTMFQTLARNNGAVLKDNVEVTDIKNDGCFVVLTTANGENFRGKKCVVTVGAWARKLVEKITGVQLPIQPLETLVCYWRIKEGYEGKFSIRGDFPTFASLGRVCLYGTPSLEYPGLIKVAVHSGNPCDPDKRPWSSGVMMDEMKEWIEGRFCGLVDSSEPVMKQSCMYSMTPDENFVIDFLGGEFGKNVVLGAGFSGHGFKMAPVVGKILAELVVDGETNEVDLKHFRIGRFNVSSKF >CAK8535561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863506603:863506919:-1 gene:gene-LATHSAT_LOCUS5008 transcript:rna-LATHSAT_LOCUS5008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIAYRIWFGQRGAKKELKVAGHGLKLAQRVPIQLPREMER >CAK8544754.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704752995:704753420:1 gene:gene-LATHSAT_LOCUS13409 transcript:rna-LATHSAT_LOCUS13409 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSICVNGCGFYASSNNNLCSKCYNDYLKENIEKSNDHESCVIESTFSSSMNPNIDSICETAISPSICVNGCGFYASSNNNLCSKCYNDYLKENIEKSNDHESCVIESTFSSSINPNIDSICETAISLADNQNILVQMPT >CAK8538907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499333802:499335592:-1 gene:gene-LATHSAT_LOCUS8055 transcript:rna-LATHSAT_LOCUS8055 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPFLLFSTSFILFLIQILKARKRISKVTNKTKKILPPGPWNLPILGSIHHLIGSLPHHRLKHLSKIHGPIMHLKLGEVSTIVISSPKFAKEILKTYDSIFAQRPHQIGADIMCYGSTDIATAPYGSYWKQLRRLCSQELLCTKRVRSFQSIREQEVSHLVKYISNNIGSSINVSEKVTCMTSAITARAAFGKICKDQQEFLLLIKKLVKLAEGFIVIDLFPSQKWLHVISGMKPKLEELHKKFDTIIVNIIKEAVEKRYDEGETVEGFLSVLLRIKDHDEALECPLTIDNIKAVILDMFVAGSDTSSAIIEWAISEMLKNPAVMIKAQQEVRQHFGSKGYIDETSLQELKYLKAIIKETLRLHPPFPLLLPRECRETCEINGYTIHAGNKVIVNAWAIGRDPDYWTEAERFIPERFLDSAIDYKGSNIEYIPFGAGRRICPGILFGVSSIELALAELLYHFNWELPSGLTLENLEMAEALSSSSRRKNDLILVPVSCYNPVLVS >CAK8573394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609317072:609328546:1 gene:gene-LATHSAT_LOCUS25845 transcript:rna-LATHSAT_LOCUS25845-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKPSVRDDENPPQQGGGKSKKKAQVIDDDEYSIGTELSEEPSLPQPEEKPGKKKGKKGNSKSLQAKDNGDDLDDEDDDDVPEIVFAGKKKGKPKKSGGGSVFTAAAGFSLLGDEDEVDNDDEEKSELTGDGDDDDEPVVVSFTGKKKSSKGSKKGGSLFSSTNFDEIDGEDESKNEKVEDDDEDIVPITFTGKKKKSSKSLKKAVSSLSADIPDEVSVSLSESAKGGDDKDDDKDEDDVFLVEFTGKKKSSKKKGGSAAAKASNEIEFGNESVDVVETEKPSAGISSTNVDNGNKSEEIVGTSKNKKKNKKKSGRTAQEEEDLDKILAELGETPPTAKPSAPPKQDEKVQSAPEVGSAPGASGGKEGEEESVESAAAKKKKKKKEKEKEKKAAAAAAGNATAIETAESKAETIEPKKNDLKTKAAADKKLPKHVREMQELLARRKEAEEKKKREEEEKLRKEEEERQRQEELERQAEEAKRRKKEKEKEKLQKKKLEGKLLTGKQKEEARRLEAMRRQILNSTGGVTLPAGDTGAPSKKPIYQTKKGKSTSRNYNGAAAVKTDESIEAKETTANLDSEEPKKVEEVESVQKEDIVELPEAVEEDRVEEDDVEDEWDARSWDDVNLNDKGAFADEEVDSEPELIGKKETKTGIPAKNAGATSKTVSKHVAEEIEDRKQAKIVVEDKKKNPDPQQSAASSKPSDANLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRDRTKELKADATLKVPGLLVIDTPGHESFNNLRSRGSGLCDIAILVVDIMHGLEPQTKESLELLKMRNTEFIVALNKVDRLYGWKTCRNAPIRKAMLQQSKDVQNEFNRRLDQVVTEFKEQGLNTALYYKNKEMGETFSIVPTSAISGEGIPDMLLLLVQWTQKTMIEKLTYSDEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVAGMQGPIVTSIRALLTPHPMKELRVKGSYIHHKEIKAAMGIKITAQGLEHAIAGASLYVVKPDDNLEHIKTAALEDVESVLSRIDRSGEGVCVQASTLGSLEALLEFLKTPEVNIPVSAISIGPVHKKDVMKASVMLEKKREYSTILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYMENIKEEKKKESADEAVFPCVLQILPNCVFNKKDPIVLGVDILEGILKIGTPICIPSQDFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNSEEQQKMFGRHFEIDDELVSHISRRSIDVLKSDYRDELSNEEWKLVVKLKSLFRIQ >CAK8573393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:609317072:609328546:1 gene:gene-LATHSAT_LOCUS25845 transcript:rna-LATHSAT_LOCUS25845 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKPSVRDDENPPQQGGGKSKKKAQVIDDDEYSIGTELSEEPSLPQPEEKPGKKKGKKGNSKSLQAKDNGDDLDDEDDDDVPEIVFAGKKKGKPKKSGGGSVFTAAAGFSLLGDEDEVDNDDEEKSELTGDGDDDDEPVVVSFTGKKKSSKGSKKGGSLFSSTNFDEIDGEDESKNEKVEDDDEDIVPITFTGKKKKSSKSLKKAVSSLSADIPDEVSVSLSESAKGGDDKDDDKDEDDVFLVEFTGKKKSSKKKGGSAAAKASNEIEFGNESVDVVETEKPSAGISSTNVDNGNKSEEIVGTSKNKKKNKKKSGRTAQEEEDLDKILAELGETPPTAKPSAPPKQDEKVQSAPEVGSAPGASGGKEGEEESVESAAAKKKKKKKEKEKEKKAAAAAAGNATAIETAESKAETIEPKKNDLKTKAAADKKLPKHVREMQELLARRKEAEEKKKREEEEKLRKEEEERQRQEELERQAEEAKRRKKEKEKEKLQKKKLEGKLLTGKQKEEARRLEAMRRQILNSTGGVTLPAGDTGAPSKKPIYQTKKGKSTSRNYNGAAAVKTDESIEAKETTANLDSEEPKKVEEVESVQKEDIVELPEAVEEDRVEEDDVEDEWDARSWDDVNLNDKGAFADEEVDSEPELIGKKETKTGIPAKNAAGATSKTVSKHVAEEIEDRKQAKIVVEDKKKNPDPQQSAASSKPSDANLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRDRTKELKADATLKVPGLLVIDTPGHESFNNLRSRGSGLCDIAILVVDIMHGLEPQTKESLELLKMRNTEFIVALNKVDRLYGWKTCRNAPIRKAMLQQSKDVQNEFNRRLDQVVTEFKEQGLNTALYYKNKEMGETFSIVPTSAISGEGIPDMLLLLVQWTQKTMIEKLTYSDEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVAGMQGPIVTSIRALLTPHPMKELRVKGSYIHHKEIKAAMGIKITAQGLEHAIAGASLYVVKPDDNLEHIKTAALEDVESVLSRIDRSGEGVCVQASTLGSLEALLEFLKTPEVNIPVSAISIGPVHKKDVMKASVMLEKKREYSTILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYMENIKEEKKKESADEAVFPCVLQILPNCVFNKKDPIVLGVDILEGILKIGTPICIPSQDFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNSEEQQKMFGRHFEIDDELVSHISRRSIDVLKSDYRDELSNEEWKLVVKLKSLFRIQ >CAK8566378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:415251075:415251317:1 gene:gene-LATHSAT_LOCUS19511 transcript:rna-LATHSAT_LOCUS19511 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIRKELCEYKRDNPASTQKDLQRWLEGKFQLNVSQGTISNTLKRSNDYLSAEIEKGRAEIKRHKPAKYPDMEKVIYE >CAK8573073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580770644:580772422:-1 gene:gene-LATHSAT_LOCUS25563 transcript:rna-LATHSAT_LOCUS25563 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLTMQRFKMGFSDYIQALEQERRKIQVFPKELPLSLELVTQAIETCRQQLSGTTTEYNLNGQSECSEQTTSTGPVLEEFIPIKKRASPYCEQVCDDDDEEEDEQHSSHHKQQKISMDDNKNSDKKKSDWLRSVQLWNSDPSSEEDATRKVSVLELKRNGSVGGAFHPFQKDERVNNKTCEFLSKGQPSSTGVAAASSNVAATVTSNNAGNNKREDKEEKRKQRRCWSQELHKRFLQALQQLGGADSATPKQIRELMNVDGLTNDEVKSHLQKYRLHTRRPSSTNNESGKSQTATPFVLVGNIFVQPTEYGGVGSSTTSGEMTKVVAPSGIYAPVATHPPVATIKKPEFKKFENSISEERGNNNNNSEGAVHSNSPASSSSTHTTHGF >CAK8537726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:424238843:424239187:-1 gene:gene-LATHSAT_LOCUS6988 transcript:rna-LATHSAT_LOCUS6988 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRLGRNIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKVLQGGTSIPSVRWFGVEGEYNVLAMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMIN >CAK8535183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821497414:821497686:1 gene:gene-LATHSAT_LOCUS4657 transcript:rna-LATHSAT_LOCUS4657 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATKAWVVASSIGAVEALKDQLGVCRWNYAFRSLHQHAKSNIRSYTQTKKLSSSSSAAVSNKVKRTKEESMKKVMDLNCWGPSTARF >CAK8578116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608114560:608115651:1 gene:gene-LATHSAT_LOCUS30155 transcript:rna-LATHSAT_LOCUS30155 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGRYRVASRQYRLTPYPLARCKRDICEEMCQKKCSKALDKKECEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSLRHSNCLDQYKKAYTKVISARNGQNVLGSPFVRHDLNLTDEKNEVTELACPLCRGQVKGWTVVEPVRDYLNEKERSCMQEDCTFVGSYKELKKHVRAEHPLARPRTVDPDDEQKWRWLEWEREREDVISTVTSAMPGAVVFGDYVIEGRHNNDFDSDDEEGALEAGNGDRNGRFQMGMEAMNFFLLLHAVRQGNDLNSISRRLRPELAPNRLTDQNEDNELDVILDVSDDDNDDGSYNVGNDDGVSLVSRLRRQGGGRVLLNRSGRRRRRREAHGTMEGS >CAK8565600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:288392434:288394587:-1 gene:gene-LATHSAT_LOCUS18797 transcript:rna-LATHSAT_LOCUS18797 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDMMKNLFISFFIFNLGFLEAEKVPAVYVFGDSLVDVGNNNYLNGTLAKAIFPYYGIDFPTNKPAGRFSNGRNAADLIAEKLGLSTSPPYLSLVSSMVKNSKSNVSFLNGVNFASGGAGLFNGTDQSFWKSIDMTKQVEYYSQMYEQLAHQIGASKLQKHISESIFLVVIGNNDIFDYFNSIDLQTNNTQQQYVKSMVSSLKLQLQRLYKNSARKFEIAGVAAIGCCPALRLKNKTECFSEANLLSVKYNEELQSMLKKWQSENKNLSYSYFDTYTAIQDLIQNPTSHGFVDVKTACCGIGELNAELPCLPSANICSNRQDHIFWDSVHPTEATTRIIVDRLYNGPSQYTSPVNMKQLLHVSIAKSNFPFNFFILLSLMVTCYQIKVK >CAK8533333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603615568:603616128:-1 gene:gene-LATHSAT_LOCUS2971 transcript:rna-LATHSAT_LOCUS2971 gene_biotype:protein_coding transcript_biotype:protein_coding MQTREVTTTGLNYMLPSNTSPYLQTYNNINPTFHLQKLSNQLFNYQNTPQFHHDFHYSPQSSCISSNSTSDEADEQNLSLINERKHRRMISNRESARRSRMRKQKHLDELWSQVLWLRNENHQLIEKLNHVSENHDQVVQENSQLKEEALELRQMIRDMQIHSPLIPSFSPLEDTYLRDDSSNNSI >CAK8530170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3997901:4005976:1 gene:gene-LATHSAT_LOCUS59 transcript:rna-LATHSAT_LOCUS59 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNSSKGVQIPQLNWLQHANAHQNFSSQAKFLSSNFLFSVPTKKPQDGSGNGRFVVRQGQNIPSSQKGQVDKAWLALSNLQISSRNYVQPGKHVKVTPQFHNDRTTTSFQGSYENGKLNHLNVTATPAVTNHTSRVLDGAVNNHTKYTSQPKESTKFVADNINIDDDDILESIDVDQIVEKYQSSCTPQPPMSKFPSIAPTPGNDNFARQGDAFLPPELCLDCIHGYKLGLCPEAASHLQEFKDNLIAISNELLDNVENLSSVQIEKLRQDRSQLNKQIQQLEKYIHSGNLEEERQKSHFATSMAPPTSSAYETPQRNVISNEPNGYGAQSYIGNGTYEPSYQSSFFSADMSGMPPGPVEREPFIPKIIDVNYSEGSGDRQWSSRDFSWTRELEVNNKKVFGNPSFRPNQREIINATMKGCDVFVLMPTGGGKSLTYQLPALINPGITLVISPLVSLIQDQIMHLLQANIPATYLSANMEWTEQQEILRELNSDYCKYKLLYVTPEKIARSDNLLRHLESLYVRELLSRIVIDEAHCVSQWGHDFRPDYQGLGVLKQKFPNTPVLALTATATASVKEDVVQALGLVNCVVFRQSFNRPNLRYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKAAEKLQEYGHKCAFYHGNMDPAQRSFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQPSSCVLYYSYSDYIRVKHMLSAGTVEQSPMTSGYSRLNATNPGRLLETNTENLLRMVGYCENDVDCRRFIQLVHFGEKFDSSTCQKTCDNCMKVTSFIEKDVTEMAKQLVELVKLTGQKVSASHILEVYRGSLSQMVKKHRHETVRLHGAGKHLAKGEASRILHHLVVEDFLAEEVKKSDFYGSVSSVLKVNEQKVRSVLFGGQRIILRFPSSVKASKPVKCDLTPARGSLTSAKLNLPIDIPDEPQTEVDLNLSAKLYTALRMLRTALCREAGEGVYAYHIFGNATLQLISKKVPRTKEELLDINGIGKIKVNNYGDRLLETIENTIYEYYNTDKNSSGSKGSADSAKRRRESNRDPDSNAEDDETLIQSTGCTKKRTVKRQIRKVVIYDSEEEEDYFHGCLDEDLDCVNIDNIVVLDKAPGTNPAGRVLPQWTAS >CAK8574554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1981097:1986107:-1 gene:gene-LATHSAT_LOCUS26888 transcript:rna-LATHSAT_LOCUS26888 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVHGGRMTTFEDSEKESEYGYVRKVSGPVVVADGMNGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIARISADVYIPRGVSVPALDKDTLWEFQPKKIGEGDLVTGGDLYATVFENSLMEHRVALPPDAMGKVTYVAPAGQYSLKDTVLELEFQGVKKKFTMLQSWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVIYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTRAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGTDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGESALVAKFTQLHEDLTNGFRNLEDEAR >CAK8573105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:585155062:585158252:1 gene:gene-LATHSAT_LOCUS25591 transcript:rna-LATHSAT_LOCUS25591 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTSFFGITEENQSQITHHLHPQSTTTSATSSAPSTIVPQKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESVIRHPNPFGTHPNFHATNHMSLGQISHQLQLHQNQTSSTSNNILRLGSSAPKFEQHLISSPPLNHSTSSFGQYSVPSSSSPFFNMSDIPNQSFEEHQGQFSNKQQQQLHGLMQLPDLQGNTTSNNSNNLFNLMNNIHDDQFNNNPLISDHHHHQSLFMVNSNMHHDHHQNQNQNLSSSHMSATALLQKASQICSTNSTNNNKGTTTTTTTTSFGNSSSMELNDHNNNDELHGLINSIANGNGNTSSIFGNESNLNIGFGESDKLTLDFLGVGGMVRNMSAPGFSQNQQQQQHAMASMNHNLKSPQSSQHFGSSNLMQ >CAK8577211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544858839:544861446:1 gene:gene-LATHSAT_LOCUS29338 transcript:rna-LATHSAT_LOCUS29338 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHISPTLGHITVLPGKGLREFFKAKIASSRLSYRVLFFYSLLFFTFLLRFVFVLTAVDSIDGENKCSNIGCLGRKLRPKISERSLASTVPEEIYAMLDQPLDKYEMEGKSNIPQTLEEFMTEMNKGGYDAKTFAIKLREMVTFMEQRTRTSKIQEYLYHQVVWNSIPKQLHCLELRLANEHTNNAAARLRLPSSELFPALVDNSYFHFVLASDNVIAASVVAKSLVRNSLHPWKVVLHIITDRKTYHSMHAWFSLHSLSPAIIEVKALHQFDWFTKRKVSVIEAMGKDQNVQSQFRRGSSAIVANMNEKPNVITAQLQTRGPKYSSVTNHIRIHLPELFPSLDKIVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCKEEHKFVMSKRLKSYLNISNPLISKFFDPNECPWAYGMNIFDLKAWRKTNISHTYHYWVEQNIKSKMSLWKLGTLTPGLIAFHGNVHKIDPFWHMLGLGYQKNTSVDDAKNAGVVHFNGRAKPWLDIGFPQLRPLWSKYVDFSDKFIKSCHIMAS >CAK8560186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10078402:10085585:-1 gene:gene-LATHSAT_LOCUS13883 transcript:rna-LATHSAT_LOCUS13883 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRDSPVNVLSQWLRKRSMKVKILLGTLLAFCALVVLKFTITDSDFFFKASETVHIVGLIVLIYKLFAHKTCSGLSLKSQELTALFLTTRLGCSIYMEANIHTVLDLIFLLSTLLVIWLIRFKLKSSYIKEFDNMWLSFLVVPSTILAILVHPYTKHIWIARVLWAFSVYLETISILPQLRFMQKAKIVETFTGYYVFALGVSRFFALAYWIIHTYDTRGKYLYFIGYGYFWMLAAFLSEIIQSFILADFCYYYTKSFMQGQLLRKMPV >CAK8536019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898770505:898778144:1 gene:gene-LATHSAT_LOCUS5422 transcript:rna-LATHSAT_LOCUS5422 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKSIEEQFSKLHPSLPLNTRIGIVGAGPSGISAAYALTRLGYNNITVLEKHHAVGGMCESVEIEGKVYDLGGQVLAASSAPVIFHLAKETGSALEELDSHKLAVIDTSSGKYRDIKVADDYVSVMSLTLKIQEKVKNSGRFGIHAVSEVAADLTPEYLEHHGLKSVPKSVAYGYTASGYGFIQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKIVESLPIKLHCNTEVLAIRRNSDSVAVNVKSLNEVETLEFDKIVVSGNFPLKYGRTYRSVPSTCIDCEEVMDASDIEKELFSKVETNDYYTTVFKIQGLDHMPVGFYYFNEYMEDPCTIGNPVAMQKFYTDSDIFLFWSYGNSFDIKGPTITELAIKAIEAVGGEVESFILQRRFKYFPHVSSQDMKNGFYEKLESELQGSRNTYYVGGLMAFELTERNSSYAMALMCKNFANSSDLPVFPYTKSLFPLQTEFQRKEPKELAELPGVEFPDLPTLNSYLKHWGTHRVTQDRTLYSWINEEGIVIGKRTYREQHLRASCIASKLLKSQKPIIKPGDKVLLVYVPSLDFIDAFFGCLRAKVIPVPVLPPDPMQRGGQALLKIENIAKSCGIGAILSTVAYHSAVRAGLVKNFITLKNGKSSARWPSLPWLHTDPWVNNSRSSAMEDQYNDQCESQSSDICFLQFTSGSTGDAKGVMITHGGLIHNVKLMQSRYKSTSRTVLVSWLPQYHDMGLIGGLFTALVSGGTALLFSPMTFIKKPLLWIETMSKYQATHSAGPNFAFELVVRRLESTDKDKLQNLDLSSMIFLMVAAEPVRQKTLKRFLELTGPYGLSQKAMAPGYGLAENCVFVSCAFGEGNPILVDWQGRVCCGYVHPGNADIDIRIVDPDGSEELQEDGKEGEIWISSPSAGIGYWGKEELSQKTFQNQLPDHPGRVYTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSSDVEKTVESSSEFLRPGCCAVIGVPEETLSAKGISLPDGSDQVGLVVIAELRDGKPVSKDVVEDIQARVAEEHGVNVASVKLIKPRTISKTTSGKIKRFECLKQFADGTLNLVPQPVLTKKTLVRSFTTGTCQAGRTPRAQLAKSASLTSPRISTKEILEFLKKLISEQAGISVSKISVTDNMSTYGIDSIGVVKATQKLSDFLGVPVAAIDVFSASCIQELANFSESLLLKSQPQHMSNPSFAPEDETESTEFVVDVSKSHQWSIYALQSLALVFISILLVSPAYLSITTFQSLSTSVDESTYGIPWSNYLISLALAPLSWILCIASTCICISFFGNSFLRPNYALTPEISIYSMAFVKWWALYKSQDISSKVLATHLKGTVFLKYWFEILGARIGSSVLLDTVDITDPSLVSIGDEAVISEGVLVQSHEVKNGILSLHPIRIGRNSSIGPYAVIQKGSVVKEGSEIQPLQKVEGGQHVLKPTKLNNVKENAVLLVTTNKTESEAIYHFLGIYLVGFVSSLAAAITYFMYTWCFQKPVSFQSFSFVCICGAFHWIPFTITAYATMFSKVPSNPIVFAISFACAYLLHGLILTFLTCSLTRLLKSQNQTHFKTWLQNHLTLSCHLRFAKLLSGTEAFCVYLRLLGAKIGKHCSIRAINPVSNPELMSIGDGVHLGDFCRIITGFNYSDGYACGKIEVQDNSVVGSQSLILPGSLVEKNVILGALSVAPMNSILHEGSVYIGSQTRVTTRNSGSANFDERIEEMDIGYKKIVANLAANLAATTMNAKARYFHRIGVGGKGQLKIYNKLEGVPMHKIFHPGKSYPILVRHSNSLSADDDARIDARGAALRILSDDEPATGPSDSPPPTLIDLTLKTGNAFYARTLSDFASWLVCGLAAREELVKGAPHVREAVWSSLRHADSYAELHYYSNYCRLMRFEDGQQMYVKFKLRPYDTSINEDRGKVIPTGILPPETGAIPRDENDSRPLLFLANDFQNRVSSSNGVSYVFQIQLRPVPDDEQAREIALDCSKPWDENQFPFIDVGEININENLSIEESQKLEFNPYLKSNELDVISATSNTQSASIDHGRSLIYEICQHVRNRQPLPEAWRSLVQQSNVKVDLSCCPIASPPPPLPEKEPLLKNKTPPKLTLTRTWYQTFSALFIQPFLQTAFPHMVIGLSIFLPLNMVLYFKDTKKLPLHWLLPFFWILSGFTAALSCIIAKRVLVGKRKTGETIPIWSKRIIMDTTWQAIRTLVGDYFMDMTNGSFLFMIWMKLMGAKIELDGGTYMDSNGAMLNPEMVKIERGGCVGREALLFGHIYEGEEGGMVKYGEIKIGEDGFVGSRSVVMPGVEVESEGNVGALCLAMKGEIVRSR >CAK8570827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:122191114:122191821:-1 gene:gene-LATHSAT_LOCUS23543 transcript:rna-LATHSAT_LOCUS23543-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAFTSVGGKLDNRFNNDRGPPTIRIQGQACHRIGSLLPPEGHPPKFSQLYIYDKENKVTNRMDTLRDKNNIHPDIIQNLSDMLYRHNTHAKSFLMARQWLNQSNVHNLKLKLISTRSTDGRLYNQPTVSEVAALIVGGIDTVEERDIIMQAKGGQLQHIDEFHPSYLAFHYPLIFPYGEDGYRDNIAHRDLDIFDDSQRNRLIIRE >CAK8570826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:122191114:122191863:-1 gene:gene-LATHSAT_LOCUS23543 transcript:rna-LATHSAT_LOCUS23543 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNFQSHIRTYNMMFAFTSVGGKLDNRFNNDRGPPTIRIQGQACHRIGSLLPPEGHPPKFSQLYIYDKENKVTNRMDTLRDKNNIHPDIIQNLSDMLYRHNTHAKSFLMARQWLNQSNVHNLKLKLISTRSTDGRLYNQPTVSEVAALIVGGIDTVEERDIIMQAKGGQLQHIDEFHPSYLAFHYPLIFPYGEDGYRDNIAHRDLDIFDDSQRNRLIIRE >CAK8563345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594109284:594116147:-1 gene:gene-LATHSAT_LOCUS16766 transcript:rna-LATHSAT_LOCUS16766 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKCDEVDKMLDAYIYDYLMKNQLYETARIFHDEASVVPNIASYAPDSFLFEWWSVFWELFMAKRGLSQSESAVSYLKGMWKQEKKRMFEDYGAETQVINAQQPQYGSETQVINDNALCPINNGPLVRQNLVTSNVAKRNRDMSKPPLHGNALDDMRIKKMKTKNTGQLPIEHCALFKAIEAMSGRQTSRQTLCSALSILKESLQQDQNQNQQLPGSIQGMTSDMSPMKGFRTFIHINHGGSNLTRKRRPLAGLDKLHSELLQEDSLMLSSQSSNQFSPQQQLMLQAQQDLVNPLLFDFVGKRPRVLLNNQNIVPGKDGQSNYVGDSIPNIITPAPIGFSELPYLANIYGTANTTGQSFGLPSTPSMQTPLPTWQQNDLSSSASTQTQLDDMDHHKCDVSVGDNFESLSSLADEVQKGGVDKGFSFKEIKHGKASSHKVNCCHFSLDGKLLVTGGHDNKASLWCTESLNLKSTFDEHTKWITDVRFCSSMLCVATSSADKTIKVWGVNNPSHSIWTFTGHTRTVMSLDFHPNKNDLICSCDEREIRYWSIENGCCVGVFEGGATQVRFQPGLGKLLAAAVNNLILILDVKNPSCRIKLQGHNSIVHSVCWDWSGKYLASVSDDLVRIWEVEANGSGLCIHELNTAGSDDKFQSCVFHPIYHVLVLGRDVTLMLWDYIARNVMFLRGHDKLVSALAVSNFTGLVASVSHDNYVKIWK >CAK8563344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594109284:594116147:-1 gene:gene-LATHSAT_LOCUS16766 transcript:rna-LATHSAT_LOCUS16766-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKCDEVDKMLDAYIYDYLMKNQLYETARIFHDEASVVPNIASYAPDSFLFEWWSVFWELFMAKRGLSQSESAVSYLKGMWKQEKKRMFEDYGAETQVINAQQPQYGSETQVINDNALCPINNGPLVRQNLVTSNVAKRNRDMSKPPLHGNALDDMRIKKMKTKNTGQLPIEHCALFKAIEAMSGRQTSRQTLCSALSILKESLQQDQNQNQQLPGSIQSFFLAQGMTSDMSPMKGFRTFIHINHGGSNLTRKRRPLAGLDKLHSELLQEDSLMLSSQSSNQFSPQQQLMLQAQQDLVNPLLFDFVGKRPRVLLNNQNIVPGKDGQSNYVGDSIPNIITPAPIGFSELPYLANIYGTANTTGQSFGLPSTPSMQTPLPTWQQNDLSSSASTQTQLDDMDHHKCDVSVGDNFESLSSLADEVQKGGVDKGFSFKEIKHGKASSHKVNCCHFSLDGKLLVTGGHDNKASLWCTESLNLKSTFDEHTKWITDVRFCSSMLCVATSSADKTIKVWGVNNPSHSIWTFTGHTRTVMSLDFHPNKNDLICSCDEREIRYWSIENGCCVGVFEGGATQVRFQPGLGKLLAAAVNNLILILDVKNPSCRIKLQGHNSIVHSVCWDWSGKYLASVSDDLVRIWEVEANGSGLCIHELNTAGSDDKFQSCVFHPIYHVLVLGRDVTLMLWDYIARNVMFLRGHDKLVSALAVSNFTGLVASVSHDNYVKIWK >CAK8543687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631668617:631671692:-1 gene:gene-LATHSAT_LOCUS12430 transcript:rna-LATHSAT_LOCUS12430-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQLREQAHIMVDFIADYYKTIDNFPVLSQVQPGYLGKLLPDSAPTYPESLQHVLNDVQEKILPGVTHWQSPNYFAYFPANSSIAGILGEMLSAALNVIGFSWITSPAATELETIVLDWLAKALLLPHHFFSTGQGGGVIQGTASEAVLVVLLAARDKILRTLGRTALPKLVTYGSDQTHSALQKACQIGGIDPQLCRLLKTDSSTNFALSPDVLSEAISNDIASGLIPFFLCANVGTTSSTAVDPLPALAKVTRTNNIWFHVDAAYAGSACICPEYRHFIDGVEEVDSFNMNAHKWFLTNFDCSLLWVKERSALIQSLSINPEYLKNKASKGNMVIDYKDWEIPLGRRFRSLKLWMVLRLYGLEGLQTHIRNHIALAAYFEKLVVQDTRFKVVAPRTFSLVCFRLLPPRNSEDNGNKLNRDLLDLVNSTGSVFITHTALSGEYILRFAVGAPLTEVRHVKAAWQILQEKATALLESL >CAK8543686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631668617:631671830:-1 gene:gene-LATHSAT_LOCUS12430 transcript:rna-LATHSAT_LOCUS12430 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEENGSGLNLKPMDAEQLREQAHIMVDFIADYYKTIDNFPVLSQVQPGYLGKLLPDSAPTYPESLQHVLNDVQEKILPGVTHWQSPNYFAYFPANSSIAGILGEMLSAALNVIGFSWITSPAATELETIVLDWLAKALLLPHHFFSTGQGGGVIQGTASEAVLVVLLAARDKILRTLGRTALPKLVTYGSDQTHSALQKACQIGGIDPQLCRLLKTDSSTNFALSPDVLSEAISNDIASGLIPFFLCANVGTTSSTAVDPLPALAKVTRTNNIWFHVDAAYAGSACICPEYRHFIDGVEEVDSFNMNAHKWFLTNFDCSLLWVKERSALIQSLSINPEYLKNKASKGNMVIDYKDWEIPLGRRFRSLKLWMVLRLYGLEGLQTHIRNHIALAAYFEKLVVQDTRFKVVAPRTFSLVCFRLLPPRNSEDNGNKLNRDLLDLVNSTGSVFITHTALSGEYILRFAVGAPLTEVRHVKAAWQILQEKATALLESL >CAK8543942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650596648:650597940:-1 gene:gene-LATHSAT_LOCUS12663 transcript:rna-LATHSAT_LOCUS12663 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNFLGITVGGNHRRYSFWKPVLLCLKNKLSIWNGRNLSMGGRVTLINSVLANLPIHYMAFFKAPQKEDGGGMGIKYVGRFNSALLAEWLWRFQTGGNEIWRNTLTIRYGNLSIKTQTYSNVVSSKSESLWMKDIMTNASLRSQSNFCKFTICSIGEGNDAAFWKSIWIDNIPLKVRFNGLFHCCPLKSVSIRNMGYWEDGQWNWNLRNSLLDSDNPPEPDWSDCCKLLENILVIPGESDKWRCSLHESLIFKVSSLYPILYPSLSEQDIGSDCASHIESIWKTVIPVKVQTLSWRLTLNRLPTRSNLSKRRVFDSEQDLDCVFCSSSLKDVSHLFFSCYKSTQVWNKICERADIDIISKNCCYSHAKVWNTSLRGRCQANRVNSIWFITCWNI >CAK8573984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652452611:652454445:-1 gene:gene-LATHSAT_LOCUS26377 transcript:rna-LATHSAT_LOCUS26377 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNDVCSPSSQRGFGIGLDAAGHMQLNASAFSSLYSALTNNNENLSLLSGNCNNGGGVSLYPYSDSETEYDSFVVQKHQDMVNRHSMCLSSLMEASNEVEALQQENEELRAVNKELQKNLNLLVQASLEKRFGLGGSSVQTQSIPFDVLHSVYGLSLGDGKENCAGGWNNNNIKNNSNSSKELQEDSDESSTSVIDNNDVDDEAERFSLPKSISVRSNGYVKVAQPPAAATNNNACRSTKGATRSRASSTQPDQAQKVYVRGGQKEEEPLEMTVYNQGMFKTELCNKWQETGTCPYTDNCQFAHGIGELRPVIRHPRYKTEVCRMVFAGITCPYGHRCHFRHALTEQEKAISQSTPRSMKLER >CAK8579343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692671169:692674613:1 gene:gene-LATHSAT_LOCUS31295 transcript:rna-LATHSAT_LOCUS31295 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFPYLISLIIILVSLRKTKTQDFTCGTISCETFGLPIRFPFSTNQPNQINLCSYPGFELTCSNTSSNTTTSTIFSEPLLTLPNSETFVLKHISFTDQTVWINDPNKCLPKRFMSHRHHHDFMLNLKDSPFRLSDDYTFVNVSFLKCPSNSSLSSMVPPMSCLNVDYLVVAIRSDPPFGTPWVSLCEFITSAMIPVEDTNWLFWNDHYSNIALQWDDPDCGSCEERGGRCGLVGEDVLRLDCYGLPTQDIGLSKKVKYGLSMGLAIPALLGIIVLTWMLWNNKSTSTQAQQQRQTGTEFSTMVMPHPPVFVMGLDRATIEKYPITQLGESGRLPRPNDNICSICLCEYQPNEVLRTIPECNHYFHANCIDGWLKTNATCPLCRNFPEMSNSFASVLPVSPTS >CAK8564793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9653375:9655588:1 gene:gene-LATHSAT_LOCUS18058 transcript:rna-LATHSAT_LOCUS18058 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFNFIFFLSLLSLVVEHHGSVELKQYPLVVSTWPFIEAVRAAWRAVDGGASAVDSVVEGCSTCEELRCDGTVGPGGSPDENGETTMDALVMDGVTMEVGAVAAMRYVKDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSSESIEKWTEWKKSSCQPNFRKNVLPANNCGPYRPNNYLDLSDETCSVIDQSQIPTLPHVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAIDEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAIARITKKFPNFVGAVVAVNKTGEHAGSCHGWTFRYSVRSPAMKDVEVFTVLP >CAK8579747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720683619:720685171:1 gene:gene-LATHSAT_LOCUS31668 transcript:rna-LATHSAT_LOCUS31668 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGTKFWEVVCDEHGIDPLGQYIGKSQLQLERVNVYYNEGSTGRYVPRAVLMDLEPGTMDAVRTGPYGQIFRPDNFVFGQSGAGNNFAKGHYTEGAELIDFVLDVVRKEVENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENSDECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQNYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQVLNVQNKNSSYFVEWIPNNVKSSVCDVPPTGLSMASTFIGNSTSIQEMFRRVSEQFSAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAPVDEDGDDYQEEEDAQS >CAK8542267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:495667536:495670284:1 gene:gene-LATHSAT_LOCUS11116 transcript:rna-LATHSAT_LOCUS11116 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEIALIIFSSRGKLSEFGSSSSGIGKTLERYQKCSFTSQNDNDNVNEHETQNWYQEMSKLKAKYESLQKAQRQLLGEDLGPLNIKELQNLEKQLEAALAQARHRKTQIMVEQMEELRKKEIRLGDINKQLRFKLESDGFNLKAIESLWSSSNSAATVAAGGSNFGFQSSETNHMDCQPEPFLQIGYQHYVHAEPSSAPKSMVGENSFIQGQGWIL >CAK8539122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504207380:504208633:-1 gene:gene-LATHSAT_LOCUS8249 transcript:rna-LATHSAT_LOCUS8249 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWPDVKSHFCTSAIDLSRLNKIDYDDLTREECRSMIAKASQDWGFFQVVNHGISSEILRLLRFEQEKVFKQPFEEKRKEDKFLNFSAGSYRWGTPTATCIKQLSWSEAFHIPLTDILGRSTRSNTHLSSIIEQFATTVSNLAQTLADILAEKLGLHSTFFKENCLPKTCYLRLNKYPPCSLDFRIHGLIPHTDSDFLTILYQDQVGGLQLVKDRKWIAVKPNPNALIINIGDLFQAWSNGVYKSVEHRVVTNPRVERFSIAYFLCPSNETVIESCNEPSVYKKFSFEEYRQQVRDDVQKLGSKIGLPRFLKNHETM >CAK8539123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504207380:504208525:-1 gene:gene-LATHSAT_LOCUS8249 transcript:rna-LATHSAT_LOCUS8249-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKASQDWGFFQVVNHGISSEILRLLRFEQEKVFKQPFEEKRKEDKFLNFSAGSYRWGTPTATCIKQLSWSEAFHIPLTDILGRSTRSNTHLSSIIEQFATTVSNLAQTLADILAEKLGLHSTFFKENCLPKTCYLRLNKYPPCSLDFRIHGLIPHTDSDFLTILYQDQVGGLQLVKDRKWIAVKPNPNALIINIGDLFQAWSNGVYKSVEHRVVTNPRVERFSIAYFLCPSNETVIESCNEPSVYKKFSFEEYRQQVRDDVQKLGSKIGLPRFLKNHETM >CAK8576406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470993035:470994599:1 gene:gene-LATHSAT_LOCUS28595 transcript:rna-LATHSAT_LOCUS28595 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNSMVDFPPPGFRFFPTEEELVSFYLKNKLQGEKSNAFDRVIPVIDINGVEPWNLPTLAGELCREDKEQWFFFSPGQEREARGGRPNRTTGCGYWKATGSPGYVYSSDNKVIGVKKTMVFYIGKAPSGRKTKWKMNEYRAIQMCNQSNTATPQLRREFSVCRVYVISGSFRAFDRRPLENERDDQFRVNKTDQNPFIATCSNQNARMDLASSSFEISQLEGLQSVYALEVEVAGGSNSSNWNVDKNGDNGYEDQVQEPLWEWECEHFSWT >CAK8576407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470993053:470994599:1 gene:gene-LATHSAT_LOCUS28595 transcript:rna-LATHSAT_LOCUS28595-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFPPPGFRFFPTEEELVSFYLKNKLQGEKSNAFDRVIPVIDINGVEPWNLPTLAGELCREDKEQWFFFSPGQEREARGGRPNRTTGCGYWKATGSPGYVYSSDNKVIGVKKTMVFYIGKAPSGRKTKWKMNEYRAIQMCNQSNTATPQLRREFSVCRVYVISGSFRAFDRRPLENERDDQFRVNKTDQNPFIATCSNQNARMDLASSSFEISQLEGLQSVYALEVEVAGGSNSSNWNVDKNGDNGYEDQVQEPLWEWECEHFSWT >CAK8576902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:523192069:523193400:-1 gene:gene-LATHSAT_LOCUS29059 transcript:rna-LATHSAT_LOCUS29059 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLVPRPPLTSKPNMNTKRPEREHDDGDSSLPEQSFKRPNYSGEKTVAEGEGHDEEQAFEPQTEGESIGLKLLGLLLQCAECVAMDNLDFANDLLPEITELSSPFGTSPERVGAYFAQALQARVVSSCLGSYSPLTAKSVTLTQSQRIFNAFQSYNSVSPLVKFSHFTANQAIFQAVDGEDRVHIIDLDIMQGLQWPGLFHILASRSRKIRSVRITGFGSSSELLESTGRRLADFASSLGLPFEFHPVEGKIGSVTEPSQLGVRPNEAIVVHWMHHCLYDITGSDLGTLRLLTQLRPKLITTVEQDLSHAGSFLARFVEALHYYSALFDALGDGLGADSVERHMVEQQLLGCEIRNIIAVGGPKRTGEVKVERWGDELKRAGFRPVSLRGNPAAQASLLLGMFPWRGYTLVEESGSLKLGWKDLSLLIASAWQPSDLITYT >CAK8574290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674160383:674161096:-1 gene:gene-LATHSAT_LOCUS26649 transcript:rna-LATHSAT_LOCUS26649 gene_biotype:protein_coding transcript_biotype:protein_coding MCMMDLEADNIVSWWLYTFWLNSLQLLSLFYNPMHCDVSKQNGQAAEEEFKALTWRSLLLKSRSDCRYCQKFRRPLLYKRRSVECFVKITTSSGNGCSRSKEAQRWRIVGIE >CAK8560964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:64785244:64787337:-1 gene:gene-LATHSAT_LOCUS14598 transcript:rna-LATHSAT_LOCUS14598 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKLLPLLRACVNSKSLKQGKLIHHKVVTFGLQNDIFVSKSLINLYVSCRLLDYAQRVFDAVENPCEVSLWNGLMAGYTKNYMYVEALGLFDKLMHYPYLKPDSYTYPSVLKACGGLCRVVLGQMVHTCLIKTGLMVDIVVGSSLVGMYAKCNSFEYAIQLFDEMPDKDVACWNTVISCYYQSGKFDEALRYFCMMRRYGFEPDSVTITTAISSCARLFDLDRGRVIHKELINSGFQLDSFVSSALVDMYGKCGHLEMAIEVFEQMPKKSVVAWNSMITGYGFKGDCFSCIQLFKRMYNEGVKPTLTTLSSIIMACSRSGQLLEGKFVHGYIIRNRIQPDIFINSSLMDLYFKCGKVESAENFFKLIPKTTAVSWNVMISGYVTEGKLFEALGLFSEMRQSSVEPDAITFTSVLAACSQLAALEKGREIHNLIVERNLGNNEVVMGALLDMYAKCGAVDEAFGVFKCLPERDLVSWTSMITAYGSHGRVSEALELFAKMLLSNVKPDRVTFLAILSACSHAGFVDDGLYHFNQMINVYDITARVEHYSCLIALLGRAGRLPEAYEILQRNPEIRDDVQLLSTLFSACRLHRNLDLGVEIAEKLIDEDPDDSSTYIILSNIYASFGKWDEVRTVRSKMKKLGLKKNPGCSWIEINQKIVPFFVGDNSNYHVEVINKCLSYLSSHMEDECKPVYLSL >CAK8538513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485738196:485738624:1 gene:gene-LATHSAT_LOCUS7702 transcript:rna-LATHSAT_LOCUS7702 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWRGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRTRKTNQYSDNLILRRRSK >CAK8563060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570294368:570295279:-1 gene:gene-LATHSAT_LOCUS16507 transcript:rna-LATHSAT_LOCUS16507 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPQSPLASQTMKETTTSSIISKISPLVLLVIIVLAIILFLYGLVQLILWLLMKRPSSSSHYNSNRFQESTRTRSLQRQLQHLFHLHDSGLDQAFINTLPVFNYQDLLGLKEPFDCAVCLCEFSEQDKLRLVPICSHAFHMNCLDTWLLSNSTCPLCRANISNNSFPLENVNVVEDSLVLSHRFNVNGDKENIIEEQIGDKRMFSVKLGKFRNNELEDCNSTSSCSLDERRCYSMGSYQYVFCDSNLQVVLSQSCCEDENENLEGKKIGNRIKGESFSVSKIWLWSKKSKFPSSNTVFP >CAK8544711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701512340:701512878:1 gene:gene-LATHSAT_LOCUS13366 transcript:rna-LATHSAT_LOCUS13366 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATTKGGRGKAKAATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHSTLIPKKAGKGKDEIGSASQEF >CAK8541854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:416727724:416737208:1 gene:gene-LATHSAT_LOCUS10745 transcript:rna-LATHSAT_LOCUS10745 gene_biotype:protein_coding transcript_biotype:protein_coding MMICSKETASKPCDKKSSHHNLQNLKHEVSMMLNSSSKSSQKRKFMRYMNGGTFVTSSELVTKKKYDIDNAKAQKRKSSSHAQNDRVEFCPLSRQDYSHLSDDEDSAMRPSYLMNEESFYIHAGDLMVNSYSDGIAASIKEKLKEEDMDFADSRKKFLPSRANHLPRPFIPIGPRFQAEVPKWEAPTNMYSSDDCLKWLGTQIWPMPSFSRNNAKSIGKDRSDISSGENFESIDRVKKLSEARECLKSKVNGTITSWKFDNKKDVSKSWTMEDEKKFESLVNLNLLSNDTKFWKLATEYFPSKSIECMMNYYYNVYIPRGTGREEKISL >CAK8574001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653522130:653524247:1 gene:gene-LATHSAT_LOCUS26393 transcript:rna-LATHSAT_LOCUS26393 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNIFDGSDSENDDISKIEINQDYARRFEHNKRREDLHRYEELKKKGVIGSEDDESDSGSSDDDYEKSFDSRSDKKFFEALIKVKKKDPVLKEKDVKLFESDHSSEDESEDEKSELKDKGKKTMYLKDVIAKHLIEEGADFGDEEEEKGIPKSGKGKKAMSVIQEETGFVNRDGKKSYVDEQEELKRAFHEAVEKDGLEDGEWDFIKVKEKGGEDQVESEDKELDEKLDEYFGRDAEANESSKFLRDYFKNKMWIDKNVENLNVGEEDFQEISEDEIEIERQEEYEHRFQENPGDRVLGHARKVEGSVRKKTNTRKEQRKSKEERMAIAQKEREEELKHLKNVKKQEIREKVKKIMKTAGIDEDDVIPLSAVELEEEFDPEEYDRMMKKAFDDKYYNAEDADPEFCSEDEEDMEKPDFEKEDELLELPKGWDESGSDGGFLAAREKALKEKVEITSDDDVVKSENEKEEIPDEGSSRKRKRKTALLEKARQAMMDEYYKLDYEDTIGDLKTRFKYAKTKPNKFGISSPEILLMDDKELNQFISLKKLAPYQEEEWKLSKQKKYMLKMRAKEILRAASMDKKKSKKPKVGFSKITSSNNVVEGEKANTTSLDKKKNKKSKVDYSKITSSSNVVEDEKANAASLDKKKNKNSNVDSDKSTSSNIVVEDENANTEESKSKSRKAKRRENMANLKLPASRLKAYGKTT >CAK8576171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416311004:416311750:-1 gene:gene-LATHSAT_LOCUS28379 transcript:rna-LATHSAT_LOCUS28379 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLLQPSFLISKTRSCALILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8576172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416311004:416311651:-1 gene:gene-LATHSAT_LOCUS28379 transcript:rna-LATHSAT_LOCUS28379-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRYEIEAREGIAKPSLLPSSN >CAK8560560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:25547194:25549011:-1 gene:gene-LATHSAT_LOCUS14222 transcript:rna-LATHSAT_LOCUS14222 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENSEEVAKTRPTPRLNERILSSMSKRSVAAHPWHDLEIGPGAPHIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYSDYKELQPHRLMEIRRFFEDYKKNENKEVAVNEFLPPSTAVEAIQHSMDLYAEYIMHTLRR >CAK8575041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23662538:23663113:-1 gene:gene-LATHSAT_LOCUS27334 transcript:rna-LATHSAT_LOCUS27334 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATPTTHPPLLPFSAPPLTAAQIATLTTPPPPFPPPSVDLSPLEFLLALIAIVTIPALIYTCIFAFGFPFCRRRTEQNPRELSVSSDDNSKVDAASIFDLKYRTDAHVKEIGGECPVCLSGFTDGEKLRQLSCCKHYFHADCIDLWLRNRVTCPICRATVSGKRRCSSAEAPARNYDMMQGLPDASGLV >CAK8563791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631299045:631299557:1 gene:gene-LATHSAT_LOCUS17166 transcript:rna-LATHSAT_LOCUS17166 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKYVGVFVIMFVLVVGTFECRKFKKEEFVENFGGGGGLGGGGGLGGGFGGGAGGGSGGGIGGGSGGGYGGGLGGGTGGGLGGGGGFGGGSGGGAGGGFGGGSGGGFGGGAGSDGGGGAGGGFGGGAGSGGGAGGGFGGGAGSGGGVGGGFGGGAGGGFGGGADDRV >CAK8567141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490368521:490371065:1 gene:gene-LATHSAT_LOCUS20218 transcript:rna-LATHSAT_LOCUS20218 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERGLMMFPMEKRALECVGKGFDLTSDFRMKFAKGLINGGRLVVVDEVNKRDVMVPGGVVIPDVSEDIRFDKGDRVRFKSDVLPFNQMSEMLNQKSAIQGKIPSGYFNAVFDLSGDWFRDAQEIKSLAFDGYFISLYYLHLSASHLKLQEEVRKSVPAQWDPASLSRFIVTYGTHIIVGMAVGGQDVICVKQKHSSKVPPSDLRRHLEDLGDFLFSDLRSPSLLERKTTLEDKQKVPEVFNRVMQSSTTQFTSISETSNKDGLTIICSKRGGDVFKHNHSSWLQTMASSPEAIHFKFVPISSLLTAIPGSGYLSHAINLYLRYKPSPEDLQYFLEFQIPREWAPMFSELPLRHQRKKPYSPPLQFSFMSPKLHINSTQVVSEQKPVVGLRLYLEGRKCDKLAIHIHHLSSLPNTMTLSSTTTTTMWRGSDNDESSDQFAEPIRCKRFAHVCTSVVKYDPNWLHELNSTGVYIVTGAQLISKGSWPKNMLHLRLLFTHIPNCSIRKTEWAAAPEASRKSSFFTNLSTTFSFTQQSVTATQKQAAPTALNSGVYPDGPPVPVRSSKMLKYVETCEVLRGPHDAPGHWLVIAAKLVTEGGKIGLQVKFALLDY >CAK8535239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828830206:828834242:-1 gene:gene-LATHSAT_LOCUS4712 transcript:rna-LATHSAT_LOCUS4712 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNDGQKQQVLHQGGVGVGVGGRTNGFIPSSFRALSSYLRIVSSGASTVARSAASVASSIVDRDDVADHDQVIWAGFDQLEGEGDIAQQVLLLGYRSGFQVWHVDESNNVQDLVSKHDGPVSFMQMVPNPIASKKSEDKFASSRPLLVVCADGLFAGGSNVKDGLTGPNGTTSNSHDQMNGNYMPTTVQFYSMKSHSYVHVMKFRSVVYSVRCSSRIVAVSQSTQIHCFNATTLEREYTLLTNPIVMSSPGSGGIGYGPLAVGPRWLAYSGSPVAVSTSSHVSPQHLTPSASFPGFSSNGSMIAHYAKESSKHLATGIVTLGDMGYKKLSRYCSENNGSLQSVNSGSKVNGSINGHSADLDNIGMVIVKDIVSKNVVAQFRAHKSPISALCFDPSGTILVTASVQGHNINVFKIMPVHENSSASDAGPSYVHLYRLQRGFTNAVIQDISFSDDSKWIMISSSRGTSHLFAINPQGGYVNIQSNDDSLSPKNSGLSTTTNQVVRRSPMSAVQMPKQQSLYVGGPPITLSVVSRIRNGTNGWRGTVSGAAAAATGRKSSLSGAIASSFRICKGSRAMYGDVNNSKANYHLLVFSPTGSMIQYALRTITSQDSAVVSGLSPVYESTPQADARLVVEAMHKWNIYHSRREREDNVDIYGENGISDSSKIHPEEVEENIINPKIKNGVTKGNPCVSEEHHLYISEAELQMHPAQIPLWAKQEIYFNSLLKESAIMDEEAPSGGEFEIERIPTCMIEARPKDLVPIFNYMPTPKLQQTRAPSMDSKINEQVLHRGSEVSGNGRISPKRISESLERTTNYGGHKSQIEGTEWDNHVMPVETNGFVNNNDTLKPNTQHEIVNNRREHLNMGAHLMRVNSGNSSPENEEHLEETEDEFD >CAK8569143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675534730:675539708:1 gene:gene-LATHSAT_LOCUS22027 transcript:rna-LATHSAT_LOCUS22027 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNLYGCSHLFMTVFLHNLSMFMVVPAITDVTMAALCPGQDECSLAIYLTGFQQAMIGVGTLVMMPILGSLSDKYGRKAVLKLPMILMIIPIGILAYSRTKTFFYIYYVFKIIINMICDGCIPCLALAYVADNVPESGRSSAFGILSGIGSSAFVCGTLAARFLSAAQSFQVSTFVAVLGAVYMQVFLRDSVIVQNDLYAPIISHGKSKPNVPLLKALRSLQDLTSFLNSNKTITQAAIVAFFSNLADVGLHGSMMYYLKAQFHFDKNHFADLMIISGVAGTVSQLFLMPIFSPYLGEARLLSIGLFFHCVHMFIYSIAWSAWVPYAAAMFSILFVFSQPCIRSIVSKQVDPHEQGRAQGCISGICSIAHIVSPLAFSPLTALFLSEKAPFDFPGFSIMCIGIASMISFVQSMMLRVVPPILS >CAK8542844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552892001:552893273:-1 gene:gene-LATHSAT_LOCUS11648 transcript:rna-LATHSAT_LOCUS11648 gene_biotype:protein_coding transcript_biotype:protein_coding METEERNQRACKATEPEFFLQWGSRKRLRCVRVKDPRISTRLNGGVRRKLNSGADNNRSGVSLSEKETSHIHHQQPNRLTRNSDSAALRPSAGDSRKSASPEKEDRYYTTRGSAEENGKVTGDVNNGEERALVWPKLYIALSSKEKEEDFLAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSVESDSE >CAK8565453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:217639603:217643460:1 gene:gene-LATHSAT_LOCUS18670 transcript:rna-LATHSAT_LOCUS18670 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSVSSTFATASTTASTRILCAIPYHHSSSSPTSIHHFSSHLLPTTSVSSSSQRFSCIHMKAMAETDAISPPKTGSKQALISLSDKKDLALVGNGLQELGFTIVSTGGTASALESAGVAVTKVEQLTHFPEMLDGRVKTLHPNIHGGILARRDQKHHIDALSTHGIGTFDIVVVNLYPFYDKVTSTGGIEFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDTEDYPALLEFLKGNQDDGFRLKLAWKAFQHIASYDSAVSEWLWKQSVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKRLAEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKEIREFRSPTDGETRMFYEIVVAPSYTDKGLEILRGKSKTLRILGAKKNEAGKLSLRQVGGGWLAQDSDDLTPRDIKFNAVSEKTPQEGELRDAEFAWLCVKHVKSNAIVIVKDNCMLGMGSGQPNRVESLRIAMRKAGADVKGAALASDAFFPFAWNDAVEEACESGIGVIAEPGGSIRDKDAIDCCNKYGVSLLFTNVRHFRH >CAK8578532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635604250:635618599:-1 gene:gene-LATHSAT_LOCUS30535 transcript:rna-LATHSAT_LOCUS30535-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLTKRCFCTVAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAESQGIAMNTVHCKAIFGKGFVDEVPVFLAKPQTYMNLSGESAGPLAAYYKLPLNQVLVFHDDMNLPCGVLRLHDKGGHGSHKGLKSVIYHFRDNREFPRLRIGIGKPPGQMDPKAFLLQKFNVAARQRIDEALHEGVDALKLLLSKGLEVSAKRFNKDQKYKHLRVQTLPVW >CAK8578533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635604250:635618599:-1 gene:gene-LATHSAT_LOCUS30535 transcript:rna-LATHSAT_LOCUS30535 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLTKRCFCTVAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAESQGIAMNTVHCKAIFGKGFVDEVPVFLAKPQTYMNLSGESAGPLAAYYKLPLNQFHDDMNLPCGVLRLHDKGGHGSHKGLKSVIYHFRDNREFPRLRIGIGKPPGQMDPKAFLLQKFNVAARQRIDEALHEGVDALKLLLSKGLEVSAKRFNKDQKYKHLRVQTLPVW >CAK8577655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581472440:581474492:1 gene:gene-LATHSAT_LOCUS29742 transcript:rna-LATHSAT_LOCUS29742 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIATVHVLSTQRTETLLKHVMESEVKIAMKESYGFWEKMKNEGGSERAITEMKKWFGNIALNVMFRTVVGKRFDGDEEENQRIRKALRDLFDLTGSFVICDTLPYLRWLDLDGKEKEMKKTAKLLDEFVCVWLDQHKRNRRPAGGEHDFMDVLLSTVDDQDLDGRDSDTTIKATCLALILAGTDTTAGTLTWTLSLLLNNRQVLNKATQELDAQIGMENMAIKSDFTKCEYIQAIIKETLRLYPPAPLNVPHESIEDCTVGGYHVPAGTRLLTNFSKLQRDPMLYSDPLEFRPERFLTTHKNVDVKGQHFELIPFGSGRRMCPGISFGLQLMQITLATLLHGFDIVTPDEGPVDMAEHNGLTTIKASPLQVILTPRLSAQAFS >CAK8536548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953859340:953860937:-1 gene:gene-LATHSAT_LOCUS5907 transcript:rna-LATHSAT_LOCUS5907 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLKTKPFTKLIPVSSSFCAICLFMFISMLFFTLSKIAIPNICRSSTQAAESDPTDANHLVFGIASTGNSWPKRKQYVKLWWNKKIKGCVFVDNLPPEENDSDDFVPPLCVSEDTSKFRYTYKRGLRSAIRVARVVKEIVGLNNHSNVRWYVFGDDDTIFFPENLAKTLSKYDHRLWYYVGAYSENYEGSHTFGFGMAFGGGGFAISASLANVLSGVFDSCIERYSHLYGSDARVFSCIAELGVGLTYEPGFHQVDLSGNIFGLLAAHPLSLVLSLHHPDLVEPIFPQMTASESLKHLFEAANVDSLRILQQTVCYDKQSSRTISISWGYAVQIFQNNELLPDILRVRETFKPWRKKLPYAGIYTFSTTKIHPDPCERPAIFYLNNVSSDKDGIISNYTKSFQHCPNDTISLKNLEVVKVVANKLDLNTKQSLRRQCCDVLQSNSGQVMEIAIRECKYEEMIYMH >CAK8536549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953859340:953860937:-1 gene:gene-LATHSAT_LOCUS5907 transcript:rna-LATHSAT_LOCUS5907-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLKTKPFTKLIPVSSSFCAICLFMFISMLFFTLSKIAIPNICRSSTQAAESDPTDANHLVFGIASTGNSWPKRKQYVKLWWNKKIKGCVFVDNLPPEENDSDDFVPPLCVSEDTSKFRYTYKRGLRSAIRVARVVKEIVGLNNHSNVRWYVFGDDDTIFFPENLAKTLSKYDHRLWYYVGAYSENYEGSHTFGFGMAFGGGGFAISASLANVLSGVFDSCIERYSHLYGSDARVFSCIAELGVGLTYEPGFHQVDLSGNIFGLLAAHPLSLVLSLHHPDLVEPIFPQMTASESLKHLFEAANVDSLRILQQTVCYDKQSSRTISISWGYAVQIFQNNELLPDILRVRETFKPWRKKLPYAGIYTFSTTKIHPDPCERPAIFYLNNVSSDKDGIISNYTKSFQHCPNDTISLKNLEVVKVVANKLDLNTKQLQSLRRQCCDVLQSNSGQVMEIAIRECKYEEMIYMH >CAK8571244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:352433696:352438087:1 gene:gene-LATHSAT_LOCUS23922 transcript:rna-LATHSAT_LOCUS23922 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFKTTLTHTNPNRLSFTLRLFHSTPPLERKRNRFSESRCNHYSRRFRRMQAKQSLLRNVNAYAEFMFQNWKEDIGEDDPSSSRDTSWFKKQYSPKNSGRHNNDNQGRYRYRRYREFCEDDIDVENIFRSAFGGNRVFYWSFINEENPHWGRSGDFSNYGKSWKWKRQSDNGYGSSTGSESESESDSLRSNLVSDRLALGLRASGPLKLEDVKIAYRACALKWHPDRHQGSSKVIAEEKFKHCSAAYQSLCDKLAIN >CAK8540178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543167962:543170319:1 gene:gene-LATHSAT_LOCUS9208 transcript:rna-LATHSAT_LOCUS9208 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFFKKKLPLKRKLPSNELQFSSHEQEQQIEVNLDELPLDPGERIKMSAYLPKDRDKIRKVYLQRGPFQPKKHTFPQRKIGSGLRRFCPSWYIEYGNWLEYSIEKDAAFCFCCYLFKSDFGKNVGGDSFVTEGFSNWKKKERLASHAGGPNSAHNIAWKKCLDFMKQNQVASPNKQSAQVCDLHPSRLATTIDCIRYLLKQGLALCDFESTDSADQGKFLELLKVLFECEESRSLVLGDTSENYQLITPTIQKDILSVAALETTNAIVSDLGDELFGILVDEACDISNKWQMVVALRYVDKRGSVVEHFLGIVNVKDITALSFKMQIDELFCKHGLSISRIRGYGYDSASNLQEEFSGLKSLILEENPYAFYVHSFAHHLELTLVAIAKNHLQVCSFFKSVSTLMNVVGGSCKQHDMLRERQIGDVRKTLEIGEIPSGQGLYQETILKRTMDTRLSSHHATLVNLITMYSAITDVLEIMKEDGLNVDQRAEANGLLLLFEEFDFAFTLHLMKNVLAISNELSQALQRKDQNIDDVMNLVNITKQNLQAMRSKGWESLLQEVILFCNMHAINVPHMEDIFCSKGKSRREGKAQVITIEHHYRVELFYTVVGMQLHELNDCFTETNTRLLLSMACLSPTNKFSAFDKTKVMEFASFYPHEFSPTDLTMLDNELETYIIDVRRDADFASLKEINDLSEKLVKTRKHIVYPLVYLLLKLALILPVATPTTERAYSSMKFVKNMLHNRIADEWTNDCIVTYIEKDVFNTIDNELIIQRCQNMKSRNEQL >CAK8540548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10567382:10570280:-1 gene:gene-LATHSAT_LOCUS9545 transcript:rna-LATHSAT_LOCUS9545 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWWKTAAFVAIAALLLRSYGGDLIGVGWDRESLMKWMSDLSDKLGIWAIPLYISVHTISIALCLPSAIFLETGASLLFGFLPSVLCVFSAKILAASLSFSIGRLIFRNSSSAMDWAQRNKYFKILSNGVARDGWKFVLLARFSPVPSYIINYTLAATEVRFFLDFLLPTVVGCLPMILQNTSIGSLAGAAVATASGSKKSQFWSYFFPIVGILSSVLISLRIKKYSSQISVPEISSDEDNTVKSK >CAK8531192.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87896879:87897175:-1 gene:gene-LATHSAT_LOCUS999 transcript:rna-LATHSAT_LOCUS999 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSNFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDEDVKAIFLCHMMFG >CAK8569864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13980300:13986145:1 gene:gene-LATHSAT_LOCUS22671 transcript:rna-LATHSAT_LOCUS22671-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQFGVLFLLVLFICFNVNSVDSDDGSTLLDIKKSFRDVDNVLYDWTDSSTSDYCAWRGISCDNVTFNVVALNLSGLNLDGEISPSIGNLKSLVSIDLKENRLSGQIPDEIGDCSLLQDLDLSFNEIRGDIPYSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKNLDLAQNNLSGEIPRLIYWNEVLQYLGLRGNNLVGSLSPDMCQLTALWYFDVRNNSLTGSIPENIGNCTSFQVLDLSYNQLTGEVPFNIGFLQIATLSLQGNKLSGHIPSVLGLMQALAVLDLSCNMLTGSIPPILGNLTYTEKLYLHGNKLTGFIPPELGNMSKLHYLELNDNNLSGHIPPELGKLTDLFDLNVANNNLEGPIPGNISSCKNLNSLNVHGNKLNGTIPSTFQSLESMTSLNLSSNNLQGSIPIELSRIGNLDTLDISNNELIGPIPPSLGDLEHLLKLNLSRNGLTGPIPAEFGNLKSVMDIDLSHNQLSDFIPVELSQLQNIGSLRLEYNDLTGDVASLVNCLSLSLLNVSYNNLVGLIPTNNNFTRFSPDSFIGNSGLCGNWLNYPCQGSHPSDRVTLSKAAILGITLGALVILLMILLAACRPHHPAPYPDGSLEKPVTFSPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGSGASSTVYKCVLKNCKPVAIKRLYSHYPQYLKEFETELGTVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGQSKKKKLDWHLRLKIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKTASNAVMETVDPDITATCKDLGAVKKVFQLALLCSKRQPADRPTMHEVSRVLGSLVPSVTPPKQLNLPQVASNPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >CAK8569865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13980300:13986145:1 gene:gene-LATHSAT_LOCUS22671 transcript:rna-LATHSAT_LOCUS22671 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQFGVLFLLVLFICFNVNSVDSDDGSTLLDIKKSFRDVDNVLYDWTDSSTSDYCAWRGISCDNVTFNVVALNLSGLNLDGEISPSIGNLKSLVSIDLKENRLSGQIPDEIGDCSLLQDLDLSFNEIRGDIPYSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKNLDLAQNNLSGEIPRLIYWNEVLQYLGLRGNNLVGSLSPDMCQLTALWYFDVRNNSLTGSIPENIGNCTSFQVLDLSYNQLTGEVPFNIGFLQIATLSLQGNKLSGHIPSVLGLMQALAVLDLSCNMLTGSIPPILGNLTYTEKLYLHGNKLTGFIPPELGNMSKLHYLELNDNNLSGHIPPELGKLTDLFDLNVANNNLEGPIPGNISSCKNLNSLNVHGNKLNGTIPSTFQSLESMTSLNLSSNNLQGSIPIELSRIGNLDTLDISNNELIGPIPPSLGDLEHLLKLNLSRNGLTGPIPAEFGNLKSVMDIDLSHNQLSDFIPVELSQLQNIGSLRLEYNDLTGDVASLVNCLSLSLLNVSYNNLVGLIPTNNNFTRFSPDSFIGNSGLCGNWLNYPCQGSHPSDRVTLSKAAILGITLGALVILLMILLAACRPHHPAPYPDGSLEKPGDKTFTFSPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGSGASSTVYKCVLKNCKPVAIKRLYSHYPQYLKEFETELGTVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGQSKKKKLDWHLRLKIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKTASNAVMETVDPDITATCKDLGAVKKVFQLALLCSKRQPADRPTMHEVSRVLGSLVPSVTPPKQLNLPQVASNPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >CAK8568990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:661803958:661806608:1 gene:gene-LATHSAT_LOCUS21889 transcript:rna-LATHSAT_LOCUS21889 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPCCETKFWLYILLIIGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQHRIHAAKIFPVVKNQHLLLCTLLIGNSLAMETLPIFLDAIVPPYAAVLISVTLILIFGEILPQAICTRYGLMVGATLAPLVRVLLVVFYPIAYPISKVLDWMLGKGKAALLKRAELKTFVNFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPISKAFSLDLDATLNLETLNSIMTMGHSRVPVYAGERTNIMGLVLVKNLFMVDSKASVPLRKMMIRKIPRVSENMPLYDILNEFQKGHSHIAVVYRDLNDKKGTYKKIKDSEQLEFKDSCKNKGKSAPLDKGAMLEPRDTLTADSPQVKKSPPATPAFKKRHRGCSYCILDLDNAPLPVFPPSEVVVGVISMEDVIEELLQEEILDETDEYVNIHNKIKVNMNASKDKAPDANLLQPSNIAVQGHTPTNSTSTATSPSGSPTTIDQISESESLRNQ >CAK8539712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522592893:522594911:1 gene:gene-LATHSAT_LOCUS8785 transcript:rna-LATHSAT_LOCUS8785 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFDKNLSSSSSQDDISLFLHQILLRSSSSSSSSSHLMPGSILSNPLQHANISPLHPTTRPNPSSSVGGGLSGNDTDEYDCESEEGVEVLTEEAPTKSVPSRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSLKNGLSLHPMCYPEGLQPLSLSRLSMELSDGNRSTPLNMTSTLPHPQDNNPLLYASNLPNKNTLTSQPSMSSYPSYVNNAETSFAVESRIPSHKRPLQQTSEAVHGEDMLPNQTQQSNAIYSATNLLGGSQGVEEFESGMMVAPSTNNSLQTCIAGRDQSGAIMRSSGPNAIFTSQLSS >CAK8536787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20615415:20616823:-1 gene:gene-LATHSAT_LOCUS6120 transcript:rna-LATHSAT_LOCUS6120 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRLKHRPKSISEVHFKKLLVYWKDTHIQDIIQKNAVNRSKQKFILRVGPTNFARICAKMRENKDVQEVTQAEMFIETRKSRKGKQVDEETQFVIDKLQESIKTSTETGTQTFQSLLGKEKPGRVRCYGRTVTPSLLEKK >CAK8532890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:544164216:544164696:-1 gene:gene-LATHSAT_LOCUS2552 transcript:rna-LATHSAT_LOCUS2552 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVSIENRERALNPQHPYRKSRLGCARLEADMVEESGEDEINHSQVWKAARVNKNGVIDNENVQRVVDKCEKLTEAITEEERQDLGPTDILF >CAK8535705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875762444:875762739:-1 gene:gene-LATHSAT_LOCUS5137 transcript:rna-LATHSAT_LOCUS5137 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLAAVFVCVCIAYCAAAVEFAWTGARNDLLLNLHRQYLLYSLGMNYVRFSSWSCGLSVGKVGSKSYS >CAK8559940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2056216:2059852:-1 gene:gene-LATHSAT_LOCUS13672 transcript:rna-LATHSAT_LOCUS13672 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLTKFCFLWCLWIFLCGSCCMGRFVVEKNNLKITSPKSLRGVYECAIGNFGVPKYGGTMIGTVVYPKFNQNGCHKFNDADTSLSSKPGSFPSFVLIDRGDCYFTLKAWNAQMGGAAAILVADDRVEPLITMDTPEEGNGANVDDYIEKITIPSALISKSLGDNIKKALSSGGMVNVNLDWREALPHPDERVEYELWTSSNDECGPKCESEINFMKSFKGAAQLLEKKGYTRFTPHYITWYCPEAFILSQQCKAQCINNGRYCAPDPEQDFSRGYDGKDVVVQNLRQACFFKVANDSGKPWQWWDYVTDFAIRCPMRAYRYTEECSDQVIQSLGVDLKKIKDCIGNRNADVENPILKAEQDAQIGQGTRGDVTILPTLIINNRQYRGMLSKAAVLKAICASFQETTEPSICLTPDMETNECLVNNGGCWQDKAANITACRDTFRGRICECPIVQNVKFVGDGYTHCEASGPLRCAINNGGCWQGSQSGRAYSACIDDRTKGCKCPPGFRGDGVHSCEDVDECKMKLACQCPECSCKNTWGSYECSCSNGLFYMRESDMCIGKYSASVSNGGFIWMLILILGIGGAGGYAFYKYRIQRYMDYEIRAIMAQYMPLDNQPENSNQVQHAV >CAK8540001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535157908:535160009:-1 gene:gene-LATHSAT_LOCUS9044 transcript:rna-LATHSAT_LOCUS9044 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMSTMVALNFTSDVEKNMAHDHAQVVSITLFVAVLCLRLLIGHLLEESRWVNESIVVIFVRCIAGLVILLITKGKSSRILTFNEEVFFIYLLPPIIFNAGFQVTKKQFFHNFLTIMMFGVVGVFILTSIIIGGSWWLFPKLGFSYVTARDYLALGVIFSSTDTVCTLQVLNQEATPLLYSLVFGEGVVNDVTSVVLFNAVQQLDVSRLNGKALRVIGDFLYLFAASTTLGVIAGLVTAYILKALSFGKHSSVREISMMMLMAYLSYMVAEVLDAHYFVFC >CAK8536015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:898498210:898498662:1 gene:gene-LATHSAT_LOCUS5419 transcript:rna-LATHSAT_LOCUS5419 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSSIQFHAPIIHHRLSFTTTHSLYVHSHNHNHNHTFHAPTPSFLRPPLLNPSPPSIFTVAAKSPTPDKASEQKWVHEGLITESLPNGMFRVLLDNQDLILGYISGKIRKNYVRILPGDRVRVEVSRYDSSKGRIIYRIRNTPGGPSS >CAK8530814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52211533:52217653:-1 gene:gene-LATHSAT_LOCUS654 transcript:rna-LATHSAT_LOCUS654 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTEVHDNSSPLSSTPTQNHEIRETKTNQKLPFYKLFNFADRLDVTLMIIGTIAAVANGLSQPLMTLIFGKLINAFGGFDPSAIVKQVSKVSFLFVYLAAWAGIASFLHQVSCWMVTGERQATRIHSLYLKMILKQDIAFFDTETNTGEVIGRMSGNTILIQDAMGEKVWFLFLIYHVFSGNEVSLLTNENIYLYVVEVSCWMVTGERQATRIHSSYLKMILKQDIAFLDTETNTGEVIGRMYGDTILIQDAMGEKVWFLFLIYHLFREMR >CAK8567206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494858501:494858869:-1 gene:gene-LATHSAT_LOCUS20277 transcript:rna-LATHSAT_LOCUS20277 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPILHLILIPLIIYQTTSFASAVDPTQGFTQLPLDNSNFQIQKPYDVSVNQRYTFTNGVHNVIHDVGANNVKIYIDGDLKYNGDGRGAGTHYFKIGVYVQNDPSSYMESRWRDIKVFKK >CAK8572305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527763145:527789189:-1 gene:gene-LATHSAT_LOCUS24883 transcript:rna-LATHSAT_LOCUS24883 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEDEVIDVESASDGSVNDDSENEGSLPSEVDDELHLEEPLTEAEIEDLISELLEVESKAAEAQETLEEESLAKVESEVRQELKQTLQGDDLETAVADEMATFKDEWEAVLDDLETESSHLLEQLDGAGIELPSLYKLIERDAPNVCRTEAWKKRNHWVGSQATSEIATSVSDAEKYLQINRPVRRRHGKLLEEGASGFLQKKISPETQEPGKKETEGDWDAFNKIISDGSGIDASFGSKTWASVYLASTPQQAALMGLEFPGVHEVEEIDDVDGNSMDPFVAAAVANERELELSEEQTRHFKKVNEEDDAIVDRKLQIRLKHRRHQKKSKLRETSTPIELMESHVQKPSSVNQFNPDMKEGSRVEGEVVYDNNKVEHLNMEADKIKGFDASFHLDNETRVGEDNLSDPPKSSLGDVIEQRGTKRPNDGELDADNKKYRTDIIISDDDIPVSSSGSSDSDSSDDSDDSDSDSDSEIAPVTISTKRRRKKKIRRILDDTELGEETKKKIAIEKERQERLESLRVQFSASSFDKSSAGCNGSSSEGASVEILGDALAGYIVNVVREKGEEAVRIPPSISAKLKTHQIAGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLRTALIVTPVNVLHNWRMEFIKWAPSELKRLKVFMLEDVSRDRRAQLLAKWRAKGGVFLIGYTAFRNLSFGKNAKDRETAREICHALQDGPDILVCDEAHIIKNTKAEVTHALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTLTDVKIMNQRSHILYEELKGFVQRMDMNVVKKDLPPKTVFVLTVKLSPLQRKLYKRFLDVHGFTDVRENHEKLRKRSFFAGYQALARIWNHPGILQLTKEDKDCVRPEDAVENFLVDDISSDENSDSNVLAGEKLKQTNDLLQKKDGDGFFIKGWWKDILHGKIYKELDQSGKMVLLIDILTMSSDVGDKVLVFSQSIPTLDLIELYLSRLPRRGKRGKLWKKGKDWYRLDGRTESSERQKLVERFNEPLNKRVKCTLISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTMSKEEMLHLFEFGDDENPETLAELSKYDGLTCEQHNPILAGDSLKHTVPHSNGNGYSDKLMESLLGKHHPRWIANYHLHESLLQENEEEKLSKEEQDMAWEVYRKSLEWEEVQRVPLGESVPDQKEEVPKEEEHNEPEDFLISTKLRNRFTTRQCTNLAHLLTLRSQGIRFGSSTVCGECAKEIRWDNLKKK >CAK8572421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535467694:535473610:1 gene:gene-LATHSAT_LOCUS24992 transcript:rna-LATHSAT_LOCUS24992 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHSWSAPRSLSTTLMYSFSQRDDIEVLDEPLYANFLKISGLNRPYKEQLLAETESDGNKVVNDIIYGPGNKKYRFCKHMSKQKVLGLPEDLMKKGKHFILIRNPLDILPSFDKVVSPSFFELGLLELVHIYNELSESGKPPPVIDAEELQKDPEATLRGLCDDLEIPFQPSMLSWEAGPKPIDGLWAPWWYNTVHKSTGFKEQKKYPEPFPFSLYDILEQSLPLYNMLRRHVKKKSSLLSSPLPPPDLPVPANEKLLVWVGDEIVTRENAKVSVFDSITQAGDSVWEGLRVYNKKIFKLEEHLDRMFDSAKALAFENVPTRNEIKEAVFKTLIRNGMFDDAHIRLSLTRGKKVTSGMSPSLNLYGCTLIVLAEWKPPVYDNERGIVLVTATTRRNSPNNLDSKIHHNNILNNILAKVESNNAKADDAIMLDKDGFVSETNATNMFIVKKGRVLTPHADYCLPGITRATVMDLVVKEQFILEERRISLSEVHSADEVWTTGTMGELSPVVKVDGRTIGDGKVGPITKKLQASFKKLTEESGVPIQNYLET >CAK8541566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:252015625:252017209:-1 gene:gene-LATHSAT_LOCUS10479 transcript:rna-LATHSAT_LOCUS10479 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSRVNVQSSRQSSKQLLFDRRYGWVIDEWKHPAEEALDGGRGMFCILPLAKSLVQMTSQSINLGVTSAIKAAENPQTFSLQSALDQGVRNFVTSLKINKCKAFILNKNPSHFHGESKE >CAK8562679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524816849:524820796:1 gene:gene-LATHSAT_LOCUS16156 transcript:rna-LATHSAT_LOCUS16156-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVSSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARTEPNDYEDQRVSRLKIVSVNNNKEVKLVKREQNHDNGYSASRWLTPEYNQGFQKAVDEDTSNRSSSGSAISNSESCVQFGGTDASDLTGASQSVVWDTAVPSKKRTCAGRPKHSSVEKLTKELCNILHEQQQQSCFSASSEEELLYENEAPMVSVEIGHGSMLIRHPSSIARDEESEASSLSVDNKQCLMNEAYSCYGPIPMLSDYTGMNFSSRGVAKVGNSAGQRMKQEKLERKKSLLEKLEVLGNHDSPLGLINSNDVVNYDEFSRNLTDEEQQQLLKYLPVVDAAKLSDREGVFDDSLLGANPEDCKTLKRLALSNLSKSKWVEHYNFLKSGGNKAGKCDDMESPAVASSNVANVKRLRVGQNQNFPELKATMRSPKRVIIKASSGGKEIVDSSTISGGNEIVDGSNIFVNESSDNDLLLDFPSNNSFPQAELLLPTSSLVAQGSTSSSSVHSRVTRP >CAK8562680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:524816849:524820796:1 gene:gene-LATHSAT_LOCUS16156 transcript:rna-LATHSAT_LOCUS16156 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVSSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARTEPNDYEDQRVSRLKIVSVNNNKEVKLVKREQNHDNGYSASRWLTPEYNQGFQKAVDEDTSNRSSSGSAISNSESCVQFGGTDASDLTGASQSVVWDTAVPSKKRTCAGRPKHSSVEKLTKELCNILHEQQQQSCFSASSEEELLYENEAPMVSVEIGHGSMLIRHPSSIARDEESEASSLSVDNKQCLMNEAYSCYGPIPMLSDYTGMNFSSRGVAKVGNSAGQRMKQEKLERKKSLLEKLEVLGNHDSPLGLINSNDVVNYDEFSRNLTDEEQQQLLKYLPVVDAAKLSDSLSIMFDSFEFKKGLTYFQKFLREGVFDDSLLGANPEDCKTLKRLALSNLSKSKWVEHYNFLKSGGNKAGKCDDMESPAVASSNVANVKRLRVGQNQNFPELKATMRSPKRVIIKASSGGKEIVDSSTISGGNEIVDGSNIFVNESSDNDLLLDFPSNNSFPQAELLLPTSSLVAQGSTSSSSVHSRVTRP >CAK8576132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:412322326:412325600:1 gene:gene-LATHSAT_LOCUS28345 transcript:rna-LATHSAT_LOCUS28345 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNDANSADSAQNIDSPMISENFHQTSWNQMVESETSNSSIVNADGSSNNAGDEDSCSTRVNDVFTISFDILKMEGSNDVVTKEFFPVSGGDEGLSNNWKMQTTSSFPIRNGSFDLSFNQKEEMKFVQPPQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVGADINFSLNDYDDDLKQTKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIQSNGREAVTNFELSTYEGGMKSAAINDGSSPNLDLNLGIAKPGTGPKENWGKFHFPSVSYTSSRMETNISGIGNSSLKRLLVTEERHSIRNDMYPGFFPNEERADGINKDPLKGLPIWAWQTNGQVTATPVARVSAAASSGFSISAPFPSIPLFPPKSMNSIPQSLYFTSPNAPNSNTSQ >CAK8576131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:412322326:412325600:1 gene:gene-LATHSAT_LOCUS28345 transcript:rna-LATHSAT_LOCUS28345-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNDANSADSAQNIDSPMISENFHQTSWNQMVESETSNSSIVNADGSSNNAGDEDSCSTRVNDVFTISFDILKMEGSNDVVTKEFFPVSGGDEGLSNNWKMQTTSSFPIRNGSFDLSFNQKEEMKFVQPPQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVGADINFSLNDYDDDLKQTKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIQSNGREAVTNFELSTYEGGMKSAAINDGSSPNLDLNLGIAKPGTGPKENWGKFHFPSVSYTSSRMETNISGIGNSSLKRLLVTEERHSIRNDMYPGFFPNEERADGINKDPLKGLPIWAWQTNGQVTATPVARVSAAASSGFSISAPFPSIPLFPPKSMNSIPQSLYFTSPNAPNSNTSQ >CAK8570956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:191620082:191621689:1 gene:gene-LATHSAT_LOCUS23660 transcript:rna-LATHSAT_LOCUS23660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHNFRERKRREEQEIVTYGLSVFGHWNNSSKNKCNSIGNLHINFYSQDSLVQVVFVCCVNILVWFIHG >CAK8570955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:191620082:191621834:1 gene:gene-LATHSAT_LOCUS23660 transcript:rna-LATHSAT_LOCUS23660 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHNFRERKRREEQEIVTYGLSVFGHWNNSSKNKCNSIGNLHINFYSQDRLFLFVVSISWFGSYMDKEEVLELGGGDHEGFGRQRPSYVHEVEALMRWGNYDPERLPYLSCLRY >CAK8570756.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:108720833:108726005:1 gene:gene-LATHSAT_LOCUS23484 transcript:rna-LATHSAT_LOCUS23484 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQTEPKKIIIDTDPGIDDAMAIFLALRSPEVQVIGLTTIYGNVYTTLATRNALHLLEIAGRTDIPVAEGSHVTLTKGTKLRIADFVHGADGLGNQNFPPPNGKPIEESAAEFLVNQAKANPGKVTVVALGPLTNIALAIQMDPEFAKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADVVFTSGADVLAVGINVTHQVVLSGSDREKLASSKGIFAQYLTGILEVYFVYHCDAYNTNGVYLHDPTALLAAVDPSLVTCTEGAVRVQTSGITRGLTILYNKQKRFEEVTEWSNMPTVKVAVTVDAPRIVKLVMDRLVA >CAK8537985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:453921601:453930929:-1 gene:gene-LATHSAT_LOCUS7229 transcript:rna-LATHSAT_LOCUS7229 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEGLWGLSDYHENRGEIAKSIKCLEAICQSEVSFSPIVEVKTRLRIASILLHHSHNANHAKSHLERAQLLLKSIPRCFELKCRAYSLLSQCYQLLGAIQPQKQVLFKGLELAASAGHEISTKLWSCNFNSQLANALSIEGDYQGSFSALECGYDCATDVRNPELQMFFATSILHVHLIKWDDSNLVEQAVNKCNEIWESIEPDKRQQCPGLLFYNELLHIFYRTRLCDYKNAAPHVDNLDAAVKAERKQTQHMQELVKELSALDQSLSRSDLHYRERAALSEKQTMIQEQLRNTNGFSSTGRESLEPVYFGNGRRTLGDKLQLAPPPIDGEWLPKSAVYALVDLIVVIFGRPKGLFKECGKRIQSGMRIIQGELVKLGITDRVREADLQQSSIYMAGVYLMLLIQFLENKVAIELTRTEFAEAQEALVQMKKWFMRFPTILQPCECNIEMLRGQYAHSVGCYNEATFHYLEAVKLTDSKSMKTMCQVYAAVSYICIGDAESTSQALDLIRPVYGIMDSFVGVREKTGILFAYGLLLMKQRDLQEARNRLANGLQLAHNFLGNHQLLSQYLTTLGSLALVLHDTVQAREILRSSLTLAKKLSDAPAQVWVLTVLTALYKELGEKGSQMENDEYKTKISKDLQKKLANAQSSIYHIELIDKARFEVQQSHEFNIKRKMASQTAGVNLDIPESIGLPAPSPFQPSRLIDIDNSSSRRRGKRRS >CAK8539573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:516746287:516748477:1 gene:gene-LATHSAT_LOCUS8656 transcript:rna-LATHSAT_LOCUS8656 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPNLLETLGNLERDSFLSLLSNLIGESIHVQNNPPELIPREDRVVNHVLNSLLPFSTTTGGGPLILNHVTYFPGRGNLIVEYPGTVPGKILSFVGCHMDVVTANPKDWDFDPFTLSIDGDKLRGRGTTDCLGHVALVTELMRKLGETKPNLKSTVVAVFIANEESGVVTGVGIDALVQHGLLNKLKQGPMFWIDSSDKQPCIGTAGMVVWKLHVTGKPFHSGLTDKAINPLELAMDAIKEIQLQFYRDFPPHPQEQVYGFPIPSTMKPTQWSYPGGGINQIPGECTISGDFRLTPFYDVKDAMKKLQEYVDDINDNIQKLESRGPVSKYVLPDENLRGRLTITFDEVLPGVACDLNSRGFHVLCKATEEVVGHVKPFSVTGSLPLIRELQDEGFDVQSCGYGLAETYHAKNEYCLFTDMSQGYQVLGRIITQLED >CAK8577274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551110317:551110526:-1 gene:gene-LATHSAT_LOCUS29395 transcript:rna-LATHSAT_LOCUS29395 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSNYVHSTAYMQWFLSIPFMHASQGQILEDPRQHASSSSQQRSSSSMPQEIPQMNPSQFQSQTSSFP >CAK8530911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62489221:62489676:-1 gene:gene-LATHSAT_LOCUS740 transcript:rna-LATHSAT_LOCUS740 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLPFKCFIFFSFLLMISQTPSSSSSLSSSSSSQYSSNNNHEIQPQNPTSTIPQISHYHQVFYLKNTNPNADPPRRQERIKRRRMNRNKNKKHRKHRKKIVKNMMKSPPRAFSVMLPKGFVPPSGSSPCHNDQPNSMSFHCHLSSSEP >CAK8575065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26120829:26127131:-1 gene:gene-LATHSAT_LOCUS27356 transcript:rna-LATHSAT_LOCUS27356 gene_biotype:protein_coding transcript_biotype:protein_coding METSENFGNHVVELNSQSYGDGDNNALNLPNMPKRWKKRRDGHGDTNALILPSKKMKKRKGMEQERGKVQSNKKQRLSKAQKRKLKKSEDDKGKQLLMEKAIMTLNENVLPEYAFPLLQSSCNINREETVKEKRRRAVHLLKEGLEIPHDNNLSKKQDFPCINEPESEDGENHLVQESEENDFIQPFRTEREILYTTSTPLESSQEPVHGNEVVNYESDISTDKQPDEIRSSSPISRSITEIKSTKSKDKADENPATNFSELSNLLIQRLLTTPTVVHVSRPSEVEEKRKDLPIVMMEQEIMEAINYNSSVIICGETGCGKTTQVPQFLYEAGYGSSKFHARSGVIGVTQPRRVAVLATARRVAYELGVRLGKEVGFQVRYDKRIGDNCSIKFMTDGILLREVQNDILLRRYSVLILDEAHERSLNTDILIGMLSRVIRTRQKIYNDQQKMILSGESISPEKMVFPLKLVLMSATLRVQDFTSGKLFHTPPPVIEVPTRQFPVTKYFAKKTEITDYIGAAYKKILAIHKRLPSGGILVFVTGQREVEDLCRKLRKASKEFIMKKVKGPAENDGTVVHKTSSIEGLNINEISEAFEVSGSSSVHQTDRFSSYDEDDNNFDANESDSYDSETESELEFNDDDDNNHKDSENNSNIVDILGKEGSLASLKAAFESLSGQASVSTGDGLDPSKVCREKITRENQSSSPGALFVLPLYAMLPAAAQLRVFEEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVKWISKASADQRAGRAGRTAAGHSYRLYSSAAFNNEFPEFSPAEVEKVPVHGVVLLLKSMNIKKVANFPFPTSLKAASLLEAENCLRALEALDCKDELTLLGKAMALYPLSPRHSRMILTLIKNTRHKHVRCSSLLLAYAAAAAAALSLPNPFVMQYERNDSSEGSEMSNKSSMGDNENNIDKKEKTKRKKLKETSKAAREKFRIVSSDALAIAYALQCFEHSQNSVQFCEDNALHFKTMDEMSKLRQQLLKLVFYQSDKGGLEQEYSWTHGTLDDVERAWQVSSGHYPLPLVEERLICQAICAGWADRVAKRIPISSGPADGETTSRVGRYQSCMVDESIFIHRWSSVSTARPEFLVYNELLETKRPNKEGETSAKRAYMHGVTSVDPTWLVENAKSSCIFSPPLTDPRPFYDAQSDQVKCWVIPTFGRFCWELPKHSLPISNVELRVQVFAYALLEGQVCPCLKSVRKYMSAPPESILRREAFGQKRVGNLLSKLESKLIDSSAKLRMVWKENPRELYSEILDWFQQGFHKHFQKLWLQMLDEVLQETQERPHKKSFKSKSKGKSKSRQ >CAK8569200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681861912:681863470:-1 gene:gene-LATHSAT_LOCUS22080 transcript:rna-LATHSAT_LOCUS22080 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVSPSFLLTNSAVSINKMDHTILKIPLRQRRMHLSSTRKPLIVQATYSDGGRDNNASVFVGGFILGGLIVGTLGCVYAPQISNAITGTDRKELMRKLPKFIYDEEKALEKTRKVLSNKIEQLNSAIDEISSQLRSGDSPNKVAPNKVAPNRVTPNRVAVNSDEVEAV >CAK8538397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481320434:481321107:-1 gene:gene-LATHSAT_LOCUS7606 transcript:rna-LATHSAT_LOCUS7606 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRPAIVHILGLLALTVIISSEVTARVFTETSSNTKNDVEKINEANKVSYGFGGYRGFGGVYPVNGGYLNNGGVYPSNGAGYFGNGGYGGGFPINGGGYPGNGGYGGGYPGNVGGNNVNNILGNVVGSIADFIGNIGDLIGGQGGAAVAKQSKDNTRN >CAK8538243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:472890536:472891009:1 gene:gene-LATHSAT_LOCUS7463 transcript:rna-LATHSAT_LOCUS7463 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPRVFGTGRRANVFDPFSLDLWDPFQNFQVARSATGTTNETAAFANAHIDWKETPEAHVFKADLPGVKKEEVKVEIEEDRVLKISGERKIEKEDKNDTWHRVERSQGSFLRRFRLPKNAKVDDVKAAMENGVLTVTVPKEEVKKPDVKPIQITG >CAK8538493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484817065:484817436:1 gene:gene-LATHSAT_LOCUS7683 transcript:rna-LATHSAT_LOCUS7683 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYTFNIMGDYTEVLQAKRGIRPGDPLSPMLFVLIMEYMNKLLVKMQRDPNFNYHAKCESLKITNLTFAETFRNFSKSTGLMMNPNKCKIYFGALNMETRKSLKELLGFQEGYLSNT >CAK8565739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:316828896:316830177:-1 gene:gene-LATHSAT_LOCUS18926 transcript:rna-LATHSAT_LOCUS18926 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQELQLHQIDANETKETFSLEENSFEDQVMRRKKSRYYFKIVIYAVLVLVGQSAATLLGRLYYEKGGKSKWMETVVQLAGFPILLPYYFFISSTKKLTKNKNNNIIDPIPYQPPVFMLAFVYVSIGLLVGLICYLFSFGLLYLPVSTFTLICSSQLGFNALFAYFLNSLKLTPCIINSLVLLTISSSLLVFQSESSNSTNVSKKMYVIGFICTLAASAGYGLVLSLTQLAFKKVVKSESFKAVMDMIIYQSLVATCVPLVGLFASGEWNGIKKEMEEYQMGKASYILDLTFTAITWQVFTIGSVGLIFEISSLFSNAISVLGLPIVPILAVVFFQDKMHGIKAISMVLAVWGFISYMYQQYLDESNGEVEKRDTRHVTKVTSPLEVDD >CAK8540117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540424812:540427834:1 gene:gene-LATHSAT_LOCUS9150 transcript:rna-LATHSAT_LOCUS9150 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHFFEFNQGRMAKKVHAHKRHHVEFETPRNSLDLQVETSQKYGPRGELPHYYQVEEDWSENNRYSNTGSMKKLINEELSARSSTRQNAPSIVARLMGLDMMPVETKSAVPSDKRITENTAKKFSNKRMNGSNSNSSSHIEFGSVYEAIDDNDDGDDGWSRSFGEPRPREHPQEEELQKFKKEFEAYQAARFQECSRFAEIGSVSSRLIFQENLNKEKVAHNTSMQRKMFPSKSRTLSRDFEESLMIKSYNRLGASSSPTRIVILKPGPDSIFGHEENWTNASGTLPGRHSIEDFLEEVKERLKCELQGKNVAKGYAVRGSEIETLRNKKPSDPKLIARQIVKQVKENVNRDSDSNSGCSESTRSYKGEVKLNGPNYPEFISRDTRTYLSEKLTNNVKSERRDDDIYEVNSRSHSFDNQRVRHKKTEAVLHYANEWEISKQQTEIQTGSFRHEQDNDILHHRELLSPRNLVRSLSAPVSRSGTSFGKLLLEDRHILTGAHIRRKLEAVETMSVDVKKQKKERFNHIKERVSNFRYSFALRGRLFGKRNQPMAESRGKEYLPAMRDIRSGPTVLMNCGSERHENYTEVPPSPASVCSSVHEDFWRRTEYLSQISTPDVSSRDDTVMPQVFRDISSGLNELRRQLNQLDSDDVDVEDFTMKYEPSESELMQINDPAESYIRDLLLASGLYFGSWDKSLLRGDTYAKPIGNSVFEEVEESHKNLINENDASFTKDESENSHKILLDLLNEALSVVLGPPSTLSRFRKKLCKSSMLSPPQGKELLKLVWENIRVSLYPSSDISHYSVDTLVAQHLNSIPWSGIINDEMNILGREVECLITNDLVEELTKDLI >CAK8569877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14082470:14083380:-1 gene:gene-LATHSAT_LOCUS22682 transcript:rna-LATHSAT_LOCUS22682 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTSKFVETNFVTTQEMKLQPIEEHVLYYVFHAKNDPNEELFKIETLTCLRNNFQTLCLGRIIDTEIIHIMSLKITWIERNVALPSIWSLPIVRERRISWYNN >CAK8569878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14082470:14083380:-1 gene:gene-LATHSAT_LOCUS22682 transcript:rna-LATHSAT_LOCUS22682-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTSKMKHKLSPIRNQATTSKKLSFTPLSRATTSRRFPFTSLPKERQLKVPKLETKEDKIISKFVETNFVTTQEMKLQPIEEHVLYYVFHAKNDPNEELFKIETLTCLRNNFQTLCLGRIIDTEIIHIMSLKITWIERNVALPSIWSLPIVRERRISWYNN >CAK8573986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652549613:652550797:-1 gene:gene-LATHSAT_LOCUS26379 transcript:rna-LATHSAT_LOCUS26379 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCNADAAYLCLSCDAKVHWANELSGRHPRTLVCNSCKCHVAYVQCLDHKMLVCRDCDQNLHDSSSLHRRRAVKTFIGCPSAKEFATLWGFEFKEIEKCVNQKDQFASASRVSTFDNGSSSRLGQILFNDQERRTILQQIVDLNRFQLNEENDHSTKINGLHVDEKFNQQAQKSQDSAINLLAEDNPIEEVNLKTFSYVFSQLDNLSSSSAMDLPLNGELFWTYKSSIQNNQLWSQNIQDLGICEELACRDDFNMPDVDLTFQNYEELFEGDQDPIRVMFHDKDLSCSLEKDLSVNESDIDNPSVMEI >CAK8574235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671419625:671419975:1 gene:gene-LATHSAT_LOCUS26595 transcript:rna-LATHSAT_LOCUS26595 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYTGLIEQLQHFFKFKKSAIATSASTGAAVSSVTEGEDESGNLEGWELVMKERLSNIKELLSFPKEMLSWLDDINSASDLQEAFDIVGVLPEVLSGGFTRCEDFVQAAINAGKS >CAK8534495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:735746259:735749366:1 gene:gene-LATHSAT_LOCUS4038 transcript:rna-LATHSAT_LOCUS4038 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNYQSFSFALVSLLSAYFILLCSSVHSCMQHEREALVELKESLNDPSFRLSSWEANNCCRWKGISCSNITGHVVKIDLRNPCYPPRGQAYSSNCSFSNSKLEAQYIHPSLSNFKYLTYLDLSGNSFNSSPIPTWFHSMNHLQHLSLSASHFNGIIPNNLANLTKLTFLDLSYNSWLHSDDIYWLSKLSMLQNLYLNDVFLGRAQNLFMFLKMIPSLLEIDFTNCSLTKIYHASDHQQLVSYTNLSTIQFLSLAENRLDGLDLYAFTNSTSIMFLDLSDNNLSSVPFWLGNCAKLETLYLGNNALGSIPPALQNLTSLTLLDIPQNNIESVPTWIAGLEGLLYLNLSLNHIEDSLTSFLGNMCHLLSLDLSANRLQGDTLVGNLQSARCNGYALKELDLNNNHFNDHLPTWLGQLENLVTLKLQSSFFHGPIPNIWGNLSNLKSLNFANNHLNGSIPNSLGKLRSLSHLDMSNNNLFGDLPCSLTELGNLEYLILNNNNLTGYLPNCIGQFVNLNTLIISSNHFYGVIPKSIEQIRTLYFLEMSDNSLSGTIPQNIGQLSNLHTLYLSENNLIGKFPHSFGRLMNLRNLDLSLNNLEDVFSEIKFPKFLAYMNLTDNHITGSLPQNIAERLPNLTHLLLGGNLMYDSIPNSLCKINSLYNLDLSNNNLVGNIPNCWSSTQTLNEIDLSSNKLSGVIPSAFGHLSNLTWLHLNNNSLHGEFPSFLKNLKQLLILDIGNNQMLGTIPSWIGDISSSIQILRLTQNKFQGYIPSQLCKLSALQILDLSNNMLTGSIPQCIGNLTAMIQGRNSSVLLSPEDPKYLEWYEQDVSQIIKGREDHYTKNLKLMANVDFSNNNLSGPIPIGIFLLTALRGLNLSHNHLSGEIPVIIGDMRSLESLDLSHDQLSGPIPYTMSSLTFLSHLNLSYNNLSGPIPQTNQFPTFNDYPSIYVGNKFLCGAPLLNPCDVDNKDDDDDDDGDGKHDKAETLWFYFVVALGFGSGFWAVIGVLLLKKDWRHAYFSCIDEAVFRMKVTLGI >CAK8543420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607000254:607001792:1 gene:gene-LATHSAT_LOCUS12177 transcript:rna-LATHSAT_LOCUS12177 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLHSSNRSLCTVPYPLLSPVPTNNTNLPRFISTTKRSLYPIACATSNEEFAEEIKVVEPNTSEGTTSSTFSVPIDKELKRVAQKTAATFAPRASTASKNPAVPGTTLYTVFEVQAYVSMLLGGALSFNLIFPSDQPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVGIPFFLKSFPVVWSADVIAFFGMYAWKLGWLQKTD >CAK8560381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:16796577:16798259:1 gene:gene-LATHSAT_LOCUS14058 transcript:rna-LATHSAT_LOCUS14058 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDLVEVFKVYGVISEVFIPGRRDKRGRRFGFAKFRKVHNPRILACNLDSVVLEGKKLFVNIPRFRKEHKKMNPDGENVRKLNQPGRPRSFEKFDTFARSYAKVVSGVDPKPDGKDLGGGFCTRLDVEDEWVSRLKRMRVTVVLEDRNAFNIQKVINEEGFFNIKAIPLGASMCLLEDTSGGDIEEFIKESSEWLDVWFSEIRPWDQEVIDKDRFIWVKCYGLPCVAWSENNFWLIPASLGTYVKCDEKTRNKECLDVARFLIKTSLGAIQNKKIFVEVNRLAFSLSVTEEALCGCDSLLVELLDSVDFESSGPSDIDDAWEMLEEDESGESSASINVPEVRAPTAKILGSDYDHTSFDNVSVVGDNLGLSATGGGLRGSHVGNSADPFSSDGPKIANHSVNLELNQFFVANDKFTLNGELVVDLGLPTPLTGPLDGVLGPANRGKAKNKKLINEIYNYIKIPYTPSYFSTLLLMASKGNAGTLLRGSVREDRNKNSLNRKSLESCSDFLCGESITDTDVEKGNLRCRRAIDEVLFKLRNCLIDLGVQGKDEDSLGIF >CAK8567018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:480276200:480276847:1 gene:gene-LATHSAT_LOCUS20109 transcript:rna-LATHSAT_LOCUS20109 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYQDANYYRGSWCCRLILFVIWLPTFLHVTAHISHVNHRQTTSLVELAKEPTSGDSGLFDRIEISPSVIPIVPFPTESVPPMYPIPYVPTRYEPVLTGKCPVNFSRPEISNILDKAAFDCFGPLATLVGNVVCCPQFSSLIHIFQGFFGMKSNNLVLSNAVADHCFSDIVSILASRGANSTIPTLCSIKSSNLTGGSCPVKNDSTFEKNSKHK >CAK8574702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6817470:6820352:-1 gene:gene-LATHSAT_LOCUS27021 transcript:rna-LATHSAT_LOCUS27021 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGNLARRAVETEMPIMVKMQDLLRGAKNAVSLAQGVVYWQPPKEALDKVKELVYEPSISRYGNDEGIPELRAALVNKLRNENNLHKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNSYMAFQMTGITDILVGPGNPETLHPDVDWLEKVLSERKPVPKLVSVVNPGNPSGTYIPESLLKRIANLCEKAGSWLVVDNTYEYFMFDDLKHSCVEGNHVVNIFSFSKAYGMMGWRVGYIAYPSEVEGLATQLLKVQDNIPICASIISQHLALYSLELGPEWVIERVKTLAKNRHIVLEALSSLGEGSIKGGEGAIYLWVKIPSRHGYDDFEVVHWLANRHGIAVIPGSACGAPGNLRISFGGLTENDCRAAAERLKKGLEELAAHGLVQD >CAK8575420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:115494683:115494889:1 gene:gene-LATHSAT_LOCUS27689 transcript:rna-LATHSAT_LOCUS27689 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRTPLSPQQMSSGEIHGMSTGNPEACLAGPQLSSQTLGSVGSITNSSMDMQGVNKNNSANNNNNVQ >CAK8531462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112029466:112031135:-1 gene:gene-LATHSAT_LOCUS1252 transcript:rna-LATHSAT_LOCUS1252-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELELDDDGRIKRTGNVTTATTHIITVVVGAGVLALSWAMAQLGWILGITSLFIFSSISVFTYGLMADCYRFPDPISGKRNYTYMQAVKLYLGGNMHMLCGSILYGKLAGITVGYTITSSISLAAVEKIVCVHRKGHEVDCSSSLNPYMVGFGILQMFLSQIPNFHELTWLSTIAAITSFGYVFIAIALCLSHIISGKWAPTSITGIKVGPELSLEDKVMRMCSSMGNIALACTYATVIYDIMDTLKSHPPENRQMKRVNVIGVSAMTMIFLLCSCLGYAAFGDDTPGNIFVAFYEPYWLVVLGDIFVVIHVVGAYQVLGQPFFRFIEMNASAIWPKSSFINKEHPISFGNATVDLNLFRLIWRTIFVIFATTLAMAMPFFNQFLSLLGAIGFGPLVVILPIQMHIAQNHIRKLSLKWCLLQCLNCIFLLVSLAATVASVHEISQNLHKYKIFAYKQ >CAK8531461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112029466:112031165:-1 gene:gene-LATHSAT_LOCUS1252 transcript:rna-LATHSAT_LOCUS1252 gene_biotype:protein_coding transcript_biotype:protein_coding MSADQLNSHLMELELDDDGRIKRTGNVTTATTHIITVVVGAGVLALSWAMAQLGWILGITSLFIFSSISVFTYGLMADCYRFPDPISGKRNYTYMQAVKLYLGGNMHMLCGSILYGKLAGITVGYTITSSISLAAVEKIVCVHRKGHEVDCSSSLNPYMVGFGILQMFLSQIPNFHELTWLSTIAAITSFGYVFIAIALCLSHIISGKWAPTSITGIKVGPELSLEDKVMRMCSSMGNIALACTYATVIYDIMDTLKSHPPENRQMKRVNVIGVSAMTMIFLLCSCLGYAAFGDDTPGNIFVAFYEPYWLVVLGDIFVVIHVVGAYQVLGQPFFRFIEMNASAIWPKSSFINKEHPISFGNATVDLNLFRLIWRTIFVIFATTLAMAMPFFNQFLSLLGAIGFGPLVVILPIQMHIAQNHIRKLSLKWCLLQCLNCIFLLVSLAATVASVHEISQNLHKYKIFAYKQ >CAK8566060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374374056:374374670:1 gene:gene-LATHSAT_LOCUS19227 transcript:rna-LATHSAT_LOCUS19227 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEVNTEEMLPPSYKRGPGRSKKLRRREPDEDPNRGRIHTSYFCTKYGVHGHNARSCSVLVPDPEAQKRKKNATQKTQYRFVVEQTTHAENEASTEQQQQPQHEPPTKEQPETQYDVNQEFEMLAADLCAAFERTQTQPNINDLTSQIPTPTQVASDHAPTVEVASVIGFTRGW >CAK8536590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:365588:372942:-1 gene:gene-LATHSAT_LOCUS5945 transcript:rna-LATHSAT_LOCUS5945 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIYMWKLIDEQGRISIINTLLHETIDNFSYSNGLICSIYFFWKELCNSFDVLNDARYNYVSKLIINVLEEAFKLQEHLLALRRYHFMELADWADLFILSLWRHKWSVTEANERLPEIQGLLELSIQKSSCEQDTNKARLFVYMKGHGKLPLSASAIGVRSFDFLGLGYHVDWPLCIILTPAALKIYADIFSFLIQVKLALFSLTDVWCSLKDMAQDLNAEIRQHLNILMKMRHQISHFVSTLQQYVESQLSHVSWCRFLHSLRHKVKDMMDLESVHTEYLADSLRICFLSDETKAMGSIIESILQCALDFRSCITIGAWDIGNDRGDLLGKLSTVNISQVLSIKQKFDRSLNELHVCYVKEPKHVNFGLSRFWEYLNYNQYYSDVNNGMEYYAL >CAK8574388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:679588835:679589791:1 gene:gene-LATHSAT_LOCUS26741 transcript:rna-LATHSAT_LOCUS26741 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNKCVIPSVPSNQIYRFGKIDVFSRFVVKTLKQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPVTLLGLNSSIMAYVRDGRYKDFKQSLTAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKARHLSFPGTTTLVQTNLLTSNVATNSLIKWDEINFPETWSLPLEIDPEPILNRDIDQIIQTTEGDLKINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEAIESIRLRKNRVPHGIYQKPHTPRVESPTQSDMDFHL >CAK8538543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486755679:486757016:-1 gene:gene-LATHSAT_LOCUS7730 transcript:rna-LATHSAT_LOCUS7730 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLVSRSGREIVKGGIELGDSATVADLQEAIHKQIKKHPSRQRLTLPVQPGSKEKPVVLNYKKSLNDYTNGNSETLTVQFKDLGPQVSYRTLFFFEYLGPLLLYPIFYYYPAVYQYFGYKDEHVIHHPVQTYAMYYWCFHYAKRILETFFVHRFSHATSPLSNVFRNCAYYWTFGCYIAYYVNHPLYTPVSDLQMKIGFGFGILCQVSNFYCHIILRSLRGPNGEGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTIAGYVFVAVATFIMTNWALAKHRRLKKLFDGKEGRPRYPRRWVILPPFL >CAK8567152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490929857:490930276:1 gene:gene-LATHSAT_LOCUS20228 transcript:rna-LATHSAT_LOCUS20228 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNIDNYDHEFWELVEEEFMDDSDEEQQLQNERLSGSSSRPKRRTTVDRGREEGNNQLFNDYFSKNPMYTDVQFRRRFRMHRHVFIRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSAVCMLAYGSPADLVDEYV >CAK8562875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:551601261:551601701:-1 gene:gene-LATHSAT_LOCUS16337 transcript:rna-LATHSAT_LOCUS16337 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLNRELDLLPSPRTDSSLLRLRSSSSSKSERGGPSLDLQLSISVGPPSMLMCEGVEALKWEAAEQIRLAAMEKAYAERVRELTRREMEMAQSEFARARQMWERAREEVEKAERIKERATRQVDSACMEITCHSCRQRFRPASV >CAK8578930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662550498:662551184:-1 gene:gene-LATHSAT_LOCUS30908 transcript:rna-LATHSAT_LOCUS30908 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSPLLHELLVESNTRRLLFLNPVDHQSHTNFPVLTNNNNSTDSPFGALEFNSNVVMIVAVLLCALICSLVLNSIIRCALRFSNVAINNNASSSSSSNSTSQMLNKGIKKKALKTFPTVSYSTELKLPGLDMECVICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKEHSSCPKCRQSLLQTCRKVGESQVQPIVLPVPEIIIRIQPLDHEAVERNYREESR >CAK8575929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:365019713:365020756:1 gene:gene-LATHSAT_LOCUS28154 transcript:rna-LATHSAT_LOCUS28154 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGHDESSISLNKGNFREMVDWVKVKNEQVQDAFDRGGKNCTMISSDIQKELAMCCAHEVTKVILGELGDRQFSVLIDESRDISFKEQMAVMLRFVNDKGNVVERFIALHHVKDMTSESLKDALYGILDKYKSFISRIRGQGYDGASNMRGEFNGLQRKILGENPYPFYVHCYAHRLQLVVVSVASSCSSIHDFFEYISLIATTTSASCKRRDDLTEAQHRNILNKLESGEIFRGRGLHQSSSLIRPGDTRWGSHHTTLLRLDQMWSSVLNMLSMADEDGRGPSQSAGLIEKIESFKFAFIFIDSYLYIIYNENLQF >CAK8544320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678314740:678316604:1 gene:gene-LATHSAT_LOCUS13010 transcript:rna-LATHSAT_LOCUS13010 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPASPSPPWPNPNLNSIPNPNFHPDQSQTLDFDSLMSPQSHHASTDSPESPPTTPPSLLQLSFNQDHACFAAATDNGFRIYNCDPFRELFRREFGGGGIGHVEMLFRCNILALVGGGSHPQYPPTKVMIWDDHQGTCIGELSFRSPVSGVRLRRDRIIVVVEQKIFVYNFADLKLLHQIETIANPKGLCEVSHLTDSLVLACPGLHKGQIRIEHFLQKRTKFISAHDSRIACFALTLDGQFIATASTKGTLIRIYDAELGTLLQEVRRGANAAEIYSLAFSSTAKWLAVSSDKGTVHVFGLKVNPNVPENESSHGPSSSDAAIAPSSSSRSFIKFKGVLPKYFNSEWSVARFHLHEGTQYTVAFGVEKNTVIILGMDGSFYKCQFDPAQGGEMTQLEFHNILMSETTL >CAK8565906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:349498106:349500697:1 gene:gene-LATHSAT_LOCUS19081 transcript:rna-LATHSAT_LOCUS19081 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKVEEVKFEVHRHFARKFKVMEGEESFLEGITFDGINEEDMIFLEGPFLEEEIKEAIWGCGISKSLGPDGYSFLFIKRCWSFLKDDFFRYCNYFHSGGRISKAVTSSFLSLIPKSTNLVTLDDYRPICLVGCLHKIVSKLLASRLKKVLDRIISPCQSAFVPGRLMLEGVLVANELVDYAQKERKGCVLFKVDFEKAYDNASWNFLRSMLTKMGFGLLWRKWMDNLIFQSKMSVLVNGSPTKEFEVEKGLRQGDPLSPFLFVIVAEGLAGLVRKSQELGEFEGFIVNGKCMVDLLQFADDTLIVGEGTWKHVWAIKAVLRAFELVSGLGINYHKSKLIGVNISSNFLDAASFVLSCRKEDSSVNFLGIPIGSNPRKASTWSPLLFKIKKRLLGWKNRFLSLGGRITLLKSVLCSLYIFTLSFFKMPSAVSKEVNKILGDFLWGSVEERRKIHWVSWKKVCLPIDKGGLGMKYLPDFNVALLSKWRWRILKGGDEVWLRLLKARYGDITSVILSKGTHSLVSNSSPPHSTTSSPSSSYWWKDLISIGKFSHLDSMVRLCKFKIERGFTTPFWEVNWTGNFCLMDKFPNLYKETRLRLVSVAGMEGWVNHDWRWGDMGLAPAEVSGGSGPNGQEVGSLCELLQAFEPESSGSDTVSWVGEPDSLFSVASCYKFYEFFRIPLGPPNIHDDAFRLIWKVEVPFKIKAFAWRLFNNKLPTKDLLAARGVVFSGENSLCVFCGIHPEDCVHSFFKCNVLKVVWRSIANWIGKSWKEEDDCFCSFKDWFMYCKDNNFKKGKLGVVWLALIWCIWLMRNGFCFRNEAWNVDSLIWNVKILVWKWRPIGEITYSNCCFYDFSKEPLFFLK >CAK8562807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538814790:538829925:-1 gene:gene-LATHSAT_LOCUS16273 transcript:rna-LATHSAT_LOCUS16273 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSSSYGGEYKNLKQISRERLLHEMLRSAKTGDSKSTWKVLIMDKLTVKIMSHSCKMADITDEGVSLVEDIYKRRQPLPTMDAIYFIQPTRENVIMFLSDMSGRKPLYRKAFVFFSSPIAKELVLEIKKDTLVLPRIGALREMNLEYFAIDSQGFITNNERALEELYGDEENNKKAVACLNVMATRVASVFASLREFPFVRFHAARSLDANTMTTFHDLIPTKLAAGVWDSLMKYKKSIPNFPQTETCELLIIDRTIDQIAPLIHEWTYDAMCHDLLNMEGNKYVHEIPGKNGGQPERKEVLLEDHDPIWLELRYAHIADASERLHEKMTNFISKNKAAQIQHGSRGSGEMSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKVNRIIRESGLRELGQLEQDLVFGDAGMKDVIKFLTTKEDTSRENKLRLLMILAAIYPEKFEGEKGLNLMKVAKLTNDDAIAINNLRVLGGEPDAKKTSTSGFALKFDMHKKKRAVRKTRAGEEETWQLSRFYPIIEELVEKVCKNELSNEDYPCLNDPSPSFHGTPYAVPTTQNPPAHSLRSRRTPTWARPRGSDDGYSSDSVLKHSSSDFKKMGQRIFIFIVGGATRSELRICHKLTSKLKREVILGSSSLDDPAQFITKLKMLTMPQELSLDDLQI >CAK8536364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:934341763:934342350:-1 gene:gene-LATHSAT_LOCUS5740 transcript:rna-LATHSAT_LOCUS5740 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNKYASINFNHIYDKSITTTTKTTNTSKSPSISSSSSSFSSASYSAVSAPNKHGRILVLTRPTPKPIAHHPTPSPAPPSKPNPILQAPPDRPRSEPVPDSISLRPLGRTGAGSPLLPTPNLNHEKEKNLGSLKPNKFIPPHLRPGFVPREEPPKPELGRFRENLRPKSGGNERMRRVGVSDSSFHNRPSSSG >CAK8539753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524084020:524085795:-1 gene:gene-LATHSAT_LOCUS8821 transcript:rna-LATHSAT_LOCUS8821 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKHVNFITVLSIDGGGVRGIIPGVILAYLESQLQEIDGADARLADYFDVIAGTSTGGLITAMLTAPNPNNRPLFAAKEIVPFYLKHLPHIFPQESGIFGPIVNMAKALTGPKYNGKYLQKTIRQMIGSTLLSQTVTNIVIPSFDVEKLQPTIFSSYQIDAEPALDVKLSDICIATSAAPTYLPAHYFEMKDEQGKVIQKYNLIDGGVCANNPTMVAIREVIKDMMQPQGRTGNNVGIVNDRFLVISIGTGSSKSERKYNAKMVAKWGALTWLFNSGATPVLDCFNEASTDMVDYHNSVLFTALQSQDNYLRIQDDTLEGELASVDISTKDNLNNLVKAGENLLKKKFTRVNLDSGIYETVPDKGTVQEELKRFANKLLDIRKEKESMHKNG >CAK8578509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:634107272:634114741:1 gene:gene-LATHSAT_LOCUS30514 transcript:rna-LATHSAT_LOCUS30514 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSNSHGNLDEQISQLMQCKPLSEQEVRVLCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVSLKVRYRQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKMFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >CAK8572310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:528148692:528150330:1 gene:gene-LATHSAT_LOCUS24888 transcript:rna-LATHSAT_LOCUS24888 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8579044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669743701:669748443:1 gene:gene-LATHSAT_LOCUS31019 transcript:rna-LATHSAT_LOCUS31019 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKSAKGDKRVAKREEHCGDENLKEGSDLVSVKEEEGAVVADGVGGSDDFYDGIPRYADSFSHKSRSVRSRQAAVAKVSEVGSRLGRAGTIGFGKAVDVLDTLGSSMTNLNSGGGFAYGAVTKGNEVGILAFEVANTIVKGFSLMESLSTKNVKHLKEEVLKLEAVQDLVSKDMDELLQIVASDKRDELKVFSDEVIRFGNRSKDPQWHNLDRYFEKISKELNSQRQTKEEAELLMQQLMNLVQHTAELYHELHALDRFAQDYQHKREEEDNSSAAQSGDSLAILKAELKSQKKQVRHLKKKSLWSRSLEEVMEKLVDIVHFLHLEINKAFGSADNRKPFIQTISNRQRLGPAGLSLHYANIVLQMDTLVARSASMPANTRDTLYQSLPPNIKLTLRSKLPTFHVAEELTVADIKQEMEKTLHWLVPIATNTAKAHHGFGWVGEWASTGSDLNKKTMKTDVMRIETFHHADKEKVENYILELLLLLHRLAVKSKAGGDVGEIKSAIKSRVGTVLQNTNQQSTNAVSPFLTTDEQIMLKDVSNKMRVRGISKSKSLDFDSVKTVSTDNNRLIKSSSYSTTSRSKELSYNRIFSKVPVIDFSIDKKRALDVIDRVNVAR >CAK8534408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723996050:724028097:1 gene:gene-LATHSAT_LOCUS3956 transcript:rna-LATHSAT_LOCUS3956 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKQLLATLESALLGSSPPTASQRVEVLHAIRSSLHSFQSLLSYQPPKPSDRSQVQSKSVRLQDSTLITLDDQDVQIALKLSDDLHLNEVDCVRLLVSANQEWGLMGREPLEILRLAAGLWYTERRYLITSLHLLLRAVVLDQGLEDDILVDIQKYLEDVINSGLRQRLISLIKELNREEPSGVGGPQCERYVIDSRGSLVERQAVVSRERLILGHCLVLSVLIVRIDPKDVKDLFSVLKDSASEVSQSNTAIKHQITFSLLFALVIAFVSDGLGTVPDKASVLSSNTSFRHEFHELVMATGNDPIVEGFTGGVKLAWVVHLMLIQDGVATRETISSASSNEMGYLSQCLEVIFSNNVFQFLLEKVLRTAAFQTEDEDMIYMYNAYLHRLIACFLSNPLARDKIKESKEKVMSVLSPYRVAGSHDFAQGSSSISQQGTETGPLQFNSILDFVSEIYLKEPELLLGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSQEGASKVHELLQGKAFRSIGWSTLFECLTIYDEKFKQSLQTAGAMLPEIQEGDAKALVAYLNVLKKVVENGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAISTFIQVSPELKDSIWTFLEQYDLPVVVGPEAQSCPSMGTQVYDMQFELNEIEARREQYPSTISFLNLINALIAEERDLTDRGQRFIGIFRFIYDHVFGPYPQRAYADPCEKWQLVGACLKHFHMILAMYDVKEEDYEGVVDQSRISTTKESSSLQRQLPVLELLKDFMSGKTVFRNIMSILLPGVNSIIAERSSQIHGQYLENVVQLCLEIIILVLEKDLLLSDYWRPLYQPLDIILSHDHNQIVVLLEYVRYDFQPKVQQSSIKIMGILSSRMVGLVQLLLKSNASNSLIEDYAACLEARSEESQNAENNNNNNNNNNNDDPGILILQLLIDNISRPAPNITHLLLKFDLDTPVERTILQPKFYYSCMKVILDILEKLLKPDVNALLHEFGFQLLYELCIDPFTSAPTMDLLSNKKFRFFVKHLDTIGIAPLPKRNNNQPLRISSLHQRAWLLKLLAVELHAGDVGSSNHRDACHTILSNLFGQGTTGIDGDQALYPFSLRDNSGNADFRNVSKSKVLELLEIIQFRCPDSTNKPSSTMAGMKYDLLAEDILENPGNSGKGGVYYYSERGDRLIDLASFHDKLWQKFNSAYLQVSNLGNEVELNDVRETIQQLLRWGWKYNKNLEEQASQLHMLSAWSQIVEVSASRRLAMLEDRSEILFQILDSSLTASASPDCSLRMAFILSQVALTCMAKLRDERFMFPGSLSSDSITCLDLIVVKQLSNGACLTILFKLIMAVLRNESSEALRRRQYALLLSYFQYCLNVIDPDVPTSVLQFLLLSEQDNEYIDLPKIDKEQAELARANFSTLRKEAQSILDLVIKDATHGSEPGKTISLYVLDALICIDHERYFLSQLQSRGFLRSCLTAISNISNQDGGLSLDSLQRACTFEAELAVLLRISHKYGKSGAQVLFTMGILEHLSSGRSTNSQGRLRWVDTRLRRDMAVDVDRQRMIITPVLRLVFSLTSLIDTSDFMEMKNKIVREVIDFVKGHQSLFDQILRLEIAEADELRMEQINLVVGILSKVWPYEESDEYGFVQGLFGLMHVLFSHDSSSKILGFARSKVSPENQRSSELQIFKLCFSLSSYLYFLVTKKSLRLQSSDASSNYPTSDELQQPTLSLLNSILSSATTALERAAEEKSLLLNKIRDINELSRQEVDEIISMCVQQESVSSSDNIQRRRYIAMVEMCRVVSCTDQLIVLLLPLSEHVLNIILIHLQDCSDVFESTTATKTITYGAKYDPQQDFALLCGQLVPTLERLELLNEEKLGHNLKVFCRLATSAKEIAIQKII >CAK8534407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723996050:724028097:1 gene:gene-LATHSAT_LOCUS3956 transcript:rna-LATHSAT_LOCUS3956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKQLLATLESALLGSSPPTASQRVEVLHAIRSSLHSFQSLLSYQPPKPSDRSQVQSKSVRLQDSTLITLDDQDVQIALKLSDDLHLNEVDCVRLLVSANQEWGLMGREPLEILRLAAGLWYTERRYLITSLHLLLRAVVLDQGLEDDILVDIQKYLEDVINSGLRQRLISLIKELNREEPSGVGGPQCERYVIDSRGSLVERQAVVSRERLILGHCLVLSVLIVRIDPKDVKDLFSVLKDSASEVSQSNTAIKHQITFSLLFALVIAFVSDGLGTVPDKASVLSSNTSFRHEFHELVMATGNDPIVEGFTGGVKLAWVVHLMLIQDGVATRETISSASSNEMGYLSQCLEVIFSNNVFQFLLEKVLRTAAFQTEDEDMIYMYNAYLHRLIACFLSNPLARDKIKESKEKVMSVLSPYRVAGSHDFAQGSSSISQQGTETGPLQFNSILDFVSEIYLKEPELLLGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSQEGASKVHELLQGKAFRSIGWSTLFECLTIYDEKFKQSLQTAGAMLPEIQEGDAKALVAYLNVLKKVVENGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAISTFIQVSPELKDSIWTFLEQYDLPVVVGPEAQSCPSMGTQVYDMQFELNEIEARREQYPSTISFLNLINALIAEERDLTDRGQRFIGIFRFIYDHVFGPYPQRAYADPCEKWQLVGACLKHFHMILAMYDVKEEDYEGVVDQSRISTTKESSSLQRQLPVLELLKDFMSGKTVFRNIMSILLPGVNSIIAERSSQIHGQYLENVVQLCLEIIILVLEKDLLLSDYWRPLYQPLDIILSHDHNQIVVLLEYVRYDFQPKVQQSSIKIMGILSSRMVGLVQLLLKSNASNSLIEDYAACLEARSEESQNAENNNNNNNNNNNDDPGILILQLLIDNISRPAPNITHLLLKFDLDTPVERTILQPKFYYSCMKVILDILEKLLKPDVNALLHEFGFQLLYELCIDPFTSAPTMDLLSNKKFRFFVKHLDTIGIAPLPKRNNNQPLRISSLHQRAWLLKLLAVELHAGDVGSSNHRDACHTILSNLFGQGTTGIDGDQALYPFSLRDNSGNADFRNVSKSKVLELLEIIQFRCPDSTNKPSSTMAGMKYDLLAEDILENPGNSGKGGVYYYSERGDRLIDLASFHDKLWQVSNLGNEVELNDVRETIQQLLRWGWKYNKNLEEQASQLHMLSAWSQIVEVSASRRLAMLEDRSEILFQILDSSLTASASPDCSLRMAFILSQVALTCMAKLRDERFMFPGSLSSDSITCLDLIVVKQLSNGACLTILFKLIMAVLRNESSEALRRRQYALLLSYFQYCLNVIDPDVPTSVLQFLLLSEQDNEYIDLPKIDKEQAELARANFSTLRKEAQSILDLVIKDATHGSEPGKTISLYVLDALICIDHERYFLSQLQSRGFLRSCLTAISNISNQDGGLSLDSLQRACTFEAELAVLLRISHKYGKSGAQVLFTMGILEHLSSGRSTNSQGRLRWVDTRLRRDMAVDVDRQRMIITPVLRLVFSLTSLIDTSDFMEMKNKIVREVIDFVKGHQSLFDQILRLEIAEADELRMEQINLVVGILSKVWPYEESDEYGFVQGLFGLMHVLFSHDSSSKILGFARSKVSPENQRSSELQIFKLCFSLSSYLYFLVTKKSLRLQSSDASSNYPTSDELQQPTLSLLNSILSSATTALERAAEEKSLLLNKIRDINELSRQEVDEIISMCVQQESVSSSDNIQRRRYIAMVEMCRVVSCTDQLIVLLLPLSEHVLNIILIHLQDCSDVFESTTATKTITYGAKYDPQQDFALLCGQLVPTLERLELLNEEKLGHNLKVFCRLATSAKEIAIQKII >CAK8563646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622039612:622040628:1 gene:gene-LATHSAT_LOCUS17034 transcript:rna-LATHSAT_LOCUS17034 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKKPTSDSSFKPYHETNQTQMCLSLLQRNTNTLPSSEKRGRRKQTEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAAISMKGNQARTNFIYTDTINFHTLVSSPIDVQTLLPASQLLTNTTQTNQNTSFSHLNNTSLTSGKDQNMIMMSTFDHEKTTYGSSNHDDSFFFSNDTTTNSSNNNSGYLECIVPDNCFRNSNVISTSSSDEKVGDSNKVSMEGQIQSHFGIPSFSQEMPTRVTGFSEFSYSPSEGFLDWNSNELSAIFNNNPLSVHEDECMETLMFPNYPIIENLTPSYGILNDQASSSTNYSPSLDFGYPLF >CAK8579622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713154736:713155119:1 gene:gene-LATHSAT_LOCUS31553 transcript:rna-LATHSAT_LOCUS31553 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHWWLIIQTTKSVYVGYWPKNLFTHLDKGASVIRFGGQTYSPPNKDSPPMGNGRLPSEKFRNSDFMRLLKIIDSEYNEVDIEPKDMKLYTDTNSNCYDLWYRGYGGYQFRQAFLYGGPGGRNCDK >CAK8577750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586458868:586460319:1 gene:gene-LATHSAT_LOCUS29824 transcript:rna-LATHSAT_LOCUS29824-4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHKFVNVGDLKLHVAQIGTGPNVVVFLHGFPEIWYSWRHQMIALAAAGFRAIAPDYRGYGLSDPPPEPEKTTFSHLLDDLLAILDALSLSKVFIVGKDFGARPAYLFSILHPERVLGVITLGVPYVPPRPAAYHKVLPEGFYILRWAKPGRAEADFGRFDAKTVVRNVYILFSKSEIPIAVENQEIMDLVAPDTPLPSWFTEEDLATYGALYEKSGFQTALQVPYRSFGEDFNLPDPVVKVPALLIMGGKDYVFKFPGIEDLTKGEKAKEFVPNLEVTFIPEGTHFVQEQFPEQVNQLILAFLAKHT >CAK8577748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586427926:586460319:1 gene:gene-LATHSAT_LOCUS29824 transcript:rna-LATHSAT_LOCUS29824-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHKFVNVGDLKLHVAQIGTGPNVVVFLHGFPEIWYSWRHQMIALAAAGFRAIAPDYRGYGLSDPPPEPEKTTFSHLLDDLLAILDALSLSKVFIVGKDFGAPPAYLFSILHPERVLGVITLGVPYAPPRPATYHKVLPEGFYILRWAKPGRAEADFGRFDAKTVVRNVYILFSKSEIPIAVENQEIMDLVAPDTPLPSWFTEEDLATYGALYEKSGFQTALQVPYRSFGEDFNLPDPVVKVPALLIMGGKDYVFKFPGIEDLTKGEKAKEFVPNLEVTFIPEGTHFVQEQFPEQVNQLILAFLAKHT >CAK8577747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586427926:586460319:1 gene:gene-LATHSAT_LOCUS29824 transcript:rna-LATHSAT_LOCUS29824 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHKFVNVGDLKLHVAQIGTGPNVVVFLHGFPEIWYSWRHQMIALAAAGFRAIAPDYRGYGLSDPPPEPEKTTFSHLLDDLLAILDALSLSKVFIVGKDFGAPPAYLFSILHPERVLGVITLGVPYAPPRPATYHKVLPEGFYILRWAKPGRAEADFGRFDAKTVVRNVYILFSKSEIPIAVENQEIMDLVAPDTPLPSWFTEEDLATYGALYEKSGFQTALQVPYRSFGEDFNLPDPVVKVPALLIMGGKDYVFKFPGIEDLTKGEKAKEFVPNLEVTFIPEGTHFVQEQFPEQVNQLILAFLAKHT >CAK8577749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586427926:586460319:1 gene:gene-LATHSAT_LOCUS29824 transcript:rna-LATHSAT_LOCUS29824-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHKFVNVGDLKLHVAQIGTGPNVVVFLHGFPEIWYSWRHQMIALAAAGFRAIAPDYRGYGLSDPPPEPEKTTFSHLLDDLLAILDALSLSKVFIVGKDFGARPAYLFSILHPERVLGVITLGVPYVPPRPAAYHKVLPEGFYILRWAKPGRAEADFGRFDAKTVVRNVYILFSKSEIPIAVENQEIMDLVAPDTPLPSWFTEEDLATYGALYEKSGFQTALQVPYRSFGEDFNLPDPVVKVPALLIMGGKDYVFKFPGIEDLTKGEKAKEFVPNLEVTFIPEGTHFVQEQFPEQVNQLILAFLAKHT >CAK8567164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491964846:491965766:1 gene:gene-LATHSAT_LOCUS20239 transcript:rna-LATHSAT_LOCUS20239 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEQCDDKEFFEASSPPQPQTSITDPTHVPSSMSQELTPFQRMFYEPNLPPQPQPSLQEPIPKLTPFQRMFSNQIPSPQPKLQRHIPQLRPFHFQSIFSHPIPPPQRPILYFPPGPSHPPPPSPPHQPPRVRARLNPLSEKSETILIPFPWVTNRRAKLHSLHYLRQNGIVNITGEVQCKRCDTTFEMSFDMTEKFPKLWTYILENRQFMNDRAPANVWMNPTLPDCVHCNQENCVKPIIAKKKKNINWLFRLLGQLLGCCNLAQLKYCCKHTNNHRIGANDRVLYLTYLALCKQLDSTRPFNL >CAK8574552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1952998:1957625:1 gene:gene-LATHSAT_LOCUS26886 transcript:rna-LATHSAT_LOCUS26886 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKNDIVHSPLVTYASMISLLTLCPPFVILLWYTMTVADGSVLNTFDYFRNNGFQGFVDLWPKPTLLACEIIAVYGVFEAALQLLLPGETVYGPISPTGNRPVYKANGVAAYLVTLVTYVALWWFGIFNPTIVYDHLGEIYSTLIFGSLIFCVFLYIKGHLAPSSTDSGSSGNIIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLALTYCIKQYEENGKVADSMLVSTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALFILVSGILCIYINYDCDRQRQEFRRTNGKGLVWGRAPSKIEASYTTTSGETKKSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFSHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCDRVPYRIIPGIY >CAK8533230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594281644:594282958:-1 gene:gene-LATHSAT_LOCUS2875 transcript:rna-LATHSAT_LOCUS2875 gene_biotype:protein_coding transcript_biotype:protein_coding MMILTTLLVIFVTLMIKVFYDAISYYCLIPMRIKKIMEKQGVFCPKPRFLSGNLKDISSFVSEATSQDMKSINHDVVGRLLPHYVAWSKQYGKRFFYWNGIEPRLCLIETELIKEFLSKYSTISGKSWQQQQGSKNFIEKGLLMANGDDWYHQRHLVSPAFMREKLKSYGVHIMECTKEMLESLQNETLECDKKEIEIGEYFTKLTADIISRTEFGTNYKEGKQIFHLLTQLQALCAQATRNLWFTGSRFVISNKFIGIVFIFIFGC >CAK8567691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536731060:536732337:-1 gene:gene-LATHSAT_LOCUS20720 transcript:rna-LATHSAT_LOCUS20720 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWVMIHQPHSAPYEGPSGECMLEADEMVILRETITAIYSQRTRKPVWQISKDLERDHFMSPEEAQAYGIIDSVSDSLPTEAIFDSGADSFF >CAK8567692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536731963:536732337:-1 gene:gene-LATHSAT_LOCUS20720 transcript:rna-LATHSAT_LOCUS20720-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWRQ >CAK8562806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538706008:538708293:1 gene:gene-LATHSAT_LOCUS16272 transcript:rna-LATHSAT_LOCUS16272 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTNTTPSIVDTNSSEEATAKAIQKRYEGLVTVRNKAIKGKGAWYWSHLEPLLVHNNETGLPKAVKLRCFLCDAVFSASNPSRTASEHLKRGTCPNFNSAAKPISSVSPETGSGAGTGTGAGAIVVVSSPPILSSSSVHRRKRSSPPPPPPVMQQYGSDPLRVVSGLLPQQQHLMLSGGKDDLGALAMLEDSVKKLKSPKTSPGVVLHKTQVDSAIDYLADWVYESCGSVSFSSLEHPKFRAFLTQVGLPPVFPREFVGSRLDSKFEEVKSESEARIRDAMFFQIASDGWKMKDYENDQKLVNLTVNLPNGTSLYRRAVFVNGSVPSNYAEDVLWETITGICGNLVQNCVGIVADKFKSKALRNLENQNHWMVNLSCQYQGFNSLIKDFTKEFPLFRTVAENCIKLANFVNYNSQIRNSFHKFQMQEYGHTWLLRVFPMREFGDFNFEPVYVMIEDMLSSVRALQLVLLDESFKMVSMDDRNAIEIGEMIRDVRFWNDLEAVHSLVKLVKDMAKEIETEKPLVGQCLLLWNELRTKVKDWCSKFNIAEGAIEKLIERRFRKNYHPAWAASYILDPLYLIRDTSGKYLPPFKHLTPEQEKDVDRLITRLVSRDEAHIVLMELMKWRTEGLDPVYAQAVQMKERDPITGKMRIVNPQSSRLVWETYLTEFKSLGRVAVRLIFLHATCCGFKCSWSLWKWICSHGHYKTSLDKVQKLIFVAAHSKLERRDFSSDEDKDAEFFTLANGEDDVLNDVLVDSSSV >CAK8534787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:767750956:767751320:1 gene:gene-LATHSAT_LOCUS4301 transcript:rna-LATHSAT_LOCUS4301 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIIQRAESLFRALFEIVLNCGWAQLTEKALNLCKAVTKRVWNVQTPLRPLRQFEELPDYVFTYQEKKDLAWESYYKLSISKAEACCTSASHHSLKFGG >CAK8569824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12723342:12723728:1 gene:gene-LATHSAT_LOCUS22633 transcript:rna-LATHSAT_LOCUS22633 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVNPKAYPLVDAQLTITILDLVQQAANYKQLKKGANEATKTFNRGIFEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRSVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >CAK8573076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581302143:581302301:1 gene:gene-LATHSAT_LOCUS25566 transcript:rna-LATHSAT_LOCUS25566 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMKNQLRNRMGDEILNDCLVTCIESDIFDSVENQKILKHFQNMKTRREQL >CAK8569216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683086658:683088930:-1 gene:gene-LATHSAT_LOCUS22095 transcript:rna-LATHSAT_LOCUS22095 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSPEIPAVTPSSTAEPPQPQIQTQPPPTKPPPPPLPVKPETPLPDSKPSNDTTLIHIPTHSRWFSWDSIHESELRNIPDSSKNPRVYKYYRNSIVKFFRFNPNRKITFTDVRKTLVGDVGSIRRVFDFLEAWGLINYHPSSSLAKPFKWEDKDTKTESASNSTESPPAPIKENAKRICSNCKNLCAIACFACDKNNMTLCARCFVRGSYKVGTSNTDFKRVEISEETKPDWTEKETLKLLECITNFGDDWKRVSHHVIGRTDKECVARFLKLPFGDQFMHSQRFESAHLADDGRSDLLKPSVDAGCESETAGLDKSSKRMRLTPLADASNPIMAQAAFLSALAGTEVPQAAAQAALRSLSDVYKSSRINHRSFPKQDAGVASNGVNASNSIQGSLLRANLECEKEESDVEKAISEIIEVQMKNIQDKLMNFEDLDLLMEKERQQLEQVKSLFFLDQLNLLFRKTSASATGEGNHVKRN >CAK8560583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26791021:26793315:-1 gene:gene-LATHSAT_LOCUS14244 transcript:rna-LATHSAT_LOCUS14244 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHISLCIFFCYATFLHLIFITLAQSENYIIHMNLSAMPKSFTAHHTWYQSTLSSALQNAQFTTTTNNLNSQICSKFMYTYTHVMNGFSANLSPKEHEFLKTLPGYISSIPDLPVKLDTTYSPQFLGLNPNKGAWHDSNFGNDVIVGLIDSGVWPESDSFKDHGMTKIPSKWKGQCENSIHFKKSLCNKKLIGAKFFNKGLLAKYPNITLGLNSTRDTEGHGTHTSTTAAGSRVDEASFFGYAAGTASGIASNSRVAMYKASWEGGSLSSDVIAAIDAAISDGVDVLSLSFGTDDVPLYEDPVAIATFAAMEKGVFVTTSAGNEGPALNTLHNGTPWVITVAAGTMDREFQGTLTLGNGNKISGLSLYIGKFSSDNFPIVFMGLCDSVNDLRQVKSKIVVCEDKNGTSVPYQVYNLFEAQVFGAVFITNVPNIKYSKFFASIIINPLNGEIIKDYIKSYNSKNSSSIASLSFKKTAFGVEPAPSVGSYSSRGPSNSCPFVLKPDITAPGTLILAAWPTNVPVLDLGTSKAFNNFNLISGTSMACPHVAGVGGLLKGAHGDWSPAAIRSAIMTTSDIFDNTKGHIKDIGNGNKVATPFALGAGHVNPNRALDPGLVYDVGVQDYVNLLCALHYSQKNITTITRSSSNDCSKPSLDLNYPSFIAFFNDGNSSSKTIHEFHRTVTNVGEGKTIYVASITPIKGFSVSVFPNQLVFHEKNEKLSFKLRIEAERMTNLKEVAFGYLSWKDEKHVVRSPIVITAIKLDL >CAK8541287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104900644:104901321:1 gene:gene-LATHSAT_LOCUS10217 transcript:rna-LATHSAT_LOCUS10217 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLVDAKTNAEEYKIVHFLYKHNRSIRKISTGNIYKQTLLSRLNFTKDYKIITVKKKLHIYDNHCKDIYLILEDDIKSYRSKYQFLHIGLVQISIEMNSDNYVPHMPVRLRDSKYSNVEDSILVSFATSVDNRVVKFNWFPNFSTCLSDLENSNALVVTIDPPKTIERVMNEKYPLGYEPLLKVRYRVCYKLMKTSLKPDYLFQNPVVQVNTDITNFVVPQF >CAK8569999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20124008:20124505:1 gene:gene-LATHSAT_LOCUS22790 transcript:rna-LATHSAT_LOCUS22790-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKFSALIILLSLVSYSTFSHACGSCNPTPKPSPPPPSKTPKPCPPPATTPPPTPPKPSTPPSTTPPPTASPPTPSSSQKCPKDTLKLGVCADLLGLVNVVVGSPASSKCCALIQGLADLDAAICLCTAIKANVLGINLNVPVTLSLLLSACEKSVPSGFQCS >CAK8569998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:20100721:20124505:1 gene:gene-LATHSAT_LOCUS22790 transcript:rna-LATHSAT_LOCUS22790 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTVSALIILLSLVCYSTFSHACGSCNPKPKPSPPPPSKSPKPCPPPKASTPPKVSPPTPVTPPTASPPTPSTPPTASPPTVTPPTASPPTPSTSQKCPKDTLKLGVCADLLGLVNVVVGSPASSKCCALIQGLADLDAAICLCTAIKANVLGINLNVPVTLSLLLSACEKSVPSGFQCS >CAK8533580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639111790:639112491:-1 gene:gene-LATHSAT_LOCUS3199 transcript:rna-LATHSAT_LOCUS3199 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDVYDLELTTIKDNIELKRLLIDTSSKSIIVIEDIDCSLDLTGQRKEKNEKDDQNDEKVDPIKKDEKEEEKKSKVTLSGLLNFIDGIWSACGLERIIIFTTNFVDKLDPALIRRKKMDKHIEMSYCSYEAFKVLARNYLDVESHDELFPVIEKLLGEINMTPADVAENLMPKSVTEDYESCLKNLIQSLEIEKKKAEEEAKKKVEDEAEKEKVKANEKSEEKEVKENGFTH >CAK8530129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1982981:1986345:-1 gene:gene-LATHSAT_LOCUS21 transcript:rna-LATHSAT_LOCUS21 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGDSDSEEEEQSDYDEEIENAAVESAATQGNKSNYYLQGNATDSDDEDGQKRVVKSAKDKRFDEMASTVDQMKNAIKINDWVSLQESFDKINKQLEKVMRVIESVKVPNLYIKALVMLEDFLAEALANKDAKKKMSSSNAKAFNSMKQKLKKNNKQYEELINKCRENPESEEEKDEDEESEEEYESDGEIIDVDQLRKPEPKSDSEAEYEDEKPDADGEGAWDQKLSKKDRLLERQFMKNPSEITWDTVNKKFKEVVAARGKKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPISVWKKCVQNMLVILDILVQHPNIKVDDSVELDENETKKGADYDGPIRVWGNLVAFLEKIDAEFFKSLQCIDPHTREYVERLRDEPMFVVLAQNVQEYLERIGDFKASSKVALKRVELIYYKSQEVYEAMRKLAEMTEDGDEESEESKGFEDTRNPTAFVVTPEVVARKPTFPENSRALMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFTVARDLLLMSHLQENVHHMDISTQILFNRAMSQLGLCAFRAGLVSEAHGCLAELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLTSAMLLEVPNMAANVHDAKRRIISKNFRRLLEVSEKQTFTGPPENVRDHVMAATRVLIKGDFLKAFDIIASLDVWKFVKNRDAVLEMLKDKIKEEALRTYLFTFASSYDSLSVNQLTNFFELPLPRVHSIVSRMMVNEELHASWDQPSGCIIFRNVEHSRLQALAFQLTEKLSILAESNERATEARLGGGGLDLPPRRRDGQDYAAAAAGGGTSSGGRWQDLSYSQTRQGGGRAGYGGRALSFNQAGGSGGYSRGRGTGGGGYQGSGRSQGASAQRGPHGDGSTRMVSLRGVRA >CAK8535187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821609334:821611262:1 gene:gene-LATHSAT_LOCUS4661 transcript:rna-LATHSAT_LOCUS4661 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGHHKPNPDANLFRLCPFWSSGNTQNQSQANAQKSPKTVSSVARSLLLPPRRRLRLDPSNHLYFPDEPGKQVKSAVRLKNTSKSSVAFKFQTTAPKSCYMRPPGGILAPGESVIATVFKFVEQPENNEKMSDQKNKVKFKIMSLKVKQGVDYVPELFDEQKDLVTVERVLGVVFLDPERPSPALEKLKRQLAEADAALEARKKPAAETGPRVAAEGLVIDEWKERREKYLARQQVQTVESV >CAK8571598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:446765279:446768297:-1 gene:gene-LATHSAT_LOCUS24243 transcript:rna-LATHSAT_LOCUS24243 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKSRSVRFHDDLEKEKLKEVEENQMETATYEMSNQHKHVRDAKTKLSSSVKELSRVFSEDYDAEEILILDPRGPRVNLWNKIFLAACLISLFVDPLFFYLPVATKEKCIDMSLGLEVSLTIIRSLVDAFYIVQIYVKFQTAYIAPSSRVSGRGELIIDSSKIASNYLSRDFWFDLVAALPLPQVLIWAVIPNLRGSEMIASRHILRLVSIFQYLLRLYLIYPLSSVIVKANGVMMEKAWAGAAYNLTLYMLASHVLGSTWYLLSIERQDECWKKACTLEFSHCRYHYLDCRSLGDPNRNSWLRSSNVSGLCDNNSAFFQFGIFADALTLEITSSKFLNKYYYCLWWGLRNLSSAGQNLLTSTHVAEINFAVIVAILGLVLFALLIGNMQTYLQSTTIRLEEWRIRRTDTERWMHHRQLPRYLKQNVRRHDQFRWVATRGVDEEAILRDLPVDLRRDIKRHLCLNLVRQVPLFDQMDDRMLDAICERLKPTLCSPGTCIVRECDPVNEMLFIVRGRLDSCTTNGGRTGFFNTCRIGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAEDLKFVAAQFRRLHSKQLRQTFRFYSHQWRTWAACFIQAAWFRYKRMKEINEVKEKENLMMMANVKYYGHDDSQYFSAPLQAPMGSSYSMYSGKLVGSLRKGRSMRYGSELDLLGALRKPLEPDFNDDGD >CAK8563889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:637320132:637320785:1 gene:gene-LATHSAT_LOCUS17250 transcript:rna-LATHSAT_LOCUS17250 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFQHLGKKGENWIPTNNVGLPRAMRWSYRQGVLKVDDLQPILDELTLADVIWRPFENYRVGRQFDELCLYRGCLRLGDTIIPYLPDKCMRQFGYRHYVPHPPLDHTIADDIDVDWISYHQSIQDVIRSTTPATTPYETDDGYLQWYYRVSHPRLVPHHRDVPTEVPVLVYEAGPSDPSWARVSSLIHRYLQQADAEEDDPQFAELFEALHIARSH >CAK8561181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:114237367:114241845:-1 gene:gene-LATHSAT_LOCUS14793 transcript:rna-LATHSAT_LOCUS14793 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLQACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDTKLTCDNNITTGKIYQSVVEKERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGKQGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPSNFCLVHVSLVPVLSVVGEQKTKPTQHSVRQLRGLGLVPNLLACRSSKELDDNVKGKLAQFCHVPLSNILTLCDVPNIWHIPLLLRDQKAHEAILKTLNLQGVATEPNFTEWITRTKVYDTFHESVRIAMVGKYTGLSDAYLSVLKALMHASVAYNRKLTVDWVPAEDLEDDTFKENPDAYKTAWSLLEGANGILVPGGFGDRGVKGKTLAAKYARENSVPFLGICLGMQIAVIEFARSVLGLSNANSTEFEPETGNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADSKSAKLYGNASFIDERHRHRYEVNPDMISQLETAGLSFVGKDDTGRRMEIVELPGHPFFIGAQFHPEFKSRPGKPSPLFSGLIEAACERRLLSESKGNANSANGIHEPHSPMAKAQNGNGFKSSNGSLNGSNGIYVDGEV >CAK8530497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24986800:24990388:-1 gene:gene-LATHSAT_LOCUS355 transcript:rna-LATHSAT_LOCUS355 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWVVVRQLAEVEAMAASKKVIPREEWERKLNNVKVRKEDMNKLVMNFLVTEGFVEAAEKFRKESGTEPDIELATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVDEALEFAQEELAPRGEENQSFLEELERTVALLAFEDFSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLGEKALFPRINDISTAVLEDPA >CAK8579634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713776088:713782667:-1 gene:gene-LATHSAT_LOCUS31565 transcript:rna-LATHSAT_LOCUS31565 gene_biotype:protein_coding transcript_biotype:protein_coding MADALSAIPAAVLRNLSDKLYEKRKNAALEVENVVKLLTSCGDHDRIVSLINSLTTEFTYSPQPNHRKGGLIGLAAATVGLTSEAAQHLEHIVPPVLNSLSDQDVRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRASSPDEFTRLTALTWINEFVKLSGDQLVPYYADILGAILPCISDKEEKIRVVACETNEELRTIKADPAEGFDVGEVLSIARRQLSSEWEATRIEALHWISTLLNNYRPEVLQYLNDIFDTLLKALSDPSDEVVLLVLDVHACIAKDPQHFRQLVVFLMHNFRKDNSLLEKRGALIIRRLCVLLDAERVYREFSTILEGESDLGFASIMVQALNLILLTSAELSEIRVLLKQTLVNPAGRDLYVSLYASWCHSPMAIISLCFLAQTYQHVSTVIQSLVEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKYTWLFRALYGLLMLFPQQSAAFEILKTRLKAVPAYSFNREQLKKTSSGAPYQFLHHMSGGSHITEDGDVAVENGNSHNAINFAARIKQFQEMQQQHREHFRTQAQIRRKVTPVSKEEEVPRQEEETKRPHLSELNVVARSSKR >CAK8530233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8845363:8845767:1 gene:gene-LATHSAT_LOCUS116 transcript:rna-LATHSAT_LOCUS116 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNLSFSSTNQSGSGIRRRDNRCWCELESPLMTSWTYDNSGRRFHGCGNFKVMRKKGCNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSLMVVFLIVFALVATHVLK >CAK8544687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699352686:699353207:-1 gene:gene-LATHSAT_LOCUS13343 transcript:rna-LATHSAT_LOCUS13343 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVKLFMEEEASSSRRPRRQRRNIERNREERNREEGHERLFKDYFLETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTVVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRREH >CAK8543860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645063006:645072888:-1 gene:gene-LATHSAT_LOCUS12585 transcript:rna-LATHSAT_LOCUS12585 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPHGKNGEGDGGVVCYKCLHGGGTFLSCCGKRCGRRYHLTCLDLPLKFLPIGFWHCICCNEKKIKLGVHSVSKGVESIFDSREVVSKYEVIEREYFVKYHGLAHAHNCWIPEKQMLIEAPKLLEKYKKKQQVVRWKKYWSIPHRLLLKRKIILSKQNADPFDGNDDNDSICQYEWLVKWTGLGYDHVTWELDNASFMRFSKGMKLVENYEIRQKRSVGPTNPFEANEERKVSFTELSVLSSFGDSPGLYNPHLSYVNKLRMCWHKGQSAVIVDDQIDQERVTKVILYISSLSCNVKRPFLIISTSTGLSTWETEFLNLAPSANLVVYKGTKYVRDSIRALEFNNEDGGCSFQILLSSSDIIIEDLHALRYIQWEAIIIDECHRSRVLGHIDNFNILKAETRLILMSGQIKEDRADYIKLLSFIKSGHDELHFSSASISNLKSQLEQYIALKCSSDSSRFIEYWVPAQLSNLQLEQYCSMLLSNSLPLCSGQKSNSVDALRDLIVSTMKCCNHPYLLNPSLSSLVTGGVPVEEHLDIGIKASGKLQLLEKILVEAKSRELRVMILYQSSGGSGSIGNILDDVLCQRFGNDCYVRYGKGYIPSKKQAALAAFNDRESGRFVFLIESSACIPSIKLSYVDTIILFDSGLDPQNDLKCLQKMSISSKFNKLTVLRLYSYFTVEEKVLMLAKEGIALDSNVQLISQSTNHSLLKWGASYLFSKLDDLHGSGTSVSTSDISDHSILNDVIFELSSKLVCDSNGTDCHGQSFILRVQQNGGEYARNISLLGERDMKKLGNETGTFSWSDLLKGRNPHWRFLPVSPQRIRKRVEYFRPSLTGSEGENDTVSRKRKKESKYNVDPEERKVTKDSVDPKRRKVFKDIVDTKRREFSMSVADPKTRKVAKEIVDSNGSKVSVVIVGSKHVKKMLKNKNHRSAGKRKRKLNGASVMKKPIQKQKKRSAMPKSTKLLSKPDISDLCDVLHILKNVKAVAMRLLEYVFEHYNICCLEVSIVQAFKISVCWLAASLLKHKIDRKHSLDLAKRHLNFNCKEEDATYVYNELQKHEKDFSSCLQNELCVEKNNTHIGLDSLTPELKDLVDEEKQKGFQHPHVVNLVKSPTNEADLPRNSPTNEADLPRNSPTNEADLPSKSPTTVLCSQNQISTEDFHKEPYLAHEIATSQKNPGSFPMELEADATSMECVPDERINSVNLVAAEVSSLEHWNEVPNLNNGSNTVNHVTGSLERPSPIVSSDITQCDDGYFEDLQILMNQVIDIDNSMNMSTHSAQLLNVEADTVTCDRTAVPEVIQPYSTVSPICGESTTSEFSEWPLPYTQLSLADLVAFSQTSQPTSQAPGNENVTCAPHDITNPGYMNSDHFHAHYGPFEMPIEKPNDADSFSIEMEQIIKMREEAYKTREEKILQLQSDYTKECEMLREK >CAK8563270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588300984:588304219:-1 gene:gene-LATHSAT_LOCUS16698 transcript:rna-LATHSAT_LOCUS16698 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPADLQNNSEFGDSDSSSTAVASACNPNLNRDLFNELMQMVPLVQSILIDRKPTRSFPHRSSIIYTKAPPKQKKQSIPATKTRDHGAKEQNSDAESFSMFSGRAFTSEKDIEELATLKEQMGDLQLKLKEKEELEENLREQMNAVNAKLDEMKRQVSEKDGSLKYSQQQLSDAKIKLADKQAALEKIHWETMTSNKKVEKLQEELDSVQVDISTFTLLLESLSNTDTAMYTDDYDTKPYVFNHVPEIDDLDEMEWQKIEEARKAYIAAVAFSKEKQDEESIAAAANARLRLQSLLFKSKNFNT >CAK8538759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495202304:495203603:-1 gene:gene-LATHSAT_LOCUS7923 transcript:rna-LATHSAT_LOCUS7923 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYPNNSFFLFLCVCLNFSTMVFGGNFNTDFNIIFGDKRANIQNGGNSMTLEMDKYSGSGIGSKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGPHHDEIDIEFLGNLSGDPYILSTNLYAYGNGGHEMQYYLWFDPTLDFHTYSIDWNSQRIIILVDNIPIRVMHNRQNIGVAFPTRQPMRLYTTLWNGDSWATRWGQVKIDWSKAPFIANFRNFNANACILSSSNNCYGFNGGKNKGLNGETKIKLKEIHAKWNVYDYCRDFRRYAHGLPYECRKTNNRKGLQDE >CAK8575521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:149557901:149560140:-1 gene:gene-LATHSAT_LOCUS27778 transcript:rna-LATHSAT_LOCUS27778 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDKNLLLNYVPVYVMLPLGVVSVDNVLEDPEGIKEQLVKLRAAGVDGVMVDVWWGIIEKNGPKQYDWSAYRSLFQLVQETGLKLQAIMSFHQCGGNVGDVVTIPIPQWVLDIGESDPDIFYTNRSGTRDKEYLTNGVDNKPIFHGRTAIEVYSDYMKSFRENMSDFLKSEVIIDIEVGLGPAGELRYPSYPQNQGWVFPGIGEFQCYDKYLKEDFKSAAAIAGHSDWELPDDAGTYNDVPESTEFFKTNGTYLTKKGKFFLTWYSNRLLNHGDQILDEANKAFLGCKVKLAIKVSGIHWWYKAPNHAAELTAGYYNLDDRDGYRPISKIVSRHHGIMNFTCLEMRDTEQSSDAQSSPQKLVQQVLSGGWRENIEVAGENALSRYDATAYNQILLNARPQGVNKDGPPKLRMYGVTYLRLSEDLLQQSNFDIFKKFVLKMHADQDYCEDPQEYNHGIPPLKRSEPNIPVDVLLEATKPIAPFPWDSETDLKVDG >CAK8571697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462039617:462039910:1 gene:gene-LATHSAT_LOCUS24335 transcript:rna-LATHSAT_LOCUS24335 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAREGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8568156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577811867:577816421:1 gene:gene-LATHSAT_LOCUS21146 transcript:rna-LATHSAT_LOCUS21146 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETDAASRNLGGVILPLNHGSVESANLKSHYSLEDCCRLKKRCKEDAGTEPAGSFKSRLAGIATAPPFGTSSLLTPSRGLKRKIGCIDVATQMGRKKKIEDDYVSGESIGQGKFGSVYLCWSRASGAEYACKTLKKGEETVHREVEIMQHLSGHSGVVTLQAVYEEEESFHLVMELCSGGRLIDQMVKDGPYSEQRAANILKEVMLVIKYCHDMGVVHRDIKPENILLTKSGKLKLADFGLAMRISEGQNLTGLAGSPAYVAPEVISGKYSEKVDIWSAGVLLHALLIGCLPFQGDSLEAVFEAIKTVKLDFQAGMWESISKPARDLIGRMLSRDTSTRISADEVLRHPWILFYTAQSLTMLPIKAKLKNQTGGACRRLIAAPEPRLGGNLIDNGSLSELLTSESCNSDDQDECALIDALATAISHVRISEPKRSRLCSPTGPIVQQGSSNMKANNLCKAF >CAK8569219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:683225549:683227667:1 gene:gene-LATHSAT_LOCUS22098 transcript:rna-LATHSAT_LOCUS22098 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVVLSVLPFLLLLLINDYGSFARDMNQVDQPYLDGWLKDIRVEKEESNPDSNQVYLDGWLKDTRVEEVRVNPDSNQVYLDGWLKDTRTEKDKSNLDSNQVYLDGWLKNTRTEKVKSSPDSNQVYLDGWLKDIRDEKTKSTPNSNQVYVDGWLKDIRVEKAKVNSDSNQVYLDGWLKDTRAEKVKVNLDSKQVYLDGWLKDTRTEKENSNPDSNQVYLDGWLKDTGAGKETSSPNSNRVYLDGWLKDNHIENEKSITNSKQAYLDGWLKDSHAENHIKIGQDLVVSNEKPSSKVDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSPKGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYNIHSTSYPTTSGAQLQNYTVLDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPSPPHATK >CAK8531223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:91320858:91323499:1 gene:gene-LATHSAT_LOCUS1029 transcript:rna-LATHSAT_LOCUS1029 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVMCEMHSPTCSTVISSFHHLNRRRNIRNPSILRAKSSILIPKQDSKLKDPLSDSASRRLILLRHAESSWEQTALRDHDRPLSMSGKEDAVKVSLKLQQLGWIPELILSSDAVRTKETLKIMQEQVQELLEAEVHFVSSFYSIAAMDGQTADHLQKVICKYSRDEILTVMCMGHNRGWEEAASMLCGASVELKTCNAALLETAGKSWNEAFAAAGFGGWKLQGILKPSS >CAK8565401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:168325525:168327584:1 gene:gene-LATHSAT_LOCUS18619 transcript:rna-LATHSAT_LOCUS18619 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNISKRSGVRKYQKLEQPRLRWTPELHQYFVQTVESLGGKQKASPKHILNMMQVKGLKISHIKSHLQMYRNVKGKTILEENSQCNHCFTSSPQRLKNITQEFQPLYSKGHSQTSETGHYDLNQVMF >CAK8571857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483830831:483832141:-1 gene:gene-LATHSAT_LOCUS24480 transcript:rna-LATHSAT_LOCUS24480 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGLINEEKMVFDETELRLGLPGNGSKVTEVAVRKRGFSETESESESESEITTVDLKLNLSPKEGAADPQFMPKEKSLLVSDSGTKPPAKTQVVGWPPVRSFRKNMFAGQKSIGGSEETKKKNTDGPNAISFVKVSMDGAPYLRKIDLKMYKSYPELSDALAKMFNSITTGNCESQGIKDFMKESNKLMDLLKTSDYVPTYEDKDGDWMLVGDVPWEMFMESCKRLRIMKGKEAIGLAPRAMEKCKNRS >CAK8565048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31728897:31731563:-1 gene:gene-LATHSAT_LOCUS18289 transcript:rna-LATHSAT_LOCUS18289 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLSMIPSPFQCGDKLGNLILGLAKNFSLFMIMVILCNGLHFFLKSYSQPRLTSHIIVGVFVGNIGFVRILFEKFNMTFGFIIDFGMMAYMFALGIEMDPYILLQKPSRHVRVACSGVGITFVLAIFVSPFVRYFPNVEKLVEFSLALSILMASTDSPVLTRLITQLKIGKSDIGKLVIASSMHSDFLCYCLLSISYIFAPLPDVCHDLNLGFDSKRRMKMGFAVLAEVVFTLLVSPFFMSWVNNENPDGRPMKGPHLILSIAFVVLMCSSSVLTGYTPILSAFMVGVCFPKEGRVSKWIVTKINYLLNTIFFYIFFLWVGFEADLRQFDGKNFNTWVKIFMLIVLSITGKILGALVSGAIQGFHWPEATSIGLLLTAKGHLHIYLAVKVIGCGEATTVSTVIGMIFAIFFTVIYLPSVVAVIIKRAKKRSPTHRLALQSIDPSSELRILVCVHGPQNVPASISFVEITKGGADPGILVYVADMIELTNEISVNLEKDEGVHTATVKDKEVMEMREKITSAFQAHVLDNGEGITLKRTMALSTINNMPQDICVLAEDLMVALVILPFHRFQRQDRTLDGGNQGFRYVNRKVLRSAPCSVGILVDRGFGSLERLTRCQASENVAVIFIGGKDDREALAYASRVARHPGVNLTVIRFLVDTTAESSRLVGYRIILPEQEQEMQLDDECFAEFYEKHVIGGRIAYTEKHLANASETFSILKSFEGLYSLVIVGREGGVNSILTRGMNDWQQCPELGPIGDVLSGPDFAVTLSVLIIQQHRLKGEIDGLDEDFSVMSYNNIR >CAK8538774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496007540:496013681:-1 gene:gene-LATHSAT_LOCUS7936 transcript:rna-LATHSAT_LOCUS7936 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVLFFILLFCSTFISHFTSAATLKLNTQEVKALKEIGNKIGKKDWDFGVDPCSGKGNWNVSESDNWKGFESSVICDCSFKNNSSCHVVSMSLKEQNLSGILAPEFSKLPHLKILDLSRNIITGSIPRQWTTMNLVELSLMGNRLSGPFPKVLTNITTLKNVSIEGNLFSGIIPTEIGKLINLEKLILQSNAFTGALPSALSKLTKLNDLRLSDNNFFGKIPDFISKWTVIEKLHIQGCSLEGPIPSSISALTKLSDLRITDLRGSRSSTFPPLNNMKSMKTLVLRRCAIKGEIPEYIGVMEKLKILDLSFNSLSGKIPDSFTELDKVDYMYLTSNNLSGTIPRWVLENNKNIDISNNNFEWENSSPLECPRGSINLVESYSLLSSKKSNIHSCLKRNFPCSSDNPRRYSMRINCGGSEANVSGSIYEADTERKGASMLFITNGWALSSTGGFMDNDIDSDPYTVTNTSRLLNVSMINSKLYTTARVSPLSLTYYGLCMINGNYTVKLHFAEIIFINDRSFNSLGRRIFDVYIQDELVLRDFDIEQEAGGTEKAIVKKFNATVTQNTLRIQFYWAGKGTTGIPTRGVYGPLVSAISVDPNFKPPLEHENNNRTYVILLTVGIVSGVLVVVLIMLAIMRRKGMLGGKDPVYKELQGIDLQTGLFTLKQIKTATKNFDAENKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMLSGLQHPNLVKLHGCCVEGNQLILIYEYMENNCLSRILFGKGSDRKTKMDWLTRKKICLGIAKALAYLHEESRIKIIHRDIKASNVLLDKDFNAKISDFGLAKLIDDDKTHVSTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALETISGKSNTNYRPDDEFFYLLDWAYVLQERGSLLELVDPDIGSDYSTEEAMVMLNVALLCTNASPTLRPTMCQVVSMLEGWTDIQDLLSDPGYSAAGSSSKHKSIRSHFWENPSRSQSMSIPTIYTDSSGSHVETEENKSLCKK >CAK8578049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603972035:603973519:-1 gene:gene-LATHSAT_LOCUS30093 transcript:rna-LATHSAT_LOCUS30093 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDLPAVGNKFTWFNSSGNCRSRLDRFLVDDTAISMLSLLNQLVGDRDVSDHMPVWLKSNFVNWGPKPFRSFNCWLSHKDFIPFVKKSWCSYHVSGSHCNILIKKFSVLKSDIRNWNRNVFGWLDLKIEKNVSNLNSLEMNIDLISSSNLAELNKDRLRAQEEMWKNLRIKESMLAQKSRLKWLQDGDHNSKFFHDSLRARYRSNCISAIRTGVGLEEEPDAIKSEAVKYFKERFKSNSSPKFTFDFDHIVCLAEEDRNCLEADFSLAEVRSAVFSCDGNKCPGTDGFNFSFIKSCWEILGEDFSNCILEFFNTGYLPKSFASSFISLVPKTKNTQHFEDFRPITLVSCVLKVISKMLASKLRKVIHKIISPSQTAFIPERQIYDGVLLANEVADFAKRSKMGCFFFKVDFAKAYDCVDWFYLDALLVKMGFGIKWMKWIRGSVFNSFVSILINGSSSKDFRTGRGLKQGDPLAPFLLEVTIVDILFGSRFC >CAK8531294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:98664042:98665753:1 gene:gene-LATHSAT_LOCUS1097 transcript:rna-LATHSAT_LOCUS1097 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNLRFMFLLSLFVSTTLSQQTQTCQTHTFTNNQRFTSCRDLPQLTSYLHWTFDQTTGKLDIAFRHAGITSANQWVSWAINPNNDLTSSMTGAQALVAIPQSSGSPRAYTSSIASPATQLAESRISYNHSRLSATHVNDEVTIFATINLPSGVTSLVHLWQNGPVSGGSPQSHATDNSNLSSKERLDLLSGVTQAGSGGGSLSRRRNTHGILNAVSWGILMPLGAVIARYLKVFKSADPAWFYLHVTCQTAAYIVGVAGWGTGLKLGSDSVGVTYSTHRALGIALFCLGTLQVFALLLRPNKDHKIRIYWNFYHWGIGYATIIISIINIFKGFDALEVSAGNRYDNWKHAYTGIIAALGGIAVLLEAYTWIIVIKRKKSENKLSHGTNGTNGYGQQV >CAK8530585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32530514:32532925:-1 gene:gene-LATHSAT_LOCUS438 transcript:rna-LATHSAT_LOCUS438 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSISIFFLSFSSLFLLHNSLPVSPQSIIHFTSQINQINLKIAHLESVLEETNRKVAERDLYIQESEKRMNEMAEKIHHLNSTLSSFKDDSLHAETRLKALEEEVQLLWSALRKNNFDLHILKSKAEDSEKRLEEVTSRVEKMSDIVTEQWIQVQHLEQALHIAKMRALKAQRQASLARCIFLKFINSLHDDLRALESFVFGERSIVGSFISRAMDHLKRCYSMTKKYHHQLQSSIKDVMKRNELTASLANDELVFILASAIITFPLMSAWMLFSS >CAK8542016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455569680:455570090:-1 gene:gene-LATHSAT_LOCUS10892 transcript:rna-LATHSAT_LOCUS10892 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRSGGERGKENPKKGKSKCLAPGKLIDNRNSMFRKKSTFVQSSQATTTPTPPTWTPSSAPTPSLAPTPSVASTLSPALNPLPVRAAAVPRTTPILRIVPVPQAIPPLQTTLSSTPNHASTSEVFKFMPTPGLNI >CAK8565499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:246690402:246697118:-1 gene:gene-LATHSAT_LOCUS18710 transcript:rna-LATHSAT_LOCUS18710 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYISAKGIKRFTISNGGGKGSAKDTTATTVAGGPTVTASSSRRISLRAVLPVVLVLGIVLPFIFVRVAILVLESATFCSSLECAGWRFFGGADTSLKLRDELTRALMEANDGSVNDNEGVGSFNELVKEMVLKQDLKAFAFKTKAMLLQMEHKVQRAKQQESVYWHLASHGVPQSLHCLCLKLAEEYAVNAIARSSLPPPEFASRLADPTLHHLVLLTNNVLAASVVVTSTVENSANPEKLVFHVVTDKKTYASMHAWFATNTIQSAIVEVRGLHQYDWSEEMNAGVKEMLETNHLIWKHYYDKEKNINYSNLNALRPSSLSLMNQLRIYIPELFPDLKKIVFLDDDVVAQRDISSLWDLDLNGKVSGSVFKSWCEDDCCPGSKYMNYLNFSHPLISSNFDDEQCGWLYGMNVFDLDAWRRTNITETYRKWLKLNLESGTALWNPGVLPPALIAFDGQVHPIDPSLIVTDLGYRYRSEEISKESLEAASVIHFSGPAKPWLEIGFPEYRRLWSRYVNSSNKFVRRCRIIR >CAK8562619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:516526967:516527605:-1 gene:gene-LATHSAT_LOCUS16104 transcript:rna-LATHSAT_LOCUS16104 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLSLLAVLLLATFSMFSMKNVGATDCSGACSPFDMQPCRSTDCRCTAFTLFAGLCTNPSSPSVMKMIEEHPNLCQSHADCIKKKSGRFCARYPNPDIEHGWCFASNIEAQDIFFNISSNSEISKTYLKIHSST >CAK8577414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562585441:562596625:1 gene:gene-LATHSAT_LOCUS29527 transcript:rna-LATHSAT_LOCUS29527 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSTSTSSNAMHSNFVEITLNVHQVVLPPPVSTLQRIKIKLKEIFFPDDPKRQFKGKTLNTKLILGVQYFFPILKWLPNYSFDLFKTDLVAGLTIASLAIPQGISYAKLATLPPIIGLYSSFVPPLVYVVLGSSRDLAVGPVSIASLVMGFMIAEEVSPTTQPDLFIQLAFTSTFFAGIFQASLGILRLGFIIDFLSKAILLGFTAGAAVIVSLQQLKSLLGITDFTKQMAIIPVMRSVFDNIHEWSWEAIVMGFSFLGILLLARQISIKKPQLFWVSAGAPLACVIISTILTFLIKGQKHGISIVGTLQQGINPASIDMLLFHGDYLSLTIKTGIVSGILALTEGIAVGRTFATLRNYKLDGNKEMMAIGFMNVVGSTTSCYVTTGSFSRSAVNHNAGAKTAMSNLVMAVTVMVTLLFLMPLFRFTPNAVLGAIIITAVVGLIDIPAAYLIWKLDKFDFIVLLTAFFGVIFFSVQYGLALAVGLSIFRILLQITRPKTTMLGNIPATTIYRNIHHYKEATRVPGFLILSIEAPINFANITYLHERILRWIEEEEDTKTENSCLQYVILEMSAVSSIDTSGVTLFKELNAALKIKGVGLILVNPLAEVIEKLKKADEDNYFVRPDYLFLTVGEAVGALSSAMKNQLQTIEEEIE >CAK8536771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:17859153:17860583:-1 gene:gene-LATHSAT_LOCUS6104 transcript:rna-LATHSAT_LOCUS6104 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSCVVPRLFCSSCETENDWSYMKYMLDLDIKNGKRPMEIDDAGDEHHPKKCTKMLESCHIVETVRLSIQQNKDSVLSRVDQEIIEPVDVCEGVNPGGSMDSLDSGVQQSDEEQQAGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYTWRRLNGIMEHWVYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWSSGMRMNAPRCLFGSASLGEIAILAGGCDSEGRILDSAELYNSEAQTWELLPSMKKPRKMCSGVFMDGKFYVIGGIGGRDSKLLTCGEEYNLQTRTWTEIPNMSPGRSSRGSDMPATTEAPPLVAVVNNELYAADYADMEVKKYDKERKLWNTIGRLPERAVSMNGWGLAFRACGNRLIVIGGPRTHGEGFIELNSWVPSEGPPQWRLLDRKQRSGNFVYNCAVMGC >CAK8533497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:626104364:626104873:-1 gene:gene-LATHSAT_LOCUS3120 transcript:rna-LATHSAT_LOCUS3120 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEMPPCRSTACRCIPVGLVIGYCRNPSGVLRTTDEHPNLCEADADCRKKESGNFCGHYPNPDIEYGWCFESKSEAEDVFSKITPKDLLSTDIDIQKKTMYARDGEPMYFK >CAK8542734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541709860:541710462:-1 gene:gene-LATHSAT_LOCUS11545 transcript:rna-LATHSAT_LOCUS11545 gene_biotype:protein_coding transcript_biotype:protein_coding MQTALTFAAATTTVVPLTKPKSVSHLTTFPTKFTALRASPPRYTPIKVASGNSDPSTVNYNSAFSVFPAEACETVGGEACLADMYPEVKLQPEASNDTPKVAASENIDRDYLEYNDPKTVFQAEACDDLGGAFCEPDYQKGVY >CAK8573029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:578436264:578450228:1 gene:gene-LATHSAT_LOCUS25524 transcript:rna-LATHSAT_LOCUS25524 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRHRATRTTSLRDTSDSSTGSWDAIEWTKIEPIARFVSHANLDFLLDDERVMAEGHGVVLVNTDDAGVLIVTNFRVIFLSEGTRKVIALGTIPLATIEKFNKIAVKVQSNTRQLDKTPTQRLLQVIGKDMRIIVFGFRPRTKQRRAIYDALLKCTKPTILWDLYAFMPGPSSFQNTSPLVRLLDEYFRLIGDVSHHASMDMIESGSFTLSNDLWRISGVNSSYTMCQNYPFALVVPKSISDDEVLQACKFRARCRLPVISWCHPVTGAALARSSQPLVGLMRNMRSNMDEKLVAALCSNLDGSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIIFFGIDNIHAMRDSFVRLREYMDTHGRTSSDGMSSFLRHGGSIWGGGNLSSMSASVSTLGDSGWLLHIQNVLAGAAWIAARIAMEKASVLVHCSDGWDRTSQLVSLANLLLDPYYRTFKGFQALVEKDWLAFGHPFSDRVGTPSISECGNMSFELSRQQSSNSIPSSPMPQSSGTFTSQSPVSSHAHNLNNYSPIFLQWADCVSQVMRMYPFAFEFSAAFLVDFLDCMFSSRFGNFFFNSEKERLQCNVFESCGCVWAYLADMRRSEGGSHVHFNPFYDPFYGPLLPPAAALAPTLWPQFHLRWACPEEAQSGEIEAQCRKIKLKNSEMEKAKEVTERKLRENTNAIESLNAELRREKLLNISAMTKAKRIIKENTDIKRAIQSIGCKIHISNTGDCILDIENSPENAVQKLHFSSRQVSSSAVSNDKKDISLSVTEDDDGNNVISRICETLCPFRSRDGGCMWPNGGCAQIGSQFVGLKANFDAFDRLSIDDSYFKPK >CAK8568832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644917277:644917861:-1 gene:gene-LATHSAT_LOCUS21751 transcript:rna-LATHSAT_LOCUS21751 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIRNGWDQIVNAHKFKVSVLYKGMIDDGTRVQWRNLVQFNKGRPRAVFCLWQACYGKLATKDRLKRFGMLEDSNCNLCQAEEETLNHLFFSCQVTSHIWKEVLDSFNIPHDPQPWDAEMIWLTKLTKGKGWKAEILRMVAAETIYNIWGYRNDKKFGNTVDNTTTVSNIIDYVIYRGWNNIRIRKHFVNFKL >CAK8542599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:528792903:528797777:1 gene:gene-LATHSAT_LOCUS11425 transcript:rna-LATHSAT_LOCUS11425 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISSLHHLLFSSIVIFSLLLNHVHANKKCYIVYLGAHSHGPTPSSADLEFATSSHYHLLASILGSEENAKEAIIYSYNKQINGFAAMLEEEEAAQIAKNGKVVSVFLSKEHKLHTTRSWEFLGLRGNDINSAWQKGRFGENTIIANIDSGVWPESLSFSDRGIGPIPAKWRGGHICQINKLKGSKKVPCNRKLIGARFFNNAYQSVNGKLPRSQQTARDFVGHGTHTLSTAGGNFVPGASIFNIGNGTIKGGSPKSRVATYKVCWSLTDDTSCFGADVLAAIDQAISDGVDLISVSAGGSLSTNSEEIFTDVISIGAFHALARNILLVASAGNDGPNPGSVVNVAPWVFTVAASTLDRDFSSSITIGNKTITGASLFVNLPPNKSFTVVTSTDAKLANATNRDAQFCRAGTLDPSKVKGKIVACVREGKIKSVAEGQEALSAGAKGVILRNQPLINGRTLLSEPHVLSAITLPPNHSKTVQHNKDLIPTDIKSGTKIRMSKAKTIYGRKPAPVMASYSSRGPNKVQPSILKPDVTAPGVNILAAYSLIASASNLITDTRRGFPFNVMQGTSMSCPHVVGTAGLIKTLHPNWSPAAIKSAIMTTASTRDNTNKPIRDAFDKKLANPFAYGSGHIQPNSAIDPGLVYDLSIIDYLNFLCASGYNQQLISSLNFNMTFTCSGSHSITDLNYPSITLPNLGLNVVNVTRTVTNVGPPSTYFAKAQLSGYKVFVVPSSLKFKKIGEKKTFKVSVQATKVTPRRKYQFGELKWTNGKHIVRSPITVRRK >CAK8569959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18203441:18213899:1 gene:gene-LATHSAT_LOCUS22754 transcript:rna-LATHSAT_LOCUS22754 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDKELEEELLEAGEKLLDPPSSVDDLITVLIQIEKCLSKVEQSPSESMHNALSPALKALIADDLIKHSDDDVKVALASCFSEITRITAPDAPYDDDQMKEVFRLIVSSFENLHDKSSRWHSKRTLILETVAKVRSCVVMLDLECDALILEMFQHFLKTIRAYLPDNVFSSMETIMILCLEESEDISLDLLSPILESVKKDNEEVLPIARKLGERVLESCAARLKPYLVQAVNTLSISLDDYSGVLALICNDSSDNSMQNDVHDCKSAEEPVEESAQVDSEITKEATPPQQDNDADGDRSPKSVMSNGIAQAGEDDTLVESKPLKKQDGTDSPGLSKGNNLSVNEERNDLDTEIIDSKEHKLERSIKRKGKKTSSSKPAKPAKRSNVVSEKEAEKTFDSKSPSKEVPSSLNDDSVVEATGTSENDKEIKTTISSPKVGDIESDAIISHSPSESNHDENRSKKRVRTKKKGSSVIDVTAGHISKKVSEGTCDSEVKSARPSAKKGLGRSSDVKASTVVDAVKKGSGKSEEKTKGDGGSSSRKPEDKKKGSGKTEERTKVDGGSSSRKSEDKKKKGQVKGSSEKGLAKPSSKDEDKVMVSSLKSATKTTKDEHSEDTPKTNLKRKRTPGKEKVLDIKKNDEKLIGKRVKVWWPDDDMFYEGVVDSFDSSIKKHKVLYDDGDEEILNLEEEKWEIVEADADPDVQEEGSHQANPDPSIDMPLKKKGKTNAGESKKEGKKDSSSKSGRASSSKSKTPSIKSTQKSKTVGKSDGEVTKKSKDSAQKTGGKFEDRSVKSGGQSDERSVKSGGKSIDSTQKNNSKKTDGSKIKKYKDDDVDTPKPSGSKIKKSKDDDVDTLKPSTNSKQETVKTGKSKQGTNKTVEDDDDDTPMPSANSKQITVKTGKSKHGTSKIASSSKPKHTKSTGKVNFDLMLTEDSENENSEDSTKEVEDMKVKTPSSLKAGSVVKSGKKRARN >CAK8569958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18203441:18213899:1 gene:gene-LATHSAT_LOCUS22754 transcript:rna-LATHSAT_LOCUS22754-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDKELEEELLEAGEKLLDPPSSVDDLITVLIQIEKCLSKVEQSPSESMHNALSPALKALIADDLIKHSDDDVKVALASCFSEITRITAPDAPYDDDQMKEVFRLIVSSFENLHDKSSRWHSKRTLILETVAKVRSCVVMLDLECDALILEMFQHFLKTIRAYLPDNVFSSMETIMILCLEESEDISLDLLSPILESVKKDNEEVLPIARKLGERVLESCAARLKPYLVQAVNTLSISLDDYSGVLALICNDSSDNSMQNDVHDCKSAEEPVEESAQVDSEITKEATPPQQDNDADGDRSPKSVMSNGIAQAGEDDTLVESKPLKKQDGTDSPGLSKGNNLSVNEERNDLDTEIIDSKEHKLERSIKRKGKKTSSSKPAKPAKRSNVVSEKEAEKTFDSKSPSKEVPSSLNDDSVVEATGTSENDKEIKTTISSPKVGDIESDAIISHSPSESNHDENRSKKRVRTKKKGSSVIDVTAGHISKKVSEGTCDSEVKSARPSAKKGLGRSSDVKASTVVDAVKKGSGKSEEKTKGDGGSSSRKPEDKKKGSGKTEERTKVDGGSSSRKSEDKKKKGQVKGSSEKGLAKPSSKDEDKVMVSSLKSATKTTKDEHSEDTPKTNLKRKRTPGKEKVLDIKKNDEKLIGKRVKVWWPDDDMFYEGVVDSFDSSIKKHKVLYDDGDEEILNLEEEKWEIVEADADPDVEEGSHQANPDPSIDMPLKKKGKTNAGESKKEGKKDSSSKSGRASSSKSKTPSIKSTQKSKTVGKSDGEVTKKSKDSAQKTGGKFEDRSVKSGGQSDERSVKSGGKSIDSTQKNNSKKTDGSKIKKYKDDDVDTPKPSGSKIKKSKDDDVDTLKPSTNSKQETVKTGKSKQGTNKTVEDDDDDTPMPSANSKQITVKTGKSKHGTSKIASSSKPKHTKSTGKVNFDLMLTEDSENENSEDSTKEVEDMKVKTPSSLKAGSVVKSGKKRARN >CAK8543982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653477137:653479752:1 gene:gene-LATHSAT_LOCUS12699 transcript:rna-LATHSAT_LOCUS12699 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTTTFILYLVSAFSVAILSALYLSQTTNHHEKPSLSLPLNRLQLHTPYGSKTVKTWPELKASWRLALATVIGFLGSAFGTVGGVGGGGIFVPMLTLIIGFDTKSAAALSKCMIMGASTSSVFYNLQVRHPTKDVPILDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFFKGTEMWKEETLLKKEMAQAQTTFVNSRGELLIDTEYDPLVPREEKTPMQIFCSNLKWKRILVLMVVWVSFLLLQIVKNNAKVCSVWYWVLFSLQFPIALVVFGYEGVKLYNDYKKRMSTGNLECICEASIEWTVLHIVFCALCGILGGTVGGLLGSGGGFILGPLLIEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLFTVSVLAGFVGQFFVRKLLRILGRASIIVFILSGVIFASALTMGVIGIEKSVHMIQNHEFMGFLGFCSSQ >CAK8578863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659277822:659280665:1 gene:gene-LATHSAT_LOCUS30845 transcript:rna-LATHSAT_LOCUS30845 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGKTTVGKIMSQALSYSFCDCDTLIEEEVDGNSVADIFKLYGESFFRDRETEALHKMSLMHKFVISTGGGAVLRPINWKYMHKGVSVWLDVPVDALAKRIAAVGTNSRPLLHEEAGDAYTAALMRLSSLFEERGEFYANANARVSLENIAAKLGRKDPSDLSPTAIAIEALEQVGNFLKAEDGGYAR >CAK8576676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505075413:505075688:-1 gene:gene-LATHSAT_LOCUS28849 transcript:rna-LATHSAT_LOCUS28849 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRMTSIIRRASFSKTQGSSKEFEVPKGYLAVYVGDKMRRFVIPVSYLNQPLFQELLNKAEEEFGYDHPTGGLTIPCGEDEFLSLTSRLN >CAK8574329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:675559256:675559802:-1 gene:gene-LATHSAT_LOCUS26684 transcript:rna-LATHSAT_LOCUS26684 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEVADTSDFQQTIWPRAAAAAERLWSPIQFTTGRNGNLTPLSRLQYFRCLLNRRGVPAAPVTNSYARTPPAGPGSCFEQ >CAK8560224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10865776:10869096:-1 gene:gene-LATHSAT_LOCUS13917 transcript:rna-LATHSAT_LOCUS13917 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPYAVAASLINRLASAAFREFGRIYGVKNELERLKNTVESIRAVLLDAEEKQETQNHAVRNWVRRLNDVLYPAYDLLDKFVIQDMKQKMDAAHKNKVSKVLRKLSATQIGFRFKMARKIEKIQQMFNDVARDMTGLNLNPNIVVVVQSNNIKRETSSYVLDSDIIGREEEKKEIISLLKQPHGNQNVSLVAITGIGGLGKTALAQLVYNDAQVKNIFEKCMWVCVSDNFDVKTIVKKMLESLTKSKIDDTLSLDNLQNMLHDNLTGKRYFLVLDDIWNESFEKWTQLRTYLVRGAQGSKVVVTTRTKTVAQTMGITDPYVLNGLTPEESWGLLKNFITYGDDTKGVVNQTLESIGKKIAEKCRGVPLAIRTLGGLLQGKSEEREWNDILEGDFWKSCDDEESIMPVLKLSYQNLSPQLRQCFVYCSLYPKDWEIKKDELIQLWMAQGYLECSDEKQLMEDIGNQIVKIFFMNSFFQDAETDRLGDIRSFKMHDLVHDLAMQLSGSNCCYLDSATKIPVRSPMHVMLKSDAISLLESLDASRTRTLILLSNFSDSWNEKELSVISKFENLRVLKLSHCSLSKLCDLIGKLKHLRYLHLWYCEGLGSLFYSISSIVFLQTLILEGCKEVEFSTQDISKLINLRHFDIENFKASELKKTTSQFGKLAVGGQYNSVIFLNWFSSLTNIVQISLCQCPDTKYLPPMERLPFLKSLCIYHLRVLEFIYYEEPLLSESFFPSLVKLKFVGCAKLRGWRRMRDDVNDVDNSSQLYNLSFPRLSQLEIYRCFSLTHMPTFPKLDKTLILTHSRGETLEATLNITASKCLIEFPPLSMLKYLYFGGFDLNVKRLPNDWVQNLTSLEHLVIYDLGNKNFQEIEIWFKDDLNYLPVLRRIKFWNCSSLKTLPDWMCNLSSLQHITILECMPLASLPKGMPRLTKLQTLEIIACPLLVKECETETSATWPKIAHIPNIILKS >CAK8537872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444185908:444187968:-1 gene:gene-LATHSAT_LOCUS7124 transcript:rna-LATHSAT_LOCUS7124 gene_biotype:protein_coding transcript_biotype:protein_coding MMHILTQIWRLVLQPKVWRFVGFASSIVGLLCYALSSSFNHLFGNWNLFKIILYTLFSFIISLMILYANIWKSSTSLRFKAHAAFLVLTITSVYSFFFDKVVNGKPDAYSLVSCASFSIMSLSLSRQTHCGVEIDLLYFFLGCLIVQLVKISMQLLILGVGFSYSLIILRSSISSIEDGIENEYFDLQGENFVVLEMDSLLLQQLKTCMKEIEEENLNLIDRLMKLVKEYNLDKSELHLLGKCDYVTDTLSSRKIHNLNEIVKLMIAAGYKKECYDAYSSWRRVFFQVCLKNEMFELPTENINTIHEYEREKYLDTMIERWMTALDVAMIILFPIEQKLCNRVFLGFSSAASSCFFEVCKESTSQLLYFADTIASGNPTKWCLFKMLRIFGHLGNHIPKFQSLFPDSTLLNKAIAVKNRLGEASRDLFLEMHNVIFRVPEATETVLTHGLHRITFEVMSYVSLGCMSRKKLKQILQAYPKVDNEVEVSSFFLKHMEQIIEMLPKKLIEKLKNCKDPALRHILLVNNRSHIEAINQFSELETIFGNDWFQNNKAKIRQHIELYKRISWNKVLDFLKLEDNDNITEEFLKEKIYLFNTHFEEICRVQSDWFVYDNKLRDEIISSVENMLLPAYGIFVGRLQDILGNHAYEYIKYGMFDIQDRLNQLFQKMQIYELESEFIRYKTLCVF >CAK8534338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719028216:719029743:1 gene:gene-LATHSAT_LOCUS3889 transcript:rna-LATHSAT_LOCUS3889 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSIGIIVSFLFLACFGEGGNLDIAQYGGKSNSDITEAFKKVWAEACNSTSAVKIVIPSGNYRTSGIDAEGPCKAPIEVQVDGTIQAPSDINQIQKGIDQWIRFSTMDHLTISGGGIFDGQGANIWKKAIEARSEDRADKKDSMNLGFYFVNNSVITGITSKDSKSFHFMIYGCENITLDGIKVSAPGDNINTDGIHLEKSTDVKILNTDIATGDDCVSIGDGSRKVLVQNVKCGPGHGISVGSLGRFGNLGRLTNEDNVEGFIVKNCTISGTENGVRFLTWPHGPGHITITDMHFEDITMVDVRNPIIIDQEYYCPWNMCNIKNPSKIKISKVSFKNIRGTTKAEEGVVLLCSSGAPCDGVELSNIDLKFKGQPARALCSNVNPIVKGNAPTCEAYGSPASQSI >CAK8578479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631658176:631669397:1 gene:gene-LATHSAT_LOCUS30486 transcript:rna-LATHSAT_LOCUS30486 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLSLGGGRGVFAWLCVCVFVSSITQGASEWFKPFNVSYDHRALILDGHRRILISAGIHYPRSTPEMWPDLIAKAKEGGADVIETYVFWNGHEPVKGQYNFEGRYDLVKFAKQVASNGLYFFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTNNEPFKEEMKRFVSKVVDLMREEMLFSWQGGPIILLQIENEYGNIESSYGNGGKEYIKWAANMALSLGAGVPWVMCRQTDAPYDIIDTCNAYYCDGFKPNSHNKPTFWTENWDGWYTQWGESLPHRPVEDLAFAVARFFQRGGSLQNYYMYYGGTNFGRTAGGPLQITSYDYDAPIDEYGLLNEPKWGHLKDLHAALKLCEPALVAADSPTYIKLGSKQEAHVYQADVHTEGLNLSLSQISSKCSAFLANIDEHKAATVTFHGQTYIIPPWSVSILPDCRNTVFNTAKVGAQTSVKLVGSDLLPSASIFLPAQKFIHRNGISNISKSWMTTKEPMNIWSKSSFTAEDIWEHLNVTKDQSDYLWYSTRIYVSNGDVLFWKENFAHPKLTIDSVRDILRVFVNGQLIGTFVGHWVKVVQTLQLQPGYNNLTLLTQTVGLQNYGAFIEKDGAGIRGTVKITGFENGHIDLSKSLWTYQVGLQGEFLKFYNEENESAEWVELTPGAPPSTFTWYKTYFDVPGGNDPVALDFESMGKGQAWVNGHHIGRYWTRVSPKSGCERVCDYRGAYDSDKCTTNCGKPTQTLYHVPRSWLKASNNFLVILEETGGNPFGISVKLHSASIVCAQVTESHYPPLQKLVNASLIGQEVSSNDMIPEMQLRCRDGHVISSIAFASFGTPKGSCQSFSRGNCHAPSSTSIVSKACVGKRSCSIKISSAVFGDEPCEDVAKTLSVEARCTSPSTNGSFQL >CAK8534978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:799139453:799142441:-1 gene:gene-LATHSAT_LOCUS4468 transcript:rna-LATHSAT_LOCUS4468 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTPLFYALILLASTFSLHFQAHAAPAGPLIKHLSSLIKWTRSATKMPQSDGNVLQFENGYVVETVVEGNEIGVIPYRIRVSEEDGELFAVDEINSNIVRITPPLSQYSRGRLVAGSFQGYTDHVDGKPSDARFNHPKGITMDDKGNVYVADTQNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVRPTCSLLVIDRGNAALRQIILDQDDCDYQSSSISSTDILTVVGAVLVGYATCMLQQGFGSSFFSKTRHSRGEFKGQPSNEKHMPIPDSSKEDPGWPSFGQLIADLSKLSFEALASTFTQFIPSHFKSNSVNRGLTPLKDRLVMPEDEVKPPLVNRKTAHVTHTENRQMPQVHTPSTAEKYSEVKPPKIKSSSFKDPSLSSKHRSSKRAEYAEFYGSSEIPSHAKSKSQKERTRHRHREKSGEVVSATNGAESKPVETRATAVDRSNSKFDHYSMRTNYVPGESFRFNSQ >CAK8566889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470307467:470308047:1 gene:gene-LATHSAT_LOCUS19988 transcript:rna-LATHSAT_LOCUS19988 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPINSLHSQEYVSIGCETCTRPVFPGQHEREGRWWWEDAKAKVCGLHKGNLKHDDAAQNNGNGNGMAHANGSATVANIFNSENVVSLSRAGIKILAKLQDRKEPWTVVLYAPWCRFCQAMEEPYVDLAENLAGSGVKVGKFRADGDENRSNN >CAK8543565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620163807:620164193:-1 gene:gene-LATHSAT_LOCUS12314 transcript:rna-LATHSAT_LOCUS12314 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKQRDLVLEFRTPQHPSLGINYWGGASPLLARNIPEESLEQKYAKLNTTRTRDEIFPADSDNLYSLKHSRSVKRSFNRGVARVKSLVVFEVLFMRKEKKVTMLGSNSNKIWIPRLDHKNRWPQGWC >CAK8539374.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:510402639:510403286:1 gene:gene-LATHSAT_LOCUS8477 transcript:rna-LATHSAT_LOCUS8477 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKVEDTLKVISGHDKGQIGEITRIFKHNNTVIVKDINLKSKHVKSNGAGEPGQIDKIEAPIYSSNVMLYSKEKDVASRVGHKALENGKRVRYLIKTGEIIDSVENWKKLKEADKKIAEIAAT >CAK8575288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:71308720:71309479:-1 gene:gene-LATHSAT_LOCUS27562 transcript:rna-LATHSAT_LOCUS27562 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISVCCCNGSVAPLSSPINLQAPLQPFTLKRTTVIASASKSGGGLSLSSIFKSCKNCEGKGAIQCPGCKGTGKNKKNGNIFERWKCFGCQGFGLIGCPNCGKGGLTPEQRGER >CAK8576268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440781254:440784472:-1 gene:gene-LATHSAT_LOCUS28471 transcript:rna-LATHSAT_LOCUS28471-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALVLPSPLSSHSLLRTSFSGVSVKLAPQFSTLTTSNFKPLTVVAAAKKAVAVLKGTSAVEGVVTLTQDDEGPTTVNVRITGLTPGLHGFHLHEYGDTTNGCISTGPHFNPNKLTHGAPEDEIRHAGDLGNIVANAEGVAEATIVDNQIPLTGPNSVVGRALVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPV >CAK8576269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440781254:440784472:-1 gene:gene-LATHSAT_LOCUS28471 transcript:rna-LATHSAT_LOCUS28471 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALVLPSPLSSHSLLRTSFSGVSVKLAPQFSTLTTSNFKPLTVVAAAKKAVAVLKGTSAVEGVVTLTQDDEGPTTVNVRITGLTPGLHGFHLHEYGDTTNGCISTGPHFNPNKLTHGAPEDEIRHAGDLGNIVANAEGNTGVAEATIVDNQIPLTGPNSVVGRALVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPV >CAK8576199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:424020805:424026297:1 gene:gene-LATHSAT_LOCUS28405 transcript:rna-LATHSAT_LOCUS28405 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRDKINKHYGFLVIIVVLLSFNVGPQLVSCQKNGGGTGAGFEIFAKELYNSLSNYTDVFKGAIKKELGYCIMDVDADWDGAFNFTKDLTFLTVCAQEMKGDITQRMCTAGEIEAYARSFAGGTRKAKGNLLKPNLNCNMSSWLNGCEPGWGCKANQKIDLNSKKKEVPARSVDCRPCCEGFFCPRGITCMIPCPLGSFCPRGELNKTSGICAPYRYQLPPGKDNHSCGGADIWADIVSSSEVFCSPGSYCPSTIIKNPCSRGYYCRTGSTAQERCFRLASCEPKSANQNITAYGLLVFAGLCFLLIIIYNCSDQVLATRERRQAKSRERAAASVRETQAREKWKSAKDIAKKHATGLQSQLSRTFSRKKTSKMPDFKGVLPPMVPGALKGKKKDKNNLSKIINDIEQDPDSQEGFDVQIGDKNVKKQAPRGKQLHTQSQIFKYAYGQIEKEKALQEQNKNLTFSGVISMANDIDIRKRPTIEVAFKDLTLTLKGKNKHLLRCVTGKIYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVLVNGQESPIRSFKRIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKEEKVLVVERVIESLGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFKMFDDFILLAKGGLTVYHGPVNKVEDYFSSMGVVVPDRVNPPDYYIDILEGILKPSASSGVNHKQLPVRWMLHNGYPVPMDMLHTIEGMSAPTGVPTQGAPNPNAKLTAAPSFAGELWQDVKCNVELKRDNLQLNFLTSNDLSNRTTPGSFTQYKYFLGRVGKQRLREARTQAVDFLILLLAGVCLGTLAKVSDESFGATGYTYTVIAVSLLSKIAALRSFSLDKLHYWRESASGMSSLAYFLSKDTVDHFSTIIKPLVYLSMFYFFNNPRSSFTDNYIVLLCLVYCVTGIAYVLAIFLQPGPAQLWSVLLPVVLTLLATYDNEEESKYVEFLSDLCYTKWALEAFVISNAKRYSGVWLISRCGALNKYGYDLKHWYQCLGLLVVTGIISRMLAFFCMMTFQKK >CAK8563320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:592163635:592164096:-1 gene:gene-LATHSAT_LOCUS16744 transcript:rna-LATHSAT_LOCUS16744 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTSPESPKRQSNGRFFVTMSSILTLVTRQACRLKAKVKSSPTKPINDEEWKIDHKAPKSPMARPKELLSSISNKAMIQFGKKKKQGEGRDEEVEGWGNGGVWQKEILMGGKCEPLDFSGVIYYDINGKQTREVPLRSPRASPLPGYLTRR >CAK8568899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:652255980:652257992:1 gene:gene-LATHSAT_LOCUS21808 transcript:rna-LATHSAT_LOCUS21808 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKVVSMKQYSNEEVDDDGRIKRTGNVLTATTHIITVVVGAGVLALAWAIAQLGWIFGIAVMIMFSSISIYTYNLIADCYRYPDPVNGKRNYTYMQAVHAYLGGKMYVFCGLIQYGKLVGVTVGYTITSSTSMVAIKKLICFHKNGHEAYCKFSNNPYIIGFGIVQILLSQIPNFHKLTFLSTLAAITSFAYAFIGSGLSLGVVLSGNGEPTSWFGIKVGPELSREDKVWKILTALGNIALACTYSTVVYDIMDTLRSYPSESKQMKKANAFGITTMTILFLLCASLGYAAFGDHTPGNILTGFGFYEPFWLVSLGNVCIIIHMVGAYQVLAQPIFRIVEMGANMKWPCSTFINKEYPNKIGSLKFNVNLFRIIWRTIFVILATVMAMAMPFFNEFLSLLGAFGFWPLVIFFPVQMHISQKQINKFSFKWCVLQLLSFVCFVISASAGIGAIHGISKNIKKYKLFMYKQ >CAK8568812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:643393841:643395085:-1 gene:gene-LATHSAT_LOCUS21735 transcript:rna-LATHSAT_LOCUS21735 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQATFREELDSMKGKIDQILEAILAARREEEQREAAAVVNNNGQGQGSTAIPLVPIPNPHGMPLNFNNAAKGNASHPTPAPGVTIGAIPQAQPTAVQIPAPHTEDTLMDHYDDVHNYHAAILISSPVAVQDSETMKMCRDLAEKLRAMEGHNSNSFSALELCLVPDVVIPPKFKVPEFLKYKGLSCPNIHLKMYCRNMAAYARDEKLMIHCFQDSLSRASLEWYMQLERNSVRTWAELADAFVKQYKYNTDLAPNRTQLQSMTQKDNESFKEYAQRWRELAARVHPPLVDRELIDIFMGTLQGQYYEKLIGSVSAEFSDLVIVGERIEEGLKSGKIPGGSNNQANAKKPFNGYKKKEGETNAIFLQKGQASQQAPAPMPYQVPYQGPQSLASTLSYNDPLSPSVFIPL >CAK8531574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126208378:126208674:1 gene:gene-LATHSAT_LOCUS1356 transcript:rna-LATHSAT_LOCUS1356 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVLKNLIAMLEGEDAEAAKSKNHGTDTAFNNRGSGNQDFSSARINSGSNSGDRKKYRTTNNHGERTVKNSGTFYGNGNGGYTEGNFNASTTNYKI >CAK8533773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659175848:659177365:-1 gene:gene-LATHSAT_LOCUS3371 transcript:rna-LATHSAT_LOCUS3371 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTTMFCAFFFFLFILFKIHHRRKFCKSPILNDYPFLGMLPTLLWKLSSFHDLVTEELKKHHRGTAEFMGPWFSNMNFLITSDPMNVHHMFSKCFDNYVKGHEFRDIFEAFGNGIFAADSETWKYNRSLFHSLFKQRGFEFFQEKLIQNKLEKSLIPLLDHVEQQSLVVDLQDVFNRFTFDNICSIILGCDPNCLSVEFPDVICEKAFDQIEECIFYRHVIPKSFWMLQKLLQVGQEKKMTKAYKEFDQFIYANIESKRKELKKGVKNNEKEDLLTTLMRDEKASQGVVHDDKFLRDAAFNLFVAGRDTITSALTWLFYLVATHPLVEAKILEEIQENFGGINIEKKIGVDEVKKLVYLHGAICEALRLFPPIPFETKHAIKGDILPSGHVVNPNTMILFSLYSMGRVEEIWGKDCLEFKPERWISERGGIVYAPSYKFISFNAGPRTCLGKDLAFIQIKMVAVAILCKYHIHVVKGHIPIPNLSIVLLMKNGLKVRLTKRDD >CAK8577180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:543246225:543246863:-1 gene:gene-LATHSAT_LOCUS29309 transcript:rna-LATHSAT_LOCUS29309 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLSNAVKVQAQELTKEDFKGSNWLVLRYAILNLEAIQAAIALAKQEGLLVSLDLASFEMVRNFKLPLLKLLESGDIDLYFANEDEATELLRGEQNADPIAAVEFLAKYRQWAVVTLGSDGCIARHGKEMIRIPAIGESKATDATGAGDLFASGFLYGVVKGLSLEECCKVGTCSGGSAIRSLGGEVTLENWQWMYKQMQVKGLPTPEGL >CAK8575377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:103539840:103541546:1 gene:gene-LATHSAT_LOCUS27646 transcript:rna-LATHSAT_LOCUS27646 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEHAVGTELVMSNVIGEEEIDFSCDPHVGLEFFNADDALRYYISYATRMGFKVRIGQLYRSRTNGSVSSRRFVCSKEGHQLSSRTGCPAFIRVQLNDSGKWVVDHFHKEHNHNLENESKIFAPTLQPIASATVDSSTGITRRPRKKLLEVGNGEPISPFGVINFKRLRKEELEGQARIEPHVGQEFSSPVEAYQFYHTHAAYKGFRIRNGQLFRSKNDGGITSRRFVCSKEGFQHPSRVGCKAYLRIKRQPSGKWVVDRLEKDHNHDLVPEKETRTASLPASNILTEVVNTEMVNSDMFRIDNYPVLRGGRQNHIRSDWYNMLLEYFQSRQAEDTGFFYAMEVDNGNCMSIFWADGRSRYSCSQFGDVLVVDTSYRKSLSTVPFATFVGVNHHKQPVLLGCALIADESEESFTWLLQTWLRAMCGRQPLSVIADQDVSIQRAVAKVFPVTHHRFSLWQINAKEQELAGLMGHGFTKDYEKCVYQSQTVDEFDTAWNALLVKYGLKDNSWLKEMYEKRASWVPFYIKSTFSAGIPLKESMESFFGALLNGQTPLPEFIQRTCRRTM >CAK8560599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27771763:27772595:-1 gene:gene-LATHSAT_LOCUS14260 transcript:rna-LATHSAT_LOCUS14260 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEGAYNRVLSARQTVPHETYAYFIDLLAKTIRDEIAGCNEKAYDYLSINDAKQILLFSKDQELLEYLKEEHSEWEIKNGSVFFQKAKDTAPCKEIPSLQLINQTLSYARELERIV >CAK8539749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523921929:523922450:1 gene:gene-LATHSAT_LOCUS8818 transcript:rna-LATHSAT_LOCUS8818 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYYFRYYRSWMYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNMIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQKFYQLLKEMNTPLFEGSADS >CAK8539750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523921965:523922450:1 gene:gene-LATHSAT_LOCUS8818 transcript:rna-LATHSAT_LOCUS8818-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRTLPGRRGLTANFEEGVKGFITWAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTDASSSRSHMEFDEQFNMIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQKFYQLLKEMNTPLFEGSADS >CAK8579757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721255556:721255795:-1 gene:gene-LATHSAT_LOCUS31677 transcript:rna-LATHSAT_LOCUS31677 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEGIQQRSQHRRHGGGLARMEYQLQQEMHGLLQKEELMWFQRARTKWLTDGDGNTKFYHVKTVQRRRNNKIMMIKN >CAK8534299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714117715:714121132:1 gene:gene-LATHSAT_LOCUS3854 transcript:rna-LATHSAT_LOCUS3854 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMKHLLRKLHIGGGAATINNHNPNATLQLSHSDSHATTTPLPSSSASLSPSPSPSPSPSPTVIQNPQNDVVDRGVVDFNLLQEEVFQVQLALAIRASDSDPHDVDESAQIDAAKQISLGYSASLTDTPALVQFQSLRYWNYNVIAYDEKVMDGFYDVYGIDSSLIERGKMPLLVDLKTVPTSRNVDYEVISVNRNVDVELSQLEKKACTLFEECSVSELGLFLSGLIQKLADVVVSRMGGPVSNADKFMEKWTTKSRELRDSLRTVVLPLGRLDVGLSRHRALLFKVLADRINIPCMLVKGSYYTGTDDGAVNLIKADDGSEYIIDMMGAPGTLIPAEVPRGANRWNSST >CAK8578777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:653984226:653989828:1 gene:gene-LATHSAT_LOCUS30762 transcript:rna-LATHSAT_LOCUS30762 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGGEIPDVGEMEGDVDMPAADDDASGMKELDEMRRRLKEMEDEAAALRDMHAKVEKEIGSTPDPAAAAGSQDNKEEADARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVETEAVQEAILLTETELHGRQLKVLPKRTNVPGMKQYRPRRFNPYMAYGFRRPYTPPHMYSPYGYGKAPRFRRPNRYMPYY >CAK8539015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501772075:501773184:-1 gene:gene-LATHSAT_LOCUS8155 transcript:rna-LATHSAT_LOCUS8155 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEIPTKVLSNTSGKVKMPVVGMGSAPDFTCKKDTKEAIIEAVKQGYRHFDTAAAYGSEQALGEALNEAIQLGLVTREHLFVTSKLWVTENHPHLVIPALQKSLKTLQLDYLDLYLIHWPLSSQPGKFSFPIDVADLLPFDVKGVWESMEEALKLGLAKAIGVSNFSVKKLQKLLSVATVLPAVNQVEMNLAWQQKKLREFCNENGIVLTAYSPLRKGASRGANEVMENDMLKEIADAHGKSIAQISLRWLYEQGITFVPKSYDKERMSQNLSIFDWSLTKEDHEKIDQIKQNRLIPGPTKPSLNDLWDDEI >CAK8560282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12959231:12962269:-1 gene:gene-LATHSAT_LOCUS13970 transcript:rna-LATHSAT_LOCUS13970 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFNFNTFHFSIIIFILHVFAATPILSDNSSFNKFLDIAKSPEVFDWMISIRRKIHENPELGYEEFETSEVIRSELDKMDIAYKHPVAITGVIGFIGTGFSPFVALRADMDALPMQEKVEWEHKSKVAGKMHACGHDAHVTMLLGAAKILKKHEKDIQGTIVLVFQPAEEGGGGAKKIVESGVLENVTAIFGLHIAPELPIGEVASRSGPILAGSGFFEAKISGKGGHAAIPQQAIDPILAASNVIISLQHLVSREADPLDSQVVTIAKFQGGSAFNVIPDYVTIGGTFRAFSKQSFNQLRQRIEEVIIGQAAVHRCNATVNFLEGVKPFYPPTVNNGNLHEHFVNVAVNMLGINKVNSAMTPFMGSEDFSFYQEVIPGYFFMLGVKSASHKRFGSFLHSPYLEINEDGLPYGAALHASLASSYLLKHQQDVPGVERKYHDEL >CAK8537694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421283540:421290432:1 gene:gene-LATHSAT_LOCUS6959 transcript:rna-LATHSAT_LOCUS6959-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQKINSREAALGISEQASWHTKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDNDTGKSKGFAFLAYEDQRSTNLAVDNLNGAQVLGRIIRVDHVDKYKKKEEEDEETQRQKREARGVCRAFQKGECTRGAGCKFSHDEQRAANTGWGEKDNSKWDNDKYDGPTKERRHDINQSNRFPETRDRDSRPRAHSSTMELDNQSKRSDRREEKMSWRHDKDELDHRSKEDQHRREEKRSRSDYDNREPEPRDQRRENRRSIKQDDVEFEPRSRDSDVREDKRLSRQDVDDFRSKSKEMHGNREERRSRKHTEDESVPRSREDHDRKHDNIYRNDTRRSKSKGSYDSDRREEKRPGR >CAK8537695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421283540:421290432:1 gene:gene-LATHSAT_LOCUS6959 transcript:rna-LATHSAT_LOCUS6959 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQKINSREAALGISEQASWHTKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDNDTGKSKGFAFLAYEDQRSTNLAVDNLNGAQVLGRIIRVDHVDKYKKKEEEDEETQRQKREARGVCRAFQKGECTRGAGCKFSHDEQRAANTGWGEKDNSKWDNDKYDGPTKERRHDINQSNRFPETRDRDSRPRAHSSTMELDNQSKRSDRREEKMSWRHDKDELDHRSKEDQHRREEKRSRSDYDNREPEPRDQRRENRRSIKQDDVEFEPRSRDSDVREDKRLSRQDVDDFRSKSKEMHGNREERRSRKHTEDESVPRSREDHDRKHGNREESRSRKHTEDESVPRSRRDHDGKLDNIYRNDTRRSKSKGSYDSDRREEKRPGR >CAK8573906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646012084:646012752:1 gene:gene-LATHSAT_LOCUS26301 transcript:rna-LATHSAT_LOCUS26301 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAPLRFLVLGGDHSILYPVIRAVSEKLEGPVDILHFDGHTDLYENFKDNYYSHASPFARIMEGKYVNRLVGIRSISAEGRAQGQKYEVEIHEMRNFAKERDYLENLISFSLLYHFYYDLFF >CAK8533817.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:662508128:662511150:-1 gene:gene-LATHSAT_LOCUS3412 transcript:rna-LATHSAT_LOCUS3412 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKQVDGNVLAAKSLAQFGVHHMFGVVGIPVTSLATRAVSLGIRFIAFHNEQSAGYAASAYGYLTSSPGVFLTVSGPGCVHGLAGLSNAGINTWPTVMISGSCNQSDCGRGDFQELDQIEAVKPFTKFAVKATHISQIPNCVAQVLDHAVSGRPGGCYLDLPTDVLHQTVSQSEAESLLTEAKALAEKNKENRSTKVDASKINQVVSLLRNAERPLIVFGKGAAYSKAEDQLKKLVETTGIPFLPTPMGKGLLPDDHRLAASAARSLAIGKCDVAVVIGARLNWLLHFGESPKWAEGVKFVLVDVSSEEIELRKPFLGLVGDAKQVLEALNKEIKDDPFCLGNTHPWVDAISKKTKENTSKMEAQLAKDVVPFNFLTPMRIIRNAISEWGGSPAPVVVSEGANTMDVGRAVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLAVVVVVFNNGGVYGGDRRSPEEIDGPHKGDPAPTSFVPNAGYHTMMEAFGGKGYLVRTPDELKSALSESFSARKPTVINVVIDPYAGSESGRMQHKN >CAK8570857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:132485750:132487717:-1 gene:gene-LATHSAT_LOCUS23569 transcript:rna-LATHSAT_LOCUS23569 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEDPNALSAPPGIPFPVPLLTPKQEPRDEPAQHEQQQQQQPNLNDLPSLNLNLNLNLDDIYEQETLPDGFSNFNLISEAFRTGFTSGLQQLENDFVDDPNLRAIVPVPEARQSYDNNGPPGAGEVAAQRLQRRYKELVRVADLGLAEQRQFRDLVRRTRMVYDSLRVLALIEEEKRSDVRKVRSDLRASALMRNRGLWLNRDKRIVGPIPGICIGDVFLYRMELVVIGLHGQPQAGIDYLPSSMSSNGEPIATSVIVSGGYEDDVDEGDVIIYTGHGGQDKNSRQVFHQKLEGGNLAMERSMHYGIEVRVIRGVRYEGSSSATGKVYVYDGLYRIVEYWFDIGKSGFGVYKFKLWRIDGQAKMGSSILKEALMLRRDPLCFKPMCVFSLDISNRNENVGVRLFNNIDRSNDPMCFEYLPRATFPPFVFHQSGRVTGCDCVNGCVEGCFCFMKNGNEFPYSQSGLLLKGRPLVFECGPFCRCPPHCRNRVTQKGLKNRLEVFRSKETGWGVRSLDLIQAGAFICEYTGVVLTREQAQILIMNGDSLIYPNRFSNRWEEWGDLSLVDPLYKRPTYPSIPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMREFSLDYGVADDELTGKLAICN >CAK8568288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590338807:590342159:1 gene:gene-LATHSAT_LOCUS21260 transcript:rna-LATHSAT_LOCUS21260 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGFGNDPGASVAGGGGRTTAGSFSLARQSSVYSLTIDEFMNSMGGSGKDFGSMNMDELLKNIWTAEEVQTMGGEEAVSNHLQRQGSLTLPRTLSQKTVDEVWKDISKDYGPNLAAPQAQRQPTLGEMTLEEFLVRAGVVREDAKPNDGVFLDLARVGNNSNLGLAFQAQQMNKVAGFMGNNNRMNGGTNDDPLVGLQSPTNLPLNVNGIRSANQQQMQSSVSQQQHQNQQLQQHQLQQQQQQQQHQQQIFPKQPVLNYATQMPLSSNQAMRGGIMGLSPDQGMNANLVQGGGISMVGLAPGAVQLGAVSPANQISGDKLGKSNGDTSSVSPVPYVFNGGMRGRKGNGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELQKKQEEIMEIQKNQVKEMMNLQREVKRKCLRRTQTGPW >CAK8568287.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:590338807:590342159:1 gene:gene-LATHSAT_LOCUS21260 transcript:rna-LATHSAT_LOCUS21260-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGFGNDPGASVAGGGGRTTAGSFSLARQSSVYSLTIDEFMNSMGGSGKDFGSMNMDELLKNIWTAEEVQTMGGEEAVSNHLQRQGSLTLPRTLSQKTVDEVWKDISKDYGPNLAAPQAQRQPTLGEMTLEEFLVRAGVVREDAKPNDGVFLDLARVGNNSNLGLAFQAQQMNKVAGFMGNNNRMNGGTNDDPLVGLQSPTNLPLNVNGIRSANQQQMQSSQQQQQQQHQQQIFPKQPVLNYATQMPLSSNQAMRGGIMGLSPDQGMNANLVQGGGISMVGLAPGAVQLGAVSPANQISGDKLGKSNGDTSSVSPVPYVFNGGMRGRKGNGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELQKKQEEIMEIQKNQVKEMMNLQREVKRKCLRRTQTGPW >CAK8535245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:829427145:829431784:-1 gene:gene-LATHSAT_LOCUS4718 transcript:rna-LATHSAT_LOCUS4718 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYHIIRNLRAPFRSHSPTKFNPHSSSFTSSSSTHSKPDVNSILSSLHLQQNSDSDEYSEGVFQQISSVFYGGQSVQGAYSEETDATSEFEKIMNISQLPNTPQSNIALRREEASREKKRKCIFNINQEQRFRRIIESCGKILGTEATLELFDKVERKPGVTGYNALVKICIGKAREAENEDIAIEEMGKVFHLFELMREQGLELEEQTYDPLLMYTIDMSMVEDFLFFCQVIKDENPGSTARLGYYEMMMWLKVNDEEKIQGLCDYIAENDGEDTFDLRESYLLALCESERKENILEVLEIMDIKKLSSVDSVAKIFQALGRLSLEPVAEKLFFDYKTSNHEEDSITNFIASYAISIPNLRIEDVIKKFKDFHEKLEVLPSSSSYNKLILHGCALLKEHTCSDEEFDQLLLLLEKLNGTTYWNDACCRIILCCIWNKRLRSAIDLCKLLKDKLQTDELVMKVLFHKVFSLIEKLESKYSQTALELISEMKDKLGLLPSQKCYDSLLAAWCKANEKSHNAE >CAK8571867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:486448219:486450881:-1 gene:gene-LATHSAT_LOCUS24490 transcript:rna-LATHSAT_LOCUS24490 gene_biotype:protein_coding transcript_biotype:protein_coding MASETASSADEEKETLVFSAAVEGATTDKRGKHRILAELKRLQQDTKFLQEELEELEKTENVSAICKELLHNVDSRPDPLLPEIHAPVNLLWDRWFEGPQDAQACRCWIL >CAK8541344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:130904081:130906687:1 gene:gene-LATHSAT_LOCUS10273 transcript:rna-LATHSAT_LOCUS10273 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHSLCIVLPQNSGEIMKQQHEKGFQQQQQPKSRNPSSACGGGGQHVLDLLHDSLSKLCSSRWWGGAKRICRHKGLQKAKKKNSSRGSSTTMFHDMDGVQFAVKIGRDNPRIFSYAELFIGSNGFSEEQVLGSGGFGKVYKALLPSDGTLVAVKCCLSEKGKQFDKSFLAELSAVADLRHKNLVRLRGWCVHEDQLHLVYDYMPNRSLDRVLFRRKEISKGEILGWGQRCRIVKGLAAALYYLHEQLETQIIHRDVKTSNVMLDSHYNAKLGDFGMARWLEHELEYEFKYNYNSSSSSNKKTSSRIDHFKLGETSKIGGTIGYLPPESLQKPSNGTSKCDVFSFGIVVLEIVSGRRAIDLAYSDEKIILLDWIRRLSDEGKLLEAADTRLQKDGSSSSASSFNFSEMKHFIHIGLLCTLHDPNLRPNMKWVVEALSDLSFKLPSLPSFLSHPLYISLSSPSETTSPSSTSGTISASAVESVSLVTNYNSSTNYFTAAGETVYVTAENKNTGIVSSKSMNRNHHRPNFPVVETPREISYKEIVCATRNFSESRRVAELDFGTAYHGILDDDCHVLVKRLGMKTCPALRVRFSDELRNLGKLRHRNLVQLRGWCTEQGEMLVVYDYSASRILSQQLLQIHNKGENGSVLEWNHRYNIVKSLASAVHYLHEEWDEQVIHRNITSSAVILEQDMNPRLTSFALAEFLSRNEHGNHHVVNDRSKSVRGIFGYMSPEYVETGEATTAADVYSFGVVVLEVVSGQMAVDFRYQEVLLVKKVHEFVMKKRSLKELADVRLNGEYNEKELMRLVRLGIVCTSSDPELRPSMKQIVSILDGNEKLLNMKKKESREDWRERNGSSLSMVRRIQALGIQ >CAK8577858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594474455:594475585:1 gene:gene-LATHSAT_LOCUS29927 transcript:rna-LATHSAT_LOCUS29927 gene_biotype:protein_coding transcript_biotype:protein_coding MYRILHRTLCTIAEESTSSIKSISQDLYKEQNLKTLVDKFKKASDIDRFRTKAGIYEDTVRRLARAKRFRWVRDIIEHQKNYTDMANEGFSARLITLYGKSKMDRHAQKLFDEMPQRNCNRSVLSLNALLAAYLHSKKYDVVEKLFRDLPVQLSVKPDLVSYNTFIKALLEMGSFDSAVAVVEEMEKEGVKTDLITFNTLLDGLYSKGRFEDGEKLWGKLGEKNVVPNIRTYNARLLGLAMVKKTGEAVEFYEEMEKKGVKPDIFSLNALIKGFANEGNLDEAKKWFGEIGKSEYDPDKASYSIIVPFLCEKGDLKTVLEMVKEIFYTHCRVDASLLQVVVDKLLSESMVSEAKEIVERGKTNNYCRYKLNLPADE >CAK8544460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686338016:686339856:1 gene:gene-LATHSAT_LOCUS13136 transcript:rna-LATHSAT_LOCUS13136 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVFISVVSLILVVGVAIGVVIAVQKNGEDPQIKTQQRSVQIVCQNTEDQKLCHDTLKSVNGMESMDPKAYIAAAVKATTDSVIKAFNMSDRLSTEYGSKDNGIKMALDDCKDLLQFAMDSLEMSTNLVRDNNIQAVHSQTPDLRNWLSAVISYQQSCMEGFDDQKDGEKKIKEQFHVGSLDSMQKITGVALDIVSSLSNILQEFNMKLDLKPASRRLLAEDIDNEGFPSWFSASDRKLLVKLKGKGWRSKIQPNAIVAKDGSGQFKTIKDAIDAYPKENKGRYIIYVKAGIYDEYITVPKTAANILMYGDGPRRTIVTGKKCFANGVKTMQTATFANTAPGFIAKSMAFENTAGPDGHQAVALRNQGDMSAFVGCHILGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSPTLIQQCMIIVRKPNMNQLNTITADGTTEKNMVTGIVIQDCQIVPEAQLFPVRFQIKSYLGRPWKAYSRTVVMESTIGDFIHPEGWFPWTGEHFENTCYYAEYANTGPGADVSKRIKWKGYHVISQAEANQFTAAQFLKAGPTSGAEWLNALQVPHYLGFKA >CAK8577431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563330738:563333749:1 gene:gene-LATHSAT_LOCUS29540 transcript:rna-LATHSAT_LOCUS29540 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFALTIWTNFSVNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGKLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNATPRGSNFNHADFYSMMGYAPRHSNFGAADVYSVQSTSRGPTPRPSNFEDNTTPAPTASAASALVVSSPKFGFYPAQNVPVTYPAPNPEFSSGLSKSISKNSQQQFSQQSQQLVQAQPQTVTNNGTAAKTSHDAKELHMFVWSSSASPVSEASGLQVFGSGAADYGVSDQSGRSEQGAKEIRMLVPDDHPPNGVTNKVENEAMTETEFGGEELKFPVKEEELRLEEDRREKEDPAGLNKLGSTSTTELHPTNAGATTAKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKMIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGNLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLIYYILLGL >CAK8577430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563330738:563333749:1 gene:gene-LATHSAT_LOCUS29540 transcript:rna-LATHSAT_LOCUS29540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFALTIWTNFSVNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGKLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNATPRGSNFNHADFYSMMGYAPRHSNFGAADVYSVQSTSRGPTPRPSNFEDNTTPAPTASAASALVVSSPKFGFYPAQNVPVTYPAPNPEFSSGLSKSISKNSQQQFSQQSQQLVQAQPQTVTNNGTAAKTSHDAKELHMFVWSSSASPVSEASGLQVFGSGAADYGVSDQSGRSEQGAKEIRMLVPDDHPPNGVTNKAMTETEFGGEELKFPVKEEELRLEEDRREKEDPAGLNKLGSTSTTELHPTNAGATTAKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKMIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGNLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLIYYILLGL >CAK8577429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563330738:563333749:1 gene:gene-LATHSAT_LOCUS29540 transcript:rna-LATHSAT_LOCUS29540-3 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFALTIWTNFSVNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGKLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNATPRGSNFNHADFYSMMGYAPRHSNFGAADVYSVQSTSRGPTPRPSNFEDNTTPAPTASAASALVVSSPKFGFYPAQNVPVTYPAPNPEFSSGLSKSISKNSQQQFSQQSQQLVQAQPQTVTNNGTAAKTSHDAKELHMFVWSSSASPVSEASGLQVFGSGAADYGVSDQSGRSEQGAKEIRMLVPDDHPPNGVTNKEFGGEELKFPVKEEELRLEEDRREKEDPAGLNKLGSTSTTELHPTNAGATTAKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKMIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGNLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLIYYILLGL >CAK8532174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:215408237:215408506:-1 gene:gene-LATHSAT_LOCUS1905 transcript:rna-LATHSAT_LOCUS1905 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAGVAPASGLRDVNAASSVIAADRLPDEILGMRIKDDKEMEASVVDGNSTEAGHVIVTTIGGKNGQPKQTISYMAERAVGQGSYSR >CAK8535878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888302893:888305039:-1 gene:gene-LATHSAT_LOCUS5297 transcript:rna-LATHSAT_LOCUS5297 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKWINLFIIIFSCFLTATPIFSDSNTIPNFLDLAKEPQVFDWMVDIRRKIHENPEVGYEEFETSKLIRAKLDELGISYKHPVAVTGVIGYIGTGLPPFVALRADMDALLMQESVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILKQQENNLQGTVVLVFQPAEEGGAGAKKILDAGVLENVSAIFGLHIIPDIPLGEVASKSGPMSAGCGLFEAIISGRGGHAALPQHSIDPILAASNVIVSLQHIVSREVDPLDSQVVTVGMFQGGGAFNVIPDSVTIGGTFRAFSSESFNQLRHRIEQVISGQAAVQRCNATVSFLEEEKPIIPPTVNNGDLHDYFKSVAGSLIGIDKVKGMEPQTGSEDFAFYQEALPGYFFLLGMEDVSVEHLPGPHSPYYKVNEDALPYGAALHASLAAKYLVKLHHEVPVAERKYHDEL >CAK8530565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:30835522:30836484:-1 gene:gene-LATHSAT_LOCUS422 transcript:rna-LATHSAT_LOCUS422 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGSGGGGRGVGDGRRGEMNKAHNHPQPQKCPRCDSFNTKFCYYNNYSLSQPRFFCKTCRRYWTHGGTLRNVPVGGGCRKGKRAKTSVMSSSNNSIGNTSLAHAQSVLQQSTDQSAMAVLARDTPSVLASFSSTVPFYQGGVGYMSSFAAFNPSLNPNLSPHTFNPSLNVGGVGVGSSSNLGLLQGFNVAALGNSSQGQNRPSQFFHQMGGNCGPMFTSEQQGLSFIPPSNIVNSSSISGSGSGPVAASDNWHQTYINNANNNRISEQQSLWSTISTTSIGANSDRNGGGVGGSGGDGISVSLSSNQWPDLSGFNPPQ >CAK8530541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28580383:28585716:1 gene:gene-LATHSAT_LOCUS399 transcript:rna-LATHSAT_LOCUS399 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRQRPATSARGAAVNQPRPPPPLNSVFNIIPVHDLLIDHPSLRYPEVRAAAAALRTVGDLPKHRFMAWQPDMDLLDWLRLLFGFQNDNARNQREHLVLHLANAQMRLEPPPAIVDSLDGGVLQRFRKKLLHNYTAWCSYLGLKSNVLLSRRRRDPSDLRRELLYVLLYLLIWGEAGNLRFVPECICYIYHFMAKELNLVLDEFIDPNTGSPFLPTVSGDYGFLKSVVMPICNTIKVEVESSRNGKAPHSAWRNYDDINEYFWSRRCLKKLGWPLKFESSFFGTTPKDRRVGKTGYVELRSFWNIYKSFDRLWVMLILFMQGAIIVAWEDTKYPWQALERKDVLVKMLTLFITWGGLRILQSVLDAGTQYSLVTKETAWRGVRMVLKSMAAITWTVLFAVFYGLIWMEKGSSRNWSDKADQRIITFLKIVFCFLVPEMLACVLFIIPCLRNFIEESDWSIIYLWTWWFHTRIFVGRGVRQGLLDNVKYTIFWVGVLASKFSFSYFLQFKPLVAPTKALLKLRGINYKWHEFFNNTNRVAVVLLWLPVVLIYFMDLQIWYSIISAGVGGTIGLFSHLGEIRNISQLRLRFQFFASAMQFNLMPEEKLLSQQATLLRKLRDAFHRLKLRYGLGKPFTKIESSQVDATRFALIWNEIIITFRKEDIISDRELELLELPPNCWKIRVIRWPCFLLCNELLLALSQAKELENESDTSLWLRICKSEYRRCAVIEAYDSIKYLFLTILKVDKVEFSIVTNIFREIDYYIQGGKLTDAYKMSLLPELHAKAIELVKLSLQPNKDLNKAVNLLQALYELCVRRFPKVKKTATQLVEEGLALEGPTTDGGLLFETAIVFPDAGDEVFTRQLRRLYTILTSRDSMHDVPLNLEARRRIAFFSNSLFMNMPHAPYVEKMMAFSVLTPYYDEEVLYSKESLRKENEDGITTLFYLQKIYEDEWNNFMERMRREGLKDEDDIWTTKAWDLRLWVSYRGQTLSRTVRGMMYYYSALKMLAFLDSASEMDVREGSEHIISYGSTYENRNLNTLSSDRHPSLRKLRRADSSVSLLFKGHEYGSALMKFSYVVACQMYGRHKAERNPRADDILYLMKNNEALRVAYVDEVSFGREETEYYSVLVKFDQQLQSEVEIYRVRLPGPLKLGEGKPENQNHAMIFTRGDAVQTIDMNQDHYFEEALKMRNLLEEFNAYHGIKKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLCRGGVSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSVFYTTVGFYFNSMVVVLTVYAFLWGRLYMALSGIEREAQKNASNNKALGAIVNQQFIIQLGIFTALPMVVENTLEHGFLPAVWDFLTMQLQLASLFYTFSLGTRTHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFIKAIELGVILIVYASHSPLPKGTFVYIAMTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFEDFVNWIWYPGGPFRKAEFSWETWWYEEQDHLKTTGIWGKLLEIILDLRFFFFQYGIVYQLGIADNSTSIAVYLLSWIFMVAVVAIYISLAYARDKYATNEHIYYRLVQLLVTIVTVLVIVLLLEFTSFSFVDLLTSSLAFIPTGWGIILIAQVLRPFLQSTLVWDTVVSLARLYDLLFGIIVMAPMAVLSWLPGFQSMQTRILFNEAFSRGLQISRIVSGKKSA >CAK8576685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505739330:505740574:1 gene:gene-LATHSAT_LOCUS28858 transcript:rna-LATHSAT_LOCUS28858 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKSRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAEAKNKSVPQVVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISQMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8536482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:945552108:945552383:-1 gene:gene-LATHSAT_LOCUS5847 transcript:rna-LATHSAT_LOCUS5847 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWAAAASVAVVEALKDQGICRWNHTLKSLQNHVKNNVRSYSQAHKLSSSSSSSSAMVSTNRQKRKAKQSEESLRTVMYLSCWGPN >CAK8568339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:597083969:597088239:1 gene:gene-LATHSAT_LOCUS21302 transcript:rna-LATHSAT_LOCUS21302 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSREEDSKVDEREHKEGEGNRLLELEGESETEEDEAAYESGEKIVVVDFEFDTVDDSAVPPFSWKKLWMFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATFMGLLIQLLSARVGVATGRHLAELCRDEYPNWARYVLWFMAELALIGADIQEVIGSAIAIQILSRGVLPLWAGVLITASDCFFFLFLENYGVRKLEAAFAVLIATMAISFAWMFGDTKPSGKELLMGILIPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPLKKGRVQEALNYYNIESTVALSVTFMINLFVTTVFAKGFYGTKKANSIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIVPTIIVAIVYNSSEASLDVLNEWLNVLQSVQIPFALIPLLTLVSKERIMGSFKIGPVLERVAWTVAALIIVINGYLLVDFFVSEVNGALFGFVACSCTVLYIAFLVYLISQSGALPSALVDRLPKGFSVTEK >CAK8577921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597500440:597501348:-1 gene:gene-LATHSAT_LOCUS29984 transcript:rna-LATHSAT_LOCUS29984 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEKNFFDNIFNTVMDVQGKTKDNEKARRDMEILCDRKELELKPRPNGKLLKPKACYSLTSQDAKAVYRWLNELKMPDGYASNLARCADTKTGKLHGMKSDDCHVFKERLLPIAFSSLPNHVLYPLTKISQFFRDICASTLRVDSIIKLDQNIPVILCKLERVFPPGFFDSMEHLPVHLAYEAYLGGPVQYRWMYPFERFMGDSKRSVKNKAKVEGSICAHYLHRETSHFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRPSGKENVHWLTQKELQSAHVHVLINCIEVRPYLE >CAK8565156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:52334344:52336927:1 gene:gene-LATHSAT_LOCUS18391 transcript:rna-LATHSAT_LOCUS18391 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRISIKRRPGGNANNQVTMQSMSPQVQNLNHPSSHHEEDYNLRDTSPQLGERWPNGGRGWMSGGERSTSAYDLVEQMFYLYVRVVKAKNLSTSTLTSTCDPYVEVRLGNYKGRTKHIDKKSNPEWNQVYAFSKDQIQSSVLEVIVKDKETVGRDDHIGRVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGDGKVRGDIMLAVWNGTQADEAFSEAWHSDAATVYGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVISSDRNRRPEVFIKAQMGSQVLGTKVCPTATPVWNEDLVFVAAEPFEEQLTITMEDRVHGSKDEVLGKIILPLTLFEKRLDHRPVHSRWFNLEKYGFGMMEGDRRNEVKFSSKIHMRICLEGGYHVLDESTLYASDHRPTARQLWKQPIGMLEVGILGAQKLLPMKMNNSRGSTDAYCVAKYGQKWIRTRTILDTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGAGEKEKEKAPRDSRIGKVRIRLSTLEANRIYTNSYPLLVLHQHGVKKMGELQLAIRFTTLSLANTVYIYGQPLLPKMHYLSPFTVNQVENLRYQAMNIVATRLGRAEPPLRKEAVEYMLDVDSHMWSMRRSKANFFRIMSLFSSVITTGKWFNQVCNWKNPVTSVLVHVLFLILVLYPELILPTIFLYMFLIGLWNYRFRPRNPTHMDTKLSWAEGVNIDELDEEFDTFPTSKPHDVVRMRYDRLRSVAGRIQTVVGDIATQGERFHSLLSWRDTRATSLFIMFSLCSAVILYATPPRVVALAAGLYFLRHPKFRSKMPSVPSNFFKRLPAQTDSML >CAK8537902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:445804903:445807548:-1 gene:gene-LATHSAT_LOCUS7152 transcript:rna-LATHSAT_LOCUS7152 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTVNATVNSIATNGTIWICEYMSKNRRSRGVFFSDNPFNYTFSVFLIQISLVSSLTAVLQFLLEPVGETRFFPQMLAGMMLGPSVIGQSAFLQKWLFPPKTFYISETIAFFGGMIFMFLIGVKIDISIVARSGKKAWAIGVLSFLIPLLFSSIVCLCARQALLPDHPLYKSLFSIAFIFSSGSFHVTTIHLEDLKLLNSEIGRLAISSSMVSGTISLTLISAVVTHEKLFVIDKTYHLMGTSLLVMITFILCVLRPIMCWMIRQTPDGRQMKESHIMSVFLMLIGCAFFSEVIGQHALILPIIFGIAVPEGPPLGSALTDRLDTLVSNIFLPLYFLYSGSRFNVFLVDGHAFMIVQLLAIVAFLGKVLGTILPAIYWKMPMTDVISLGLLMSAPGITHLLYLQTGLNITIDEQSYGNALIALLWLTGITTPFVKFLYDPSKKYLSLNRRRTMEQSTQDIELCLMACIHDQETTPSLINVLEMSNPSLENPICFYVLHLIQLRGRSTPVFIDHQLNSKNNPPEKNYSQHIINAFRSYEHQKLNNVAVRLYTSISPYETMHDEICMQVAEKRVCMLIVPFHRQCKSNGMIESTHPIQALNRQLLRTAPCSVGILIEHATLNLSNPLTNVTFYSVGIIFIEGNDDREALAYAMRMANNPIVRVTLVRLMEPHKKNKNLINRDPDGELIHRFKVDCIQIKRHDYKEEVIKDSVEMINVLRSLEGCFDLVLVGRRHESESNLFSGLTDWNEYPELGPIGDMLVSSDSTFDGSVLVIQQHKSSGIGYHDSGILPKQENLTFVEVPRDRKAWSIV >CAK8530929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:64077405:64078652:1 gene:gene-LATHSAT_LOCUS756 transcript:rna-LATHSAT_LOCUS756 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYLRLCHNPNLPFTKPSPILHLLLKPFSSSSSQNHSFTFNYLIQNLAFSPETASKISTKLQLNNSQNPDSVLALFKAHGFSISHLSNLIQTCPKLLTYHPNKTILPKLNFLLLKGASTSDLIDIIAKNPRFLYLNLQKSIIPFYDLFKRFLLSDESTIAFLKVRSWMIYSKTQSQNIHFLLKNGVPESKVGILFLNWYSVFTQNPPVFEKAVMELMELGFNPKTTFFTVALRAKINGKSHWQNKIDVYKKWGWSQEDIVSAFLKHPWCMLASVDKIEAVMKFFVNHIGWESSVLAKHPILILMSLEKRLIPRAFVLKYLESKGLVKDAKSGTPFKVSEDVFLKKFVNCFEEEASYLLKMYEDQKEVSHNMKIKKKFHIMFEKRFVTVIWEAASRVSTTMTQHDCNIESGFEDR >CAK8562068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:435037962:435040346:-1 gene:gene-LATHSAT_LOCUS15597 transcript:rna-LATHSAT_LOCUS15597 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLSLETPSPSSHVPPVNVHVPVPVPVHVPSLAPNLTMSHQIPNISPENFNLNPPPTTTMSTEKSTGKSFQTLLVPKPEPFDDFMAAQDSQQPHFSPGFEEDDQSDLYNEFYRVSQLFNATFGTSLGPFFAINDSANVGPSVVEETGNNVCNPDLNLNPNIGVESTDSQSYDNDNNNNNNNNDLAIVVVPEKQEQEATAAVAVARKRASQVMELVRVSDLSMKEHINCREVMRRTRMVYDSLRVLASIEEEKRFAAEVAVMEEERRVAEEKRLAEERRLAEEVAVMEEEMRIGEEKGAAAAGVEVETETPSGKKRRIRIRGDMRAAALMRKSQLWLYRDKRIVGPIPGVYVGDVFLFRMELCVVGLHMQIQAGIDYLPKSRCSNGEPIATSVIVSGGYEDDMELDDGDVIIYTGHGGQEKNSSRQICDQKLVGGNLALERSMHYGIEVRVIRGMKYEGSASGSGKIYVYDGVYRIVECWFDVGKSGFGVYKYKLLRIEGQAKMGSAVLKDAREIRKSGLDFKPMYCLSVDISDKREKVPIRLFNDIDDSQEPLYYQYLPNTSFPPFVFHQIGKATGCECVDACTDGCFCSVKNGGEHPYNLQGLLVKGKPLIFECGPFCSCPPNCRNRVAQKGLKYRLEVFRSTQTGWGVRSLDLIQAGAFICEYTGVVLTREQAQILTMNGDSLIYPNRFSDRWAEWGDLSQVYSEYVRPSYPSIPPLDFSLDVSTMRNVACYMSHSSSPNVFVQFVLYDHNNLMFPHVMLYAMENIPPMRELSIDYGVADEWTGKLSICM >CAK8568554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:616467385:616467666:-1 gene:gene-LATHSAT_LOCUS21501 transcript:rna-LATHSAT_LOCUS21501 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMSEYTDILQAKRGIRQGDPLSPMLFVLIMEYMNRLMEKMQRDPNFNYHAKCEKLKITNLTFADDVLLFCRGDDISLQMIL >CAK8576732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510084331:510086739:1 gene:gene-LATHSAT_LOCUS28904 transcript:rna-LATHSAT_LOCUS28904 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSDTSSASTATKWLGFVAAVWIQAISGNNYTFSNYSDALKSLMHLTQLQLNNLSVAKDVGKAFGLLAGLASDRLPTWAILLIGSFEGLIGYGVQWLVVGQIIKPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTNLCSAIFAEDPAFFLLTLAIIPFIVCLTGVFFLREIPVAKTTTAEEDSEESKYFGILNAVAVVLAVYLLAYGFVPNVNTLVSRVFVAILLVLLASPLGIPVYAYFKGRNSSRVGGDIEGNRIREPLIQNGDKENETVSVETVETETEAVVVVKGQPAVGEEHTIMEVMKSLDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSLTSIWGFFGRIISGSVSEHFIKKSATPRPLWNAISQILMAVGYILLALAMPGSLYIGSIIVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMEATTTEGGGNTCVGGHCYRLVFIVMAGACVVGFLLDILLSLRTKTVYNKICMSKRSKKSSGTSSSS >CAK8540503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9232923:9236914:-1 gene:gene-LATHSAT_LOCUS9503 transcript:rna-LATHSAT_LOCUS9503 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLKKLRGLALHNHKHDSNHNKTILPLGQRDELAQATREMQDMRDCYDTLLSAAAATASSAYEFAESLRDMGSCLLEKTALNDHEEETGKVLLMLGKIQFKLQKLIDDYRSHIIQTITVPSESLLNELRIVEEMKRQCDEKRDVYEYMVTKYRERGRSKGGKGETFTLQQLQTARDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHNAAQTCFFKKAAKSLETVEPHVKSVTEQQHIDYHFSGLEEEDGDEGDYEEDDDNGYDENDDGELSFDYGHNEQEQDVSTSQNSMELDQVEVTLPRGSSAEAAKENIDKLQRNLFSFRVRAGSQSAPLFPDNKPDPSEKLRQMRPSLSRKFSSYVLPTPVDAKSPISSGLNNAKPSKNQANLNEPSKNLWYSSPLEQKKPKKDTGEDHSGSITRNAQSVLRETNSNTAFSRLPLPLVDSPVSLNHDNVSAYSKKIKRHAFSGPLTSSPWPTRPASMESVQLFSGPLLRTRIPQPPSSSSPKVSPTASPTIASSPKISELHELPRPPTNFLPNSRLLGLVGHSGPLVSRGQRVSAANSLFVTSVASPLPTPPQAMARSFSIPSSSARVTELHGSRGRESSHTSSLSEDSASPPPTP >CAK8574727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7590934:7591305:1 gene:gene-LATHSAT_LOCUS27044 transcript:rna-LATHSAT_LOCUS27044 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENCNCLGSRNNCFWSSYERIGSDPIVCVNEFMSKIKIARLKTLWRKIKRENKRRMFRSSSPVFLYDPSSYLQNFDDGYSNDDDFSRSFSARFATPSSKVFNKNIEVIYDEEIIETSELAT >CAK8572723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558316463:558317059:-1 gene:gene-LATHSAT_LOCUS25253 transcript:rna-LATHSAT_LOCUS25253 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPKRNKFGKRFGFTRFSEVEDGRILAVKLENILIDNVKIHAKIPRFSRGQSNRKEETKRRGVNQNYNDGNATGKKSSGHPNSKVGARSFAKVVNVAGASSTTYIPRKTPVHISYNLEEDDIFRLNKAYVRVVNSPDMSYNIQTSFKTKGYFSIKVTPLGANLCLLEESEEGEIRDLIREAKSWWKQWFSNIRRWR >CAK8540134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541135148:541137591:-1 gene:gene-LATHSAT_LOCUS9167 transcript:rna-LATHSAT_LOCUS9167 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELLEVASMPVIQVLLISALGAFMATQYFNNLLSSDFRKSLNKVVFFIFTPSLVFSSFAKSVSLDDMISWWFMPVNVGLTFLIGGILGWILVKLLKPNLKVEGLIIAACSSGNMGNLPIVIIPAICDEKGGPFGSRDVCHSHALSYASFSMALGGVFIWTHTYQTLKSSSMRFKALEATQIIKVPNRNLEGNADTPLLKGKEDESTAIEVAPLSYIEDSESQIIVQQDQFIELKKENHSFFARTIEVIRNLVVELLSPPAIATFFGFLFGAVAWLRNLIIGVNAPLSVIQDTLVILGNGTIPCITLLLGGNLTQGLKSSSVKPLTLISIIITRLFVLPLIGLFIVKAAANFGLLPVDPLFQYTLVMQYAMPPAMNISTLAQLFDVGNEECSVILLWTYSAAAITLTAWSTFLLWLFSY >CAK8534334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:718438196:718439666:-1 gene:gene-LATHSAT_LOCUS3886 transcript:rna-LATHSAT_LOCUS3886 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDMKKFSIVIIVTFIFLADFGVIGDLEISKYGGTPNSDITEAFKKVWAEACNSTIAVKIVIPSGNYRTSGIDAEGPCKAPIEIQIDGTIQAPSDVNQIQKGIDQWIRFSTMDHLTISGGGIFDGQGLNTWKKATAAWSKNHKADNKVSMNFGFYFVNNSIITGITSKDSKNFHFMIFACENITLDGIKVSAPGDSTNTDGVHMGKSTDVKILNTDIATGDDCVSIGDGSRKVLVQNVKCGPGHGISVGSLGRFTNEDNVEGFTVKNCTLTNTDNGVRIKTWPSGPGQITITDMHFEDIIMNNVLNPIIIDQEYCPWNQCNKNNPSKIQISKVLFKNIQGTARAQEGVVLICSRGVPCNGVELNNIDLKFNGQPARAVCSNVKPIVTGNAPTCEAYGSPPSQAKPN >CAK8534335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:718438196:718439654:-1 gene:gene-LATHSAT_LOCUS3886 transcript:rna-LATHSAT_LOCUS3886-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSIVIIVTFIFLADFGVIGDLEISKYGGTPNSDITEAFKKVWAEACNSTIAVKIVIPSGNYRTSGIDAEGPCKAPIEIQIDGTIQAPSDVNQIQKGIDQWIRFSTMDHLTISGGGIFDGQGLNTWKKATAAWSKNHKADNKVSMNFGFYFVNNSIITGITSKDSKNFHFMIFACENITLDGIKVSAPGDSTNTDGVHMGKSTDVKILNTDIATGDDCVSIGDGSRKVLVQNVKCGPGHGISVGSLGRFTNEDNVEGFTVKNCTLTNTDNGVRIKTWPSGPGQITITDMHFEDIIMNNVLNPIIIDQEYCPWNQCNKNNPSKIQISKVLFKNIQGTARAQEGVVLICSRGVPCNGVELNNIDLKFNGQPARAVCSNVKPIVTGNAPTCEAYGSPPSQAKPN >CAK8542031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457521100:457521678:1 gene:gene-LATHSAT_LOCUS10905 transcript:rna-LATHSAT_LOCUS10905 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQFSILFLLFTTPSKSLIKAKQDTNNFMRSLDLKELNLENKQERLSHLKFYWHDIVSGNNPSSMVIVPPPLINSTTAFGLVNMIENPLTLGPQLSSKLVGKAQGFYASTSQSEVDLIMAMNFAIIEGKYNGSTITILGRNPIYDKVREMPIIGGSGLFRFARGYAQLRTHWFSSETKDAIVEYNIYVLHY >CAK8543230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590546706:590549297:1 gene:gene-LATHSAT_LOCUS12001 transcript:rna-LATHSAT_LOCUS12001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFDIADSLLGKLASYVCEEASRAYGVYEDLQGIKDTLSIVKGLLLDAEEKKKQQHALREWTRQIQNICSDAENVFDGFELEHKKKQVLEASSSTRLKVSHFLSSSNPLVFRSKMAHQIKEIKDRLDKVATDGTRFGLAATSVDPGFILQRREMTFSHVDALDVIGRENDREEIIKLLMQPHPQGDGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCVSNDFDVRKIIIQIINSASESIPSAPLAYQENINNLDIEQLQCRLRNKLSKQKFLLVLDDIWNDDRAKWIILKDLLKGGAIGSKIIVTTRSNSIASMMGTFHSYVLQALSHESCLSLFVKWAFKEGEEDKYPNLMEFGKQIVIKCRGVPLAVRTLGCSLYSKFDLNKWKFARDSEIWNLKQNEDDILPALKLSYDQLPSHLRHCFTYFSLYPKGFLFSGGKITNLWVALGLLQSQDGNQSLESIARDYIDELHSRSFLQDFKDFGHFYYFKVHDLVHDLALYVAKDECVVVDSHTRNISQQVRHFSMVDNGSLDSALFPKSKSVRTIIFPIEGVGLDSESLLDAWILRYKYLRILGLSDSSFETLPNSIDKLEHLRYLSLSDNCNIKRLPLSICKLQCLQVLLLGGCMNLETLPKGLGKLISLRKLQITTKQSVLSLDEFASMSNLHTLCFRNCDNLEALLGEVQLASLEVLVFHRCGSLVSLPLCSFSKLEVLQVTDCKRLQLRLPENPQSKTKRWRMKYLRLENFPELHTLPEWIERAAETLQTLRITNIPMLWKLPDCLTRMTHLKNLCIARCPLLDSFPIGMQHLTFLEALTIDGCPKLCQKCQPHYGEYWPMISHIKHVSIGEP >CAK8579007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666915650:666917054:-1 gene:gene-LATHSAT_LOCUS30984 transcript:rna-LATHSAT_LOCUS30984 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAATISPSENEFKSVIDFKSLNKEANVPKEFIWSSGDLVETSQGDLDVPIIDLGVISNGDAAALEAVAKVVREACMKHGFFQVTNHGVDQKLIDATNQEFVSLFELPLNRKANAYKAPWGYSCAHASRYSASLPWKETFTFQYKHYDQSETQIVDFFTSVLGDDHQHAGLVLQNYCDAMKKLSEVIMELLAISLGVDRLFYKKFFEDAETMMRCNSYPPCSGIQAGTLGTGPHCDPTSITILFQNLEGLEVFVDDKWLGVRPQPNTFVINIGDTFKALTNGVYKSCLHRVLANKEKDRKTLAFFINPKSDKIVRAPDNILGGQEPRKYPDFTWSQLFEFTQKKHRADPNTLPDFVAEINSNKSNI >CAK8567531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:522521248:522522425:1 gene:gene-LATHSAT_LOCUS20577 transcript:rna-LATHSAT_LOCUS20577 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAKATYIQAWEAKMKELKVFNPKVWEWLIKIPTKSWCIPCRHVVAALGFRNQHPEDYVDDYYSKETYAACYNFNVSPINGQDMWPEVNVEEMLPPSYKRGPDRPKKLRRKEPDEDHKKVRTQTSCCCTKCGVHGHNARSCSVLVPDLEAQKRKKKPKKNATQITQPESVAEQTTHAENEASTEQQQPQDEPLTKQQQKTQCDMDQEFEMLAANLCAAFETTQTQPNSMISLPKLQFHLKLLVTML >CAK8537307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:219038260:219038735:-1 gene:gene-LATHSAT_LOCUS6606 transcript:rna-LATHSAT_LOCUS6606 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGVILQERVEIVVWGAPNKLLGADGSWIRFFPDGGENVSEARYISLALVHPFHPKMKIRRGVLATECTDVMHQFFQLRRTTKKEEVPKEPSCLPVTHHHPTKLLNKIHDIFHVMFCL >CAK8542893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:556113430:556117072:1 gene:gene-LATHSAT_LOCUS11691 transcript:rna-LATHSAT_LOCUS11691 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDSPSKKGSWRFLTRKKQVDSASNNTKPLLAKELTIPHLIAIGVGSTIGAGVYVLVGTVAREHSGPALAISFLIAGLAAGLSAFCYAELACRCPSAGSAYHYSYICLGEGVAWLIGWSLILEYTIGAATVARGVTPNLAPIFGGLDNLPFFLSRQHIPGIDITVDPCAAVLVMLVTGLLCLGIKESTVVQGIVTSVNVCALLFVVIAGGYLGFKSGWAGYELPTGYFPFGVDGMLAGSATVFFAYVGFDAVASTAEEVRNPQRDLPLGIGASLFLCCGIYMLVSIVVVGLVPYYAINPDTPISSAFAVHGMQWAGYIINAGACTALISALMGGILPQPRILMAMARDGLVPPFFCDINKHTQVPVKATIITGLAAAVLAFFMEVSELAGMVSVGTLLAFTMVAISVLILRYIPPNKVPAPPSLQDSIVVIEAVNEDESVSTSEDTKPLDVTRDFPIDHPLISNHLVIIGNYVNEGNRRKLVRRTIALICLGAFVLTFAASCLTYLSYVRFTFCGIGGILLVFGFVFLSLIDQDEARHDFGHSGGFICPFVPLLPITCILINSYLLINLGLGTWWRVSIWLVAGLVIYVFYGRTHSSLKDAIYVPASQVDQTYQTPRNYLA >CAK8537871.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444072692:444074614:1 gene:gene-LATHSAT_LOCUS7123 transcript:rna-LATHSAT_LOCUS7123 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGEEKLLAMARHIAKTLGHNNNVMADDILQIFSNFDGRFSKENLSEKVAVADTDPRTCVALDHCLKALDRRISHYVSSDHPIWADSADAEAFLEAVDDLIASVAEWNHLTGDKSIATCLARAEDMLQHAMFRLEDEFRSLMERGGESFDLTQPYNGSTENLPFDSEEDEEVRNDGEEDDLIPVAMPVTDYDIVIDALPSATINDLHEIAKRMVAGSFGKECSHVYSSCRREFLEESLSRLGLQKLSIEDVQKMSWQDIEDEIERWIKASNVALKILFPSERRLCDRVFFGYSSAADFSFMEVCRGSTVQLLNFADAVAIGSRSPDRLFRILDVFETLRDLIPEFEVLFCDQYSVSLRNEALTIWKRLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSQQTLEQVFEDYGHPLKEFPKIDDRMHSSSSLSVQMDWIMELLESNLEAKSRIYKDPALCYVFLINNCKYIVQKAEDSELGVLLGDDWIKKHTAKIRQYLMQYQRSSWNKVSGFLKMENSGSMPPNAIAKSMKEKLKSFNTAFDDLCRVQSSWFIFDKQLKEEIRNSIEKLLLPAYRKFIGRFQSLAEVGKNTDKYVKYETEDIEVKLNDLFQGSSGSTGSRNRS >CAK8540466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8345466:8346020:1 gene:gene-LATHSAT_LOCUS9469 transcript:rna-LATHSAT_LOCUS9469 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYRTVMDLLYFLLTLMVVWLMRCRLKSTYMKELDTMWISLLVVPSEILAVLVNPRTPHVWLVRVVFAFTIYVEPVSVLPQIRYMQNAKMVEPFTGYYFFALDISIFFALAY >CAK8530512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:26773474:26773821:1 gene:gene-LATHSAT_LOCUS370 transcript:rna-LATHSAT_LOCUS370 gene_biotype:protein_coding transcript_biotype:protein_coding MINQCGYRNKMDIDNLMNYPGENEACSEVQSLEDIVGTIIENNAEDGGEDDTMSLEPITRKEALIASNTLHNFMIQYKNTTPKLLDVIRKVRDELQIDLNFKGKQTTIESYFNRV >CAK8535635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871096713:871097354:-1 gene:gene-LATHSAT_LOCUS5077 transcript:rna-LATHSAT_LOCUS5077 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNNQDVWRAQLHPGTREGIVNQIMNMLKRHRPVWDQEGLHQLQKIVQMFEEKNFIAATSESDYLRKITIKMFVMETKSPGCMVNSIRSSLDNITSSLEMLTLETKPHGTMANNMTLN >CAK8574359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677026507:677027904:1 gene:gene-LATHSAT_LOCUS26713 transcript:rna-LATHSAT_LOCUS26713 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLWEKGHFSKECPNNTHKAAKLINSLQPLEGDLEYLYSEQSSADEETIFSLQDSSFDEASFSESEDERYLPVYSIKEIGNSLPTTPLPCIEVHVLATNFSHPKKVIAYMDTGAQITMVNPNILSAESWVTHAAYFVAANGKVFKTNLMTKEKIGIKFFPDCIVWTKVIGSNLPNKDIVVGMDVYSAAIKLKILPTEIKFKREFKLYSGILKLYSLSKVPAGYEEIKSNLLSLCADSHEKFRHPKPLWKNKDFFVQIPFKLNEDVNPTKATHPGMSPLDYALAREESNQLLKKGLIEPTKSEWACQAFYVEKRSEKLKGKKRLAIDYKPLNHFLKDDKFPITKASSLNVFIKDAQIYSKFDLKSGFWQLGIDPEDRYKTAFCIPNAQYQWTVLPFGLKVAPSLFQKAMTRIFEPILNSILIYIDDVLLFSKDEKTHKQLLGQFLQIAHQHGMMLSEKKSQLGQT >CAK8544389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681557509:681558280:-1 gene:gene-LATHSAT_LOCUS13075 transcript:rna-LATHSAT_LOCUS13075 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLKQAADVTRKSYQVFSSACLKRGFSTRSHTADPDIHSGQQKPGYTNSRISPQGTSRGDSDTDTKFTESEKGEGIFESPKSPCESSPKLKSTGVNQPLDPNIQQKRKHGTIALEDVSCAGLDGTPWPEEKDKSRQEQQEDYKDYYKHHKASPLSELEFADTRKPATRVMHGTADSGQGGDVISWLPEQLETAEETLLRTAEMWRQRAMHGDPDAPRSRVLRALRGEEF >CAK8573049.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580015257:580024322:-1 gene:gene-LATHSAT_LOCUS25542 transcript:rna-LATHSAT_LOCUS25542 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLDREDVLRSISSLRMNIGSSSRRSWASTSGGGDDIFERNKKNEIVVDDEQELQWAAIERLPTFERLRKSIVKQVIQSGGCTYQEVDISKLAIHDKSKLMDAVLRSVEQDNEKFLFKIRERIHRVEIEIPKVEVRFEKLYVEGDAFNGSRSLPTLVNSTMNVIEGFLGAMKVVPSKKSVIKILEDVSGIIKPSRVTLLLGPPGSGKTTLLHALAGKLDRDLRVSGRVTYCGHELSEFVPQRTCAYISQHNLHHGEMTVRETLDFSGRCLGVGTRHDLLVELTRREKQAGFKPDPDIDAFMKATAVGGQETSLITDYVLKILGLEMCSDTLVGDEMRRGISGGEKKRLTTGEMLVGPAKVFLMDEISTGLDSSTTFQIVRFLRQLVHIMDVTMIISLLQPAPETFDLFDDIILLSEGHIVYQGPCENVLGFFESVGFKCPERKGVADFLQEVTSRKDQEQYWFIRDKPYRYISVPEFVSHFNNYSIGKQLSQELDVPYDRAKTHPAALVTDKYGISKLELFKACFAREWLLMKRSAFIYIFKTSQIVIMSLIAMTVFFRTEMKYGKLEDGRKYYGALFFSLINLMFNGMAELAMTIFRLPVFFKQRDLLFYPAWAFALPIWILRIPLSFLESGLWVVLTYYTIGFAPAASRFFRQFLAFFCIHQMSLALFRFIAVIGRTQVVANTLGTFMLLLVFLLGGFIIARDDIEPWMLWGYYASPMMYGQNAIAINEFLDERWSSPNLDPRVPEPTVGKALLKARNMFTEEYWYWICIGALLGFSLLFNVLLIVALTFLNPFGDSKSIVLEEENEKKETTKESYVSKAKSSEYIEMTERNTSESLIRKADTSTAKRGMILPFRPLSLAFDRVNYYINMPAEMKNQGFEESRLQLLRDVSGAFRPGVLTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFARISGYCEQNDIHSPNLTVYESIAFSAWLRLGKEVNKETQKMFVEEVMKLVELHPLRNFLIGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTADTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYSGPLGQQSQKLVKYFEAIPGIPRIKDGYNPATWMLEISSPTVESQLGVDFADLFIKSELYQRNQELINELSTPLPGTKDLNFSSKHSQSFITQCKACFWKQHWSYWRNPQYNAIRFFLSISVGVIFGLVFWKNAEKIHKEQELMNLEGAMYSAILFLGATNTAGVQPVVAVERTVFYREKAAGMYSALPYAFAQVAIECIYVAIQTFVYTLILYSMMGFPWQADKFIWFYYFIFMSFVYFTLYGMMTVSLTPNHQIAAIVMSFFLVFWNIFSGFIIPKSQIPIWWRWYYWACPTAWTVYGLVASQLGDIETLIEVPGAGTISVKAYINEEMGFEYDFLGVVAVAHIAFVLVFLFVFAYAIKVLNFQKR >CAK8564294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:666484894:666488798:1 gene:gene-LATHSAT_LOCUS17615 transcript:rna-LATHSAT_LOCUS17615 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDSYLDFLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTMEKHDAN >CAK8539186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506370483:506371783:-1 gene:gene-LATHSAT_LOCUS8306 transcript:rna-LATHSAT_LOCUS8306 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTTDSIPLLTPYNMGKFNLSHRVVMAPLTRTRSYNNVPQPHAILYYSQRASKDGLLIAEATGVSDTAQGYPDTPGVWTKQQVEAWKPIVDAVHAKGAIFFCQIWHVGRVSNSIYQPNGQAPISSTDKSITSNDQQQFTAPRRLRTDEIPDIVNDFKLAARNAIEAGFDGVEIHGAHGYLLDQFMKDKVNDRTDEYGGSLENRCRFALEVVEAVANEIGPEKVGIRLSPFAEYAESGDSNPNALGLYMANALNKYNIQYCHMVEPRLIHTFDPVETPHSLVPMRKAFNGTFMVAGGYNRQDGINAIAENRADLVVYGRLFISNPDLPKRFALDAPLNKYNRETFYSSDPVVGYTDYPFLE >CAK8544613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:695824876:695825918:-1 gene:gene-LATHSAT_LOCUS13272 transcript:rna-LATHSAT_LOCUS13272 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAAGTKGKKKGATFTIDCVKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDNNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNIYELRYFNIAENEGEEED >CAK8576673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:504845946:504850237:-1 gene:gene-LATHSAT_LOCUS28846 transcript:rna-LATHSAT_LOCUS28846 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSSSKKHGQDQPESSGPIPISLRTKMGKSEDNDKKSSSKKVKDVEISVPIVYGNIAFWLGKKASEYQSHKWTIYVRGATNEDLGVIVKRAVFQLHTSFNNPTRVVDAPPFELSEAGWGEFEIAITLYFHSDVCDKPLNLYHHLKLYPEDENSSMSTKKPVVVESYDEVVFPDPSEAFLARLQNHPAVNLPRLPPGLTLPPPIPVEDASKRRKGDTKDNPLSQWFLNFSEADELLKLAAARQQVQTHISKLKRQITSIDGQHKQQPLKSSSDQ >CAK8573442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612716384:612717836:-1 gene:gene-LATHSAT_LOCUS25889 transcript:rna-LATHSAT_LOCUS25889 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFRLRRRSFFLLEQQGHLASRIANLGEQDQEQEPEIRKMSEIREAYKTVEQDLLKLLSFVEINVVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVEALSRNLHDLQDRQGSYLSIYDQPTFPLQDPFIISVKAAVDRLTHSTNFLDFLGQHALIMQEELPAPTDENVDERYYFTSLVLNLVKTFLYMVNTYIVVPTADDYSMHLGAAPTVCGIVIGAMAVAQLIFSIYFSAWSNKSYFRPLVFSSIILFLGNTLYALAYDFNSIWILLIGRLCCGFGSARAVNRRYISDCVPLKIRIKASASFVSASALGMACGPALAGLLQTDFKIYNLTFNKDTLPG >CAK8567899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:555552667:555555856:1 gene:gene-LATHSAT_LOCUS20913 transcript:rna-LATHSAT_LOCUS20913 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRKLGRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGSVCAARRAASFVRGDDVIHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFIDRDNELRQSKPPTPQPPQRAPLDPWTRSRLTRQFAPPKVEKSDSDF >CAK8540496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9134986:9135297:1 gene:gene-LATHSAT_LOCUS9498 transcript:rna-LATHSAT_LOCUS9498 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGKGIKGLGKGRTKRHMMIMRENIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHGTASYTNHARRKVVTAMDIVYTLKRQGRTLYGFGG >CAK8539807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525868760:525869260:1 gene:gene-LATHSAT_LOCUS8868 transcript:rna-LATHSAT_LOCUS8868 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSSDSTRVATAKLILQDGRLREFSYPVKVSYLLQEHPSCFICNSDEMDFDDVVTAVDENQVLQLGQLYFALPLSWLRQPLQAQEMAALAVKASSALMKSGASYKCGCGGKQILFSGECDAKRVSPASVSGGGTVQRSRRGRNTRSGSAGVEKFAALLSSIPE >CAK8567588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527252750:527253770:-1 gene:gene-LATHSAT_LOCUS20628 transcript:rna-LATHSAT_LOCUS20628-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYCSTSTSKKVKTPGYEDPTVLASETPCEYPSSLTVSVSEVDALYELYLKLSNSIIEDGLIHKEEFQLALFRNENEKNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPLEDKIAFAFRLYDLRQTGYIEREELKEMVLALLHESDLYLSDEMIESIVDKTFEDADTKEDGRIDEDEWKAFVSQHPSLIKNMTLPYLKDITMAFPSFIVRTEVEDSEV >CAK8567589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527252750:527253770:-1 gene:gene-LATHSAT_LOCUS20628 transcript:rna-LATHSAT_LOCUS20628 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYCSTSTSKKVKTPGYEDPTVLASETPFSVSEVDALYELYLKLSNSIIEDGLIHKEEFQLALFRNENEKNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPLEDKIAFAFRLYDLRQTGYIEREELKEMVLALLHESDLYLSDEMIESIVDKTFEDADTKEDGRIDEDEWKAFVSQHPSLIKNMTLPYLKDITMAFPSFIVRTEVEDSEV >CAK8568426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605720814:605722761:1 gene:gene-LATHSAT_LOCUS21384 transcript:rna-LATHSAT_LOCUS21384 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYVTNHRWKRWKRNRIWGATATFICCMCFILFTPPIPRSLNHHRFADVRNLVGVPNTLNVMTNFPFLVVGVLGFVFALDGTFFNISSQGEVWGWVVFYSGMIGIAFGSAYYHLKPDNHRIVWDTLPMTVAFSSLMSCLVFERFGQRTGLCCLFSLLVSAFLCVLHERIYNDIRFCMMFQLILPLAIPAVAFMYRSKYTHSGYWFLSTGIYLLAKIQGITDKKMFRVNNYFITGHSLEHLCLALIPISLSIMLIYRELKFQRLVDLKDRP >CAK8537835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:440168409:440169505:-1 gene:gene-LATHSAT_LOCUS7089 transcript:rna-LATHSAT_LOCUS7089 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPCSMDLSREASCRDGAAASHLKVISLFVIFITSAIGMSAPVLLARIFRGKPLYDRALVLIKCFAAGVILSTSLVHVLPDAYAALADCHVASRHPWKDFPFSGLVTLIGAILALFVDLVASSHVGHAEYAPVGAGEKELGIVELGGGGEGESERGEELVRLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGMGLGGCVAQAGFSYGTVAYMCFMFSVTTPLGIILGMTLFSLTGYDDSNPNALIMEGLLGSISSGILIYMALVDLIAADFFHNKLMNSDPRLKRASFVALTMGSASMSILALWA >CAK8531944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:180907167:180908956:1 gene:gene-LATHSAT_LOCUS1697 transcript:rna-LATHSAT_LOCUS1697 gene_biotype:protein_coding transcript_biotype:protein_coding MINMMFLLILFSTIVTSHGYDDNDITLKEDFELEKQLKLINKSPIKSIYTEFGYIIDCIDIKTQPAFDHPLLKNHKLQIRPSFYSNIRSRSVNISQTKTNFILDKLNCPKGSVPIRRTTKEDLIRTKSLSNNNILATRASHKAEVYLRYFGGKNYYGITGTASVYNPRCTIAQASSSNIYVRNGEGNAFNEISVGWHVFPHINGDDRTYAFATWTSDGYMKTGCYNVQCQGFVQTNRQYHIGTVIPQTSVYGGVIVEMPFSIVQDERSKNWWITISGKAVGYYPQALFNNLKTADQVGWGGATIAIGAPSPQMGSGFFPDQNFGHACYFSNIGYKNKTNSAYYGPDEYLTDIYHDVPACFGVDYYGKQNSPYGYSLQFGGPGGRCD >CAK8531101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80969142:80969369:1 gene:gene-LATHSAT_LOCUS913 transcript:rna-LATHSAT_LOCUS913 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLEEDVDDGSEVDMSSAIDDLWKRFRSLDVVGKRALKSRVFELTFPIMTSLCPSPEKIKTKEGVKKKGKKNQ >CAK8568923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654989078:654989329:1 gene:gene-LATHSAT_LOCUS21830 transcript:rna-LATHSAT_LOCUS21830 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLTGRRGLTPYFEEGVKGFITWPFAQECCRREGGVRCPCLKCECRPIISDLEEVERHLKRKGFIKSYWV >CAK8542900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557418216:557419797:-1 gene:gene-LATHSAT_LOCUS11698 transcript:rna-LATHSAT_LOCUS11698 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTQPQVHHQPLHIQAQDPSITNATVAGQAVKWIFQILFYLQLLLISALVIFITRYDRTSDSSTTHHFHPHKWYPPLLASTLCAAILGFTWHCIIACYPKEAVWEPFWWSPLLTLLMAFMFMVIGTPGSWIPGLGCFLFSDLQSKYVGRVIRSNMIKHTAEIYQDLIDFIPAKTKCLAFLSITVGTLYCCFLVYGIGGARRTVQADLYILLIILSLGWTMQVMNNAMQVTISWVQYTHFTDHVITNIRAAFRDTIKHSIGSVSLASILLPVIKLIQEFARLLNLYHCEDDRSCVMKLAAHLMTWGNIWGFVHVGAYRKGFVQASSDTWGMFMSRAGLQELIDLDITGAFCFLSGVAVGAICCLVCGIWSVIVYKDYATELSIYAFFIGYFICRLAIAWPQASVSAYYVAYAQNPENFPFESTIEERLNQLCIASQRPSNQRGRQFRRRFAYRTVRKEH >CAK8538572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487464276:487470248:-1 gene:gene-LATHSAT_LOCUS7756 transcript:rna-LATHSAT_LOCUS7756 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADSEISEDQKEISAPKSPWKRPSGVDGKSVDVPVMVIGTKSWPALSDAQTQKPKNHVETVSAKVEDVAVVSVSSVGEVAPRASSVQKSNGSGNFNPLNKMPSPRYQKPGPKRNNHNNDAGHFPMATMPYHQQMHQFFRPMAPPPPHMAVPAYAYPPGPGPYQNIDNPMMKPVPPAAGQGFTPPAHAVDAKNGQPPVHGDPNAYVNYPNGRPNIQEQGEHGNHGWHHQRPFPSRPNIPMQHGLGPRPFIRPPLYGPPPGYMVGPSFPGHTPMWCVPMPPPGPIRGPPPRHFAPYPPVNPAPQPPTPPQPPAPETLPLEARILNQIEYYFSDENLKDDPYLIGVMDDQGWVPISTVAGFKRVTRMSTDIPFIVDVLRSSDNVEVQGDKIRNRNNWSKWIQTSSGNSESSVTEIQQDQLVEATENSRPDAVGDNTKESSEATLKDAAHSSTFTEQNLSNKDTLKVPDMSQEHDTDSHQFNDISHVVTSKSDTAHINFFCRPKETDSKSKEVNYNKTRNIDVSADDFGNTFLLDEEIELEQKKTELSSAGRIDDEDDEMAVIEQDVQRLVIVTQNGDPKKETGGSKESKTISKELASQINDGLYFYEQELIHNRRSNRRKSNSNNRERGLKSQNHTSGASNINAGENAVGGVEESGGNNSRRKPKVFHKHQSSLTQRFFSSNFRNHGTGRNSHGVISESPPSNSVGFFFSSTPPENHSLKFSKLSSSPHGHGGLSGSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKYHKKCLNDRKKLGIGCSEEMNTLYRFWCYFLRDMFVPSMYDEFKKLAKEDAAANYYYGMECLFRFYSYGLEKEFRDDLYTDFEQLTLDYYHKGNLYGLEKYWAFHHYRKMRNQKEPLQKHPELDKLLNEEYRSLEDFRAKEKNAAKDVTNKFE >CAK8568513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612758352:612759584:1 gene:gene-LATHSAT_LOCUS21462 transcript:rna-LATHSAT_LOCUS21462-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRVQDRSKKKRVHELEVATEKWKITSKIIFLMELLKQEPEMVIPVRSLSHYRKQINLPKPHRISDFLRKTPKLFELYKDRNGVLWCGLTHKAEDLMEEHKRVVEENQDKAAEYVTRFLMMSVDKRLPVEKIAHFRRDFGLPMDFRTHWVNQYPQLFRVVKPSLDDVEFLELVSWNPEWAITEIEKKNMKMVEGITETETSHTPGLLSLSFPLKFPSNFKRVHSYYGEKIKLFQGRSYLSPYADAKGLKPGSLEFDKRAVAVMHELLSFTVEKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYEGSELIEKSPLILWREKLLSLVGYRGRKKKFEADTESDEEGGDGLYLLQSDSDVEDLDVELEQKDTLEYKDPLLEDDSEMDVGEIM >CAK8568512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612757828:612759584:1 gene:gene-LATHSAT_LOCUS21462 transcript:rna-LATHSAT_LOCUS21462 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRGIDSWRKSFCYSHWERWMTTSKRVQDRSKKKRVHELEVATEKWKITSKIIFLMELLKQEPEMVIPVRSLSHYRKQINLPKPHRISDFLRKTPKLFELYKDRNGVLWCGLTHKAEDLMEEHKRVVEENQDKAAEYVTRFLMMSVDKRLPVEKIAHFRRDFGLPMDFRTHWVNQYPQLFRVVKPSLDDVEFLELVSWNPEWAITEIEKKNMKMVEGITETETSHTPGLLSLSFPLKFPSNFKRVHSYYGEKIKLFQGRSYLSPYADAKGLKPGSLEFDKRAVAVMHELLSFTVEKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYEGSELIEKSPLILWREKLLSLVGYRGRKKKFEADTESDEEGGDGLYLLQSDSDVEDLDVELEQKDTLEYKDPLLEDDSEMDVGEIM >CAK8560705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37152963:37153172:1 gene:gene-LATHSAT_LOCUS14360 transcript:rna-LATHSAT_LOCUS14360 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVSVVLFILLTPGLLIQIPGKGKMVEFGSFQTSGLSILVHSVIYFALVCIFLLAIRIHMYMG >CAK8564053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648251667:648253663:1 gene:gene-LATHSAT_LOCUS17396 transcript:rna-LATHSAT_LOCUS17396 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNESPPPPTSPTALPMKRFKFVWRFLLLSNLALGAFLFARAKRRDSMEIHRKKTAHRLPKAKATVEVPPELPTSSADLNYDDFLFPVTMPVEVRAPIPEEQQCEVFKWMLEEKRKMKPKDAMEKKQIDAEKDILKQFLRAKSIPKF >CAK8560246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11685369:11685936:1 gene:gene-LATHSAT_LOCUS13936 transcript:rna-LATHSAT_LOCUS13936 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPCPIELEPRTLSGVELTQARELAAEVVQKMEPSEASALFFEGIIHPIKEETHMDENKCKNEKLFDFTKKKETITYEKVCQCQCSSSTESPFCIAGGKEPLSAPF >CAK8541808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:405466678:405469472:-1 gene:gene-LATHSAT_LOCUS10702 transcript:rna-LATHSAT_LOCUS10702 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQMTHVDLEQGNHHPHHHHQHHPSSVVGSDVSGEGSLCFSDADDGSSYSRFYSTNGGSYDDYSFACVSDDPELGGGGGGGVHDSARVSSVTDCSVEIRIGVPEIKVHLAKVEKDCRICHMGLESESHESGPPIELGCSCKEDLAAAHKNCAEAWFKIKGNRTCEICHSVARNVYSANEDSTEHVIDSTNTTASSTLSTAAPTPETQRFWHGHRFLNFLLACMVFAFVISWLFHFNMPSS >CAK8568825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644593056:644596514:-1 gene:gene-LATHSAT_LOCUS21745 transcript:rna-LATHSAT_LOCUS21745-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTQVIRTETAEKSAIQLNIILPETETKLGAKEAIHIETEYQKEFQKMLSNRRALQVPLWAELAKPVANPCYPPPSQMEIMKSCPKKDIPNFHDLLVEENLYLNVEYGDQGKLPVLILSLKECDSKLRPAVVFNHGSDTSKEYMRPLLEAYASRGYIAIAVDSRYHGERAKSANTYQESLTNAWKTGSSMPFIYDTVWDLIKLADYLTTQRKDIDPSRIGITGISLGGMHSWFAAAADTRYSVVAPIIAVQGFQWAIDNDKWHARVDSIRPVFEVASKDLCKNAIDKEVVGKVWDRINPGLTSQFDSPYSIPPIAPRPLLILNGAEDPRCPWDGVEDLMTNVTKMYAAFQCPDNFKVYAEPKTVHQITKFQVEESAAWFDNFLKP >CAK8568824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644593056:644596607:-1 gene:gene-LATHSAT_LOCUS21745 transcript:rna-LATHSAT_LOCUS21745 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSPNTTLKMPDYKYQIRAIKVNRTITAQMHPTQVIRTETAEKSAIQLNIILPETETKLGAKEAIHIETEYQKEFQKMLSNRRALQVPLWAELAKPVANPCYPPPSQMEIMKSCPKKDIPNFHDLLVEENLYLNVEYGDQGKLPVLILSLKECDSKLRPAVVFNHGSDTSKEYMRPLLEAYASRGYIAIAVDSRYHGERAKSANTYQESLTNAWKTGSSMPFIYDTVWDLIKLADYLTTQRKDIDPSRIGITGISLGGMHSWFAAAADTRYSVVAPIIAVQGFQWAIDNDKWHARVDSIRPVFEVASKDLCKNAIDKEVVGKVWDRINPGLTSQFDSPYSIPPIAPRPLLILNGAEDPRCPWDGVEDLMTNVTKMYAAFQCPDNFKVYAEPKTVHQITKFQVEESAAWFDNFLKP >CAK8543813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641225863:641226084:1 gene:gene-LATHSAT_LOCUS12545 transcript:rna-LATHSAT_LOCUS12545 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLGLGLPIPIGPHKGTGAIERFHIAEPKGSTSVRDRSMSSRPRCIEKYLCTISGSLIRKIELGWGSTM >CAK8571855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:483639921:483642048:1 gene:gene-LATHSAT_LOCUS24478 transcript:rna-LATHSAT_LOCUS24478 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYGISLDGEDANDCFLCDDIMPGALHRFLSRFVKIQHDGGSEASANATDKEKGEASANAADKEKGEASANAADKEKEPASVKVSQKRKGDDVVLRSCEADAKNKDILKEEASEAVARFFYNNAIPLKLVESKEFVDMYNMISRLGVGYEPPSADEIREKYLTKVGKSTDKVLEEHRAVWKTRGCTIMVDAWTDNKRTILNLFANSLKGKYFLKSIDASHMLESETPYELFNMMDDIVEEVGEENVVQIVTDNTPFYKAAGEMLMEKRTRLYWTPCVTHCIEMILEDFKKKIPIYGNTIAESKIITTFIYSRDSIVSLLHSFTYGIDLVKTSITRCASCYLTLDCLYENKAALKKMFKSKGWKSSEFAKTKVGILVEDVVFDNEFWKNVLICLNGANPLIQVLRLVNSIGEPATGFIYEAMEQAKEEIRSKLSIESFMPLWKIIDERWDNQHLNPLHAAGYFLNPQYQYCIGFRDDNIITHGLHHCITRMAGSPEERTKIEIQLDDFERRTYLLGDPVAIMTAGYEIPTVWWADFGGGLPELQSLALRVLSSTCSSYGPESNQSAFKMVYPKRRNLLRQESDNNAVFVMVNSKLGEKRQARRSVELSLDDNGDDEGLDADHLEYEMISDLHGEYANGDEDQIEACWC >CAK8534018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681772592:681772903:1 gene:gene-LATHSAT_LOCUS3594 transcript:rna-LATHSAT_LOCUS3594 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8530981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67802989:67803264:1 gene:gene-LATHSAT_LOCUS803 transcript:rna-LATHSAT_LOCUS803 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDNVIFEIDSQCVIQGILSNNSGIYEFSLLIRSIPNFLQIYPNFEIKFVKRQTNMVVHSLENAVDSLARRNIINLISRCIEHYLLNKMC >CAK8530286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:11847753:11852557:-1 gene:gene-LATHSAT_LOCUS164 transcript:rna-LATHSAT_LOCUS164 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFSRTFHCLWFPSTILPPKPLSQTLPFSTPIQLPRRFIFTSTRRHSSLLPSSASSSTSSPHDHESVELISSTQHSDGSIVFTFGNASEIRETIDELNEQKLVPEGVIQGGGVGVLVSDDGVEKLRNEDDGNLGEEIESSSTVVVAVADQNPQLLESEDESSVVPEKETPVINDLQKIDKKLMLDTVEEDSRVGSLEEVGVLTVSPAESDVISDLSSGASLEVEEKVYNGGGVDRAANSPASGVDTSDLTELVQVSTSIKSDHSVNGTTSNLTGSVDAEISELKTASTSLESAQDDYSATDNLSGGVDAEISKLVPVSASSESTQDDYSATNNSTDSVDTETNELVPVSTSESAQNDYSATDNLTVNVDAKTNELAPVSTSSESEQIGYSAAGILTGTISADISELEPVSTSLESAQDDYSATTDNLTGSVDVETSELVPVSTSSESEQVGYSAAGILTGSTDADINELEPVSPSLESTQDEEDITEFVPVLTSSESEQVGYAATDNLTGSVDAETNELVPVSTTLESEQVGYSATDHLTGSVDATISELVPVSPSLESEQLDYSAADNLAGSVDLDEIDLVPLSAYSESEQFDFSETTHLTDSAVAEFSESVQTSTSLEAEQVDYSATDNLIASVDTELSELVPLPTSLEPEQVDSSAIDNLTDDVDDCIIHELASLPNSSDSDQSVIRETTHLADDVDAGFSESVPLSTSLEAEQVDYSETNNLTSGVDTELNALVPISTSLEPEQLDYSATDQLVGGLDTDLTKSTLVSTSSGFELHANDDETSLHVVDDSVDASEMQKSTLLDELVPSSDLENKIGVGNTERSDYENPLFNTLPEIHSVEMPSDEEKLTRTELFLVSGAACLPHPSEALTNREDAYIISPQNWLVVADGVGQWSLEGSNTRVYIRELMEKCEDIVSNYENISTIKPADVLIRSAAETQSPGSSSVLVAYFDGQALHAANVGNTGFIIIRDGSVFKISNAMLHELSFPIHLVKGDDHSEVIEEYKIDLNNGDVIVFGTNGLFDNLYEHEIASTISKSLQASLEPQEIAGILATAAQEVGRSRSNGSPFADAAQALGYVSYAGGKLDDVTVIVSLVQTT >CAK8569537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4489463:4496738:-1 gene:gene-LATHSAT_LOCUS22379 transcript:rna-LATHSAT_LOCUS22379 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQPAGLLDTLKMERVRTIFTHTYPYPHEHSRHAVIAVAVGCLFFISSDNIHTLVEKLDNNVKWWSMYGCLFGFFYFFSSPFVGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYISSIVFLIVFHILFYGLWYIGLVSRVAGKRPEILAILQNCAVLSVACCVFYSHCGNRAMLREKPLDPQKSSTWLPFWKKEERNTWLAKFLQMNELKDQVCSSWFAPVGYASDYPLLSKWVIYGEIACNGSCPGSSDEISPIYSLWATFIGLYIANYVVERSTGWALAHPLSVKEFEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMIRAEDGKQHRDLFYDHFSDKEDFWFDFMADTGDGGNSSYSVARLLAKPSIRTMKDDAQVTLPRGNLLLIGGDLAYPNPSTFTYERRLFVPFEYALQPPPSYNAEQIAVNKPFGDQLRQYDGPQCFVIPGNHDWFDGLQTFMRYICHRSWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHDDIDVYQFKFFTELITEKVQENDNVIIVTHEPNWLSDWYWSDVTGKNISHLVRDYLKGRCKLRMAGDLHHYMRHSHVKSDGPVHVHHLLVNGCGGAFLHPTHVFSKFSKFDGVSYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQCELNHILQDDTFSSQLSSFFGTVWNGFIYILQHSRVSFVGALVLLISAYSFVPPKLSRKKRAMIGVLHVSAHLAAALILMLLLEIGIEICIRHKLLANSGYHSLYQWYQSVESEHFPDPTGLRARIEQWTFGFYPACIKYLMSAFDVPEVMAVSRNNICKNGLDSISRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHISSDGNLEVFTLAVDKVPKEWKLDSDWDGETKNPQISSHLRSFPSKWRAVVSHQDPVHTVKIVDHFIIERTEDKNECGGSDSKGPVDQ >CAK8570914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:168954373:168957400:-1 gene:gene-LATHSAT_LOCUS23623 transcript:rna-LATHSAT_LOCUS23623 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNATQIPTTFNPLKTNNLRFPSSHVSFLSPSHTISRSLTAATNMPRLNSADFELSTITAVSPLDGRYREKVKHLAPIMSEYGLNYYRVIVEIKWLLKLSEISEITEVPPFSEDAKSFFQGLIDHFSEVDVKEIKRFEKITNHDVKAVEYFLKQKCQSNAEIAKVLEFFHFACTSEDINNLAHALMLKEAMNSVMFPAMDKIIQALCTMAKDNAHISMLSRTHGQPASPTTLGKEMAIFAVRLSRERKELSQVEILGKFAGAVGNYNAHLSAYPNVKWPDIAQEFVLSLGLCFNPYVAQIETHDYMAKIFHSFIQFNNILIDFDRDVWGYISLGYFKQTTKAGEIGSSTMPHKVNPIDFENSEGNLGVANGGFAHLSMKLPISRWQRDLTDSTVLRTMGVNFGHSLLAYKSTLQGIGKLQVNEARMSEDMNQCWEVLAEPIQTVMRRYSVPEPYEKLKELTRGKAITKESIRDFIEGLDIPQNAKMYLLKLTPHTYVGTAVELAKTVEHEVNKILNGAI >CAK8571021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:268821237:268822063:-1 gene:gene-LATHSAT_LOCUS23719 transcript:rna-LATHSAT_LOCUS23719 gene_biotype:protein_coding transcript_biotype:protein_coding MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITRISKHMSVIQDAIGEKLGHFTSSCTTFFAGIVIAAISCWEVALMCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFDGEGLAVKSFTENMDKQYVISKGEALVKGVGTGMLSLVALSITSLATTTPSNSCLPGMKVD >CAK8539132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504353524:504355507:1 gene:gene-LATHSAT_LOCUS8257 transcript:rna-LATHSAT_LOCUS8257 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRFSLLFTLFFSSILLFNHYVKCDNDEEDVLFQGVNKYRASLNLTSLTKNDNADCFAEKLADQFKSQPCTNTTGANTVPGTEPNLSIYPDLLTKCHLNISDTRDGSIMPVCVPGLVPSIVLSNFTQSLYSQSLNDSKYTGIGIGSEDNWIVVILTTNTPGGSFVAGTSNGANFISKIGLVFSSILLLAVSIILF >CAK8536743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12461914:12470010:1 gene:gene-LATHSAT_LOCUS6077 transcript:rna-LATHSAT_LOCUS6077 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARNRVGSNPNQMDPTVKRIQIRSTNLFARNNNSNNNSKCLCFKTTFIVPLFFSFLLIVSYYTFFSSSPSGARNSFRYSIIVDGGSTGTRVHVFKYRVKNALDFGKKGVVSMRVNPGLSAFAGDPDGAGSSLAELVEFAKHRIPKERWMETEIRLMATAGMRMLDVEVQESILESCRSVLRSSGFKFSDDWASVITGSDEGVYAWVVANYALGTLGGDPLETTGIIELGGASAQVTFVSREAMLPLFSRTVKFGNITYNLYSHSLLHFGLNVAHDSWREALISGDLNLASQYIKDGLHIDPCTPAGYSYSADSWKSSPSSHSEESQYQPTVQTRGNFSACRSAALTLLQKGKELCSYQHCDIGSTFIPKLQGKFLATENFFHTSKFFGLGPHAYLSKLMNAGQKFCGEDWLRIKKKYVSHDEEDLLRHCFSSAYIVALLHDSLGIGMDDERIKVANQVRNIPLDWALGAFILQTTADSDPHNHNWFAAIFSNESPTLLTLVGIFIILLFIAWSISRWRKPQLKTIYDLEKGRYITTRVGR >CAK8566866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468375709:468389982:1 gene:gene-LATHSAT_LOCUS19967 transcript:rna-LATHSAT_LOCUS19967 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFAVSPSSSSTMLFPKKHDVFLSFRGEDTRNNITSHLYEALNQKKVETYIDYMLEKGEGIGPSLITAIQESHVSVVIFSENYASSKWCLDEIVKIMECKKDRGQIVIPVFYKIDPSHVRNQRGTYEKWFKEHELNLTRNNNQRLLNWRSALTEAANLSGWDFQTYRSESQFIKDIVKDILQRLNLVHPIELKGVVGIEENYEGVKSLLEMDSKEVQVIGIWGMGGIGKTTLAIALHAKLSSQFEGHCFLANVREQTEKNSIDFMRNKLFSELLEEENLHVNVPKVEYHYVTNRLRRKKVLIVLDDVANSEQLDDLLSDYDCLGLGSRVIVTTRDKHIISIVDKIYEVKELNKHNSLELFCLNAFKAKLPKSGYEELSKNVVASCKGNPLALKVLGARFRSRSKEAWESELEKLKKIPDMKIQNVLKSSYDDLDDDQRNIFLDIACFVKEESKDRVTGLFKACNFFPDIGINDLVEKSLVTISSKGTIQMHDLIQELGWNIVHQESPKDPGSRSRLWNPNEVYDVLKYNKGTKNVEGITLDVSKIEDLHLSSDSFTEMSEMRYLKIHYGKWNQRGNIILPNGLDSLSDKLRYLEWQRYCLKSLPSKFSANLLVELCMPFSKLQKLWDGVQDLANLKEIDLRFCKELVEVPDLSKARNLEWLSLSQCRNLREIHPSILSLDKLKDLELEGCTKIESLIQMDNHLKSLQNIQLSNCSSLKEFSVTSNNLKNLLLDGTSIKELSSSIWCCEKLKMVDLHNCHDLVGFGDNIENYIKIGSLNTIVLSGCKGLNASNLGFNLKSWQATLTSLNLENCCNLETLPDSIGLLSSLQCLKLSGSNVESLSPNIKNLNHLKELRLDNCMKLVSVPELPSSLRLFSAINCISLITDLTTLDIAFEHRPKPQPYPQSVFFPGSHVPKRFSYQHHHNGSTSEVTIKHLPESGLYGLVFCLVLSGSNDNYGMVQFSVNQNSKNIGGKATSLRNLHLATDHVFLWYFDVKTGAKHISLHSQIEESGAWDYPYDISFQFSLENEEGEWSTKRIKGCGIFPLYHLQEKSAETKNLHLEPKPELELDSCDLISELESLLCDSYMSSPMCTDSTTLSILLENLETLLETPLEILSSDNEVKQNFYQILEQLSQFENQIPVKLHHVICKLRTFIEGVDGRFVSAQKTIQDHNLLLQSRTDISKQLVSVKARECQNKSEISRGKIEFERINSEIVELEEKLSGLVEIRDKLKRELEHCDVIDKNLKTEVAQCRSVIINLKKSEVSYKEALNNKKKVEDEWSDLKKNFATNKI >CAK8568785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640925376:640929796:1 gene:gene-LATHSAT_LOCUS21710 transcript:rna-LATHSAT_LOCUS21710 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESDLDEFLNLDYELRYPSLADTEAFMTAAFQTLLPDVVTSFSTCGGVTNSQNLTPKHSTITATIDSQSSLYGTVGSPVSANKPNSRENHAKGTSSGSSDPSDEDDEQSTNPLDNKRLRRKVSNRESARRSRRRKQAHLSELESQVEKLKLENATLYKQFTNTSQQFHEADTNNRVLKSDVEALRAKVKLAEDMVTRSSFTTSLNNQFFQNQCQIATPPQLNMRRVPHVSPTINVQQGNGIPYSGVAVGEHNSNLLGFGNLDMSIYNDAIDNGVLSNAMSCVTTTWP >CAK8567276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:499896730:499898042:1 gene:gene-LATHSAT_LOCUS20342 transcript:rna-LATHSAT_LOCUS20342 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSYGEIFLLLGATAALLGPKDLPIITRTAGRMAGRAIAYVQLARGQLGSVIQQSQARQLHGELRDMMAQVDAIKHEVRSLSFINPGPLTRMLDNLDQPSNLNVGYTNNRKPEGAGVNLSISSLTKDSTPLPSNSFNMQSKATTYARLAEAPSIKNGSLASSGEVEKIKDGLQLIVMPLSAESTGLLPNRGGADVKGSDIVQEAILEAEVAHKAKEFFSQPENQPENQI >CAK8538899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499217909:499219504:1 gene:gene-LATHSAT_LOCUS8048 transcript:rna-LATHSAT_LOCUS8048 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNNFSNFTLMASFLFLLLLFKIVQRLNTSKKSYPNLPPGPWRLPFIGNIHQVISSPLPHHSFKTMAQKYGPLMYLKLGEVPYIIVSSPEMAKEILKTHDLNFCDRPNLMLSTIFSYNGTDIIFSVYGEHWRQLRKICVIELLSVKCVQSFRSIREQEVDDFVKSIAASEGSIVNLSRMISDMTYGIVARAAFGKRSKNEQAFKSAIDEIASLLGGFCIADLYPSIKILQRMSMAKTKFEKLHREIDMIMQDIIEDHKSIHKGVNKDENIVDALLKIQQENEQSQHRVTDVNIKSIIMDLFAAGTHTTSGFTSWCMSEIVKNPRVMEETQAEVRGVFDKKGYVDETEMHKLIYLKCVIKETLRLHPIAPMLLPRESRESCQINGYDIPAKTRVMVNAWAIGRDPRYWVEAESFKPERFLNSPIVFKGTDFEFIPFGGGRRTCPGIEFAIASTELSLAQLLYHFDWKLTNDMNNEELDMTESFGITASRKHDLCLIPIIRRF >CAK8530570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31803991:31804594:-1 gene:gene-LATHSAT_LOCUS427 transcript:rna-LATHSAT_LOCUS427 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLWDDIVAGPQPERGLDKLRKLTTNVKDEGASSQLMRSTSIPTTPTTPVTPTTPSSARKVDNVWRSVFNPGSNSATKTIGAHVFDKPLPNTPTVYDWYIISSMIGCTVGIQGASTVDY >CAK8530571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31803998:31804594:-1 gene:gene-LATHSAT_LOCUS427 transcript:rna-LATHSAT_LOCUS427-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLWDDIVAGPQPERGLDKLRKLTTNVKDEGASSQLMRSTSIPTTPTTPVTPTTPSSARKVDNVWRSVFNPGSNSATKTIGAHVFDKPLPNTPTVYDWMYSGDTRSKHR >CAK8537326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:265189909:265190577:-1 gene:gene-LATHSAT_LOCUS6623 transcript:rna-LATHSAT_LOCUS6623 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASFVGNIGFKVSFHLLPGVSSIPRNIWKFRIACLKSRDFSSSSVTASYILITSCRVKIPRLEYCSFADGSTIKINDGKIGHTAVAHCETSQNSDKVLTGLLLHDIEESSEQLEEVRELTVPQIEDSREQDFVRLDNSINDVEQSAAKLLAFGALTAVELRKILLSKRFSPNAVEAVINKLQRQGFINDKLYAGSFSQYRMVFIYLGSETDQTSPVQEGS >CAK8571262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:356579971:356580189:1 gene:gene-LATHSAT_LOCUS23940 transcript:rna-LATHSAT_LOCUS23940 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKRSAKAVGCKNELVGEHSSLERRTRASRVGQSGSENISLSNENIGENPMPRKPKDSSAKFIHGGQVRT >CAK8565242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79518044:79520290:1 gene:gene-LATHSAT_LOCUS18471 transcript:rna-LATHSAT_LOCUS18471 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQQHYQSQQHHEQQHREQQQPYYSFFPPTSDSSLHPPGTIPVANSAPFPAPASAYASQTADYQNWVAQQPEPIGYDLGNAVASSYETSAPSAWNAIWPCQIYGNETTTMLPNQTKFTQALRCEVCKLDVNSRDSYEKHIAGKKHKKILQSGVSSAQVQALIGPVVKQPETKKQVVQSKVCTTCNVLCTSHDTYIKHIAGKKHAAQVCLMSNGGIGLSIAEFKRNGVPPFQKAAKKIKVAQSAWCETCKINCNSRDMYITHLAGKKHLKNLEKLSNPKIDVGTSATATATNTLIGPQEKPDTDQPKAKKASELDIEAKKRKVVEGGTAVNNVKTCTLCNVVCNSETVFNLHLVGQKHAAMVKKAESSTG >CAK8532784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:515709678:515710091:1 gene:gene-LATHSAT_LOCUS2456 transcript:rna-LATHSAT_LOCUS2456 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYREGDVNSKYFHKLINGRARKKFIGVVETSQGTVDSVEGVKEVVHKFFKLKFTEVGVERPGLEGTFLKSLSESDVIFLERPFSEENFKLAVWSCRGDKSPGPNGYNCVFEKLLVFYEVGNLNSCEGLPSSSFSS >CAK8544082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661821669:661822508:-1 gene:gene-LATHSAT_LOCUS12789 transcript:rna-LATHSAT_LOCUS12789 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVDLETLVSACAGGSTDRKIACESQAEKTDPPEHHPDSPPESFCLSKDEETEWLDRNFVYERKESTKGNSNSGNLNLNSSSNSNTNSQRFANLKSKTSMIGLPKPQKPSFVDAKNRRNHKPSNIKLFPKRTASVGKSFAEPSSPKVSCMGRVRSKRGRTSSAAAAAAAVKERSPRTERKRSFFGSLRSIFRSGGRNKPDRRTDPPAFDSSVTNTNSVGISKARDSTASLNDTSFVESVSRNSVSESEPPSLGGMNRFKSGRRSDSWGGDDSEIHVSR >CAK8540071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538263461:538264309:-1 gene:gene-LATHSAT_LOCUS9109 transcript:rna-LATHSAT_LOCUS9109 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYHVRSNSFPSQSHPSSTRIEQELNKIKTWEATSTSDSISNGLSMLEDLYISLEDLLNMTSTQKVISHHQCEKSVEELLDGSIKILDICGIARDTMSEIKENVKSLQSSLRRRKGDSSIEKSIFEYKFFTKKMKKNVTKLISSLKRMECKFGASSLLNQDQEVVAVIKALRGVIVINMSLFQSILSFLASKSKATKWLKLAKLVHRKEVTCEENFNELQCAEASLRTLLREGSDAAEMRAAHESLEALENAVERIENGLESVFRRLVKTRVCFLNIMTQ >CAK8536110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908545674:908548436:1 gene:gene-LATHSAT_LOCUS5510 transcript:rna-LATHSAT_LOCUS5510 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPLINLIMTLFNIPLLWKECLSSAFRTALACTIVASVTLYGPTSVTTFITFPAFSYVVVILIIINDATLGDALRGCWLGLYATIQSLGPAMLSLWAMGPNRFSKGTASVAVALAAFVVVLPSDKSTHLIAKRISLGQIVLVYVLAYSNGVHVDAFVHPLHLAASTFLGVIACVVALLLPFPRFACSQVNKNYKLLTNNISKRLKLLVKIIKEEKNISAFGLISRAKFLTIKRTKIFSTILRYLDGMKWERLPINFFKPHYNNLGERLQEVDTSLIGMELALSCTKSFPINILNQDLTHGLDTLEEHVNLTIKNAKHTFLGSGSLTVPETNSKNITHFLQSLYTIPTTQQELPIFFFLFCAKLLHMKPSTQGPTSSQAQPIKKKENQYEANDKWAYWATALKNTNLLPAIKYSFSLGLSVYMGLLYSKESGFWSGLPVAVSYVSGREATFRAANVKAQGTVIGTVYGVLGCFVFNRLLSIRFLSLLPWFIFTSFLQRSRMYGPAGGISAIIGAVLILGRKNIGPPSEFAIERIIETFIGLSCSIFVDLLFWPKRASTCAKFELSKCLSILIDSIETLSLVDKTDLQLKENQRKLKMQVNELKKFVIEAEAEPNFWFLPFHSGCYNRLLGSLSKLVDVLHFGALALKSLQQEFQRSDNNSMNEEVNMLQTKLVHVKEVMCCSIKGLEEISKMKSFKFVEKEKKNMSWDIEMGKSKVDSAWLSSLGEDGTREIVESFLQKSRDVVDNLYSDEGENEVKSEVVLSLSVVGFCLSVCMQGTIEIEEAMRELVQWENSSSNI >CAK8536111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908545704:908548436:1 gene:gene-LATHSAT_LOCUS5510 transcript:rna-LATHSAT_LOCUS5510-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFNIPLLWKECLSSAFRTALACTIVASVTLYGPTSVTTFITFPAFSYVVVILIIINDATLGDALRGCWLGLYATIQSLGPAMLSLWAMGPNRFSKGTASVAVALAAFVVVLPSDKSTHLIAKRISLGQIVLVYVLAYSNGVHVDAFVHPLHLAASTFLGVIACVVALLLPFPRFACSQVNKNYKLLTNNISKRLKLLVKIIKEEKNISAFGLISRAKFLTIKRTKIFSTILRYLDGMKWERLPINFFKPHYNNLGERLQEVDTSLIGMELALSCTKSFPINILNQDLTHGLDTLEEHVNLTIKNAKHTFLGSGSLTVPETNSKNITHFLQSLYTIPTTQQELPIFFFLFCAKLLHMKPSTQGPTSSQAQPIKKKENQYEANDKWAYWATALKNTNLLPAIKYSFSLGLSVYMGLLYSKESGFWSGLPVAVSYVSGREATFRAANVKAQGTVIGTVYGVLGCFVFNRLLSIRFLSLLPWFIFTSFLQRSRMYGPAGGISAIIGAVLILGRKNIGPPSEFAIERIIETFIGLSCSIFVDLLFWPKRASTCAKFELSKCLSILIDSIETLSLVDKTDLQLKENQRKLKMQVNELKKFVIEAEAEPNFWFLPFHSGCYNRLLGSLSKLVDVLHFGALALKSLQQEFQRSDNNSMNEEVNMLQTKLVHVKEVMCCSIKGLEEISKMKSFKFVEKEKKNMSWDIEMGKSKVDSAWLSSLGEDGTREIVESFLQKSRDVVDNLYSDEGENEVKSEVVLSLSVVGFCLSVCMQGTIEIEEAMRELVQWENSSSNI >CAK8533039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:569206133:569211692:-1 gene:gene-LATHSAT_LOCUS2691 transcript:rna-LATHSAT_LOCUS2691 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRVKERTLEETPTWAVAVVCLVLLAVSILIEHIIHVIGKWLKKKNKNALYEALEKIKGELMLLGFISLLLTVFQDNISEICVSQKIGSTWHPCSTPKTKSETKSDESFDYETNDRKLLEYFDPIPRRILATKGYDKCFDKGQVALVSAYGIHQLHIFIFVLALFHILQCIITLTLGRIKMRKWKTWEDETRTVEYQFYNDPERFRFARDTTFGRRHLSMWTRSPILLWIVSFFRQFFGSISRVDYMALRHGFIMAHLAPVHEAQFDFQKYISRSIEEDFKVVVGISPTIWLFTVLFLLTNTNGWYSYYWLPFLPLIVILLVGAKLQMIITKMGSSIQDRGEVIKGAPTVEPGDHLFWFNRPSLLLFTIHLVLFQNAFQLAFFAWSTYEFSITSCFHKTTADSVIRITIGVIIQILCSYVTLPLYALVTQMGSTMKPTIFNERVATALKNWHHTAKKQVKQSKHSNNTTPYSSRPSTPTHTMSPVHLLHRHTAGNSDSLQTSPKKSDFKNEQWDIEGEGTTSLRNDQTGQHEIQIASVDSFSSTELPVRIRHEITSGSKDFSFEKRHLGSN >CAK8568469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608864778:608865140:1 gene:gene-LATHSAT_LOCUS21424 transcript:rna-LATHSAT_LOCUS21424 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVATALPLSSSTQTKLKNPCSQSLFRPLPVPQPKRTTQTKPKVEINASLKEKAVTALTAASLTASMVIPDVAHAAGSDLSPSLQNFLLSIFAGGAVLTAILGAVIGVSNFDPVKRA >CAK8540399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557849519:557849845:1 gene:gene-LATHSAT_LOCUS9405 transcript:rna-LATHSAT_LOCUS9405 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDHTIKNVQNPCSVSNCIMSPPCFPVPNEFQYSKIHYTPIKRKWRWRNLLTKFLRNRSKILCRHKHISFQYDPVSYSQNFDEGIRLDEEPRRLSHVCQDVRCDLYR >CAK8560804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:45386948:45389028:1 gene:gene-LATHSAT_LOCUS14451 transcript:rna-LATHSAT_LOCUS14451 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPNESPYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPTYDQRVKEYCEKYAKPEDIGAATEEKSSDDEDEELTEDEYDSSDEQVAGKADP >CAK8571409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:402462315:402462587:-1 gene:gene-LATHSAT_LOCUS24076 transcript:rna-LATHSAT_LOCUS24076 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYSLLIYDWSVSLTKALESYMRNFVWSGDICKRKSLTVTWHKVCRPFHQGGLGIRFVSCINVVTNLQLCLELFNSSSHWAQLLMLKDL >CAK8572434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:536751809:536754119:-1 gene:gene-LATHSAT_LOCUS25004 transcript:rna-LATHSAT_LOCUS25004 gene_biotype:protein_coding transcript_biotype:protein_coding MADESATNSHDSICPICLGPLIQESYLDHCLHKFCFNCILRWTNIVSSKHQTPPSSVKCPLCKTDNFSIIYGLDGTSFQRHHINADLQECFVLSKAHRYRLQCYYTQQGFLEDIFNVSLYWKSRKYNQPNNSLQTWLTREIQALTQEEDVDIIVHHISGVVKPLWTSKEQKPHIKEPEKKQEEFTMSVSEAARPFLGARTDRFVYEIQLFLASGLNIEAYDAVYIQRLGWSSPGENTEVSQTELIDRSTVIPYLYIFDDDSDGNE >CAK8570835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126173588:126173848:-1 gene:gene-LATHSAT_LOCUS23551 transcript:rna-LATHSAT_LOCUS23551 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIHPFCLNSLNIPWCIFGDFNSILGAHEHRSFSSPSNASILDFRAWVNDSSLIEFPAKSSTLTWHNGRSGSASIERRLDRAFGN >CAK8539604.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517917774:517918601:-1 gene:gene-LATHSAT_LOCUS8686 transcript:rna-LATHSAT_LOCUS8686 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERLVKLVLFLSILLNAAFKQCLSDPSDETCLTELSKSLQDPNKSLHNWNEQTFAKPCNESTSNLQGAICNNGRIYKLSLNNLSLRGTISPFLSNCTNLQTLDLSSNFLTGPIPPDLQSLVNLAVLNLSSNRLEGEIPPQLTLCAYLNVIDFHDNLLTGQIPQQLGLLVRLSAFDVSNNRLSGPIPISMSNRSSPNLPKFNASSFEGNKDLYGYPLPPMRSKGLSILAIVGIGLGSGLASLVLSFTGVCIWLKVTDRKVALEEGKISHLMPDY >CAK8533331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603350377:603352683:-1 gene:gene-LATHSAT_LOCUS2969 transcript:rna-LATHSAT_LOCUS2969 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRGARGGSNLRGFFSYRIFISAMFSLLFIATLSVLFTTNPSAENDNSDLPTTGNAYVHRTFLALKSDPLRTRVDLIHQQAKDHISLVNAYAAYARKLKLDISRQLKMFDELAGNFSDISLKPTYRTSLFESDSPIDEDVLKQFEKEVKDRVKIARMMIVEAKENYDTQLKIQKLKDTIFAVNESLAKAKKNGALASLISAKSVPKSLHCLAMRLMGEKISNPEKYRDENPRPEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAAEPWKHVFHVVTNRMNVAAMKVWFKMRPVEGGAFLEIKSVDEFTFLNSSYVPVLRQVESAKIHQHYIENQADKVANDARDSKLRNAKYLSMLDYLQFYLPEMYPKLHNILLLDDDVVVQKDLTGLWKIDLEGKVNGAVEICFGSFHRYSHYVNFSHPLIKETFNPKACAWTYGMNIFDLDAWRREKCTEHYHYWQNKNEDQSIWKSGTSGTLAPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIALNQYKNLWTKYVDSDMEFVQMCNFGL >CAK8572153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515371677:515374288:1 gene:gene-LATHSAT_LOCUS24748 transcript:rna-LATHSAT_LOCUS24748-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERENFGAVNAVAGNQVAVSFHGAPRIENNLDFSMATVPVPMPMTAMKKKRGRPRKYGPDGKPAPGAVTALSPMPISSSIPLTGEFSAWKRGGGKPVESIKKSSFKFDFESPPGQGVSVGAGAGAVAGEGIAKAVGANFMAYMLTVNSGEDVTMKIMSSQQGSRAICILSATGTISNVTLRQSTSSGSTLTYEGRFEILSLSGSFMPTDNGITKSRSGGMSVSLAGPDGRVLGGGLAGLLIASGPVQVVVGSFLPNHHLEDKSKKQRMEYNIPTITHNHPPPHVNHHHKSNEVSFGGVKPIMTPAAFQEEKIVSFNNNNNNNNVQDSRNNSSADDRDPLPEKDSNHSQSNS >CAK8572152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515371662:515374288:1 gene:gene-LATHSAT_LOCUS24748 transcript:rna-LATHSAT_LOCUS24748 gene_biotype:protein_coding transcript_biotype:protein_coding MICMKMEERENFGAVNAVAGNQVAVSFHGAPRIENNLDFSMATVPVPMPMTAMKKKRGRPRKYGPDGKPAPGAVTALSPMPISSSIPLTGEFSAWKRGGGKPVESIKKSSFKFDFESPPGQGVSVGAGAGAVAGEGIAKAVGANFMAYMLTVNSGEDVTMKIMSSQQGSRAICILSATGTISNVTLRQSTSSGSTLTYEGRFEILSLSGSFMPTDNGITKSRSGGMSVSLAGPDGRVLGGGLAGLLIASGPVQVVVGSFLPNHHLEDKSKKQRMEYNIPTITHNHPPPHVNHHHKSNEVSFGGVKPIMTPAAFQEEKIVSFNNNNNNNNVQDSRNNSSADDRDPLPEKDSNHSQSNS >CAK8560975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:65954563:65956543:-1 gene:gene-LATHSAT_LOCUS14607 transcript:rna-LATHSAT_LOCUS14607 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMLFLIIFYFFSILFLTLFLLISILTLTTFIGQSIRNPKFPPVKGTVFHQLINFKTLFHYLTQIAQTNPTFRLLAPNKSKIYTCDTRNVEHILKTNFHNYSKGKFNHDTIFDLFGDGIFAVDDDKWRHQRKLASVEFSTRVLRDFSCNVFRRNAAKLVRVVLGFSNAAMLFDIQDIVMKCTLDSIFKVGFGVELQSLERSSKEENIFMKAFNDSNAFVFRRYLDPLWKLKRFLNIGSEASLKKNIKIIDDFVHSIIKTKRKLLSVQNDFSDKEDILSRFLVESRKDPSNMTDQYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPIIQEKVAQEVINVTCTQETQLNLDEFVNNITDDNLDKMHYLHAALTETLRLYPAVPMTGRTAEENDMLPDGYIVNKGETVYYLSYAMGRMPYIWGDDAEEFLPERWLKDGIFQPQSSFKFISFHAGPRICLGKDFAYRQMKIVAMALFRFFRFKLANETNDVTYRTMFTLHIDRGLPLYAVPRCDVFE >CAK8573309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602361791:602366009:1 gene:gene-LATHSAT_LOCUS25774 transcript:rna-LATHSAT_LOCUS25774-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLSNGLKTLFTVLGALMLALLLYTLITDGFPFRKELLTPWMAATLIDFYINVTILSAWVVYKEANWVSSIIWIILLISLGSITTSAYIVLQFLKLSSQESSQDPMSYVLLRHPHKSGTEPKTKCSVVVALRILFSVLGVVMLGTLVYTLVTDGSPFRTELLTPWMKATLVDFYINVVALAVWVAYKESSWIYAVFWVILLICFGSITSCIYVVWQLFQISYQDPAYLILVHHSDRKQI >CAK8573308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602361791:602366034:1 gene:gene-LATHSAT_LOCUS25774 transcript:rna-LATHSAT_LOCUS25774 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLSNGLKTLFTVLGALMLALLLYTLITDGFPFRKELLTPWMAATLIDFYINVTILSAWVVYKEANWVSSIIWIILLISLGSITTSAYIVLQFLKLSSQESSQDPMSYVLLRHPHKSGTEPKTKCSVVVALRILFSVLGVVMLGTLVYTLVTDGSPFRTELLTPWMKATLVDFYINVVALAVWVAYKESSWIYAVFWVILLICFGSITSCIYVVWQLFQISYQDPAYLILVHHSDRAENKYKGISADAT >CAK8573310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:602361791:602365985:1 gene:gene-LATHSAT_LOCUS25774 transcript:rna-LATHSAT_LOCUS25774-3 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLSNGLKTLFTVLGALMLALLLYTLITDGFPFRKELLTPWMAATLIDFYINVTILSAWVVYKEANWVSSIIWIILLISLGSITTSAYIVLQFLKLSSQESSQDPMSYVLLRHPHKSGTEPKTKCSVVVALRILFSVLGVVMLGTLVYTLVTDGSPFRTELLTPWMKATLVDFYINVVALAVWVAYKESSWIYAVFWVILLICFGSITSCIYVVWQLFQISYQDPAYLILVHHSDRNGNDY >CAK8561430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:204144030:204149065:-1 gene:gene-LATHSAT_LOCUS15023 transcript:rna-LATHSAT_LOCUS15023 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNLEKMASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEGKHDPQKLEELGNLITSLDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRIKLKKGDFADENNATTESDIEETLKRLVGDLNKTPQEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWMGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRAEELNRSSKKDAVAKHYIEFWKNIPPNEPYRVLLGEVRDRLYQTRERSRHLLANGYSDIPEEHTFTNVDEFLEPLELCYRSLCACGDRTIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLGAITKHLEIGSYLEWSEEKRQQWLLSELSGKRPLFGTDLPQTEEIRDVLDTFHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYKNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDQMAVIATEEYRCMVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIDKDIRNLQMLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDRLLVSNDLWSFGELLRTKFEETKKLLLQVAAHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKEFIEISKAADELVTLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >CAK8568956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659513020:659519488:-1 gene:gene-LATHSAT_LOCUS21861 transcript:rna-LATHSAT_LOCUS21861 gene_biotype:protein_coding transcript_biotype:protein_coding MISRFLASIKKKKKEGFTMSSPGVQLHIQQHHVVMDNGIVQVTLSKPDGIVTGIRYNGVDNLLEVLNKETNRGYWDLVWSAPGVKGGFDVIKGTCFEVIVQNEDQVELSFKRMWNHSLEGKYVPLNIDKRFILLRGSSGFYSYGIYEHLHGWPDFDLSETRITFKLRKDKFQYMAMADNRQRIMPFPEDRMPGRCQPLAYQEAVLLVNPKDPRLKGEVDDKYQYSCKNIDNKVHGWISFNPPVGFWQITPSDEFRSGGPFKQSLTSHVGPTTLAIFLSGHYAGQDLVPKWRGGEPWKKVFGPVYIYLNSGSPGDDPLVLWEDAKIKMATEVQSWPYLFPTSEDFLKPDQRGNVNGRLLVLDRYISTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADVNGFFTISKVLPGDYNLFASVPGFVGDYKFGDFVKITSGSYIELGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPQYINKLFINHPDRFRQYGLWDRYTELYPDADLVYTIGVSDYRKDWFYAHVPRKREDNTHEGTTWQIKFELSGVNQETTYKLRVAIASATLAELQIRVNNPNAGRPVFTTGLIGRENSIARLGCHGLYWLYHVNIPSCLLVDGSNTIYFTQPRCTSPFQGLMYDYIRLEGPPCS >CAK8568957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:659513020:659519331:-1 gene:gene-LATHSAT_LOCUS21861 transcript:rna-LATHSAT_LOCUS21861-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGVQLHIQQHHVVMDNGIVQVTLSKPDGIVTGIRYNGVDNLLEVLNKETNRGYWDLVWSAPGVKGGFDVIKGTCFEVIVQNEDQVELSFKRMWNHSLEGKYVPLNIDKRFILLRGSSGFYSYGIYEHLHGWPDFDLSETRITFKLRKDKFQYMAMADNRQRIMPFPEDRMPGRCQPLAYQEAVLLVNPKDPRLKGEVDDKYQYSCKNIDNKVHGWISFNPPVGFWQITPSDEFRSGGPFKQSLTSHVGPTTLAIFLSGHYAGQDLVPKWRGGEPWKKVFGPVYIYLNSGSPGDDPLVLWEDAKIKMATEVQSWPYLFPTSEDFLKPDQRGNVNGRLLVLDRYISTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADVNGFFTISKVLPGDYNLFASVPGFVGDYKFGDFVKITSGSYIELGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPQYINKLFINHPDRFRQYGLWDRYTELYPDADLVYTIGVSDYRKDWFYAHVPRKREDNTHEGTTWQIKFELSGVNQETTYKLRVAIASATLAELQIRVNNPNAGRPVFTTGLIGRENSIARLGCHGLYWLYHVNIPSCLLVDGSNTIYFTQPRCTSPFQGLMYDYIRLEGPPCS >CAK8539332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509627366:509632941:1 gene:gene-LATHSAT_LOCUS8441 transcript:rna-LATHSAT_LOCUS8441-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGLLLSALSVGVGVGVGLGLASGQTKWGANALASNAITPEKMEHEMLRLVIDGRESNVTFDNFPYYLSEKTRVLLTSAAYVHLKHAEVSRHTRNLAPASRTILLSGPAELYQQVLAKALAHYFEAKLLLFDVTDFSLKIQSRYGSSNKETSFQRSTSDTTLARLSDLFGTFSMFPPKEENKGRMQKQSSSGDLKRMEAEGSYAPTKLRRNASASANISSIGSQSNSTSSAPGKHLVNWSFDEKLLIQTLYKALVYVSKTYPIVLYVRDVDKLLCRSQRICKLFQTMLTKLSGPILILGSRVLDSGNECNEVDEMLSPLFPYNIEISPPEDESRLVSWKSQFEEDMKKIQIQDNKNHIMEVLAANDLDCHDLGSICVADTMVLSNYIEEIVVSAISYHLMNNKEPEYRNGKLVIPCNSLSHALGIFHEGKLSGRDTLKLEAQAVTSEQREEGAVTKPEEKSDNPGPGIKTENPAPAIKAETEILTSVGKTDGENSVPATKAEVPPDNEFEKRIRPEVIPANEIGVTFSDIGSLEETKESLQELVMLPLRRPDLFDGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMANWDGLTSKPSDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENRENILRTLLAKEKVDHGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQEMKKDLEKKKKDAEGQNIQDGHDAEEEVKQERVITLRPLNMQDFKVAKSQVAASFAAEGASMNDLKQWNDLYGEGGSRKKEQLSYFL >CAK8539333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509627366:509632941:1 gene:gene-LATHSAT_LOCUS8441 transcript:rna-LATHSAT_LOCUS8441-4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGLLLSALSVGVGVGVGLGLASGQTKWGANALASNAITPEKMEHEMLRLVIDGRESNVTFDNFPYYLSEKTRVLLTSAAYVHLKHAEVSRHTRNLAPASRTILLSGPAELYQQVLAKALAHYFEAKLLLFDVTDFSLKIQSRYGSSNKETSFQRSTSDTTLARLSDLFGTFSMFPPKEENKGRMQKQSSSGDLKRMEAEGSYAPTKLRRNASASANISSIGSQSNSTSSAPGKHLVNWSFDEKLLIQTLYKALVYVSKTYPIVLYVRDVDKLLCRSQRICKLFQTMLTKLSGPILILGSRVLDSGNECNEVDEMLSPLFPYNIEISPPEDESRLVSWKSQFEEDMKKIQIQDNKNHIMEVLAANDLDCHDLGSICVADTMVLSNYIEEIVVSAISYHLMNNKEPEYRNGKLVIPCNSLSHALGIFHEGKLSGRDTLKLEAQAVTSEREEGAVTKPEEKSDNPGPGIKTENPAPAIKAETEILTSVGKTDGENSVPATKAEVPPDNEFEKRIRPEVIPANEIGVTFSDIGSLEETKESLQELVMLPLRRPDLFDGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMANWDGLTSKPSDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENRENILRTLLAKEKVDHGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQEMKKDLEKKKKDAEGQNIQDGHDAEEEVKQERVITLRPLNMQDFKVAKSQVAASFAAEGASMNDLKQWNDLYGEGGSRKKEQLSYFL >CAK8539335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509627366:509632941:1 gene:gene-LATHSAT_LOCUS8441 transcript:rna-LATHSAT_LOCUS8441-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGLLLSALSVGVGVGVGLGLASGQTKWGANALASNAITPEKMEHEMLRLVIDGRESNVTFDNFPYYLSEKTRVLLTSAAYVHLKHAEVSRHTRNLAPASRTILLSGPAELYQQVLAKALAHYFEAKLLLFDVTDFSLKIQSRYGSSNKETSFQRSTSDTTLARLSDLFGTFSMFPPKEENKGRMQKQSSSGDLKRMEAEGSYAPTKLRRNASASANISSIGSQSNSTSSAPGKHLVNWSFDEKLLIQTLYKALVYVSKTYPIVLYVRDVDKLLCRSQRICKLFQTMLTKLSGPILILGSRVLDSGNECNEVDEMLSPLFPYNIEISPPEDESRLVSWKSQFEEDMKKIQIQDNKNHIMEVLAANDLDCHDLGSICVADTMVLSNYIEEIVVSAISYHLMNNKEPEYRNGKLVIPCNSLSHALGIFHEGKLSGRDTLKLEAQAVTSEVQREEGAVTKPEEKSDNPGPGIKTENPAPAIKAETEILTSVGKTDGENSVPATKAEVPPDNEFEKRIRPEVIPANEIGVTFSDIGSLEETKESLQELVMLPLRRPDLFDGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMANWDGLTSKPSDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENRENILRTLLAKEKVDHGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQEMKKDLEKKKKDAEGQNIQDGHDAEEEVKQERVITLRPLNMQDFKVAKSQVAASFAAEGASMNDLKQWNDLYGEGGSRKKEQLSYFL >CAK8539334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509627366:509632941:1 gene:gene-LATHSAT_LOCUS8441 transcript:rna-LATHSAT_LOCUS8441 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGLLLSALSVGVGVGVGLGLASGQTKWGANALASNAITPEKMEHEMLRLVIDGRESNVTFDNFPYYLSEKTRVLLTSAAYVHLKHAEVSRHTRNLAPASRTILLSGPAELYQQVLAKALAHYFEAKLLLFDVTDFSLKIQSRYGSSNKETSFQRSTSDTTLARLSDLFGTFSMFPPKEENKVAGRMQKQSSSGDLKRMEAEGSYAPTKLRRNASASANISSIGSQSNSTSSAPGKHLVNWSFDEKLLIQTLYKALVYVSKTYPIVLYVRDVDKLLCRSQRICKLFQTMLTKLSGPILILGSRVLDSGNECNEVDEMLSPLFPYNIEISPPEDESRLVSWKSQFEEDMKKIQIQDNKNHIMEVLAANDLDCHDLGSICVADTMVLSNYIEEIVVSAISYHLMNNKEPEYRNGKLVIPCNSLSHALGIFHEGKLSGRDTLKLEAQAVTSEREEGAVTKPEEKSDNPGPGIKTENPAPAIKAETEILTSVGKTDGENSVPATKAEVPPDNEFEKRIRPEVIPANEIGVTFSDIGSLEETKESLQELVMLPLRRPDLFDGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMANWDGLTSKPSDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENRENILRTLLAKEKVDHGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQEMKKDLEKKKKDAEGQNIQDGHDAEEEVKQERVITLRPLNMQDFKVAKSQVAASFAAEGASMNDLKQWNDLYGEGGSRKKEQLSYFL >CAK8565403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:169460284:169461013:-1 gene:gene-LATHSAT_LOCUS18621 transcript:rna-LATHSAT_LOCUS18621 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASELVGYPGGPYDTSLLVKCEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDYISCLLHLPIRGVFWNPQDISEALAVEWVVDYLGVSQRIAQQQVRECRGSYYKLEWLYDRYQISELLKKHQTYLRISDLYRIFVKEFLK >CAK8576916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:524253165:524254780:1 gene:gene-LATHSAT_LOCUS29072 transcript:rna-LATHSAT_LOCUS29072 gene_biotype:protein_coding transcript_biotype:protein_coding MATINGNTDAAQPIVAPTKQSHPPPKTVDSKSVLKRLQSELMSLMMSGESGISAFPEEDNIFLWKGTIKGSKDTVFENTDYKLSLSFPTDYPFKPPKVKFETTCFHPNVDIQGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQEDYRKMVVKLYNSPSATA >CAK8569907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15037490:15037966:1 gene:gene-LATHSAT_LOCUS22708 transcript:rna-LATHSAT_LOCUS22708 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVMKEAEVAEIGKVVKETEVAEVDAVSTAKCCCCGLVEECTLAYIMRVRERFGGRWICGLCSEAVKEERARSKSEEKLITMDEALKRHTKFRQQFRSSATDHKKDFIDAVKQIFFRTLDSPRKKENFACRPLGRSSSCFPTMETTPPRTTETHTE >CAK8563305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:591175461:591175973:1 gene:gene-LATHSAT_LOCUS16730 transcript:rna-LATHSAT_LOCUS16730 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKVDQLNQLREIFRRFDMDSDGSLTMLELAALIRSLGLKPSGDQVQVMLSKMDSNRNGSVEFDELVGAIMPNMMNEEVLVNQEQLIGVFKCFDRDGNGYISAAELAGAMAKMGQPLTYKELREMIKEADVDGDGVISFTEFATIMASSASDLFGEFGYRNKTHSFLL >CAK8542373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506332302:506332805:-1 gene:gene-LATHSAT_LOCUS11217 transcript:rna-LATHSAT_LOCUS11217 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETTKSSTHQVRIFDYANNVFSVKETVDHGEGKPMGYYKVDLLNGWCDCGKFQAYRVPCSHVIAGCSNVRHDAYALLSDVYRITNLFGVYSTSFPVLSYDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFEKLERKCSMCRQTGHNRTRCSNVETSNR >CAK8577232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546184002:546184739:1 gene:gene-LATHSAT_LOCUS29358 transcript:rna-LATHSAT_LOCUS29358 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEQLNVLNALDVAKTQMYHFTAIVIVGMDFFTDSYDLFCISIVTKLLGRIYYYDGGNNPGSLPQNVSAAINGVAFGGTLAGQLFFGWLGDKMGRRRVYGMTLALMVLSSLASGFSIGKDPKAVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAVVFAMQGFGILVDGAVGIIVSSIFKAFYPAPDFHINPALSTVPQADYVWRIVLMFGAIPDSLDARCNSGWVDILLEDENA >CAK8570348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:38503154:38510914:1 gene:gene-LATHSAT_LOCUS23102 transcript:rna-LATHSAT_LOCUS23102 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFNSQSYGMQSMLKEGHKHLSGLDEAVLKNIDACNQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLASKAQQEEIGDGANLTISFAGELLHGAEELIRMGLHPSEIISGYTKAINKTVEILDELVEEGSDNMDVRDKEQVVSRMKAAVASKQFGQEDTICSLVADACIQVCPKNPVNFNVDNVRVAKLLGGGLRNSTVVRGMVLRNDAVGSIKRMEKTKVAVFAGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVVDSGAKVVVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAMLKLSQPNPDDLGYVDSISVEEIGGARVTVVKNEEGGNSVSTVVLRGSTDSILDDIERAVDDGVNTYKAMCRDSRIVPGAAATEIELAKRVKEFSFKETGLDQYAIAKFAESFEMIPRTLAENGGLNAMEIISSLYAEHASGNSKVGIDLEEGVCKDVTTTHVWDLYVTKLFALKYAADAACTVLRVDQIIMSKPAGGPGRREQPAGMDED >CAK8579468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701238544:701239611:-1 gene:gene-LATHSAT_LOCUS31414 transcript:rna-LATHSAT_LOCUS31414 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMMLGEPPHRTNPTLHVAPAPWPAMMNPTAEVDYSQFYLEEALNALQHYVPSSMEDEDDSDSEIFPSHESVDAYTNDNFRMFEFKIRRCARGRSHDWTECPFAHPGEKARRRDPRKFQYSGTSCPDFRKGSCKKGDSCEFAHGVFECWLHPSRYRTQPCKDGTSCRRRVCFFAHTTEQLRTPTSLQSPLSVESYDGSPLRLAIESSCVKKLRFMSSPGSVSPPVESPPGSVGSVNEVVASLRNLHLGKMKSLPSSWNVQMGSPRFGSPRGPMIRPGFCSLPSTPTQPLSRCGVNHFDLWDQSCEEEPVMERVESGRDIRVKMFEKLSKENSFDGSGSDNVDGAPDIGWVSELV >CAK8564724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7585740:7588139:-1 gene:gene-LATHSAT_LOCUS17996 transcript:rna-LATHSAT_LOCUS17996 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKALLQRRAIEKAANGRNCVYKVSLSLVFVLWGLVLLFSLWLSSGNGYRDASEEVRVMVSDWKENEHRQRKNSESDDEYLTKGVDVYIPSNTLCSDGAKTDDFIGYSLFDKENMNDFAHNGKEKYLSSIRKEHEVERSESSVKYENDEKILDRLSAAVPLGLDEFKSRAVSSKINWSTSPSGSVIHRVEPGGDEYNYASSSKGAKVLASNKDAKGASNILTRNQDKYLRNPCSSEDKFVVIELSEETLVDTIEIANFEHYSSNLKDFELHGSLVYPTDVWIFLGNFTASNVKQVQRFVLQEPKWVRYLKLSLQSHYGFEFYCTLSIVEVYGVDAVEKMLEDLIYAQENGDKKVAIAPSDRKSSNDDDDNDDIWKMSSDTSTTETSSAKDESVKRNTPDPIEETRQQVGRMPGDTVLKILMQKVRYLDVNLSVLEQYLEDLNSKYVKILKEYSNEVSETDIVLQTIKDDIRRFLARQETIMKDVKDLDSWKFHFSEQLNHILRDNAVLRNEVERVLENQVSLENKGVLVFCVCVIFSLFAILKLSLDMIMSIDGAIKSRKFCQCSSSWFILLLSCNIIIFILTS >CAK8577010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:531068762:531070889:-1 gene:gene-LATHSAT_LOCUS29156 transcript:rna-LATHSAT_LOCUS29156 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNNVKIPKVPGSGIAALLKVSIIGGLAVYGATNTLYNVEGGHRAIVFNRLVGVKDKVYPEGTHIMIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVPDQLPTVYRTLGENYNERVLPSIIHETLKSVVAQYNASQLITQREAVSREIRKILTERASQFNIALDDVSITSLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAISNNPAFITLRKIEAAREIAQTISNSANKVFLNSDDLLLNLQDLNLEPSGKK >CAK8537334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:277024057:277024611:-1 gene:gene-LATHSAT_LOCUS6631 transcript:rna-LATHSAT_LOCUS6631 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAPASGLRDVNAASSVIAADRLPDEILSMRIKDDKEMEASVVDGNSTEAGHVIVTTIGGKNGQPKQTITYMAERVVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVTLKHCFFSTTEKDELYLNLVLEYVPETVHRVIRHYSKMNQSMPVIYANIVILVVT >CAK8561349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:164108656:164110766:1 gene:gene-LATHSAT_LOCUS14950 transcript:rna-LATHSAT_LOCUS14950 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNDSGTKKPKRLTSVVWNHFERIRKADICYAVCLHCNKRLSGSSNSGTTHLRNHLMRCLKRSNFDVSQLLTVKRRKKDNTVSLANISFDEGQRKEEYTKPAFVKFEQEHKKDEIINFVSSKFDQDRSQHDLARMIILHGYPLTLIEQVGFKVFVKNLQPLFEFLPNSNVVISCIEIYRREKEKVNEMINKLCGRINLSIEMWSSAENTSFLCLSAHYIDEKWTLQKKILNFLTLDSSYTEDLLPEVIIRCLDEWDIDCKLFALTLDDCSVDDDITLRIKERVSEKRPFLSTRQILDVRSAAHLIISIVQDAMDALHELIQKIRESIRYVKSSQEVQEKFNEISQRAGINSLNALFLDNPLQWNSTYIMLETALEYRGAFSLLQEHDPSYSSTLSDEEWEWANSVAGYLKLLVEIMNIFSSNRFPTANIFFPEICDIHIQLIDWSRSSDNFLSTMALKMKAKFDKYWSKCSLALALAAVLDPRFKMKLVEYYYSLIYGSTALDRIKEVSDGIKELFNAYSICSTMVDQGSALPGSSLPSTSSGARDRLKGFDRFLHETSQSQSMTSDLDKYLEEPIFPRNSEFNILNWWKVHMPRYPILSMMARDVLGTPMSTLAPELAFSTGGRVLDSSRSLLNPDIREALVCAQDWIRNESGDFNPTPIHSAPPLLLEST >CAK8560274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12813759:12829241:-1 gene:gene-LATHSAT_LOCUS13962 transcript:rna-LATHSAT_LOCUS13962 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAARNPQALATLNPLNDSFTDDQEILNTYERLKTLDRFALQAAAGSHSKEEVRALRKYVKDVNRAWETFEKTLWGNISNFYKLSKESPQTLVHAFRVVEMQETLDQQVAEEAAKPDEENGAPAPVTNPQRSTIKFKSATASSKNLTLQKQKVQGKGYEDKCHELVIKTVKGRFNKLLNELVFEDLKAALEEARAIVEELRDFFDYVVAPYFPPRYTMFQLMVNLYTERFIQMLRLLSNRENGLSNIEILKVIGWVIEYQDNLICLGVDESLAHVCSESGAMDPLVNTYVERVLATTRKWYLNILEADKTQLPKETKDGKLYTPAAVDLFRILEDQIQIVRDHSTDVMFYRISLASIQVMIDFQAAEKKRLKEPASEIGVRPLCAMINNNLRCHDFALELSHRILEALPQNYAEQINFEDTCMGFLEVAKEAVDQIISVIFADPVVQHLLVKLYQKEWSEGKVTEEVVATFDGYFTEVRMYIEERYFESFVEACLEEMVVVYVDKLLTQKNYIKEETIERMRLDEELIVNFFWKHISVSKVENRMSVLSNLRELASAWSLDTFTLFYKNILEHHPDCPPEVVKKLIGGREGIPWKDATEVIEVCQEIYERSLIDVRPPKAGLIFSKVKCLKVDQGGLVHKLVGDGYTDSHIEGLTRMYKFVMNRFK >CAK8532216.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:221554178:221556944:1 gene:gene-LATHSAT_LOCUS1947 transcript:rna-LATHSAT_LOCUS1947 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTIGSRAGFVAFLFLLFSCSTFTSTEAYDPLDPNGNITLKWDIISWTADGYVAVVTMYNFQQYRHIQDPGWTLGWTWAKKEVIWNMMGSQTTEQGDCSKFKAGIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWAQDPSNAVSSFQVSVGSAGTTNKTVKLPRNFTLRAPGPGYTCGPAKIVKPTQFITSDKRRVTQALMTWNITCTYSQFLAQKTPTCCVSLSSFYNDTIVDCPTCTCGCQNKTQPDSCVNRNSPHLSSVVSSSGKPLNTPLVQCTSHMCPIRVHWHVKLNYKEYWRIKITITNFNYRMNYSQWNLVVQHPNFDNLTQLFSFKYKSLNPYEGLNDTGMLWGVKFYNDFLSSAGSLGNVQSEILFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWSPNTSSKLVFSLLTTLIATLASLLILLS >CAK8561262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:131192662:131196536:-1 gene:gene-LATHSAT_LOCUS14871 transcript:rna-LATHSAT_LOCUS14871 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITAANPGTRRRPVVVTEKKTTSNIELLANDVTASPNAIASGAGDGKTPSTLGNGRDLSHHSIRGEAVLSKDLTPASKRVTGGDSAAVTPRRLRKTVGKSDKQRWVTVARIFAKNFVLLAMLAGLVQLIRWFALKSGEGVVGGYTGLLEYEDRISEMEGLLKKTTKMIQVQVDVVDTKVGGLRKEMDAKIEQQGAFLENELKKLVTKGEKLERYLDELKVEDLLTKEEFEKFVEGLSKGKGNSYEGAGLDEIREYARGVVESEIEKHAADGLGRVDYALANTGAAVVRHSDPYDMRRGKLFLLSPGNGVHPNADKMLKPSFGEPGQCFPLKGSSGFVQIKLRTEIIPEAITLEHVAKSVAYDRSSAPKDCRISGWLQGRNTDSLIDTEKMFLLAEFTYDLEKSNAQTFNVLNSAGSGVIDTIRFDFTSNHGSPSHTCIYRLRVHGHESDSVSMMAIES >CAK8571749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:467130532:467131956:-1 gene:gene-LATHSAT_LOCUS24383 transcript:rna-LATHSAT_LOCUS24383 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGFEVLHANPNMIHHPHMHETWDYTIITNDLLLPPPSQTNPYPKPLTENNEICDWVEHITKHFVDDHLPQNTSHNHNIHNPHTQTNTLGLVHNHSHSSTDYECDQGLNLITLLMECAVAISVENLGEAHRMLLELTQLASPYKTSCAERVVAYFAKAMISRVMNSWLGLCSPLIDHRTIHSALQVFNNISPFIKFSHFTSNQAILEAVNRCEKIHILDLDIMQGLQWPAFFHILATRMEGRPTVRMTGMGASMELLVETGKNLSNFARRLGLCLEFYPLACKFGEVVDVSMLQIRPNETVAVHWLQHSLYDSTGPDWKTLRLVEELEPRIITLVEQDVNIGGSFLDRFVGSLHYYSTLFDSLGSYLHSDDSNRNIVEHDLLSKEINNILAIGGPKRSGEEKFRLWRSELAGRNSFEQVPMSGNSMAQAQLILNMFSPAHGYSVAQVDGMLRLGWKDTSLYTASSWTCRDSR >CAK8567878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553664612:553665420:1 gene:gene-LATHSAT_LOCUS20893 transcript:rna-LATHSAT_LOCUS20893-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGFVSGFADGMVSSHAVRFSCFVWHGGDRSEVFWNVAELELLFFKMVFIVQNNYFAWCRCEGVLV >CAK8567877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553664612:553665420:1 gene:gene-LATHSAT_LOCUS20893 transcript:rna-LATHSAT_LOCUS20893 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGFVSGFADGMVSSHAVRFSCFVWHGGGVYGDRSEVFWNVAELELLFFKMVFIVQNNYFAWCRCEGVLV >CAK8564866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:13987683:13992470:-1 gene:gene-LATHSAT_LOCUS18123 transcript:rna-LATHSAT_LOCUS18123 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVCPFVKIPRPDDSNASKKPSENSTKHHVEHESKVKKEVNDLASVSPKCPMGYDSQTFKLGPLSCMVCQALLFDTSKCVPCSHVFCKACISRFEDCPLCGADIVKIEPDENLQGVVDRFIEGHARIKRSVNLDKGEEATENNKPVIYEDVSLERGSFLVQQAMRAFRAQNLESAKSRLSLCAEDVRSQIEKVGNTSELCSQLGAVLGMLGDCCRAMGDSSSAVTYFEESVEFLSKLPKDDLEITHTLSVSLNKIGDLKYHGGDLQAARSYYFQSLNVRRDVVKNNSKVSSQVLDVAVSLAKVADVDKSLGDEKSASDGFQEAINLLESLTLKSEASGLEQRRLSVLDFLRSQLTDDAKQEQVEQTV >CAK8537728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425393167:425395293:1 gene:gene-LATHSAT_LOCUS6990 transcript:rna-LATHSAT_LOCUS6990 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFKSKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRQALRELLFTAPGVLQYLSGVILFEETLYQSTAAGKPFVDVLNEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLGARCAKYYEAGARFAKWRAVLKIGANEPSEHSIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDILKCAAITERVLAATYKALSDHHVILEGTLLKPNMVTPGSDAPKVAPEVIAEHTVRALQRTVPAAVPAVVFLSGGQSEEEASVNLNAINQIKGKKPWTLSFSFGRALQQSTLKAWGGKKENVKAAQDALLTRAKANSEATLGTYKGASNLGAGASESLHVSNYKY >CAK8540397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557761915:557769509:-1 gene:gene-LATHSAT_LOCUS9403 transcript:rna-LATHSAT_LOCUS9403 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQKLILVLLISNMLMATRLTLTLSLSRSRSIHPLLKRTCSIFNHKQINKSHPCPLWSSSFSFCIDSLHKSTSPSFTTSSSSSLSASSMAASAIEANPILEEFVFPPFDVVEPKHVRPGIRTLLNKLERDLEELERTVEPSWPKLVEPLEKIVDRLVVVWGMLNHLKAVKDNADLRSAIEDVQGEKVKFQLRLGQSKPIYNAFKAIKESSDWNTLSDARRRIVENQIKEAVLSGVSLEDDKREQFNKIEQELERLSEKFGENVLDATKNFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAESGPWIITLDAPCYIAVMQHARNRSLREEVYRAYITRASSGDLDNTVLIEQILKLKLEKAKLLNYNNYAEVSMATKMATVDKAEELLEKLRRASWDAAVQDLEDLKKFSKDQGALEADDLTHWDISFWSERLRESKYDINEEELRPFFSLPNVMNGLFDLAKTLFGVEVEPADGLAPVWDKDVKFFRVKDSSGSPVAYFYFDPYSRPSEKRSGAWMDEVVSRSRVLSPDGTSSRLPVAQMVCNQTPPVGSKPSLMTFREVETVFHEFGHALQHMLTKEDEGLVSGIRGVEWDAVELPSQFMENWCYHKETLMGIAKHYETGEALPEEVYLKLVAARTYRAGSQSLRQLKFATVDLELHTKYVPGGPESIYDVDRRVSEKTQVTPLLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDKKAVIETGHKFRETVLALGGGKPALEVFVQFRGREPTPDALLRHNGLIAAH >CAK8534668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754144946:754149923:1 gene:gene-LATHSAT_LOCUS4194 transcript:rna-LATHSAT_LOCUS4194 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRFITPLSCTSSTSDSPMITTTVKKNMNTTTALCFEDTKEKIKSMFNKVELSISSYDTAFVAMIPSSTAPHTPFFPQCLNWLLDNQLVDGSWGLVDRHPLLMNDALLSTLACILALKQWGIGEDKMNKGLHFIESNFTSINDDKQHHPIGFDILFPSLVEYAQTLGINLPIPPTSLEAMIQKKDTELQRGSESNSEGWRAYLAYVSEGMLKSPDMNTIMKYQRNNGSLFNSPATTAAVFHHIKNADCLSYLQSVLQKFGNAVPTAYPLDIYASLYMIDSLERLGIDHHFKEEIRRVLDETYRYWLKGEETIFLDPTTCAMAFRMLRLNGYDVSSDPFYQYSEDKFPHSLKGYLKDASAVLELYRASQVIIHPEESILVKQSSWTRNLLKQDSSGYQLYSDKLRIYVDNEVNDVLKFPHHANLERVLNRRSVEHYNVDGTRVLKISYRSCNLANQEILKLAVGDFNLCQSVHSEELKQLSRWVVESRLDKLEFARQKLAYCYFSSAATSFSPELSDARISWAKNGVLTTVVDDFFDVGSSKEEQLNLIQLVEKWDVDVSTVCCSEAVKIIFSAVRSTIYEIGEKSVQRQGRNVKDNVIKIWLDLMRSMYKEAEWLRTKATPTMDDYMQNAYVSFALGPIVLPAIYLVGPKLSDDVAENQELNHLFKTLSTCGRLLNDIQGFKRESEEGKLNAVSLHMIHSNGVVTYEDAVDKMKGVIEDKRRELLRLVLKEKGSLVPRDCKDLFWKMMKVLNLFYIKDDGFTSNEMHSTVNAVLKDPIILNELW >CAK8564086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650700222:650706862:-1 gene:gene-LATHSAT_LOCUS17425 transcript:rna-LATHSAT_LOCUS17425 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTYSSSTLRYVQPRYYLKRPKRFALIILLFVSLVWFVYDRQLLNREHQEDVLRLKQEVTRLQKTLEDIHGNMNESGGESVQNGETIQNDESMNKSNKDVSVEDDDDPISLQRREKVKEAMLHAWSSYEKYAWGKDELKPQSMNGVDSFGGLGATLVDSLDTLFIMGLDTQFKRAREWIAKSLYFNKNIEVSVFETTIRVLGGLLSAYDLSGDEVFLEKARDIADKLLPAWNTPSGIPYNRINLAYGNTNNPRWTRGNSILADSGSEQLEFIALSQRTNDPKYQETAEKVIKELRRSFPEDGLLPIYLNPLTGTKSAGAITFGAMGDSFYEYLLKAWIQGNKTETVQFYREMWETSMKGLQSLIKKSTPSSFVYISEKLGNALMDKMDELACFVPGMLALGSSGYGPGEGGKIMSLAEELAWTCYNFYESSPTKLAGENYYFRSGEDMNVGTSWNIQRPETIESLFYLWRFTRNKTYQEWGWNIFQAFENNSRTEAGYVGLKDVNTGDKDNMMQSFFLAETLKYLYLLFSPPSVISLDEWVFNTEAHPIRIVTRNDHQEKQNMTQEEKIPHYLHGRKEGRIDYK >CAK8534570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:743303340:743305704:-1 gene:gene-LATHSAT_LOCUS4107 transcript:rna-LATHSAT_LOCUS4107 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHIVCSGCRNMLLYPRGATNVCCALCNTITPVLPPGMEMSQLYCGGCRTLLMYTRGATSVRCSCCNTINLVTDSNQMSHIHCGNCRTTLVYPYGAPSVKCAICHYITNVSMTNGRPPVPAQRPNETTSSETPRPRFESQTVVVENPMSVDSSGKLVSNVVVGVTTEKK >CAK8576958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528133301:528137673:1 gene:gene-LATHSAT_LOCUS29112 transcript:rna-LATHSAT_LOCUS29112 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRERATDDRVEKEGLDFESKRTRVDKDSSPSPPPVSIANPLSGLANNYADIDEEEDYYQRDKGTVSDNRNGVSQHNGHKYEGDDYSDEEEDSQEQLFGGRSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLQTEKVYCLPDGYEINDPSLDDIRHVLNPRFTAKDVEQLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFVSWLLNTLHADLKTSKKNTSIIYECFQGELEVVKEIPNKRIGDRKENIEDQLDGAAKQYASFKETLKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPHIARMQYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPRENEKLRTKYDLIANIVHDGKPGEGSYRAFVQRKSEELWYEMQDLHVSETLPHLVALSETYMQIYEQQQ >CAK8532206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:220968720:220981623:1 gene:gene-LATHSAT_LOCUS1937 transcript:rna-LATHSAT_LOCUS1937 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFSLSSTAEEVTHGIDGTGLTAIITGTTNGIGTETARVLALRGVHVIMGVRNLIAGKNIKEEIVKEIPTAKVDVMELDLSSMASVRKFASDFKSSTLPLNILINNAGICAPVFALSKDNIELQFATNFLGHFLLTNLLLDTMKKTTCESNKEGRIVNVSSEGHKYIYPEGIIFDKINDESSYQRWKAYGQSKLANILHANELAKHLKEEGVDITANSLHPGAIFTNIVSSEVGQTIPKGMLNVLGEFVIKSVQQGAATTCYVALNPKVKEISGKYFSDNNVVEPSSQGKNNDLAKKLWNLSMKLIE >CAK8561436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:210419233:210419646:1 gene:gene-LATHSAT_LOCUS15029 transcript:rna-LATHSAT_LOCUS15029 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGTSQTQCPPISQESTHQPIPNESGNLSIEAPPPTPNDNMEFDSQTTENRRSRLKIIVWDHFTKVKVGEKVKVKCNYCSKLLNGSSNDGTTHLKGHMDYCPKKKLLKPSEKGQTLITPKTMQGKQELSTGIYDA >CAK8533387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:611734999:611739022:1 gene:gene-LATHSAT_LOCUS3018 transcript:rna-LATHSAT_LOCUS3018 gene_biotype:protein_coding transcript_biotype:protein_coding MECRRTIGQVVVPVFYHLDPSEVRNQRGGFEVAFQRLLYKITEEVEINQALKLVISWRNALREAASIAGFVILNYRNESEDIKRIVDKVSHLLNKTDLFIAHNPVGVESRVQDVIRLLQPIQSKDVRFLGMWGMGGIGKTTVAKAIYNKIGRNFEGRSFIANIRESREKIAGLVGLQEQLLFDIFKEMTIKIPHIGSGINTLQSKLRKKKVLIILDDVSTIEQLNTFCGSREWFGPGSIIIITSRNLHLLKGRVHNIYKMSIMNESESIELFSWNAFMQACPTRDFVNITKNVVEYSGGLPLALEVLGSNLLNKMKSEWEFVLEKLKRIPNSQVHKKLRISYDGLNDDDEKEIFLDIACFFIGMDKNDVILVLNDCGRSAEVGISILVERSLVTIDDKNMIGMHDLVRDMGREIIREESPREPEKRSRLWSQEDVIDILSRQNGKKCVMGLALKLPSANAKCFNTKAFQKMKRLKLLQLAEVKFDGDFEYVSRDLRWLSWNGLSDIPTNFHPENLVSIELENNNVELLWNKTLMMEKLKILNLSHSHHLTQSPDFSNMPNLEKIVLKDCPLLSEISPSIGHLNKILLINLEDCISLCSLPRSIYKLKSLKTLILSGCLKIEKLEEDLKQMESSSRVPFSVIGSKSIGYISLWSYEGFSHDVFPSIIWSWMSATNKLPSQFQTSTIIPPLGLLEEPHSSSQELSSISKYLPNLRSLWVECKSEDQLSLHAKIILDALYATVSKDLESTATTSQVSNSTTRTLIHCCSQVHVSGSKHWLKSIFIQMGVNCQVTNCLKEKILQNMDVNGSDACFFPIGGYLDCVTFTSEGSSVTFQVPQVDGCNLKTMMCIIYTSTPDNITYGLKNVLVNNYTKATIQLYKGEALVSIEDEEGQRVVSSLEPGNKVEVVFVFENSFIVKKIAVYLVYGKPTKNLYRFLPDLNAIACSDDENECSTKRFHTQEVPSDEFNQNRKKKSRVE >CAK8533027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567808117:567808854:1 gene:gene-LATHSAT_LOCUS2679 transcript:rna-LATHSAT_LOCUS2679 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSIDCMHWVWENCPVAWKGQFCRGDHGKPTIMLEAVTSQDLWICHAFFGIAGSNNDINVLNQSNVFNDILEGRALNVQYTINGTPYNMRYYLADGIYPEWATFVKTISMPQGEKKKLFAQHQESARKHVERAFGVLQSRFAIIHGPTRAWHMDTLKHTIYACIILHNMIVEDKRHTYGGNFDYSYDNVDINNSTTETFSGPHPNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8560999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:70408999:70409490:1 gene:gene-LATHSAT_LOCUS14628 transcript:rna-LATHSAT_LOCUS14628 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLNVSNFITLKLSPTNYPLWREQALALAESQDLVGHLTNEDPSPTQYTTNTTNSEQFVPKLTDEFVTWRKSDRLLQGWIIRTLSEEALGLVVGIDTAHAVWVALKDAYAEDSQEREFTIRQQIIYLHKEEDQTIIEHIRISLLQKSYLIAFFFLLFDSA >CAK8544954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714370049:714370890:-1 gene:gene-LATHSAT_LOCUS13592 transcript:rna-LATHSAT_LOCUS13592 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIWWNSQFDSARNLRIHLEAIQVLSDLNLLVKKAYISSDVNWFMDGKLIFHSLSNKLTNESVLRNIEQSLGSIHNGKAKKINGLIALELTGSGRIGLLSEVFGVLAELQYDVVDAKVWTHNGHFASLIYVEDCDSVSPIEDSKKFKSVQARLMSVLKADNNITNAKTFISYDVVHPDRRLHQMMFVDRDFQKNPIFKYTSETPLVTVQNWVEMGYSVVNV >CAK8531140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:83789116:83789703:1 gene:gene-LATHSAT_LOCUS951 transcript:rna-LATHSAT_LOCUS951 gene_biotype:protein_coding transcript_biotype:protein_coding MMMREGRVVQSGKYDELLKAGLDFGALVAAHESSMEILETSDNSNDDSSQSLKLACIVSKEKKSGVEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFRWWGIALVVVMSAGWVLSFLAGDYWLAIATSDGFSWVLT >CAK8543903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648541416:648542382:-1 gene:gene-LATHSAT_LOCUS12626 transcript:rna-LATHSAT_LOCUS12626 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKDYIEKNGTGGNWISLPKKVGLTRCGKSCRLRWLNYLRPNIKHGEFSDSEDKVICTLFSSIGSRWSIIASKLQGRTDNDVKNYWNTKLKKKFLSMNQSVEMKSQQVTLLSILQNSTISSPSSLSFTGSFSYSSAASSSLLSRNSFTSPQESFTGPSQRDSKSQINHVIDQELVEKYDNDNDDDDVTFVEQNIGDINYLYNGGSVNQWIEKENGLWGENPFDEIKELISSNNTSRCNNFLFD >CAK8564706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7426374:7430833:-1 gene:gene-LATHSAT_LOCUS17981 transcript:rna-LATHSAT_LOCUS17981 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQCSISNSVHYSLCGITSNNVTTIPISTPKLKCSTINRFKILAKSNSDSTQSTSTSTSVLSFLCPLLKLFSGGDPSGQRNFALELATSSLASTSRFAWGRKSIVESTKNNGMASSPPISLQLFEFEACPFCRRVREAMTELDLSVEVYPCPKGSVRHREVVRKTGGKEMFPFLIDQNNGITMYESSDIVKYLFEQYGKGRGPSLGLLESTIFTGWMPTILRAGRGMTLWERSTVEPPPRKLELFSYENNPNARIVREALCELELPYILQNVGEGSRRTKLLLDASGSKEVPYFVDHNTGFQSGDYKIILPYLFKTYSSAN >CAK8578184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611407095:611408619:1 gene:gene-LATHSAT_LOCUS30219 transcript:rna-LATHSAT_LOCUS30219 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYALTLQCSPILSPLSYSSKSYENRKFHLRLNQTTLCIPRVTTSHNVTRSISHANCMHNSFSVPNSKTIEKSDNNILRGINGVSIVLGCILGMFNFNSKMMNSKFNTAYAIIDPNVEPLSGGASSFGSLWNSMNAELIDSDIKFNSPPTPDNRKKHALYLRKTGKRDKVEEKLNELRTEYMNSKDEYSTIYIERSVRSSFMELLLIQGHFEEARKLLDDEIDNLVNPKRSKVKSEKKINQLLDIYNKEKVDHDKQAIADIIFYKAIIHIMLKDNNEAAKWWEAFAKTLPKEAPNKEIHFPWSM >CAK8539326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509498559:509501290:1 gene:gene-LATHSAT_LOCUS8435 transcript:rna-LATHSAT_LOCUS8435 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEADKKKIRQEYERKEKQVDVRKKIEYSMQLNASRLKVLQAQDDVVNKMKEAAAKELLNVSGNPEVYGNLLKELIIQSLLRLKEPSVLLRCREVDLPLVEDVLESAAQEYAEKANVHVPEVVIDKGVYLPPAPSHHNPHDLHCSGGVVLASHDGKIVFENTLDARLDVLFRNKLPAIRKELFGQVAV >CAK8531735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144485448:144486017:-1 gene:gene-LATHSAT_LOCUS1503 transcript:rna-LATHSAT_LOCUS1503 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLAKAAEEVCVCRGPYYRLDWLKKILVSLRAESRYNFAAKAYMFLLLGCIVLTDKTFTLIEEKYLPLFEDLSSCGRYCWGAVALVTLYRYLKNASFYSCRQLGGYASLLQCWIHVYFPTVGKRGFSKIAGIGSPLPMVMKWMYKQGTQKVDELRGCV >CAK8540650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15222903:15225191:1 gene:gene-LATHSAT_LOCUS9636 transcript:rna-LATHSAT_LOCUS9636 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFTVSQDGTGDFQTVQEAIDAVPFVNSRRTVIRVSPGIYRQPVYVPKTKNFITLAGLCREDTVITWNNTATKIDHHQPARVIGTGTFGCGTTIVEGEDFIAENITFENSSPQGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHHGKQYLKDCYIEGSVDFIFGNSTSLLEHCHIHCKSAGFITAQARKSSQETTGYVFLRCVITGNGGTSYAYLGRPWGPFGRVVFAYTYMDPCVRQVGWDNWGKVENERSACFYEYRCFGPGCCPTDRVGWCRELIDEEAEQFITHPFIDPEPERSWLCQKMGLRIPYSA >CAK8542840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552858933:552859628:-1 gene:gene-LATHSAT_LOCUS11644 transcript:rna-LATHSAT_LOCUS11644 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAETINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQERTNYNDGRPTGTFRVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLSVFKVYQESFLGLPHEDNWPKYEGFTLCHDDSMRRNKKGRPHSTRIRTEMDDAEKEQRRCGICREIGHMRRKCPNVAGPSNRPTR >CAK8562470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:497524944:497528236:-1 gene:gene-LATHSAT_LOCUS15962 transcript:rna-LATHSAT_LOCUS15962 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTLLQNRRSNSIKDSPAFESSGEVTGKSPLSSFWLFLHAAFCVVSLSLGFRLSRVFFLFLFSASSISFYTVPSGGGEISVPLRVHSSVTVNPSANVENPVKKLALIGASAGSRVVVGRHGIRVRSWPHPNPNEVMKAHRIIERVQTEQKVLFGVKNPRTVIAVTPTYVRTFQKLHLIGVMHSLMLVPYDLVWIVVEARGVTNETASIIANSGLRTIHIGFNQRIPITWDARHKLEARMRLHALRIVRKEKLDGIVMFADDSNMHSMELFDEIQSVKWIGAVPVGILVQSDTDGSSTSHGKEETLPMPVQGPACNATNHLVGLHTYDSLKYARRNAIYVGDRAPVLPRKLEWSGFVLSSRLLWKDFDDKPDWIKDINALDAVDEDINNPLSLLKDISVLEPLGSCGRHILLWWFRVEARTDSKFPPQWIIDPPLDITVPSKHTPWPDATPAIPAKEKVLIGTQEQTTKHSTKTRTSRSRRSRSKRKHDTKVIGIQVSPHSNQN >CAK8543701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632555387:632558495:1 gene:gene-LATHSAT_LOCUS12442 transcript:rna-LATHSAT_LOCUS12442 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEIYDGARAEFPLSFGKQSKSQTPLETIHNATRRSVPNSKTANDFPSISSSSKQWLQSVRSSKNPTPLPPPPPSPPLHDDSPLVGPPPPPPHNDDEDEEDEDDMIGPPLPPPGSNLDDSDSEEVSDQGQLGNRFRIPLSNEIVLKGHTKVVSALAVDHTGSRVLSGSYDYMVRMYDFQGMSSRLQSFRQLEPSEGHQIRNLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHITGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFTSQKQVIKPKLARPGRVPVTTCAWDHDGKCIGGGIGDGSIQIWSIKPGWGSRPDIHIEKCHEDDITSLKFSTDGRILLSRSFDDSLKVWDLRKTKEALKVFKELPNHYGQTNVGFSPDEQLFFTGTSLERDSSTGGLLCFFDRLNLELVSKVGISPTCSVVQCSWHPKLNQIFATVGDKSQGGTHILYDPTISERGALVCVARAPRKKSIDDFEANPVIHNPHALPLFRDQPSRKRQREKVLKDPLKSHKPELPMTGPGFGGRVGTSQGSLLTQYLLKKGGLIKETWMDEDPREAILKYADVAAKEPKFIAPAYAETQPEPLFAKSDSEDEEK >CAK8533680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649141123:649142320:-1 gene:gene-LATHSAT_LOCUS3285 transcript:rna-LATHSAT_LOCUS3285 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKMASKSILLLGIFLVVATKVYSYEEDLKIVVNYANPTAPSVLAPPVKPTPTPVVTAPTPPFPVKAPPTTPPYVKAPPTTPPYVKAPPTTLPNVKAPPSPPLTKTPPYQSPPFVKPPLLPPFTAPTQPPTSQPPVAKTPPAPSTPIVKSWKDCIPLCGYRCQLHSRKNLCLRACVTCCNRCKCVPPGTYGNREKCGKCYTDMLTRGNKYKCP >CAK8560342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:15164808:15167468:-1 gene:gene-LATHSAT_LOCUS14024 transcript:rna-LATHSAT_LOCUS14024 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVTGDVTSRTMSFKNERNLDEVIAEEESSCSKRRKVGNLKLQTTFSFKYLVTENSGLKDDFIDDVFNKQSPSILVDKPEIWFSPKSIGELDVAAIKLQKVYKSYRTRRNLADCAVVCEELWWKALDFAALSRCSISHFDSVKSETALSKWARARTMAAKVGKGLCKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYNVWFHSQSTQPFFYWLDVGDGKEVNRDECPRIDLQKQCIKYLGPKEREVYEVIIEEGKFVYRKSKNFVNTCEGSKWIFVLSSSRILYVGEKKKGQFQHSSFLAGGATVASGRLVVQNGILHAIWPYSGHYRPTKKNFLEFIGFLMEHNVDLTNVKKYSIDDDVPPSEHVDNEVQFESTKTNVTSSDSAIKCSQNNVTTSQHKECKPLSSKWTTGAGPRIGCVREYPTKLQARALEQLNLSPRVNNGKVTNMIPIPSPRPSPKIHLSPRLVHMGVPSPRVHVTSAN >CAK8573494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616010377:616011075:-1 gene:gene-LATHSAT_LOCUS25938 transcript:rna-LATHSAT_LOCUS25938 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAESMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALKGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8579121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677067253:677067609:1 gene:gene-LATHSAT_LOCUS31089 transcript:rna-LATHSAT_LOCUS31089 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKKFKRMLRSRKSIYPDETCSGSYVKLSSDTSRKKLKDSHKKTPNGCVCVDVGLERQRFVIKIKIFNHPLFKTLLEGVENEYGYRNDGPLWLPCDVEFFCETLVKIESVFPKSHN >CAK8566883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:469816969:469817395:1 gene:gene-LATHSAT_LOCUS19982 transcript:rna-LATHSAT_LOCUS19982 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTGIRTEPTNSVQEVMQLLFIGVNFDIKFIDFELPIISRPQFVMDMNALGIQSTTVDMLSNLRDHNSEMFLEAEVNGNTQKTFIRGILNTSLKSFASYESNVRYCYDVLCL >CAK8562856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548631144:548631797:-1 gene:gene-LATHSAT_LOCUS16319 transcript:rna-LATHSAT_LOCUS16319 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSSNVGYLKGFNVNDNVSYNLLQFADDTILLSDGDWVNLWAFKAILMGFEMIWGLQVNTDNSCLYGVCIEDYYLKAVEQFLACRIGHIPFKFLGLKAPRNILEQLISIQRNFLWHGSDEKKIMAWVSWESIRMPKEGENLGVKDLRLFNLEDLAIKSNG >CAK8579506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704508086:704509447:-1 gene:gene-LATHSAT_LOCUS31450 transcript:rna-LATHSAT_LOCUS31450 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFFPCRRCFSTATASATATQPSITNGNDPVTFIATLLSKTTRIQQLNQIYAHILLTRFLESNPASFNWNNIIRSYTRLESPQNALRIYVSMSRAGVFPDRYTLPIALKAVCQSFAIELGKQVHSNGIKLGLQSNEYCETGFINLYCKAGDFDSACKVFDENPDPKLGSWNAVIGGLSQGGLSMDAIHVFLNMRRRGFEPDGITMVSVTSACGSVGDLYLALQLHKFVFQAKASEKTDILMSNSLIDMYGKCGRMDLAYRVFAEMEERNVSSWTSMIVGYAMHGHVKEALDCFRCMRESGVKPNYVTFIGVLSACVHGGTVQEGRFYFDMMKNVYGITPKLQHFGCMVDLLGRAGLFNDARRMVEEMPMKPNSVVWGCLMGACEKYGNVDMAEWVAEHLQALEPSNEGVYVVLSNIYANKGLWKEVERIRSFMKEESLAKVPAYSITTNSD >CAK8533801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661134788:661143728:-1 gene:gene-LATHSAT_LOCUS3397 transcript:rna-LATHSAT_LOCUS3397 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDLMSGYKGVVGLVFGNENSSNEDRYVERLLDRISNGKLPDDRRNAITELQAVVSENKAFQLAFGAMGLPIMLGVLKEERHDVEMVRGALETLVSALTPINHSKGSSNEVQPDLMNTDLLSREEESIPLLLSLLEEDDFYVRYYTLQILTALLTNSRQRLQETILTIPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAYDKIFSIIKEEGNSDGGVVVQDCLELLNNLIRSNASNQVLLRETIGLDPLILILKLRGSSYSFTQQKTINLLSALETIKLLLKGGSDADPGKDANKQKNKTALVQKKILDNLLILGVESQWVPVAVRCAALKCIGDLIVGDSKNLDLLAGKVLGEEPQVEPALNSILRIILRSSSMQEFIAADYVFKSFCEKNADGQAMLASTLIPQPYSVNHSFHEEDVNMSFGSMLLHGLTLGENDGDLETCSRAASVLSHILKDNLQCKERVLRIEIEAPMQSLGAPEPLMHRMVKYLALASSMKSKDGKSNNTSGNSYVQAVILKLLLTWLADCHNAVHCFLDARPHLTYLLELVSNSSETVCIRGFAAVVLGECVIYNKSTDSGKDAFGIVDLISQKIGLSSYFLKFEEMHKSFLFANVESSLTHRSFSRSSAASMADIQDVDENDLSEKKNTDHPILASILDSYFVSFVKRLEANIREQIVEVYSRPKIKVAVVPSEIEQKSGESDGEYIKRLKAFVENQHSEIQDLVLRNGTLAEDLAKTGSSFQSEQRVSGGVERVQTETLRRDFQEASKRLEMLKAEKAKIESEANMYQNLAGKMEADLRSLSDAYNSLEQSNLQLENEVKALRGGRLSTIPDVEAIKADAREEALKESEGELNDLLVCLGQEQSKVDKLSARLLELGEDVDQLLEGIGDDAGAAEGFEDDDDDE >CAK8544532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:690812277:690812681:-1 gene:gene-LATHSAT_LOCUS13202 transcript:rna-LATHSAT_LOCUS13202 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRKRKILEEEEEENDDEKMEKFFALIKSTRDLLSKPDKKVDEGKKEKGIWNPTFQIEDFIGCEEIGKSNNVSFSVTHHHGKAGSSSEKEREVLMIVEKECVEEAAATTLEDQNEEKEKTSDNGLDLNLSL >CAK8566497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:428952828:428974189:-1 gene:gene-LATHSAT_LOCUS19623 transcript:rna-LATHSAT_LOCUS19623 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAGTKLTLLASAIGGGGAAALIATSDDPATAFNLSTTIPRRLFADAVAAANIVFDYEYSLWGIPEGSSEREKIKHEVHLRSAEKLRDLCFKNGGIYIKLGQHLGQLEYLVPKEYVQTMRESMLNRCPVSSYEQICTVFKKEFGETPDKVFAEFDPVPIASASLAQVHVARTHDGQKVAVKVQHSHMTETAAADQATVELIVNTLHNFFPSFDYRWLIDEIKESLPQELDFLTEAKNSERCLENFHKLSPHIANYVYAPKVYWNLSSSKLLTMEYIDGAYVNDVKAIKKLGIRPHELSILVSQTFAEMMFKHGFVHCDPHAANLLVRPLPSSKASILGWRKPQLILLDHGLYKELDFNTRTNYAALWKALIFADANAIKKYSTKLGAGEDLYALFAGVLTMRPWNRVVDPSMDHLVIKGSQSELSELQMYASEYFHEISELLRRLPRVILLMMKTNDCLRAVNNTLIQGSHLETSLKTSCIIGRVSSEAVTAAKMSQSKSVLTWFSVKLDKILLEIQIWKIQMALWLLQLRKALPWSHRAS >CAK8573934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648555894:648557455:1 gene:gene-LATHSAT_LOCUS26328 transcript:rna-LATHSAT_LOCUS26328 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEDDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVQIDGEQQKVTVSGSVDAETLIKKLLRSGKYAELWSQKTNNNQNQKQKNNNVVKDDKNKGQKQGLVKGLEAFKNQKFPAFISEEDGEYYGYVDDEEEEDEEMQIVREKANQIQMIRQNAADACNVKKSIGAKMNNACNVNGNGNGNANGCKKGGSTQNMGMKESGNSVDQKTMAAMKMNNTHLVGNGETLRLGESKRGSDIGAMMNLAGFNGNNNNNNNNNGVGSATTILGGNSTAIPNGGFVTGQFPPTMLMNMNGFNNHSSPLMNMNMQQARNGMMMPQQQPQIMYHRSPFVPPNTGYYYNYNNYIPANYSYANASEDHNSAAHMFSDDNTTSSCSIM >CAK8543042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:570540687:570541472:1 gene:gene-LATHSAT_LOCUS11829 transcript:rna-LATHSAT_LOCUS11829 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNILGLLFVVIFITQTSLSVAFYEYFLMVQQWSVTVCIDGGCQKPTFVSNQFTIHGLWPTNVSKPYPEFCKGRPPGSGKFSFPLISHLSAQLQTEWPDVVNKNNLKFWSGQWDKHGTCSLNKFTQDDYFQQALTIKGMINLIDVLKKAGVVPHKTKDYKIDDIVTAIKAHNGNNDIALVCTKSTNGNPIPYLKEIRLCLYPNGSTHTPCPPPLRSRDCANRNNRVILPI >CAK8535370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:844740083:844741632:1 gene:gene-LATHSAT_LOCUS4835 transcript:rna-LATHSAT_LOCUS4835 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAVAAVVILIGWAYMAIKPPPPKICGSINGPSVTSPRVKLGDGRHLAYREFGFPKEEARYKIIVVHGFANSKDTHLPVSQELIDDLGIYFLYFDRAGYGESDPYPSRSVKSEAYDIEELADKLQIGKKFYIIGMSIGAYPVWGCLKYIPHRLLGAALVVPFVNYWWPSFPVNLSREAFQMLPQSDQWTFRVAHYTPWLFYWWMTQNWFPSLSFPNTEMFPSDDLEILKSLSEAPNPGQEKITQQGEYESLYRDIIAGFGKWEFGPTEVRNPFLENHGSVHIWQGLKDRMIPYTLNRHISQKLPWIHYHELPEGGHLFIFKNYQCESIIRALILS >CAK8574971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18913070:18918273:1 gene:gene-LATHSAT_LOCUS27266 transcript:rna-LATHSAT_LOCUS27266 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDSSTYRYDVYLSFRGEDTRYSFTGSLYRALNQRGIRTFADDGVLLKDDGPSRRLLKEIQQSRFVIIIFSNNYAFSTGCLEELTAILDCYEHDKKNGRVVFPIFYKMDPSDVRHGRASYGEALAKHEKRFVNDGVEKVQKWRMALHNAANLSGFHFGGGYEHEFIESTVKKVQSMISRVFSRASLRVADYPVGLESRVGKVKSLLSLESDDLVQMVGIHGIGGIGKTTIALGVYNSIGDQFEGLCFLEDVRENSMKFGLVHLQEIVLSKICGDGNIDIQNVKEGISMMRKRLSRKKVLLVLDDVDKMEQLQAIAGASDWFGPGSRVIVTTRDNNLLAKHDGGFGFGRTYEVEELSEKEALALLSWNAFKTDKAVDQRYAHVLNRALTYASRLPLALQVLGSYLFGKLINEWNDALDEYEKIPDKNILRVLKISFDSLEEEERRIFCDIACFFNGHKLVEVEDILGAHYGLSVKNSIGVLIERSLVKIDDGLVTLHDLIQDMGREIVRQESPDVPGKRSRLWLPQDVVQVLQDKSGSNTVESLLLDFPKDGINSNGEEVNWDGEALKKMQNLKTLIIRNVRLNKGPTHLPNSLRVLEWSGYPSSSLPDGFHPKKLVILKLSESRLRISEPIQGFKSLTVLDFSYCEWITHISDVSGLPNLEKISLKHCENLTQIHESVGLLEKLRILDVVHCQKLGALPPIRLTSLEQLNLSHCSALESFPEVLGKMENLTELHIMASPLKELPFSIQNLIRLRKLELHICGMIQLPSSIAMLAELSSMCVSKCQRLCLSKPDTGENFESKSSKTEHLILSYCNISDDLLPIGLTWFANVKDLDLSGNNFEILHASIKECPFLRNLKLDDCKNIQEIKGLPWKLESFSAQGCTSLKYLDFTGERPSSIRELLLDGCSFLKQVIGVLPKLESFSAKNCTSLSTSMFVNQESVEAGNKMFSLPGTKIPDWFTHRVNGGSISFWFRNKFPVISLCLVIESMGEQPITIKFSPRVFINGNKKSLGNRKVHEFRIATDHILLFDIRLLKFEDKEDVVYSYNNWNHVLVSYADHINNNGVPIKGVAKYSGMHVYLQDSCMANIRFNNPPQSLLSVNLNSNSTEAHQRGQIAAGIYQKDQTENLSSSILSSTQLESTITDVRKGPGHKPTLPAKRSLEGVVRETSKSLLEEDIHHTTISNSPPVIQSFCVGDDIESEAVSSCELKSEESSSSEGSDSDDPFDRIDRRLGISAKETVSSASHSRDASLESIRETIKSLDQLMVKDLSEVSSDPDAQSGLRQLLDVLSSSSHPKVTFEVKEAIVEFKRKAFLSFQEFQSAAESVNKLKDFERHLARIQQETLAGKGQRKDLKTSMKKVSLGIKSENRRKKELETEIATLRIHICIKERDIEQLVLNLKDQEETLSTYSTSYASLNDQALALLKQADDLLVVNSGVKHEGKAAEVIQSRLKSTWSFDLTSLFNKIKYNMNTDHL >CAK8574972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18913070:18917159:1 gene:gene-LATHSAT_LOCUS27266 transcript:rna-LATHSAT_LOCUS27266-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDSSTYRYDVYLSFRGEDTRYSFTGSLYRALNQRGIRTFADDGVLLKDDGPSRRLLKEIQQSRFVIIIFSNNYAFSTGCLEELTAILDCYEHDKKNGRVVFPIFYKMDPSDVRHGRASYGEALAKHEKRFVNDGVEKVQKWRMALHNAANLSGFHFGGGYEHEFIESTVKKVQSMISRVFSRASLRVADYPVGLESRVGKVKSLLSLESDDLVQMVGIHGIGGIGKTTIALGVYNSIGDQFEGLCFLEDVRENSMKFGLVHLQEIVLSKICGDGNIDIQNVKEGISMMRKRLSRKKVLLVLDDVDKMEQLQAIAGASDWFGPGSRVIVTTRDNNLLAKHDGGFGFGRTYEVEELSEKEALALLSWNAFKTDKAVDQRYAHVLNRALTYASRLPLALQVLGSYLFGKLINEWNDALDEYEKIPDKNILRVLKISFDSLEEEERRIFCDIACFFNGHKLVEVEDILGAHYGLSVKNSIGVLIERSLVKIDDGLVTLHDLIQDMGREIVRQESPDVPGKRSRLWLPQDVVQVLQDKSGSNTVESLLLDFPKDGINSNGEEVNWDGEALKKMQNLKTLIIRNVRLNKGPTHLPNSLRVLEWSGYPSSSLPDGFHPKKLVILKLSESRLRISEPIQGFKSLTVLDFSYCEWITHISDVSGLPNLEKISLKHCENLTQIHESVGLLEKLRILDVVHCQKLGALPPIRLTSLEQLNLSHCSALESFPEVLGKMENLTELHIMASPLKELPFSIQNLIRLRKLELHICGMIQLPSSIAMLAELSSMCVSKCQRLCLSKPDTGENFESKSSKTEHLILSYCNISDDLLPIGLTWFANVKDLDLSGNNFEILHASIKECPFLRNLKLDDCKNIQEIKGLPWKLESFSAQGCTSLKYLDFTGERPSSIRELLLDGCSFLKQVIGVLPKLESFSAKNCTSLSTSMFVNQESVEAGNKMFSLPGTKIPDWFTHRVNGGSISFWFRNKFPVISLCLVIESMGEQPITIKFSPRVFINGNKKSLGNRKVHEFRIATDHILLFDIRLLKFEDKEDVVYSYNNWNHVLVSYADHINNNGVPIKGVAKYSGMHVYLQDSCMANIRFNNPPQSLLSVNLNSNSTEAHQRGQVKKLFSVLFSFTTILSS >CAK8542937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:561117448:561118089:-1 gene:gene-LATHSAT_LOCUS11734 transcript:rna-LATHSAT_LOCUS11734 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLDDVSCLLHLPIRGVFWSLQDISEALAVEWVVDYLGVSQRVAQQKVRDYRGSYYKLEWLYDQFVEHRAASRWHYATRAYLMMLAGSTIFADKTFTFVEAQYLLLFVDLDGLSGYSWGAAALVTLDIYLRDASMFSCKQLGGYPTLLHCWINEYFPTLGKKRRELDTN >CAK8536517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948711046:948711606:1 gene:gene-LATHSAT_LOCUS5877 transcript:rna-LATHSAT_LOCUS5877 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWIGRGGVNLHAKLAKEIRSVVKSNGGKVTMAALEQMSLMKSVVYESFRIEPPVPLQYGKAKYDFVIENHENVFQVKEGEMLFGFQPFATKDPKIFERADEFVAERFIGEEGEKLLKHVLWSNGPETEQPTVGNKQCAGKDFVVLFSRLLVVELFLRYDTFGVHVEKALIGSAITFTSLKRSTY >CAK8571310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:369145491:369169046:1 gene:gene-LATHSAT_LOCUS23982 transcript:rna-LATHSAT_LOCUS23982-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEQCVLFAHTFVHSHLDEYVDEVIFSEPVIVNACEVLEQSASSVAQAVPLVGATSPPSFAIEVFVHCEGETRFRRLCQPFLYSQSSSNVLEVEAVVTSHLVVRGSYRSLSLLIYGNTAEDLGQFNIEFDDNALTDLVDSAEGKLEDLPLALHSTNFAIEDLRSSLTVLSIPVPVADISLEVKLFLQLMLKILELSEIGNNGHIGDDGHKVVSTVVSAISSYISGDICESISGRYQIGKRAEKFEELHNVVNKARKELTDVYRGFKQKNEIEFSECSPEGHYFELETEILDSKTLVDMFNQINHFRRHSSSIGDHFLSRNEHALLGLSMAYLLCSGRESCFQFVNSGGMQQIEMFFSKDVQNSTTITLLLLGVVERATRYSVGCEGFLGWWPREDENIPSGFSKGYSHLLELILSKPRHDVASLATYLLHRLRFYEVASRYESAVLSVLGNTSTFGRVTDVTLNMLSSAEVLLRKLLKLINSRGPIEDPSPVACASRSLITGQTDGLLSYKTTSNLISSSSCCFSDWDIDTHLLGLLKERGFLSLSTALLSSSILRVERGHVMEIFMDVTSSIEAVILSFLFCRSGLIFLLQDPELSSTLIRAFRGGHHGNKEDSIPLRYASVLISKGFFCSPMEIGTIIGMHLKMVNVIDRLLSSNPQSEEFLWVVWELSALSRSDCGRQALFSFGNFPEAVNILIEALSSTKESEPDGKTGGSLPVNLTIFHSVAEIIEAIVTDSTSSSLGSWIGHATELHRALHFSSPGSNRKDAPSRLLEWIDAGVVYDKHGGIGLLRYAALLASGGDAQLTSTSVLVSDLTDVENVVGESSGGSDINVMENLGKFTSEKSFDGVTLRDSSLSQLTTALRILSFISENPTVAASLYDEGAVTVIYAILVNCRFMLERSSNNYDYLVDEGTECNATSDLLLERNRELSIVDLLVPSLMLLITLLQKLQEAKEQHRNTKLMNALLRVHREISPKLAACAAELSSPYPDYAIGYGAVCHLIASSLAFWPVYGWSPGLYHTLLDSVQGTSLLTLGPKETCSLLYLLIDLFPEEDIWSWIGGMPLLTTRRMLAVGTLLGPQKEKHVNWYLESGSLGKLISQLAPHLDKIAEIVQHHAISALVVIQDLLRVFVTRIAFQNVTYASMLLQPILSSIGSHVSESSPSDTDAYKVLRLLDFLVSLLEHPLGKGLLLRLGTLETLTKVLDRSLVIVDGKPIPDSRSSVKYSFNFFSWCLPVFKFIMLLFNSETSQYYSRRHDSSNLFEKMKDEDYALILHYLLKSCQVLPVGKELLACLIAFKELASCSKGQMAFEAVLSGFHYNACELDQKDDMDVNYNVPSMAEWKKCPPLLSCWMKLLRSIDTMEGLSPYAIEAVYALSVGSLQFCMNGDRVLALKYLFGLSDDVTRSLDFPEENINCILDLSTMLSSKATVDDCLVTSHLQIPLYQVSESVKSLYLVLQRPVDTMESGDVVLPQNDVLVFPKALHMLENSVETIDNHLDVGGLGDKFLWECPETLPDRLTQTNLAAKKKLSAMDGPVRRGRGESFQADVSAFSRGLAPSTVSSGPTRRDSFRQRKPNTSRPPSMHVDDYVARERNVDGITNVIAVPRTGSTGGRPPSIHVDEFMARQRERQNPSATVVGEAVGHLKNASPVKASDVEKSNKSKQLKTDLDDDLQGIDIVFDGEESDSDDKLSFLQLDDNLQQPAPVIVEQSSPHSIVEETESDAVDSSQFSRMGTPIGSNIDENVQSEFSSKMSGSRPDMSLTRESSVSSDRKYGEQADDTKNALQAKVSCGYDSATANSSFPVPLYNNPSTSMQLPVDSRIASQSFFLKNSPQHGGIVTGSQGQYDPRFFPNQPPLPPMPPPPTISPAMSNGIDSLHNQSSSFVNSPAGARRSVTFQGPSDYLSPFNNSSAASPFASSVPMPDSKYSRNSISSPSGPSRLAPPLPPTPPPYASSPYNLPSVKTSVSQPAPYNQASIGNTEHSQASIAPSGARLSSYPLNSSMMSLGYNRPASMPMAVFGNASNQQQNESQSNFLQSISVPQASFQSMHSVPQLQPLQPPQLPRPPQPPQLPRPPVQALQQLEQGMAVPSNVQVHELQMLQRSQVSSMQTYYQTQQQQFSHEQQQQQVQHTQQTGDAQSQELSNAGMSLHEYFKSPEAIQSLLSDRDKLCQLLEQQPKLMQMLQERLGQM >CAK8571309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:369145491:369169046:1 gene:gene-LATHSAT_LOCUS23982 transcript:rna-LATHSAT_LOCUS23982 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEQCVLFAHTFVHSHLDEYVDEVIFSEPVIVNACEVLEQSASSVAQAVPLVGATSPPSFAIEVFVHCEGETRFRRLCQPFLYSQSSSNVLEVEAVVTSHLVVRGSYRSLSLLIYGNTAEDLGQFNIEFDDNALTDLVDSAEGKLEDLPLALHSTNFAIEDLRSSLTVLSIPVPVADISLEVKLFLQLMLKILELSEIGNNGHIGDDGHKVVSTVVSAISSYISGDICESISGRYQIGKRAEKFEELHNVVNKARKELTDVYRGFKQKNEIEFSECSPEGHYFELETEILDSKTLVDMFNQINHFRRHSSSIGDHFLSRNEHALLGLSMAYLLCSGRESCFQFVNSGGMQQIEMFFSKDVQNSTTITLLLLGVVERATRYSVGCEGFLGWWPREDENIPSGFSKGYSHLLELILSKPRHDVASLATYLLHRLRFYEVASRYESAVLSVLGNTSTFGRVTDVTLNMLSSAEVLLRKLLKLINSRGPIEDPSPVACASRSLITGQTDGLLSYKTTSNLISSSSCCFSDWDIDTHLLGLLKERGFLSLSTALLSSSILRVERGHVMEIFMDVTSSIEAVILSFLFCRSGLIFLLQDPELSSTLIRAFRGGHHGNKEDSIPLRYASVLISKGFFCSPMEIGTIIGMHLKMVNVIDRLLSSNPQSEEFLWVVWELSALSRSDCGRQALFSFGNFPEAVNILIEALSSTKESEPDGKTGGSLPVNLTIFHSVAEIIEAIVTDSTSSSLGSWIGHATELHRALHFSSPGSNRKDAPSRLLEWIDAGVVYDKHGGIGLLRYAALLASGGDAQLTSTSVLVSDLTDVENVVGESSGGSDINVMENLGKFTSEKSFDGVTLRDSSLSQLTTALRILSFISENPTVAASLYDEGAVTVIYAILVNCRFMLERSSNNYDYLVDEGTECNATSDLLLERNRELSIVDLLVPSLMLLITLLQKLQEAKEQHRNTKLMNALLRVHREISPKLAACAAELSSPYPDYAIGYGAVCHLIASSLAFWPVYGWSPGLYHTLLDSVQGTSLLTLGPKETCSLLYLLIDLFPEEDIWSWIGGMPLLTTRRMLAVGTLLGPQKEKHVNWYLESGSLGKLISQLAPHLDKIAEIVQHHAISALVVIQDLLRVFVTRIAFQNVTYASMLLQPILSSIGSHVSESSPSDTDAYKVLRLLDFLVSLLEHPLGKGLLLRLGTLETLTKVLDRSLVIVDGKPIPDSRSSVKYSFNFFSWCLPVFKFIMLLFNSETSQYYSRRHDSSNLFEKMKDEDYALILHYLLKSCQVLPVGKELLACLIAFKELASCSKGQMAFEAVLSGFHYNACELDQKDDMDVNYNVPSMAEWKKCPPLLSCWMKLLRSIDTMEGLSPYAIEAVYALSVGSLQFCMNGDSLFSDRVLALKYLFGLSDDVTRSLDFPEENINCILDLSTMLSSKATVDDCLVTSHLQIPLYQVSESVKSLYLVLQRPVDTMESGDVVLPQNDVLVFPKALHMLENSVETIDNHLDVGGLGDKFLWECPETLPDRLTQTNLAAKKKLSAMDGPVRRGRGESFQADVSAFSRGLAPSTVSSGPTRRDSFRQRKPNTSRPPSMHVDDYVARERNVDGITNVIAVPRTGSTGGRPPSIHVDEFMARQRERQNPSATVVGEAVGHLKNASPVKASDVEKSNKSKQLKTDLDDDLQGIDIVFDGEESDSDDKLSFLQLDDNLQQPAPVIVEQSSPHSIVEETESDAVDSSQFSRMGTPIGSNIDENVQSEFSSKMSGSRPDMSLTRESSVSSDRKYGEQADDTKNALQAKVSCGYDSATANSSFPVPLYNNPSTSMQLPVDSRIASQSFFLKNSPQHGGIVTGSQGQYDPRFFPNQPPLPPMPPPPTISPAMSNGIDSLHNQSSSFVNSPAGARRSVTFQGPSDYLSPFNNSSAASPFASSVPMPDSKYSRNSISSPSGPSRLAPPLPPTPPPYASSPYNLPSVKTSVSQPAPYNQASIGNTEHSQASIAPSGARLSSYPLNSSMMSLGYNRPASMPMAVFGNASNQQQNESQSNFLQSISVPQASFQSMHSVPQLQPLQPPQLPRPPQPPQLPRPPVQALQQLEQGMAVPSNVQVHELQMLQRSQVSSMQTYYQTQQQQFSHEQQQQQVQHTQQTGDAQSQELSNAGMSLHEYFKSPEAIQSLLSDRDKLCQLLEQQPKLMQMLQERLGQM >CAK8571308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:369145491:369169046:1 gene:gene-LATHSAT_LOCUS23982 transcript:rna-LATHSAT_LOCUS23982-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEQCVLFAHTFVHSHLDEYVDEVIFSEPVIVNACEVLEQSASSVAQAVPLVGATSPPSFAIEVFVHCEGETRFRRLCQPFLYSQSSSNVLEVEAVVTSHLVVRGSYRSLSLLIYGNTAEDLGQFNIEFDDNALTDLVDSAEGKLEDLPLALHSTNFAIEDLRSSLTVLSIPVPVADISLEVKLFLQLMLKILELSEIGNNGHIGDDGHKVVSTVVSAISSYISGDICESISGRYQIGKRAEKFEELHNVVNKARKELTDVYRGFKQKNEIEFSECSPEGHYFELETEILDSKTLVDMFNQINHFRRHSSSIGDHFLSRNEHALLGLSMAYLLCSGRESCFQFVNSGGMQQIEMFFSKDVQNSTTITLLLLGVVERATRYSVGCEGFLGWWPREDENIPSGFSKGYSHLLELILSKPRHDVASLATYLLHRLRFYEVASRYESAVLSVLGNTSTFGRVTDVTLNMLSSAEVLLRKLLKLINSRGPIEDPSPVACASRSLITGQTDGLLSYKTTSNLISSSSCCFSDWDIDTHLLGLLKERGFLSLSTALLSSSILRVERGHVMEIFMDVTSSIEAVILSFLFCRSGLIFLLQDPELSSTLIRAFRGGHHGNKEDSIPLRYASVLISKGFFCSPMEIGTIIGMHLKMVNVIDRLLSSNPQSEEFLWVVWELSALSRSDCGRQALFSFGNFPEAVNILIEALSSTKESEPDGKTGGSLPVNLTIFHSVAEIIEAIVTDSTSSSLGSWIGHATELHRALHFSSPGSNRKDAPSRLLEWIDAGVVYDKHGGIGLLRYAALLASGGDAQLTSTSVLVSDLTDVENVVGESSGGSDINVMENLGKFTSEKSFDGVTLRDSSLSQLTTALRILSFISENPTVAASLYDEGAVTVIYAILVNCRFMLERSSNNYDYLVDEGTECNATSDLLLERNRELSIVDLLVPSLMLLITLLQKLQEAKEQHRNTKLMNALLRVHREISPKLAACAAELSSPYPDYAIGYGAVCHLIASSLAFWPVYGWSPGLYHTLLDSVQGTSLLTLGPKETCSLLYLLIDLFPEEDIWSWIGGMPLLTTRRMLAVGTLLGPQKEKHVNWYLESGSLGKLISQLAPHLDKIAEIVQHHAISALVVIQDLLRVFVTRIAFQNVTYASMLLQPILSSIGSHVSESSPSDTDAYKVLRLLDFLVSLLEHPLGKGLLLRLGTLETLTKVLDRSLVIVDGKPIPDSRSSVKYSFNFFSWCLPVFKFIMLLFNSETSQYYSRRHDSNLFEKMKDEDYALILHYLLKSCQVLPVGKELLACLIAFKELASCSKGQMAFEAVLSGFHYNACELDQKDDMDVNYNVPSMAEWKKCPPLLSCWMKLLRSIDTMEGLSPYAIEAVYALSVGSLQFCMNGDSLFSDRVLALKYLFGLSDDVTRSLDFPEENINCILDLSTMLSSKATVDDCLVTSHLQIPLYQVSESVKSLYLVLQRPVDTMESGDVVLPQNDVLVFPKALHMLENSVETIDNHLDVGGLGDKFLWECPETLPDRLTQTNLAAKKKLSAMDGPVRRGRGESFQADVSAFSRGLAPSTVSSGPTRRDSFRQRKPNTSRPPSMHVDDYVARERNVDGITNVIAVPRTGSTGGRPPSIHVDEFMARQRERQNPSATVVGEAVGHLKNASPVKASDVEKSNKSKQLKTDLDDDLQGIDIVFDGEESDSDDKLSFLQLDDNLQQPAPVIVEQSSPHSIVEETESDAVDSSQFSRMGTPIGSNIDENVQSEFSSKMSGSRPDMSLTRESSVSSDRKYGEQADDTKNALQAKVSCGYDSATANSSFPVPLYNNPSTSMQLPVDSRIASQSFFLKNSPQHGGIVTGSQGQYDPRFFPNQPPLPPMPPPPTISPAMSNGIDSLHNQSSSFVNSPAGARRSVTFQGPSDYLSPFNNSSAASPFASSVPMPDSKYSRNSISSPSGPSRLAPPLPPTPPPYASSPYNLPSVKTSVSQPAPYNQASIGNTEHSQASIAPSGARLSSYPLNSSMMSLGYNRPASMPMAVFGNASNQQQNESQSNFLQSISVPQASFQSMHSVPQLQPLQPPQLPRPPQPPQLPRPPVQALQQLEQGMAVPSNVQVHELQMLQRSQVSSMQTYYQTQQQQFSHEQQQQQVQHTQQTGDAQSQELSNAGMSLHEYFKSPEAIQSLLSDRDKLCQLLEQQPKLMQMLQERLGQM >CAK8538728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493995546:493997852:-1 gene:gene-LATHSAT_LOCUS7898 transcript:rna-LATHSAT_LOCUS7898-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDYIVVNRKDANYKRRAIASLVQAAYLLELDRQENRTQDNSLASEFWIPLNYKPTQILIDQRDGSIFGAIFEWDRFKPFKPIGAPRAVLALRGTLIRVPTVRRDFVDDFRFAAWESLKDSVRFKVATDAVKSVSKTYGCRNVCIAGHSLGAGLGLQVGKELAKERINVETHVFNPPAVSLAISLGNIGEMAEYVWSGIKDMLPSGTEAQVSNSVDEAQVSNSVDETYIIRLKKMISRLSCFMDSGLGRRRWVPHIYVNKNDWISYFYIHTHGTKEEIGNVENVDPTVEQNEAKLFVVSMEDQKFREAHGLKQWWSNDGNHDNKVTSMQLKSLNSGTLSQVVLFYYPQYVSLATSCINIREASDYVWSILKCVLSRISSKAQINNDGEVSSGIDLVGLIPSLSGLKDTGYWVCKWIPRMYVGAKEKMVGKENRDLEDGQITPKLLIFSKEQLKFLAAHGLEQWWSNAVELQKTICDGKLVSRKVKSLYIGTLWEVTKGSNLAFISLAAYWVWNCVPHRYVNKNCGIEEKEVHKKENVDCANARITSKLCVVSKEQQKFLTTHGLEQWWPSDADLQQAIQESNLMSRKIRSLYTETSWEVTKRLNPLTSLPICFANIREKEEFAWKWNSIKSVMLSNSESQASNDYDNKTPYVSLQSWMSPLSSFKDTGFAVVKKASPMLNLPFVSPVMSHGNNEEKGNFVSSSEIRVSNDSDKTSCEGLKNWTPSLSGLKDAAIGIGKLVPYLYSNKSDAGIAEKMVDKENKGPLK >CAK8538727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493995546:493998168:-1 gene:gene-LATHSAT_LOCUS7898 transcript:rna-LATHSAT_LOCUS7898 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVDPPRSFDWRNLINSQWKDANYKRRAIASLVQAAYLLELDRQENRTQDNSLASEFWIPLNYKPTQILIDQRDGSIFGAIFEWDRFKPFKPIGAPRAVLALRGTLIRVPTVRRDFVDDFRFAAWESLKDSVRFKVATDAVKSVSKTYGCRNVCIAGHSLGAGLGLQVGKELAKERINVETHVFNPPAVSLAISLGNIGEMAEYVWSGIKDMLPSGTEAQVSNSVDEAQVSNSVDETYIIRLKKMISRLSCFMDSGLGRRRWVPHIYVNKNDWISYFYIHTHGTKEEIGNVENVDPTVEQNEAKLFVVSMEDQKFREAHGLKQWWSNDGNHDNKVTSMQLKSLNSGTLSQVVLFYYPQYVSLATSCINIREASDYVWSILKCVLSRISSKAQINNDGEVSSGIDLVGLIPSLSGLKDTGYWVCKWIPRMYVGAKEKMVGKENRDLEDGQITPKLLIFSKEQLKFLAAHGLEQWWSNAVELQKTICDGKLVSRKVKSLYIGTLWEVTKGSNLAFISLAAYWVWNCVPHRYVNKNCGIEEKEVHKKENVDCANARITSKLCVVSKEQQKFLTTHGLEQWWPSDADLQQAIQESNLMSRKIRSLYTETSWEVTKRLNPLTSLPICFANIREKEEFAWKWNSIKSVMLSNSESQASNDYDNKTPYVSLQSWMSPLSSFKDTGFAVVKKASPMLNLPFVSPVMSHGNNEEKGNFVSSSEIRVSNDSDKTSCEGLKNWTPSLSGLKDAAIGIGKLVPYLYSNKSDAGIAEKMVDKENKGPLK >CAK8577840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593565442:593568556:1 gene:gene-LATHSAT_LOCUS29909 transcript:rna-LATHSAT_LOCUS29909 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIIGFNVSFLMLLSFLCFYPLFLHAAYSITQNESIKDGSTLVSEGLKFEMGFFSFDNSSRYVGIWYYNVPVSSYVWVANRENPIKNRQGSITMKNDGNLVVLDGENNEVWSSNISVSIKNSQAVLRNDGNLVVLDGETNKEIWQTFEDPTDTYLPGMKVPAGSGGGIGKDPTFRAWKSDKDPSFGDYTMSVDSEASPQIVIMEGEKRRWRTGYWDGRVFSGVPNMTGSYLFGFRLNTDDKGERYFVYEALNSSDKIRFQISYDGFERQFRWNEDEKEWNVIQSLPYKKCEFYNSCGSFAICDESDSVLCKCVKGFEPKDMISWKNGNWSKGCKRRNPLNGERSSTNSSVGEDGFLVQRGLKWPDFAHLINVVDSKGCERNCLQNSSCTAYVNAIGIGCMVWFGELVDVQRLENYGNTLNIRLADIDLDDGKKKTKIWIVLGVVIGLICLGIFTWLLWRFKGKLKVSSTSSPNRNIGNVTVSEPSKSTNLLLPVEFSGSVDLNSEGNPLSNAELSFFTFSSIVIATNNFSDENKLGQGGFGPVYKGKLPGGEEIAVKRLSRRSSQGLDEFKNEMMLIAKLQHRNLVRLLGCSVLEEEKLLVYEYMPNKSLDFFLFDPIKQTKLDWTKRSEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDENMNPKISDFGLARIFGGNQNEDNTARVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRKNTSFRDSYDPSLIGYAWRLWNEDKVIELVDPSIRESCRKSKALRCIHIGMLCVQDSASNRPNMSSVVLMLESEATTLPLPIQPLFTSMRRYDDTEEFHTEPFVSSVDLTVTGR >CAK8577773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587867345:587871385:1 gene:gene-LATHSAT_LOCUS29847 transcript:rna-LATHSAT_LOCUS29847 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKIKRLPLMAVVCAVMLFVVYRTLKYQQKQEEIDQRWIIRRDVEPYAAHTGKLEGLPRGIIHATSDLELRPLWSSDDLRSKVNVYSNRSLLAVPVGIKQKENIDTMVQKFLAGGFTIILFHYDANVDGWKDLDWCSRAIHIAAKNQTKWWFAKRFLSPDIVSIYDYIFLWDEDLGVEYFSPSRYVKIVKEEGLEISQPALHPNSTEIHHKLTVRARTKKVHRRVYELRGKTRCSDESDGPPCTGFVEGMAPVFSRSAWYCTWHLIQNDLVHGWGMDMKLGYCAQGDRSQNVGVVDKEYVFHKGIPTLGGNSHAIIKKHGSTGIDLRTEIRRQSARELEIFKERWKEAIAHDESWIKPFKSGKRRIRRKRNRRLFS >CAK8544391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681607712:681609874:-1 gene:gene-LATHSAT_LOCUS13077 transcript:rna-LATHSAT_LOCUS13077 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTSEEDEILTKYIKANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRDDLKRGNISAEEETLIVKLHASFGNRWSLIASHLPGRTDNEIKNYWNSHLSRKVYTFRGTTTTNYDNRNKENPSQGILVNTPPKRKGGRISRKAMKKNKDYTQRVIESPNGLSSVNEDSPNGLKLPCIVDENIKTDRDRTLGQDQNPNPKAINDDQTVDFNDINRDTWEVDKERESNDEMVATIERVGDKGTSTKKLGTHDTENNGVTSNDLDWDSVVPLLNIKVSKGQSLSWELSENMLTWLWDDDEWDKDFHKYTEIDPDQQQNAIFSWF >CAK8572977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574064781:574069961:-1 gene:gene-LATHSAT_LOCUS25474 transcript:rna-LATHSAT_LOCUS25474 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESSYVSSPEGPRKHASSPPPESPSQDSVEKPTYVRFLVSNSAAGSVIGKGGSTITDFQSQSGARIQLSRNNEFFPGTTDRIIMVSGAITEVLRAVELILSKLLSELHGEDDNDVEPKTKVRLVVPNGSCGGIIGKGGATIRSFIEDSQAGIKISPQDNSYYGQNDRIVTVTGTLDEQMRAVDLVVSKLAEDSHYSQSMNAPFSYSGVYVSGYQGVPYTYVLPSVAPPAYNGVSYRPNGTGPKFQNSKEDRSNSMTIGVADEHIGLVVGRGGRNISDISQVSGAKIKISDRGDYISGTTDRKVTITGSQRSIRTAESMILQKVSYATERVVE >CAK8537550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:392428365:392428820:1 gene:gene-LATHSAT_LOCUS6839 transcript:rna-LATHSAT_LOCUS6839 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYFGGFAAAMIDNPVWVMNVVPVKAEINTLDVVFERGLVGTYQNWYIFLSFTPRRSPCPLRTSSNLAVTYPLLIEYDVDKLSSAGEVEFVCRSCDTI >CAK8539941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531976548:531978111:1 gene:gene-LATHSAT_LOCUS8991 transcript:rna-LATHSAT_LOCUS8991 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERAKLHTALTFLQFCHAGNHIFLRIALNTGVSKLVFPVYRNITAFIFLAPLAYFTEKKDRPPITSYCLLQFFLLGLVGITMKEGFYLVGLDNTSPTFASAMQNSVPALTFLMAVILRYERLRLNRINGIAKILGVVASVGGASIITLYKGPTIYAPESRLAVHQRRFLFLFEEANGKNLGLGGIFLFGHCLSWSGWIVMQAYVLKNYSAQLTVSAFTCLFGIVQFGTIAAFLEKDPKAWQLNSIDEAYSILYSGVVISGVAAAIQIWTISKGGPVLASIYLPLQTLLVALIASIAFGEEFFLGGIIGAILIITGLYLVVWGRSQETKSDELENRPEEKLDSSFLIQPLISVHNS >CAK8570243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31425830:31426181:-1 gene:gene-LATHSAT_LOCUS23012 transcript:rna-LATHSAT_LOCUS23012 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDGFVLNGCEHYVITCAFYMYTYQHIIIMYGDGNRDVNTVSATHTIAHVVLRDRAVRHNFLSYQLYLHVAISLAAYTHHSDTLHNLR >CAK8570372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:40834156:40834696:1 gene:gene-LATHSAT_LOCUS23125 transcript:rna-LATHSAT_LOCUS23125 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCVLETTVQLKSCPQKFYNFLKSQSQQIPNKAQSENVHGVEIHKGDWNTPGSIKIWKFSIEGKEEIFKEKIEVDEVNKTITYVAAGGNVLELYKNYKAIVKVENQILMLRIEYENINDDTPPPKKYQQFIMNIVRDLDGNLVKAR >CAK8578527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:635297809:635299344:-1 gene:gene-LATHSAT_LOCUS30531 transcript:rna-LATHSAT_LOCUS30531 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVRREKSPAMDCSESYPYPSSSSSKNLELPKPRFGSAEDWKEWDDTRCSICMETPHNTVILKCSSYEFGCRPYMCNTSYRHSNCLDQFCKSFDSHLSSAKLEEIPLIRTAPHDKKVRSEVVNPPQFVSQLQPKLICPLCRGGVYGYMVSEPARRYMNGKRRTCSSETCEFQGTYPELRKHARLEHPSVRPSEVDFSRWHDWSRMEQQRDFEDLFSSISASSGADYSGEEDVMHWAGGLADMMSILAAEMYSPDIDGFVTSLFSDPTPRAPSHDRRSETIYVIPNDTQTTQSATPRSIFSSTHFTLPEMSYPMPTMPSHDRRSETMRGVSNNTQTNQPARRRSTLPSTHQGERIERGGLRFTLPSTHQWERIDSSGWRSISSSSRDQGANSTARWRENIPPSRMAQAHSEIVENYYRELSPRGRTSSTRRPRVSHTNPQHSYSSRRILGQQFSHTNSRHNYSSYRRTPGGQQVSQTNPPGGQQVSHTNPRRNHSSTRIPGRHLQWRNHGW >CAK8566710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454288881:454291669:1 gene:gene-LATHSAT_LOCUS19822 transcript:rna-LATHSAT_LOCUS19822 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFSELDGDTDIEFAEIDPTSRYGRYKEVLGKGAFKKVYRAFDELEGIEVAWNQVKVSDLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKSENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLSYLHSHNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQASSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTVEYPYVECANAAQIYKKVTSGIKPASLAKVNDPEVRAFIEKCIAHVSQRLPAKTLLMDPFLQSDFDGDSVGRSSRSRTQHSGNKFDDLPRAKSDKDNSVEANREFTVEGQRRDVNTIFLKLRIADSSGHIRNIHFPFDIGADTSVAVASEMVEELELSDHDVSTIAMTIDSEIRYHIPSWSPSEIPDNSSYPTVTMPEASPRVTDSPGSLALEILPSGRKYWSDSPKGVGGSSPCLRSASNFSHEVDVFAEAGALFANSAEKERDGTADSPSSERSFTSEHFEAAGEMSFQEETSETEDIGKIASKLETLLGMQREELEELKKKHQVAVSDFLNEFSPEISLQLLNICNLHMPESEV >CAK8570625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75833968:75834924:-1 gene:gene-LATHSAT_LOCUS23361 transcript:rna-LATHSAT_LOCUS23361 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFARFAIKTLEQTIQISKETQRIKLLTKKDLKPFKNYNFIHIGLVQFALKPLTLLGFNSSIMAYVRDGRCKDFKQSLVAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTILNPKAKHLSFSGTTTLVQTNLLTSNVATNRLIKWDEINFLETWSLPQEIDPEPVLNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQKPHTPRVESPTQSDMDFQL >CAK8571110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:299938398:299941740:1 gene:gene-LATHSAT_LOCUS23803 transcript:rna-LATHSAT_LOCUS23803 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDLTPRMAPNLDRHLVFPLLEFLQERQLYDDNHILKAKIDLLNNTNMVDYAMDIHKTLYHTEDVPQDMVERRADVVARLKSLEDAAAPLVAFLQNPAAVQELRADKHYNLQMLNDKYQIGAAQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLNALWGKLAAEVLMQNWDVALEELNRLKEIIDSKHFSSPINQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKDFIKVIQQEQNSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRIEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRGSKLDAKIDSETGTVIMEPNHPNVYEQLIDHTKALNGRTYKLVTQILEHAQAQVAR >CAK8568458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607362879:607363934:-1 gene:gene-LATHSAT_LOCUS21414 transcript:rna-LATHSAT_LOCUS21414 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTVEDDSSSEIHLPAEIDWHMLDKSKFFFLGAALFSGVSAALYPMVVLKTRQQVSSSHYSCINMSCAIMRYEGFRGFYKGFGTSLMGTIPARALYMTALEVTKSNVGTAFVDLGFSDNTATAVASAAAGVTSAMSAQLVWTPIDVVSQRLMVQGCTGGGGGGKSILANLNSENYRNGLDVFRKILYNDGPRGFYRGFGISILTYAPSNAVWWTSYSMVHRFIWGNFGSCLCKSGSNLGGGDGCVGFRPDSKAMVGVQGLSAVVASGVSAIVTMPFDTIKTRLQVLDTEENGRRRPLTFVQTVRNLVNEGGLFACYKGLGPRWVSMSMSATTMITTYEFLKRMSTKRQD >CAK8544743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703796669:703797619:1 gene:gene-LATHSAT_LOCUS13398 transcript:rna-LATHSAT_LOCUS13398 gene_biotype:protein_coding transcript_biotype:protein_coding MIACCFKPPKPNESLLSSNNNSQVPQHVVTCIYQTQLCNSPTYHMTLTWSRTLSSHSLTIHAPFIFSNSITISLNSSSNFFQNKLHTSKSIFHRRYSHKIKLHWNFSKAKFIQNSAEPHSCFYFAISYNKIVQFFMGDIHSNLKHNQDKSSNSVILSRRYHMFQNRSYVSHAVLMGSKHVIEIECKEGVVRVKVDGEIKMVVKRMAWKFRGYEKIILVEGIQVEIYWDVLLSWDDYNNTNNNNNIGHGVFVFQVGDYISGTMWPEMVGVQKWLTWKSMSNFDSTLMLKWAEENNNEVYGSNVANGFSMLSYAWTQN >CAK8570132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24901629:24902120:-1 gene:gene-LATHSAT_LOCUS22910 transcript:rna-LATHSAT_LOCUS22910 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIDWRRVRSRRDRNNVKEKWDAYYGDGGTKEGEVNETHFIYFSEIPKKVKAEMIFNLFREYDNVKEVVIPPKRNKLGKRFGFVRFTDIDDVRVLALKLDNIFIDSVKIHANIPRFPRLDKGNKGDGKMYRGYQGESGIHVPFRRSYGAADVKVGTDHLWRS >CAK8539437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512802948:512803634:-1 gene:gene-LATHSAT_LOCUS8533 transcript:rna-LATHSAT_LOCUS8533 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMKLVWSPERATNSYIDTVQAVSTTQCQLSNESGVAEMVSAMAAGWNAQLIVETWSRGGVIPTSVGLSIASRHTGGRHVCIVPDEPSRLEYAKSMGEVGVSPEIMVGEPEEVMDGLVGIDFLVVDSRRKDFPRVLRLARLSGKGVVLICKNANFISKMDSSYIFRSVVARESRRLVRSVFLPVGKGIHMAHLSAAGGGENSVSAVKHKRWIKHVDQRSGDVHFIRR >CAK8539438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512802948:512803625:-1 gene:gene-LATHSAT_LOCUS8533 transcript:rna-LATHSAT_LOCUS8533-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPERATNSYIDTVQAVSTTQCQLSNESGVAEMVSAMAAGWNAQLIVETWSRGGVIPTSVGLSIASRHTGGRHVCIVPDEPSRLEYAKSMGEVGVSPEIMVGEPEEVMDGLVGIDFLVVDSRRKDFPRVLRLARLSGKGVVLICKNANFISKMDSSYIFRSVVARESRRLVRSVFLPVGKGIHMAHLSAAGGGENSVSAVKHKRWIKHVDQRSGDVHFIRR >CAK8569255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687807534:687808751:-1 gene:gene-LATHSAT_LOCUS22130 transcript:rna-LATHSAT_LOCUS22130 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVSEKIINIDDEDMKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDCVQAFTQAFYYQNSKNKHSWFFSINQEMVNKLITNWFYEWWAKFCPSLEILPKEILNLYNPWCDNSPLIVKKLSDNLITRQCPLLFFTKFQIPWIWRWSITISKNKFNIPILERNFFYKWWNKMSSEDVHNKIKLIEEAISEDQSSKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRTLDHMKNQLFSTFPSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQEDEATAENFWDAMIQSLKEKRKTKN >CAK8573543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618887715:618888886:-1 gene:gene-LATHSAT_LOCUS25979 transcript:rna-LATHSAT_LOCUS25979 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHVKWDEANIGNIEANKPVRQKITEPKTPYHPMIEEDSSPSPGRGGYDECDDDKSDPAKAEETAVEDAACCSRKKRQSDGWSSSEDEEDEAEQTDDDASSSFKEHRRAHYDEFLKVKALRQQEALLENGSDEDNNTELAEEKKADADSSSLARAE >CAK8572738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559416830:559425144:1 gene:gene-LATHSAT_LOCUS25267 transcript:rna-LATHSAT_LOCUS25267 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLITGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIRNWIESVALIPVDGNEGPADVCVIELGGTVGDIESMPFIEALRQLSFQVGPDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLASRSAEPLLDSTKEKLSQFCHVPINNILNIHDVPNIWHIPLLLRNQNAHHSILQQFNLLSRATPPDLQQWTEMAESYDNLTESVRIAMVGKYVGLTDSYLSVVKALLHACVARSVKPSIDWIAASDLEDDSAQSTPEAHAAAWKTLRSAACVLVPGGFGDRGVRGMMLAAKYARENNVPYLGICLGMQISVIEFAKSVLGWERANSVEFDAQTPNPVVIFMPEGSRTHMGSTMRLGSRRTLLHTRDCITSKLYGSSEYVDERHRHRYEVNPDVIETLEEAGLKFVGKDESGKRMEILEHPSHPFYVGVQFHPEFKSRPGRPSALFLGLILAATGKLEEHIISIHENGS >CAK8574676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6048917:6049180:1 gene:gene-LATHSAT_LOCUS27000 transcript:rna-LATHSAT_LOCUS27000 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYIQSSSTNQEKKNPSSSSSSSSSSTSHEVEKKVSHQPRKLERLPSEEDINASADAFIKNFRKQLMLQRLQSIENYEKMLARGR >CAK8544150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:667759540:667761616:1 gene:gene-LATHSAT_LOCUS12852 transcript:rna-LATHSAT_LOCUS12852 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESTNQVNKAGFLSSIGGFFKAMPTNFKSKFMNAIKSVKKIGKDDPRRVIHSLKVGVALTVVSLFYYSRPLYNGFGDAGIWAVLTVVVVFEFTVGATLSKSLNRGCATLLAGALGVGGHQLATAVGERGEAIVLGTLVFILAAVATFLRFVPKIKARYDYGMVIFILTFCLVTVSGYRVEELVELAHQRLSTIIIGAAACMIISIFVCPVWAGQDLHNLVASNIEKLANYLEGFEGEYFHSSEDKEKSKSFLQGYKTVLNSKATEESLANFARWEPGHGGFSLRHPWMQYLKIGVLARECAYKIETLNTYLNPEIQTCLEFKCSIQEACTKMSSESNRALKAISSSLKTMTHPSSAKSHIENSKIAIEELKVVLEAISLEDVELLAIIPVATVAAILEEITISVEKIYDSVSELSHLAHFKNIETNVSPEKPPLLHRGIIKPVADIDNAPHVAIAIQDLCTESPDKAQKRSAEMIKT >CAK8570493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50616575:50619153:1 gene:gene-LATHSAT_LOCUS23237 transcript:rna-LATHSAT_LOCUS23237 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVGPSISKNGIIQSFSAAIWRTHLPEQEGSVSNRGSVNEVVTGNESESPLPVQNKPPEQIIIPKPETKSEKEPEKEPEKEKKKQRKPSVKRSSSAGLRVDSVLQRETGNFKEFFSLGKKLGQGQFGITFLCIEKETGRQYACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHANVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELIRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSVFFKPGDTFIDVVGSPYYVAPEVLKKRYGPEADVWSAGVILYILLSGVPPFWAESEQGIFEQVLHGDLDFASDPWPAISDSAKDLVRKMLVRDPRRRMTAHQVLCHPWVQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIVIAESLSEEELAGLKEMFKMIDTDNSGQITFEELKAGLKKVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKVEKEDHLFAAFSYFDKDGSGYITQEELQQACDEFGIKDVGLDEIIKEIDEDNDGRIDYNEFVAMMQKGNVPMVGKKGLENNFSIRFKEALKL >CAK8570724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:97589326:97591878:-1 gene:gene-LATHSAT_LOCUS23455 transcript:rna-LATHSAT_LOCUS23455 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEEEELYASLSNLLPHFTTIPNIHKAWLFNNTLGMFTFTQPHLLTNKTKTSILSCELVKQETNNDSDVKFQWAPFPIEMCGDVSMIVPSPSGSKLLVIRNPENKEDDATSCFQIWSSSSLEKEFHIPQTMHGSVYNDGWFEGVSWNSGEILIAYVAEEPSSTKPVFNNTGYMKGGCAEKDYGSWKGQGDWEEDWGETYSAKRRPALFVININSIYAVERYKLSKESTNL >CAK8531858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166771393:166773079:-1 gene:gene-LATHSAT_LOCUS1622 transcript:rna-LATHSAT_LOCUS1622 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIANSIVLTKPFTLRTDSDSEENYSAPNLLQRILSLLKNVRPGSDLTRFQLPPLFNFPKSQLQCYGESVYSTSSDLLSKCNTGLTPIERLISVVTCSISTTRPPIFGLAPYNPVLGETHHVSKGNLNVLLEQVAHHPAVSALHATDQKENIEMIWCQYPVAKFNGTSVEARVHGKRRLKLLNHGETYEMNSPNLFIRILPVPGIDWVGNVNIRCVETGLAAELCYISQSFFGFGGSRRVIKGKIIDSLTSKILYKVNGHWDSTVTLKDTNNGEERVIYDASEVITRLQTPTVKDAESVWQTESALIWSKLSQAILNKDWEKAREAKKCVEEKQREELRERESKGVTWVPKHFIISQTKEGDWDCSPIQKWVPPSPIVTL >CAK8533231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:594283673:594284167:1 gene:gene-LATHSAT_LOCUS2876 transcript:rna-LATHSAT_LOCUS2876 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFKIPWSCLDSPTFVEPKLTRAQVKPPETSKTTKTFIEVLSNFYDIPLSQLPQPCLKGDRLAIDIPKDEYIVGVEAWKHNHHGHIIWPIGMSLLIIASIKTKLGLYWKDLSKWGIISLRKGFYEFSFSSLEDVRRVRSSPSWNLDPSYLKLFSWTGDFNPNL >CAK8541681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:350875740:350876075:1 gene:gene-LATHSAT_LOCUS10582 transcript:rna-LATHSAT_LOCUS10582 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFIGFSNSGFIVSTKVAEENVSQRYENEIMEFGTILSSKMRYLLVEEKVLAQQLQSPMPLQISMQRYSDRV >CAK8568474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:609951195:609951536:-1 gene:gene-LATHSAT_LOCUS21429 transcript:rna-LATHSAT_LOCUS21429 gene_biotype:protein_coding transcript_biotype:protein_coding MELEACRRGSRFSLYERLATIGLVVLAVASPLYMERKPESDSDLEDDEQPINVSVWLPLLLFLLILCIALSAYMDQRFAVFDRDWIHRVCGSSGGIFVIITVLILILKWKSSL >CAK8560101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7588200:7589519:1 gene:gene-LATHSAT_LOCUS13813 transcript:rna-LATHSAT_LOCUS13813 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLLSFLLYSLILQSIRVVAQTKSTIAIGDSFTAGTSTSPWLLSPSGDFVFGFLPLKDTNLFLLSIWYAKIYEKTIVWYANGDSPAPKGSKVQLTAKDGLLLTSPNGDILWNTEGLNSAVSRGMFNDTGNFVLEDGNFNSLWETFKFPSDTLLPSQVVEKGRKLSSRLKETDFSKGRFELLLQSDGNLVMHSINLPSGYVNENYYESQTDGSSKSSAGTQLVFDRSGHLYVLGENNEKYNVSRQESKVSATYFYLRATLNFDGVFTLYKHPKSSTKSEGWTTVWSKPDNICLYTVSSGSGVCGYNSFCTLGDDKRPTCQCPKSYSLVDPNDSYGSCKPDFIQGCVEEGVSKKRNDLYDFDILIDTDWPLSDYVLQRPFTEEQCRKSCLEDCFCSVAIFRLGDSCWKKKLPLSNGRVDATLNGSKAFLKVQKANVSLG >CAK8542699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538789190:538791154:-1 gene:gene-LATHSAT_LOCUS11514 transcript:rna-LATHSAT_LOCUS11514 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPSLDLVPENPSLSLLNRFPNGLEMNNGGFKLPKFVVIGHRGNGMNVIQSSDRRMRAIKENSIMSFNAASSFPLDFIEFDVQVTKDDCPVIFHDDYIYSQENGNVFGKRIPELYLSEFLSYGLQREDGTEGKALVRKTKDGRICNWEVEQDDTLCTLQEAFLKVEPSLGFNIELKFDDHIVYEQAYLIHVLQTILKIVMDYAKDRPIIFSTFQPDAAILVRELQRTYPVFFLTNGGCEFYEDSRRNSLEEALKLCLENGLEGIVSEIKGIFRNPGAVSKIKESNLSLLTYGKLNNVPEAVYMQHLMGIDGVIVDFVQEITEAVADMMKPSKMGEEEGLSEGNEKVEGKSKPQFSQLELSFLYKLIPQLLLL >CAK8532764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510850013:510851751:-1 gene:gene-LATHSAT_LOCUS2437 transcript:rna-LATHSAT_LOCUS2437 gene_biotype:protein_coding transcript_biotype:protein_coding MATEASSTGPTNITIPSHTKAWFYSEHGKPLDILKLHPNWSIPQLKHDQLLIKVVAASLNPVDYKRMHALFKDTDPHLPIVPGFDVAGVVIKVGSEVVKFKVGDEVYGDINEEGLSNLKSLGTLSEYTIAEERLLAHKPKNLSFIEAASVPLAMETAYEGLERAEFSAGKSILVLGGAGGVGSFAIQLAKYVYGASKIAATSSTGKLQFLRNLGVDLPIDYTKENFEDLPEKFDVIYDTVGQVDRAVKAIKEGGKIVSIAPPGFPPAIFFVLTSKGSILEKLRPYFENGQLKPVLDPKTPVPFSDVIEAFSYLETSRAVGKIVIYPIP >CAK8532656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:398754608:398755402:-1 gene:gene-LATHSAT_LOCUS2339 transcript:rna-LATHSAT_LOCUS2339 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDSDATGWPYVVLDIDARVVKEGRKLGFPIIYGDGSSPGVLQSAGISSPKAIMIMLTEKKKLVEAVQRLRLAFPAVPIYARARDLKHLLDLKKAGATDATMENAETSLQLGSKLLKGLGMMSVDVAFLSQLVRDSMEQQAEVAVSLPDYRESNIMEPLQVRVADIKESRIPVATTTTPKYELSVQNQKDQASLSRIQKEVDPEEDEEDYELNQAVKFEENGASPTAKDVANLTNYAINKLANQHAVASAVAPAPVKNPQP >CAK8559982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2870170:2871903:1 gene:gene-LATHSAT_LOCUS13706 transcript:rna-LATHSAT_LOCUS13706 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASSMAGCLRGCSSQTVLEGSLQLSGPNRLSLLHGNTNSVNKVSTRSSVTVRAQQQESSRRAVIGLVATGLVSSSFVQAVFAEAIPIKVGGPPPLSGGLPGTLNSDEARDLKLPLKERFFIQPLPPTEAAARTKESAKEIVAAKKFIDQKAWPFLQNDLRLRAGYLRYDLKTIISSKPKDQKQSLKELTDKLLLDISNLDHAAKIKSPSEAEKYYAIAVSTLNDVLSKIA >CAK8544654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697717292:697721087:-1 gene:gene-LATHSAT_LOCUS13312 transcript:rna-LATHSAT_LOCUS13312 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGRLSLLLTKRRFSLYSLNPKTPFLHSHFFSTSQNPNPKPSSLSARLSFVFDQIDAIEKERSQKHETLQRIRAWRDSKNTQNEIPEPVSEHTTTSSSNEEAETNSKPVELVKKEVELVHPWPEWIQLMERLVHQNYFDHRRKDEDKMVQDLGFDTPEDVREEGFDFTKDFKSVHEACLNFGKDRFDLLRSLSRQDIQVLVGFGCPNGDRKVVFSGKLLRKLVHLDEGDVCSSCSLRNNCDNAYLLTNKEDEARTIDIMRMLLTFGFDPINGSVTNKPLLKQKSVKAVVRKLLHEVVKLSSVPIDPNLPPPVIKKPPPKVKQPPPTPKRRVGRDDVEMKRGDWLCPKCDFMNFAKNNVCLQCDAKRPKRQLLPGEWECTECNFLNYRRNVVCFHCECKRPPEEFLENKIQDNKYSSGPNFNKTGSRQEVSNAWNFDFDDNESDGAEVAAFEYADSRGINKDFPSDNNDQRVGWEDDFEKNNRVPGPRDEEYVNPGSSRLRTGFDDFDDEDDIDSYELETQTEASGARTEATKNKFSETEDSLDWEDSEDIDDKMHAPHKTASGRIRSGHKNTSFSQSKDDKLDYDSDEQRSILSNFKSSHVFGADHKRKGRGPTKKLSFGSESDEDGGAGLYSDEDDDLNDAYSSRQNKGIKRDPSRQNRGNRHDSGRRSFTEDRNSGSGYKANMFSDSDDFDDSSRQSYRNDRGSQGSSRDRKRFDDLDGSSRKSYGNDRGSRGSNRDRKRFDDFDGSSRKSYGNDRGSQGNRKRFEDFDGSSRKSYGNDRGSQGSNRDRKRFDDFDGSSRKSYSNDRGSQVSNRDRKKFDDFDGSPRKSYGNDRGSRGNGRSRQKFDDRERGRGQFNNYSMDEKGDGEFRNRRRVIER >CAK8566106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:380277085:380280578:-1 gene:gene-LATHSAT_LOCUS19269 transcript:rna-LATHSAT_LOCUS19269 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNLFSSGIELASFVTSSRILCKSWNTISSEIDNEDIVSYDGVGLSWKVDSGSDFTIIAFNATVDSSSNVKADLVSSSELKENNFLDFEFLCSRSIPIFSLNETAVLLFRQNHQELDKLKSQINSSNPRTSLIVTGHGLGGSIASLFTISLLHSIGSGKNRPLCITFGSPLVGDRRLHEAISRSSIWNSCFIHVVSHKDPLPRLFITNQTSSYMPFGTFIMCSDATSFENPDSILEIFAALASVHDKSQGLESVDYGNIVKNLYLKATSIGFSTQVENTTEPDSLATGISLQLRALGLTPHMQVWQQENIDINALGRNLKELEEKFIQQKKISFDPSKKLNDIKIYMAYLEWYKKETKNQQIGYYDSYKNINTPFDQDVVEFHRKLTIYWEKMVEEVEMKPQKEGAAFRTRWLYGGTTYRRMVEPLAIAEYYRDGGKDYVKEKRSKHFKQLDEWLMEESKSATSDINSTSRKNVEAILTIDSCFWAHVEEALLLCRELKVVKEKEEILKKLFEFEVYVYQLLKDYAVSPDIFLPQSSYIQWWNEYKAVKGFSYTSTLTNFMNDGTKIELYATGAYDFP >CAK8544628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696452837:696456529:-1 gene:gene-LATHSAT_LOCUS13286 transcript:rna-LATHSAT_LOCUS13286 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEESELSGKQKVEIAKWFLLNSPPGEIQYVAKDVKSILNDEGLFNEAASEAFPLYNKSHFIVISMPDRSGDVLVTSFGELEENAFLDPRTAQVAIVDHVKQVCEEVRPALDEELASAYIEEFRFSLDAEILKYVEEVYPKGVCSVYCVNGKDSEGPGSDFELAVVISASRHSPQNFCNGSWCSVWNIDFKDEQQTVEVKGKLQVGAHYFEEGNVQLDTKHECKDATLFQAPEDCALAISSIIRHHETEYLASLEASYLNLPDSTFKDLRRKLPVTRTLFPWHNTLQFSLTRDISKELGIGK >CAK8567770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:543389543:543393884:1 gene:gene-LATHSAT_LOCUS20793 transcript:rna-LATHSAT_LOCUS20793 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDINLGPDQRIENGLTSPLVFQDDTLRFNCDGAPQRQVGDPVVKPREVGGFIDDKMFTVNRDRFFTSQGAEFRRNVFADRSDHRDAPDVLNWSAGTPSSDDSDGEDDEDDDGDEGDDGGEDVTVVDRLVSIGDGSNRSSNAIIDVNNNNGGGVVIGKSQHHSPYVSGREMFGKDGEIVPLVHNHGSGTVGEDHQRERLGKTQNSATVAEADCEEYYSHYLQGTEGSPSVQKVMVDDNGCGFSGRKDAAYSSESGESLRAILSDPVTGTLMDDAMILPCGHSFGGGGVQHVIRMKACCTCSQPTLEESISPNLSLQAAVQAYRREEESQFYRSSKRRRERFDQGVLGECAVFEPSRSRGVQFPFAVTDRVIIKGNKRTPQRFVGREAIVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVSDDPSKLVSSNTGPNWLQISRTPS >CAK8537743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426548361:426549827:-1 gene:gene-LATHSAT_LOCUS7004 transcript:rna-LATHSAT_LOCUS7004 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVPWKLLCFFFVLFTLLSCTFSNIFTITNNCPYTIWPGTLAGAGTPPLPTTGFQLDSGQAVKLTSVPGWSGRIWARTGCTFDATGIGKCQTGDCGGRLECDGNGAAPPTSLFEITLGQGNQQDYYDVSMVDGYNLPLLVLPRGVYGKSACNSTGCVTDLNRGCPKELQVVDGDGYQGSVIGCKSACEAFGSDQYCCSGQFANPTICQPSYYSTIFKKACPRAYSYAFDDGSSTFTCKAYEYDIVFCPTSTKINKPNGAFPSPPPPSIDFPYEKVQQDSSSSSIIILPLQETMFLLVATISMFIVKTWPLI >CAK8564686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7064193:7067109:1 gene:gene-LATHSAT_LOCUS17964 transcript:rna-LATHSAT_LOCUS17964 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRFICMSKKDSKEVGSRSKRIGRSQRKLVTEEDLHLQALSMALQQHQLSQRFEGSMSRRIGSTSSRRRNLPDSVQLNNTNKQDPELLVNIKTKKFVLIHGEGFGAWCWYKTVALLEEVGLQPVALDLTGSGIDLTDSNNVTTLAEYSKPLTVYLENLPEDEKVVLVGHSIGGACISYALEHYPHKISKAIFLCATMVTDGQRPFDVFADQLGSAEQFMQESKFLIHGNGKEKSPTGFMFEKDQMKGLYFNQSPAKDVALAMVSMRQSPIGPIMEKLCLSPDKYGTARRFYIQTLDDRALSPDVQEKLVGENPPEGVFKIKGSDHCPFFSKPQSLHKILVEIAQIQ >CAK8544412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682977331:682980174:1 gene:gene-LATHSAT_LOCUS13095 transcript:rna-LATHSAT_LOCUS13095 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGSTRFDGISNAVRRKRSQTSRRPRPDSQPVLDPEDRDLTPSSSTPPSEDPDKPSSDENDGFVNTGSKRKEFNLNHCVTQPSPAIGRSGLPNRRSSEGVLAPANWKGSSSKFKDGFDSESKNADVYGGRNPEGNSLGQFGVSQDGLGNENRVKKVKLKVGGVTRTIQANSASNSASGSGSTSKSSRLSDVSRPRQKQQSNSDDNISPSDKRRGLQGLPWKDFKRGGFGLGKEESSMGKISGKNTSSKQADKSEPVRKSKRVPKRRVLDGEFGDDDDADDEIRYLEKLKISKVSAVYRDEEELSKKHRKLSSVSNMENTASTRSGKDGKKRSRSDKMLEDTDYEGEEESGSDGELEDKKKKKQRKESVDVLMETKREMTLTTRQRALQSSKDTSTSNASLIEFPNGLPPAPPRKQKEKPTEEELLSKKTEAANRRKMQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEELAQEKAANAEKLASNTIRYVMSPTGTTLTFPDEMGIPPILNSKPISYPPPREKCAGPSCTNPYIYRDSKTKLPLCSLQCYKAVQEKTAAETIS >CAK8539507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514437207:514443617:-1 gene:gene-LATHSAT_LOCUS8593 transcript:rna-LATHSAT_LOCUS8593 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLNGGTAIISSSSGGAAAIARSFSPSIQPNYSSRLVQETLEHLASIDLIELCKEAKVERCRATRDLRSCGRYVHHVLNSCGHASLCEECSQRCDICPICRVPIPKSGTKLRHRLYYECMEAGLISKRCDERFQEIEDGEKQLTADVQRLYSLFDVALENNLVSLICHYITDVCMDETAVSSDPVIAFLLDEVVVKDWCKRTFKNIMTELQGIYNLDVSGMKERLSLLLKFSLYLKGISNVLDILESSFKGTLSAQLHDLHHLQESILKTKQHMEIVIWCTRHEFLDNVRSRFSNTSSWASVVRKRKSEAIRRAWPDAINESTESKGHGGSLFIEDALNNLDLEEEMMPEIGDGLEVAALQEDGASIFRSNTDQVLSCYPFKNLRAAADLLFLHGSSDVVIAKQAIFLYYLYDRHWTIPDEEWRDILEDFAATFSISRHSLLESLTFYLLDDHTDEALQEACRILPEISGPTSHPKIAEVLLERGSPDTALMVLRWSGRDGGLQMNSLRDAVTAVRVRIECGLLTEAFMHQRVLCTKAKEKTFNRGLSGDTKEKHKGQNSNVVEWVEVLVTEICCLCIRRNLADRMLELPWNSDEEKYIHKCLLEYAIEDPLRTTGSLLAVFYIQRYRYSEAYQVHTKLEKVEQDFISKGSISQEFLPRLETAIQWRSNLVNRSLELLPEVEREQLRSGNLIEDAATSHGVAEIPDKFDVHQVHDSTSTSLLIPSSANPSLMLHKDHTSTLLGSSTLASSAKIGTPFPTTGPELSNFINPSHPHEGLLTNNERVSNRQGKIGKFLRYDNTPTPRNHRIHLMNGSPPKAFSRSASRSQENVRDKILPGVERNLLFGHDQTTSPMFSWKATANSVSRSKLNSPKEFANDLPNTYNSTNVQSHKDDNSWNVMSTNDPMDVSLSQSKKILNSEVNINGGPRWRSDETSDEEAEQGMDIAHYATPSRKIRRSRVARR >CAK8562244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:460778701:460780367:-1 gene:gene-LATHSAT_LOCUS15757 transcript:rna-LATHSAT_LOCUS15757 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEMAAESSEGEEEGKLSGGNQILTVDDDLRELGKKAAWSVSSCKPGNGVSSLRDDNLETYWQSDGAQPHFVNIQFQKKVRLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKAVELVKPTGWLYLSLSGLDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPQQPFQFTSREFITYSTIR >CAK8535054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:808746124:808748793:1 gene:gene-LATHSAT_LOCUS4537 transcript:rna-LATHSAT_LOCUS4537 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPINHRFRTLITHYSSGSRHVSTCRAVLLTSFGGTHNLHIQPDVEVPPLKPHEVLVRSRAVSVNPLDTRMRAGYGRSIFEPLLPLILGRDVSGEVAAVGDSVKSVSVGQQVFGALHPTAIRGTYADYAILSQDEVTVKPDSLSHVEASAIPFAALTAWRALSGTARITEGQRILVVGGGGAVGFTAIQIAVAAGCSVTTTCGPQSVERMLAAGAEQAVDYLSEGYELAIKGKFDAVLDTIGEPETEHMSIDFLKKGGYYMTLQGEAASLSDTFGLALGLPAATAFLWKKQLEYRLVNEIEYSWVFMRADLDGLIEIRKLCEAGKMKIPVDKTFPITQVKEAHDAKDRRIIQGKVVLEFD >CAK8563772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:630297395:630297691:-1 gene:gene-LATHSAT_LOCUS17148 transcript:rna-LATHSAT_LOCUS17148 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIASGICSLAVGGDARRRPTQAQQCCSNGNTPAPSAPAPTPSPSPVQQTYEEFAYSNTGKQNIKGLTNQTGYVKGNANGVINFGSLLASANAQRQA >CAK8542988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564347989:564349883:1 gene:gene-LATHSAT_LOCUS11780 transcript:rna-LATHSAT_LOCUS11780-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSRFIFVSDFVLSFMWVSRGGVYNPLTVLAGAISGDVRNFLFCVGSRIPAQVFGSIVAVKFLIYTIPEVGQGPRLNVDIHQGALTEGLLTFLIVSISLGLAATKIHGNFFMKTWISSLSKLALHILGSDLTGGCMNPASVMGWAYARGDHITKEHFLVYWLAPIEGTIFAVWTFKCLFRFGEEDKAGSKRKSD >CAK8542989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564347989:564349883:1 gene:gene-LATHSAT_LOCUS11780 transcript:rna-LATHSAT_LOCUS11780 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSRFIFVSDFVLSFMWVCSGVLVRLIVFKILGFSHTHLAEIVKLLFSIANMFLFAFLNKVSRGGVYNPLTVLAGAISGDVRNFLFCVGSRIPAQVFGSIVAVKFLIYTIPEVGQGPRLNVDIHQGALTEGLLTFLIVSISLGLAATKIHGNFFMKTWISSLSKLALHILGSDLTGGCMNPASVMGWAYARGDHITKEHFLVYWLAPIEGTIFAVWTFKCLFRFGEEDKAGSKRKSD >CAK8564247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662423888:662425584:1 gene:gene-LATHSAT_LOCUS17570 transcript:rna-LATHSAT_LOCUS17570 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDGYLPVMVMIGLQFHYALLAMFTRAALLDGLTPTVFVVYRQGIATLSLAPIIFSSNKRRQSLKTSIGWKSLSLMFLTSLIGVTANQNAYFSGLFYASSTAATAMSNLIPALTFVFAAILGFEKINLRSLRNVAKILGTVCCVSGALTMAFLKGHKLLHMEFFLPHSIRLTASEDDNWILGCLLLLASSFFWSCWMIMQVPISSSCPDHVLSTFWMCLFATIQSAIFALLKEPDLHAWILPSPLQISCSLYAGIGIAFSFFVQSWCISERGPLYCAMFNPLATVITALVAATFLEEKLYVGSLVGAVGVIVGLYIVLWGKAKDFDGKKQELPQSKMEDDERSNRIDLEEPLLAEKSEYVAEIKMEG >CAK8533201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590157282:590161812:1 gene:gene-LATHSAT_LOCUS2846 transcript:rna-LATHSAT_LOCUS2846 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKTHQHHSPFFSISKPNQLHTFSLQPHFLSLPPSPLQKLSLKLKPQPPPLSFPIQRFSNIKLPLFSNDEQLQDLSPKGEVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGIDAGRKTLVATTILLFVLSGPVKALTYLLKHGIVGYTMGTLWRLEASWSQSIFVCTIVRSLGAVGFVLISSFLIRENILALITINIHASLTFVLVAAGVNSIPSMNFIYTLFGTLVLLNSGCFMFLLHMLYSVFLTRMGMKSSLSLPKWLEKAI >CAK8532063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197455993:197456478:-1 gene:gene-LATHSAT_LOCUS1800 transcript:rna-LATHSAT_LOCUS1800 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFSQAISRTKSHLNFKLNHGECLCSLEVPLMTSWTDSNPERRFYGCGMYELVGQKRCGHFVWYDEEITPRAKEMITSLKEKLCSEQNKVNECRVKEDELKMKIKFLNMQMKFSCVMSFVMLLGLIVSSVMK >CAK8578609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641413215:641414401:1 gene:gene-LATHSAT_LOCUS30605 transcript:rna-LATHSAT_LOCUS30605 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNSPTLETSDNIVLDVVNKESPQTSENSSVPFLQKLIAEVVGTYFLIFAGCASIIVNKNHDNAVTLPGIAIVWGLALVVLIYSLGHISGAHFNPAVTIAFASTRSFPLLQAPAYISAQVLGATLASGTLKLIFSGTHDQFPGTIPSGSYLQTFVFEFIITFYLMFIVCGVATDSRAIGELAGIAIGSTLLLNVIISGPITGASMNPVRSLGPAFMHNEYRGIWIYLVSPVLGAVAGAWVYNLVRYTNKPLREIVKSASFLKEAKRGETK >CAK8538202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:470669674:470669971:1 gene:gene-LATHSAT_LOCUS7429 transcript:rna-LATHSAT_LOCUS7429 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKH >CAK8563675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624295284:624297823:-1 gene:gene-LATHSAT_LOCUS17060 transcript:rna-LATHSAT_LOCUS17060 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQLKRAIIDSSAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLRKDLVITAPSKYTGMLQASKDILREEGFKGFWRGNVPALLMVMPYTAIQFTVLHKLKTLASGSSKTENHTNLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSAFMDIIKTRGFQGMYAGLSPTLVEIVPYAGLQFGTYDTFKRWAAVWNHNRYSHTTGDDSVSSLQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYSNMYDAVHRIFRSEGWAGLYKGIIPSTIKAAPAGAVTFVAYELVSDWLESLT >CAK8576836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517635113:517637290:-1 gene:gene-LATHSAT_LOCUS28999 transcript:rna-LATHSAT_LOCUS28999 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDLPVFGIRFTWFNYNGKSRSKLDRILVDDRVISMFSLKNQVVGDRDISDHRPVWLKSNLVNWGPKPFRTFNCWFYHKDFIPFITKSWSSYQVTGSYCSILTKKFQALKSDLRIWNLKVFGWLEVNVEDNIAKFNKLELESVEDSNPQAANLDREKLLYQEEMQKNLRIKESMLAQKSRVSWLQNGNLNTKFFHDSFKSRNRPNRLSAVRVGGRIMEDPEDIKFEAVKFFKGKYTQLNSAKFRSDFALAACLDVEDKFLLEADFSPTDVRKAVFNYDGNKCPDVDGFNFKFIKSCWDTVGQDLSNCILDFFKTGVLPKMFASSFISLVPKIFNPQQFEDFRPITLVSYITKVISKMLACILSKVIHKLISPSQTAFIPGRQIYDGILLANEITDYAKRFNKELLFFKADFAKSYDCVDWSYLDEMLVKMVFSPNWLKWIRGFVFNSYISILINGSPSKDFKVGRGLKQGDPLAPFLFAIVAEGLSCLVRAATAGNLLTEFKINDQASVSMLQFAYKTLLIGDGSVMNIWAFKAVMRVFELIFGLKINFSKNCLYGIGVDPAFLVAAEEFQHCKSGRLPFNFLGLLVGENHRRHSFWNPVLSRMRSKLSNWAGRNLSMGGRVSLINSVLANLPIHYLAFFKAPKKIVNDIIAIQRCFLWAGNFSKKFISWISWNSIYKPKEHRGLGIKHVGRFNCALIANGCGDLKAAEMKFGERRSISDTVT >CAK8530709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43033083:43036990:1 gene:gene-LATHSAT_LOCUS553 transcript:rna-LATHSAT_LOCUS553 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLIYPRYRCSYSSPIAYPSSSQSSSSSTSPYCSSPSTPISVFTHLSGANRGQRHENLRAQAMSTTTHGIPPARTSGNVKNDPDHLLVLVHGIGSSPGDWTYAEEELKMHLGKSFLIYASSSNAYSKTYTGIDEAGKRLADEIMQVVKKTKSLKRISFLGHSLGGLFARYAIAVLYSPDTYNSGQPRDPINCMIENSQRTDFSRGMIAGLEPINFITLATPHLGVRGKKQLPFLFGVPILEKLVAPIAPFFIGQTGSQLFLTDDKPNKPPLLLRMASDCEDGKFISALGAFRCRVVYANVSYDHMVGWRTSSIRREIELGKPPRQSLDGYKHVVDVKYCPAVPSDEPQFPPEAAKAKENAQHTQNSVEFHEIMEEEMIRGLQRVGWKKVDVNFHSAVWPLFAHSNIHVKKKWLHNAGVGVVAHVVDSLKQQETSSSTLPTS >CAK8579255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688078404:688079078:1 gene:gene-LATHSAT_LOCUS31215 transcript:rna-LATHSAT_LOCUS31215 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTHREQFKPLAPNFISLTTHYRSPSAENQHQHLSEHKTKRIKTCIKCCGCITAILLLLFVILIVLAFTVYNVKDPEVRMIGVKLIRGNFTVSNTVTILADMSVKNTNSFTLRYGVVNTTVYYDGTEIGGGVSPPGKAKAQRTGRFNVTLEIMAKKLVDKPEWNVDIRDQGLNFSTYTKMSGKVRILNLFKRNVRVELNCTSQYNITTGLISHGDNCVGFVSI >CAK8541625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:310376441:310377106:-1 gene:gene-LATHSAT_LOCUS10530 transcript:rna-LATHSAT_LOCUS10530 gene_biotype:protein_coding transcript_biotype:protein_coding MALDHHSPSLQILVRRPEECSLWTGPPFPNGQPNIKLDKVNCLNAKFSDDGSLFLVVKSNSVVSIYDCKSAQEIRSFQVPNLVAASLSPRGTFLQTFQKPAPQEKNVTLWKTETGDSVYQLSQKSMTRVNWPAIQFSSDEATAFRWATNELQFFNTEDFSKAFVYRLRVQGVASAELSSSPASHVAAFVPESKGVPASVQIYACGIASRAPCYGASIIQQR >CAK8542087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471625149:471625483:1 gene:gene-LATHSAT_LOCUS10958 transcript:rna-LATHSAT_LOCUS10958 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGDGNNEIFPIAYAIVEAETKASWEWFIYLLLEDLYEIKHRAYTFISDEQKGLVPAVQSVSEHVEQILCVKHLYSNWKKKHPGLE >CAK8564837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11836528:11836873:1 gene:gene-LATHSAT_LOCUS18096 transcript:rna-LATHSAT_LOCUS18096 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIANHYNVVLVYLGIECWTFFPMTTSFSPNIAIYCIGFINKNHWVQVNMKEGFPLPPVTVDWKKLRSPAATSWMIAFAGRLQY >CAK8542791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:546818698:546819234:-1 gene:gene-LATHSAT_LOCUS11598 transcript:rna-LATHSAT_LOCUS11598 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGFVFIDENISFSFNETDTVMFKLHRSSDIRHLKDRIEKKVNRLVQDITYRQPLPNGVDGGVFYVMMQIDTDNTVKSMFQCHYTMPQLKTIEIYVRLEDEAYPTQSSYSHQYEVSQINDEEITQNNEPFIRNEEVGEYSDDELDDIHFEDLFGDDDDEGHDELMQS >CAK8530868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:57270764:57271216:-1 gene:gene-LATHSAT_LOCUS701 transcript:rna-LATHSAT_LOCUS701 gene_biotype:protein_coding transcript_biotype:protein_coding MASLITLRTADDVVFEVERALVKNMKTLQDIVDADDAKLNVIPLPNVSGNHMTMIIEYYRLSDAGKVKEFSVEKLDNEELKDFLLAVHYLNIDSLFEFLTQAVANRIENRSVRYVREYFGIENDFTPEEEAAIREKNAWSFKGEGIEPEE >CAK8538249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473595872:473598158:1 gene:gene-LATHSAT_LOCUS7469 transcript:rna-LATHSAT_LOCUS7469 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGWIGGVVGLILATAISLYANALIAMLHEFGGTRHIRYRDLAGFVYGRKIYSLTWTLQYVNLFMINTGYIILAGSALKAVYVLFRDDDQMKLPHFIAIAGLVCAMFAICIPHLSALGIWLGFSTVLSLAYIVIALALSLKDGIKSPARDYSIPGTSTSKIFTTIGASANLVFAYNTGMLPEIQATIRQPVVKNMMKALYFQFTVGVLPLYLVTFAGYWAYGSSTETYLLNSVNGPIWVKALANIAAFFQSVIALHIFASPMYEYLDTKHGIKGSALAFKNLSFRVLVRGGYMTLNTFVSALLPFLGDFMSLTGAISTFPLTFILAHHMYLVANKNKLKSTQKLWHWLNIWFFAVMSLAATIAALRLIALDSKTYHVFADL >CAK8574399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:680059338:680061717:-1 gene:gene-LATHSAT_LOCUS26751 transcript:rna-LATHSAT_LOCUS26751 gene_biotype:protein_coding transcript_biotype:protein_coding MMSALKLTATASIYVRSPKTTATTATTAVKPLFIPFSNSITSRNFHQHKRFRYTPSATKFPISNKTPSFSANLGLSRKALVSTVPPQPEPEQEQPQSSKLLTLPTILTLGRVAAVPLLVATFYMDGWRGTVATTTIFIAASVTDWLDGYIARKMNLKSSFGAFLDPVADKLMVAATLVLLCTRPLDVGLFAQAPWLLPIPAIAIIGREITMSAVREWAASQDSKLLEAVAVNNLGKWKTATQMTALAILLATRDSSHGGTAFVVGSGVALLYTSAGLALWSLVVYMRKIWKVLLR >CAK8560938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:60663476:60692295:1 gene:gene-LATHSAT_LOCUS14574 transcript:rna-LATHSAT_LOCUS14574 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQLKRIENAASRQVTFSKRRNGLMKKAFELSILCDAEVALIVFSPRGRLYEFASSSILETIERYRSRTRIHNTTTTSEFAEKTQRLKEEAEKMMKKIDLLETSKRKFFGEGLGSCSIDELQRIEQQLERSISKIRVKKTEVFKEQIDQLKEKEKTLVAENTRLSEKYDSYSSQQAKKDDRENVVEVEAYADQSSPISDVETELFIGLPETRKRRFLRS >CAK8569341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695407646:695409354:-1 gene:gene-LATHSAT_LOCUS22202 transcript:rna-LATHSAT_LOCUS22202 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIHHVNPLKLILLLSTLYTLSDCYDPLDPNGNFSITFDINNRLDNGYLATVTLQNYHQYRHVDKPGWKLGWTWANNEVIWSMSGAIATDRGDCSSYSGSQMPHSCKKDPIIVDISPDVTQNRSVRCCRGGRLSARAIDSLSSLTSFELEVRNLDQKENSIGQAPKNVTFMAPGPGYTCSPFMVTDPSVSLDLGGVRQVPVIRTWKSICTYSSFLANKSPVCCVSFSTFYNPIITPCRNCTCGGCREADKNTLSCIRPSDSLGGSNDEKNIIRCTDHMCPIRVHWHFKNNYMNQWRVKLTISNYNFNRNYSNWNVLVQHPGFSQKATTYSFNSTKLPTLVADGVALFWGIDYFNNELMHSDKDGVGSVTTEILLEKDQNSFTLQNGWTFPRRVYFNGENCDMPLPDTFPMLPNGSYFFRPNSLAFIFSLISLLLVKL >CAK8566938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473939669:473940090:1 gene:gene-LATHSAT_LOCUS20033 transcript:rna-LATHSAT_LOCUS20033 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNAGRMLQQYVVDNYVKIESGRLRWIREYQRDIHAEVYQGLQDALHVGETNAKNIGKRTILPSSFIGGRRDMTQRYEDGMAIVLHGGKPDVFLTMTCNPS >CAK8566942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474400050:474401754:-1 gene:gene-LATHSAT_LOCUS20037 transcript:rna-LATHSAT_LOCUS20037 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFVNANNSPAEGKDAKKPHKFNNGNGHHDPEAALADLRHEFGEHGGVNMSIEPSATYTVMEPDHLRQMFIGELGPHTDSYLYSRQFNPTVLNLGRKLAALEGTEAAYCTASGMSAIACVLLQLLNCGGHLVASSILFVGTHSLIHHYLARTCNITVTFVDISDLEAVENAIVEGKTKVLFFESIANPSLKVSNIPELARIGHKKGVTVVIDNTFAPMMISPARLGADVVIHSLTKYINGGADIIAGAVCGSKSFVNSLMDFQQGGIMLLGPTMDAKVAFEISERIPHLGIRMKEHGRRALEYATRLKKLGIKVHYPGLEDHPQHELLKSICNKEYGFGGVLCIDVGSAAKADQVMNYLQNYSQFGFMALSLGYYETLISCYGNSPKSEITEEQHKRDGITPGLVRISVGYVGTLEQKWSQLEKAIVKFNVENEKNEK >CAK8531643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:133923366:133924080:1 gene:gene-LATHSAT_LOCUS1419 transcript:rna-LATHSAT_LOCUS1419 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATFAAEFTSTVEAGRLFKALILDAPNLIPKLLPAIKNIQLVEGTGGPGSIQEITIVEGTNIKHVKHRIDGVDEENLIYNYSVIDGDGKPEILELVSHEVKIEPSKEGGCKIKNVSKYHPKKGVEIKEEDLKAVREEELVVLKVVDAYLVANPEAYA >CAK8543803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640638650:640646159:-1 gene:gene-LATHSAT_LOCUS12537 transcript:rna-LATHSAT_LOCUS12537 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTAEETEQRIRHVSGSFPMDSFGLMDYYFPQQLFTVEKTWFNLTSALFSFFSSPQGQTIASQVKNEYGNYVLPIDFQKLKNICRVEEFYEMLSDKPKIALLCMSAAVHKALLSKWESDKMELSAKVDIRLHNCPETMIALKNLKAAYIDKLVSVRGTAVKASTVRPLVVEMSFECSKCKQSITRIFPDGKFSPPSTCNLNGCKSKIFNPLRSTAQTIDFQKIRVQELLKHEDHEEGRVPRTVECELTQDLVDSCIPGDVVTVTGIIRGINNYMDIGGGKSKNKNQGFYYLYLEAVSIMNSKSQSIPDDSQDSNAKARPTELFDLFSFSSKDLEFVVKFAEEHGSDLFRQILHSICPSIYGHELVKAGITLSLFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTNDYAFEAGAMVLADSGLCCIDEFDKMTSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLIFILLDKPDELLDKRVSEHIMSLHTGFGQHSQASKKRRGDPPSDSRASASQNAEGVDLAHLGARSGSLISKLKLDRRRDNDFVPLPGQLLRKYIAYARSFVFPRMSKPAADIIQKFYLKLRDHNISADGTPITARQLESLVRLAEARARLDLRVEITTQDAMDAVEIMKESLYDKYVDEHGVVDFGRSGGMSQQKEAKRFLNALNKQSELEQKDIFSVSEMYSLADRISLKVPDTDTFIDNLNSVGYLLKKGLKTYQVVSSSYSRSQSTRSRC >CAK8563742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628630786:628631334:1 gene:gene-LATHSAT_LOCUS17121 transcript:rna-LATHSAT_LOCUS17121 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTYENGTTSTVPAAKLYKALVHDADVIVPKVVDSIKTVEIVEGNGGPGTIKKFTFVDGGQTMYVLHEIEAIDDVKLEYSYSIVGGVGISDIVEKISFEAKLVEGPNGGSVGKMTVKYHTKGDAKPVEKEVEEGKAKADALFRAIEGYVLGKSNFGQSNLQLILYIIMVLCYLGLLVFSN >CAK8538278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474667446:474668612:-1 gene:gene-LATHSAT_LOCUS7493 transcript:rna-LATHSAT_LOCUS7493 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEQDVSLGANKFPERQPLGIAAQSQDEPKDYQEPPPAPLFEPSELTSWSFYRAGIAEFIATFLFLYITVLTVMGVVRESSKCKTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQVLGAICGAGVVKGFEGKQRFGDLNGGANFVSPGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVFNKKIGWNDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >CAK8543703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:632570104:632571573:-1 gene:gene-LATHSAT_LOCUS12444 transcript:rna-LATHSAT_LOCUS12444 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCSASDLHALLGTNSNSTAAAEYICNNFNAVANKFIDTSYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGIFFYVFGFALAYGTPSNGFIGKHFFGLSDFPSQSFDYGFFLYQWAFAIAAAGITSGSIAERTQFVSYLIYSSFLTGLVYPIVAHWFWSSDGWGSPVRSENLLFGSGVIDFAGCGVVHLVGAVAGFWGAFIEGPRIGRFDHEGRVVSMRGHSGTLVVMGTFLLWFGWYGFNPGSFLNILKIYGESGNSYGQWSAIGRTAVTTTLAGCTAALTTLFGKRMQTGHWNVTDVCNGLLGGFAAITASCSVVDPWAAVICGFVAAWVLIGCNMLADKFHYDDPLEAAQLHGGCGTWGIIFTALFAKKQYVMEVYGGSPDRPYGLFLGGGGRLLGAHCVQIVAIVIWVSVTMGTLFFILHKMKLLRTSAEEEMAGLDLTSHGGVAYEYHDEVELHSKKRAFEM >CAK8537825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:439437035:439437859:1 gene:gene-LATHSAT_LOCUS7079 transcript:rna-LATHSAT_LOCUS7079 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKEIIIREVWAYNLEYEFNLIRQAIHQNHFIISMDTAFPGVIHSLKTDHFRLQPSDYYRYLKANVDDLKLIQVGLTLSDSRRNLPDFGSNNTYIWEFNFSDFDVNHDLCNQDSVDMLRRQGINFERNICHGVDSKRFADLMFSSILVFKESIVWVTFNSAYDFGYLVKILTRMNLPNRLEEFLNIIEVLFGRSVYDMKHMTKFCNSLYGGLEQVATILNVSRAIGKSHEAASDSLLTWHAFLNMMKTYFKDDEARKHAGVLFGLEISAYNE >CAK8576239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:433384099:433388522:1 gene:gene-LATHSAT_LOCUS28445 transcript:rna-LATHSAT_LOCUS28445 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHKRLVVLLSLTTLLFLSSLSLTFCDKTSKNDIEDDEDLSFLEEPEDASTTHHDDANYPDPDNLIEEDEEDDEGDDFGDFSGYDPNSEEEFKDPEVDEKDVVVLIERNFTTVIENNQFMLVEFYAPWCGHCQALAPEYAAAATELKTDGVVLAKVDASQENELAHEYDVQGFPTIYFFVDGVHKPYSGQRTKDAIVTWIKKKIGPGVYNITTLDDAERVLTSETTVVLGFLNSLVGAESDELAAASKLEDGVNFYQTVIPNVAKLFHIDPNVTRPALVLLKKEEEKLNHFDGQFVKAAIANFVSSNKLPLVTTFTQESAPVIFESPIKKQLLLFVTKKDTAKFIQVFQEASKIFKGKLIFVHVEMDNEEVGKPVADYFGISENTPKVLAFTGNDDGKKFLLDGEVTVDNIKAFGEDFLVDKLKPFLKSDPIPESNNGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRSIDSIVIAKMDGATNEHPRAKSDGFPTLLFYPAGKKSSDPITVDVDRTVVAFYKFLKKHASIPFQLQKPTSTLKTENSGNSDIKESQSSSTEVKDEL >CAK8534051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:689414113:689414406:1 gene:gene-LATHSAT_LOCUS3625 transcript:rna-LATHSAT_LOCUS3625 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVEEVKEAVWSFFKNKFSEPESCRSVLDGFTFNSSSKAEVEFLEAPFTDVEIKYAVWSCEGSKSPDSDGYNFVFIKKCWSILKVDITHFFIRFP >CAK8544679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699065702:699068088:-1 gene:gene-LATHSAT_LOCUS13336 transcript:rna-LATHSAT_LOCUS13336 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDEKEGPSPKIGPDHQAEICSDLDQLSLQINPAYLEEHVYDESLSPSICLPISVTWSEADAESFVLGLFIFGKNFTLIRILLENKGMGEILSFYYGNFYKSDGYHRWSECRKLKGRKHIIAKRLSTKMRQHKLLSRLNPRVSKESQDTLSQVSMSYMKGRTSLEKYISSMKSIVGLGVLAEAVGIGKENGVITRFDLEPKKNSCEEFSAPGCKALSSLGPGDIIRSLTGGCRLNRTRSNELFWEAVWPRLLARGWHSEQPKYQDYLFFLIPGVEKFSWRKHLKGQHYFDSVKDVLSKVAAEPSIIVLEEEEVEEGGSNEDDFSDDHHQCYLKPRSSTYNKDHTSLAHSEKPSDLRELKYVPSNKVHTVEVDVDSKRYKGHTYNRRLNHSTDMFKSITQRSTKLSVIDTNGLPKRKLLKVKQKRYPPVELKDASTMTTGLLSKSNGGSSIDDSTRMVVSKIRIYGRKKTDSCIGVSNSRVFNKKEPHDNDSNKMVESHKYQRVCMFDDSQVKRIIKHHQFNWRVRSGDSNHDTVPTKRRRLTACVKAENNRIVQNFSGGLGSAKLRFSCSSSFLDANRNVCDPIFHQQTGSSTASTEDRSVEENNKKSSGNESFQCMSVEIEEPLRRPCDEQQADINPRRESSKNSKTTAKALECITYRFWQSKNDIQTHTPIFNPFRKARTRGKIKPRRHFLDHWNAVSVQEEKHLKVDGSAS >CAK8530389.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:18640824:18643389:1 gene:gene-LATHSAT_LOCUS257 transcript:rna-LATHSAT_LOCUS257 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPVNPSRRLGDGGSIPFVASIQSKSKNSPLISIGLVIVGAILLIGYFYSSTGGASGDIKDVSKLEGGSSCTLEVLQALPVLKKAYGDSMHKVLHVGPDTCTVVSSLLAEEDTEAWGIEPYELDDAGTKCKSLVRKGIVRVADIKFSLPYRAKSFSLVIVSDALDYLSPKYLNKTLPELVRVSSDSVVIFSGYPGQQRVRGEQLAKFGRPAKLRSSSWWIRFFVQTSLEENETAGKKFQQASAKKAYAPACQVFHLKSFS >CAK8540677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15806474:15810852:-1 gene:gene-LATHSAT_LOCUS9659 transcript:rna-LATHSAT_LOCUS9659 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANPSDTDTASPPTRPTITLPPRPSVEAFFAGAASPGPMTLVSSFFATESATFSQLLAGAMASPLAYSSAATENYFVKEDDVVNRNSGFKQSRPMNLIVARSPVFTIPPGLSPSGFLNSPGFFSPQSPFGMSHQQALAQVTAQAVLAQSHNMQMQPEYQLVSLEPPTEQMVEQPSFTRNEASEQQVAAPVSESRNAQMETSEVTHSDKKYQPPSLPIDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCPVKKKVERAPDGHITEIIYKGQHNHEKPQVNRRVKENSDVNGNANVQTNSNSQGWFGNSNKTSESLRDCSVPESDLISNQGASRPLPGSCEIVEVDDADNKEEGGDSGPNPKRRSIEAVVPEAPASQKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHSTANSNSMPSKPQARVPEKHPLLKDMEFGNNDQRPVHLRLKEEQIIV >CAK8543770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:638650421:638651416:1 gene:gene-LATHSAT_LOCUS12508 transcript:rna-LATHSAT_LOCUS12508 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTVLLLTLLLILLSSAISELCNPQDKKVLLQIKKDLNNPYLLASWNPDTDCCDWYTIECDLKTHRIISLTIFDSPVYTNFSAQIPPSVGLLPYLETLEFHKLPNLTGPLQPAIAKLTNLKNLRISNTNLSGSIPSFLSQLPNLTFLDLSFNNLTGSIPGSLSQLPKLFSLQLDRNHLTGSIPSSFGSFKSIAGIDLSHNNLTGPIPPSFVNLTSTTSLVLSRNKLEGDASVIFGANKSFQIVDLSRNNLSFDLSKVEFSTSLTSLDLNHNKVFGKLPQGLTALNLQYLNVSYNRLCGQIPVGGKLQSFDIYEYFHNKCLCGSPLPECT >CAK8571709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464180925:464185531:-1 gene:gene-LATHSAT_LOCUS24346 transcript:rna-LATHSAT_LOCUS24346 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSTSRRVFNSIYRCISSSSPSRSFSTDAKPFPPPPVVSSRRVVVTGLGMVTPLGCGVDKTWNQLIDGKCGIRALRLEDLKMNSFESETQLTTFNQLTSKVAAVVPTGTNPGEFNEELWLNSKEHRSMTRFVAYALCAAEEALRDSNWFPTEQEHKERTGVSIGGGIGSVSDMLDSAQLICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGSHSIGDAMRMIQFGDADVMVTGGTESSIDALSIAGFCRSRALTTKYNSMPQEASRPFDSGRDGFVIGEGSGVLVLEELEHAKNRGAKIYAEIRGYGMSGDAHHITQPPSDGRGAILAMTRALKQSGLHPSDVDYINAHATSTHLGDAIEANAIKTVFSDHASSSALAFSSTKGAIGHLLGAAGAVEAIFSVLAIRHGIAPLTLNLRKPDSVFGDGFMPLTASKEMPIRVAMSNSFGFGGTNASLLFAYSGSD >CAK8530578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32235789:32237384:1 gene:gene-LATHSAT_LOCUS433 transcript:rna-LATHSAT_LOCUS433 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNVQEEATKPAKRKPVFTKVDQMKPGTNGHTLIAKVLSSETVLQKGPRPSSSSSSRGIVRPTLISECLIGDETGSIIFTARNEQVELMKAGNTVIIRNAKIDMFKGSMRLAVDKWGRIEVTDPAEFVVKEDNNLSLIEYELVNAVEE >CAK8544010.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655621042:655625086:-1 gene:gene-LATHSAT_LOCUS12721 transcript:rna-LATHSAT_LOCUS12721 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKSGKSLKVDPEENKIIHLSSACLGEVSKDKGNEPVSLYVKFGDKKVQLGTLSSEKFPQTSYDLVFEKAFKLSHNWKYGSVFFTGYKFESESVSDDEDEDSDGKPETEVKSDAKPRVNGVEQKKTSDPIKNEKDREKDVSSDQDEEGSSESDTDEDSGEEKPAINGHIGISEEDDDSDEDDDSDEDDDSDDEETLVKAEGSSKRVRESSKKTPVAVKKAKFVTPEKTSGPSGSVHVDTPYPKQALKSAANNKQQTPKSNGDYSCKPCNRSFKTEDALGSHNKAKHSAK >CAK8544009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655621042:655625086:-1 gene:gene-LATHSAT_LOCUS12721 transcript:rna-LATHSAT_LOCUS12721-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKSGKSLKVDPEENKIIHLSSACLGEVSKDKGNEPVSLYVKFGDKKVQLGTLSSEKFPQTSYDLVFEKAFKLSHNWKYGSVFFTGYKFESESVSDDEDEDSDDFDEEDIPINAVANGKPETEVKSDAKPRVNGVEQKKTSDPIKNEKDREKDVSSDQDEEGSSESDTDEDSGEEKPAINGHIGISEEDDDSDEDDDSDEDDDSDDEETLVKAEGSSKRVRESSKKTPVAVKKAKFVTPEKTSGPSGSVHVDTPYPKQALKSAANNKQQTPKSNGDYSCKPCNRSFKTEDALGSHNKAKHSAK >CAK8531608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:129836422:129837312:-1 gene:gene-LATHSAT_LOCUS1386 transcript:rna-LATHSAT_LOCUS1386 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYKDHTNGRIWIHWDSNKVDVRFIQSSSQFIHCGMYDNLGVFKHWLTVVYAHNQLNKRKILCKEIKYLYGNIQGPWCVIGDYNNVTKAQDMMGGNLVTEKEYEYLVKMMENTGLSEMDSIADYFTWSNKQEVGPIYSRINRVLGNTEWFLTNMETILKILPPNISNHALLYLDCKEEQRKTSRHFKFSNCLTELPGYNALIKKYWDGHIRGSPMYVLWQKLKILKYELNFFSKPLSDVKNKLISTRTNLKEVQEKLSDDRINNTSIGKAKELTEEVVSLNELEGKILQKRAKID >CAK8541148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:74959336:74959818:-1 gene:gene-LATHSAT_LOCUS10089 transcript:rna-LATHSAT_LOCUS10089 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVITLQKELDSTNADLIRYNEILPNHGGSSSSPPPPPTFYYPSSWNNNNDPLGDAYHTGGGDNI >CAK8570729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:99734522:99737352:1 gene:gene-LATHSAT_LOCUS23460 transcript:rna-LATHSAT_LOCUS23460 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAPASLATSLANSVSSYQQAKTSNPLTWTDDKILETVYITHVHTGERFDVESLFILTSNILKRSTAVADSVVSKTGAPVGLIEDKVPLPGYEPPFRKLKHISSQMMTTLPGEHHAHLTTMSILDQLKTHTWDGKAIFALAAFSLEYGNFWHLVQTPSGDTLGRSLAIMNKVQGVDKNRQAIADYNSLVKNLLYAVECITELEKLSTKGYDSKDVPALPEAMQEIPVAVYWAIITAIICANHLDLLVGESDDRYELSSFDVKLASIVSKLKAHLTRSRRHIGELEDYWRRKRVLQTPTEIVEVIKVLIFHNEIQDPLVYDGLKREMVSIEVFRKKNVLVFISGLDSIRDEIRLLNSIYIGLNEDPRELKGYRKEDFKILWIPVVDEWTLLHKAEFDNLKLDMPWYVAEYFYPLAGIRLIREDLNYKNKPILPVLNSQGRIVNYNAMHMIFVWGIDAFPFRPDDDEVLTQKWNWFWAEMKKVYPKLQEIIKGDTFIFIYGGTDPKWTNDFSLAVEKIRRHEIIRKADAVIEQYHFGKEDKRIVPRFWIGIESLFANMIQKKHKDPTIDEIKSLLCLKQDQPGWVLLSKGPNVKLLGRGDQMLATAIDFEIWKEKVLEKAGFDVAFKEYYERKRRDFPVACANMQLANYPADILDPIYCPDSSCGRSMEIASVSYKCCHGHTHQKSDAPAESGVVQIEKRS >CAK8538785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496319829:496321028:1 gene:gene-LATHSAT_LOCUS7946 transcript:rna-LATHSAT_LOCUS7946 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMQIFGQNPTRIIEGYTEEFETTFLEHMKRSHRFSRVAATVVYNEYINDRNHVHMNSTEWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRIKADLVDEEKQEKEIQKQIERAEQLMQLSNPESDRPSQVETTRELTAEDGIKIGFSLGSSAKSIAKDKGEASRMAFDEVDEEKYEEKNPVNNLKRKESGGGFGKSTLDEMIREEERKKEKINRKDYWLHEGIVVKVMSRVLAEKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQEELETVIPQVGGRVKLVNGAYRGSLARLLGVDTDRFCAKVQIEKGAYDGRVLKAVEYEDICKVA >CAK8575946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369775947:369783005:1 gene:gene-LATHSAT_LOCUS28171 transcript:rna-LATHSAT_LOCUS28171 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVRVMEPFTRDHRGSLEVFNPSSSENNNENPNPNPNPNPSNSWNTWTGSRAIETPPTRDSTISDEVPAATSWMALKETTPPPKSGESGSVAEQRAAEWGLVLKTDSETGKPQVGVRGSGSGGGSRRDSNNSVRSSGESSDDGREGGRGIPRVSEDLRDALSAFQQTFVVSDATKPDYPILYASAGFFNMTGYTSKEVIGRNCRFMQGADTDPNDVAKIREALAAGTSYCGRLLNYKKDGTTFWNLLTIAPIKDEHGKILKLIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDARQKEKANSSVTELVEAVSKRPRSLSESANRLPFIKKPTNGSNSHATQIHKTPSNSKSSRRKSESTLRSFRRKSHSGADNFNSMHPITELPETKNISRRRSFMGFMRKSLSNNERFNDEQVIDGDSSEDEDDRPDSFDDKNITQKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVKKIRYAIDNQTEVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIKEDTAKEGETLVKKTAENVDDALRELPDANMKPEDLWTNHSKVVHPKPHRREDAAWRAIQKIMESGEQIGLKHFKPIKPLGSGDTGSVHLVELCGTDHQFAMKAMDKGVMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPAKVLKEDAVRFYATEVVVALEYLHCQGIIYRDLKPENVLLQSTGHVSLIDFDLSCLTSCKPQLLVPCTNDKKKGQYGPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFYGYTPFRGKNRQRTFANILHKDLKFPKSKQVSLSAKQLIYYLLQRDPTSRLGSKGGANDVKNHSFFKGINWALVRCTKPPVLDAPLFDTNKEEKEKEKDDKYVDNGQEDMSVF >CAK8561821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389540998:389541258:1 gene:gene-LATHSAT_LOCUS15375 transcript:rna-LATHSAT_LOCUS15375 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIYALNQLEQRRKLWEDLKQIHDSQQGRWFLMGDFNNVTKCMDRIGGNLVTEREFEDLRSLMDHAGLFEKDTQETILPGQINTL >CAK8576645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500316298:500317106:-1 gene:gene-LATHSAT_LOCUS28819 transcript:rna-LATHSAT_LOCUS28819 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPYSDANYDFSSGGFVELHQPSQYQHQRERYVQASNTIDSPTLVTLLKKQESETNQILRIQNDKLKFMLQYQRELQESAVRRMEIYSQQILKRKDEEIAKGAKKNQELEYIIRSLENEKMKLKRVAEEKGAMTIDLHNKLEEEKKRARMLVANNDVESCCSNEEAEKHVRREGNIMCCPMCNTNSPGVLFLPCRHISSCKACEASLQACLICGIAKKGAIEIQSFVSEEY >CAK8532625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332971817:332974319:1 gene:gene-LATHSAT_LOCUS2312 transcript:rna-LATHSAT_LOCUS2312 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLWSTLKEAIVVYTGLSPATFFTLLAIFFTLYYIVTSLFGSSDTPQRHDTSRDFDAQMEPLKPPVQIGEVTEEELKDYDGNNPDKPLLMAIKGQIYDVSQSRMFYGPGGRYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELEALQDWEYKFMGKYVKVGTIKNSVPVTEPETTGEPSESTSPDADLSIARKNNDAAEASKHHEDAPSETPAVVKSEENTASHVDADHKGE >CAK8536650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4221992:4224987:-1 gene:gene-LATHSAT_LOCUS5991 transcript:rna-LATHSAT_LOCUS5991-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKNHNQTQTSHSPFTQPIRCIVKLGGAAITCKNELEKINEEILHKVSEHLRQAMIATSQKPPGMDWSRIPGESEISCKPEEFGDDHVSECSRFIVVHGAGSFGHFQASKSGVHKGQLDKPLVKGGFVATRISVTTLNLEIVRALAREGIPSVGMSPFSCGWITGERHISSADLSPVASAIDSGFIPVLHGDAVLDKIQGCTILSGDVIISHLAAYSKPEYVVFLVKSVPKTRLDFQDCINMLDRYDKPQLQIILDQYVYGVYDRPPTEPDAILLKEIAVAEDGSWSVIKPKLQNSIELTVAAHDTTGGMKTKISEAAMIAKLGIDVYIVKAATSHSLKALNGDLRNSIPDDWLGTVVRSSR >CAK8536649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4221992:4224987:-1 gene:gene-LATHSAT_LOCUS5991 transcript:rna-LATHSAT_LOCUS5991 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKNHNQTQTSHSPFTQPIRCIVKLGGAAITCKNELEKINEEILHKVSEHLRQAMIATSQKPPGMDWSRIPGESEISCKPEEFGDDHVSECSRFIVVHGAGSFGHFQASKSGVHKGQLDKPLVKGGFVATRISVTTLNLEIVRALAREGIPSVGMSPFSCGWITGERHISSADLSPVASAIDSGFIPVLHGDAVLDKIQGCTILSGDVIISHLAAYSKPEYVVFLTDVYGVYDRPPTEPDAILLKEIAVAEDGSWSVIKPKLQNSIELTVAAHDTTGGMKTKISEAAMIAKLGIDVYIVKAATSHSLKALNGDLRNSIPDDWLGTVVRSSR >CAK8535435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848189474:848192321:1 gene:gene-LATHSAT_LOCUS4899 transcript:rna-LATHSAT_LOCUS4899 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLIIILIITAQFEWKQPLVADVELNPSVSQKQHHVSNAQETIKEKIILVQEKNIRRLNELVRHLQEQLQQCKGSNGTTNNTVGPLTERILELERQQILED >CAK8571379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395055191:395062686:-1 gene:gene-LATHSAT_LOCUS24046 transcript:rna-LATHSAT_LOCUS24046 gene_biotype:protein_coding transcript_biotype:protein_coding MPISYSYSLSSSFILPKLNPNLRPIQTQFRTQNNRQFPNLKIQSSIRTNHTIQFLKPHLISQQKPILFGWLCSAISVYSLSNLLSKFSAITTATTIVDVSQGFALGGLVLVRLIATYAQHALLWEASLNAVCDVRVHVFDRVLQRELAYFEANDAVSTGDIAYRITAEASDLALTLYALLNTIVPSSLQLSAMAMHMLAISPVLSLISAMVIPCMVLVVAFLGQELRKISKKAHLSVAALSAYLNEMLPTILFVKSNNAELLESARFRRLALIDNSAKLNKKRMKAVIPLVIQAVYFGVLSIICAGSVVISKGSFDRCSLVSFVTSLLFLIEPIQDVGKAYNEWRQGEPAIERLFAMTRFKNKVVEKPDAVDLDHVTGDLKFCDVSFKYNDGLPLILNGLNLHVRTGEIVAIVGPSGGGKTTLAKLLLRLYDPISGSILIDNQNIQDIRLRSLRRHVGVVSQDITLFSGTVAENIGYRDLTTKIDMEKVKRVAQTAYADEFIRKLPEGYKTNIGPRGSTLSGGQRQRLAIARAFYQNSNILILDEATSALDSKSELLVRQAVERLMENRTVLVISHRLETVMMAKRIFLLDNEKLEELPRSTMLNGHMDSMLSSGRIV >CAK8531272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:95183624:95184046:1 gene:gene-LATHSAT_LOCUS1076 transcript:rna-LATHSAT_LOCUS1076 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVACYSQSMKQTRCPLIEGRELEVNNSSAPVEKMNTGRSNHYTAVCKKVQHGEVCHLAPFLRQSGKPLVTCMITDDRKVKTKVVKIVVTTEQLKLLLSGSKKFQIKTRVTHVGKSSVLRKCPKWLPSLPTIQEVQNF >CAK8565730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:313865285:313869625:-1 gene:gene-LATHSAT_LOCUS18918 transcript:rna-LATHSAT_LOCUS18918 gene_biotype:protein_coding transcript_biotype:protein_coding MFISLTSPPLAQRLNTYLASALNRVRGISDMASDGGKKFARRDRLREIEVKVQQWWEEKQVFKSEPGDKPPEPGQKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAFHRLRGANVLLPFAFHCTGMPIKASADKLAREIQQFGNPPVFPEEVVEDLESVAIVESVGDENENGAPAKFKGKKSKVAAKSSGQAYQWEIMRSVGISDAEISEFQDPYKWLSYFPPLAIEDLKAFGLGCDWRRSFITTDMNPYFDSFVRWQMRKLKSLGKVVKDVRYTIFSPLDGQPCADHDRASGEGVQPQEYTIIKMELVSPFPEKFKVLEGKKVFLAAATLRPETMYGQTNAWVLPDGKYGAFEINETEVFVTARKAALNLAYQNHSRVPQKPTCLLELTGHDLIGLPLKSPLSFNEIIYALPMLSILMDKGTGVVTSVPSDAPDDYMALHDLKSKPAFRAKYGVKDEWVLPFEIVPIIDVPPFGNKCAETVCLQMKIKSQNEKDKLAEAKKQTYLKGFTDGTMIVGEFSGKKVQEVKPLIRSKLLETGQAIIYSEPEKRVMSRSGDECVVALTDQWYITYGESEWKKLADECLSTMSLYSEETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTIYMAYYTIAHYLQEGDMYGSSESSIKPQQLTDDVWDYIFCDGPLPKSTDISSSVLERMKLEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAIFPKHHWPRGFRCNGHTMLNSEKMSKSTGNFRTIRQAIEEFSADATRFSLADAGDGVDDANFVFETANAAILKLTKELAWYESILPAESSLRTGPPSIYADRVFANEINIAVRTTEQNYSNYMFRDALKTGFYDLQAARDEYILSCGVGGLNRDLVWRFMDVQTRLLAPICPHYAEFIWRDILKKDGFVVKAGWPTADAPDLTLKSANKYLQDSIVLIRKLLQKQLSGSKKGNKKSAPVVTPGQIKVKCLVYVNEQYDGWKAECLSILQNSFNKDTQTFPPESEIKEALQQSSFGQSAEFRRIQKLCMPFLKFKKEEAIAIGAQALDLRLPFGEIDVLRENSELIKRQVSSKDVLVEDVTIVSAADADSVAKAGSLVTILNENPPSPGSPTPIFIPNN >CAK8576704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507927612:507928161:-1 gene:gene-LATHSAT_LOCUS28877 transcript:rna-LATHSAT_LOCUS28877 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHISDLFDCSYRRSSKYKKKRKQFQTVEVKVKMDCEGCERKVKKSVEGMKGVTQVEVERKANKVTVTGYVEPSKVVNRIVYRTGKRAELWPYVPYDVVAHPYAPGVYDKKAPSGYVRNAYDPNVSSLARASSAEVRYTTAFSDDNPAACAVM >CAK8574438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681738522:681744917:-1 gene:gene-LATHSAT_LOCUS26785 transcript:rna-LATHSAT_LOCUS26785 gene_biotype:protein_coding transcript_biotype:protein_coding MPPANKIVFGLLTFVTIGMIIGALSQLAFIRKLEDSYEAQPFRRLRGLERKDYLRLPGGIPYWNNDKEAEILRLGYIKPEVLSWSPRIILLHNFLSSEECDYLRAVALPRLKISTVVDVKTGKGVQSDVRTSSGMFLNGDERKYPMIQAIEKRISVYSQVPVENGELMQVLRYEKNQFYRPHHDYFADTFNLKRGGQRIATMLMYLGDNVEGGETHFPMAGSGECSCGGKLSKGICVKPTKGDAVLFWSMGLDGKSDPESVHGGCPVLSGEKWSATKWMRQSVHH >CAK8574437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681738522:681744917:-1 gene:gene-LATHSAT_LOCUS26785 transcript:rna-LATHSAT_LOCUS26785-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPANKIVFGLLTFVTIGMIIGALSQLAFIRKLEDSYGTEAQPFRRLRGLERKDYLRLPGGIPYWNNDKEAEILRLGYIKPEVLSWSPRIILLHNFLSSEECDYLRAVALPRLKISTVVDVKTGKGVQSDVRTSSGMFLNGDERKYPMIQAIEKRISVYSQVPVENGELMQVLRYEKNQFYRPHHDYFADTFNLKRGGQRIATMLMYLGDNVEGGETHFPMAGSGECSCGGKLSKGICVKPTKGDAVLFWSMGLDGKSDPESVHGGCPVLSGEKWSATKWMRQSVHH >CAK8538331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477703148:477704155:-1 gene:gene-LATHSAT_LOCUS7543 transcript:rna-LATHSAT_LOCUS7543 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPLHHLQETKPTAITDVTTTPNETPNTSTDNSNDSSNNNNTIKNRKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAAKAYDRAAIILYGSRAQLNLQTSVSSSNSSSLNSSSSSNRNSSSISTNTLRPLLPRPSGFPVYNNLIPFGVYNNFHHHNHHYHHQQQPVFDNSVNHHQHQQLVQVQLQQQQQQYNQHQIQDFEQHASGGSTSARSITSYQNINGVYNDQEQHAEDVLNQQQIQKNNNNVENCDVVEGIMSGSNLVGLSAFDSCQNINTKMDGTVVDPDPEGVCVGSPNSMWPLTSDLWDYNDPLFFDF >CAK8570092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23573410:23575193:1 gene:gene-LATHSAT_LOCUS22875 transcript:rna-LATHSAT_LOCUS22875 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAHALKRIPRIKFPNRHLKSSGSASEGQALSSTGDGSLSFFSSSNASTTLGGKASLQPKRTPVTNEEIEAVLLGGCF >CAK8534395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722970767:722971470:-1 gene:gene-LATHSAT_LOCUS3945 transcript:rna-LATHSAT_LOCUS3945 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRNMSIILVIFGLVATIVERTEAADHTVGGSTGWTSTAGAKFYSDWASNNTFKQNDVLVFNFFAGAHTVAETNKADFDNCNVNQNTNAIIATSPARVTLNRTGDFYFICTVSTHCQSGGQKLTIKVPASSSSTPPSPTPPSSTPPSSGTTPTSPTSGGTPSPSSPAQPGATPPSPGSATALVATFPAFVALVVNLLV >CAK8541257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98280580:98299753:-1 gene:gene-LATHSAT_LOCUS10189 transcript:rna-LATHSAT_LOCUS10189 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGILGNRNDQVTGVKATTSKRIKWLQRRERWLVVLGVILHAVYMLSIFDIYFKSPIVRGVDPVPPRFSAPAKRLVLLVADGLRADKFYEPDSEGNYRARFLRSIIKNQGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVLKGWKANPVEFDSVFNRSSHTISFGSPDIVPIFCGALQHSTWDTYPHEFEDFATDASFLDLWSLDKFQSLLNQSNEDPKLKKLLQQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHVAESVYNLVQDYFKDNLTSYVFTADHGMSDKGSHGDGHPTNTDTPLVVWGAGVKHPLPVSSSNHSDRGFRFVDDHVHDAPTPIEWGLRGIERVDVNQADIAPLMSTLLGLPCPVNSVGILPRDYINMTKAEEVEAVLSNTKEILNQFLRKSHIKQSHLLYFKPFKPLSHYSSILDKIEGLILARDYDSAMDLSENLRSLALQGLHYFQTYDWLMLMSVITLGYLGWMIYLVLHVLQSYTSLPGNIFGMERATERNSQGKIYLCGCIVTGMICLLFVLEHSPPLYHAYMIMTSFLWIQIISEYQFIKALWKHLFERRMHRIIKLLATTAVAVFIAEFLVNSFTDRKLYTGCFLFAGASASFYLFKSIPWRSGIPIYVCISCWCLSIFTLMPAEIPDNNLLVVSSGAVIIIIGIAARWLALHAGGSKFWLSISNCELKSPKYSALFYFQALLVALSSLMVYLSTTHRTEKQELLVFHQLINWSIAGFSMVLPLFSENSILSRLTSIFLGFAPPFLLLSIGYEAVFYAALALVLMAWILFENTLFNLNIKNSTSNSIKIVTNHLILGYDNRSLQLSDVRIPLAFMVLFNIAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFILVICAFSAITKLNEVPRMGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNTYTKNIQCNSAVQTTRKAN >CAK8569078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669639774:669641407:-1 gene:gene-LATHSAT_LOCUS21969 transcript:rna-LATHSAT_LOCUS21969 gene_biotype:protein_coding transcript_biotype:protein_coding METEPQLPILIKSRFKRICVYCGSTPGKNPSYQIAAIQLGKQLVERKIDLVYGGGSIGLMGRISQVVYDGGCHVLGVIPKTLMTKEITGESVGEVRAVSGMHQRKAEMAKQADAFIAMPGGYGTLEELLEIITWAQLGIHDKPVGLLNVDGYYNSLLAFMDKAVEEGFVTPAARHIIVSAQTAQDLMCKLEEYVPKHCGVAPKLSWEMEQQLVNTAKSDISR >CAK8573233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:595670177:595673682:-1 gene:gene-LATHSAT_LOCUS25705 transcript:rna-LATHSAT_LOCUS25705 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGGIRKISSHCSISEMDDFDLSRLLDKPRLNIERQRSFDERSLSELSVGFARAGLDNYDNCSPGGRSGFNTPASSTRNSFEPHPMVADAWESLRKSLVYFKGQPVGTIAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKRVDRFKLGEGVMPASFKVLHDAVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSESDSCQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALSMLKQDTADGKECVERVVKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPDQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >CAK8560278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12933163:12936546:1 gene:gene-LATHSAT_LOCUS13966 transcript:rna-LATHSAT_LOCUS13966 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAIDEGKDISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFTAGGPGSGSLFSLPLRNCTVPSSSSQLGYNQSINFCSSKSNAISILSEKTQAYNSRKINLTQLTVSHDLEHMKPAKQITKVQQDNYIDSSKSSLKTLDGEDAFITPSSVHLKSSFYGGIVQNEKDEDKLGRCNLNFSFKSLNSFRKVMNSSGAIELKSSQYGKNQMEEDKDASQIDRKAKEKPPHSLNGFDDTTNESSNSSAKYTNSKSMKEETKNISVDSLKALQGSNGHRHEDHAAFVDKNNFRDHCMEKPAISDVQKCSGELEIGRRSLHGKRERSRDEETSRNYDALNKSSSECRFGLDIIPDDVVGLIGEKQFWKTRKTIINQQRIFFMQVFELHRLVKVQRLIAGSPNLLFEDNLVLNKPPMKTSSPEKLQSDLITEQPLTVYKHDSKSEKASTSEDVKNSAVGNIPFPCVSNISKDHNRLSYYGNQHLGNLTLVSADKNSNSISLSKQSSSIVYPSPPNQWLVPVMSPSEGLVYKPIIGPCPPNAGGIMTPLYGACGALSLNPGTKDVLDPSLTSFHQKIGILSGSSLPQLLPPCVPSFMHRSISASSVEQMGQSSGPKNHHSYAELNSAILYQSPSNMSTQISQVMSRNISTYQSLEDNKELQVSTASSPSKRMKGDELPLFPLAPTFWSSPDRDSHVEHQSKVIKALPHNKKSASESAAKIFMSIQDERKLL >CAK8543615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:626521748:626533075:1 gene:gene-LATHSAT_LOCUS12363 transcript:rna-LATHSAT_LOCUS12363 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIAGKFKLGRKIGSGSFGELYIAVNVQTGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGDYNVMAIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKFRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMTTSIEVLCKSYPSEFGSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYIFDWTILKYPQISGSSRGRHDSGKAAMNAGPSVQRPEKISVGKELRERFTGAVEAFSRRNPTSSSPRRDHSKHRGFEDVAAVAKDAHHDQDKGRNSGRYGSSSRRPIISSTSKPSSSGDHTDSRTGRLTSSGSRPSTAHRVQPMYETKQPTYTRPGSTRGNRDDPLRSFELLSIRK >CAK8532436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260758076:260758693:-1 gene:gene-LATHSAT_LOCUS2141 transcript:rna-LATHSAT_LOCUS2141 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSCLEEVKTYYQEYALKKGFGWRIRSSKKGDDGELHYLILSCSREGSNISKISCTLKTLPSRAKNCPAKICIKLKQDGLWYITQFEENHSHETSPTKARLFKANKKMNLHVRRTIQINDDAGVRINKTFQSLIKDAGGHENIPFCEKDVRNYINKERRAIGKEGDGKALISYFCKMREQNTNFFYDIDLDDDFHVRNLYVYGI >CAK8533831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:664070761:664071285:-1 gene:gene-LATHSAT_LOCUS3425 transcript:rna-LATHSAT_LOCUS3425 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDYLSNFCDTVGVNTTTIKKANRKAMQTVEIKVRMDCDGCERRVRNAVTSMKGVKSVEVNRKQSRVIVSGYVDPNKVLKRIKSTGKVRAQFWPYVEQHLVHYPYAYGAYDRRAPSGFVRNVLQADPSNHQQEILVSLFNDDNVNACSIM >CAK8532197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:219136963:219145338:-1 gene:gene-LATHSAT_LOCUS1928 transcript:rna-LATHSAT_LOCUS1928 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPAATATTATTSRPISPFPNPNSKPHHHYPSHSHQQQQQQQQTLPLRTPIPFHYPFPSPSRAAVNHAVGGYPPPPPPPLLYSHGGGVRGMNLDYLSHALHVTRPLSHVQFPHLAAATPSPSAKGHLKVTGRSAVSDVNGHKDASARERSRDDTLTVVRDRKVRITEDASLYALCRSWLRNGVNDESQPAQKDATMALPKPSPASIVDTCTSNKKDGENDDDEQEEDEKSVEHLSTQDLLKRHIKRAKRVRARLREERSQRIARYRNRLRLLVPPPA >CAK8541217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90607786:90609402:1 gene:gene-LATHSAT_LOCUS10152 transcript:rna-LATHSAT_LOCUS10152 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLGESKSVTFKNTLSRLVQQCKNLREIKIVHTQILKSPILLTDDQYYLITRLLFSCSFSNYGSFTYASNVFHMIRNPDLRVYNIMIRAYGCINEGDDNHYFKALLLYKQMLNKGIVPNNLTFPFLVKGCNRLQCGATAGQVIHAQGLKFGFLNDVFVGNSLISLFMNFGLLNNAKKLFDEMFVRDVVTWNSMVVGYLRNGELDMALNLFRNMNGRNIITWNSIITGLVQAGHAKESLELFHEMQFLSGDNVVKPDKITIASVLSACAQLGSIDHGRWVHAYLRKNNIECDVVIGTALVNMYGKCGNVEQAFEIFNNMQEKDASAWTAMISVFALHGLGMKAFDCFLEMERARVKPNHVTFVGLLSACSHSGLVDQGRWCFDVMKHVYSIEPQIYHYACMVDILSRARLFEEAMILIRSMPMKPDVYVWGALLGGCQMHGNIELGENVACHLLDLEPLNHAFYMNLCDIYAKTGRFDAAKRLRNLMKERGIETKVPGCSMIEINGVVQEFSAGGSFEFPMKELTLVLDRLSNEMKI >CAK8541218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:90608011:90609402:1 gene:gene-LATHSAT_LOCUS10152 transcript:rna-LATHSAT_LOCUS10152-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNPDLRVYNIMIRAYGCINEGDDNHYFKALLLYKQMLNKGIVPNNLTFPFLVKGCNRLQCGATAGQVIHAQGLKFGFLNDVFVGNSLISLFMNFGLLNNAKKLFDEMFVRDVVTWNSMVVGYLRNGELDMALNLFRNMNGRNIITWNSIITGLVQAGHAKESLELFHEMQFLSGDNVVKPDKITIASVLSACAQLGSIDHGRWVHAYLRKNNIECDVVIGTALVNMYGKCGNVEQAFEIFNNMQEKDASAWTAMISVFALHGLGMKAFDCFLEMERARVKPNHVTFVGLLSACSHSGLVDQGRWCFDVMKHVYSIEPQIYHYACMVDILSRARLFEEAMILIRSMPMKPDVYVWGALLGGCQMHGNIELGENVACHLLDLEPLNHAFYMNLCDIYAKTGRFDAAKRLRNLMKERGIETKVPGCSMIEINGVVQEFSAGGSFEFPMKELTLVLDRLSNEMKI >CAK8542458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:517027554:517028754:1 gene:gene-LATHSAT_LOCUS11297 transcript:rna-LATHSAT_LOCUS11297 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQDWDLHAVVRGCSTVTSTNTPTPSVSSSSSSVFPLQPEPSSAFSSIFTTEQKPQTFSLSTHPFEPRTSIEELHELCKPFFSRSEPLSLQTSPLFSSFSYSSSVAPKLPQTQDKQQLQRTKQPHQGDSLTNPRSKRRKNQLKKVCEVPVENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPTMFIVTYTSEHNHPAPTHKNSLAGSSRQKPLTPPPQGETTNKDLAKPPSSPEEEEVQKSESKEDSTMDEEEGGGEDEFGLSDVVLTDDFFESLDELSQLGGAGDCFADPFSSAIGIPNWAAATAAGGS >CAK8543655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628935450:628936683:1 gene:gene-LATHSAT_LOCUS12401 transcript:rna-LATHSAT_LOCUS12401 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVLGSLLSSGSFTDSPNHHHVPPPTHHSKLSFHQSGSFNLSCSSSPISPSIADLERQNKGLIRRVQSEGNLEDLAYANEERFSFMDHSSKRYSVRQRCLPLETIPSFSLSKRTGLREEEEDAEESDDEDVEGYDDQLSVMRSMKVSGEMDRVCRVSFGEERKVGSKEMYLAKGLGVDVCGDGIGGGCRGGGNGGGDSSSMGSRGNDGDNNNHGVEEYYRKMVQQNPGNSLFLRNYAQFLYQSKQDRKGAEEYYSRAILADPNDGEVLSQYGKLVWEVHQDEERASSYFERAVQASPEDSHVQAAYASFLWDTEEDEDAGCDEMQCLPQHFHVGAMATTGA >CAK8535381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845724121:845725857:1 gene:gene-LATHSAT_LOCUS4846 transcript:rna-LATHSAT_LOCUS4846 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVLHSPPHFASPQRTTTTTTSTSSSPLSSFSCHAIFQPPSHPHFPLFELLVTLFRKSLFPFKNTLTKDLSVMDISPPTNVRHVAHVTFDRFNGFLGLPDEFEPDFPRRPPSASATVFGVSTESMQLSYDSRGNSVPTILLLMQRHLYVQGGLQVEGIFRINADNSREEHVRDQLNLGLVPEDVDVHCLAGLIKAWFRELPTGVLDPLSQEQVMQCQTEEECVELVKHLPHTESALLDWAVNLMVDVVQHENFNKMNARNIAMVFAPNMTQMADPFTALMYAVQVMNFLKTLILRTLRERKDSVVESNPRLNLEPSDENEQRGFFESCQKEDTAEVDNEAVKEIFVSEKSVLECSPESSSLEKNSSAGGESGRMISTSENPVCNEDLYCEFPPKRNMGKNNKSGQSGSSNARKGSKKTRGQQPVNNGKVSVEKKGTKTLSNTDTRSDRVEAWR >CAK8532498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271227922:271230709:1 gene:gene-LATHSAT_LOCUS2197 transcript:rna-LATHSAT_LOCUS2197 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEAKRQKLDNFKIGSLPNVFYIPDFITDADQTLLLNNIYGAPSSKWKLLKNRRLQNWGGVVHEKGLLPQPLPPWLTNLTHKISEESGLFPSPINHVLINEYQPNQGIMPHQDGPSYFPVVAILSLGSPVVMDFTPHTRLKLDSQVINKESDGETIEIVKDKWLDDHRPFSVILMPRSLLIFKDNAYSDYLHGIKDCEVHGYDGAVNEAEALKDSKSHRHLFGSEDKEETIGKDECKNISRTSNRVSLTCRLVPKVHKNLFRF >CAK8564096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651517226:651519702:1 gene:gene-LATHSAT_LOCUS17435 transcript:rna-LATHSAT_LOCUS17435 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKKQPIIVLTKPFSLEIESDSEPSYSYRAPNIVRRLLSLFKNIRPGADLTNLQLPPLFYFPKSQLQCYGESVYSTTSNSNLLAKCNNEQSPLERLTSVVAWSISTTRPTSFGVAPYNPILGETHHVSKGNLNVLLEQVSINPPVSALHATDEKENIEMIWSQQPAPKFRGTSIEAEVHGKRVLKLRNHGETYEMNCPRLSIRILPFPGVSWVGNVNILCKETGLVAELSYKSSFSFLGLGGNSKLVQGKILDSSSFSVLYEIDGQWDRTVKVKDTNSGKVKVIYDAKEVISGLKAPIVKDAEGVWETESALIWGELTQAIISNDWEKAKEAKQGVEERQKKMLKERESKGESWTPKNFVVSYSNESGLECDCSPINKWVPPAPITAL >CAK8561750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:374147035:374147358:1 gene:gene-LATHSAT_LOCUS15311 transcript:rna-LATHSAT_LOCUS15311 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLTCGHILKRDNSDRENNFLEIEKSYTKKTRLYVDRSWSGNIAPPQSKGGGGGVVVDNTKPEFRRANSAGNVGPRLLRSSGMRRDWRLEELIGQQENGVRCNG >CAK8534729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:761042464:761042838:1 gene:gene-LATHSAT_LOCUS4248 transcript:rna-LATHSAT_LOCUS4248 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIQPCDVGIIRAFKMNYRRRFYRKILKGYEVGKSDPGKINILDAINLEILAWTIDFRKETIANCFRHCKIRSTSDVVRNLDESTFDEEAQDLETMIKQSGYHSKMDIDNLMNYPGESEACS >CAK8532494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270907053:270907709:1 gene:gene-LATHSAT_LOCUS2193 transcript:rna-LATHSAT_LOCUS2193 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAYSLTLSFLLFVFITNLSIAFSNDDNEQILDINGAPVIAGNEYHIVTTINGIATRSGLELARTRNSNCYVSILQSYYKPHRMRTSVTFTNLENNSEKILTNTQLNINVGNLPYCADSSEWLLYYDYNIYQACVGIGGYKNYNNSPILTAKFGIWKHGFGYKFKVITVGSSTYLDIGRWYQQIGEGGHRLYMAGLVEDPYEFILVPAFIKTEKSVV >CAK8564013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646020863:646021249:1 gene:gene-LATHSAT_LOCUS17361 transcript:rna-LATHSAT_LOCUS17361 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIVNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIDFVNRNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFARRLQYWQQLTPILPTHYTL >CAK8570905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:163844490:163849215:-1 gene:gene-LATHSAT_LOCUS23615 transcript:rna-LATHSAT_LOCUS23615 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSTPFTLPNSRAVKLSSLSQKHLFLHSFLPKKTNYYGASKASLRVKCNAIGNAQYQSSVSAAVRALNTNQKDASFEVVGYLVEELRDVTTYNSFCKDLEDANIFIGSLIFVEELALKVKTAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKNTSSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFFKMISGSYVPALKGTKMEYSEPVLFLDNGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSSSAPIVGLILQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPVEKFLIDPITKKPFVNSVISLTGFALVGGPARQDHPRAIEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPKTGKSHALHKRVEQLCTRAIRWAELKRKTKKEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKELESDGYNVEGLPETSEALIEDILHDKEAQFSSPNLNIAYKMNVREYQNLTPYSAALEENWGKAPGNLNADGENLLVYGKQYGNLFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFIEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNIYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPEEGVELPTKDRDLVVGKVYAKIMEIESRLLPCGLHVIGEPPTAMEAIATLVNIAALDRADEGISALPSILAESVGRNIEEIYRASDKGILKDVELLKQITEATRGAVTSFVERTTNKKGQVVDVSNKLTSILGFGINEPWIQFLSDTKFYRADREKLRTLFAFLGECLRLIVADNEVGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKIVVERLLERQKIDNGGKFPETVALVLWGTDNIKTYGESLAQVLWMIGVNPISDGLGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPAELNYVRKHAIEQAEALGVEVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGVGMTEKRQVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLKKLMSTNPNSFRKLVQTFLEANGRGYWETEEENIEKLRQLYQEVEDKIEGIDR >CAK8570904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:163844490:163849215:-1 gene:gene-LATHSAT_LOCUS23615 transcript:rna-LATHSAT_LOCUS23615-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSTPFTLPNSRAVKLSSLSQKHLFLHSFLPKKTNYYGASKASLRVKCNAIGNGMFTQTTQEVRRIVPENKQNLPTVKIVYVVLEAQYQSSVSAAVRALNTNQKDASFEVVGYLVEELRDVTTYNSFCKDLEDANIFIGSLIFVEELALKVKTAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKNTSSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFFKMISGSYVPALKGTKMEYSEPVLFLDNGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSSSAPIVGLILQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPVEKFLIDPITKKPFVNSVISLTGFALVGGPARQDHPRAIEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPKTGKSHALHKRVEQLCTRAIRWAELKRKTKKEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKELESDGYNVEGLPETSEALIEDILHDKEAQFSSPNLNIAYKMNVREYQNLTPYSAALEENWGKAPGNLNADGENLLVYGKQYGNLFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFIEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNIYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPEEGVELPTKDRDLVVGKVYAKIMEIESRLLPCGLHVIGEPPTAMEAIATLVNIAALDRADEGISALPSILAESVGRNIEEIYRASDKGILKDVELLKQITEATRGAVTSFVERTTNKKGQVVDVSNKLTSILGFGINEPWIQFLSDTKFYRADREKLRTLFAFLGECLRLIVADNEVGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKIVVERLLERQKIDNGGKFPETVALVLWGTDNIKTYGESLAQVLWMIGVNPISDGLGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPAELNYVRKHAIEQAEALGVEVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGVGMTEKRQVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLKKLMSTNPNSFRKLVQTFLEANGRGYWETEEENIEKLRQLYQEVEDKIEGIDR >CAK8573546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618972561:618973925:1 gene:gene-LATHSAT_LOCUS25982 transcript:rna-LATHSAT_LOCUS25982 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFVLFYKNPFLHASLSIILILTLTFFRIPTLFLYALQTYIHPDSQPQSNGLKAAIRRPGVDGYQPLSSKTSTELRKRSKTKDKVDFDESNAQIFRITLDQSHLQSRLYIDQYSVAFTVSFVALFSLLLHRFLDCSEDNGFLANGVFVPILLSILSLYTWGMLLVKVTFERSASRRSEKQLSVVFGVLGVILGLLFVPEVASLVLDFDFGVSVDGIWRVLLSVLMGSLASFLFIPAVRSARSFWLGTDQIRCNLSMITCGFYGRAILYANQILLIFVVFLWITPLAEIFVNKNYSATTSGVGNAERFVGNVGFLPSDFANFRRWCLLGSSLLQIVALRPNLQMYLNEALLSWYQRLHGSKVPDLDYSRAKMFLHNHYLCLVVLQFLGPPVLVLIFLGLSQIDGPSFGNFPLALPSSGFFKEAALFLAWWMTFLLAIYSSAILMLHRHCILYVS >CAK8543955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651104507:651106462:1 gene:gene-LATHSAT_LOCUS12675 transcript:rna-LATHSAT_LOCUS12675 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSLNLHLTSYHPNLFAYSKNPKFSHSLTLLSSSTKLNKAIHAKNHSFVNNISARSFNSKVHFSSPRFEIEPDPTLTNDDLKPTTASQRTFSGLEMASLWVGLVVGVPSYYLAGSLVDLGMAWWQGVLTVVAANMILFVPLVLTGHPGTRYGISFPVLARSSFGIHGAHIPTLLRALVGCGWYGIETWIGGETIFILLPNSIKQTTFSKSLPWLGTSPLEFFCFLLFMLAQLSIVWKGIEGIRKLEKYSAPILILLTSLLLIWSYVNANGFSHMLSLSSKLSNSEFWSLFFPSLTANISFWAALALNIPDFTRYAKSQNDQIIGQAGLPIFMGAFTFVGIVVTSSTKVIFGYVISNPIQLLAKIGGFVTTIFAILGITLATITTNIAANVVAPANALVNLNPMLFTFRRGAIVTAFLGVVFQPWKLLKSSESFVYTWLVGYSALMGPIGGIILVDYYLVKKTNLSIEDLYSRDSLGAYYYTRGFNVAAIVALVVGVLPVIPGFLHKVGTLKSVSEVFVVIYNNAWFVSFFSAGLFYWIMSCLKKKQDGNQCS >CAK8572008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501606861:501608038:-1 gene:gene-LATHSAT_LOCUS24617 transcript:rna-LATHSAT_LOCUS24617 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIERESMTTEAPNAPVTTQRKVRTDLETTLPKPYMPRALTAPDTSHPNGTPGHKHNDMTVLQQHCAFFDQDGNGIIYPWETYMGFRALGFNVILSVIMAMTINLSMSYPTQPHWFPSLLFPIYIHNMHRAKHGSDSGAYDTEGRYVPANLENIFSKYAKTEPDKLTLGEIWNMTEGNRNALDPFGWIAGKLEWGVLYYIAKDEGGFLAKEAVRRCYDGSLFEYCAKMNAANKDEAKMG >CAK8570653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82694505:82713602:-1 gene:gene-LATHSAT_LOCUS23389 transcript:rna-LATHSAT_LOCUS23389 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWGTSDPYMVIQMDSQSAKSNIKWGTKEPTWNEEFTFNFKRSSNKALQVAAWDANLVTPHKRMGNAVVDLEWLCDGDTHEILVELEGMGGGGEVWLEVKYKTFEEIDDEKKWWKIPFVADFLKKNGFDSALRKVIGSDTVQVSQFVEYAFGQLKSFNNEKGQMSDNDKYDIESSEESNESAFMSKTPSREAGSSEFSSEQRNTEEFLSCDSETGNEHALEPSPQASDEELSNQRFWMNFSNVINADIVQKLGLSVPQKLKWDGLEFLNKFGSQSQDIAEDVYIQSGLAMPGGTEESDNKTSGQPAIAAIQSSLPEVKKVSEKLMKQTESILGGLMLLTATVSKMKDEGRSSEERKTKDDSSKGVGSDVQYSTSEKSPSLEKRSLLDDKQTEEMRALFSTAESAMEAWTMLATSLGHPSFIKSEFEKICFLDNASTDTQVAVWRDSVRRRLVIAFRGTEQTAWKDLVTDLMLVPAGLNPERIGGDFKQEVQVHSGFLSAYDSVRTRIISLIRLAIGYVDDYSEFTHKWHIYITGHSLGGALATLLALELSSNQLVKRGAISITMYNFGSPRVGNKRFAEVYNEKVKDSWRVVNHRDIIPTIPRLMGYCHVNQPLFLAAGVPTNSLENKDILGDGYEGDVLGESTPDVIVNEFIKGEMELIEKLLQTEINIFRSIRDGSAYMQHMEDFYYITLLENVRSNYQVASRSQDVNTSLS >CAK8542310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500981232:500982530:1 gene:gene-LATHSAT_LOCUS11157 transcript:rna-LATHSAT_LOCUS11157 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKRYAVVTGANKGIGYGICKKLVLSGVVVVLTARNEERGLEAVERLKKEIGLYDLVVFHQLDVDDPTSVATLAFFIKTMFGKLDILVNNAAVSGGKILNGDALLRKRNGAEIDWSEVGYETYELAQNCLKTNFYGVERVTEALIPLLHLSTCPTIVNISSRAGLLKNISNEYARKELSDIDKLTKEKINEVLKEFEKDYKEGLLEIKGWPSFASAYTMSKAALNAYTRIMAKKYPHFCINSVCPGFVKTDMNENTGNLSVDEGVETPVMLALLPNCGVSGSFFNKGEVISF >CAK8531899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:173126735:173133358:1 gene:gene-LATHSAT_LOCUS1658 transcript:rna-LATHSAT_LOCUS1658 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTKKTEKNWKHSLLYLTFIIIIIHLTHQSNFSRKLTIGNETVRIKKTSELPLRFRSDGTFKILQVADMHYGNGIVTRCRDVLASEFEFCSDLNTTRFLKRIIQAESPDFIAFTGDNIFGPSSSDAAESLFQAFGPAIESRLPWAAILGNHDQESSLNREELMSLISLMDYSVSQINPSADCLADSAKGCTTSKIDGFGNYNLRVYGPPGSMLANSSVLNLFFLDSGDRVAYQGIRTYDWIKDSQLHWLRHVSQEPQGQEQNPLQSTDHDTTPITPPSLAFFHIPIPEVRNLFYKKIVGQFQERVACSLVNSPVLPTLVSMGDVKAVFIGHDHINDFCGNLDGIWFCYGGGFGYHAYGKAGWPRRARIILAELEKGRNSWTRVQRITTWKRLDDEKLSKIDEQILWDRLFSR >CAK8535831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883976523:883977710:-1 gene:gene-LATHSAT_LOCUS5251 transcript:rna-LATHSAT_LOCUS5251 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHATIFMVRDYDPTTRYNNLLDRVLRQRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSHLISDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSINDQGVITHITGGNFAQSSITINGWLCDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >CAK8575981.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:379523236:379525082:1 gene:gene-LATHSAT_LOCUS28202 transcript:rna-LATHSAT_LOCUS28202 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSSLLSMIVFFIFLLQLFSYLKINKKSHPHSLPYPPSPPAIPIIGHLHLLKPLIHHAFRDLSDRYGPLISLRLGSARFVVVNTPSLAKEFLKKHELTFSHRKMNIAINLVVYDDATFAFAPYGIYWKFIKKLSTTELLGNRTIGQFLQIRTRELQQFIRTLAKKSNIEESVNLTQALLKLSNNIISQMMLSFETSGTDNQAEQARALVREITQIFGEFNVSDFIGFFKYFDLKGFRKRALDIHKRYDALLEKIICDRENSRRKPKLKGGCEDGEEIVKDFLDILLDVSEEKDYEVNFSRNHIKSLILDYFTAATDTTAISLEWTISELFNNPKVLKKAQEEVERVTRNERLVCEADSSNLPYIHAIIKETMRLHPPIPMIMRKGVEDCVVDGKMIPKGSMVCVNIWAMGRDQKIWENPLEFRPERFLESDIDMKGHNFELLPFGSGRRGCPGMPLAMRELPTVIGALVQCFEWKMIDFENKVLGYGRSIDMDERPGLTAPRANDLICIPVARLNLDPLLQL >CAK8540081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538605268:538608519:1 gene:gene-LATHSAT_LOCUS9119 transcript:rna-LATHSAT_LOCUS9119 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLVECSKGFNIPLTPVSFLARAASLYGDKISIIYGDHVRFSWRQTYERCVKLASALVNLGISHGDIVATLAPNIPEQYELHFGVPMAGAIISALNPKLDAATLAIILEQLEHCKIIFVDYEFIDSALNATKLISQKKLKPPLIVLIQDYDLLLKDLPQGTLIYDEVLEKGQSDFNILLPSNECEPISVNFTSGSTGIPKGVVYSHRSVYLNSIATITRFDVKIMPVFLWTVDMFRCNGWCFIWLMPVLGGTNICVRDNFSAKNISDAICFHKVTHFCGAPILLEIIANCDVIKPFSHKVSVTVAGILPSFKILNKVAEIGFDVNISYGMTEVLGPVIVRKWKKNFDDDVTKFNYVEEGVIDFMMAEVDVKDPSTMKSVPWDGKNIGEIMFKGNTLMLGYLKSSKVSDEAFRDGWYRTRDLGVRLPNGSFSLKDRAKDGIYCKDEFVSSLEVEGVLMNHPKVLKVAVVGGFCEGLVESPCAIVKLKEGCSANVEDIIKFCEDHLDVHMVPKSVVFGDLPLNSTGKVQKFVIREKIKNNGWCDSVNLLSRINPQ >CAK8573575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621447296:621447685:1 gene:gene-LATHSAT_LOCUS26008 transcript:rna-LATHSAT_LOCUS26008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDIDDGSKVDMSSAIDELWKRFKSLDVVGKRELKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKDKRSVGYDVYKDPSYHEYVDQLSQSSQRKSQPSQTSKKLKSSQSSQKKSQSPQASKKLK >CAK8530223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8280072:8281736:-1 gene:gene-LATHSAT_LOCUS107 transcript:rna-LATHSAT_LOCUS107 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGTLPDEILTHILSFLPTTQAIATSILSKRWIHLWRHVPLLHFSDTRLKNLADNYRFNKFVYEILLLREAAGNQSINSFFLDIEYFYPKLAFCPSNPNIQNWVDYAVECNVQHLNLYLDVLRNVGKHRKFPKLPVSVFNCRTLVSLKLHWFHVEEFFCFDFVGFPSLKVLHLKEIYFDEYEEFLLLLAGCPVLEDLHVFDVNFGCLKTLLEFDNVFKSSSLSNLTRAYIRGCCCDFWLKALSNLKFLSIDFLKGGHPNIFPIFHNLTHLELNYDWYRVVEVLQHCPKLQKFDFHQKLPSGSDEYSIDPEFVPCCLSSNLTTCTLWGFSITSLQGELMVARYILKNAAVLQTMIIWCVGERSPELEKNLSACPRASATCRLSFN >CAK8540581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11270925:11272061:-1 gene:gene-LATHSAT_LOCUS9574 transcript:rna-LATHSAT_LOCUS9574 gene_biotype:protein_coding transcript_biotype:protein_coding MERWSGVFSVPLHSNTRTFHRVGASLCLSSQTKTFTVPIANAIFFCGDRVERTGNPLIEKLSDLQKLSEIVVLKFGSSINAWVIEASVFNGPFAVYNDFIPSVNQYGEPKAYCPIGFPASTSTVSLLSNCLEQVTKIISGSQVDTPCSLHQPKTFILGFSKGGTVLNQMVTELGFSDIGSNVISTGAEICVVPKTKEALLNSISEIHYVDVGLNSTGAYLTNRDVFERISGRLVQGAPRLRFVLHGTPRQWNDKQRDWIRKEKDEMLLLLESEAGKSGGKLEFLSRYYFADKPPNLQMHFEIIENLDVS >CAK8542143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:478209353:478209638:1 gene:gene-LATHSAT_LOCUS11009 transcript:rna-LATHSAT_LOCUS11009 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIYSKIDRVIGNLAWHQSNVATVLNVMENEVSKHALLVAFGLKDVNTRWNTPIRVTGIEALWRKLRRL >CAK8578156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610237306:610240889:-1 gene:gene-LATHSAT_LOCUS30194 transcript:rna-LATHSAT_LOCUS30194 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNLNLTIVILISIASLIIVSHAQTPTKTAIQIEIEALKAFKKSITHDPNKILANWIDTHPHCNWSGIECNNNSNHVIAISLPELQLQGQISPFLGNFSNLQLLDLSSNSFTGQIPIQISLCTQLTSLYLVDNSLSGSIPCELSNLNLLQYLDLGNNFLNGTLPGCLFNITSLLGIAFNFNNLTGKIPENIGNLVNTIQIAGFGNSFVGNIPVSIGRLRSLKSIDFSQNKLSGVIPKEIGNLTNLENVLFFHNSLTGKIPSELAQYSNLVYLELYENEFTGNIPHELGNLVQLETLRLFDNNLNSTIPDSIYRLKSLTHLGLSENNLEGTISSEIGNLRSLKVLTLHLNKFTGTIPSSITNLKNLTSLSMSQNLLSGEIPSNIGVLQNLKFLVLNNNFLHGPVPPSITNCTSLVNVSLSFNSLTGNIPEGFSRLPNLTFLSLGPNKMSGEIPDDLFNCSNLSTLSLADNNFSGSIKSGIKNLFNLMRLQLNENALVGPIPPEIGNLNKLITLSLSENRFSGHIPIELSKLSLLQGLSLYDNVLEGTIPDKLSELNRLTILLLHGNKLAGKIPDSISKLEMLSYLDLHGNKLNGSIPKSLGKLDHLLMLDLSHNQLTGPIPGDVIAHFKDMQLYLNLSYNHFVGNVPSELGMLDMAQAIDVSNNNLSGVLPKTLVGCKNMFSIDFSGNNISGPIPAEVFSGMDLLQTLNLSRNHFDGEIPESLSHLKNLSSLDLSQNNLKGTIPEGFVNLSNLMQLNFSFNQLEGPVPLTGIFSRINESSMVGNQALCGARFLRPCRENDHSLSKKSIAIIAALGSLAVLLVVVLLILYLNRGTMFGNSIKSDDTESHKPECGALALKRFSPKELENATGCFSSDYIIGASSLSTVYKGQFGDGQIVAIKRLNLHQFSANTDKIFKREASTLCQLRHRNLVKILGYAWESGKTKALVLEYMENGNLDSIIHDKEADQSRWTLSERVRVFISIASGLDYLHSGYDFPIVHCDLKPSNILLDRDFEAHVSDFGTARILGLHLEDGNGLSSSTALQGTVGYLAPEYAYITKVTPKVDVFSFGIIVMEFLTKIRPTGLSELDSLHDVVAKAVANGKEQLVNIVDPELITKDHGEVLEELFKLSLCCTLSDPERRPNMNEVLSALVKLKTAR >CAK8562246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:461224414:461224626:1 gene:gene-LATHSAT_LOCUS15759 transcript:rna-LATHSAT_LOCUS15759 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWTPRQNKQFERALAVYDRETPDRWQNVANMVGKSVEDVKKHYEILKEDVRRIEHGQVAFPYRTNNN >CAK8538118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464521762:464522993:-1 gene:gene-LATHSAT_LOCUS7352 transcript:rna-LATHSAT_LOCUS7352 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIMSRGVRKGAWTREEDKLLKACIDKYGEGKWHLIPQRSGLNRCRKSCRLRWLNYLNPTINRESFSEDEVDMIQRLHKLLGNRWALIAARLPGRTSNDVKNYWHTHLRKKMISKKLKEKKEKQKSNETLKAHEVIKPQPRTFSSHSPWLNGKNNVKIKDVSVAKDSNIKSIITPIIGDGDCAAPPCLGNSTLSTMWWESLLGANNDKIGSCSLLQEEGNSILGLPNIENYFVEVPNNVGDCNWDFSLCEFDSLLDILN >CAK8565250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:81348292:81351673:-1 gene:gene-LATHSAT_LOCUS18479 transcript:rna-LATHSAT_LOCUS18479 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPHTIIITYRTIELSLMSEQDSDSWSFLFLCLLILFSGKLVVGDSLETDKQVLLKLKSYLQNQTVSNRGDYIRWNMNNSKPCDWSGISCSMITGKTRVVSVDISASEIAGKMFDHFSQLSELTHLDVSWNTLCGEIPEDLRKCSNLVYLNLSHNILEGEMNLTGLRKLRTLDLSMNRLQGELALSFRDHCDGLVSLNVSDNHFLGRIDECFEKCSRLKYLDLSTNNISGALWNGISRLTQFSVSENFLSGNVPSHAFPMNCSLEKLDLSVNGFFGKPPKEVANCKKLEILNLSSNKFSGEIPREIGSISGLRSLFLQNNTFSRDIPDTLLNLSNLYILDVSRNKFGGEVQEIFGKFKQLKFLLLHANSYVKGLNESGIFTLTNLTRLNLSFNNFSGPLPAEISQMSGLIFLTLSNNNFNGTIPSELEKLSKLQALELAFNSFNGSIPASLGKLNSLLWLMLANNSLTGQIPHELGNCSSLLWLNLANNKLTGKFPSELTAIGRNAMETFDLNHKNMVSVVAGNSECLSMKSWIPADYPPFSFVYSILTRKNCRALWDRLLKGYGIFPMCSSEPSSRSSHKFGYVQLSGNMISGEIPSEIGNMLNFSMLHLGDNRFSGEFPKEIGGLPLIVLNMTRNKFSGEIPEEIGNMKCMQNLDLSWNNFSGAFPTSLVKLDELSRFNISYNPLLSGTVPLTGHLLTFDKDSYLGDTLLDLPKFFDNIPDRKNKTLHIQMKKTTKWYFCVALTLAIMVSGLLILTVYFLVKSSSEEQGKFLKDKNRKYNDSGSYGSSQWLSDTVKIFHLNNVVFTHADILEATSNFKEERIIGKGGFGTVYKGVFPDGRVVAVKKLQREGTEGEKEFKAEMKVLSGQEFGWPHPNLVTLYGWCLYGSQKLLVYEYIGGGSLEELVTDTKNLTYKRRLEVAIDVAKALLYLHHECHPPIVHRDVKASNVLLDKEGKAKVTDFGLARVVDVGDSHVSTIVAGTVGYVAPEYGQTWQATTKGDVYSFGVLIMELATGRRAVDGGEECLVECVRRVIGSGRNGLSHFGLVGGAKEMFELLFVGVKCTNDSPQNRPNMKEVLAMLIKIFDSHRNSNY >CAK8572123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512604397:512606893:-1 gene:gene-LATHSAT_LOCUS24722 transcript:rna-LATHSAT_LOCUS24722 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAVFKYEKVSSFFTCVLCDRVLRNATVISECLHTFCRECIERKIVDENLNHCPTCNVDLGGSPLDKLRTDKNTMALISKVLTSTRKYFETNENVVSTQVVTNKRKNSQSSSQSKAKKAKRDSKKFKKEVKKGKKILQEPRQLQEEVLITPQAPKTQASEIAVAADVKNDAKQQRGIEILDEVSTILSARKAKNVARKKFIRTELDSTSQPDKVTSDGKKDDFFPRLETFTETPKIRFKSSSKPESSNQKSVLKNVSSDKAEIRKEKAAAVSEPLNWFVETANADKSLNDSTLQGNGVIPMLVDSSDNDNSRSVSKVDVNKHCSHQTEAGGDQNESGPSKSSSLKFKIKRVDTNQEKRVRFSEDLNLPAPPETETKKEFGPFWFSLIASKDRELGPLPQISSHYLRVKDGSLTVSYIKKYLVQKLDLASEAEVEILLGGKPVYCSMQVQNLMEMWLETMSKKEMIETSVGSSAEDFVMVLSYGRKV >CAK8536856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29099079:29099429:-1 gene:gene-LATHSAT_LOCUS6183 transcript:rna-LATHSAT_LOCUS6183 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPKRGRGRPKSTVPPPPPLESLTSLKTHEIESRTTTPPDTSSKTPEIGARTNKEVTTTLENENKETLTGTTQAQPEERKLWVDIINDNRNPAKGLTVEYVAPKVVNGVIEIDI >CAK8541421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:158355885:158359211:-1 gene:gene-LATHSAT_LOCUS10341 transcript:rna-LATHSAT_LOCUS10341 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNKDEAPWNWHKEDDYGLQRSSNFDISQELWNQVPRNVDLPIVLDDETTPVKACGDFAYNVNNGESNDIQKGEVECFETCSQAKRRRMLQFNNQNGNHSLTDEPISSAYLELNGTGDSNADIFPEVSQWLSDVSDSASAPNYEDLRSAERWLADCFKDTDMQICPVNPNFAAANSVHVDVTGLSNLTPPPVEQNVVQHQTPRKIVFKGRKSIMQTPTKLASTVAYPFAFIKPCGVHGDVTLNEINKRIQTPPPSKSKQMKEDPIVYPKSAFSGKPVVGKTKIRTEGGKGSITIMRTRG >CAK8543743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635947293:635949235:-1 gene:gene-LATHSAT_LOCUS12483 transcript:rna-LATHSAT_LOCUS12483 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGRAVGIDLGTTYSCIAVWLDQQNRAEIIHNEQGNRTTPSFVAFTHDQRLIGDAAKNQAATNPENTVFDAKRLIGRKFSDSNVQNDKKLWPFKVISGVKDKPMICVKYKGQEKQLCAEEISSMILTKMREIAESFLELPVKNAVITVPAYFNDSQRKATMDAGAIAGLNVMRIINEPTAAAIAYGLDERTKRVGERNVFIFDLGGGTFDVSLLTINDKVFQVKATGGNTHLGGEDFDHRMVNYFAQEFKKKKNVDITGNSRALRRLRTACERAKRTLSFAVVTTIEVDSLYEGVDLFSLITRAKFEEINMDLFNQCMETVESCVIDAKIHKSDIHDVVLVGGSSRIPKVQQLLQGFFLGKDLCKVINPDEAVAYGAAVQAALLSDDFNNVPRLVLQDVTPLSLGISTHGDIMSVVINRNTNIPVKETKVYNTTIDNQTSVSIIVYEGERARASDNNQLGSFNLSGLPLAPRGHPLEVCFAIDENGILIVSANEKSTGSKNEITITNEKERLSSHEIKEMINEAENYRAADEMFLKKAKALNALDYCVYKMKKALEKKDLNLELSSQENEMINSEISKATHLLNSNQQSEIGVLENQLNELESLFQLVIAKSV >CAK8575623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211997323:211999133:-1 gene:gene-LATHSAT_LOCUS27871 transcript:rna-LATHSAT_LOCUS27871 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVIIRSANPYLATPESTIHGHYGEILSQGDILVTFLYEKSRSSDITQGLPKVEQIFEIRSIASISMNLKKRIDAWNECITKILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVHIDNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERTGRALEEAICYRALLLGVTKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRIMHQMLKAGVHFGHETRKWNPRMAPFISAKRKGNHITNLTKTARFLSEACDLAFDAASKGKQFLIVGTKRKVAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTETRLRKFRDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVIDTNCDPDLADLPIPANDDTMASIGFILNKLVFAICEGRSS >CAK8539124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504220824:504221991:-1 gene:gene-LATHSAT_LOCUS8250 transcript:rna-LATHSAT_LOCUS8250 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRSVKNKARVEGSICAHYLHRETSHFCSHYFNHMMLTPRIIRNEVHFSERSQFTLSVFGRLGRPSGKTSVHWLSEKEMQSAHVHVLINCVEVKPYLEAFNTYYFQSTGEQPSTGYTHAYFPAWFKQQLYCIVAPSPEIIHLRSLSEGPHQRANEWHTYFVNGYKFHTQTWTEGKKTINSGVVVKGVTEGGEDDFYGVVTHIYELVYNYMDSKNKVVLFYCDWYDPSSRGTKIDKKYNTVEIRMDRKYKEYDPFIMAHNVRQVYYVPYPSIQPSKRGWCVVIKSNPMGYIESDGVMENDVAYQDDEISPVNGVIEIEEITSLGDTVVVGQQVDATILLSANHVEEEEEVRRL >CAK8563597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619557958:619559412:1 gene:gene-LATHSAT_LOCUS16991 transcript:rna-LATHSAT_LOCUS16991 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMEEGEEKALKIYFIPYLAPGHMIPLCDIATLFASRRQHVTIITTPSNAQILRKSIPLNQRLRLQTVPFPSQEVGLPDGVESLSSATNPDNLGKIYQATTLLRPPIQRFVEEHPPDCIVADFLFPWVDELANKLQIPRLAFNGFSLFAICAIESVKANSLYESSSFVIPGLPHSISMNATAPKEMSEMLEALLETVFKSNGLIVNNFAELDGEEYIKHYEKTTGHKAWHLGPASLIRRTVKEKAERGEQSVVNMHECLSWLDSKRVDSVLYICFGSLCHFEDKQLYEISCAVEASGHEFVWVVPEKKGKEDESEEEKEKWLPKGFEERMIMTKKGLIIRGWAPQVMILNHAAVGAFMTHCGWNSTVEAVSAGVPMITWPVHGEQFYNEKLITQVQGIGVEVGAGEWKMMGFGEREKIVSGESIEKAVRRLMDGGDEAEKIRRSALEFGDKAKVAVQEGGSSHMNLTVLIDELTRLRDRKLIE >CAK8541750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:383349541:383350095:1 gene:gene-LATHSAT_LOCUS10646 transcript:rna-LATHSAT_LOCUS10646 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTFNVRGCCSSVKRRRISLIIQRGNANTFMIQETKCNKMEAGTVNSMWSIVDKDWSAQNSVGNSCGILSMWNTTRISALSSFSGKGFLGLHLAWNNHNLVVINVYAPCDPANKRRLWRDLINIKSNYPDVGWIVGGDFNLMKNREERQGLSGNNSRDMKEFNEFIEEFNVSDLPVFGSRFT >CAK8542213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489809766:489814217:1 gene:gene-LATHSAT_LOCUS11070 transcript:rna-LATHSAT_LOCUS11070-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFIGSWIIKTLLQNGYTVNTTVRPDPGQKKDVSFLTNLPGASQKLKIFSADLSIPESFTAAIEGCDGIFHTATPVDFEVNEPEEIVTKRTIDGALGILRACKNSNTVKRVVYTSSASAVSFQEREEDVLDESYWSDVNFLRNLKPFGWSYAVSKTLTEKAVLEFGKEHGLDIVTLLPTFVIGPFICSKLPSSIHGSMPFLFGDVDKNSFNISRLQMVHVDDVAQAHIFLLEHSNPKGRYNCSAFTATIDELINIISSKYPEVQIPKSKVLMGAKGPKIPHLTSKKLMDAGFEFKYSIEKMIEDTIECCKENGYL >CAK8542212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:489809766:489826713:1 gene:gene-LATHSAT_LOCUS11070 transcript:rna-LATHSAT_LOCUS11070 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGRVCVTGGTGFIGSWIIKTLLQNGYTVNTTVRPDPGQKKDVSFLTNLPGASQKLKIFSADLSIPESFTAAIEGCDGIFHTATPVDFEVNEPEEIVTKRTIDGALGILRACKNSKTVKRVVYTSSASAVSFHEREEDVLDESYWSDVNILRNLKPFGWSYAVSKTLTEKAVLEFGKEHGLDIVTLLPTFVIGPFICSKLPSSIHGSMPFLFGDVDKNSFNISRLQMVHVDDVAQAHIFLLEHSNPKGRYNCSAFTATIDELINIISSKYPEVQIPKSKVLMGAKGPKIPHLTSKKLMDAGFKFKYSVEKMIEDTIECCKENGYL >CAK8571616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:449320473:449324345:-1 gene:gene-LATHSAT_LOCUS24258 transcript:rna-LATHSAT_LOCUS24258 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPESSDSKGTKRDFSTAILERKKAPNRLVVDEAVNDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIECDPQEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLEKIAKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFHTALGSSNPSALRETVVEVPNCSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKAALRKSPIAKDVDIRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSDNPEAMEEDNEDDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADTSAGGTATAAADPFASAGGADEDDLYS >CAK8531088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:79829714:79830162:-1 gene:gene-LATHSAT_LOCUS902 transcript:rna-LATHSAT_LOCUS902 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKYATTANNGQYGYTDQMKHETRPELSPEEEDIVAKMFRLVGKRWHLIAGRIPGRSAEDIEKYWNAKFSSSTAS >CAK8567353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507750948:507751576:-1 gene:gene-LATHSAT_LOCUS20417 transcript:rna-LATHSAT_LOCUS20417 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVLHNHNTPPYSSSSSSRNHSQRDVRYSCGSCGYDLNLSSSNRNTSSIDSKYGKSIKRGMISFFNIDDTRFTQVDEVQCAPHFSKNLLWGLFRPRTKLRCRKCCNHIGYAYNGYTSSSSSSSSPSFILVSSNGTEPSPSIETPSQVKYEICIRALQPSFSLEYGNGVSALA >CAK8534694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756210166:756212898:1 gene:gene-LATHSAT_LOCUS4214 transcript:rna-LATHSAT_LOCUS4214 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRERSNKLIFGCDKGGKHRDIVSGTQSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTTDQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQNLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDNTYKTNKYRQPLFEIVGMTSIELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDKLWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRITYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSTIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNSGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGPQPPHQWLTLPDMGYVITNHYNVVLVCLGIECKTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFTFPPVTVDWKKFRSPVATSWMLGFAGRLQHWQQLTPILPTHYTL >CAK8531188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87614387:87615778:1 gene:gene-LATHSAT_LOCUS995 transcript:rna-LATHSAT_LOCUS995-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILGRVIGPPELKLSDPNGKIVKITVDLDKCHWNLAGRSMVEGKPVERWAILDFTSIGPYSKKLRRKEFVEKLIGKYKKLGIYMQEPIWYEESSMKILMSHDLLSELLEKVNNICKYNQGRLQFLLCVMANKSPGYKYLKWISETQIGIITQCCLSYSANQGDDKFYTYLALKINAKLGGSNVELNNRLPYFEGNEHVMFIGADVNHPGLRDIRSPSIVAVVATINWPAANRYAARVCPQFNRSEKILNFGEVCVELVGCYWRINGVRPEKIVVFRDGVSEYQFDMVLNEELLDLKSAFQRLNYFPTITLIVAQKRHQTRFFPDGWKDGSSGGNILPGTVIDTKVIHPFEFDFYLCSYYGGLGTSKPTHYHVLWDDHKFTSDELQKLIYEMCFTFARCTKPVSLVPPVYYADLAAYRGRLYHEARIGMQSKKSGGSSSSKDAFEQGFYRLHADLENIMFFI >CAK8531187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:87611052:87615778:1 gene:gene-LATHSAT_LOCUS995 transcript:rna-LATHSAT_LOCUS995 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDGYGGRGTQQPTDEVSLQPEWRRRTASTGREQNLTLQPEWRRGRSTATAPPSHSRAGDGAQKKDEEQKEEVILQPEWRRSRSTVTTTATAYPWNQNQNYGVRKPLGSSSSGVQRSRNIHESWRNAPPPDYVVPKLESLQISKDLAASSFSLERKDKALPIRRPDRGGTLAVLTSRLSVNHFLLKFNPESIIFHYNVTVKPNFSSKVGQPQKLSNNDLSMIREKLFSDDPERFPLDMTAHDGAKNIYSAVQLPEETVNVVIFDGEDEKITSYSVTITLLNTLRLHKLMDYLCGRSLSLPRDILQGMDVVVKENPARRTISVGRHFYPTNPPLVMKELRPGIIAVGGFQHSLKPTSQGLSLCVDYSVVPFRKQMSVLDLLHERINGFNLGEFEKFRKYVEEVLIGLKVSVTHRKSKQKYIVAGLTPSVTRHVTFPIDYTKGWKIEKDVNLLSFFNEKYDKDIVHKDIPCLDLGKGNRKNYVPMEFCVLAEGQRYPKERLDGASAKTLEAMALAHPSERQSAIQKMMQSSDGPCGGDIIQNFGMSVNTTMTTILGRVIGPPELKLSDPNGKIVKITVDLDKCHWNLAGRSMVEGKPVERWAILDFTSIGPYSKKLRRKEFVEKLIGKYKKLGIYMQEPIWYEESSMKILMSHDLLSELLEKVNNICKYNQGRLQFLLCVMANKSPGYKYLKWISETQIGIITQCCLSYSANQGDDKFYTYLALKINAKLGGSNVELNNRLPYFEGNEHVMFIGADVNHPGLRDIRSPSIVAVVATINWPAANRYAARVCPQFNRSEKILNFGEVCVELVGCYWRINGVRPEKIVVFRDGVSEYQFDMVLNEELLDLKSAFQRLNYFPTITLIVAQKRHQTRFFPDGWKDGSSGGNILPGTVIDTKVIHPFEFDFYLCSYYGGLGTSKPTHYHVLWDDHKFTSDELQKLIYEMCFTFARCTKPVSLVPPVYYADLAAYRGRLYHEARIGMQSKKSGGSSSSKDAFEQGFYRLHADLENIMFFI >CAK8564497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678186976:678187251:-1 gene:gene-LATHSAT_LOCUS17798 transcript:rna-LATHSAT_LOCUS17798 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLIWATAEDLNRNRGRVLSLYRQTLRSLNSPLLPLNFASRLAMKAKVRAMFWVGSDERSLHNIADLIDAAEYSLSFLKKGRFPPRHIT >CAK8579702.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717504575:717505202:1 gene:gene-LATHSAT_LOCUS31628 transcript:rna-LATHSAT_LOCUS31628 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAISELDKNGGNVVAEIVKLERKIFPKHESLASFFQNELKKKNSELLYLHVDGELAGYVMYSRPSSLYASITKLAVKEKWRRHGHGEVLLKAAIEKCRAKKVPRVMLHVDPLRTPALNLYKKHGFQVDCLVESYYSLDRNAYRMYLDFDSS >CAK8572144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:514467475:514468676:-1 gene:gene-LATHSAT_LOCUS24740 transcript:rna-LATHSAT_LOCUS24740 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLSGAENTKLINSIVPSGLGSVSLNFHKKCFFKKNAVSYGNKHRSTNLTLRCSSVSSTRPSSQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLNDRRMRVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKTKQKEALKECKIVEGDAEELPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSQFFADMWMLFPKEEEYIEWFKKAGFKDIKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLELGPKVEDVEKPVNPLVFLSRLIIGAIAATYYVLVPIYMWIKDRIVPKGEPI >CAK8562155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448708745:448709164:1 gene:gene-LATHSAT_LOCUS15677 transcript:rna-LATHSAT_LOCUS15677-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQMGHNRTRCPNVGTSSR >CAK8562154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448708205:448709164:1 gene:gene-LATHSAT_LOCUS15677 transcript:rna-LATHSAT_LOCUS15677-2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYALNQPTFYYCRSEIGMANADALRWIDSIPAEKWTRAFDGGRRWGHMTTNLVESMNTVFKGTRNFPITALVRATYYRLGSLFAERGGKWSVVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQMGHNRTRCPNVGTSSR >CAK8562153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448707557:448709164:1 gene:gene-LATHSAT_LOCUS15677 transcript:rna-LATHSAT_LOCUS15677 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLMPFVDKDPSIKVSVCISKIVSEFNFTLSYRKTWIARNKAIEQVYGNWESSYNELPDFLLALKKYVSGTVLEIETLPMYASDGTIVKGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNNNIFPIAFALVEGETAEGWGFFLRNLRRHVAPQPYLCLISDRHASIESAYNNAENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFYYCRSEIGMANADALRWIDSIPAEKWTRAFDGGRRWGHMTTNLVESMNTVFKGTRNFPITALVRATYYRLGSLFAERGGKWSVVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQMGHNRTRCPNVGTSSR >CAK8571723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465365940:465367046:1 gene:gene-LATHSAT_LOCUS24360 transcript:rna-LATHSAT_LOCUS24360 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >CAK8562728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:531764632:531765610:-1 gene:gene-LATHSAT_LOCUS16200 transcript:rna-LATHSAT_LOCUS16200 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATRRFLIVASRVDASLPPRDGGLAGGGGAKWILPSPSQSFNSAHTLSRFQLQMTKFQSQKKNTCGDTERIVISVPKGTSWK >CAK8532364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249776542:249778005:1 gene:gene-LATHSAT_LOCUS2079 transcript:rna-LATHSAT_LOCUS2079 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPKKRKAARRKKELNLSSTNNPPQGNHDLKIRNEKTDDGRGDNLPAYHENSDIRDQFDDGSEMVHKRDPSTARPIASDVVSVEEVCGKKDIVVMIKKGLRPKDGYEKENGRLEHIETAKELCYESGISNGESLAEKNSKDEIYNLLEEKTACHELVKPDLSSASNTTAVSMSGIAKCNTGVSPLLEVIDLTGKMNQDSVYPLTNANATALSLEDPKPKELNSKVLTSLSRSPLTNGAVHTKNSKTPECSKNQTSVRLAPNLVQKTSWWSCCGMFEVLSGSNR >CAK8578695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647380282:647381800:-1 gene:gene-LATHSAT_LOCUS30685 transcript:rna-LATHSAT_LOCUS30685 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNTKFLQLITKVITILCLLLQGHICDGGFNNEAEALHEFQNGLKDPSNLLSSWTVGEDCCHWRGVGCNTTTGNQLDTFSNDSYQANPQLCDIPITKACPKNSSFEDAHCSHTEEEHKNDNNHGDKRKGIVINPFYITMIAGFFTGFWVFWGSILLFTSWRHAYFRFLSNMEDRIYVTVVVTFNKLHRKLHTQKPPQ >CAK8539056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502587672:502589146:1 gene:gene-LATHSAT_LOCUS8190 transcript:rna-LATHSAT_LOCUS8190 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVMKNNYWILRHGKSIPNERGIVVSSIENGTRSEFQLAPDGVNQAQLAGNLFQKELEANNIAIANVRICYSPFSRTSHTANVVATELNIPFDGSQCKVFDDLRERYFGPSIELLSHDKYAEIWELDAKDPFVGPEGGESVKDVAGRLARTMAVIESEFQGCAILVVSHGDPLQILQTVLHGANKHKELTSDDLASILAAVQVAPILSQHRQYALNTGELRRVI >CAK8530739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46345782:46346036:-1 gene:gene-LATHSAT_LOCUS582 transcript:rna-LATHSAT_LOCUS582 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIESGGVMEDDVVYQDDEISPMNGIIEIKEITCLGDTVVVGQQVDAAILLSTNHVEEEEEESGDYEDNNIVSDEDSDDYDDE >CAK8575235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57403642:57404521:-1 gene:gene-LATHSAT_LOCUS27514 transcript:rna-LATHSAT_LOCUS27514 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPHTCPLARIRPLVGRYVPPEVVVVRVPLLHLSNFQINDFPDLSAKSFAIMELVDVVADQNLGHRICKHPFEFLVVHRTLDGRCWHL >CAK8570044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21737552:21744341:1 gene:gene-LATHSAT_LOCUS22831 transcript:rna-LATHSAT_LOCUS22831 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEVSRVESLRRVSSSSMWRNNSMDIFSTSEREDDEEALKWAAIERLPTYLRIRRSIINDEEGEGREIDIKKLGLTERKVLLERLVKIAEEDNEKFLLKLKERMDRVGIDIPTVEVRFEHVNVEAQVYVGGRALPSLFNFFVNGLEGFLNYLHVVPSPKKSLHILQNVSGIIKPQRMTLLLGPPGSGKTTLLLALAGKLAKELKNSGRVTYNGKGLEEFVPQRTSAYISQHDNHIGEMTVRETLAFSARCQGVGHNYDMLTELLRREKEAKIKPDPDIDAYMKAAALEGQQASVVTDYILKILGLEICADIMVGDGMIRGISGGQKKRVTTGEMLVGPIRVLFMDEISTGLDSSTTFQIISSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGIADFLQEVTSRKDQWQYWANKDEPYSFVTVKDFAEAFQIFHIGQKLGDELANPFDKSKCHANILTTKKYGVNMKELLKACASREFLLMKRNSFVHIFKVTQLIYLAIMTTTLFLKTKMHKDTVEDGGTYMGALFFTVLVAMFNGISELNMTIMKLPVFYKQRDLLFYPSWAYSLPPWILKIPITLIEVAIWEAITYYAVGYDPSFLRLLKQYLILLCINQMASSLFRLMAALGRNVIVANTVGSFALLVVMVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGHSWRKVTQISNETLGVLTMKTRGFFPQAYWYWIGVGALIGYVFLFNFLFTLALQYLSPFRKDQAGLSEEELQERDASIAEEFTQLPTRKRISETKMAEEELMPSASFSARVDNGKASRSGRRGMVLPFQPLSLTFDEIKYAVDMPQEMKSQGVFQDRLELLKGITGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKNQKTFARISGYCEQFDIHSPNVTVYESLLYSAWLRLPPEVDHATRKMFIEEVMDLVELNSLREALVGLPGENGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLMKLGGEQIYAGPLGRHCSHLIQYFEAIQGVPKIKDGYNPATWMLEVTSAGSEANLKVNFTNVYRNSELYRRNKQLIQELSIPPQGSKDLYFDTQYTQTMLSQCKACLWKQHLSYWRNTSYTAVRLLFTTLIAFLFGIIFWNIGLKRRKEQDLFNAMGSMYSSVIFIGVQNGASVQPVIAVERTVFYRERAAGMYSALPYALAQVIIELPHILVQTLIYGIIVYSMMGFEWTASKFLWYIFFNYFTFLYYTFYGMMTMAISPNQHVAAIMSTSFYAIWNLFSGFIIPLSRIPIWWKWYYWVCPVAWTLYGLLASQYGDNMDRLENGQRVEEFVRNYFGFEHDFLGVVAIVVAGFSVLFALIFTFGIKAFNFQKR >CAK8563044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:569096855:569105802:-1 gene:gene-LATHSAT_LOCUS16492 transcript:rna-LATHSAT_LOCUS16492 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQPPPPPPVATATASDVNQGAESIQPTNMDQQNAVDESVKPSSTTSDFVNSEPLREEQVQNAVKFLSHPKVKGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDAAPTVQTDGGNQDGRLKSSSNVQQQAQQQTLQPVLPASAGVNTSSGTLARTKYHWSHALILVGVLTASGAGTVLIIKNSLLPRLKSWIRKVVLDNDDELSKETNNKPTLAEEVAQAAKSAAAAAADVAKASQDMLASKGEERKYFVEVVSLLDKQIQEMKLMTNAIRRLEGQEDLRVSQTSSKRLVANGKADFDLRSGRSLSPPASVELSGPPHPKSYMEIMAMVQRGEKPSNIREINDLPPNPSQQQSDPRILPRSKPWEIGQVQNVSSQVFQPQAYGEELYTKVQDTTQSNGDDPSPWWQKKNVRIREIDESASNGAPYGTTPSQQPLQRVWVPPQPPPIAMAEAAEAIRRPKQAVQKEQVSDNQSVAQSSDTSNSNGVHEIPKPLETDVAVEVSNVSSGEIQVVQEDHETK >CAK8579212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683979563:683980013:-1 gene:gene-LATHSAT_LOCUS31176 transcript:rna-LATHSAT_LOCUS31176 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSFFYELGMSAISSVLLYYLKAVFGFNKNQFSELLMMVEIGSIFSQIVLLPILNPLVGEKVILCLALLASIAYVSLSFFSFHLTCFLKPKSLIP >CAK8572680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555289223:555292384:-1 gene:gene-LATHSAT_LOCUS25213 transcript:rna-LATHSAT_LOCUS25213 gene_biotype:protein_coding transcript_biotype:protein_coding MDGANTNAHVGLTSPGWIGADVFHLNLHKAFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGILAPENPQPLGSISAAPWGSALILPISYTYIAMMGSQGLTDASKITILKANYMAKRLESYYPILFRGVNGTVAHEFIIDLKGFKNTAGIEPEDVAKRLMDYEFHGPTMSWPVAGTLMIEPIESENLGCF >CAK8565036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:30477405:30481974:-1 gene:gene-LATHSAT_LOCUS18277 transcript:rna-LATHSAT_LOCUS18277 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLHPPPCITWSSSISSTAPLTCSTKFLNENHQKFSKPLPSFRIQSLKRSSKPLSQGLQKDPNKDLSRILRTEAAIKGVENKAKSWKHKQLLPKAVLEALDDAIKRCQWHNALMIFELLRNQYWYEPRCQTYTKLLMMLSKCKQPKEASQLFETMLFEGLKPTVDVFTALVSVYGQSGLFRHAFSIVEDMKSVADCTPDVYTFSVLISCCAKFRRFDLIERVLADMSYLGIECNNVTYNSIIDGYGKAGMFEKMENSLIDMIENENCQPDVFTLNSLIGSYGNGGKIDEMEKWYDEFQLMGIKPDIKTFNMMIKSYGKAGIYDKMKTVMDFMERRFFTPTIVTYNTVVEVYGKAGEIKKMDEHFKKMKHIGMKPNCVTYCSLVSAYSKAGLIDKVDSILRHVENSDVVLDTPFFNCIISAYGQAGDLKKMGELFLSMRERKCKPNKATFACMIQAYNSQGMTEDAKKLEEMMITAKDSLDTKLIGC >CAK8573164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:590137486:590142474:1 gene:gene-LATHSAT_LOCUS25642 transcript:rna-LATHSAT_LOCUS25642-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKIAVLFFFLHLSIPVNSSPSQHLQIINAERRIDLNSHIIKVYLTLKVENSGTSPTSEVHLAFSPAEAEHLAVVKAAATTGKKKKKTYVPLDVKSAEIPDGPNGTKFFAITLLTPLSKGESITLEVLYLLTHSLEPFPVEISQSDSQLVYFRDSAILLSPYHVKEQTTFIKTPSARVESFTVLEPTKRAGSELRYGPYDSRTPYSYSPVLVHFENNNPFAVVEELEREIEISHWGSIQVIERYKLVHAGARHKGVFSRVEYQTRSGASGVSSFKSLLAKLPPRVHSVYYRDEIGNISSSHLRTDFLKSELEFEPRYPLFGGWKSTFLIGYGLPLQDFLFESSDGRRYLNFTYGCPLAETVVDKLIIKVVLPEGSKNPEAVIPFQVDQHLETKYSYLDIVGRTVVVLEKRNVVPEHNIPFQVYYNFNPIFMLAEPLMLASVFFLLFAASVAYLHMDISIRKS >CAK8573163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:590137480:590142474:1 gene:gene-LATHSAT_LOCUS25642 transcript:rna-LATHSAT_LOCUS25642 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEALLKIAVLFFFLHLSIPVNSSPSQHLQIINAERRIDLNSHIIKVYLTLKVENSGTSPTSEVHLAFSPAEAEHLAVVKAAATTGKKKKKTYVPLDVKSAEIPDGPNGTKFFAITLLTPLSKGESITLEVLYLLTHSLEPFPVEISQSDSQLVYFRDSAILLSPYHVKEQTTFIKTPSARVESFTVLEPTKRAGSELRYGPYDSRTPYSYSPVLVHFENNNPFAVVEELEREIEISHWGSIQVIERYKLVHAGARHKGVFSRVEYQTRSGASGVSSFKSLLAKLPPRVHSVYYRDEIGNISSSHLRTDFLKSELEFEPRYPLFGGWKSTFLIGYGLPLQDFLFESSDGRRYLNFTYGCPLAETVVDKLIIKVVLPEGSKNPEAVIPFQVDQHLETKYSYLDIVGRTVVVLEKRNVVPEHNIPFQVYYNFNPIFMLAEPLMLASVFFLLFAASVAYLHMDISIRKS >CAK8569674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7797160:7798612:-1 gene:gene-LATHSAT_LOCUS22500 transcript:rna-LATHSAT_LOCUS22500 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLSSGRGKEEAREQEENNNSANNTNNQFLFRNEEIYNNKGFEIWPQPQQSSFHHQQNLNNFYSFGVGGGGGSSSRRNENNLNDDVSVSFSDESTRFGLTVMRSSGGGLSGGGMNCQDCGNQAKKDCPHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQHQLSSLQQQNQQQQQQFHSSRRHRENPNDTAGGASGSLACTPIPITTTGLELGNFPPELNSPAVFRCVKVSAMDAQDERYAYQTAVNIGGRVFKGILYDQGLESSYTSANAVGGGGGGEGSSGGGSGGGEGNQQNLITTTATNVNNPFEYSSLYPAPLNAFMAGTQFFPPPRS >CAK8541675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:348746404:348747647:1 gene:gene-LATHSAT_LOCUS10578 transcript:rna-LATHSAT_LOCUS10578 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPSISKTTMMMEKVMSSNWSEQGSEDDELLRELLDDETPLFMLPQERVNEVSNNTSHDSSKDHQPFNRFISNIYSGPTISDIENALLVTNHREHFPQISSRVSILERSLSKIENKYTLKIKCFGNGMGDDGYRWRKYGQKSIKNSLNPRSYYRCTNPRCSAKKQVERSNEDHDTLIITYEGLHLHFTYPYFLVGQSHQSNFHPPIKKSKPTSSKRPTAHQGDDVLEGQNIQEPHEAQASASLGPISPTLLDSTQDLTQENLGSQGLLEDMVPFMVRNPTNNVDSDDYSEFSFFSQHSPPMLWTSGCSTSTS >CAK8540728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:17436807:17437947:-1 gene:gene-LATHSAT_LOCUS9702 transcript:rna-LATHSAT_LOCUS9702 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNSRKPNEIFTAQAQLYKHMYAFIDSMCLKWCIKMNIPNIIHNHGQPISLSNLVSILQVPPTKFGNVQRLMRYLAHNGLVEIVKNQELEEAYALTVTSELLVKGTKCCLAPLVESYLNPDALDSFHQLNKWICEEDLTLLDVTIGSDFWEYLNTNPERHRSFNEAMASDTKIIKLAMKDCNLVFEGLESIVDVGGGNGTMGKIITETFPKLKCVVFDRPEVVENLSGNNNLAYVSGDMFISIPRADAALLKFILHDWTDKDCIKILKKCKEAITSDEKKGKVIIIDVVINEKKDDIQITQVKLQMDIFISSVNGKERNEEEWKKLFVEAGFKDYKISPLTGLLSLIEVYP >CAK8532269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:234940400:234940684:1 gene:gene-LATHSAT_LOCUS1996 transcript:rna-LATHSAT_LOCUS1996 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSNYPSSFSYDFNYDVFISFRGPDTRFGFTSNFYKAFSDNGIQILIDDQELRRGDEITPSLFKNIGDSRIAIIVFSKNYASFHFVWTNLYT >CAK8561635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:333553839:333556553:1 gene:gene-LATHSAT_LOCUS15209 transcript:rna-LATHSAT_LOCUS15209 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQFLLTSLILHILSGSLSVSAPPSTSSPAKLFSGFVSNAVPAFTKWVWSLKATTKPGVLSKSMMKFESGYNVETVFDGSKLGIEPYAVEVLHNGELLILDSANSNLYRISSSLSLYSRPKLVAGSAEGYSGHVDGKLREARMNHPKGITIDDRGNIYVADTTNMAIRKISDSGVTTIAGGKWSRGGGHVDGPSEEAKFSDDFDVVYVGSSCSLLVVDRGNQAIREIQLHFDDCAYRYGSDFPLGIAMLVGAGFFGYMLALLQCRLGTIVESQDAQVPVTVMPSVSPSTYQKPLKSIRPPLISSEYEPEKQEEGFFGSLGKLLSNAGASMVEIMGGLFPVFRRRPQNSQYHRETLIQQPQKLVNDWPAQESFMIPREDEPPSIDTRAPTPQKTYPFMSKDAEKIKQLRQSKAFYSGWDGDQHQPQPHQPQQQQLQQQKHHLRHQYQSSAPHTFYEQSNETTNEVVFGAVQEQESKKESVIITPVEYGGSLYEHRNIRPRMSSMGYTYTHNY >CAK8536903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35930194:35930651:1 gene:gene-LATHSAT_LOCUS6228 transcript:rna-LATHSAT_LOCUS6228 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEIYLETHIKKNGEFVDKRSKDTQEEYDRKVALSFSDHPELPPPPQRYPIDPCLSFRTWYNTARGKRKKGRVYGAGGYAKTIKPRDRTFKMRLDDGEESSRPPILTANMLEIARNLA >CAK8542051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:461142313:461143881:-1 gene:gene-LATHSAT_LOCUS10925 transcript:rna-LATHSAT_LOCUS10925 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIGKDSNERVPLSGVVADCVKRWFKDTLREAKGGDVNMQVLVGQMYYSGYGVPKDAQKSKLWLTKASRVRSSVWKVGDKRPGYNASDSDSDESDEDS >CAK8575635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:224261130:224262067:-1 gene:gene-LATHSAT_LOCUS27879 transcript:rna-LATHSAT_LOCUS27879 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRGGGFISLENLIFFAQKYPASFQRLLLKQDGVRAEWEYPFAVARINISFMLVQMLDLQSVHPSSLPGIYFLRLLEEDEMAFDILFCIAFQMMDAQWLAKHATYMEFNDVLKSTRTQLERELTLDVGIVMTKLLLS >CAK8575493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:138137462:138142445:-1 gene:gene-LATHSAT_LOCUS27752 transcript:rna-LATHSAT_LOCUS27752 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYRESPSSSFGPPQSKSRHNSQGDANFLDDETTKNFAQKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIKDCRTRYNGDADHHQRRKKFSFPARLMCGDCYEVRLDKVLANDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIKKLREAEGLTFGNSVYWICFDEEFSDKKFKSSSPFGTKYTFHLEDAVDCPEWIVPFDVFKSLAEEYDFELVFAKNSHEFVHEYMKRPEFVELMRRLGALGDGNQDQGTLSADEWEAAYLYMSFVLRKRGQPDKNQVSSKKDRGLMHITEDDIMFINGNDY >CAK8574074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:657799309:657801643:1 gene:gene-LATHSAT_LOCUS26452 transcript:rna-LATHSAT_LOCUS26452 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLNTTLRFGSQNHNPLNHYPHNPISISIKLKKSSTLRLTAKKKSTIEGISDELNSVASLNLDFAPSRRHVREAFTHVQHKLDHFLFKTAPSGIRTQEWYETNSRGLEIFCRSWMPEHGVPIKGALFFCHGYGSTCTFFFEGIARRIAASGFGVYAMDFEGFGLSEGLHGYVPSFDDLVDDVIEYSTKIKAKPEVRDLPRFIFGQSMGGAIALKAHLKQPNDWDGVILVAPMCKISDGMIPSTTVLNVLTLLSKMMPKAKLFPYKDLTELIIREPGKRKFAGYNVVSYDDKTRLRTGMELLSATQDIGSQLEKVSAPLLILHGAEDKVTDPLVSQFLYEKACSKDKTLKIYEGGYHGILEGEPDERISSVHNDIISWLDFRCSR >CAK8574075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:657800353:657801643:1 gene:gene-LATHSAT_LOCUS26452 transcript:rna-LATHSAT_LOCUS26452-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHGVPIKGALFFCHGYGSTCTFFFEGIARRIAASGFGVYAMDFEGFGLSEGLHGYVPSFDDLVDDVIEYSTKIKAKPEVRDLPRFIFGQSMGGAIALKAHLKQPNDWDGVILVAPMCKISDGMIPSTTVLNVLTLLSKMMPKAKLFPYKDLTELIIREPGKRKFAGYNVVSYDDKTRLRTGMELLSATQDIGSQLEKVSAPLLILHGAEDKVTDPLVSQFLYEKACSKDKTLKIYEGGYHGILEGEPDERISSVHNDIISWLDFRCSR >CAK8538360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:478885394:478885919:-1 gene:gene-LATHSAT_LOCUS7572 transcript:rna-LATHSAT_LOCUS7572 gene_biotype:protein_coding transcript_biotype:protein_coding MRITTSTSNQEYKITRLSELPCNLQKFTLKKKGCESGQDDPEEITVYDYFVNRQNIALAFSAALPCINVGKPNRSVYIPIEALKNMNYNSEPMIHKCGISTRM >CAK8532787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516419425:516420363:-1 gene:gene-LATHSAT_LOCUS2459 transcript:rna-LATHSAT_LOCUS2459 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEAEVKLSSARNLKNVNWRNGPNRPYGVVWVDSKNKFSTKADENGDTEASWDQTLVIPLPPQPIEDLTLYIDIVHAGSEQDTKPLIGSAKLKLVEILDDAGIGKRVSRSLTLKRPSGRPHGKVDVNVTIREPGYPAPGSYYTPPYGVPPPLAPSRDYNYNSSAGYGEPYGAPAQNYGYSTAVPPGGYPYNAGPQTMASYGQGGSYGLQTASYGQGSNYGYGQVEEKKKSKFGGMGAGLAVGAVAGVLGGVALVEGAEYLEDKIADDAAEKVEDDLGYDDDDGGYDGDDF >CAK8532788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:516419425:516420363:-1 gene:gene-LATHSAT_LOCUS2459 transcript:rna-LATHSAT_LOCUS2459-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEAEVKLSSARNLKNVNWRNGPNRPYGVVWVDSKNKFSTKADENGDTEASWDQTLVIPLPPQPIEDLTLYIDIVHAGSEQDTKPLIGSAKLKLVEILDDAGIGKRVSRSLTLKRPSGRPHGKVDVNVTIREPGYPAPGSYYTPPYGVPPPLAPSRDYNYNSSAGYGEPYGAPAQNYGYSTAVPPGGYPYNAGPQTVGYGAQTASYGQGGSYGSQMASYGQGGSYGLQTASYGQGSNYGYGQVEEKKKSKFGGMGAGLAVGAVAGVLGGVALVEGAEYLEDKIADDAAEKVEDDLGYDDDDGGYDGDDF >CAK8533461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:620428520:620430600:-1 gene:gene-LATHSAT_LOCUS3084 transcript:rna-LATHSAT_LOCUS3084 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFLTETGVNLLEKRFIPSLEKTSKTCHLYFTKTHTLFLHNLLNGDGIQSIAQFTNQILFDDYRISSQNDDRIAFVIDLSLLLRALRSSVAICSDYSAAVPNRLQIKLVKKLSQNCSIAAPFLTFETRGFKSAVVQDIPISKPLSRAQVVELQSALDMAQDIPQTLIQVPDLNQLLNLVDRMKHVGDAVDVSISKYGDLSVQVSTTLISLGAEFRKLLVIGEQANAPAEDQNLSAQTRSSRSISRGDAQSVQVSVKHFAKSLQCHLAKPDCAFYGIAPQGSCLTVIFQFFIPGTRQTDKSISYHCRLPVLDPGAG >CAK8531478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:113897076:113900629:-1 gene:gene-LATHSAT_LOCUS1267 transcript:rna-LATHSAT_LOCUS1267 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDHLFNLRNNFYLGAYQAAINSSEVNGLSQDDVVERDTLVYRCYIALGQLQFVISEINDSAPTPLQAVKLLALYFSSPDSKESAISSIKEWLADPAIGNNATLRLVAGIIFLHEQDFNEALKYTNAGGTMELTALNVQIFIKMHRSDYAERQLRIMQQIDEDHTLTQLANAWLDLSVGGSKIQEAHLIFQDLSERYQSTSLLLNGKAVCCMQMGNFDEAETLLVEALNKDARDPETLANLVVCCLHLGKPSSKSFSQLKLSHPDHVLVKRVSAAEESFDRALQSVS >CAK8544909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711597278:711598375:-1 gene:gene-LATHSAT_LOCUS13553 transcript:rna-LATHSAT_LOCUS13553 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGHDLSNRSLYEDTLIFLTSQTPFTLLPLTNSSKSLMDSSLPSFNSASSSSSWVSFPSVPSKRVSNENMQEFFYLQNGGDCGLALLKNATVFLSTLTFNPTFLSAISVLLVTQSTKVFLKFYKEREWDFELLLASQGMPSTRSALCSALTTSVALTHGVAGSLFPISLGLSVIAMCDAVSARRHVGCQALAVNGLLDELFTGPPASDEKIDEDVGDTLPQVFTGALIGSAVAVLCSLGLRLLRGA >CAK8570105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23846073:23848863:-1 gene:gene-LATHSAT_LOCUS22887 transcript:rna-LATHSAT_LOCUS22887-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRGISGMEVPKKRTGLVFRETANTRDDQNRSRAGCSSAANPPAQQKSSEKVKTLRPSTQSSSSGKEVVGSSRRTPASPAKPVKEPRRTLSSSGRPAEEKGRESSNVVRAEVGKSGAVSNLRSQRNFNQRPGLRQRETESIGPVTQAVSSKYGLRNLRCNTMSDAIPSSCSSSDSTLNRRKTTVLKLRSSEGESSSTLKGKKMTGSSLERLNSGSRNGSSSSDTRGSRNIPPLRDNSRAFVRTERTVNGYARGRFSNQGNENSKENNGDNSRTSVRTETKISGYARGRFSNQGNENSKENNGDNSRTSVRTETKISGYARGRFSKQANENSKENNGDNSRTSVRTETKISGYARGRFSKQANENSKENNGDNSRTSVRTETKISGYARGRFSKQANENSKENNGDNSRTSVRTETKINGYARGRFSKQANENSKENNGDNSRTSVRTETKISGYARGRFSKQANENSKENNGDNSRTSVRTETKISGYARGRFSKQANENSKENNGSRDVLPISPHSIDLNSPVTEEFRGVMPMSPEEYGTPHSLIIQDGSRYNMDGISEVLLALERMEQDEELSHEQIDLLETNSILDGLSFYDTHRDMRLDVDDMSYEELLALEERMGTVSTGLSEEALSDSLKKSVYESTLSDDAADCVDEDNDDIKCCICQEEYVVGDEIGRLQCSHKYHVDCVQEWLRLKNWCPMCKESATLSSSSSSSSSLSSH >CAK8570104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23846073:23848863:-1 gene:gene-LATHSAT_LOCUS22887 transcript:rna-LATHSAT_LOCUS22887 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRGISGMEVPKKRTGLVFRETANTRDDQNRSRAGCSSAANPPAQQKSSEKVKTLRPSTQSSSSGKEVVGSSRRTPASPAKPVKEPRRTLSSSGRPAEEKGRESSNVVRAEVGKSGAVSNLRSQRNFNQRPGLRQRETESIGPVTQAVSSKYGLRNLRCNTMSDAIPSSCSSSDSTLNRRKTTVLKLRSSEGESSSTLKGKKMTGSSLERLNSGSRNGSSSSDTRGSRNIPPLRDNSRAFVRTERTISGYARGRFSKQANENSKENNGSRDVLPISPHSIDLNSPVTEEFRGVMPMSPEEYGTPHSLIIQDGSRYNMDGISEVLLALERMEQDEELSHEQIDLLETNSILDGLSFYDTHRDMRLDVDDMSYEELLALEERMGTVSTGLSEEALSDSLKKSVYESTLSDDAADCVDEDNDDIKCCICQEEYVVGDEIGRLQCSHKYHVDCVQEWLRLKNWCPMCKESATLSSSSSSSSSLSSH >CAK8562324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473449939:473450322:-1 gene:gene-LATHSAT_LOCUS15830 transcript:rna-LATHSAT_LOCUS15830-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSKRSKISSIRTYSSSSNPENPIDCSEYNNATQTDRPAGQKAEKRKGKGKTSPSITPIVDLTDIERASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMNDEQRREHEEICQSIKEKYFKRS >CAK8562323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:473449939:473450427:-1 gene:gene-LATHSAT_LOCUS15830 transcript:rna-LATHSAT_LOCUS15830 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARKFFFQVHHQRFTIEHAWRLLKDESKWKGQEMDNSSKRSKISSIRTYSSSSNPENPIDCSEYNNATQTDRPAGQKAEKRKGKGKTSPSITPIVDLTDIERASEKKLAIYGKIAEAKLAESIPVLYEILMKDKSTMNDEQRREHEEICQSIKEKYFKRS >CAK8530177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4330725:4331492:-1 gene:gene-LATHSAT_LOCUS65 transcript:rna-LATHSAT_LOCUS65 gene_biotype:protein_coding transcript_biotype:protein_coding MLILDYHRISVTLDHGPSKTNHRKAKETVLGFTVPSSKCYSLCFNNLSSATRTRIKLPFQFAAFKLPV >CAK8543713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633429654:633431112:1 gene:gene-LATHSAT_LOCUS12454 transcript:rna-LATHSAT_LOCUS12454 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPILGSLLPSFTDSTNHTHSLHLESCHMLNHLPPSNHRLSCSSSPMSPSIADLERQNKGLVRRVQSEGNLEDLAYATCNNEERFNCLDPSKRYSGKQRGLPLETIPSFSLSKQTGLREEEEDEESDIEDEGYDEYSVMNRMMVSEEVEDRVCRVSFGDEGEVGNREMYLAKGLGIDICGGGDGGRGGGNGGGDYNSMGSDRNDGDNNNHGVEEYYKKMVQQNPGNPLFLRNYAQFLYQCKQDLEGAEEYYSRAILADPNDGEVLSQYGKLIWELHHDEERASSYFERAVQASPQDSHVQAAYANFLWDTEEDEGTGCNDSQCLPQHFHFGEMATTGA >CAK8561204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117325625:117325876:-1 gene:gene-LATHSAT_LOCUS14814 transcript:rna-LATHSAT_LOCUS14814 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWALEGFGVAHILQEMLTYKSYHIKGHQEVLGTTIIGGTIPKPVDVTESFRLLVRELRSLALELNHFLVPEKDFQIQRKEV >CAK8569137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675078471:675086264:1 gene:gene-LATHSAT_LOCUS22021 transcript:rna-LATHSAT_LOCUS22021 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNSSCACCGVSTATRAFQFQFRTSPSLFSFSPTKILKLRSGIARAVSGFSDESNPNGEPKSNYSGVLLEEIVDGGIKSGKLRLDSWISSRINGISRARVQSSIKAGLVHVNGRVVDKVSFNVRAGDEIKCTIAELQQLRAVPENIPLDIVFEDEHLLVINKPAHMVVHPAPGNTSGTLVNGILHHCNLPNVEYSKEEALSDTEDFDDDLNGFSSKASSCEGLDPRLSMPSIRPGIVHRLDKGTSGLLVVAKDEHSHKKLSEQFKLRTIKRVYISLTAGVPTPVAGRVEVPVGRDPNNRLRMTAVAGAVNSVKARHAASRYKVIEILAGGSCALVEWKLETGRTHQIRAHAKYLGVPLLGDEVYGGTKSMVLSLLRPRTTPSLHGEMVKTVSELDRPCLHALTLGFEHPHTGEQVHFSCEPPVDFNEILSQLRRIGRDRISLSKVKFA >CAK8560305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:13818438:13820611:1 gene:gene-LATHSAT_LOCUS13989 transcript:rna-LATHSAT_LOCUS13989 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVLSFSFILLFIIFIASIISLRKTLFKNPKPILTRFPETPDPTLLSLTDSQIHQPGEDESTPNETAPLGTVQSTAFSDDDSNQCELKKKKKRKTKKKKIICSGIEDDDDKRSDGVERESSGSDTRPDSGRLNPFTSSSSVMQKKIKPQYDELVKCNDSKKLTLSQVVQFANSLVAARSELQHKADVTQRKFVITKALLCKADRSSINRLRQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYNKMLEIGVSMEKEKSCKMGERREDEFSDISFEELLAQEKKDSFWQRNGKSK >CAK8530747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46740918:46748020:1 gene:gene-LATHSAT_LOCUS590 transcript:rna-LATHSAT_LOCUS590 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPYSMWLCILSASLYYFFFTCLAMSTKKSITTDEFALLAFKSSITLDPFHMLRNWSISSNSSSFSSCKWIGVTCDEHHGRVNALNLSHMGLQGTISPQLGNLSFLLVLDLQANSFHGELPPQELFQINLSNLSTSSYSIAGTIPHVIGQLRRLRILDIRDNVKLSGIIPKTISNMSSLQELHLSNNSLTGEIPKGISDLMQLRVVNLENNILTGNILFMFDNSSSLQNLNLGFNNLSGNLPSNVCQGIPNLKILYLYANDLSGKIPKVWSYCKELEDLELSYNNFDKGPLSADIGNLTKLQFLYLSNNNLEGAIPLSLFNISSLIQINFDINHLNGTLPQDMCHQLPHLEIITLHVNYLEGFIPRSIGNCTTLTRLTLQDNFFTGSIPMEIGHLNQLEYLQFGSNNLSGSIPLNILNISTMVSFLLHLNSLSGNLPSNMGFGLSNLLQLHLYGNKFVGKIPSSISNASSMYELDLSENEFSGVVPSSLGDLTFLKSLFLGGNNLTLIDDSHEFNFLTSLASCRYLKYLDISQNSLLSKLPKSIGNLSVENFSATSCGIRGNIPLEIGNISNLIRLSLSSNDLNGPIPITIKGLHELQYLDLGDNGLRGSLLHELCEFRSLSELYLTSNKFYGVLPTCLGNMTSLRKFHIGSNRLTSTIPTSFWNLKDILEVNLSSNALIGNLPFEISNLRALVLLDVSKNQISSNIPPTIGLLNTLETLSLANNKLKGPIPTSLGEMVNLGFLDLSQNLITGVIPKSLESLSLLKHLNLSYNRLQGEIPNGGPFKNFTAQSFIHNEALCGSPRLKVSPCENHIRKMSMTKKLLIICMSSIIVVLGILVVACVILRMHKRKKIGNPIEKDLSTLGAPRRISYYELVQATNGFNESNLLGKGGFGSVYQGMLTSGKMVAIKVLDLNLEATSRSFDAECNAMRNLRHRNLVQVITSCSNLDFKSIVMEFMTNGSLEKWLYSENYFLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDEDMVAHVSDFGISKLLDEEHSKTHTETLATLGYVAPEYGSKGVISVKGDVYSYGIMLMEIFTAKKPTNEMFAGELSLKTWISESMAKSVMEVVDFNLVSQHEKEIHEVLVLALRCCEDSPEARINMMDVTTSLIKIKKGNQ >CAK8579271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689138365:689153457:1 gene:gene-LATHSAT_LOCUS31228 transcript:rna-LATHSAT_LOCUS31228 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGPLAWEIVKRNNSFLVKEFGNNTQSVQFSREPNNFYNLNSFKYSGLANKKTVTIQPAGKDQSVLLATTKPRKQNKPCALLHKSVMKKEFRRMAKAVQNQVADNYYRPDLKKAALARLSVVNRSLKVAKFGAKKWNIHA >CAK8575068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:26245568:26249326:1 gene:gene-LATHSAT_LOCUS27359 transcript:rna-LATHSAT_LOCUS27359 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVITNYVPAMYNGGFDFLIAILVLILFHSLLVMLLWSYFSVVFIDPGSVPPNWRPTIDEEIGEEDPLVGTEFMNTLSDPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTASLLPHFIAFFSDGEIPGTPGSLATTFLAFVLNLAFALSVLGFLILHISLVAANTTTIEAYEKKTTPKWRYDLGRRKNFEQVFGMDKKYWFIPAYSEEDIRRMPALQGLEYPSKPDFDSQ >CAK8561831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:390234997:390235740:-1 gene:gene-LATHSAT_LOCUS15385 transcript:rna-LATHSAT_LOCUS15385 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMRANRLSFEYEHGVMEFLELPKSNAKKNLAPPKSDVEKSLHLLFLCPCVRCANHEPKLNKKEIMDHLICHGICQSYTQWIWHGEVVAKSNVSQRDNVSAEMDDLLEDMMRDIGQDLFKKAHAYDTLCSDKDKPLYPGCTNFTRLSAVLKLFNLKANNGWTDNFFTELLELLTQMLPEGNVMPNRYYEAKKILCPMGLEYEKIHACPNDCILYRKKYVNYNHCPKCKASRYKRKLVILVMMKP >CAK8530506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:25973142:25973828:-1 gene:gene-LATHSAT_LOCUS364 transcript:rna-LATHSAT_LOCUS364 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYIRKIVQPACQVFINHRGIDTKKNIAGLLFKDLTSMGIKSFLDSKNMKPGDNLFYHIDKAIIGCKVGVAVFSPQYCDSHFCLHELALLMETKKRVVPIFFDVKPSQLMVKDDGTCSEKELRRFRFALKEAQNTVGITFDSVNGDWSELLKDTTNAVVMNLLEVEGEKNKKW >CAK8572467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538862801:538868081:-1 gene:gene-LATHSAT_LOCUS25031 transcript:rna-LATHSAT_LOCUS25031 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNKSSDQVISELVEMGFEHSTILEAIKVVGLSIPSVVEHIFNSNTSTSKSSDREPSTSNGNALKKRTFSSSFQVPKPRTINQYFQSTDKGNEKKKNAIVVVDDDDDDVEEYKEALPRMGIDIDCSVPRDLDVSSDWEQRAGILLQKHFGFSALKSFQKEALSAWIAHKDCLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLTKHGISACFLGSGQPDNTVEKKAMRGMYNIVYVCPETVLRLIQPLQKLAESRGIALFAIDEVHCVSKWGHDFRPAYSRLSALRENFTTGKLKSLKFDIPLMALTATATKRVQEDILKSLRMSKDTNVVLTSFFRPNLRFTVKNSRTSWASYEKDFHELIKVYGGNNKNGGNKKAFTSDDRDGVSICSDSGGMSDTDSISSYDVDGDQDDYDDEDINAMHSQNTGNHKKRRKLTVEFLENDVDVFQCADDLDVTCGEFCMQPPPKQCELSETIDPPIKPEKRLKMLKEPLGQGPTIIYVPTRKETVKIAKFLCKSGVKAAAYNAGLPKLHLRRTHKEFHENTLEVVVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILYANLARKPSLLPSRRSEEMIKQAHIMLSDCFRYGMNISCCRAKTLVEYFGEDFSHQKCLLCDVCTNGPPQRKNLIEEACILLQTIGSHNARNYSMDSSYNDDIHFDSKDRRLGERPSLMTLVRNIREQFQKFVTTDILWWRGLTRILEAKGYIREGDNKTNVQIKYPELTELGLEFVKSMTEETFYVYPEADMLLETKTDKPFSSFSEWGKGWADPEIRRQRLERMQHDRSPTKRQSPGKRRKRKGRKAKPDLRTSRGRLTAKLSKLK >CAK8561576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:309198815:309234291:1 gene:gene-LATHSAT_LOCUS15154 transcript:rna-LATHSAT_LOCUS15154 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWDGIQSSLSSRSSSTMSHRVPSQSVRLGRVQPQAPSYRTIFCNDRESNLLVRFKGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISILSTTPISPVSPITNVLPLSLVLLVSLIKEAFEDWKRFQNDMSINNNMIDVLQDQKWAPIPWKKLQVGDIIKVKQDGFFPADLLFLASTNADGVCYIETANLDGETNLKIRKALEKTWDYLTPEKASEFKGEIQCEQPNNSLYTFTGNLLIQKQTLPLSPNQILLRGCSLRNTEYIVGVVIFTGQETKVMMNSMNVPSKRSTLERKLDKLILTLFATLFMMCFIGAIGSAIFVNKKYFYLHLDSSEEGSAQFNPKNRFLVFILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQFINKDLGMYHSETNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGVEVYGNGVTETERGLAERNGMKIEENRPPHTVHERGFNFEDARLMRGAWRNEPNPDACKEFFRCLAICHTVLPEGDEQSPEKIKYQAASPDEAALVIAAKNFGFFFYRRTPTMIYVRESHVEKMGKVQDLSYEILNVLEFNSTRKRQSVVCRCPDGRLVLYCKGADNVIYERLADYNNDIKKITREYLEQFGSSGLRTLCLAYKELHPDVYESWNEKFIQAKSSLHDREKKLDEVAELIENDLILIGSTAIEDKLQEGVPACIETLQRAGIKIWVLTGDKIETAINIAYACNLINNEMKQFVISSETDAIREVEERGDQVEIARFIKDEVKKELKKSLEEAQSYFHTVSGPKLALIIDGKCLMYALDPSLRVMLLNLSLNCHAVVCCRVSPLQKAQVTSMVKKGAKKITLSIGDGANDVSMIQAAHVGVGISGMEGMQAVMASDFAIAQFRYLEDLLLVHGRWSYLRICKVVLYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWNQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPALYMEGIKNVYFQWKVVAIWAFFSVYQSLVFFFFVSTSNISAKNSDGKTFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSVLAWFIFIFIYSGITTPYDRQENVYFVIYVLMSTVYFYLTLLLVPVAALFCDFVYQGVQRWFAPYDYQIVQEIHRHEIDSTGRAQLLEIGNQLSPTEARSYAISQLPREISKHTGFAFDSPGYESFFATQLGTYAPPKAWDVARRASMRSRPKTEQKK >CAK8542109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:474454957:474456220:1 gene:gene-LATHSAT_LOCUS10979 transcript:rna-LATHSAT_LOCUS10979 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLAKNQDKDNDSDQHVEFAAGNVALITTKESWDQKLEEAKKDGKIVIANFSAVWCGPCKVIAPYYCEMSEKYTSMMFLLVDVDELTDFSTSWDIKATPTFFFLKDGQQLDKLVGANKPELEKKLVAIADSVPQKNNQ >CAK8570924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:172769018:172771673:-1 gene:gene-LATHSAT_LOCUS23633 transcript:rna-LATHSAT_LOCUS23633 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSYSPSPPRYGRRERSPSPRGRYGGGRYRDRDRDLPTSLLVRNLHKDCRPEDLHDPFGQFGPVKDVYLPRDYYTGEPRGFGFVQFVDPADAADAKYHMDGQVLLGRELTVVFAEENRKKPQEMRARERGRSYDYRRSPRRYSPRYARTYSRSPDYSPSPRQRRYLRYSRSISPRDGRYRRDSYSRSPYASRSPRRSRSYSRSMSRSPGYSRIQT >CAK8570925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:172769791:172771673:-1 gene:gene-LATHSAT_LOCUS23633 transcript:rna-LATHSAT_LOCUS23633-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSYSPSPPRYGRRERSPSPRGRYGGGRYRDRDRDLPTSLLVRNLHKDCRPEDLHDPFGQFGPVKDVYLPRDYYTGEPRGFGFVQFVDPADAADAKYHMDGQVLLGRELTVVFAEENRKKPQEMRARERGRSYDYRRSPRRYSPRYARTYSRSPDYSPSPRQRRYLRYSRSISPRDGRYRRDSYSRSPYASRSPRRSRSYSRSMSRSPGYSR >CAK8575282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:70618361:70618990:-1 gene:gene-LATHSAT_LOCUS27556 transcript:rna-LATHSAT_LOCUS27556 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIVAVPVAVEVDPNQIEVKLFNRWSFDDVQLSDVSLSDYIGVQSCKHATYVPHTAGRYSVKRFRKAQCPIVERITNSLMMHDRNNGKKLRAVGIIKHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRCVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVTSQIP >CAK8544091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662432990:662433373:1 gene:gene-LATHSAT_LOCUS12797 transcript:rna-LATHSAT_LOCUS12797 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKIVSLKKLVKKVKVVGRIHHDTAHNKLLKEYEKEEEEKHPIAKKSGVFALYVGEERQRYVVPVDYLCHPLFKMLLEKAYNEFGFQQRNGLVVPCSVLAFQEVVNAIECNNCKFSMENVFDELI >CAK8538398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481325735:481326388:-1 gene:gene-LATHSAT_LOCUS7607 transcript:rna-LATHSAT_LOCUS7607 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNETIHLLGLLFLVVLISSTVSARVFTETSSNTKNDVEKINEWNKVSYGDGGYRSFGGGSYNGGYMNNGGGYYNGYPNNGGVYPGNGGYGGGYPGNGGYSGGYPGNAGGSSGNDFLGNIGGIIGGIVGNIGGIIGGRGGGAAVAGQTKDNTRN >CAK8544202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671763884:671765730:1 gene:gene-LATHSAT_LOCUS12902 transcript:rna-LATHSAT_LOCUS12902 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLKSNETPLLSSKKTSKLQTLGNIIVTVVGTGVLGLPFAFRIAGWVAGSLGVAIVGISTYYCMLLLVKCRDKLAIEEPLVESRSYGDLGYRCFGTLGRYLTEVVLVVAQCAGSVAYLIFIGQNLDSVFQRNGPSVTTYIFMLVPVEIGLSWIGSLSSLAPFSIFADVCNILAMGIVVKEDARQALEGGLPFGERTAITSNIGGLPFAAGMAVFCFEGFGMTLALENSMRDKSKFPKLLAQTFSGITLVYILFGLCGYMAFGEETKDIVTLNLPRNWSSLTVQVGLCLGLMFTFPIMLHPINEIVEGKLKIIHRNNNNNDDSTEPGKTTIYTSRAIVVVLLAVIASFVPEFGIFASFTGSTLCAALSFVLPATFHLKLFGSSLRMWQIVLDFIVLFSGVFFAVYGTYNTIVGV >CAK8560003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4828599:4829790:1 gene:gene-LATHSAT_LOCUS13726 transcript:rna-LATHSAT_LOCUS13726 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIHILFLLTLSPLICVNSEHFVLVHGAYHGAWCWYKVATMLKSAGHNVTTVELAASGINPIQVQDFDSISTYYEPLITFMESLPPNEKVILVGHSIGGVSESVAMEKFPHKVSVGVFVTAYVLSQNLTYPAIIQEEGRRITNLMDSKFFYFDGPDKPATARLVGPKFLATRLYQLSPPEDLTLALSLVRPDRLYNDVELLKKETAVTNDRNGRVPKIFIISKSDELITEDFQKWIIERSGPFAEVNVIEDSDHMVMFSKPNMLTSILLNIAQKY >CAK8560004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4828722:4829790:1 gene:gene-LATHSAT_LOCUS13726 transcript:rna-LATHSAT_LOCUS13726-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSAGHNVTTVELAASGINPIQVQDFDSISTYYEPLITFMESLPPNEKVILVGHSIGGVSESVAMEKFPHKVSVGVFVTAYVLSQNLTYPAIIQEEGRRITNLMDSKFFYFDGPDKPATARLVGPKFLATRLYQLSPPEDLTLALSLVRPDRLYNDVELLKKETAVTNDRNGRVPKIFIISKSDELITEDFQKWIIERSGPFAEVNVIEDSDHMVMFSKPNMLTSILLNIAQKY >CAK8578371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:624601718:624605222:1 gene:gene-LATHSAT_LOCUS30388 transcript:rna-LATHSAT_LOCUS30388 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPKPAPSQPPAPPPLEDLFTTLNKHIQASAFTNAVKLTDQILAIAPGDEDALRCKVVALIKDDRFDDAISAIQSSKTPPEDFHFLKAYCLYKQNKLNEALQSLQKHERNDETMLLESQILYRLGKMDACLDIYQKLQKSKIDMLEINSVAALVMAGRSSEVQGWLDSFRVKATSSFELAYNTACSLIQRNKYTDAELLLLSGRRIGQESLMEDNWPDEDIENELSPIAVQLGYVQQLLGRKQDAMEAYVDMIKRDMADESSIAVAVNNLVSLKGPKDVSDSLKKLDRLKEKEAQSFRLAHGLDLKLSAKEREAIYANRVLLLLHANRIDQARELVSALPDMFPESVVPVLLQAALLVRENKAGRAEEVLAQFAGKFPEKSQVLYLARAQVAAAAGHPHIAADSLTKIPDIQHMPATVATLVTLKERASDVEGAASVLDSATKWWSNAMTEDNKLNIIMQEAASFKLRHGREEDAAKLYEELVKNQGSMEALVGLITTVARLDVIKAELYEKQLKTLPGLKGIDVDSLERTSGVKRIEGPPHAGVTETNEEGKNKTKTKKKRKRKPRYPKGFNPANPGPPPDPERWLPKRERSTFRPKRKDKRAAQVRGSQGAVVREKHDGGASSNQSNPKSNQATTSKGATQSAASEQSKPSSSKPSSKSRKKSRN >CAK8560765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41108833:41109495:-1 gene:gene-LATHSAT_LOCUS14415 transcript:rna-LATHSAT_LOCUS14415 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDQARPLAPVRVHPRSDDEEKALKKMNRRRKLKLCGCITSILLLLLVIVIVILVFTVFKVKDPTVTTNEIHLTNFGLNLVQLPTPQVKINMTMLVNMSIRNSNRASFKLGNSTTSVYYRGISVADAVIPAGIVIKARKTSALNVTVDVMADRLASSPDLLRDVLQGEMIMNTYSVIPGRVKILFIKKHVEVKMNCTMTIDISKRGIQDMNCKHKVKL >CAK8575645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:227860264:227862710:-1 gene:gene-LATHSAT_LOCUS27888 transcript:rna-LATHSAT_LOCUS27888 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEENKKNMKCHVKGQRGASSFELPLVKSSFELARNFSIKLEERKTKHGKICAPITELETEVEALRNKKNQPLTTPQFKLSMFAATSNKEPEPKKNVSDNASRTKLPNTHAEKSIEREVSLKTNKGLKIQDPKDQSLISKRNQPLVSKIQNSKGQSLSQVRRSMPMHIQVPNRQSMKKTVIGDESREKHEINNKNQQQKKKMFGNDISRAKDQQKKMVVAEEESMARQQSACKKTKRSPLCQSMLIGDFLEKNGRGVEKEMENLIEDEENIVLEEQEQEENVECEGDAEKNGTTKKRTRGPTRCLKIYARDVKDRQEVTLDDFGEPIGPDDQTVSDLGYFLETIARNANFCPLIYTNFKELLKDETDPKRHNYHIWKYINTKFNIPERGKKAVYARINDAWRRHKYSIKKDHFLKYSNMKDRLKHRPKSISEVHFKKLLVYWKDTHIQDISQKNAANRSKQKFMHRVGPTNFARIRAKMRENKDGQEVTHAEMFIETRKSRKAKQVDEETQFVIDKLQESIETSTEAGT >CAK8561365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168840291:168840529:-1 gene:gene-LATHSAT_LOCUS14965 transcript:rna-LATHSAT_LOCUS14965 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVHVYGPMVTKLAHKTNAACFSTNIRVVNLVLRRTGKKTPL >CAK8565803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:336343313:336346768:-1 gene:gene-LATHSAT_LOCUS18984 transcript:rna-LATHSAT_LOCUS18984 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTADEQILNLDVDPNESVENVKALLEVETSVPIQQQQILFNGNEVSNSQKLSALGVKDDDLLMMTISRAGAGAGAVASSGSANDLRFNTDGSAINPGAFQQHFRRDSNLMGQLFQNDPELAQVILGNDLNKIQEILRLRHRQREQLRRQKEEEMALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEGFARVVMLYVDMEVNGVPMKAFVDSGAQSTIISKTCAERLGLLRLLDQRYKGVAHGVGQTEILGRIHVAPIKIGNIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSRYLDEDKYSKEASSSRVPPPVTSGSNNSSQGGGASGGDRSKDSEFEGKVAKLVELGFERQAVIEALQLFNGNEEQAAGFLFG >CAK8561299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:141153324:141156618:1 gene:gene-LATHSAT_LOCUS14906 transcript:rna-LATHSAT_LOCUS14906 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNPDGSVSRRVSLRKRVNFRSYNEGMIDDELFDKLDSSLKKKKGPKYDVNKETDTEAMIAFSLGFPIDALLEHEIQSGVVKELGGKEQNDYIVIRNHILALWRDNVRIWLTKGQIREIVTNEFEPLLNSAYDYLLYNGHINFGVSPLFISQFPEPKEGTVIVIGAGLAGLAAARQLLSFGYKVMVLEGRNRPGGRVYTQKMGKEGKFAAVDLGGSVITGIHANPLAVLARQLSLPLHKVRVDCPLYKPNGDPVDKELDKNVEFAFNRLLDKVMELRKIMGGFASDTSLGSVLETLKALYAVAQSTDEKQLLNWHLANLEYANAGCLSNLSAAHWDQDDPYEMGGDHCFLAGGNWRLIKAMCEGVPIFYGKTANTIRYGNEGVEIIVGDQVFQADYVLCTVPLGVLKKKAINFEPELPARKLESIERMGFGLLNKVAMVFPHVFWGEESDTFGCLNENSHDRGEFFLFYSYHTVSGGSTLIALVAGEAAQNFEATDPSILLHRVLTTLKGIFNAKGITVPDPIQSICTRWGSDPLSYGSYSHVSVHSSGKDYDILAENVGNRLFFAGEATSRQFPATMHGAFLSGLREASCIYQLTHNEQVNPKKSLPKNGGAVNMILVNLFKKPDLESGNFAFIYDSSSEDLQSKAIMQITFGCTEEIYQEILNCYPNPTKFPLQLYTIMSREQVQQMQQIPGDGEKMLSFLTKNLGLNFMGINTLLNAGNSIITSIAASRKSKRNRKRT >CAK8531909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:174161779:174162069:1 gene:gene-LATHSAT_LOCUS1667 transcript:rna-LATHSAT_LOCUS1667 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFELYTEGFSVPAPSTYTSVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >CAK8570203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28532267:28532662:1 gene:gene-LATHSAT_LOCUS22976 transcript:rna-LATHSAT_LOCUS22976 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEHNPHAKSFKMAKHWLLNSNTQNLKLRLISNRSTDGRVYNQPTISEVAALIVGDLDTTEIRDIIMQTKGGELQRTNELHASYLAYQYPLIFPYGEDGYRPNIAHRDLDIFQDNKRNRLTIREWLAFRI >CAK8534043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:685417195:685419173:-1 gene:gene-LATHSAT_LOCUS3617 transcript:rna-LATHSAT_LOCUS3617 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVEELKEALDADIQDRIMKDREMQSYIQEREREVAEREAAWKADLSRREAEIARQEARLKMERDNLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >CAK8535785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882146884:882147903:-1 gene:gene-LATHSAT_LOCUS5208 transcript:rna-LATHSAT_LOCUS5208 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWTEYVMKNNVGREFVAGGIGGTAGILSSYPLDTLRIMQQQSGNASAVGIFRNLLAKEGAFALYRGMAVPLASVGFQNAIIFQSYTIFTRICSPYNSFNGPPSFVNVALGGLGAGALQSILMCPVELVKIRIQLQKNIDSLSKHKKSTPLFLAKNIWKNEGLCGIYRGFGITVLRDSPGMAFYFGAYEYTREKLHPGCRESCQESVHTMFIAGGIAGMASWFFNYPADVIKTRLQAQTPSSLKYKGILDCTLKIIKEEGLIVLWRGLGATLARAFVMNSAIFPAYQMALRCLTNN >CAK8578076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605223597:605223803:1 gene:gene-LATHSAT_LOCUS30118 transcript:rna-LATHSAT_LOCUS30118 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVACLPSHPLDKLVARKKRRGREASLPPVVEVLGATLIQKVTDGSRLSPVSATVIICHSIHKPFFQ >CAK8577692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583565463:583565939:-1 gene:gene-LATHSAT_LOCUS29774 transcript:rna-LATHSAT_LOCUS29774 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGNRRSNVYDPFSLDLWDPLKDFPFPSSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKMEQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8540732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:18063274:18064415:1 gene:gene-LATHSAT_LOCUS9706 transcript:rna-LATHSAT_LOCUS9706 gene_biotype:protein_coding transcript_biotype:protein_coding MAASINSRKPSEIFKAQSQLYKHIYAFIDSMCLKWCVEMNIPNIIHNHGQPLSLSNLVSILQVPSTKFGNVQRLMRYLAHNGFFEIITNQELEKEEAYALTIVSELLVKGSELSLAPMVELVLDPTLSGSYHELNKWIYEQDLTLFDVTLGSGYWKFLNKNPEYNRLFNEGMACDTKLIKLAMKDCSLVFEGLESIVDVGGGNGTLGKIICERFPKLKCVVFDQPEVVENLSGNSNLTYVGGDMFTSIPRADAVLLKFILHDWTDKDCIKILKKCKEAITSDEKRGKVIIIEVVINEKKDENQITQLKLQMEIGLACLNGKERNEEEWKNLFVEAGFRDYKISPLTGLLSLIEIYP >CAK8567739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:540450702:540455545:-1 gene:gene-LATHSAT_LOCUS20762 transcript:rna-LATHSAT_LOCUS20762 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGRPKKVVQMEEEEDHDHDAMKKSSVRSLPFFKLLSYADYVDWILMGLGTLGSIVHGMALPVGYLLLGKALNAFGNNIHDTDAMVPALKKVVPYVWYMAIATFPAGILEIGCWMYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFAGIVIAAISCWEVALLCLVVVPLILIIGASYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFTENMDKQYVISKGEALVKGVGTGMFQTVSFCSWSLIIWVGAVVVRAGRAEGGDIITAVMSILFGAISITYAAPDMQIFNQAKAAGYEVFQVIQRKPLIHNESKGKIPTKISGYIELRDVHFSYPSRPEKSILQGLSLSIPAGKTVALVGSSGCGKSTVISLITRFYDPTRGEIFIDSHNIKDLDLQFLRSNIGAVYQEPSLFTGTIKDNLKLGKMDADDEEIQKAAVMSNAHSFISQLPNQYLTEVGQRGVQVSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQEALDTAMEGRTVILIAHRLSTVVNADMIAVVENGQIVETGTHQSLLDKSKFYSTLFSMQNLESAPELRTTTSKNKSARQVDTIDETRPLPETQREVQRDLTDHSVLEEHNKTSVREENIFFRIWFDLRKKELVKIAIGAFAAAFSGISKPFFGFYIITIGVAYFEEDAKRKVGKFSAIFSGIGLLSLFSHTFQHYFFGVVGEKAMANFRRALYSGVLRNEVGWFDKPDNTVGSLTSRIISDTAMVKIIIADRMSVIVQCVSSILIATGVSMYVNWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDYSASHSDLVALASESTTNIRTVASFCHEEQVLKKAKTYLDIPKKKYRKESIKYGIIQGFSLCLWNIAHAVALWYTTILVDRRQASFENGIRAYQIFSLTVPSITELYTLIPTVITAINMLTPAFKTLDRKTEIEPDIPNDSQPERIEGNVKFENVNFKYPLRPAVTVLDNFSLQIEAGSKVAFVGPSGAGKSSVLALLLRFYDPVEGKVLIDGKDLREYNLRWLRTQIGLVQQEPLLFNCSIRDNICYGNSGASESEIVEVSREANIHEFVSNLPNGYNTVVGEKGCQLSGGQKQRIAIARTLLKKPAILLLDEATSALDAESERTIVNAIKAMNLKEATGLCSRTTQITIAHRLSTVKNSDTIIVMEKGRIVETGPHSTLIAVDAGLYSRLFRLQSFDETS >CAK8540196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544452547:544459422:-1 gene:gene-LATHSAT_LOCUS9224 transcript:rna-LATHSAT_LOCUS9224 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQALVRIPFSTTPTTSSSGASVSSSAVNPSPPLVASNSGYDSAVSQEMPGKLEGIEEGIEKMIYRCRFLAILGVLGSLIGSFLCFIKGSTFVAGSFLEYSVNRTKVMQMLIEALDVYLLGTVMLVFGMGLYELFVSNLGSASSLPDQNPSDRSNLFGLFPLKERPKWLNIKTVNELKTKVGHVIVMLLLIGLFDRSKKATIQTPVDLLCFCASVFLSSSCLFLLSKLNDSS >CAK8540195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544452547:544459422:-1 gene:gene-LATHSAT_LOCUS9224 transcript:rna-LATHSAT_LOCUS9224-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQALVRIPFSTTPTTLVRCQNSIFSSYGKRVSLLSYRSFRFQEFVCSSSSGASVSSSAVNPSPPLVASNSGYDSAVSQEMPGKLEGIEEGIEKMIYRCRFLAILGVLGSLIGSFLCFIKGSTFVAGSFLEYSVNRTKVMQMLIEALDVYLLGTVMLVFGMGLYELFVSNLGSASSLPDQNPSDRSNLFGLFPLKERPKWLNIKTVNELKTKVGHVIVMLLLIGLFDRSKKATIQTPVDLLCFCASVFLSSSCLFLLSKLNDSS >CAK8534984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:800050134:800051415:-1 gene:gene-LATHSAT_LOCUS4473 transcript:rna-LATHSAT_LOCUS4473 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIILKDKYRSILHDEDDNIQWRHGGPPTYGLVNQLFEQGRTKEWPEGSLEEIVQNGIKSWEMELSHKIRLQDFKTIVPEKFKLFVNGREGLSAEETLSLGSYNALLKSSLPENLRPYNSNEETFESSHEVFKSAFPRGFAWEVIKVYTGPPEIAFKFRHWGFFEGPFKGHAPNGKIVQFFGLGTLKVDDALKVEEVEIYYDPAELLGGLLSNGDSTKTSACPFSN >CAK8573346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604637297:604637603:-1 gene:gene-LATHSAT_LOCUS25805 transcript:rna-LATHSAT_LOCUS25805 gene_biotype:protein_coding transcript_biotype:protein_coding MICVVIIAGITILLAFKITERRWRPPAVQNTIGAKGGFRPYKVFFYLFKIWKGKLEVGDFDACRFFWRARHACR >CAK8533431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616985433:616986073:1 gene:gene-LATHSAT_LOCUS3055 transcript:rna-LATHSAT_LOCUS3055 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIILRIHHNEEFIDGDLRVYEGVKIDELKVNIDKWSYFELIGELKELGYRDFEKIYYNDPTFGMNTLIDDVGALEIDDLYRVHLGVDIYIQHTFDKFDYYDGPIQVEVKNVVNVNEELDAEKVGMEADKVVLEAEKGGMDAENIGMESGEVDDALSSDTNEDC >CAK8533432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616985433:616985810:1 gene:gene-LATHSAT_LOCUS3055 transcript:rna-LATHSAT_LOCUS3055-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIILRIHHNEEFIDGDLRVYEGVKIDELKVNIDKWSYFELIGELKELGYRDFEKIYYNDPTFGMNTLIDDVGALEIDDLYRVHLGVDIYIQHTFDKFDYYDGPIQVEVKNVVNVNEELDVVK >CAK8532762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:510812453:510813103:1 gene:gene-LATHSAT_LOCUS2435 transcript:rna-LATHSAT_LOCUS2435 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPCCCYNSILNSCVVGGGGGGFMSLQIETKAKVGFKAGVKDYKLTYYTPNYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSIGTWTTVWTDGLTSLDRYKGCCYEIEPVPGEDNQLIAYVAYPLDLFEEGSVTNMCTSIVGNVFGFKALRALHLEYLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPCCTLKFAQPNSLGLCFNIISICI >CAK8532648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:343762328:343763476:-1 gene:gene-LATHSAT_LOCUS2331 transcript:rna-LATHSAT_LOCUS2331 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFESGAISARKRVFEDGFAIPATSKAQRFTRELKPTTITVFYVGFLEMLKIWQMRFKGKSVSWMRVEDGTWIKPVAGLELSYLHLYVTMAHNSQWRLYQVDGRCTYELALHKLDIPICIRIACDNYCVSHSKAIYPSLYVDLLV >CAK8572195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519295150:519297083:-1 gene:gene-LATHSAT_LOCUS24786 transcript:rna-LATHSAT_LOCUS24786 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKGVAKEVEWRIKVEDGPWKRAGVSCNMWTFITSLYFKLCKFFKKAWDIGVNDPRKFIHCLKVGIALTAVSLFYYLKPLYDGVGRNAMWAVMTVIVVFEYTAGATIYKSINRICGTTLAGLLAFGVHWVASRAGDQWEPIIVGVSLFLLASAATFSRFIPTIKARFDYGAMIFILTFSLVSVSGYRIDELFNMAQQRISTIIIGTSLCIIVSTTVRPVWAGLELYVLVTGNLDKLANSLQGCVAQYYEAQTASEESNKKMMGYKCVLNSKATEESLANLARWEPAHGRFNFRHPWKQYLKIGATMRRCASCIDALIGCIHSENKSSNDIRKIMSKTSIQVGDISASVLRELAITIKSMTKSNKLDILVIEMNSAAQELQDLLKSYTITQNNDDAKMEIPIMDIIQVVTMVSLLTEIVARVEDIVNCVEELSNLGKYKPAMSKCDKSKQHSTDSKISPEQQNEEEAIVKTLQVV >CAK8579420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:697284622:697293688:-1 gene:gene-LATHSAT_LOCUS31368 transcript:rna-LATHSAT_LOCUS31368 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPSSLGKRRESEATDAGDTSSKPKKPRSLERTCVHEVAVPSNYISTKDESLHGTLSNPLHNGTMAKTYTFALDPFQQVSIACLERNESVLVSAHTSAGKTAIAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELNQEFKDVGLMTGDVTISPNATCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPNGGNGLYLVVDENEQFREDNFVKLQDTFSKQKVGDGFRGGKSNGRHGKSGPAGAGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMAMSKLDFNTKEEKDTVEHVFRNAVLCLNEEDRSLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEEMEMSTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKALPDMGKRVSMLEQEVALLDAAGEAEVSEYHKLKIEYALLEKKMMSQLIRPEMILYFLVPGRLIKVREGGTDWGWGVVVNVVKKPVGGYIVDTLLHCSPSSNENSTRSKPCPPRLGEKGEMHVVPVQLPLISALSKLMIHVPPDLRPLEARQSILLAVQELSNRFPQGLPKLNPVKDMDVRDSEIVELVNQIEELEKKLLGHPMHKVQDVDQIKSFERKAEVNHEIQHLKAKMRDSQLQKFRDELKNRSRVLKKLGHIDADSVVQLKGRAACLVDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIQLRSELARPLQQLQDNARRIAEIQHECKLEVNVNEYVESTVKPFLMDVIYSWSKGSSFADVTQMTDIFEGSIIRAARRLDEFLNQLRDAAEAVGEVDLEKKFAAASESLRRGIIFANSLYL >CAK8543977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653122629:653124817:1 gene:gene-LATHSAT_LOCUS12694 transcript:rna-LATHSAT_LOCUS12694-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARERVRAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVKEMSELRDDIKMHLDLDRATPTHVEYWEALLVVCDWELAESRKKDAIDRARVRGEEPPAELLAEQRGLHSSVEPDVKDLLHGKTRTEFEALQVHIESEIRTGTAKVVEYWEAILKHLHIYKAKACLKEIHARMLRKHLERLEQPLEDEDEPESAHDMIPDEAYIEDDAKDESFSPEPIRENQEAEDEAGSFSPQLLHDDENEEAIDLKRTELYWSGNVWL >CAK8543976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:653122629:653124817:1 gene:gene-LATHSAT_LOCUS12694 transcript:rna-LATHSAT_LOCUS12694 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARERVRAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVKEMSELRDDIKMHLDLDRATPTHVEYWEALLVVCDWELAESRKKDAIDRARVRGEEPPAELLAEQRGLHSSVEPDVKDLLHGKTRTEFEALQVHIESEIRTGTAKVVEYWEAILKHLHIYKAKACLKEIHARMLRKHLERLEQPLEDEDEPESAHDMIPDEAYIEDDAKVRSADESFSPEPIRENQEAEDEAGSFSPQLLHDDENEEAIDLKRTELYWSGNVWL >CAK8562713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530915438:530919813:1 gene:gene-LATHSAT_LOCUS16187 transcript:rna-LATHSAT_LOCUS16187 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFHLSVLILMRELSWRFFGHKIDRRKLLLLAAVFTVTGFLFQFFVHGYANVSSSTNSTVQSNEFLEGEIIQQVRLTPPHSVVSPTSFNESVQSVSVEHGSVVDVQSQRKKNRNLANTTVTASLSPRGRVPLGKQREIRLLPPIEALVYAKKEIDHAPFVNEDPDLYAPLFKNISVFKRSYELMETILEVYIYRDGARPVFHNPHLKGIYASEGWFMKLMERNNQFVTKDPERAHLFYLPYSVHQMALALYVPESHNMKPLSIFLRDYVNKIAAKYPFWNRTHGSDHFLVACHDWGPYTVAEHKELAGNTIKALCNADVSEGIFVAGRDVSLPETTIRAPRRPQRYLGGNRISLRPILAFFAGGMHGRVRPTLLKYWGREQDEDMKIYKHLPLRISKKMTYIQHMKSSKYCLCPMGFEVNSPRIVEAIYYECVPVIIADNFVLPLSEFLDWSAFSVVVAEKDIPRLKEILMSIPMRKYVAMQNNVKMVQKHFLWNPKPMRYDLFHMILHSIWFNKLHQI >CAK8564543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680447463:680447777:1 gene:gene-LATHSAT_LOCUS17841 transcript:rna-LATHSAT_LOCUS17841 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFVNGQLKCKIRRDRIDAARKRIKRITHPLNADLDETVPLGILDSTCNEKRKKRKGVVVDGIDWEDLIIETLILHQVKEEEIEKGNYNTLLGLHVFNSGVV >CAK8570683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:87025761:87031731:-1 gene:gene-LATHSAT_LOCUS23418 transcript:rna-LATHSAT_LOCUS23418 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKDAFVNYKLLKKQIKKIKLSRVPKQEQSPQKDFGYSIFDSVRFVAGKFFSSSDNNNNQNIIQVRRKIMEDSEEEVYETELAQLFSEEDEMHVFFARLDEELNKVNQFYRKQESEFLERGDMLTKQLQILLDLKQLITDRRRKNNAPARSSNAEVFSRSPDQSSHYSESCGESDETNSENSQMDEVISTLAKNGVNFVNSATRSKTKKGKPKTAMRIDIPATTPTKAITAVTSILWEDLVNSPVKEGYGGEFINKRKIQYAEKMIRSAFVELYKGLGLLKTYSSLNIVAFSKILKKFDKVACQTASASYLKTVKRSHFISSDKVVRLMDEVESIFTKHFASNDRKKAMKFLKPQVQKGSHMVTFFVGLCTGCFVSLFCVYAILAHLCGIFSPNTEPAYMEAVYPVFSVFALFSLHLFMYGCNLYMWKATRINHNFIFEFSPSTALKHRDAFLICTVFMTAVVGAMVLHLLLRAAGFFPGNVDAIPGILLLFFIAMLICPLDIFYRPTRFCFIRVIRNIICSPFYKVLLVDFFMADQLTSQIPLLRHLETTSCHVLSRVFKTHHPETCSSGRLYMEITYLISFLPYFWRALQCVRRWYDDSDVAHLANMGKYVSAMVAAGARVTYGRQDNNVMFFIVIITSVIATMYQLYWDFVKDWGFLNRNSRNAWLRDDLVLKNKSIYYMSMALNVVLRVTWTETVMHFKVGPIQTRLLDFLLASLEVIRRGHWNFYRLEHEHLNNVGHYRAVKTVPLPFRDADSD >CAK8541739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:378769547:378771901:1 gene:gene-LATHSAT_LOCUS10635 transcript:rna-LATHSAT_LOCUS10635 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSSNFGVARVVFVGKESVLRKNVNQYSSFSRLMFLNEAAFPSICVNKCGGGGGLYVSIQKKQRFLTMCMLQPPAESQPAITRIELSDEGGDSNMGKELKISDSELDSKSVGNGSNGVVFDGSGGNGSFGSGGAGGGGGGGDDDGDEKEEAEFGPMLKYEEVLRETEARGVTLPFDMLEAAKSVGIPKLLLLRYLELEGSFWPLGFAMRSCSILRNRMLADPAFLFKIGSEIVIDSCCATVAEYQKRGKDFWNEFELYVADLLVGLVVNVALVGMLAPYARIGKPSISKGFIGSMQKAYAALPSSVFEAERPGCRFSVQQRLGTYFFKGIMYGAVGFGCGIIGQGIANVIMTAKRSIKKSEDDVPVPPLLESAALWGVFLAVSSNTRYQIVNGLERLVEASPMAKRVPPVALAFTVGVRFANNVYGGMQFVDWARWSGVQ >CAK8569455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1367010:1370631:-1 gene:gene-LATHSAT_LOCUS22304 transcript:rna-LATHSAT_LOCUS22304 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQHKFEEAVPQSPDSLATRDFSASGLSSRTGDWESKFNENQVEDVESTLKEALSLNYEEARALLGRLEYQRGNFGAALQVLQGIDIGSLTPRMIRAIAERNKQRKPRSKAGTVLPNLMSMHSVSLLLEAILLKANSLEKLERHEEAGKECRVIVDTIESALPNGMPEGIGEVCKLQEMFHNALELLPNLWIKAGFLDEAVTAYRRALVKPWNLDPRRLACLQKDLATTLLYGGVEINLPSHLQVNGPTTPMSNIEEAILLLLILSRMMALQTIDWDAEIIDHLTFSLSITGMFESLADCVEQILPGIFSRADRWYFLALCYSAAGNNEAALNLLRKVCGSSESKHIPHFASYLFGAKLCSQYPNHAREGIELSQQVIDLAKHQNEHFIVQGQQILGVCYGVAARTSVVDSERVTFQKESLNFLNAAALTGDENAEVMFSLGFENAIQRNLDAAYHNIMRYSDMTVGSFARGWQLLALVVSAQKRFKDAETIVDFALDDAGSVDQLELLRLKAVLQISQKQPKQAIETYRVLLAVIEARKELSLQAKNFGFDQAKIFRDEALTERNLEKEAWQDLATIYTDVNSFLDAKACVDKAKLVEFFSPRSWHVTGKLFEAQSLYKEAFVSFSISLSIEPDYVSSIISTAELLIKLGMQSFPMAKSFLMNSLRLEPTNHDAWFNLGMVSKMEGSLQQAADCFQAAYELKFTTPVQKFE >CAK8578026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602670049:602672092:1 gene:gene-LATHSAT_LOCUS30073 transcript:rna-LATHSAT_LOCUS30073 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLRTKTFSFTEGESPLQSFNEILYLDQWQSSIYYTLCAAYATVSFIALIQLVRIQMRVPEYGWTTQKVFHLMNFLVNGVRAVIFGLYDSVFAIKPKAFEQVLMEVPGLLFFSTYTLLVLFWAEIYHQARSEPAQKLRPAYFSINGVIYFIQICLWIYMTASKTATGYGAAKLFLAVISFCASLGFLLYGGRLFFLLRRFPIESRGRQKKLYEVGSVTSICCTCFLIRCALLAVSAFNEKADLDVLDHPILNLMYYLLVEIVPSALVLFILRKLPPKRVSDQYHPIR >CAK8563914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638715396:638717083:1 gene:gene-LATHSAT_LOCUS17272 transcript:rna-LATHSAT_LOCUS17272 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHFQRCLCTCFLLAFCILSQSLTKGTLGFACNWGTITTHPLPPPILVKLMRDNGINKVKLFEAEPMALKALGNSGIQVMVGIPNNLLDSIGSNVDAAIAWVDRNVSTFISKNGVDIRYVAVGNEAFLKTYNGRFVQSTFPAIKNIQAALIKAGLGRQVKVTTPLNADVYQSDTGLPSGGVFRPDIQNQMMSIIKFLSQNNAPLTFNIYPFLSLDADPNFPKEFAFFDNSASPVVDGSISYTNVFDANFDTLISALEKNGFGSMNVIIGEVGWPTDGNSNANIKSAQRFNQGLVNRIVKKQGTPKRPTPPEIYMFALLDEDAKSIDPGPFERHWGIFNFDGSMKYPLNLGGGKSLVGAKGVRYLTKQWCVVSSQANVMDPSFAQSVSKACTYADCTSLAPGSSCSGLDTKGNASYAFNMYYQTLDQRKDSCNFSGLSVITNIDPSPSQGRCHFEIMIDIGKHETKATSSFAAPKFGFEYIMAMLVSSFTIINLFLFI >CAK8579552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707251825:707259453:1 gene:gene-LATHSAT_LOCUS31490 transcript:rna-LATHSAT_LOCUS31490 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLNSTNNTSLFKIPTIKYNKLFINGHFVDSASGTTFETIDPRTGEVIARISEGAKEDIDIAVKAAREAFDSGPWPRMSGVERAKIMMKFAELIDENTEELAALDAIDAGKLYFIAKVIEIPSSANTLRYYAGAADKIHGEVLKSSGEFHAYTLMEPIGVVGHIIPWNAPSSIFFTKVSPALAAGCTMVLKPAEQTPLSALFYAHLAKLAGIPNGVLNVVPGFGPTAGAAISSHMDIDVVSFTGSVEVGREIMQAAAKSNLKHVSLELGGKSPLIIFDDADVDKAAELALFGLLTNKGEVCVASSRVFVQEGIYDQVEKKLVEKAKAWIVGDPFDPKTQQGPQADRNQFEKIISYIEHGKREGATLLTGGKAVGSQGYYIEPTIFSNVKEDMLIAKDEIFGPVMALMKFKTVEEAIKSANNTRYGLAAGIVTKNLDIANTVSRSIRAGVIWINCYLALGSDVPFGGYKMSGFGRDLGLEALHKYLQVKSVVTPIYNSPWL >CAK8531248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92792202:92794962:-1 gene:gene-LATHSAT_LOCUS1054 transcript:rna-LATHSAT_LOCUS1054 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSIARALSKQHQKTSGIQTPEVKDLKFSSLSLQKTGKPELTVPEDLSKQNIGEIYESKKFGVQFQKGSSADSVSNKFGSGSSSSAPGKASGEVVRAVSGNIGSQESSIDQERKTSEYGSVKSSSVSAKASDGASSIAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSFSSSVNKPHKANDMRWEAIQVVRTRDGALGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSSIDSEPLRRNTGYCAQPACIEPPSCIQPSCVAPTSCFSPRLFSSKSKKDRKPKTELGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTYGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKAVEFEKIPSPAASSGGEKAAVNHMSTTTGGNQKGSDNYLEFDFF >CAK8578900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661188926:661189248:1 gene:gene-LATHSAT_LOCUS30879 transcript:rna-LATHSAT_LOCUS30879 gene_biotype:protein_coding transcript_biotype:protein_coding MAITQNKRFLLVILFLLCFISIQARARILKEKSNDVVSVSHDKEAQDHEFKAKEEDHNNGDEVFAMDYTPARRKPPIHN >CAK8537777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:431303226:431305651:1 gene:gene-LATHSAT_LOCUS7031 transcript:rna-LATHSAT_LOCUS7031 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSFFTAGISEPLFLKHCSSSSSSSLFSYVTTTHLSFYGRNRKSLFHSEKTSTIRCDASSSSPSSDANSVSPLQQLKTSAADRYTKEKSSIVVIGLSVHTTPVELREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSYTSGIPASELSQYLFLLYNKDATQHLFEVSAGLDSLVMGEGQILAQVKQVVKAGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPDQASHGNARMLVIGAGKMGKLVIKHLVAKGCKKMVVVNRTEERVAAIRDEINDVEIIYKPLSEMLECIGEADVVFTSTASENPLILKQHVKELPFASQEVGRKRLFVDISVPRNVGSCVDDLESVKVYNVDDLKEVVAANKEDRLRKAMEAQVIIGEESGQFEAWRDSLETVPTIKKLRAYAERLRVAEVEKCLGRMCDGDINKKTQKAVDDLSKGIVNKMLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEVSVLEQKIRAKVEKKQ >CAK8533672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648672477:648676923:1 gene:gene-LATHSAT_LOCUS3277 transcript:rna-LATHSAT_LOCUS3277 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPAMTSQSSTFAVSVSSIASPLRRRRNFPVVRAQVEPSDKSIEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKAAEAAHGFWNCPCVPMRERKECHCMLFLTPDNDFAGDEQTITLDEIKESTSNM >CAK8571093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:292273008:292274873:-1 gene:gene-LATHSAT_LOCUS23786 transcript:rna-LATHSAT_LOCUS23786 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMLTFADSSLFSKTLQDAHQRPISNRNITAHFTPGLCKSNEDCSVYKGLRQQRISIFRKKAPIIKAVAIPAAPSPTESPDEYRKQQCEHYGFKRIGEPLPDNVTLKDIINSLPKKVFEIDEMKAWTRVLISVASYALGLFLISKAPWYLLPLAWAFAGTAGTGLFVIGHDCAHKSFSKNQLVEDIVGTLALLPLIYPYESWRFKHDRHHAKTNMLYEDTAWHPVWKEDFDSNPVLRKALVYGLGPLRPWMSIVHWLIWHFDLKKFRPNEVMRVKISIGAVFGFIAIGWPLIIYTSGLSGWFKYWLMPWLGYHFWMSVFTVVHHTAPHIPFKYSQDWNAAQAQLNGTVHCEYPKWVEFLCHDINVHIPHHVSPKIPSYNLRAAHESLRQNWGKYMNEARWNWRLMKTIMTECHVYDKEQNYVAFDQLDPKESRPITLLRKLMPQYA >CAK8569053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666930105:666937729:-1 gene:gene-LATHSAT_LOCUS21948 transcript:rna-LATHSAT_LOCUS21948-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLRSEPMQLVQLIIPIEAAHRTISYLGDLGLFQFKDLNEDKSSFQRTYASQVKRCGEMMRTMRLLKEQMTKAGISPLIRSTPNAGVDLEKLEIKLAELEVDLLEINSNNEKLQHAYNELLEYKLVLEKVGELFPSAQNTAVVRQREFGVQPIVEGSIDSPLLIEQEKTSYLINQIKLGFICGLVNREKSIPFERILFRATRGNVFLKQTMIEDHVVDPLSGEKVHKNVFVIFYSGERIKSKITKICDAFGANRYPFSDDLGKQFQTITEVSGRLAELKATIDMGLLHRSNLLQTVGYEFERWNLLLKKEKSIYHILNMLSINVTKKCLLAEGWCPLSATSQIQKVLLQATTDCSSQVGAIFQVLQTKELPPTYFCTNSFTSSFQEIVDAYGIAKYQEANPGVYTIITFPFLFAVMFGDWGHGICLLLATLYFIINEKRFSSQKLGDILEMVFGGRYIIMMMSLFSIYTGLIYNEFFSVPFELFGPTAYGCRDPSCRDATTIGLIKVRNTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVSQMNLGIILSYYNAKYFENNINIWHQFVPQMLFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFAGQKFLQIMLLLLALVAVPWMLLPKPFLLKKQHRERHKGQSYSLLFSGDDPLEAQTDGVHHNNDDFDFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLALGYNNTIVLIVGIIVFISATVGVLLIMESLSAFLHALRLHWVEFQNKFYEGDGYKFFPFSFATLGDEDGL >CAK8569052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:666930105:666937762:-1 gene:gene-LATHSAT_LOCUS21948 transcript:rna-LATHSAT_LOCUS21948 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCTFMNSSTMDMLRSEPMQLVQLIIPIEAAHRTISYLGDLGLFQFKDLNEDKSSFQRTYASQVKRCGEMMRTMRLLKEQMTKAGISPLIRSTPNAGVDLEKLEIKLAELEVDLLEINSNNEKLQHAYNELLEYKLVLEKVGELFPSAQNTAVVRQREFGVQPIVEGSIDSPLLIEQEKTSYLINQIKLGFICGLVNREKSIPFERILFRATRGNVFLKQTMIEDHVVDPLSGEKVHKNVFVIFYSGERIKSKITKICDAFGANRYPFSDDLGKQFQTITEVSGRLAELKATIDMGLLHRSNLLQTVGYEFERWNLLLKKEKSIYHILNMLSINVTKKCLLAEGWCPLSATSQIQKVLLQATTDCSSQVGAIFQVLQTKELPPTYFCTNSFTSSFQEIVDAYGIAKYQEANPGVYTIITFPFLFAVMFGDWGHGICLLLATLYFIINEKRFSSQKLGDILEMVFGGRYIIMMMSLFSIYTGLIYNEFFSVPFELFGPTAYGCRDPSCRDATTIGLIKVRNTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVSQMNLGIILSYYNAKYFENNINIWHQFVPQMLFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFAGQKFLQIMLLLLALVAVPWMLLPKPFLLKKQHRERHKGQSYSLLFSGDDPLEAQTDGVHHNNDDFDFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLALGYNNTIVLIVGIIVFISATVGVLLIMESLSAFLHALRLHWVEFQNKFYEGDGYKFFPFSFATLGDEDGL >CAK8564807.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:10898151:10900298:-1 gene:gene-LATHSAT_LOCUS18072 transcript:rna-LATHSAT_LOCUS18072 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQSSSTLHPEIVLRRKFVLSALVTRLLFFQLLSSDAKTKNMNPYNEKRVLQQNRNIQKQNNVPDGFPNFIREGFEVKVIAPDDYIKCDSGLIYRDFEVGTGDCPKDGEQVTFHYVGYDESGRRIESTYLQRFPAKIRMGNNALVPGFEEGIRDMKAGGKRRIIVPPNLGPPLHVIDGIPQFIFVRSRYTIEVRLL >CAK8564506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678879431:678880390:1 gene:gene-LATHSAT_LOCUS17807 transcript:rna-LATHSAT_LOCUS17807 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQDAYSAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRELLTRVNSDEIIHRIRDWRQTGIYQPPRRSTSQPVHDILPSPTVSASRKKQKTSHSGQSLPGLSTVKSGPYASTGPAGARHFANRNSSSNLISNAPGEGASFDPLIGKKVWTRWPEDNHFYEAVITDYNPTEGRHALVYDINKANETWEWVDLKEISPEDIRWEGEDPGILHRSGHSSQGRGAKKFFSHGGNDTLGAGRGRGHPRYQPRMLLIIRTKALSVSIVSFVSLGSNARWRSITRTKRDSLKGLTKWRLCMKPVFTLEVSPRMK >CAK8575083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:27597744:27599066:-1 gene:gene-LATHSAT_LOCUS27373 transcript:rna-LATHSAT_LOCUS27373 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKQMSHADNSAPSTPGKFKMEKASYFNRVRWYTSPAKLALWTFVFSAAILIFFFRSPATSSLPADPSRRSLRSPSNWGGPVWEKRVRSSARVRSKNGFSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERTGVYIVEGDINDAALLRKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKTVNPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKTISIFEAANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKRAPAQLRVFNLGNTSPVPVTDLVSILERLLKTKAKRNIMKLPRNGDVQFTHANISYAQGELGYKPVTDLQTGLKKFVRWYMNYYSGGKKAVE >CAK8577168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:542308882:542310194:-1 gene:gene-LATHSAT_LOCUS29297 transcript:rna-LATHSAT_LOCUS29297 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGPKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQI >CAK8542450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516193509:516194409:1 gene:gene-LATHSAT_LOCUS11289 transcript:rna-LATHSAT_LOCUS11289 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQIRLEQTPQQLATMENGSLRSFGIGAATACNNGSLRIGPMCVYVCMTVFVREAMDSVSVVIHYILYAEN >CAK8530463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23127319:23133698:1 gene:gene-LATHSAT_LOCUS326 transcript:rna-LATHSAT_LOCUS326 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQDLYPSEDDLVYEEELLRNPFSLKLWWRYLIARSDSPFKKRVVIYERALKALPGSYKLWHAYLRERLEIVRSLPVTHPQFKSLNNTFERALVTMHKMPRIWIMFLQTLTHQKLITLTRRNFDRALCALPVTQHDRIWEHYLFFVSQKGIPIETSLRVYRRYLQYDPNHIEDFIEFLINSSLWQEAAERLASVLNDDRFYSIKGKTKHRLWLELCDLLTRHANEVSGLNVDAIIRGGIRKFSDEVGRLWTSLAEYYIRRGLHEKARDVFEEGMSTVITVRDFSVIFDSYSQFEESMLAYKMEEMDIDEEDEENEDGANEKEEEEEDEDVRFKYEDFEKKIFSGFWLNDKNDIDLRLARFDYLMDRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYEQHNDLANARVIFDKAVQVNYKTVDNLASIWCEWAELELKHKNFKGALELMRRATAEPSVEVKRKVAADGNQPVQMKLHKSLRLWTFYVDLEESLGDLESTRAVYERILDLRIATPQVIINYAYFLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGRTKLERARELFENAVETAPADQVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYISRAAEIFGVPKTREIYEQAIESGLPDKDVKAMCLKYAELERSLGEIERARGIYVFASKFADPRSDPDFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQTVNLDEAKDKLKEAGIPEDEMAALERQLAPAADKAATKERKVGFVSAGVESQSDGGIKSNANHEEIELPEENDSDDDDDDKIEIAQKDVPSAVFGGLIRKRDEIENDREVDGNAKEKDNETRLGALERIKKLKRN >CAK8541733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:375450565:375452207:1 gene:gene-LATHSAT_LOCUS10629 transcript:rna-LATHSAT_LOCUS10629 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSQTVDYVPLKLVVNEETNTVIFAEAGKEFVDVLCSFLTFPLGTITRLVQKESNLEPVTVGCLNKLYQSVADLDKECLTKEIIKEMLLNPSYSLEDYCSTLKLNIDDTEPLKYYMCTSQSLFHCDCIDMISTSTACIECMSGKTPIVYYVKKECNNGFVKDGATFIITDDLRVMPNSMDITSFVMLQNLGLKSTSSLKEMTVHVTKGKVLDLLKCSLVSNSPLTDLFLGMKPILGWLSSIFSCGVEITNDIQINNDIQITVKLVVRKTDGKILYAQGGHDFADFLLSFLTFPLGGVVRMFGGNCSLGSIDDLYASIIALDENLYFMKKEAKKRIVDPHLAPQFELSKQILPICQPRSRFYCHDDYYITCEDTYISNFFGESMDKKTVWVERLVEDYVKGPRTYIVTDDLVVTECSPTSVLRLIKRFRTPLKDLKEKVINIGANECLSLLKASLTTTSALTNGLGHLITKVQ >CAK8540217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545752127:545755827:-1 gene:gene-LATHSAT_LOCUS9243 transcript:rna-LATHSAT_LOCUS9243 gene_biotype:protein_coding transcript_biotype:protein_coding MESARLGQWLKLNLLVFVLVLLKAEAGYVPITYVKSAVTKGAVCLDGSPPAYHLDRGFGKGINSWLVQFEGGGWCNNITTCLGRKMNHLGSSTQMAKQIAFSGILNNRRLFNPDFYNWNRIKVRYCDGSSFTGDVEAVNPVTKLHFRGARVFNAVMEDLLAKGMKNAQNAIISGCSAGGLTSILHCDRFRAFIPLGAKVKCLSDAGYFINARDVSGTQHIEEFFGQVVTTHGSARNLPRSCISRLSPRLCFFPQYVVSQITTPIFFVNAAYDSWQIKNILAPGAADPHGHWHSCKLDINNCSSNQLDLMQGFRTQFLRALTVLGNSPSKGMFIDSCYAHCQTEMQETWFRSDSPLLAKTTIAKAVADWFFERRLFHQIDCPYPCNPSCHNRVFQQDTPGKNVTTKGTSSGASAIKSSFPILSNKAQWIELLCIRTGWLLLTTVILVIL >CAK8531999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:188254807:188255154:-1 gene:gene-LATHSAT_LOCUS1744 transcript:rna-LATHSAT_LOCUS1744 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSDQIRKKLCEYKRDNPTSTQKDLQRWLEGKFQLKVSQGTISNTLKRSYDYLSAEIEKERAEIKRHKPTKYPDMEKVVYEWFLQHQERVNITGELICRRQDIQ >CAK8574640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4653363:4654031:1 gene:gene-LATHSAT_LOCUS26967 transcript:rna-LATHSAT_LOCUS26967 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKDSSSYLPDELWEYIFKFLNGDHHRTLQPLTTVSKQFLSITNRLRLSLTITYPTLLFIPNLFHRFPNLTSIDLTLLPQTLNIDALLIQIATFPYDLRSLSCNNMPVNGLLALSKTMKNLTYFSCSRMPFINKNHLLFIAYCFPSLEELNLTYPSVSRVSDFVLEDNQSVRFPKLRKINLSGNNINDKFYDHMWECCELLEEFAMSNWNAKKLPRVYTA >CAK8560517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:23170359:23170802:-1 gene:gene-LATHSAT_LOCUS14181 transcript:rna-LATHSAT_LOCUS14181 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLRLLPYIISSKSNSNILIKSAVKKPNQTISMDFCFLKTCNLCNKHLSQDKDIYMYRGDQGFCSIECRNRQIVLDEMKELEISTKRMVKSYRQCCNEARRETSLILEDIRMQRLKSKV >CAK8576501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:485297107:485297507:1 gene:gene-LATHSAT_LOCUS28683 transcript:rna-LATHSAT_LOCUS28683-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFAFSILFYLFLVADLSYARKDMGDYWKNMMNDEPMPEAIKELVQTPEVTDAGKNNNFILDFDVRPNVILYHTHVESKKQHVLVKNSEQQEFHGVTENHG >CAK8576500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:485297083:485297507:1 gene:gene-LATHSAT_LOCUS28683 transcript:rna-LATHSAT_LOCUS28683 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPHKKEMKSIFAFSILFYLFLVADLSYARKDMGDYWKNMMNDEPMPEAIKELVQTPEVTDAGKNNNFILDFDVRPNVILYHTHVESKKQHVLVKNSEQQEFHGVTENHG >CAK8572092.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:508967161:508967339:1 gene:gene-LATHSAT_LOCUS24694 transcript:rna-LATHSAT_LOCUS24694 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSGEIVETLPRSFQVHSEICMELMSIG >CAK8540208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545216544:545217104:1 gene:gene-LATHSAT_LOCUS9236 transcript:rna-LATHSAT_LOCUS9236 gene_biotype:protein_coding transcript_biotype:protein_coding MLATWKVFTDGYNKGMTDEVAKANTHNVMQFDREIFCFMVQEKINQNDGRPTGTFSVDLRNRLCGCGKFQAFHLPCSHMTASCSSIRQDYTIHIPEVFTILNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDNAEKEKRRCEICTEIGHMRRKCHNVVVPSNRRPR >CAK8573248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:597529823:597531015:-1 gene:gene-LATHSAT_LOCUS25718 transcript:rna-LATHSAT_LOCUS25718 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYLPNQFSVTSEKQTEDSDCLSAMVLTTNLVYPAVLNAAIDLNLFEIIAKATPPGAFISPSQIASQLPSSNQHSDLPNRLDRMMRLLASYSLLTSSTRTSEDGTTERVYGLSSVGRYLVPDESRGYLASFTTFLCYPALLQVWMNFKEAVVDEDIDLFKKVHGVTKYEYMGEDKKMNKVFNKSMVDVCATEMKRMLEIYTGFDGISTLVDVGGGSGQNLKMIISKYPSIKGINFDLPQVIENAPPIPGIQHVGGDMFQSVPQGDAMILKAVCHNWSDEKCIQFLSKCHKALSPNGKVILVEFILPEEPTSTEESKLVSTLDNLMFITVGGRERTEKQYENLGKLSGFSKFRVACRAFSCLGVIEFYK >CAK8570309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35523174:35532394:1 gene:gene-LATHSAT_LOCUS23070 transcript:rna-LATHSAT_LOCUS23070 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHFLHHYNHNHHQEHRSRYAPPASQSHHHLPPPPALPPPPPISYRTIDTLPPPPPPPSYNPPLAHHSPRFPFYPIEEQRTLSNSFTNRNEHIPRRIVPESPWNPNPEDRPTRNCPPVDFHRDSHHHNHRPPPSYPPIRYESESSHGRLSSETSRLVGSPREAFVYGRTTNDDSYHRHHGTATSTSTSTSTSNLAYHPINEVSPRTENRRWLNDRKVHNSSPSHSPSFELVKDEIGTSVKREYHGSEAVRYSNGSNSGRSNSRECNHGREFSRTPPKKQIQKKSALLRIQTVKPNHRNRDIEQLRYVSDSNNNIFRGNNKDQHGGYTKGEDRKKGSSVELDISFESNSLVAKAIVTAPPSTSGATTAPVSGKLSSVVENLNDNNNNNTSQKNVGDACNPHDKHGVAVSVKGIGTSTRKLASKVVKKKKIVKRVVKKGSVNPTSSSMLASPSIAKAVGRTVQADSLTHISSNAASENVKTETCLEEKVNAVDKVSAPNNGKNVLCEDANRGLSLLSSGPECTQKCKINEDSDFGKESRLERGGSISSAPSCASSSLDKKCGSDSDCLNVCNSVHDLLSVTNIDKPTKSLNGSTSELNHLDCGNKQICQSEVSLSPGKYIDVGCSENKNLVDVGNELNSNVLSAYIIKTHNSADDSIYGFNSNDLTSSEEKITVDDSENNDIDAGAYCEKTGLAMTTLEHNSDTAIPLPCSGMVASLSLGDIRIQDGQDCLQHTSVLKKGSDDGSSSLKESIVVHQFGIIKDAEKQVSPGEVPIYAENCDIDKTFPNSNISLGFEVRDTSKIEKRNARTRLNFLSLDLDDISLTPVSHSNDADRGSKFLLKDPCPSEVLDHSIQSLDFYSLSNQVRGTALQGKRAFSETEFCVANNDSDDENKVSTVSKRKKVTASNPNLTQFQTEFIDSIVATTSSAEVPIGFSDSQEHKKDDVALSSTGMDIQYNAQSMTYSGNIAKLSDCIFTGGSFEPINANGETKTSEHLELQHSDIVSIQCVDLAIPNVQFSVLGCEQKDIVTPVVPITNTQTTDISVIGIIKGDDTDSQDAENNYHYRDDVQRFPRADMLSNDFNMKNDSLAQENLMSCPVAGDGVTISNSNNELIEDLPNALSDIYSHGMPSELPDRMITELTAINDDENICEGEENPKTESVVKHGFDSDTSTSLKHHSDKTMKLDCVVGCSDPITRNITPEPTQVCSQVTPLGLNSSCSELNGSKTQLDGVILKASQDYSFAFPKPKTKTPASSSYALKSRTWHRTDNNNPPASLPRVKFSARSVPPRKPILERKKNFQNTSYIRKGNSLVRNPTPVSAIPQISSTSLMRKPTPVSAIPQISSANLLPLGLGEIPKGTKPESRADLTDSSIYSKTKASNNALPIDTKSEENISFRSLEPPSSGCCENTTDLRKFIESNDAPVPSGDVPKQYEALEKQTDPSSNGGCQAEANDGNISSLNSKRIVYVKPKTNQLVATSSSSDMIISTDDKGQTAFSDSYFKRRKNQLVRNTFENHTVAMPNNIGNSDVQGASRVLCNRRFPKRRLHKVAGMSSKSSRASLVWTLSSKSSSRNDRNSWHYQRFPWKRATYLRSFIHNSASSFNSGSLSAAGKKLLLSRKRDTVYTRSTRGFSLWKSKVLGVGGSSLKWSKSIEKHSKKANEEATLAVAAVERKKREKKKSARTGSQTKRERIFRVGSVRYRMDPSRRTLQRISDDESLSSASTSSGLVSKRGYIPRRLVIGNDEYVTIGNGNQLIRDPKKRIRKLANEKVRWSLHTARQRLARKQKFCQFFTRFGKCNKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHKVLPERMPDCSYFLQGLCSNKSCSYRHVNVNPNASICEGFLKGYCADGNECRKKHSYVCPSFEATGTCTQGTKCKLHHPKKQSKGKKRKRTGDQDNDSGRYFGSIPADVSETGLKVAPSHSQQNEEHENELSDYISLDVYEEAADTIDQSCELSTFCDNDTMDFQLDTSDELIQPISIIPKFALQSQSRSPQA >CAK8564082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650178014:650178277:-1 gene:gene-LATHSAT_LOCUS17421 transcript:rna-LATHSAT_LOCUS17421 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWVGNDWQWKLDFEDRNLDGRQLQNLRDLMEMLYDIKCGLGLADSFMWKSGSDHSFTVNSCYITLLQARHFVELEITSKTTLKLL >CAK8567927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557072987:557075738:-1 gene:gene-LATHSAT_LOCUS20936 transcript:rna-LATHSAT_LOCUS20936 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGNKTDAYIVCYAPTMITTDGIWQGDNPLNYSLPLFILQLTLVVAATRMFVFILKPFRQPRVIAEILGGVMLGPSVLGKNKVLANAIFPLRSVMVIETMANVGLLYFLFLVGVEMDVSILRSVGRKAVFAAISGMVLPFIIGASFSVLLNKHINTDIHQGTYILFLGVALSVTAFPVLARILAELKLINTDLGRLALSSALINDVCAWILLALAIALAENEISFASLWVLLSGAAFVAFCIYAVRPAASWVVRKTPEGESFSEFYISLILAGVMISGFITDAIGTHSVFGAFVFGLAIPSGPLGVTLVEKLEDFVSGLLLPLFFAISGLKTDIGLVRGSCTWSILIIVIFLACIGKVVGTLAVAFFYHMPIREGATLGLLMNTKGLVEMIVLNVGKDQKIFDEESFAVMVIITVIMTGIIVPAVSIIYRPSRRNIHYKRRTIQISKPDGEFRILVCVHSPRNVATMINLLEASNPTKKSPIYLYMLHLVELSGRTSAMLIVHNTAKPEQTAINRTEAQSDHIINAFKNYEQNTSFVSVQPLTAVSPYSTMHEDICTLAQEKRVSLIIVPFHKQQTVDGGMEATNMSFRTINQNVLANAPCSVGILVDRGFNGINRLGSNQVTHNIAVMFFGGPDDREALSYGWRMFEHTSISLTIMRFVPGEKVSEPVQQQHQNGINLDEPSVLTVATDNDIERQIDDKLIHEFRMRYEDDDSFNYFEKVVNNGEETVAAVRAMDDIHDLFIVGRGQGMISPLTAGLTDWSDCPEMGAIGDLLASSDFAAAASILVVQQYVGVGSNGDELETPDASEEYNNSVDINHNTVTSRGHTVFNTERITPRGHTVFNTERITPHNL >CAK8541425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162331105:162332856:-1 gene:gene-LATHSAT_LOCUS10345 transcript:rna-LATHSAT_LOCUS10345 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKQFSLPKHTIQLNNNNQHSLKHTILSQIKQCSNIKTLESIYATMFKTNFNQDCFLMNQFITASSSISSHVNFATSTFTQIKKPNTLVYNALIKACVHCHSSNQALLHYINMHRNGVVPSSYSFSSLIKACTLLTDSVNGKALHGHVWKNGFHSHVFVQTTLVELYSSLGNVPDSRKVFDEMSERDDYAWTTMISAYVHNNDVESAEKLFDEMPERKNTATWNAVMDGYAKLGNVDIVEHVEFLFDKIPSKDIISWTTLMNCYLKNKRYYEVVKLFNEMVNEGMVVPDEVTITTVVSACAHLGALGLGKEVHFYLMVNGFCIDVYIGSSLIDMYAKCGSVERSLLVFYKLQDKNLFCWNSMIHGLASHGYAKEALRMFEEMEKKGIRPNGVTFVSVLTACTHAGFVEEGRRFFVSMSEDYCINPQAEHYGCMIDLLSKGGLLEDALEMIRGMSSEPNGFIWGALLNGCKVHRNLEIARVAVRNLRVTEPSNSGHYCLLVNMYAEVNRWCDVAKIRTEMKDLGVEKTCPGSSWIEINKELHVFAASDKNHPSYGQVHLFLVELDEQIRLSGYVPEMGSILY >CAK8544469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686764228:686766560:1 gene:gene-LATHSAT_LOCUS13145 transcript:rna-LATHSAT_LOCUS13145 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSSLTVVDVFLVLALLCCSSWKVWSITLCKETNLEKVRPHSVSITEFGAVGDGITLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSTNSEDWPVVDPLPSYGRGRELPGARHRSLIYGSDLTDVIITGNEGIIDGQGSIWWSKFRNKTLDYTRPHLVELMNSTGILISNLTFLNSPFWTIHPVYCSQVTVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGIAFGRPSTNIIIHRLVGKTQVSAGIAIGSEMSGGVSNVHAQDIRFYDSYTAIRIKTSPGRGGYVRNIYVSNITLTNVDIAIRFTGLYGDHPDDGYDPNALPVIEKITIEDVKGENVKKAGLIEGIEGDSFIDICFSNIILNVSSNYPWNCSNVRGYSDTVSPEPCEPLTERIFPDHCSDCYYLSNHQESSNDKKRDGWFTSW >CAK8567664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534560645:534560992:1 gene:gene-LATHSAT_LOCUS20696 transcript:rna-LATHSAT_LOCUS20696 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGNHHHNLSFHLHIPHLHFHQNHEKNKDIPKGCMAVMVGQGEEQQRFVIPVIYINHPLFMQLLKEAEEEYGFAQKGPITIPCHIEQFRTVQGMIDMEKSHHHHHHAAWCFKV >CAK8565240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78895277:78896949:1 gene:gene-LATHSAT_LOCUS18469 transcript:rna-LATHSAT_LOCUS18469 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDAEEMLEVSFKHPKHASPSDLVPLSESVFPDDDCYTHLPKTSEEGYAKGSNDCKDKLAGETCDVLPSGAGESETSFPVIGVPASSWATNGVSEEYHRSEQPIHLPLFPEYFSPERPIYFSPERPIRTFTRYEDLYSLLLEQSPRKPVSIGANHQADVPPWVPNAANAVSDSNITVHDGEAEKRMMGTCIISMPQMELSSIDDEAGKGRTDCSCEDSGSIRCVRQHIMEERKKLFKTFGIEKFIELGFPGMGEQVADRWTTEDEHLFHKVVFNNPASSNKNFWNYLSIVFPSRSKKEIVSYYFNVFMLRKRAEQNRNHLLSADSDNDEWQGNDDHDDEDSVADDPVCQDDTCINNCNDNHLEEYEDEFPEDETCAVNGFGDPTKRNIDPVEMQRPNASPHLNQHQDRSVWQDSCGEKVKHEPYTSHDMGGVASRETRVKSGNGDHWSSNYNGISNGYSQGYVLEPCDAPAWDSGFVSCSKNKMDFLPTCSMIEEVFGDGRRQDMRRGFMD >CAK8543130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:581815391:581815819:1 gene:gene-LATHSAT_LOCUS11912 transcript:rna-LATHSAT_LOCUS11912 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSEQDLDCVFCSSSLEDVSHLFFSCIKSTQVWNKICEYADIEIISENCCYSHAKVWNSSLRGRCQANRVNSIWFITCWSIWRSRNECIFNNVVTEVDSIVFDIKLSSWNWLILGRKGSKQCCLYDWFKFPFDVFVICLLV >CAK8574686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6670013:6672311:-1 gene:gene-LATHSAT_LOCUS27009 transcript:rna-LATHSAT_LOCUS27009 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELVKMALEETDSWSLGGIIGSFIDLFVAYVLLCGSTFAFFLSNFLRVFGFYLPCPCKGILGYRNSYLCFHMLLFEWPLRKICSIQVMALKRFPFDLVWVKKDHSLNNINENKMVVNVDEKTCGNNDNRIVELEDESLSSSPRLREIGYDVKGKGVLSLKRRSGFRRRKRSGYDCGKIDSVIRRHSFQSDVTFTSATYDGSSCMVKDKSSQSSNSASGKEGSLQYNHDDRSSHELDEKTCHSYEFNASIIESPTARANVHIVGNEDSRIKMYENAIEEEKGAYAALYLELEKERAAAATAADEAMAMILRLQEEKALMEMEMRQYDRLIEERVAYDEEEMSIMQEILIRREKENLFLEKELESYRQMCLTGNCESKSKSADVRLNEWKQRSPLSFEIYDDPPQKESIVSNVKKDFTNTEHGEELEKNTRHKNQACDDLNSSFDDTESDVLDVHVIDDNIEHKEKKTENLSSSSCSTTLSDKPMNTRLECASCSDADSKYKSMSFDTESDSPYLVHNEKLRIDNEIEVLGERLRTVKHEKEKLTLFADKGENEKGQLKLLDEIAARLQQIKQLRKPARDASLPPKPARGASLPPSWTQVSERKRRCQSVTLETCESS >CAK8574687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6670013:6672290:-1 gene:gene-LATHSAT_LOCUS27009 transcript:rna-LATHSAT_LOCUS27009-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEETDSWSLGGIIGSFIDLFVAYVLLCGSTFAFFLSNFLRVFGFYLPCPCKGILGYRNSYLCFHMLLFEWPLRKICSIQVMALKRFPFDLVWVKKDHSLNNINENKMVVNVDEKTCGNNDNRIVELEDESLSSSPRLREIGYDVKGKGVLSLKRRSGFRRRKRSGYDCGKIDSVIRRHSFQSDVTFTSATYDGSSCMVKDKSSQSSNSASGKEGSLQYNHDDRSSHELDEKTCHSYEFNASIIESPTARANVHIVGNEDSRIKMYENAIEEEKGAYAALYLELEKERAAAATAADEAMAMILRLQEEKALMEMEMRQYDRLIEERVAYDEEEMSIMQEILIRREKENLFLEKELESYRQMCLTGNCESKSKSADVRLNEWKQRSPLSFEIYDDPPQKESIVSNVKKDFTNTEHGEELEKNTRHKNQACDDLNSSFDDTESDVLDVHVIDDNIEHKEKKTENLSSSSCSTTLSDKPMNTRLECASCSDADSKYKSMSFDTESDSPYLVHNEKLRIDNEIEVLGERLRTVKHEKEKLTLFADKGENEKGQLKLLDEIAARLQQIKQLRKPARDASLPPKPARGASLPPSWTQVSERKRRCQSVTLETCESS >CAK8562999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:565607646:565608273:1 gene:gene-LATHSAT_LOCUS16449 transcript:rna-LATHSAT_LOCUS16449 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQFLACKSILLPFKFLGLSVGGNHRQLAFWRPIISYIRKRLSSWKGRLLSIGGRVTLINFVLTNFPIHHLSFFKVPTKWLWRFTHEHDALWYDILEARYGNLRKRIISKRGFVAAGLASLWWKDIIKIGDSCKHNSFLKKLSWKLGDGELFSF >CAK8573803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:638112840:638115149:-1 gene:gene-LATHSAT_LOCUS26208 transcript:rna-LATHSAT_LOCUS26208 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESSFSLEDTKYDDDGHIKRTGTWITASAHIVTAVIGSGVLSLAWAVAQLGWIGGAVALLLFSLITLLTSILMTNCYRYPDPVYGTRNPTYMKMAKSVLGGIQYKFCGVAQYASLIGCTIGYTLTASISIVAIKKSNCYHEYGHEADCDTVNYPYMAIFGIFQIFLSQIPDFHELSWLSIVAAIMSFGYASIGFGLSLAKLAEPGHHVRTGFTGLVVGVDVTSSKKLWNTLQAIGNIAFAYSFSNVIVEIQDTLKSSPPENQAMKKSAITGVTVTTLLYALCGLVGYAAFGNDAPGNFLTGFGFYEPFWLIDIGNLFIVIHLVGAYQLFAQPVFSLVESWGSKHWPESTLMAKEYKVRIPLVGIWKMNMFRLIWRTIYVLFTTLIAILLPFFNSIVGLIGAMSFYPLSVYFPIEMYLTQAKVRKYTATWIGMKLLSGFCLIVSLVAAIGSIQGIISELQTYKPFG >CAK8544026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:658114745:658115362:-1 gene:gene-LATHSAT_LOCUS12737 transcript:rna-LATHSAT_LOCUS12737 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPANNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRTFEIHRVWRQFDEMCLYKGCLRWGDTIVPYLPDRCMRQFRYRQYVPHPPLDHTMAGDIDVDWISYHHSIQNVIRPTAPATTSYETNDGYL >CAK8561825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389727615:389728497:-1 gene:gene-LATHSAT_LOCUS15379 transcript:rna-LATHSAT_LOCUS15379 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVESAHWKLKQMLGNSNGDMIKCWEAMNDNLKLQLRNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDVLRRIFEELKRVDYVGTNKEICRCTLRTTYRLPCACELTGYRIDGIPIPIDVVHVHWRKLSMEVKLDEDVDDGSDLDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKKKGKKLVGYDVYRDPSYHEYVDQASQSSQRQSQPSQTSKKLKLSKSSQKKSQPSQASKKLKLSQSS >CAK8562037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430051148:430056331:-1 gene:gene-LATHSAT_LOCUS15573 transcript:rna-LATHSAT_LOCUS15573 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSVMLFLVFLWAQVYLISSFTDPQDVSALRSLKDIWKNTPPSWDKSDDPCGAPWEGVTCNKSRVTSLGLSTMGLKGTLSGDIGGLTELRSLDLSFNKGLMGSISSELGNLKNLNILILAGCSFSGKIPDALGNLSELSFLALNSNNFTGKIPSSLGKLSKLYWLDLADNQLTGPLPVSTSTSPGLDQLLHAKHFHFNKNQLSGSISPKLFHSDMVLIHILLDGNNLIGSIPSTIGLVHTVEVLRLDRNFLTGEVPSNLNKLSNINELNLAHNKLSGPLPDLTSMDTLNYVDLSNNSFDPSEAPTWFSSLPQLTTLIMEFGPLEGTLPSELFSLPQIQQIKLRHNALNNTLEMGDNICPQLQLVDLQDNQIASVTVGSQYKNTLILIGNPVCSTGPLSNTNDCNLQQQPKQPYSTSLANCGGRSCPPDQKLSPQSCECAYPYQGTFYFRGPSFRELTNITIFHSLEMSLWVKLSLTPGSVSLQNPFFNGDDYLQMQLALFPPTGQYFNRSEVQRIGFSFSNQTYKPPHEFGPYYFIAFPYSFPDSRRGTSLSRGAIMLIASGSTFLILCLIGLAIYAILQKKRAENAIGISRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTDNFSGSNELGFGGYGKVYKGVFPDGKIVAIKRAQQGSMQGGLEFKNEIELLSRVHHKNLVSLVGFCFEQGEQMLVYEFIYNGSLREGLSGKSGIQLDWKRRLRIALGSARGLTYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDSDKSHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITSKQPLEKGKYIVREVRTLMNNRDEEYHGLIEVMDPLVRNTANQTGFGRFLELAMQCVEESASDRPTMSEVVKALETILQNDGLNTNSTSASSSATDFGVTKGAAKRHPYIDNSFTKKDSVDESNAFDYSGGYTLSTKVEPK >CAK8536076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:905041817:905043784:-1 gene:gene-LATHSAT_LOCUS5477 transcript:rna-LATHSAT_LOCUS5477 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKNSFLQDFCVPNTVNPETNGFQLEDSSSSPSFDTTSNGGGSSESTRYSNHILRYISDILMDEEDELENKPCMLQECLRLQAAEKSFYDVLDHNHPSSNDSTSTDQDGNFGRTASFESNSGSCTTDNSNESDWVNLVGEIDSSSLQLQTPLVEQNYYDLIEPDPVNIESQTASHFHDGTWSWNDIQPVITVEEVPTSLVTREKRSHMMDGDDDNEQEGRGSKLSANFSDELEPPEILDEVLLYQTGRHQHQQPSKNVDSGGKATARRSRLKKGSTDNAAAVDLWTMLTQCAQAVASYDQRNTNELLKQIRQHSSPFGDGLQRLAYYFANGLEIRLAAKTPSYMPPDVATAGDMLKAYKLLFTASPLQRMTNVLLTETIFSIVKNESSVHIIDFGICYGFQWPCLIKKLSTMPGGPAKLRITGIEIPQPGFRPAEWAEETGRRLENYCKKFNVPFEYNCIAQKWETIRLEDLKIDRNETTLVSCLYRLKNLPDETVAVNCPREAVLKLIRKINPKIFFHGVVNGSYSAPFFLTRFREALYHFSSLFDMFEANVPREDTQRLVLEKGFYGRDAINVIACEGAERVERPETYKQWQIRNRRAGFKQIRLDSKIVNVTKAMCKREYHKDFVVDEDGKWVLQGWKGRILNAFSAWVPA >CAK8536688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:7396063:7398538:-1 gene:gene-LATHSAT_LOCUS6024 transcript:rna-LATHSAT_LOCUS6024 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGLFDLEKHFAFYGAYHSNPINIAIHVLFVWPIFFSALVFLYFSPPFFNLPNFEFLLFGNNFVLVWNLGFLFTLVYSVFYASLDLKAGSLAALLCVVCWVGSCFVANQLGWHLAWKVVLVVQLVCWIGQFIGHGVFEKRAPALLDNLTQALIMAPFFVLLEILQTVFGYEPYPGFHSTVQAKVEANIEEWQERKQRLIS >CAK8531124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82636764:82639256:-1 gene:gene-LATHSAT_LOCUS936 transcript:rna-LATHSAT_LOCUS936 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKVIGEGVLIVLVLMIVSENVEAQPKSILINCGSNSSVNVDGRRWIGDMGSNNNVTLNSPGVVASTDTLSGNSIYEPLYRMARVFTASLNYTIRGVQGKYFVRFHLCPFEIVDHNVNESSFGVVVNGMKLLSEFNVAGKISEKNVNLQNSGKNSSLFFMVKEYILDVNDGLLLIEFVPSRNSFGFINAIEIVPVVGELFDGSVGKVGGGNLNLAGHGMETMYRLNVGGPEIRSNEDPDLRRIWEVDSSYMITENAGVGMKNSSNITYASVNDTSVAPLLVYETARAMSNTEVLDKRFNMSWKFEVDPDFDYVVRLHFCELMYDKSNERVFRIYINNRTAADNLDIFVRAGGKNKAYHQDHYDSASMRMGTLWVQLGPDTAAGAAGTDALLNGLEIFKLSRNGNLAYVERYNSAGNSGSKSKSKVLWIGVGAGIASVAIIACIGVFVFCFCKTRKESSDTKNNSPGWLPIFLYGGAAVNSTVGVKASNGNQKLYGSVASTGAGKRFTLAEINAATKSFDESLVIGVGGFGKVYKGEIDDGVPAAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSLIGFCEEKSEMILVYEYMANGTLRSHLFGSNLPPLTWKQRLEACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKDGPAFEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDIYSFGVVLFEAVCARAVINPTLPKDQINLAEWALRWQRERSLEKIIDPHLEGNYCPESLSKFGEIAEKCLADDGKSRPTMGEVLWHLEYVLQLHEAWLNMDNNNNNSTENSFSRIEVGKEHSNQDEEA >CAK8538468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:483913614:483913997:-1 gene:gene-LATHSAT_LOCUS7660 transcript:rna-LATHSAT_LOCUS7660 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTDLLRVLNKQFLGLAGDTANVVAKKLTSPPPLLVSRKPAFIDAFLYKMKKNPELLKNKSIWSRRSTILPEFVDSQVKIYNGKTMIRCKITEGKVGHKFGEFALTRKRKSRDQPNAKVKQLKKKK >CAK8537438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:357459687:357462784:1 gene:gene-LATHSAT_LOCUS6730 transcript:rna-LATHSAT_LOCUS6730 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFSFRCSNYASTTALPSLLLHNTTSLHLNRSSLTFPFPRRPFSPPELRSVPPVYAVASFPFFDISGGKGMNEFFDVELKVRDYELDQFGVVNNSVYAGYCQHGRHEFFESIGINCDAVARGGDALALSELSFKFLAPLRSGDRFVVKVRVSGTSAARIYFDHFIYKLPNLEPILEAKATAVWLDKNYRPIRIPADC >CAK8570582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:63553287:63553790:1 gene:gene-LATHSAT_LOCUS23320 transcript:rna-LATHSAT_LOCUS23320 gene_biotype:protein_coding transcript_biotype:protein_coding MATEENKDLVEILENLKSVDVAKYMNFVSDPQAGAIATFSGTTRDTFEGKAVLELKYEAYVPMAIRCIKSVCSSAREFWNLHSIAVAHRLGTVPVGETSIFIAVSSAHRADALEACKYLIDEVKATVPIWKKEVYSNGEVWKENTEFLERRSELGKKDVAVACSGKS >CAK8573207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593501382:593502812:-1 gene:gene-LATHSAT_LOCUS25681 transcript:rna-LATHSAT_LOCUS25681 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLQTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKAVEKKEPTGGPKITKSALKKK >CAK8570228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30375241:30383228:1 gene:gene-LATHSAT_LOCUS22998 transcript:rna-LATHSAT_LOCUS22998 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWAMNIEICDMLNHDPGQAKDVVKGIKKRIGSRHSKAQLLALTLLETIIKNCGDIVHMHVAEKNVLHEMVRIVKKKPDIQVKEKILLLIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSEQSAPVFTPPQTQPLASYPPNIRGMDGQPDTAETSAESEFPTLSLTEIQNAHGIMDVLAEMLNAIDPNNKEGLRQEVVVDLVEQCRTYKQRVVHLVNSTTDESLLCQGLALNDDLQRVLARHESFLSGLSTQNGNHLENSKPAPAGPLVDVDAPLVDTGDTGKQTDGRSSSGAEAGTQTLNQLMLPAPATSSGSAVPAKVDPKWDLLSGDTYDSPKADTSLALVPLGEQHAASPVSDQNALVLFDMFSNGDNAPTSVNTQPTQQANVAGQSGQFTPQFQQQQTFISQGGFYPNGSVPNAGSPQYEQSLHTQSTGPAWNGQIPNGNVPNVGSPQYEQSLHTQSTGPVWNSQVAEQQQPASPYGAQNNGSLPPPPWETQPADNGNPVAGAQYPQQPQVGHMAMTHVQGAANHQMPQAMGYGQAGGMYIPNVNNHMSANNNHFGSNQLGMHPQHMQGGAGHYMGMASHQMQGGPAVSMYPQQMYGNQFVGYGYGQQQQQHGVPYIEQQMHGMSVRDDSYLRNSNQVSNVPSGKPSKPEDKLFGDLVNMAKVKPKPSPGRAGSM >CAK8570227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30375241:30383228:1 gene:gene-LATHSAT_LOCUS22998 transcript:rna-LATHSAT_LOCUS22998-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWAMNIEICDMLNHDPGQAKDVVKGIKKRIGSRHSKAQLLALTLLETIIKNCGDIVHMHVAEKNVLHEMVRIVKKKPDIQVKEKILLLIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSEQSAPVFTPPQTQPLASYPPNIRGMDGQPDTAETSAESEFPTLSLTEIQNAHGIMDVLAEMLNAIDPNNKEGLRQEVVVDLVEQCRTYKQRVVHLVNSTTDESLLCQGLALNDDLQRVLARHESFLSGLSTQNGNHLENSKPAPAGPLVDVDAPLVDTGDTGKQTDGRSSSGAEAGTQTLNQLMLPAPATSSGSAVPAKVDPKWDLLSGDTYDSPKADTSLALVPLGEQHAASPVSDQNALVLFDMFSNGDNAPTSVNTQPTQQANVAGQSGQFTPQFQQQQTFISQGGFYPNGSVPNAGSPQYEQSLHTQSTGPVWNSQVAEQQQPASPYGAQNNGSLPPPPWETQPADNGNPVAGAQYPQQPQVGHMAMTHVQGAANHQMPQAMGYGQAGGMYIPNVNNHMSANNNHFGSNQLGMHPQHMQGGAGHYMGMASHQMQGGPAVSMYPQQMYGNQFVGYGYGQQQQQHGVPYIEQQMHGMSVRDDSYLRNSNQVSNVPSGKPSKPEDKLFGDLVNMAKVKPKPSPGRAGSM >CAK8544640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697023177:697023548:1 gene:gene-LATHSAT_LOCUS13298 transcript:rna-LATHSAT_LOCUS13298 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVNRSATKDFKVGKGLRQGDPLSPFLFIIVMKGLTKLMKNAMERRMFHGFKVNEKCTYSIMQFTDDTLIVGKGSWDNRWYIKVILMEFEMVTSLKVNFSKRCVGGINLKGDFVEVASNFL >CAK8574711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7197487:7199464:1 gene:gene-LATHSAT_LOCUS27028 transcript:rna-LATHSAT_LOCUS27028 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIVCLQCMYYITLGLLLTVFVGTRVSRLSLVYFFDYVTVSTSTVTGWCVAVSFLLSSAAGAVYLLYLIERSKKCLDFSATLYIIHLFICIVYGGWPSSITWWIVNGTGIAVMALLGEYLCMKRELQEIKIPRYRSNV >CAK8530830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53934332:53935818:1 gene:gene-LATHSAT_LOCUS666 transcript:rna-LATHSAT_LOCUS666 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDNLDRSFSKVVRGDYPKSVDRAKGGVQCIKLELDDEWSRRLNRMRVGEVMEDGNAFNIQKLIFEEGYFNIKATPLGASLCLLEDTSRGDLEVFVKEAREWIDIWSRDIRKWHMEEIDRVRIVWVNCYGLPCVAWSEKNFRQISSSLGSFVKCDEKTRNKEKLDIARFLIKTRLRNILNNLIDIEVNNHSFSLSFIEDLSCGRGDPLFGEEDEVIDSDKFDSSNPLELDGAWEIPEEEEESDDLKSLYSETEARAPNTVVQKEDGDFSVSDNVSVVGDNLGLSVEGDGSRGNNHKGSAALISSKGTKLDNSLADLELNQSKVDFEVPSLNRELVVDLGLPLPISGSSGGVIGPAVRGKAKKKKHLHEVYNCIKIPYTPSYFSTLLLTSPKENLRPESKGKAREESKKNKLSKEFSESSSVILCRESITTLIWREVLGVQGEDEEVIGRTLRNLNNTDKDAGLGKKDVAIIDP >CAK8568123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574698699:574703550:-1 gene:gene-LATHSAT_LOCUS21116 transcript:rna-LATHSAT_LOCUS21116-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELNHLIADLQSLNQSLPDPSLRDAFHKIQSRVEHIADLVRAEPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRNFSIAIVGVGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQAGMTKTDAAVQTLSDINPDVVLESYTLNITTVDGFETFMSSLKNKSFSPDKQGNGVDLVLSCVDNYEARMAVNQACNELSQTWLESGKHSFLVVASGIDERTLKREGVCAASLPTTMGVIAGLLVQNTLKFLLGFGQVSPYLGYNSLKDFFPTMQMKPNPQCSNAACLKRQGEYILAKPARDAAAKAKLEAEGPSIEEGPMHDDNEWNISVVDDCEPDGTNTKSSDALPEGLTHELPTADEFQNPVTPEAPINDNDDLDELRRQLEAINSV >CAK8568122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574698699:574703550:-1 gene:gene-LATHSAT_LOCUS21116 transcript:rna-LATHSAT_LOCUS21116 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELNHLIADLQSLNQSLPDPSLRDAFHKIQSRVEHIADLVRAEPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRNFSIAIVGVGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQAGMTKTDAAVQTLSDINPDVVLESYTLNITTVDGFETFMSSLKNKSFSPDKQGNGVDLVLSCVDNYEARMAVNQACNELSQTWLESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGIDERTLKREGVCAASLPTTMGVIAGLLVQNTLKFLLGFGQVSPYLGYNSLKDFFPTMQMKPNPQCSNAACLKRQGEYILAKPARDAAAKAKLEAEGPSIEEGPMHDDNEWNISVVDDCEPDGTNTKSSDALPEGLTHELPTADEFQNPVTPEAPINDNDDLDELRRQLEAINSV >CAK8577842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:593680380:593686034:1 gene:gene-LATHSAT_LOCUS29911 transcript:rna-LATHSAT_LOCUS29911 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTTLHKLFLFSFSTSIIFFPTPSISVSSDSLTTTQILRINQTLLSPNGIFQLSFFSYTNFSWYLGIRYTIDNDRTVVWVANRNTPIQNPDSFLQLTNTGSLVIIDQSNKTIWASIQINKSSTFNNKNPILQLLDSGNLVVTVTESPNENDPSKNLWQSFDFPTDTLLPGMKLGKNFDTNTETYINSWKVKEQDPSNGDISFKMDYQGIPEIFLWNKNRRMYRSGPWNGKRFSGVPEMQPVTDSIQFSFVENEHEVYYTFTIGKQSLFSRLGVTPSGELQRQTFINSRNFWSKFWYAPKDQCDNYKECGPFGVCDTNGSPVCSCIKGFRPKNRQAWNLRDGSDGCLRNNELDCESDRFLHMVNVKLPETNRVFVNRSMGILECGELCKRNCSCSGYGNVEIVNGGSGCVMWLDELLDIRVYPAGGQDLYVRLAASDVDDDVLRDGSDHKTAKVIGIMVGGAAIIFLVLGICFLWRKKKLKCLWNLKEKREKKGSLERSQDLLMTDGVFTSNREQSNETNMDELELPFFDFNTITMATNNFSQENKLGQGGFGIVYKGRLMEGQEIAVKRLSKNSGQGVEEFKNEVKLIVKLQHRNLVRLLGCSFQMDEKMLVYEYMENRSLDAILFDKAKRSTLDWQTRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDQEMNPKISDFGMARIFGTNQTEANTVRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVMEIISGKKNRGFYSASKELNLLGHSWKLWKEGNALELIDSSIIDNSYLPSEVLRCIQVGLLCVQERAEDRPTMSSVVLMLSSETATIAQPKNPGFCLGSNPIETDSSSSKQDESCSVNHVTVTMVDGR >CAK8544869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709700494:709704050:1 gene:gene-LATHSAT_LOCUS13514 transcript:rna-LATHSAT_LOCUS13514 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAMTFDEISMETSKSFVFALQELKNLRPQLYSAADYCEKSYLLSHHKEMVLDNLKDYATRAIVNAVDHLGTVAYKLTDLLEQQALDASTMDLKISTLNQRLLTCQVYTNKEGVRQQQLLSIIPRHHKHYILPNSANKKVHFRPYKQTDARQNSFKSRTRPQPSGTPVSKTLSWHLASETKSTLKRRSSHPSKKIKDPKFSVKTTGVFHLIDNEEITQLKPSESKNHVQNGVPTSSAANQSLGVTRRDALEGFRPLTKLGSFNNQNQHETVHVPSRSKSVLSSLFVKQRSAKTRAGSVS >CAK8577566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574848879:574864956:1 gene:gene-LATHSAT_LOCUS29664 transcript:rna-LATHSAT_LOCUS29664 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPMESVNDLIQELKLRTLWWSLAIFAVSYFLTNTSKSMWMNVPMSILFVCALRILVNNVEFRWKVRQPRSHTYLSHLEKKQLSLNDPRLSSAPSPVKWKRKIDSPVVEEAMGDFIDKILKDFVVDLWYSEITPDREFPDQIHAIIMDVLAEISARVKEINLVDLLTRDLVDLIGDHLELFRRNQAAIGVDVMKTLSSEERDDRLKFHLLNSKELHPALISPESEYKVLQRLMSALLATVLRQREAHCPVIRSIARELLTCLVMQPIMNLASPVFINELIESLLLLLNDDSKKWTGGDQSTNVPSHGQGRSVATGGVHDNLTPSYKHPSSNQETGMTLSNTSDRRETSLQYNPLQQESSQAKPADWARMLEVATQRRTEILMPENLENMWAKGRNYKRKENKIVKPGSKDLPKSPATDSSLPNRKLAQETLASKRGKYEAPEVKSYLPSTHDLASDHLQSVARTNRSESFQNPDKKLSFDEELRVDKVKGTKDIASDGYKSSLKRSSSASALGVQPNQESSSIISEFYNPEFERHGEGFRGKSSSDMIVRKEGQLASKLQCRVKGAYFEKIGATSFAVYSIEVTDAQNRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTDDAFVHQRCIQLDKYLRDLLSIANVAEQHEVWDFFSVSSKNYSFGKSSSVIKTLAVNVDDAVDDIVRQFKGVSDGLRRKVSGSPSLFNEGASTSTTLYLSWNADELDKSTPQRSATESVLSSDTEEGDRNSNLDHDNIDREEVQDNGWQSDNALISKGHPPLLTDHTDESSNLDFDRKCDLSGEARVSNDVPAANLVLTRNNLVDPVGVPPEWSPSNVSVPLLNLVDTIFKLKKRGWIRRQVFWMSKQILQLVMEDAIDDWLLRQIHLLRREDTVAQGIRWLQDFLWPGGTFFLRIGTLQMTNGGSDQKSSQTISGSEGRNITKHESGSFEQQLEAARRESDIKKLLFDGAPTTLVSLIGHKQYRRCARDIYYFSQSSVCVKQLAYAILELLLVSIFPEMRNVVLSVHENVNVHRAS >CAK8577567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574848894:574864956:1 gene:gene-LATHSAT_LOCUS29664 transcript:rna-LATHSAT_LOCUS29664-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNDLIQELKLRTLWWSLAIFAVSYFLTNTSKSMWMNVPMSILFVCALRILVNNVEFRWKVRQPRSHTYLSHLEKKQLSLNDPRLSSAPSPVKWKRKIDSPVVEEAMGDFIDKILKDFVVDLWYSEITPDREFPDQIHAIIMDVLAEISARVKEINLVDLLTRDLVDLIGDHLELFRRNQAAIGVDVMKTLSSEERDDRLKFHLLNSKELHPALISPESEYKVLQRLMSALLATVLRQREAHCPVIRSIARELLTCLVMQPIMNLASPVFINELIESLLLLLNDDSKKWTGGDQSTNVPSHGQGRSVATGGVHDNLTPSYKHPSSNQETGMTLSNTSDRRETSLQYNPLQQESSQAKPADWARMLEVATQRRTEILMPENLENMWAKGRNYKRKENKIVKPGSKDLPKSPATDSSLPNRKLAQETLASKRGKYEAPEVKSYLPSTHDLASDHLQSVARTNRSESFQNPDKKLSFDEELRVDKVKGTKDIASDGYKSSLKRSSSASALGVQPNQESSSIISEFYNPEFERHGEGFRGKSSSDMIVRKEGQLASKLQCRVKGAYFEKIGATSFAVYSIEVTDAQNRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTDDAFVHQRCIQLDKYLRDLLSIANVAEQHEVWDFFSVSSKNYSFGKSSSVIKTLAVNVDDAVDDIVRQFKGVSDGLRRKVSGSPSLFNEGASTSTTLYLSWNADELDKSTPQRSATESVLSSDTEEGDRNSNLDHDNIDREEVQDNGWQSDNALISKGHPPLLTDHTDESSNLDFDRKCDLSGEARVSNDVPAANLVLTRNNLVDPVGVPPEWSPSNVSVPLLNLVDTIFKLKKRGWIRRQVFWMSKQILQLVMEDAIDDWLLRQIHLLRREDTVAQGIRWLQDFLWPGGTFFLRIGTLQMTNGGSDQKSSQTISGSEGRNITKHESGSFEQQLEAARRESDIKKLLFDGAPTTLVSLIGHKQYRRCARDIYYFSQSSVCVKQLAYAILELLLVSIFPEMRNVVLSVHENVNVHRAS >CAK8571035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272722210:272722353:1 gene:gene-LATHSAT_LOCUS23732 transcript:rna-LATHSAT_LOCUS23732 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWSFLVGGAICLVNSVNERDLILLNSYVEVTLHGQLLRGTMAA >CAK8543197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587084282:587085222:1 gene:gene-LATHSAT_LOCUS11970 transcript:rna-LATHSAT_LOCUS11970 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSISSSRNTKSKGKWNEEIGMAEVFEKNGKMWVTTGIVRNGKIYTSIEETLYLMELEALDLFDNSDRSIPLVEMYEKVAGGKTGCCWELFEAYRHLKSLGYIIARHNVAWSLKCIGNSVKCVDLEGTEECKQLVDMVSKIEISIDKLFGDLKINDLKPDFDVYLPNNRFRKSSPGNPNFLLYLSRGHLPPRTEIEVLERQCGGIPLKICLVTEGRVSFFSFDKVELPVLP >CAK8541552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:237125220:237139035:-1 gene:gene-LATHSAT_LOCUS10465 transcript:rna-LATHSAT_LOCUS10465 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEKTDDRNANLSNATAGNDASDGFETASEADLDSDGDDDRASSREELQHREQPPKQTEGEQEQDAPQGSISSEDTLINEHELRQKALDEANEAKVEGNKLFVDGKYEEALSQYEHALQVALDMPSFVEIRSICHANRAVCFLKQGKYENTIKECTKSLELNPAYVKTLVRRGEAHEKLEHFEEAIADMKKILEIDPSNDQGRKAIRRLEPLAAVKREKMKEEMIAKLKEMGNSVLGRFGMSVDNFKAVKDPNTGSYSISMER >CAK8540286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548913545:548916947:-1 gene:gene-LATHSAT_LOCUS9304 transcript:rna-LATHSAT_LOCUS9304 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGEFKLPNFFNYPPYFTLQPVRDTREKQIQLWKELIIDYCKTQKVFVIGIEDEFPLFTNTVIERSLTHEAREAFLSALVSEGRAEWMDKGRRKCLILWHRIQDWAEILLQFAKDNGLEDGVVTIEEIRFGTESQGTELQGIDRTILNRALKLLEQKGKLVVFKGTSTDDEGIKFSV >CAK8540838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24629481:24629882:-1 gene:gene-LATHSAT_LOCUS9804 transcript:rna-LATHSAT_LOCUS9804 gene_biotype:protein_coding transcript_biotype:protein_coding MRPINSVPSSSISSTTSTNVIKIWKSPIPYLFGGLALMLILISVALVILVCNYKKRGSSMQSSNSGEEMKQVMSKNVEMVNSEPEVLVIMAGEVKPTFLAKPAINSSLPYCTCGAESSSTNSSELKNEETISN >CAK8538512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485737109:485737504:1 gene:gene-LATHSAT_LOCUS7701 transcript:rna-LATHSAT_LOCUS7701 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKTSSPSTRNGALNSQVKSNSRNRLISGQHHCCKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIITIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLSVV >CAK8565051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31783097:31784329:1 gene:gene-LATHSAT_LOCUS18292 transcript:rna-LATHSAT_LOCUS18292 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVSNENQGSEEIGFFEMLGKQFSDDFNFIGNVSSKKIYAKFGDPNGFSSKGGSISKLSNFVVETNGWDSLIDLKLGNFGDHGHGGSIFSSCESSTPPKRVRVHSLNAYCQVYGCNKDLSSCKEYHKRHIVCEVHSKTAVVIVNGIEQRFCQQSSRFHLLSEFDDGTRSCYKRLSSHNERRRKPQAGVHSTNSRRLFQPCGGSTEPSRHKHLLSVRRCSRMVSRDRLNQNMKLALDLYLLCLL >CAK8543280.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:595049344:595051888:1 gene:gene-LATHSAT_LOCUS12047 transcript:rna-LATHSAT_LOCUS12047 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNASPLSSERCMSVTSPLLDLEKESEISSGEGSQNRVIELEDEVKDEYSINDSPIEQVRLTVPITDDPSQPALTFRTWILGLASCVLLAFVNQFLGYRTNPLKITSVSAQIITLPLGKLMAATLPTKPIQVPFTDLSFSLNPGPFSLKEHALITIFASSGSSGVYAINIITIVKAFYHRSINPVAAYMLALSTQMLGYGWAGIFRRFLVDSPYMWWPENLVQVSLFRAFHEKEKRPKGGTSRLQFFFIVFVASFAYYIVPGYFFQAISTVSFVCLIWKNSITAQQIGSGMKGLGVGSFGLDWNTVAGFIGSPLAVPGFAIINIMAGFLLYMYVLIPISYWNNLYDAQKFPIISSHTFDSTGATYNITQILNTKTFDIDMDSYNNYSKIYLSVTFAFQYGMSFAALTATISHVVLFHGEMILQMWKKTASSLEKLGDVHTRIMKKNYEQVPEWWFVSILILMVMMALVACEGFGRQLQLPWWGILLSLAIALVFTLPIGVIEATTNIRTGLNVITELVIGFIYPGKPLANVAFKTYGHISMVQALAFLGDFKLGHYMKIPPKSMFIVQLVGTVVSSSIHFGTAWWLLTSIENICDESLLPKGSPWTCPGDDVFYNASIIWGVVGPKRMFTKDGIYPGMNWFFLIGLLVPVPVWLLSIKFPNQKWIQLINFPIIIAGASSIPPVRSVNYISWGIVGIFFNFYVYRKFKAWWARHTYILSAGLDAGVAFMGLLLYFALQSYGIFGPTWWGLEADHCPLAICPTVPGLHAEGCPVIDFHVTIPLPILPS >CAK8564998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:22243470:22244010:-1 gene:gene-LATHSAT_LOCUS18240 transcript:rna-LATHSAT_LOCUS18240 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKKDEAQDQKHDELAESLNDLFSSVATMIKSELQGTNNHLELVEKMNAKVAEEYKGFGDLASGLRVFVEQLKCKSGSFNEYVEQIDAIEKQVTEFEAVVSMLDKYVALLESRVQSLYQTKNPSS >CAK8573089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582504065:582504370:1 gene:gene-LATHSAT_LOCUS25577 transcript:rna-LATHSAT_LOCUS25577 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKISQLIHILLWLSLLFLLFHEYYNLKYSKINKKYIIQASHHSLSSHHSRKVLARKFHFSTLFKHRHQQVEKDPSSTEIDPRYGVEKRRVPTGPNPLHH >CAK8568656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629246321:629249687:-1 gene:gene-LATHSAT_LOCUS21595 transcript:rna-LATHSAT_LOCUS21595 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRNFSTSSGSGNAGRTFEFGRTHVVRPKGKHQATIVWLHGIGDNGSSWSQLLETLPLPNIKWICPTAPTRPVTLFGGFPCTSWSDVGDISEDASSDLEGLDASAAHVANLLSTEPANIKLGIGGFSNGAATALHSATCHVLGHYGNGNVYRINLSAIVSLSGWLPCSRTLRNKIAGSRDGIRRAALLPLFLGHGRADDVVAYEHGENSARTLSSAGFQNLIFRSYNGLGHYTVPEETDEVCRWLTANLALEGLRLN >CAK8569427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554291:555888:-1 gene:gene-LATHSAT_LOCUS22280 transcript:rna-LATHSAT_LOCUS22280 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLTLFCLAFGRTGAIPSGEDFWKYVWPNTPLPEAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQHDLYPGKKIVLGNTQSVAKTARPLTGPIQGVTDSIWLENKERQSLDDFCNSPTAKGERKHCVSSLESMIDHVISHFRTSKIKAISSTFDINQDQYVVEEVKKIGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNAELLYEALKVSPGTVSVCHFIGNKAAAWVPDYSVDRPCAI >CAK8569428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554291:555346:-1 gene:gene-LATHSAT_LOCUS22280 transcript:rna-LATHSAT_LOCUS22280-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHITVLALFCLAFGRTGAIPSGEDFWKYVWPNTPLPEAFSDLLLPYGKTNNLPIRLEELNQYSTLFFQHDLYPGKKIVLGNTQSVAKTARPLTGPIQGVTDSIWLENKERQSLDDFCNSPTAKGERKHCVSSLESMIDHVISHFRTSKIKAISSTFDINQDQYVVEEVKKIGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNAELLYEALKVSPGTVSVCHFIGNKAAAWVPDYSVDRPCAI >CAK8535292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834925089:834925433:-1 gene:gene-LATHSAT_LOCUS4763 transcript:rna-LATHSAT_LOCUS4763 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSADSYKIIGEFCCRMTGTMKEWYHNIGAFKQDELHRLETTVSVLGVLHREFISDVEIFDRKSRQEFFEMKCYSLKTKDLNKHYHRMTQRYYVLNGYNDPSLKNTYVSSLP >CAK8562186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:452223563:452223880:1 gene:gene-LATHSAT_LOCUS15705 transcript:rna-LATHSAT_LOCUS15705 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWNVVLQSGQIFSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGRPMGHYRVELH >CAK8565661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300895461:300896396:-1 gene:gene-LATHSAT_LOCUS18852 transcript:rna-LATHSAT_LOCUS18852 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAQHRCVFVGNIPYDATEEQLIEICQEVGPFVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYDINGRQLRVDFAENDKGNDRNKDQGRGGPGMTPIVDPQKQVGIPAVQGESAQAAQHQPIGLHFAVIAAAVMTAALGGAQTGTQSNQSGLQNQSALTNDPLTLHLSKMSRSQLTEMIFEVKGMAIHNKELSRQLLLSRPRLPKALFQAQIMLGMVTTSMLQMENLRQGSDQTSQLINEGHIGQTPQTLAQIVAGLPPYGQSKLQSGLTPYFQEGQANPLPHNPLAPKSINRKSKASVAA >CAK8569719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9203069:9208478:1 gene:gene-LATHSAT_LOCUS22538 transcript:rna-LATHSAT_LOCUS22538 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFMNSLSSSPLHKSLPLLLFRFIIILMVVSCKTKALVKLPPNVSVPAVFVFGDSIMDTGNNNNNLRTGARSNFPPYGKDFEGGIPTGRFSNGKVPSDLIVEELGIKELLPAYLDPNLQPDDLPTGVNFASGGAGFDPLTSQIASAISSSGQLNLFKEYITKLTVLVGEDRTNFILTNSLFLVALGSNDISNTYFLSHIRQFQYDFSDYADLIVNLASNFLKELYELGARRIGVFNVPPIGCLPFHRTAAGGIERNIVVEYNEAVELYNNKLSKALASFNQNYPNSNIAYIDVYNPMLDIILNSKKYGYKVDDRGCCGTGKIEVVFLCNHLASTCPNDREFVFWDSFHPTQSVYKRLISSTFQEYIKKFKWA >CAK8564016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646223373:646224897:1 gene:gene-LATHSAT_LOCUS17364 transcript:rna-LATHSAT_LOCUS17364 gene_biotype:protein_coding transcript_biotype:protein_coding MASILKVSSFISPLHQKLNYVSFSPKFQQVSVRNGRLNVPAMTVKAQTAVEGDVIENESVSSNEEKDYGVVSVHHVGILCENLEKSLDYYQNVLGLKINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPQHGGRDRHTCIAIRDVSKLKAILDKAGIPYTLSRSGRPAIFTRDPDANALEFTQIDD >CAK8565573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:280939441:280943330:-1 gene:gene-LATHSAT_LOCUS18772 transcript:rna-LATHSAT_LOCUS18772-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGESHRHMPHFTASIAPNYSPDSDLRPHWKTSRKKFKTPGNRLRRSDSSTGRRSRPESPSLKLKIFKVGEEKNDGVGGGGDPVEDVCRKRDKLPVAVSARKLAAGLWRLQLPEVEAAGDCGTRGGLRLQNGIGYADHPYHSARNGVMHGSGMKNPSQRRRSISETKDGHQCELDPSFQFSSTAMEGMTKWDPVSLKTSDEGQHIYSQMKLLDQKDSTVSALEAELEQAHVRIQELETERHSSKKKLEHFLKKVSEERAAWRSKEHEKICAYVDDIKSDLNRERKSRQRIEIVNSRLVNELADAKLLAKRCMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMTIREEMDEERRMLQMAEVWREERVHMKLIDAKVALDEKYSQMNELVAYLETFLKSVNINSNAKEIREAQSLQQVAASVNIQDIKGFSYEPSNPDDIFAIFEEVNSGEPNERETESCIAYSPVSHASKIHTVSPEANLISENGLHRRSDIYIDNNCDIEEDESGWETASHVEDQGSSCSPEGSAPSVTNKGRKSNASGMSVIEWEGNAGEETPLTEISEVCSVPTKPSKRISSIARLWRSGLTNDDNYKIISMEGINGRLSNGKVSNGGVMSPEWGLDKSGLSPQDIICQLSSPESGSVHNRGMKGCIPRTGPKGSLKSRLMEARMESNKVQLRHVLKQKI >CAK8565572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:280939441:280943333:-1 gene:gene-LATHSAT_LOCUS18772 transcript:rna-LATHSAT_LOCUS18772 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISGESHRHMPHFTASIAPNYSPDSDLRPHWKTSRKKFKTPGNRLRRSDSSTGRRSRPESPSLKLKIFKVGEEKNDGVGGGGDPVEDVCRKRDKLPVAVSARKLAAGLWRLQLPEVEAAGDCGTRGGLRLQNGIGYADHPYHSARNGVMHGSGMKNPSQRRRSISETKDGHQCELDPSFQFSSTAMEGMTKWDPVSLKTSDEGQHIYSQMKLLDQKDSTVSALEAELEQAHVRIQELETERHSSKKKLEHFLKKVSEERAAWRSKEHEKICAYVDDIKSDLNRERKSRQRIEIVNSRLVNELADAKLLAKRCMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMTIREEMDEERRMLQMAEVWREERVHMKLIDAKVALDEKYSQMNELVAYLETFLKSVNINSNAKEIREAQSLQQVAASVNIQDIKGFSYEPSNPDDIFAIFEEVNSGEPNERETESCIAYSPVSHASKIHTVSPEANLISENGLHRRSDIYIDNNCDIEEDESGWETASHVEDQGSSCSPEGSAPSVTNKGRKSNASGMSVIEWEGNAGEETPLTEISEVCSVPTKPSKRISSIARLWRSGLTNDDNYKIISMEGINGRLSNGKVSNGGVMSPEWGLDKSGLSPQDIICQLSSPESGSVHNRGMKGCIPRTGPKGSLKSRLMEARMESNKVQLRHVLKQKI >CAK8537917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447750979:447752220:1 gene:gene-LATHSAT_LOCUS7166 transcript:rna-LATHSAT_LOCUS7166 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIIHNYGKPMSLSKLISSLPIHPSKKPCIYRLMRMMTHSGFFSQQNVTENELEIEYTLTDASRLLLKDNPMSVTPFVQAMLSPIMTNPWHQMSTWLKNEDSSAFETIHGKYFWDYAAHDPILNRLFNESMACDAPLVSHILIEKGKGVFDGLESLVDVAGGTGNLAKAIAKSFPQMECIVFDLPHVVDGLQGSDNLSYVGGDMFQEIPQAHAILLKWILHDWNDKECVSILKKCKESLEKTGKKGKVIIIDLVVDVENTNESVETQLFWDMLMMVMLTGKERNEKEWIKLILSAGFSDYKITPILGLRSMIEIYP >CAK8568047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566739485:566740222:-1 gene:gene-LATHSAT_LOCUS21045 transcript:rna-LATHSAT_LOCUS21045 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFKCVVHHSGEFSSEFVNFTKLGYVGLEEIWNVDPDYWSYFEILDKLRELGYPTIDRLWYYDDMIDNDIVQLENDKGTDRMRTIVVLTGECHLYVTHHVSEPDVIEKPILSLSHVSILGEYMCGEGPAMVNNQDETTVAKDVVGEVYEGGSNECGTTLGEDVVEEGTRVDEVKENVGIEDNVGIEENVGDVGTNFETEMKVGQEEINCNNMDDVGTTCEMEENVDVGMNVGGDETNEGLNCN >CAK8566284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399590932:399591876:-1 gene:gene-LATHSAT_LOCUS19426 transcript:rna-LATHSAT_LOCUS19426 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKTRCKSRSRGHRETPYLLSCPRKNMKNVKSFSKEVEKKDWKGATCSVCMEVPHNAILLLCSSYNKGCRPYMCATSRRYSNCFEQYKKAYTKATSVSSQQLTDYSTFDSSAGERSDNAKVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCMQDDCSFVGSYKELRKHVRSKHPFSRPREVDPVREEKWKRLECERERSDVISTILSSTPGAMVLGDYVLEPNDHAFYSDETDSDSDSDSGFREDDGDFFSMMGGPGSFLSNIRYNQGRVGFRRVAPTDSAALSGGGLHRLLLGRSRRRGRHRILNAGR >CAK8532773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:512221717:512222665:1 gene:gene-LATHSAT_LOCUS2445 transcript:rna-LATHSAT_LOCUS2445 gene_biotype:protein_coding transcript_biotype:protein_coding MCANCSNHIRKENRKEICKYLFQEGVCYAKEDFNLAKHPEIDVPNLQACQPASMDWTEWTLKSIMLERI >CAK8566254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397890733:397905348:-1 gene:gene-LATHSAT_LOCUS19402 transcript:rna-LATHSAT_LOCUS19402 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGGGSFPAAKRPSSSSDDKPPSTSPKRQKPDNGAATTSSEKPISISPTENSKDLRTPEPPADPGECAPSDAQIEEPVTADDKIDATPPIADGSSPTLVADKPRASFSSWSIYQKQNPILESSAPWCRLLSQSAQHPNIPISIPNFTIGSSRNCNFHLKDHTISGNLCKIKHTQSEGSDVAVLESTGSKGSVLVNGTLVKKNAWCALNSGDEVVFGLHGNHSYIFQQVNTEVAAKGAEVQSGVGKFMQLERRSGDPSAVAGASILASLSNLRQDLKRWKSPSQTASKPHQGADVSIHTVLPDGTEIELDGLEGNSTPNTGTDKAADAEANNKNSPIDYDPEDAGAEPGNVLEERNGVEDTQAASISGTSVRSAVFREDAHAAILDGKELEVSFDSFPYYLSENTKNVLIAACFIHLKHKEHAKYTTDLTTVNPRILLSGPAGSEIYQEMLVKALAKYFGAKLLIFDSQLLLGGLSSKEAELLKDGLTADKSCSCAKQSPTATDTAKSMDPPASETDTPSSSNAPTPHGLESQAKLETDNVPSTSGSAKNCLFKLGDRVKYSSSSGCLYQTSSSRGPSNGSRGKVVLIFDDNPLSKIGVRFDKPIPDGVDLGGACEGGQGFFCNVTDLRLENSGIDELDKLLINTLFEVVTSESRNSPFILFMKEAEKSIVGNGDPYSFKSKLEKLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRTVLSRSGMESEELEALSVKDLTLTNENSEKIVGWALSHHLMQNSDVDADAKLVLSCESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLGDVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATDAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPYDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSDIDLGAIATMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKDLAAAQAEGRPAPALRGSDDIRSLNMEDFKHAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKALSYFM >CAK8566256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397890733:397905348:-1 gene:gene-LATHSAT_LOCUS19402 transcript:rna-LATHSAT_LOCUS19402-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGGGSFPAAKRPSSSSDDKPPSTSPKRQKPDNGAATTSSEKPISISPTENSKDLRTPEPPADPGECAPSDAQIEEPVTADDKIDATPPIADGSSPTLVADKPRASFSSWSIYQKQNPILESSAPWCRLLSQSAQHPNIPISIPNFTIGSSRNCNFHLKDHTISGNLCKIKHTQSEGSDVAVLESTGSKGSVLVNGTLVKKNAWCALNSGDEVVFGLHGNHSYIFQQVNTEVAAKGAEVQSGVGKFMQLERRSGDPSAVAGASILASLSNLRQDLKRWKSPSQTASKPHQGADVSIHTVLPDGTEIELDGLEGNSTPNTGTDKAADAEANNKNSPIDYDPEDAGAEPGNVKFSGVLEERNGVEDTQAASISGTSVRSAVFREDAHAAILDGKELEVSFDSFPYYLSENTKNVLIAACFIHLKHKEHAKYTTDLTTVNPRILLSGPAGSEIYQEMLVKALAKYFGAKLLIFDSQLLLGGLSSKEAELLKDGLTADKSCSCAKQSPTATDTAKSMDPPASETDTPSSSNAPTPHGLESQAKLETDNVPSTSGSAKNCLFKLGDRVKYSSSSGCLYQTSSSRGPSNGSRGKVVLIFDDNPLSKIGVRFDKPIPDGVDLGGACEGGQGFFCNVTDLRLENSGIDELDKLLINTLFEVVTSESRNSPFILFMKEAEKSIVGNGDPYSFKSKLEKLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRTVLSRSGMESEELEALSVKDLTLTNENSEKIVGWALSHHLMQNSDVDADAKLVLSCESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLGDVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATDAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPYDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSDIDLGAIATMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKDLAAAQAEGRPAPALRGSDDIRSLNMEDFKHAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKALSYFM >CAK8566255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397890733:397905348:-1 gene:gene-LATHSAT_LOCUS19402 transcript:rna-LATHSAT_LOCUS19402-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGGGSFPAAKRPSSSSDDKPPSTSPKRQKPDNGAATTSSEKPISISPTENSKDLRTPEPPADPGECAPSDAQIEEPVTADDKIDATPPIADGSSPTLVADKPRASFSSWSIYQKQNPILESSAPWCRLLSQSAQHPNIPISIPNFTIGSSRNCNFHLKDHTISGNLCKIKHTQSEGSDVAVLESTGSKGSVLVNGTLVKKNAWCALNSGDEVVFGLHGNHSYIFQQVNTEVAAKGAEVQSGVGKFMQLERRSGDPSAVAGASILASLSNLRQDLKRWKSPSQTASKPHQGADVSIHTVLPDGTEIELDGLEGNSTPNTGTDKAADAEANNKNSPIDYDPEDAGAEPGNVKFSGVLEERNGVEDTQAASISGTSVRSAVFREDAHAAILDGKELEVSFDSFPYYLSENTKNVLIAACFIHLKHKEHAKYTTDLTTVNPRILLSGPAGSEIYQEMLVKALAKYFGAKLLIFDSQLLLGGLSSKEAELLKDGLTADKSCSCAKQSPTATDTAKSMDPPASETDTPSSSNAPTPHGLESQAKLETDNVPSTSGSAKNCLFKLGDRVKYSSSSGCLYQTSSSRYKGPSNGSRGKVVLIFDDNPLSKIGVRFDKPIPDGVDLGGACEGGQGFFCNVTDLRLENSGIDELDKLLINTLFEVVTSESRNSPFILFMKEAEKSIVGNGDPYSFKSKLEKLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRTVLSRSGMESEELEALSVKDLTLTNENSEKIVGWALSHHLMQNSDVDADAKLVLSCESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLGDVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATDAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPYDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSDIDLGAIATMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKDLAAAQAEGRPAPALRGSDDIRSLNMEDFKHAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKALSYFM >CAK8543429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607553415:607556816:-1 gene:gene-LATHSAT_LOCUS12186 transcript:rna-LATHSAT_LOCUS12186 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEDVEGGKHRGIREPLIGDNKNQLLHETKDHHPWMVYFTTFIAVCGSFEFGTCVGYSSPTQEAIRKDLNLSLAEYSLFGSILTFGAMIGAITSGPIADFVGRKGAMRVSSAFCIAGWLVIYFSKGPVPLDIGRLATGYGMGVFSFVVPIFVAEISPKELRGALTTLNQFMIVFGASMSFVIGTVLSWRALSLIGLIPTAVLLLGLFFIPESPRWLAKRGRAEDFVAALQILRGKDSDISQEAEEIQDYITSLEKLAKPKVLDLFQKRYLRSLTIAIGLMVCQQFGGINGIVFYASSIFDLAGFPSTAGSIYFAIIQIVITGVGAALIDKAGRKPLLLASGSGLVAGCMFIAVAFYLKVYDVAVGAVPALGVTGILVYIGSFSIGMGAVPWVLMSEIFPVNIKGQAGSIATLVNWFGAWLCSYTFNFLMSWSTYGTFILYAAFNAVAILFIVLVVPETKGKSLEQLQAAINT >CAK8544798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706080756:706081508:1 gene:gene-LATHSAT_LOCUS13448 transcript:rna-LATHSAT_LOCUS13448 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAVNCTLVSLIPKGNGGNCVKDFRPISCCTTFYKIISKILTRRLSKVLTIIINQSQAAFIPRQNIHDHILLAYELIQGYNRKDGTPSCLLQLDIQKSYDTLDWYALECILKEFGFPHKFTKWIMLAVSTVSYKFNVAGRITRSLKAKRGLRQGDPISPLLFVLTMEYFHRLLHQLSKVPDYNFHAKCEKLQIIDISFADDVLHFTRGDNKSVQLLMDQLQTFSQSTGLLVNPAKCRVYFGGGGGGGVE >CAK8566245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:395115838:395126134:1 gene:gene-LATHSAT_LOCUS19395 transcript:rna-LATHSAT_LOCUS19395 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSLPPLPHTLLFLRSKATRFSVSASASASALSASGNDGSSSRASHMRRRRIEGPRKSMEDSIQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGIMFPDYDDLGVQKIIPDTTFIRKWSHKIEALVITHGHEDHIGALPWVIPALDSNTPIFASSFTMELIKKRLKEHGIFLTSRLKIFRAKNKFVAGPFEIEPIRVTHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGQVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADSLLRHISASKGRVITTQFASNLHRIGSVKAAADLTGRKMVFVGMSLRTYLEAAWKDGKAPFDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHFFKLTKEDIILYSAKVIPGNESRVMEMMNRISEIGSTIVMGRNDCLHTSGHAYRGELEEVLRIVKPQHFLPVHGEYLFLKEHESLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFISLGKENLQLKYSDGDKAFGTSSELFIDERLRIALDGIIVISMEIFRPKNLESLAENTLKAKIRITTRCLWLDKGKLLDALYKAAHAALSSCPVKSPLPHMERTVSEMLRKMVRKYSGKRPEVIAIAIENRGAVFADEIITKLSGKSHVGPEISTLRKVVDERRKENQSTTMQIRDDDNDIDDNDIEGLLPEKDTTTSGDEGDLPDSEDSDEFWKPFIASSVEKSIKANNGYVSRKDYKSNLKQDDSEGIDEAKSEEMSNAEPESSKSVKKNRWKTEEVNKLIDLRSDLRDRFKVVKGRMALWEEISQSLLAVGISRSPGQCKSRWASLVQKYEEIKNGKDIRKNWPYLEDMERILSSDEAPASN >CAK8575665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:240044737:240065372:-1 gene:gene-LATHSAT_LOCUS27908 transcript:rna-LATHSAT_LOCUS27908 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQYGDASANSYGASQMHHHPHHMVGQRVETKSGNFEGRLEAFTPERDNPYPNSKPEGQWRWESDESKISNPMMSHMFNEGQGGDASRSYFQGQRPDPKLALQSRSNSDSRSQAHGEDIDVRYEGNHSSQTFEGLEQNFHDDIIKLTKEQQDVEDAEHARHREKINAINTQYEEKLESLRTRHGNRRAEFLQRESRARQQQYEQIIRDPYSSSSGMTPRDSHAHSNVNAPASVGEPQRGYSADHFDPYREQSRFLGNARDQGFEPRGPYPGGRVYDTGSRYYN >CAK8573439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612549666:612550526:-1 gene:gene-LATHSAT_LOCUS25886 transcript:rna-LATHSAT_LOCUS25886 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKYGGFGKRILLMQESNSCRLYSLWWKDLLKLGDSMKENARWIDSVALRCRFKELYELSDCKFKFVNEMEVWEDYGWKWMSGFQEERLVRELEYQLIEMHQLLLEVSPRQRVQDLFLWSCPLFNLFTVMSQDDFIQRAKRLDSLDISLKTTLDFVWQSLVPMKVKVFAWRLFLDRLPTHSNLAAKGVISNPHEVVCVFRFKIVKCLDHVFILCPKIRLVWKAIVEWFSVPWAVFENCGDHFLGWYLRFIRNTSKKTTSIIWLCT >CAK8539706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522482050:522482397:-1 gene:gene-LATHSAT_LOCUS8780 transcript:rna-LATHSAT_LOCUS8780 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARRLLQTTTLSNPTIPSLPKSSLLDSRDLYVISSLLKGSIPPFSSFPSTDLSFSIPPVSSPAPTPVSTTPKSPPSFFSFFPFFSQTLSISKP >CAK8540015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536051950:536052871:1 gene:gene-LATHSAT_LOCUS9057 transcript:rna-LATHSAT_LOCUS9057 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCLAFLHLLLHFLFVLCSSGAEVVTIDVQAAKNLIQTYHNYLDVRTVEEFLKGHVDAANIFNVPYILDTPKGKVKNPNFLKEVSFVFNKEDHIIVGCQIGVRSLSATSDLLADGFKNVKDMGGGYVEWVRNKLPVIHKGLKI >CAK8538769.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495907454:495909072:-1 gene:gene-LATHSAT_LOCUS7932 transcript:rna-LATHSAT_LOCUS7932 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAVQQPTSSLSSGRFSSNNLPTALSQLSHGSSHGHSGVNSRRGLRVSPILGNAGPRITSSMGSMVAWGNIGRISSVGLSIPGLASRLNLNGSSGSGGLGVQEQNRLMSGVLPQDEMTYVNLLLNPERYTGYTGPSARRIWDAVYS >CAK8539870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529684011:529686658:-1 gene:gene-LATHSAT_LOCUS8928 transcript:rna-LATHSAT_LOCUS8928 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAVPAACYEVNVVNPSKIWQTGNVLQTELPVLAIQIVFLVILSRMFFFAYKPFHQTLLVAYISVGFLLTPPLLGRYTEIFTFVYPMTGVLNAEVIASVGVIYYAFLSGLEMNLDTILHVKKKGATIAICGIVFPLVMGPALYTLHRKVYANGDGALLEESTMNAYLLWTLVLTVTGFPVIAHTLSELKLLYTGLGKAALTASMISDTYAWILFTICVPFSVNGVMAIYSVLSTILFAIICIFVVRPIVVTVIDRKMERDEWDESQLLYVIMGLFLCAHITDALGTHDIVGAFIFGLILPHGKFADMVASYTDDFAGAMLAPQYFVGSGMRLMVTSIFYQPNWPYTLLVILLLCVPKILSTLFATFFFGMHSKDGFALGMILNTKGAIALIMLNSAWDRSILSIPSYAVLTSAVLLMTVVVSPAINAIYKPRKRFEQNKLKTIQKLRLDAELRVLACVHNIRQATGITSLIETFNATRISPIHVFALYLVELTGRAATVVAAHMEKLNSQPGAQNLTRTQIELENIKNTFEAFGDAYDAVRIQTLHAVSAYETVHEDIYNSANEKRTSLIILPFHKQLNSEGALETTNVAYRDINLNVMQSAPCSVGIYVDRDLGSLQKTNFRILMIFVGGPDDREALAVAWRMAGHPGTRLSVVRMLLFDEAAEVDTSSHAEAQGILFVVMDSEKQKELDDECVNSFRLTAVNNNDSITYSEVDVHSGEDIPTVLNELDKFGCDLYIVGQGNRRSSRVFSSLLDWSDCIELGVIGDILASQNFGSGSSVLVVQQYGYGGMVLGKQSNNVTTNSDGFKSLVVKTE >CAK8575431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117784149:117787488:1 gene:gene-LATHSAT_LOCUS27699 transcript:rna-LATHSAT_LOCUS27699-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSNSRQVTFSKRRTGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSSGMKRTLSRYNKCTDSSESASVEYKAEKEDSKMVEILKDEVAKLETNQLRLLGKDLAGLGLKELQLLEQQINEALSSVKEKKEKLLMEQLEQSRIQEQKAMLENETLRRQVEELRCLFPMTEHVIPNYLQYHHLERNNSFVDNGVKCPSLARSCSNDKGDSDTTLHLGLPTDVHHKRKTPEKKTFSNDS >CAK8575432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:117784149:117787488:1 gene:gene-LATHSAT_LOCUS27699 transcript:rna-LATHSAT_LOCUS27699 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSNSRQVTFSKRRTGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSSGMKRTLSRYNKCTDSSESASVEYKAECFLQKEDSKMVEILKDEVAKLETNQLRLLGKDLAGLGLKELQLLEQQINEALSSVKEKKEKLLMEQLEQSRIQEQKAMLENETLRRQVEELRCLFPMTEHVIPNYLQYHHLERNNSFVDNGVKCPSLARSCSNDKGDSDTTLHLGLPTDVHHKRKTPEKKTFSNDS >CAK8544037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659496046:659499254:-1 gene:gene-LATHSAT_LOCUS12747 transcript:rna-LATHSAT_LOCUS12747 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGTGEIVEATEDRNIGKGAVRIRQSNSQFKMSEKNKKPLVLNLGNNDNIYDDINKLFESIALKSSSPRDLSISQDGTTSLKLKNSLKQSMTLGVPRSPRVGSSESVNLKQALRELCISKASEVAAMKRLSKSTASPRVSEVGRIQTLYSSVVSGTLLVQSDSTCSSSKVEFQSELVVPVQPEKQTSASSPSTCVSNTGRKCRLQTASSSSTEVEGSTVKKPPHRAPRTVKMVMKNKNVSKKKVKEDSNSALCDPASNEVSKSVPGSARLVCERCRCALENTREDESREIMALDSTSPGTGVKSSNVHSGLNKPGLASTSGNIGKAVAKVEKIQKSTKLKEQFDFSQSSKSSQGEYSSSTSTSDESNMSGSSCGTRPHMSKDVRWEAIRHAQMQQGVLGLKHFNLLKKLGCGDIGTVYLAELIGTSCLFAIKVMDIEFLARRKKMPRAQTEREILRMLDHPFLPTLYVQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRCFSELAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVSPTLLKSSSDVDPAKVSGLSAQSSCIEPLCIEPSCQVSCFSPRFLPAAAKARKLKVDHVAHVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKEEGHGAAVDWWTFGVFLYELLYGRTPFKGYNNEETLANVVLQSLRFPNDPLISFEAKDLIRGLLVKEPENRLGSERGAAEIKKHPFFEGLNWALIRCAIPPELPEFCDFGVLDTASQDKGAKYLEYNVGEHVEFELF >CAK8578017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:601976066:601980211:-1 gene:gene-LATHSAT_LOCUS30064 transcript:rna-LATHSAT_LOCUS30064 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSAVPNIQEMKGSSNIIGSKRMNNGEENKGICLSWKDLWVTVSGSKNESKSILEGLTGYAKPGQVLAIMGPSGSGKSTLLDALAGRLGSNTRQSGEILINGNKQALAYGTSAYVTQDDTLLTTLTVKEAVYYSAQLQLPDTMSKQEKKQRADSTIREMGLQDATNTRIGGWGVKGISGGQKRRVSICIEILTHPSLLFLDEPTSGLDSAASYYVMKRIASLGKKDGVQRTIVASIHQPSTEVFQLFHNLCLLSSGKTVYFGPASAACEFFGLNGFPCPPLQNPSDHLLKTINKDFDQDIEIDLAEMSRTISTEQAIGILVTSYTSSEINQEVINEVAVLSKKDNNSTYKRKKHAGFLNQCLVLTRRSFVNMFRDLGYYWLRLGIYIALAISLATVFNDLDKSYGSIQDRGSFLMFVFSFLTFMTIGGFPSYVEDMKVFERERLNGHYSVTAYVIGNTISSLPYLLMVSLIPGAIAYYPAGLQKGYQHFLYFICALFSCLMLVESLMMIVASIVPHFLMGIITGAGIQGIMMLAGGFFRLPNDLPNPFWRYPMFYIAFHRYAFQGSYKNEFEGLEFNRDGGIGGSLNYISGEEILRNKWHVDMSYSKWVDLGVLLGMIVLYRVLFLIIIKTTEKAKPFLLSLMAVSPRKTIQVLENPNATPLHVEIV >CAK8572739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:559473782:559474342:-1 gene:gene-LATHSAT_LOCUS25268 transcript:rna-LATHSAT_LOCUS25268 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNRNVGRRAWNILRLSLLWARKSGVFRRKLVTELRLVPKYLKRLGHTNTPDNQIHYFERELSFDETPMFNVKMYRPSSMRFHIPCINSRVDFDYDFNDDDGIVEYDNGRNIDLVDVGDYDQELDHGVEGCQEMGFREEVQEVGVQGIDKRADEFIAKFHQQMRLQRQISLLQYNKETPSRDTN >CAK8540945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34067284:34069383:-1 gene:gene-LATHSAT_LOCUS9899 transcript:rna-LATHSAT_LOCUS9899 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFMIVRTHGISRRFTSLFMFHRESLCYFSSSLQLQSDVVPSQSIVQRVCSLVRESYNEHAFMRVSPPRLYLGIDADSLTHEQAVTIVASLAGDAGSMVALSFFHWAIGYPKFRYFMRLYIVCATSLIGNRNSEKGNEVMRCMVESFAEIGRLKEAVEMVIEMHNQGLVPNTRTLNWIMKVTSEFGLVEYAELLFEEMCVRGVQPDSVSYRVMVVMYCKIGNVLEADRWLSAMLERGFVVDNATFTLIISRFCEKGYGTRALWYFRRLVDMGLKPNLINFTSMIEGLCKRGSIKQAFEMLEEMVGKGWKPNVYTHTSLIDGLCKKGWTEKAFRLFLKLVRSENHKPNVLTYTAMISGYCRDDKLNRAEMLLSRMKEQGLVPNTNTYTALIDGHCKAGNFERAYDLMNLMSSEGFSPNVCTYNAIVDGLCKRGRIHEAYKMLEDGFYNGLKPDKVTYNILMSEHCKQANIKEALVLFNKMIKSGVQPDIHSYTTLIAVFCRENRMKESEMCFEEAVRIGVIPTNKTYTSMICGYCREGNLTLAMKLFRRMSDHGCVPDSITYGAIISGLCKQSKLDEARGLYDSMIEKGLTPCEVTRVTLAYEYCKVDDCLSAMVILERLEKKHWIRTANTLVRKLCSEKKVGMAALFFNKLLDMDVHVNRVSLAAFMTACYESNNYALVSDLSARIHKEKRLEIKATK >CAK8535929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:892180805:892181871:1 gene:gene-LATHSAT_LOCUS5344 transcript:rna-LATHSAT_LOCUS5344 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDHFDASASASELVGYPGGPYDTSLLVKYEHHIARHIWFGEERGSKKEFKVAGHGLKLTQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLIFAFAERWHLETSLFHMPFVERTITLDDVSCLLHLPIKGVFWSPQDISEALVVEWAVDYTGVSHRVAQQQVCDCRGSYYKLEWLYDWFVEHRVASRWHFATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFNCKQLGGYPTLLQCWIHEYFPTLGKKRRELDTS >CAK8579152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680184105:680184626:-1 gene:gene-LATHSAT_LOCUS31120 transcript:rna-LATHSAT_LOCUS31120 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKISLLLMFTFCLISAQAARFDIVNQCSYTVWPAAIPSGGGVRLDPGQSWSIGIPAGTSSGRVWGRTGCHFDNSGHGTCQTGDCAGVRGCTLSGQPPTTLAEFTLNGGFNLDYINLSVIDGFNVPMQFSGCNKVLNCRDASCPDAYHTPSENQKVASCPGGTNYRIVFCP >CAK8564636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3567776:3570447:-1 gene:gene-LATHSAT_LOCUS17921 transcript:rna-LATHSAT_LOCUS17921 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDSALARVATGAAVGGAIGGAVGAVYGTYDAIRYKVPGFLKIRHIGQTTLGSAAVFSLFLAAGTLIRSH >CAK8563413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601383567:601386262:1 gene:gene-LATHSAT_LOCUS16829 transcript:rna-LATHSAT_LOCUS16829 gene_biotype:protein_coding transcript_biotype:protein_coding MITAWITDLFACMGGCFGCCVKPTPIIAVDEPAKGLRIQGQSMRKPTTSDGFWSSSPCDLDNSTIQSQRSISSVSTLNQILYQSNGTSTAGTDPEFVNQGLRHWNESRHQWVGHSLSEKQSQEKQESRLNRNATYESLLGTRQPFPKSVPLSEMVEFLVDVWEREGMYG >CAK8532043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:196441359:196442171:1 gene:gene-LATHSAT_LOCUS1782 transcript:rna-LATHSAT_LOCUS1782 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTTPIVSKLYCSTSQTMFGVRKRPHVVNGGGFVVTDYTTQKVVFKVHGCGIHGKKDELILRDGDGEALLLMRRKGGMVEALSIYKKWKGYSVNYEGLQKLIFSLKEPGNSCLAKNRGIKISIESSVVGNKGWDFEIKGYFPDKHCSIVDNRGNIVAQVGMNKEVEKLMESKDLYHVVVKPGMDQAFVFGVIATLDYIYGESTYC >CAK8573506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617001172:617001900:-1 gene:gene-LATHSAT_LOCUS25948 transcript:rna-LATHSAT_LOCUS25948 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFILRSLVIVSLVTSLALPGSLGAIECENLSTETCSFAVSSNSKRCVLEKHVKRSGEEAYTCKTSNIETDILKDHIESDQCIKACNLDRKSLGISSDALLESRFIQKLCSPQCYKSCPNVVDLYFNLAASEDVFLPRLCQMKGGNARRIMAELKSSGIVAPGPVDQMLFAASPQSFSSAEFAASPFSSAEFEASSPQSFSSAEFAAEPMAANQS >CAK8571798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:474943394:474945062:1 gene:gene-LATHSAT_LOCUS24427 transcript:rna-LATHSAT_LOCUS24427 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQYIAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPEDISETLAVEWAVDHLGVSQRVAQQQVRECRGSYYKLEWLYDLFVEHRAASIWHYATRAYLLMLVGSTIFADKMFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPTHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYFPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPIPYHDAPAEMPAEMPVPVYEAGPYDPSWARVSSLIHRYLQQADAEDDDPQFADLFEALHIARSQ >CAK8574986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19898017:19899641:1 gene:gene-LATHSAT_LOCUS27280 transcript:rna-LATHSAT_LOCUS27280 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDLRCFELNTGAKIPSVGLGTWLAAPGVVYDAISTAINVGYRHIDCAQIYGNEKEIGDALKKLFDNGLVKREEMWITSKLWCTDHLPEDVPKAFDRTLRDLQLDYLDLYLIHWPVSMKNGRFTKPDIPSTWKAMEVLYDSGKARAIGVSNFSVKKLQDLLDVARVPPAVNQVELHPSLQQPNLHAFCKSKGVHLSGYSPLGKGVESKILKNPVLHTTAEKLGKTPAQIALRWGLQTGHSVLPKSTNTARIKENIDLFDWSIPEDLLVNFNEFEQERVVPGEGFVSQASSGYKTIEELWDGE >CAK8530124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1860341:1862784:1 gene:gene-LATHSAT_LOCUS17 transcript:rna-LATHSAT_LOCUS17 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHATQANKNVYKTLIAAEYVGVKVELAPDFQMGVSNKTPHFLKLNPIGKIPVLETPEGAVFESNAIARHVARLGENNLFGSSPIDQAHVDQWIDFSSLEIDANLLKLFIPRLGHAPHIPIVEESAFSSLKRAFEALNTHLAHNTYLVGDSVTLADIITTSNLWLGFSKLLVKSFTSEFPHVERYFWTLVNQPNFRKIIGQVKQTEALPPIPSAQKPKESKPKTKDEPKKVAKPEPAKPKVEEAEEEAPKPKAKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYQDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSAAPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDISDEAQKERVSQMIEDFEPFEGEALLDAKCFK >CAK8539894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530499656:530502698:-1 gene:gene-LATHSAT_LOCUS8951 transcript:rna-LATHSAT_LOCUS8951 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGREDCEDGNNLKKPFLYTGSWYKMGSKQSNILNSSTQYVLRDSSVSVLFCVLIVALGPLQFGFTCGYTSPTQESIISDLKLSLSEFSLFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIVGWLAISFAKDSAFLFMGRFLEGFGVGIISYVVPVYIAEISPQNMRGTLGSVNQLSITIGVLLAYLLGLFVNWRVLAVLGTLPCLILIPGLFFIPESPRWLAKMRMTEEFEASLQVLRGFNTDISLEVHEIKSSVESIGKGVTIRFADLKMKKYWFPLMVGIGLLVLQQLSGTNGVLFYSSTIFLNAGVSSSNVATVGLGVIQVIVTGITTWLVDKSGRRLLLIISSSIMTLSLFLVSIAFYLQGITEKDSPIYSFLGILSVVGIVTMVIGYSLGIGPIPWLIMSEILPVNIKGLAGSIATLTNWLTSWIITMTANLLLTWSSGGTFLMYTVVAAFTVVFAAVWVPETKGRTLEEIQLSFK >CAK8571809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478139908:478142887:-1 gene:gene-LATHSAT_LOCUS24438 transcript:rna-LATHSAT_LOCUS24438 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSGTSFVKPKRMEGFSDHLTFAACEWFLIFLLLLDSLLSYLLKRFASYCKLQLPCLLCSRLDHILDGEKPEFYHNLFCGNHKSEISSMMLCHVHGKLADGHRMCDECLLSLTAKAKRNMKTHRLLAGKFGVVMGGSGYQNMSLSRALFSGPKGSRPCSCCGKLWKMEQNGLRPVHLKSPGRAVLKPCVPLPRAPRQSRLNRRDTMKKIREQTCETEEKRSFHPSSHLGYTVLRLNSDSESEFQFSDDEDDVGRVFHEKNEASNATITQNVSETSTEHATGDLSPTKPKPSSPKHVEEINRPQTDQISSSFDLPELISLDEVSPTHVVNQEPERESEECKITRHSEDSLPDDLSELITLEGYHTPVGESSEKSVDITQADDTGLVSKKNGEVTEKIDRKEDAYTEIDSMACDPAPQSPTQEDSREVLGFVTEQPPFNEVDKVKEEQEQFEPSHNSSLHDSSVFPTNHAHSSEINAETPKSGLESLDGSIMTEIEGESIIDQLKRQIEYDKRCMDDLQRELEEERNASAIAADEAMSMITRLQEEKATLQMEALQYLRMTEEQAEYDSEELEKVNDLLTEKEKEIQDLEAELEYYRLNSNLTNEPTVHNMHEKSRDSKEESVMAQNICFHNITDTVNNIPNSKLSEESKGSHEVVDGETSELEFEEEKQYISHSLKCLEKKLHQISFNKVSSEASNVELENLEISKWNQQGDSYSEEPHLDGHEETDLSIPNSRTMNGSHDDKDDSAASDNDDCSLRIENNDSMSVEPQSSKSRRETDLISLENEISDLHDRLEALEFDHDLINHITNSLQNGNDGKKFIQDIAHQLRELRKIGMRSR >CAK8539490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513981855:513985518:1 gene:gene-LATHSAT_LOCUS8579 transcript:rna-LATHSAT_LOCUS8579 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVGKAWSLGMLSTNLALLPNNKKKHVCSQQQRKTITISWSLVCGFMLFILGLISLFTGHMLSDLEWYSHRLVHPNFYSRLDGNYRAPIDIWKSKLSKYYYGCSDRGRDYAPAVPEQMSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFINIFDVDWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSEPDYYLDQVLPILLRRQVVQLTKFDFRLANHLDDELQKLRCRVNYHALRFTKPIQELGQTIVMRMQKMARQFIAVHLRFEPDMLAFSSCYFGGGDKERNELGEIRKRWTTLPDLSADGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVASGEIYGGDETMQPLKDLFPNIYTKEMLADEELKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSTLFAGRHQMDWHTFSKKVKACQRGFLGEPDEMRPGRDYQEFPSSCVCERQYVNEEPGTKINT >CAK8532411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:258537605:258538443:-1 gene:gene-LATHSAT_LOCUS2120 transcript:rna-LATHSAT_LOCUS2120 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMVLVLFFFVSTLTLSVSESYDVSLQPRALDSFDVGYIQMKGAQNCSYLVMITTSCSSPKFNSDEISIAFGDANGNQVYAANLDDSLSRTFEQCASDSFQIDGPCASPICSVYLYRSGSSDNYGWKPETVKIYGYNSDPVTFTFNTSIPKDTWYGYNLCDTAPPSSSSIQMSIQKWGLFVMVLGSVFSVWM >CAK8544446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:685175061:685176061:-1 gene:gene-LATHSAT_LOCUS13122 transcript:rna-LATHSAT_LOCUS13122 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTDKQEALVNSSWESFKQNLPQNSVLFYTIILEKAPAVKDMFSFLKDSAGVQHSPTLQAHAEKVFGLVHDSAVQLRTKGVVVLGDATLGAIHIQKGVVGPHFVVVKEALLKTIKEVVGDKWSDELNTAWEVAYDELATTIKNAMS >CAK8539087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503457476:503459950:-1 gene:gene-LATHSAT_LOCUS8219 transcript:rna-LATHSAT_LOCUS8219 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRNLSLPLILPNPPPSAFASASTSASTSKPSASASASAAASTSKPKPSASAATSKPSSITTPVINDFNSTSHSRTRTSISKSSSDPNFGKPWFNHRLSSSGRHILDTLLHSPADSIQLDNILGPHFTQPHPHPSGLSRDILAIIKALGFQKKTELAFAVFDWVRNQQGCANLLTGTTISVIVKILGKAGRVSSAASLLQTLEKDGFEIDVYAYTCLITAYAIKGRYNDAVSVFNKMQRDGCSPTLITYNSILNVYGKMGMPWSRVKALVDSMIMNGVAPDLYTYNTLITCCRRGSLYDEALNVFDEIKMAGFIPDRVTYNALLDVFAKSRRPEEALKVLKDMESNGFSASVITYNSLISAYVRGGLLEEALRLKIQMVEKGIKPDVFTYNTLFSGFEKAGKDEFAFEVYEEMKAAGCKPNICFFNALIKMHGNRRKFVEMMKVFEDIKECGCSTDIVTWNTLLAVFGRNQMDSEVAGVFKEMKRSGFVPERDTFNTLISAYSRCGSFDQAMAVYKSMLEAGVSPDLSTYNSVLAALARGGFWELSEKVTAEMKDGGCKPNEVTYSSLLHAYANGKEIEKMKALGEEIYSGSIEPHPVLLNTLVLVSSKSELLMETERAFFELRKRGITPGLTTLNAMISLYGRKQVVSKANEILDYLHKNGFTPSLTTYNSLMFMYSRSEKFQKSEEILREVLKKGMKPDKISYNTVIYAYCRNGLMKEALRIFSEMKNSALVPNVVTYNTFVATYAADSMFVEAIDVIRYMIKQGCRPDQNTYNSIVDWYCKHNRHEEANSFVKNLDNIDPHVFKDEKSRLLERMARKLP >CAK8574660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5850187:5854879:1 gene:gene-LATHSAT_LOCUS26985 transcript:rna-LATHSAT_LOCUS26985 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKLKEPVLTAFTTNGDYVAILSANGTAKIWNTDNGDLLAEWKPSDGDDDIRYSCIACSFTGKKRRKDQGTCMLALGTDDGKVLAVDVSTGDTKWPTSHTIPSGICGLSFAKKGQLLCVVGHDGKAYEINSETGELLKEFRVSKKSISSLAFSHDEKYLAIFSSRLRVISRETGKEVLKCPSDLENINRVSISNDAKYLITSDSEAKHLQVWKCDLSSGTVSTGPTLSSRHAPLVLDCHLGSNEEDGLVVSAVTSGGTYIWKNLNASSEDQVHRTKITSKTEQVESEKENGKSSKKKCTSIIASRFQSTGEDSQMKALVTYGSADHPKFTVLNISDLGENVVLNVGDELDSVQKHDSPSKKAVEKESKKSKKRQATSDPDLPTTTDKLNFDQLEDVNGVLDDDPSEPTMGEKLASLNLVDEKTSKSDKEQDSLVSLKPPSADSVDVLLKQALNADDRALLLDCLYTQDEKVIIKSVAQLNPSSVLKLLNSLITILESRGAILACALPWLKCLLLQHASGIMSQESSLKVLNSLFQLIESRVSSFKSVFQLSSFLDVIYAGVLDEEVDEVETVPIIYVDTDDSEGEESEDIMETDKDSKDGELSEEALDNLSDIEGSADGMMED >CAK8533589.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639746091:639747908:-1 gene:gene-LATHSAT_LOCUS3208 transcript:rna-LATHSAT_LOCUS3208 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTMSSSLFFLFFTIFTFWVFFTSSQAEEDDVRCLRGIKDSLGNSESGISSWSFNNNTVGFICDFAGVACWNVRENRVLGLELKGMKLTGKIPESLKYCGQNLQKLDLGSNSLSSVIPTQICNWMPYLVTMDLSGNDLSGSIPNTLVNCSYLNELMLSDNRLSGRIPYEIGSLTRLHKFSVANNQLSGSIPSFFNGFDKEDFDGNSGLCGGPLGSKCGSMSKKNLAIIIAAGVFGAAGSLLLAFGLWWWYHIRLRRERSKEGYVVGGVDDWALRLRGHKLAQVNLFQKPIVKVKLGDLMAATNNFSSENVLITTRTGATYRADLPDGSTLAVKRLSTCKIGENQFKKEMNRLGQLRHPNLAPLLGYCVVEEEKLLVYKHMSNGTLYSLLHKNSGTLDWLMRFRIGLGAARGLAWLHHGCHPPIIQQNICSNVILVDEEFDARIMDFGLARLMTSDSNGSFVNGDLGELGYIAPEYSSTMVASLKGDVYGFGVLLLELVSGCKPLEVNRGDEEFKGNLVDWVNVHSSSGKLKDCIDKAICGKGNDEEILQFLKIASNCIVARPKDRWSMYQVYHSLKTISKDNSFSEQDDEFPLIFGKPENEPT >CAK8571025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:270546394:270546639:-1 gene:gene-LATHSAT_LOCUS23722 transcript:rna-LATHSAT_LOCUS23722 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEQDVSLGANKFPERQPIGIAAQSHDDGKDYKEPPPAPLFEPSELTSWSFYRAGIAEFVATFSVSLHHHLDFHGCQQI >CAK8533115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580113839:580114753:1 gene:gene-LATHSAT_LOCUS2763 transcript:rna-LATHSAT_LOCUS2763 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLRLAGKVAIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDSYGQVDIIHCNAGIVSPSDQTLLELDVSQGNGVFATNAIGTALCVKYAARAMVTGKVKGSIVCTASIAGSYGVATGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLGADAKKVEEIFSKYSMLKGVVLRTNNVADAVLFLASNDSAFVTGLDLRVDGNYVTNADVI >CAK8565540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:263956396:263957268:1 gene:gene-LATHSAT_LOCUS18743 transcript:rna-LATHSAT_LOCUS18743 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTPIQQNQFEFPFAKRWSSLGMNYENCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVKMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPTQPHFQPPLTITAI >CAK8579230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685524926:685525411:-1 gene:gene-LATHSAT_LOCUS31193 transcript:rna-LATHSAT_LOCUS31193 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTELLFPKLVLHLLSIFTFIRKLISIIFTHLGLPDFIEPDIPWPESSTRIPEFESVSALLIREILPVVKFNELVDPPESCAVCLTEFEENDEIRRLANCRHIFHRGCLDRWMGYDQRTCPLCRTSFIPDDMQSAFNERLWAASGIPEFHSDVSVL >CAK8562034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:429660274:429661959:-1 gene:gene-LATHSAT_LOCUS15570 transcript:rna-LATHSAT_LOCUS15570 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANSEGNNRQENGTENDDGGSCTDETSPSNQSKRTVRLKKWKRNSKRGDSGSDGEESVGNGINRSCNSDSRDLSVPESENSDDDLNSDARDTEVQHVTENEDDEDPLTECVHTLKVLEGELQQEIKKFREIGDEFFSPDDSTEFRSAAEASVVETSSVDQRLHDSCSSSHPGKQISSSFMELRVLRLRLTEKLNILVSQLEELQGELALKNSWIAELEIALTCDEFPKEESTSTICLLDEKFKELESELESLLRQKIEAEVKYLTIKNMMQTMHDSEVQILNKVEVAGNEDPNRTEETFMIQSRLCKLTCYFFFQLIMLLMLVLWLVSKLVPDSDGVVPT >CAK8563859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635512192:635513373:1 gene:gene-LATHSAT_LOCUS17224 transcript:rna-LATHSAT_LOCUS17224 gene_biotype:protein_coding transcript_biotype:protein_coding MATETFLYTSESVNEGHPDKLCDQISDAILDACLEQDSDSKVACETCTKTNLVMVFGEITTKANVDYEKIVRNTCRNIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKKPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDSKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKENFDFRPGMISINLDLLRGGNGRFLKTAAYGHFGRDDPDFTWELVKPLKWEKA >CAK8539271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508002657:508007023:1 gene:gene-LATHSAT_LOCUS8382 transcript:rna-LATHSAT_LOCUS8382 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGIEVKPGKPVPYHADNVRGKLHVTQATIGNGSSTEKCILQCSSGHKSAVFLCTLLPNKIESCPLNLEFDDEDLVAFSVIGSRSIHLSGYFVADDGDDLRDDYEYDSMGEDVGTDSEESSEYDSENGYDEHFFDDSDNDTYTASPVPNSGVVIEEIHDDNEPENGDNPAKQSKKNEQPALLKEKPFKKESQSDINRGDNNLLVLESEDEDGFPISTSKQAKSESQKTEPDAKEQTLKKTGRSNKKAKDVELSAGLKRKGESAYEDEQLHDGKKKKKNKSKDHGKEEAAHAPETNVTVLDEKHSEGEEIKTTTNQNDVSHAKDGHDGKLSNDEVLVEKKNKKKKKKKNKETEGVATGKEIAISVENQKLSTSEEKGKGQTEAKPSNVRTYANGLVIEDISMGKPDGKRAELGKKVSVKYIGKLQKDGKIFDSCVGKAPFKFRLGVGQVIKGWDVGIIGMRVGDKRRITIPPSMGYGDKRVGLIPQNSWLVFDVELIGVGN >CAK8579558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707601668:707607962:-1 gene:gene-LATHSAT_LOCUS31495 transcript:rna-LATHSAT_LOCUS31495-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCTSCSGIHREFTHRVKSVSMAKFTAQEVSALQEGGNQLAKEIFFKEWDPQTHSLPDSNNVDRLRDFIKHVYVDKRFTGEKSYDKPPRAQGDKDDSYENRRTETYQGGRKSPPYEDTYERRYSDRSSPGGRSPGYDQERHYANDKRSPGRPPIINDWRREDRFGDGRKFEDRRISDGGNKMESQSPERAKDPGSSSPLPVVRPVREILGENVVPLRISEPPKANSGQAANGSGLTQRTASSSSLASSNGTQTEVKLETIRSLIDFDDIPEPPTAPAIPQATPTTVAQLANPTNSGDSNWASFDVAPVVKASQGLSNVNPLESMLSQLSVPSSLPAHLSGAQGPLAGSAPAASSFTTFPASVASLTSSGLTTSPPFNDAGQWHSLQYQQPQPLYTSTVGQPTIQQSTPPVNGAFNYQPWNTSSVPMTQGHPSTLVPHASKVVNEEKYSVASQTYTVDIKPSGRNELPEDLFTVKYSPFPAPVPGWQMGAPPGMGVSVQYNNVMSIPSFPQPSKSTNPFDVVNQPTPVQAPTFPSMSSLQGALPSVIPSATMHPSNMANQSLAWNPPSSLSYAPMPPLQAHTLTPAMRPRAYMEQQMATNVTMPSHQGIGSFGTEGAPFGFSNIDQQLHGRLPASAASKPFPAGGNPFG >CAK8579557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:707601668:707607962:-1 gene:gene-LATHSAT_LOCUS31495 transcript:rna-LATHSAT_LOCUS31495 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCTSCSGIHREFTHRVKSVSMAKFTAQEVSALQEGGNQLAKEIFFKEWDPQTHSLPDSNNVDRLRDFIKHVYVDKRFTGEKSYDKPPRAQGDKDDSYENRRTETYQGGRKSPPYEDTYERRYSDRSSPGGRSPGYDQERHYANDKRSPGRPPIINDWRREDRFGDGRKFEDRRISDGGNKMESQSPERAKDPGSSSPLPVVRPVREILGENVVPLRISEPPKANSGQAANGSGLTQRTASSSSLASSNGTQTEVKLETIRSLIDFDDIPEPPTAPAIPQATPTTVAQLANPTNSGDSNWASFDVAPVVKASQGLSNVNPLESMLSQLSVPSSLPAHLSGAQGPLAGSAPAASSFTTFPASVASLTSSGLTTSPPFNDAGQWHSLQYQQPQPLYTSTVGQPTIQQSTPPVNGAFNYQGHPSTLVPHASKVVNEEKYSVASQTYTVDIKPSGRNELPEDLFTVKYSPFPAPVPGWQMGAPPGMGVSVQYNNVMSIPSFPQPSKSTNPFDVVNQPTPVQAPTFPSMSSLQGALPSVIPSATMHPSNMANQSLAWNPPSSLSYAPMPPLQAHTLTPAMRPRAYMEQQMATNVTMPSHQGIGSFGTEGAPFGFSNIDQQLHGRLPASAASKPFPAGGNPFG >CAK8540301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:549989718:549994918:-1 gene:gene-LATHSAT_LOCUS9316 transcript:rna-LATHSAT_LOCUS9316 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGDYIDYLKSLEPRGVPQHKLALKINTPVILLRNINPVEGICNSTWLICKQLTPNLVGAIIATGVSYKSFQLTIDHIYIVIWLIAA >CAK8536851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28286240:28286686:1 gene:gene-LATHSAT_LOCUS6178 transcript:rna-LATHSAT_LOCUS6178 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVNGSPTKEFKVSRGLRLGDPLSPFLFLIVAEALSGRVRSSLALGKFSIFKVKGDCVVDIQQYADDTLLFGEGKWNHIWALKYVLCGLECNSGLGVNFLKSTLIVINTNPQFLAAVTNFLSCRYEDSEFKFIGIPIGMNPRRILA >CAK8532798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:517732152:517732679:1 gene:gene-LATHSAT_LOCUS2468 transcript:rna-LATHSAT_LOCUS2468 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTLSSSSLFIIFLSLILYAAPALSESLYETLCASTSQDANVCLMLLKSDSRVTSATNYDDLSKYILELAVKDTMEVQSFMASQFSTRPSDEGIRQCTLSFYPRAIELFKSALSKFAKDPQNAKNDIQGAGYESGLCVKALQEEGRNDASIKERNNQIFVISEVAFLSVNHLT >CAK8570274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33445995:33449757:-1 gene:gene-LATHSAT_LOCUS23037 transcript:rna-LATHSAT_LOCUS23037 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNMQMEEIEAILEKIWDLHDKLSDAIHSISRSHFLESVKTITNSSRNKNDTVATETTHDGAGFVFVKDFRPQNEEVDDAVCEAKSLNAIRTALENLEDQLEFFHTIQTQQRVERDVAIARLEQSRIVLALRLSEHRGKKYKVIEEALAFVGDVQDASGLISPDVFGQPKCTAENFVADKGKRSNIFINAFVSSFNFVKKSLGLDHMGGIVGNAALLAVSMIAMLHLHQVTNHGHPFREENRNHGNRTMRRTTQLDSTSGAHSSNLDVLLARG >CAK8566190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:389151688:389152395:1 gene:gene-LATHSAT_LOCUS19344 transcript:rna-LATHSAT_LOCUS19344 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMNNHSMVESPKKRPFHEEYDDIIDGSFFSSSSSDSDVSNDNSSLELSESDSFEDVTSPTSSSSLNDMSSLFQQLPIKRGLSKFYQGKSQSFTSLKNVQSLEDLVKPESPYNKKLKSCKSYGGFYENQDSMCIFKSTSVMSKKGVYSANSRGSCSSLNARRGSGSNFMSSKPPVHPHGSSASNNNISNQTALFA >CAK8570013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21096912:21099215:-1 gene:gene-LATHSAT_LOCUS22804 transcript:rna-LATHSAT_LOCUS22804 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGFSLMVPNTKKKKKTAEFYSSSPSPLAIFSRKSLIYDRLPSQPLRLSVLKLDGSSFDIQVSKNATIAELKDSVEAVFSYMPQNGPGKISWPHVWGQFCLCYDEEKLVKEEDYLRNYGVKDGDQLNFIRHASNNCGVQRKRSKKRVFHLKQHRRSQVNYCKQKGNSDDADDDSIGSDENAEVKEHSKEVHVAKNKITGFVGDLLSTPLAIVRKTKTQNQIFPSTISRCLLGSFRKIRRIVCFGKRQQYSIWKRY >CAK8540144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541791282:541793397:1 gene:gene-LATHSAT_LOCUS9177 transcript:rna-LATHSAT_LOCUS9177 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHKRSLKAVECSAPSGKTSKTKNEKHDSQSQPSLYLTNAVFFGLFFSVAYFLLHRWREKIRTSTPLHVLAISEIVAVVSLIASIFYLMAFFGISFILHPFAVSRTVQYDEEDEPEIDKTTGSCLAGVSPKLPPLPPKTAVKKVAPAPVLLQLSSDDEEVVQAVVSGSIPSYSLESKLGDCRRAAAIRNQAVERVTGKSLEGLPMENFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGKEFTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASHLKFYLEDAQNFDSLAHTFNKSSRFARLQNIKATIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQSDFPDMEVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCITMMEVVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGENSRQLATIVAASVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVTKTAS >CAK8563354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594884883:594886415:1 gene:gene-LATHSAT_LOCUS16775 transcript:rna-LATHSAT_LOCUS16775 gene_biotype:protein_coding transcript_biotype:protein_coding MTDARPGTPLMPHSSRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVMLIAAELSTFSIQDLYDIWENLQYNLISVIFCSTLLVFLSTLYFLTRPKPVYLVDFSCYKPEGLRKCTKRKFMDQSRLISTFTEENLEFQRKILERSGLGESTYLPEAVLNFPPNPSMKEARKEAETVMFGAIDELFGKTSVKPKDIGIVIVNCSLFNPTPSLSAMVVNHYRLRGNVKSYNLGGMGCSAGLISIDLAKDLLQGNPNSYALVISMENITLNWYFGNERSKLVSNCLFRMGGAAVLLSNKSSDKRRSKYRLITTVRTNKASDDKCFSCVTQEEDVNGKIGVNLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVAKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEVEKNLQLPPWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKSPWIDEIDQFPVDVPKVSTI >CAK8576230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:431860080:431863870:-1 gene:gene-LATHSAT_LOCUS28436 transcript:rna-LATHSAT_LOCUS28436 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALGSLSHRGPSHSLAAKILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGEHAKNKKQAEKNAAMAAWSSLKQLAKETASSSTEPENNDELEQITIARALLNYRLKEKMAMSNPNALIPFPKKFQFQNPRPTSPQPRPATSKILPLICQKTAHRSRHPAMASSSSDHSSNMSPLSSATENRWMRRPKFPAAGAAPYVPIRHMRSPCQGIAPPVTIRTAIPVFSPPPAAVSPQVMQPPPVRVAPPINIRQAVPAYAAPQVRKDEPAPISKDDLARALCATPPLRVDEPVPSVKVDPAPAENATPPVQAQEPLPISKVDPAPPLQVDESVPISKDVSAPAVHKDESVLISKDDPAPTSSTDKTDTKTENIPAESEAVQRLEQLKI >CAK8537633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:412309552:412318639:-1 gene:gene-LATHSAT_LOCUS6902 transcript:rna-LATHSAT_LOCUS6902-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVPKGSSEIFFRKVKFWEDGELQEAPPVFNVDGVNYFHVKVVGLLFVATTRINISPSFVFELLQRIARVIKDYLGILNEDSIRKNFVLVYELLDEVIDFGYVQTTSTELLKSYIFNEPLVIDAARLSPLGPAAIFSQGSKRMPGIAVTKSVVATEPGGRRREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRDEGPVSGYRSSSGGAVILDDCNFHESVRLDTFDTNRTLSLIPPDGEFPVMNYRMTQAFKPPFHINALIEEAGSLKAEVLLKVSAEFASSITANTIKVQMPLPKYTTRVSFELEPGATGQTTDFREANKKLEWSLKKINGGSEHTLRAKLTFSQESHGNISKESGPVSMTFTIPMYNVSQLQVKYLQIVKKFGTHEPYRWVRYVTQANSYVARI >CAK8537634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:412309552:412318639:-1 gene:gene-LATHSAT_LOCUS6902 transcript:rna-LATHSAT_LOCUS6902 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVPKGSSEIFFRKVKFWEDGELQEAPPVFNVDGVNYFHVKVVGLLFVATTRINISPSFVFELLQRIARVIKDYLGILNEDSIRKNFVLVYELLDEVIDFGYVQTTSTELLKSYIFNEPLVIDAARLSPLGPAAIFSGSKRMPGIAVTKSVVATEPGGRRREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRDEGPVSGYRSSSGGAVILDDCNFHESVRLDTFDTNRTLSLIPPDGEFPVMNYRMTQAFKPPFHINALIEEAGSLKAEVLLKVSAEFASSITANTIKVQMPLPKYTTRVSFELEPGATGQTTDFREANKKLEWSLKKINGGSEHTLRAKLTFSQESHGNISKESGPVSMTFTIPMYNVSQLQVKYLQIVKKFGTHEPYRWVRYVTQANSYVARI >CAK8572291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527099520:527099792:-1 gene:gene-LATHSAT_LOCUS24873 transcript:rna-LATHSAT_LOCUS24873 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFGFNETQEVVTNGVPALGEDATDAQRTAHKDAKKKDCNKVFCIQSAVDAANFDRIAHAELANETWDILAKYYEEGEKVKVVKLKSL >CAK8534828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:773105049:773105504:1 gene:gene-LATHSAT_LOCUS4338 transcript:rna-LATHSAT_LOCUS4338 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKVGESVKVDPHQFEARIHLSQAALGESKKDKANEHVVLYLKVGDQKLVLGTLNREKIPQTTLELVLDKEFELSHSSKTSSVHFCGYNAYYPNNEYSDEDEFSESYEEEIPLAQPIENRKPETKADDLKVSEAKKATAWCTCQG >CAK8533560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636577004:636582221:-1 gene:gene-LATHSAT_LOCUS3180 transcript:rna-LATHSAT_LOCUS3180 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENGQKPPPSILVGRFKALLKQREDDPRLRNSQPSTEEIVQIYESLLAELTSNVKPIITDLTIIAEQHREHARGIAYAICARILEVSADHKLPSLYLLDSVVKNVGQEYVRYFSLRLPEVFCEAYRQVNPSLHSAMRHLFSTWSKVFPPSVLRKIEVQLQFSPAVNNQPSSVNPLRASESPRPAHGIHVNPKYIRQMEHSTSIMDSVVGERLGSPGTVGNAKFGLAANKVHQFVPSRVGKSSSPSRVGLDRHMPSYMDEYVVDNSAGRTVERESPHRAVDYGLVKGLGREEELREWPRKQFLGDGPKRFPTSMTYSLSNGQPRQNPRALIDAYGSDKSQETSGSKPLLVDRLDRNGMDKAVTTSWQNTEEEEFDWEDMSPTLVDHSRNNGFLQPTIGFSSEKPVTLPGSATTSVSRMFHGLKSNMEYRPPVLPATFEIRNSINVHAPRPPSLNPTFPFKNSTRNPFESINANSTIVSHGLNRPFPIHEQSLPGVENNDISKRNPYQLPNQLAGLISSNQQNPVQTPQLQFFPSQDPAASQLSYRPSLQGHGAAISTPMSNARPVMPFPLPGQRTANNSFHFQGGPLRPLPPAGPHAPSQMLPHPNPSPFVSSQQPTVGYSNLINSLMAQGVISLANQAPAQDFVGVEFDPDILKVRHESVIKALYGDLPRQCTTCGLRFKSQDEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSETMWLSGAEALGAESAPGFLPTETTEEKKEDEELAVPAEEDQNTCALCGEPFDEFYSDETEEWMYRGAVYLNAPNGITAGMDRSQLGPVIHAKCRSESTTTPSEDFVMDEGGTYEEGSERKRMRVC >CAK8571260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:356574041:356578929:1 gene:gene-LATHSAT_LOCUS23938 transcript:rna-LATHSAT_LOCUS23938 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPNLGGNGFLQSVSAAVWKTRPPEQRLPPPNGEEKDKTPPPANAVKDSSKTESGSFGKGSERVDNPPMPVQNTPPEQVKIAAQETKPLPVDHEKPVRLEVNNTPPATSTAGGGGGGDGGGGGEGDAGKAKKPTHVKRVSSVGLQVESVLGRKTENIKDFYSLGRKLGQGQFGTTFLCMEKGTNKQFACKSIAKRKLTTQEDVEDVRREIQIMHHLAGHPNVIQIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYTERKAAELARLILAVVQACHALGVMHRDLKPENFLFVNQEEESPLKTIDFGLSVFFRPGELFTDVVGSPYYVAPEVLRKNYGQECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFQSEPWPSISASAKDLVRRMLVRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIAENLSEEEIAGLKEMFKMIDTDNSGQITLEELRNGLEKVGSVLKDSEITWLMQAADIDDSGTIDYGEFIAAMLHLNKVQKEDHLFAAFNYFDKDGSGYITRDELQQACQQFGLQEDHLDDIIREADKDNDGRIDYSEFVAMMQDTDFGKKGIQTS >CAK8578302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618947321:618947977:-1 gene:gene-LATHSAT_LOCUS30326 transcript:rna-LATHSAT_LOCUS30326 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNMNLEPENPSEALRGLDSMTLDLTLNISSSDGEFKASSDASSEVGAAAEAEALASPSVTPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRAMRMGMFTDRYTSLASLPLHGSPFRSLGVEAHGAMHQRTHMQPISSVRAPDMRAAAKFNRNHFGSVVFAEDEDVGFFWPGSFRQVDQAGGVNVGNSSFAPMAPPPPQASTSTSPDLTLKL >CAK8541723.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:369707190:369708405:-1 gene:gene-LATHSAT_LOCUS10619 transcript:rna-LATHSAT_LOCUS10619 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSGFKDKRWSLQGMTTLVTGGTRGIGYAIVEELAEFGAVVHICSRNEDDINKCLEGWKNKGFNVTGSVCDLLFRDQREKLMETVASVFNGKLNILVNNAGTFTSKPILDYTDEDVTTIMSTNFVSGYHLCQLAHPLLKESGYGSIVFISSIAGLKALDICSAYAASKGAMNQFTKNVALEWAKDNIRANVVAPGPVKTLLLENAMKSFAGVDYAIEDIVSQSPVGRMGESKDISGVVAFLCLPASSHITGQIIAADGGFTM >CAK8565205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:68398173:68400911:-1 gene:gene-LATHSAT_LOCUS18438 transcript:rna-LATHSAT_LOCUS18438 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWFIAFSTFILCILIYRFLKFATKSSSSSLPLPPGPKPWPIIGNIPHLGTAPHQSLAALAKTYGPLMYLKLGSVDAVVAASAAVAEQFLKVHDANFSSRPPNAGAKYIGYNYQDLVFGPYGPRWRLLRKITSVHLFCNKSLDEFKHLRQEEVTRLIQNLASNSGSKAVNLGQLLNVCTTNALAKVVLGRRVFNDGNGGFDPKADEFKDMVDELMVLGGVFNIGDFFPSLEWLDLQGVQAKMKKLHKKFDAFLTNIIKEHETSNYSKSEKHKDLLSTLLSLKEEIDEDGNKLNDVEMKGLLLNMFVAGTETTSITIEWAIAELLRNPKILTKIQEELEKVVGRDTNVKEEDIPNLPYLQAVIKETFRLHPPTPLSLPRIASKSCEIFGYHIPKDSTLLVNVWAIARDPKKWVDPLEFKPERFLSNGENYDVDVKGNDFEVIPFGAGRRICAGMNLGIRMVQLQVATLVHSFDWELENGVSGENINMDEAFGLGILRAVPLLVHPKCRLSPHVYSSSL >CAK8567012.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479517600:479518046:-1 gene:gene-LATHSAT_LOCUS20103 transcript:rna-LATHSAT_LOCUS20103 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPAPSEGVLCIILVNTAMSISIFKGIIKTILHIIGIIASPPSSSTSDDSFEFHLSPSDDFIQEFRSMTPTLRFESVCSSCKEPEHDCSVCLTQFEPESEINYCLSCGHVFHKVCLEKWLDYWNITCPLCRTPLIPEDDASCFS >CAK8563255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:586599526:586602790:-1 gene:gene-LATHSAT_LOCUS16684 transcript:rna-LATHSAT_LOCUS16684 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQEANASPSPQVVGNAFVEQYYHILHQSPDLVHRFYQDSSLLSRSDSNGVMKTVTTTKAINETIVSLNYEDCTAEIKTADTQESHENGVIVLVTGCLTGKDNVRRKFSQTFFLAPQDKGYYVLNDVFRYIDENDAPQLNSASINVTNENSEAIHVPESEDMHPSEHLVEDPATSVEGENFNSVAEVYHPQETKEDGSVIDEEVVVPITDLSENDIVTIHDSTPAVEDDPRKTSYASIVMKSKTASGHVYVPNRAARVASAKSNEQWHATAKSTPRHEAFAPSSDSTQGSSDVPEAAEGHSIYIRNLPFNATIELLDEVFKKFGPIKHGGVQVRSSKHGFCFGFVEFEELSSVQSALKASPITVGERQAVIEEKISTTRVSDGGRGRYPSGRGGFRNEGFRGRGRFGGGRGYGRNEFRNQGEFSGRPRSSHRPSQNGGGRGGRAGNHNVTPSTSE >CAK8572245.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524268253:524269398:1 gene:gene-LATHSAT_LOCUS24830 transcript:rna-LATHSAT_LOCUS24830 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQPFRPPVTKLIFTWRNTTLTHSNCLTSPQPSPSSLHSDSTSFQVSYLINNFDFSPQTASKLCSAYRLAFKTTQNPDSVINFFTNYGFSNSQLRHVISRVPWLLSCNLSKRVLPKFEFFLSKGASNSDIVNLVSKNPRILCPSLENHIAPTYELVYRFLRSHKDTIDCALHNSSVFRDSNVTKNIRLLVENEVTDANIALILRMRNRTWQERDMLNLVDELKGLGFNPSKTTFGVALIAKTKVCKTRWKEKVDAFKKWGWSDEDVTEAFRKQPYCMLTSIDKINLVMNFWVNQLGWDALDLAKHPAVFSLSLEKRIIPRASVVQFLLNNGLRNNNASLTTPFTVPEKVFLDRFIKRFEKESSYLLNLYEEKLNLACDD >CAK8541737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:378492238:378493287:1 gene:gene-LATHSAT_LOCUS10633 transcript:rna-LATHSAT_LOCUS10633 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKFWLKSFTRDQFKLQGHTVQLSNLDINGEALHSSVGLPPALNVATAKVGKLEITLPSVSNVQIEPIIVQIDRLDLVLEENSDFKPSETPKTSTSSTPSGASAKGSGYGFADKIADGMTIQIHTVNLLLETHGSACLEGAATWAPPMASITIHNLSLCTTNETWQVVNLKEARDFSSNKKCIYVFKKLEWESLSIDLLPHPDMFTDATLGNSQVGSNRRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLRALLRFMIGIYVCLNRGNVDSQQQSTEVAGRSRVSIVVDHIFLRIKDFVIGIIWQDSSLSY >CAK8530334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14852852:14854063:-1 gene:gene-LATHSAT_LOCUS205 transcript:rna-LATHSAT_LOCUS205 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHRKLISEICDSICHINEYLPNCSSNKDCTVCFNICLTNLQNPFYYSPPPPPPPQQEFPFYHSNQNHHKLANYFIIALSILALIFFLVCIRAIYVKFRSRTRRTRLRAVARTRTPPSTIQQIHVMNFDDELQQHDSIVDHPIWYIRTQGLQQSVINAISVCKYKKGEGLIEGTECSVCLSEFEEDESLRLLPKCHHAFHLPCIDTWLSSHTNCPMCRAPIVYTNPTIARVESLESVVVDSHSSSSEHAHDVDENSGEIESNLGFENRVHDSELRNRAVGEGGQLEVRENGRPVVDVVSGSIRPRRSVSMDDSFVAGINNVVAAVVSKECNGDEDSVSKVNGSENSATTSKGGSSSFSFRSTRYLQGVASPMKRSSSYNGKFLLSWYSRSQKKPNAILRSF >CAK8540895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:30075543:30076739:-1 gene:gene-LATHSAT_LOCUS9853 transcript:rna-LATHSAT_LOCUS9853 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTYCSLGSYPSYNPNPNSTSSSSSHSYPPFTYLTPDQNASSNNNTNNINTFLHDPTISVPYTQTSHHHQHHHVPMNPETLTNWAVADYAAMLKQDLSGSSSHYNFSNLLAKKPAKKPAKKDRHSKIHTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLEWLFNKSKEAIEELTRSKNNIASGDDDVDDHSFSTSSSEGEDEARKMKRAQKESSKMKDSREKARARARERTSEMKIQDLKEKYPETDHNQQILHQLMPNDEENLKLAQRDDIFNFIEESIVIKRKLKKSSSQHHHQQNISFDHNDSPMITPNWDTNNNNNAAATGRSNFSAISRMNLSSGLQIFGKSWEECNNSPNRY >CAK8576839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517913956:517915097:1 gene:gene-LATHSAT_LOCUS29002 transcript:rna-LATHSAT_LOCUS29002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRMITTTSSLVTLLLLATICDAQLSSTFYDTTCPDALTTIRTAIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDTTTIQSEKTALPNLNSVRGFQVIDNAKSQLEKLCPGVVSCADILAVAARDASFAVGGPSWTLKLGRRDSTTASKTLANTDLPLFSDDLQTLISKFSIKGLTAKDMVALSGAHTIGQAQCFTFRDRIYNNASDINAGFASTRQRGCPSSSSTSNNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFSGGSTDSIVSQYSQNPTSFKSDFAAAMIKMGDILPLTGSAGIIRSVCNAAN >CAK8575545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:158260279:158260590:-1 gene:gene-LATHSAT_LOCUS27801 transcript:rna-LATHSAT_LOCUS27801 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDQRQRMSVEQRQQELARRRSHYRQNKDKGKRVQTYNTSNMRSIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRISSPV >CAK8540357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554208162:554210229:-1 gene:gene-LATHSAT_LOCUS9367 transcript:rna-LATHSAT_LOCUS9367 gene_biotype:protein_coding transcript_biotype:protein_coding MATLESAITVFAPQRFSNSYHFLAKSPDSIKLQATSISSSIFSHSHNKLHLSSFRTQTPCFALQEVTEATIEDEKTETLTNVNKKFAVFNLPWSMTATDIKELFAECGTVIEVKIVNGKGFAFLTMDSVEGAQAAVEQFNAREISGRIIRVEFAKRFKKPSPPSPPGPPPSPAPSEARYVIYVSNLAWKARATHLRDIFTENFKAPVSARVVFQTPAGRSAGYGFVSYNTEEEAQAAISALEGKELMKRPLRVKVSVKKVKEAGNEEGEQQDDANAQLEGENQDADAQLENENQNADAQLDGEKQDADAQPEES >CAK8536030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:900909123:900910241:1 gene:gene-LATHSAT_LOCUS5432 transcript:rna-LATHSAT_LOCUS5432 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKFFQTITVTVLILILSLTATVQSCPPSDRAALLAFKAALHDPYLGIFNSWTGTDCCHKWYGVSCDRGSRRVADINLRGESEDPIFEKAHRTGYMTGYISPAICKLNRLSSITLADWKNISGEIPRCITSLPFLRIIDLIGNRISGPIPYNIGKLQRLTVFNIADNSISGNLPPSLTSLRSLMHLDIRNNQISGPIPKDIGRLPMLSRALLSGNKISGPIPESISRIYRLADLDLSRNQVSGSIPESLGKMAVLSTLNLDMNHISGLIPISLFNSGISDLNLSRNSLEGNIPDVFGVRSYFTVLDLSYNRLKGSIPKSMASASYIGHLDLSYNHLCGKIPDGVPFDHLEASSFVYNDCLCGKPLKACVN >CAK8537711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422533038:422533674:1 gene:gene-LATHSAT_LOCUS6975 transcript:rna-LATHSAT_LOCUS6975 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRFGIQEPQTAMQHAQYYLKKIGLGPNNYYFWKQIGKALICTYTVMGAAWLYNETSPLGWWTLKPMPKEEKDMAHLYERREFPYPGDSEAMEEFVKQGGMIGTTIGPKGMVENDMDESDYKKELKDKKFEQEAQKLWLRMRNEIIAELQEKGFEVE >CAK8572035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:503369009:503370076:-1 gene:gene-LATHSAT_LOCUS24643 transcript:rna-LATHSAT_LOCUS24643 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSRKLLIHFSQSQAISPIASSSSSIIHPHIHHSSTSFPIIAIAIIGILATAFLLVSYYIFVIKCCLNWHRIDLLRRFSPSRRQQGPTTAYSPGTEPRGLDEAVIRLIPVIHFKTEDEDRDSAECAVCLNDFQQEEKLRIIPNCNHVFHIDCIDVWLQNNANCPLCRTSISLTKGYRFDQMLQHSPFSVSTQDQNQHNDEDFVVIELSNDAIESPLSLSLPLPLPLPLPRASSISPSPRKSRKKLHKVTSMGDECIDIRATKDEQFSVQPIRRSFSMDSSGDRQFYLAVQEALHQQKRGVNEVSNSSEGCSGGGGGGGGGGGGGGSGRVKKSFFLFGYGSRSRSSVQPVCLDS >CAK8570713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:94126159:94126671:-1 gene:gene-LATHSAT_LOCUS23446 transcript:rna-LATHSAT_LOCUS23446 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVATNLYNIQVCAPDSEKSAVSHCITWIHPIDAKKVHIDGTTAYAVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNQQDHYPATTHPNQQTIHPPLSQTLCT >CAK8565191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:62779936:62781108:1 gene:gene-LATHSAT_LOCUS18425 transcript:rna-LATHSAT_LOCUS18425 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMKTSPSICSNSFIFYSSPSTQNSHTFSPFLVKSMATPKPPPPSVSRTVSSKKNSTVFPLGEKPRSAVTASPSIKLLTRMEQLRLLTKAEKAGLLSAAEKFGLSLSTIEKLGLLSKAEELGVLSAATDPTTPGSLFTLSFVLLVLGPLFVYLVPEDNFGEIGLQVVVALISVLGGSAGFAASSFVSNLQKLK >CAK8570993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:243713907:243714419:1 gene:gene-LATHSAT_LOCUS23696 transcript:rna-LATHSAT_LOCUS23696 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRGTIMITNDDGIDAPGLRALVAALVTTNLYNIQVCAPDSEKSAVSHCITWIHPIAAKKVHIDGTTAYAVSGIPADCTSLGISKALFPTVADLVLSGINMGNNCGYHIIYSGTVAGAREAFFYDIPSISISYDWAKATSNQQDHYPATTHPKQQTIHPPLSQTLCT >CAK8542178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:485960256:485962379:1 gene:gene-LATHSAT_LOCUS11040 transcript:rna-LATHSAT_LOCUS11040 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGSGTLRCCMVQVRSLCSTTSAISRYGRIGDIDNARKVFDNTPLPHRTITSWNAMVAAYFESHKPRDAVLLFDQMPQRNTVSFNGMISGYVKNGMVAEARKVFDVMPERNVVSWTSMVRGYLQDGMVEEAEKLFWEMPQKNVVSWTVMIGGLLKESRFQDAKKLFDMMPVKDVVAVTNMISGYCQVGRLDEARELFDEMPMRNVFTWTTMVSGYAKNGRVDVARKLFEVMPGRNEVSWTAMLMGYTQSGRMKEAFELFEAMPVKWVVACNEMITRFGASGEVNRARMVFEGMKERDEGTWNAMIKVCERKGFELEALGLFVRMQREGVELNFPSFISVLSVCASLASLDHGRQVHARLVRSEFDQDLYVASVLITMYVKCGDLVRAKRIFNRFPFKDAVMWNSMITGYSQHGLGEDALIVFRDMCTSGIQPDEVTFIGVLSACSYGGKVKEGFKFFEAMKCTYQVEPGIEHYACMVDLLGRAGRVDEAMELIEKMPMEPDAIVWGALLGACRNHMKLDLAEIAVEKLAKLEPKNAGPYVLLSHMYASRGRWRDVEVLRKKINARSVVKFPGVSWIEVEKKVHMFTGGDSKGHPEQPIITKMLEKLGGILRETGYCPDGSFVLHDVDEEEKTHSLGYHSEKLAVAYGLLKVPDGMPIRVMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGHCSCKDFW >CAK8538577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487622971:487624391:-1 gene:gene-LATHSAT_LOCUS7760 transcript:rna-LATHSAT_LOCUS7760-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPPKPMLIHLIIFISLCFCFGRAIDCGGNQIAQTIIVDQQGKGAFKKIQPAIDSVKINNDRWIKIHINPGKYVESVYIPDGKPCIILEGSDRRTTKITYGDSKATFTFFSKPPNMVFSGITFENTYGVNGPAVAAKFNGDKVAIFNCNFLGYQDTLFDASGIHYYKNCYIRGEVDFIWGESRSYYEDCVIDARQSISKPTGFITAQRRNSPNDQGGFVFKGGKITGVGKVLLGRAYGPYSRVIFWETDISSVVLPLGWDPWRYKGHENNFIYAEIDCTGTGSNTEGRVKWEKKANEININEYSLQSFINQDGWLSNLPPLSM >CAK8538576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487622971:487624424:-1 gene:gene-LATHSAT_LOCUS7760 transcript:rna-LATHSAT_LOCUS7760 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFGLDKSIIMQLPPKPMLIHLIIFISLCFCFGRAIDCGGNQIAQTIIVDQQGKGAFKKIQPAIDSVKINNDRWIKIHINPGKYVESVYIPDGKPCIILEGSDRRTTKITYGDSKATFTFFSKPPNMVFSGITFENTYGVNGPAVAAKFNGDKVAIFNCNFLGYQDTLFDASGIHYYKNCYIRGEVDFIWGESRSYYEDCVIDARQSISKPTGFITAQRRNSPNDQGGFVFKGGKITGVGKVLLGRAYGPYSRVIFWETDISSVVLPLGWDPWRYKGHENNFIYAEIDCTGTGSNTEGRVKWEKKANEININEYSLQSFINQDGWLSNLPPLSM >CAK8570231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30504840:30507147:1 gene:gene-LATHSAT_LOCUS23001 transcript:rna-LATHSAT_LOCUS23001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSYFIWWILCILPLIHSLTPKSPIQCNQTICTLENSYGTWNDRKTCYALNVTYPTTEQQLRLAVSYVVQNNLKAKIVTKFSHTIPTLSCPQQNNHAFFISTEKYDSGIEIDAENLAVTVDSGVKLRELIDEVEKNGFSLVNAPYWEGVTIGGVVSTGAHGSSWWGKGGAFHEQVLEISVVVPASKFEGYAKILRLDSHHPLFHAAKVSLGVLGAISKVKLSIEHRFKRSVTFNFTDDNDIENVYIDHAKKYEFADITWYPSRHTAVYRYDFRVPLDSSGDGVFDFIGFQANSILIPESVRGAEKFLENTGNSKGKCLTASTTLEFKKLTANGLKNNGQIFTGYPVIGYQGKMQTSGSCLYSSRIDTSCAWDPRIKGLFFYESTAIFPASKFGDFIKDVKKLRDINPQNFCGIDNYNGIFIRYIKASEAYLGPSEDSIVIDFNYYRANDQFTPRLNQDVWEELEQMAFFKYGAKPHWAKNRNLAFLNVQQKYPKFSAFIDAKKQMDPQNVFSGDWSDEILYGKELVKLDGCALEGMCVCSEDRHCSPQKGYLCTHGLVYKEARVCRLLSTSVSTDSL >CAK8532513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:275032871:275033212:1 gene:gene-LATHSAT_LOCUS2211 transcript:rna-LATHSAT_LOCUS2211 gene_biotype:protein_coding transcript_biotype:protein_coding MIALEVELLPLLVLLLCGFACGYSVEPSSLAHVVCLPDGISFHFICMIAVYCILLDSMLFIFQYDYCYSFWLEVEMSLWRLKPHTHFLPHLHCMHFTVKLMLCLFWCIIDMIP >CAK8533034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:568270330:568274815:1 gene:gene-LATHSAT_LOCUS2686 transcript:rna-LATHSAT_LOCUS2686 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAGFNSQEGSGGSSSTSNHGGQQGSSCSWRFLTRRKKVNSHVEDNSTNNNSHGVQLAKELTIPHLMAVGVGATIGAGVYVLVGTVAREHAGPALPLSFMIAGLAAALSALCYAELASRFPSAGSAYHYAYICLGEGLAWLIGWSLILEYVIGGAAVARSITPNLAALIGGVDNLPAFLSYQHIPGIDIVVDPLAATTVFIVTWILCTGIKESTVMQSIFTIVNICALMFVVVVGGYLGFKSGWVGYGLPTGYFPFGIDGMVAGSATAFFAYIGFDVVASTAEEVKNPQRDLPLGIAGSLSLCCGLYMIVSIVVVGLVPYYDINPDTPISSAFADNGLQWAAYIINAGAITALCSSLLGGLLPQPRILMAMGRDGLLPPFFSDINKHSYVPVKSTVVSGLVAAALAFFMDVSQLAGMVSVGTLLAFTISAISVLIVRYIPPIEIPLPLSLQEPIDAESIEHVWSHLETFEKDAKKETLVVQEDVSVDYSLIPKNHAIDTFVHSGNRKKNVGCVIAFMCLGVFILTFAASSTHFLSSVRFTLCGVGGTLVLSGLVFLTCIDQDDARHMFGNSEGFTCPLVPLLPIISILINSYLLISLGGATWLRVSVWLALGVIIYVFYGRNHSSLKVDDANYIPSSFLA >CAK8576843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519175753:519176082:1 gene:gene-LATHSAT_LOCUS29006 transcript:rna-LATHSAT_LOCUS29006 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHVIATCFSVYQDPFLQLSEVYKVLNLFRIYNNSFPVVASEDYWPTYHGDTIYHNENTRRNKKGRPKSMRIRTEMDTTEKMERLCRICCLPGHTRKHCPNVGTSSR >CAK8534273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711795182:711799032:-1 gene:gene-LATHSAT_LOCUS3831 transcript:rna-LATHSAT_LOCUS3831 gene_biotype:protein_coding transcript_biotype:protein_coding MDREFLAFTSKNSPWITIKEDASNKSKDPVRSTGMQWSFSNKVSNLPQFLSFKNTHEDMSRNIVGDPIGSSGYMTISTKDSFDAQTICNQESTTFSVSNQSNNQITSVLPSNLATTGINMVNSVIKSQSFGSKSSAATPLSVLPSIGSIVGSTDLRNRNCSKSNGTIPAQLTIFYGGSVCVYDDISPEKAKAIMLLAGNGTKKQPEISIPSKKDGFIISQAYPSPLPSPLPKTSHASSQQRGGSNSNNEITILRSLGPLNVPNNYLESSIVAPSIVSAPSEMIQSVCLPQARKASLTRFLEKRKERAMSTSPYYMSKKSHESSPPGSESASFSIDFSGSSSQPATNLRTTCMEV >CAK8565040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:30980385:30980789:1 gene:gene-LATHSAT_LOCUS18281 transcript:rna-LATHSAT_LOCUS18281 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKNLQSGEGSCVQSTQQSDKNVYMGVVGGNNKKKCIFRLGSQAATIKESLKSTSYISTDVSSDKIVAMEAKIEALTVEIEKKSLEQELIKQKMGHWERMFGSFMPNTNQNSLLQQEEGEGDNENVEMSDDEL >CAK8533124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580645303:580646317:1 gene:gene-LATHSAT_LOCUS2772 transcript:rna-LATHSAT_LOCUS2772 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8534954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795298156:795304103:1 gene:gene-LATHSAT_LOCUS4447 transcript:rna-LATHSAT_LOCUS4447-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSQFLFLSLTFVWFISLTAFAATTIHPDEKKALEDIGKSLGKKDWNFDLDPCSNKPNWITPPIPHIYENNVTCDCSIAGDNFCHVIWISLKGQNLQGTLPPELNKLRYLQMIDLARNNLNGTIPKEWGSLTNIRKLALLANRLTGPIPVEIANISHLQELELYNNQFSGNLPPEFGHLTQIRTMRLSSNNFTGELPVTFAKLTTLTEFRIEDNQFSGKIPDYIQNWKSINKVMIQGSGLSGPIPSGISRLRNLTDLRISDLNGSESAPLPQLNNLTLLNNLILRNCNINGTLPENLKTMKSLKILDLSFNKLSGTIPRTYADMNRVKYIFLTGNLLTGLVPAWEKNVSVDLSYNNLSISQGSQICQDENVNLFSTSWARNDIGTASCLSFQCPKASNSLYINCGGNQTRVNGKSYDDDSDSSGPARFHVSPTGNWAFSTTGIFIESGDLGETYSPQNISKLTMDDAELYTNARVSPISLTYYGFCLENGSYTVNLHFAEIMIPDDQTYGSLGRRIFDIYLQGNVVQKDFNIAKEAGGVGKKITKQFNDVVVSSNTLEIRLYWAGKGTQSLPNKSVYGPLISAISVESSDSAPGSISARAVVGIVVAATIIMLSF >CAK8534955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:795298156:795304103:1 gene:gene-LATHSAT_LOCUS4447 transcript:rna-LATHSAT_LOCUS4447 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSQFLFLSLTFVWFISLTAFAATTIHPDEKKALEDIGKSLGKKDWNFDLDPCSNKPNWITPPIPHIYENNVTCDCSIAGDNFCHVIWISLKGQNLQGTLPPELNKLRYLQMIDLARNNLNGTIPKEWGSLTNIRKLALLANRLTGPIPVEIANISHLQELELYNNQFSGNLPPEFGHLTQIRTMRLSSNNFTGELPVTFAKLTTLTEFRIEDNQFSGKIPDYIQNWKSINKVMIQGSGLSGPIPSGISRLRNLTDLRISDLNGSESAPLPQLNNLTLLNNLILRNCNINGTLPENLKTMKSLKILDLSFNKLSGTIPRTYADMNRVKYIFLTGNLLTGLVPAWEKNVSVDLSYNNLSISQGSQICQDENVNLFSTSWARNDIGTASCLSFQCPKGEFLYASNSLYINCGGNQTRVNGKSYDDDSDSSGPARFHVSPTGNWAFSTTGIFIESGDLGETYSPQNISKLTMDDAELYTNARVSPISLTYYGFCLENGSYTVNLHFAEIMIPDDQTYGSLGRRIFDIYLQGNVVQKDFNIAKEAGGVGKKITKQFNDVVVSSNTLEIRLYWAGKGTQSLPNKSVYGPLISAISVESSDSAPGSISARAVVGIVVAATIIMLSF >CAK8569467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2060022:2060504:1 gene:gene-LATHSAT_LOCUS22316 transcript:rna-LATHSAT_LOCUS22316 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAFAALLLVCLILSSSMFEISALGVDAGGFCTAKCRQRCSKAGRRDMCLNFCGICCRKCKCVPSGTYGNKHECPCYRDMRNSKGTPKCP >CAK8534518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738363709:738364053:-1 gene:gene-LATHSAT_LOCUS4061 transcript:rna-LATHSAT_LOCUS4061 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIWILTLFLTIITLTIASNPNEQTLTGPNQQRPIHRKLSLGVGFSSAVVGVLGERHINPPTLRRPVIAFQNRINRKGGPVIRPEVPGIHVPAKDHIGSGRIPNFTIRPPHGL >CAK8569147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675863947:675865242:-1 gene:gene-LATHSAT_LOCUS22031 transcript:rna-LATHSAT_LOCUS22031 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVSQEFQIPNLKSILCASGVKGKQVTTLSSQITISDFIQSIIETKSTEIDSPFSVLDLRVVIDLMNKWLTKLPTVKPFYAVKCNPNISLLGTLASLDSNFDCASRAEIESVLSLGVSPDRIIYANPCKSESHIKYAASVGVNVTTFDSVGEVEKIKKWHPKCELLLRIKPEEDSTSGATTCLGLKYGALEDEIPELLKAADMAGLKVTGVSFHVGSGGAAAKAYHGAISSAKKVFETASRLGMAKMKILDIGGGFISGSKFDEAALNINDAIKTHFGNEEDVVVIGEPGRYFAETSFKLATKVIGKRVRGELREYWIDDGIYGTLNNILFDFATVTCMPLRFGTSKSENVSKTYYPSTVFGPTCDSVDTVLKDYDMPELEVNDWLLFPNMGAYTISCGTNFNGFGSSVKNIYLACSSSSYVAREQNRF >CAK8543250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:592728526:592729875:1 gene:gene-LATHSAT_LOCUS12020 transcript:rna-LATHSAT_LOCUS12020 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTFYKDRKMQQLPASSAKREDIASSSSNKTTSNKEVAVNNPPQEQMNYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIVEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEEIAEDQNKKVKEQSSQQMSMENLKNFFKRKYPNESEDEIMVRVLDHMKNQFFSTFPSKASKDEDSSMKTSSYMGSMDSHNFEGLAGEGQTDEATAEDFWDAMIQSLKEKRKAKN >CAK8566135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:384288084:384288527:-1 gene:gene-LATHSAT_LOCUS19296 transcript:rna-LATHSAT_LOCUS19296 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDVPVVGNKITWFKANESCKIRLDKILLSDDLILKWGIMVQQIGDGDISDHMPIWLKLNVANWGPKPFKVFNSCYENPNFIEFVKDSWNFVQVVDCRSHILVAKFKTLGEKLRWWNKNVYGWVDLSIEKGNNILNEIDLEKVET >CAK8571823.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:479301737:479302639:1 gene:gene-LATHSAT_LOCUS24449 transcript:rna-LATHSAT_LOCUS24449 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEPIIGVEPVSEPVTAEPTVSEKVEEPKAEAVKTKKTKESKPKRASKPKNPASHPTYEEMIKDAIVSLKEKNGLSQYAIAKFIEEKHKQLPANFKKLLLQNLKKKVASGKLLKVKGSFKLSAAAKKPAVAKPKTKPAAKAKAVVKSKTGAKPEAKAVVKPKVVSKSKPKSVAKPKAVTKPKAAAKPKAIVKPKAAVKPKPAKVAKTSTKTTPEKKVVVVKPAPKKAAAAKKAPVKSVKSPIKKATGVKRRGKEMRVLSSKP >CAK8578290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:618032541:618033461:1 gene:gene-LATHSAT_LOCUS30315 transcript:rna-LATHSAT_LOCUS30315 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGKGRGYGRGGRGSKNMFPQPELNIPLIGDWTTVYKGRKMQQLPASSAKNEDIVFSSSNKTTSYKEVAVNNPLQEQMNYFENPVTEKIMYIDDEDMNINPNDGWSIKTRYLESRGYSGLHEKSRPNLEILLTVTESETITHHYQNNNPESFINVSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKPSWFFSINQEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVKNLSDNLITGQCPFLFFTKFHGYGDGQSPYQKTNSTFPF >CAK8530698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41622641:41624145:1 gene:gene-LATHSAT_LOCUS543 transcript:rna-LATHSAT_LOCUS543 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYNSNPFRFFIIFTACLFIYFAIQKKKPADLNISSNFEIQPNHYSLISEPILDELPNEDEVLRLFQQWKKEHGRVYSDLEEMTKKFDTFVSNLKFIVETNAKRDLPNSAFLGLTNFADLSMKEYKEKYMTLKTEAIDILNDDDVQEVTCSDPPSSLDWRSRGAVTPIKQQGDCGCCWAFASVGAIEGIVAIKTGNLVPLSEQELLDCEPSGNCDGGLVSKGLNWVTRNKGIASQHDYPYTATKDDCRSSQPQIKNSPGSGIDSRQRLQISDRALLCAVAKQPIIVSVYAESPKFKEYKGGLFRGEDCPLDSTNVTHGMVVVGYNSEGGEDYWILKNSHGTTWGIQGYMKMKRDYTKPYGVCGINVQAFIPIKN >CAK8568466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:608364483:608367031:1 gene:gene-LATHSAT_LOCUS21421 transcript:rna-LATHSAT_LOCUS21421 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNKNQGGEVSMMEKKREVVVTISNMGGESHGQDHHDHGLKVFESEPASKSPPLKCASPEIRFSPSPNKPPKPPTRNENLTKRKSFVRSVYSKPKSRFGEQPYSIDGTFLEENVLQEELSVSSPYRNSFSKGLHSPNNKSGLVNRSVSIASVVTPRTPLMASPGPAGEDLDEIIYRKVEFSKGKHKRLTTKALIELFVFVMIAGSLIACLTVEELRRTKIWSLGLWRWCMLVMVTFCGMLVTKWFMHIVVFLIEMNFLLKKKVLYFVHGMKKCVQVFIWIGLVLLTWVLLINHGVQRSKLAEKILDGVTWTLVSLLIGAFLWVIKTMLLKILASNFHVKSFFDRIQESIFHQYVLQTLSGPPLMEEAEKVGGSQSLSHFSFRSITNKGGSKKEVIDMAKLHKMKQEKVSSWTMKILVDSVMNSRLSTISNSLDESFYDVENEQTDKEITNEMEATAAAYHVFRNVAASPSCADIDEDELRRFMIKEEVILVFPLLAQAETGLITRKSLTDWVLKVYQERRALAHALSDTKTAVKQLNKLVTVVVVLVTILVWLLLMEIATTKVLVFLSSQLVLAAFMFGNTCKNIFEAIIFVFVMHPFDVGDRCVIDGVELLVEEMNILTTVFLKLNNEKVYYPNSVLAIKPISNYYRSPNMSDSVEFSVDFTTPAEKIGALKEKVKRYLERNPQYWHPNFSLVVKEIENVNKIKMGLYVTHTINFQEFGEKTKRKGELVMEVKKIFEELKIRYNLLPQGVHLRHIEPDTS >CAK8539240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507452648:507466799:1 gene:gene-LATHSAT_LOCUS8353 transcript:rna-LATHSAT_LOCUS8353 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDFSQPIDVPLLDATVDAFYGTGSSEQRTAADQILRELQNNPDMWLQVMHILQNTHNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNFISDVIVQLSGNEASFRTERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKTTETICENCMAILKLLSEEVFDFSRGEMTQQKIRELKQSLNSEFQLIHELCLYVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESSLLETLLKFFTMPAYRNLTLQCLTEVASLQFGNFYDAQYVKMYNIFMVQLQTILPPTTNMPEAYAHGSSEEQAFIQNLALFFTLFYKVHIQILESTQENISALLLGLEYLVNISYVDDTEVFKVCLDYWNILVSELYQPNRSLENSAAAATNMMGSQVSVTPPGMIDGQPLQRRQLYAGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDSDVLVQYKIMRETLIYLAHLDHQDTEKQMLGKLNKQLSGKDLTWNTLNTLCWAIGSISGSMVEEQENRFLVLVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVITQVGENEPFVSELLSGLPTTIADLEPHQIHIFYESVGSMIQAESDSQKRDEYLQRLMVLPYQKWLEIIGQARQNVDFLKDQDVIRTVLNILQTNTSVASSLGTFFLPQITLIFLDMLNVYRMYSELISKSIAEGGPFASRTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGSMIEDIPHIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSQQLKFVMDSIIWAFRHTERNIAETGLNLLLEMLNKFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLAESGALTEPLWDAATNPYPYPSNADFVREFTIKLLSTSFPNMTNAEVTQFVNGLLKSTNDLSTFKTHIRDFLIQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPIELQDEMVDS >CAK8578650.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:643650204:643651232:-1 gene:gene-LATHSAT_LOCUS30642 transcript:rna-LATHSAT_LOCUS30642 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFEKLTCNGLMNTVEEETEEYHFVKKGFLNGMGFMQHATNIIAIHKNNVSSNLTRQARLESFHIFSKAVSIKRGGDSKVRGAWYGGSSDQLIDILSFGFTRCNVDESHGIGISLFCANFSIDSAMCTVADEDGLRHVLLCRVIIGKFESVAADSKQSQPSCNEYDTGVDDILAPRKHVIWTAFMNSYIHPDYIISFNYKNIKDPEIFGTLKPRSEYVLFPNLVAKVSKHLKPSQMCLLLKSYRAYQEKKITREIWIKKMRKIVGDKLLHLLITHKSNDDVQAL >CAK8540267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:547894785:547895846:1 gene:gene-LATHSAT_LOCUS9289 transcript:rna-LATHSAT_LOCUS9289 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPSLFKLVNIPNKGRGLLAAQDLKAGQTIITESPLLIFSASPLFSPSPSRYCHHCFRTLPPSQTFSCPSCSNYTFCSQKCLSISLNSSHSPWTCQTLSHLQNPTSPLSEKSSELQVQARLIVAAYDLAIHTPSNLETLLSLHSVPNYDKSDAIFGAAKFIHSLVSPFCPPHMNFSPELAAKVIAIERANSFSLMEPYSPNGPQRSIKAYGIYPITTIFNHDCIPNACRFDYVEKDEPNTDIVIRLIKDVDAGSEICVSYQRINKDYATRKRILMEDFGFVCDCDRCMIEANWNEGENKDSDLPHVIFLSKFVCDKVNCSGTLAPLPPKDGEKSNVLECNFCSNLKVDFTT >CAK8543218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589679213:589682633:-1 gene:gene-LATHSAT_LOCUS11990 transcript:rna-LATHSAT_LOCUS11990 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPGKSAETSEALAAANDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGLAKYRGLSSRWDPSYGRMTGSDYFNSMNYGDDSAAESEYVSGFCIERKFDLTNHIKWWGSNKNRQPDAGTKHGFAGDIYSELKTLEQKGQPTEPYKMPELGRLHNEKKHKSSSISALSILSQSAAYKSMQEKVAKRQENSIDNDENENKNIVNELDRGKAVEKLSNHDSGNDRLDIAMGMSGALSLQRNVYPLMPFLSAPLLTAYNTVDPLVDPVLWTSLIPMLPAGLSRTAEVTKTETDSTYTMFQSEE >CAK8543217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:589679213:589682633:-1 gene:gene-LATHSAT_LOCUS11990 transcript:rna-LATHSAT_LOCUS11990-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPGKSAETSEALAAANDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGLAKYRGLSSRWDPSYGRMTGSDYFNSMNYGAGDDSAAESEYVSGFCIERKFDLTNHIKWWGSNKNRQPDAGTKHGFAGDIYSELKTLEQKGQPTEPYKMPELGRLHNEKKHKSSSISALSILSQSAAYKSMQEKVAKRQENSIDNDENENKNIVNELDRGKAVEKLSNHDSGNDRLDIAMGMSGALSLQRNVYPLMPFLSAPLLTAYNTVDPLVDPVLWTSLIPMLPAGLSRTAEVTKTETDSTYTMFQSEE >CAK8562753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533330988:533331368:1 gene:gene-LATHSAT_LOCUS16223 transcript:rna-LATHSAT_LOCUS16223 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAIILALNILFFTAVTSNYVPCPPPPSKDHKHSHPKNPTCPRDTIKFGVCADILGLINVELGKPPKTPCCSLINGLANLEAAVCLCTALKANVLGINLNLPINLSLILNYCGKGVPKKFVCA >CAK8532162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214221734:214224857:1 gene:gene-LATHSAT_LOCUS1893 transcript:rna-LATHSAT_LOCUS1893 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNVWILCVSFMLFMALEAIGVGSKAAPMDSILDNKNIFKRKRSQPPSRSRPIDYTFKLPTAPTSWPEGNGFAGGVLDLGGLQVFQASTFRKVWGAYDSGPDNQGTSVYEPIGIPEGFSMLGSYSQSNNKPLFGYVLVAKDVSSSTANSSLKPPVDYTIVSNTASIKATQDSTLYIWLPIAPDGYKALGHVVTTTPDKPPLNKIMCVRLDLTNQCESSSWIWGSNDFNFYDGRPINRGTQAPDVHVGTFIAQNGGNTNPPSISCLKNLNSISNIMPNQKQIEAILQVYSPILYLHSDEEYLPSSVNWFFSNGALLYKKGEESNPVPIAQNGTNLPQDPNIDGAYWIDLPADNANKDRVKRGNLQSAESYVHVKPMSGGTFTDIAMWIFYPFNGPGRAKVKFIDIKLGKIGEHVGDWEHVTLRVSNLDGKLWQVYFSQHGSGSWVDSSQLEFQNDSTKRPVVYASLHGHATYPHAGLVLLGKNGVGARDDTNKGRNVMDMGKFVLVSADYLGSVKEPAWLNFFRGWGPHVDYSLDDELKNVEKLLPGKLKNGFESIIRGLPKEVLAEEGPTGPKAKNNWSGDEV >CAK8539818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:526943755:526948013:1 gene:gene-LATHSAT_LOCUS8878 transcript:rna-LATHSAT_LOCUS8878 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLSSFVIPFLLFLISHLLAKYYKQKTLAYKLPPGPMKLPLIGNLHQIAALGSLPHRAFQQLAHKYGPIVHLKLGEISTVVITSPKLAKEILKTHDVVFANRPHIQAADVIAYGSIDIAFSPYGDYWRQMRKICMLELLSNKRVQSFAYIREDETKNFIKSIQSSEGSPVNLTNRIFSLVSSTVSRSAFGDKSEDQDEFVTVIRKAIESVGGLEPADLFPSMKFIINVLTGAKSQLEKVHKNADKILEIIVKKHQEKKKRAKEEPEDLVDVLLRIQQDGSLDIPITTSNIKAVIFDVFAAGTDTTTSTIVWAMSELMKNPSVMKKAQSELREACKGKQIISETDIQDLPYLKLVIKETLRLHSPTPLLLPRESTESTNIDGYHIPKKTKVMVNVWAMARDPQYWTDAESFIPERFDGNSIDYKGNNFEYLPFGAGRRICPGLSFGIAGIMLSLALLLYHFNWELPNDMKHEDLDMTEHYGLAIGRKSELCLIPTVYA >CAK8576452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:480054836:480059223:-1 gene:gene-LATHSAT_LOCUS28639 transcript:rna-LATHSAT_LOCUS28639 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMDQYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIKHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKLNGAYFPEEKLCKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDHDIRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKILIKGMLRKSPEHRPTASEVLKHPYLQPYVDQYRPSFAPLTAFSPEKPLSSVKDTKKHMAESQNSTSSSSDKDSLMSIERNIATETTTLKSDSKATEIDVALINDDGSEQEAIKSSHNEQCSNVESKQPKTIRNIMMALKEGRGREATSPMRGSRVKAVGVSTQKVNTEVLSKLPKPTFISPGLKLNLESPAVPLVKATPDSAKRIQGSYHSKLQLLMTEPSPKVKPKHDMTPLSGLIKQVEGDGVPPRPRQRTPPSLLRRPSFAGRMRLAGVDVPNAANDSGKLGPSNSGQESEMSHCHMFNGVPRISRQITREPQKAFERSSKGLQTESSNSASSSVSIQGCELADDATTFIDMREHILPNHDNITNIIGVESRPDSSQSTTCSHCKMAEEMSEDSNDVTLNFKNTISDEKVSSNLTLDDLPVENSKKMFASEDSLPKNQTTRSVDTPTEVAEEIEDLRDISKEMALTKSLKNPPSISGEKSVCEEFGSVNNLNNRPETVTGDDKFTVKDRLSLVSETAPVITATKISSQERPDASHLPTAFDDIIHVIRHSSYRVGSDQPVKESVEMGVQNVDVGKFINAMRDDIEMRNISSPMTLKSSTSSESATLKSNIPDQFEMRNASTSFSDAESLKSSVSDHPSLKEQEVNNTVSLISESDSAEHSKCNTPTTTEDKPPLAKEILDVKSFQQRAEALEELLELSADLLQQNRLEELQVVLKPFGKDKVSPRETAIWLARSLKGMMSDENGRRCSS >CAK8563033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567988408:567990214:1 gene:gene-LATHSAT_LOCUS16482 transcript:rna-LATHSAT_LOCUS16482 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLVSVTPNSVMVLETNLGQNYASQPMHLQENYLKPSLKNELPNFDAHSSQGNFLQDFHHIDQFHVHGSSSSNHVFGVQTQSFDPFGNEQCANTGFEVYSGKSFAENNNGSNQNVHFIDNFQYDGYGLNIPRRNRLDLTVENQSYFPFNNPSETKPLNYVVPDDEVSSIAPTSYYQRGDLNRNNRLLSPTTRRAFKAKKKSSIVKGQWTVDEDRMLIQMVEQYGMRKWSHIAQRLPGRIGKQCRERWHNHLRPDIKKDIWTDEEDKILIQAHTEIGNKWAEIAKRLAGRTENSIKNHWNATKRRQYSKRKCRSKYPRGTLLQEYIKSLNLDQNPPRDFRKKPCSNAKKTVTCSTSKEPIQQIPQPQIMNQFCPKDQSVPNYEFNDFCLDDDLFEEGCGIDSLLGDMASVPTMNEKEFDEKLCDNKGSMQVVNLDEHQFETEIEVKKELDLVEMISQANQTI >CAK8542506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521139970:521142837:1 gene:gene-LATHSAT_LOCUS11343 transcript:rna-LATHSAT_LOCUS11343 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFNNRRTVLALRLFILLCLIFQTFAQDSLAHNERQESGGSADLGRRAKVALSDIENAAVLPLSLDSSGVGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALIIMTVLSTGLGRIVPNLISKKHTNSAATVLYLFFGLRLLYIAWRSDPKSSQKKEMEEVEEKLEGGQGKTSYRRFLSRFCTPIFMESFILTFLAEWGDRSQIATIALATHKNAIGVAVGATIGHTICTSVAVVGGSMLASRISQRSVATVGGLLFLGFSLSSYFYPPLD >CAK8534898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:788440215:788440862:1 gene:gene-LATHSAT_LOCUS4400 transcript:rna-LATHSAT_LOCUS4400 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGRGRPPKNTVPPPSKTQPVSPTQQQSELCNHLESNTSLTEEGILETLDARTKPNQEEMATVTQSIDTTQPVIPKQPENGKPIHEGASEEVRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKVEVVIEDEDIISEVKFWESSLILYTMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIRE >CAK8563366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597469737:597470609:-1 gene:gene-LATHSAT_LOCUS16787 transcript:rna-LATHSAT_LOCUS16787 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKDRNLRKKVVNAGYALNQPSFMYYREEIRLSSVEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYKLGSLFAARGKKWSDVLQSGQIFSESSMKYMRNETSKAVSHRVRPFDRHDYNFIVDETIDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCEICRLPGHTRKNCPNVGTSSR >CAK8563367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597469737:597470249:-1 gene:gene-LATHSAT_LOCUS16787 transcript:rna-LATHSAT_LOCUS16787-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRNETSKAVSHRVRPFDRHDYNFIVDETIDHNEGRPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSEVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCEICRLPGHTRKNCPNVGTSSR >CAK8538045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460086424:460087678:-1 gene:gene-LATHSAT_LOCUS7285 transcript:rna-LATHSAT_LOCUS7285 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVSQENVVTLVSRTGRELQRYRKGRRQVVGCIPYRYISGDQASLGENEELEVLVISSKKGKRMLFPKGGWEIDESKKEAALRESMEEAGVRGILESKLGKWRFKGKNHGSSYEGYMFPLHVQEQLEIWPEQNFRQRKWMNVSEAREVCQQWWMKEALERLVNRLKGQKLDQHVKQLVVVGSVPCTGDANSDL >CAK8538552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:487027290:487030312:1 gene:gene-LATHSAT_LOCUS7738 transcript:rna-LATHSAT_LOCUS7738 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTAEDLSTIGGIATVSILHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAILHVISTSLLGITAITMANTIAGEETVHKLASLLLVFLGGMYIVLFLMGKGGHSHSHNQPMEKMAVAGLILVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTISVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGLLTLFFHDHDHDHHIHGEVASAGEHLHRKMFSL >CAK8569094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670375921:670378449:1 gene:gene-LATHSAT_LOCUS21984 transcript:rna-LATHSAT_LOCUS21984 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSKPIKKHDDDTIPTVKKVTNSNAKPHSKLPKLKKEPKEEPEPVPNSTSASRSKKTQVKKELNDSDEDDDKPIARKVSNTKAVKEVKKKKKKVKKEEKVVVTEKKVRKEKKVYDLPGQKRDPPEERDPLRVFYETLHEQIPSSEMSQIWLMESGLLPKEVAKKVFEKKQKKTRQQKVSSPVKSVSSVKKKSTKSVTTTTKTTKSVTVKNKSPTIPNSSSKKETTNSASKPIKKRKSECNSSEDDDSDFDIGSATTKRRKVA >CAK8568747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638713116:638714621:-1 gene:gene-LATHSAT_LOCUS21678 transcript:rna-LATHSAT_LOCUS21678 gene_biotype:protein_coding transcript_biotype:protein_coding MEFELMEFPNKEEKKAILETASDSINSLGNFIDFLSHNPSNLNSDLTNTTVTNFKKLSNLLNRTGRARFRRAPLPQPPQNPSPTPTPTPSASTTPPQVQPQNHSLTLDFTKPNMLRSNPKSLDLEFPKEAFSVSSNSSFMSSAITTGDITGDGSVSNGKLGSSLFLVPAVSAAKPPLSSTSLKNICHDHSDNVSGKRSGSNKCHCIKKRKNRMKRTLRVPAVSSRIADIPADEYSWRKYGQKPIKGSPYPRGYYRCSTVKECPARKKVERAMDDPTMLIVTYEEEHRHEIHTAMQENISGSMGLVVFESTLGGN >CAK8579301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:690444019:690444660:-1 gene:gene-LATHSAT_LOCUS31256 transcript:rna-LATHSAT_LOCUS31256 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMNLSFVIFVMTGCIIFVSVNSTRHIVGGNSGWDLPGYYRFYQDWAQNQTFVVGDELFFQYNPGMSTILYVNKDDFDNCTNRNTIHTYFRGNSTVPLTKPGDYYFFCSVGKRCEAGQKLWINVQVKASRKALV >CAK8579246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:687276707:687282069:1 gene:gene-LATHSAT_LOCUS31207 transcript:rna-LATHSAT_LOCUS31207 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPETPLCYVGVSRRSAAFNLMKQMGWEEGEGLGKDKQGIKGHVRVTNKQDTTGIGLEKPNPWAFDTTQFDNILKRLKVQAPVSNDPESNTAEVETEADQAVSVDPPVSVSRSTRPQGRYQKRERGKRVSQYSLKDLEGILVKKGDISENIDNAEDDLDLSKTSETQDIEVEESKCPDIHPEWWGLKFGFVSGGLLGATSKKRKTSTSESAKAGMARTPFFEQDQENLYNLVQDKATTGKQGLGNKDRPKKVAGCYFEGKKTSFGDSDDEDSGDIDSQENETDDEVEKIVEPKLKLKKLCKQILKQVPGKSLKLKQLKVLIEEHSSSVLSGYSKKEAISYLKQKLAGSKNFCIEGKTVRLASKRS >CAK8565835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342731170:342732191:-1 gene:gene-LATHSAT_LOCUS19016 transcript:rna-LATHSAT_LOCUS19016 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKDQSNKTKSDKTNIYVLYRKEALEMTRLASEHFKEATKAYQQKDHFSAKQHSMAGREKIRMVEEHNYNAAKEIFKINNCKNKIWRIDLHGVYGSEAIQILQRRLNEIIATQSKSLEVITGVGRHSHGKPVLPMIITKFLNENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8565836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:342731170:342731705:-1 gene:gene-LATHSAT_LOCUS19016 transcript:rna-LATHSAT_LOCUS19016-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKIRMVEEHNYNAAKEIFKINNCKNKIWRIDLHGVYGSEAIQILQRRLNEIIATQSKSLEVITGVGRHSHGKPVLPMIITKFLNENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8568390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:601724635:601735194:1 gene:gene-LATHSAT_LOCUS21350 transcript:rna-LATHSAT_LOCUS21350 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGGETPSRYELLSMVKKHSNLIGKTVVEDQDAPDVEMDMKFWHDVFDLYFLRGKDSRGRQDDDLVFFVRKLVSRASNNDAETLDPYFVRRWAPKLSTLVDETSIDVDWRRSFYLNLIAHTSFTVTVAICSQQVLQNHQAGKDTSLSPIYKVVKTVYASPSRVNFQLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTEKDHCYCVVLNAHDGAAFPSKKVSNDCSTSDNSLPEVCTSTTTKKDTKLTLFSGFVSYQMVRDAYDAGKSRFGSLLSGGHYHGKTDRIYMKGPGGRGEVEVAVSGVADQSHQDSEPPSPVISKKGIGLGVIVRRAAMVASVAAKHAYAAASSSSSNFDEMIPLKCSLMSISLPWEYIAYDLLFKGAPPVDM >CAK8578059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:604675162:604675965:1 gene:gene-LATHSAT_LOCUS30103 transcript:rna-LATHSAT_LOCUS30103 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDEYRCFVGGLAWSTSDRKLKDTFEKFGKLTEAKVVVDKFSGRSRGFGFVTFDEKKAMEDAIDSMNGIDLDGRTITVDKAQPQQGSARDDGDRYRERGRDRGDRDRDRGGRGSSDGGCFNCGKPGHFARECPSEGGRGGRYGGGRESRYGGSGGGGHYGPDRNGDRYSGGRSRDAGSRGDSGNDRYSRDRERDRAGPYERRGSGGFR >CAK8530436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21252914:21253270:1 gene:gene-LATHSAT_LOCUS301 transcript:rna-LATHSAT_LOCUS301 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKNGGSLVFEDFFPSMMEKLGSEGFMKELANGFNVLMDREKKVITFESLKKNSALLGLEGMSDDEITCMLREGDMDGDGALSEMEFCTLMFRLSPSLMNDTKQLLGEVIFNGN >CAK8573296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:601202907:601203227:1 gene:gene-LATHSAT_LOCUS25763 transcript:rna-LATHSAT_LOCUS25763 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTSTGRTGDVYYPPIQKSLPTTFTQLSQPVPNFTLTDDQLMEWPDFSVTNVDMVDTSHQLENEELTSDSTLSPPRRQPEELGRGKRVKKSKMCGTGSHLRRQN >CAK8571189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:331723656:331732111:1 gene:gene-LATHSAT_LOCUS23878 transcript:rna-LATHSAT_LOCUS23878 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSQSPPLGDSDTKNVFRKPSGEAANRNYRRRSPIDGSSSPDGSPRREHSSSPHPSKEESVRVSHHHSRKFDGREQDRKYGRNQYGRSSDSLRHSDRQSFRSSYGNSRHDKYADEDRKHERLSSRYARESRGDHMREESDSRSKNYGRSMDKYSREKYIRSGSGKRCAEYDEVEKERRTRDGDGRDERKDSQRSFGENKSERAVSYSESRSQKDDIEISKNKDQNSRKVGGVFGVEDKESFGKKPKLFGADKDDNSGKDDERKTSRSKLSHESKPYVGAAKTSGFDSGNDLDAAKVAAMKAAELVNKNLVGPNCLTTDQKKKLLWGSKKSTPTEESGHRWDMAMFDRERQEKFNKLMGVKGEAKVEQSSDNQNDNDVLRAEKQKELQLDLEKQYTAGLRRRDGRTVGLGL >CAK8576113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408747127:408751341:1 gene:gene-LATHSAT_LOCUS28327 transcript:rna-LATHSAT_LOCUS28327 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNSIAENKKAKKPETSTPTSKIKKEKDVEKSSEKKKNNEENKSSKSKKLKFANGNSKEKEDNGMKQENGAEEEGVGEEEAKTTVFPMSRIKTIIKAEISDLRVSQEAILAINKAAEKFLEQLAQEAYACCAQDRKKYLSYNHLSNVVSKQGRFDFLSDFVPEKVKAEEALRETISRGDKGG >CAK8530414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19967513:19968721:1 gene:gene-LATHSAT_LOCUS280 transcript:rna-LATHSAT_LOCUS280 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKRLRPAPLDDPPTASSSSDDDQQPPPKKPEDEVVDEEEEASSEEGEEENDQDEDEGSSSEEEEVQPTPPSQPASKNPPPSTPISNPKPESGSESESGSQSGSESGSESDSEPEPTPPPNAKVKPLASKPMKAQPPARSGTKRPSENGSKRANKKTAAGGGSNDDSEADEDGDVKMTGEDSKKMHQRVFTEEDEIAILKGLAEFISKTGKDPMKDPDAFHNFVKKSIHADANSAQLKRKVRGLKLKFKANGSFTKEHDKKAFELSKKVWGNDGGNGVEENGKVNEKTPKSTKKEAPVRNNGSAKKEVVAKKDTGKVESDVDKSLALSEMCSFGEAAGLSSLNMDAMRRGMELLGESKVDEFDAKWKKVQIAELEMFVTRGQLIKDQTRLALEAFKKSIN >CAK8561574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:303902480:303902818:-1 gene:gene-LATHSAT_LOCUS15152 transcript:rna-LATHSAT_LOCUS15152 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHNDMLLHNLQHHATTRPYPARKATPTTIQNSAQNNQQILAIESNVTQKQDKYKSLVIVLRRGQTKGRKKLSARKLRSKISITSHHVNPLQNHISLKFTTTIRRESFSLW >CAK8577746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586282817:586284371:1 gene:gene-LATHSAT_LOCUS29823 transcript:rna-LATHSAT_LOCUS29823-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRYYSTTKNSPPSPPRFPLLGNLHQLGLFPHCTLQTLSQKYGPLMLLYFGKVPVLVVSSSDAARKVMKTHDLVFCDRPQSKIFDILLYGSKDVGSCAYGEYWRQLRSLGVLHVLSNKRVQSYRCVREEETSRMMEYIKEYSSSASPPLNLSELCSTVTNDIVCRVALGKRYREGRGKKFQEMLLEFGELLGTVCIGNYIPWLDWLGKVNGFYRKAERVAKHLDEFIEEVIEDHISRRSDGDVGVKDNEDFVDVLLSVQKTNAIGFQIDRTAIKALILDIFAAGTDTTYTVLEWAMTELLRHQTVMHKLQDEVRTVVGNKTHVSEEDLANMNYLKAVIKETLRLHLPVPLLVPRRCMEDIKLDGYDIAAGTQVIVNAWAFARDPSSWDEPLEFKPERFVNSSIDFKGLDFELIPFGAGRRGCPGVLFAISVNELVLANLVCQFDWKLPDGVAREDLDMSETFGLTCHRKYPLLALATKYEKKMNK >CAK8577745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586282724:586284371:1 gene:gene-LATHSAT_LOCUS29823 transcript:rna-LATHSAT_LOCUS29823 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILQTSLSHANFYSLFFTIFPLLLSFFFIMKYRYYSTTKNSPPSPPRFPLLGNLHQLGLFPHCTLQTLSQKYGPLMLLYFGKVPVLVVSSSDAARKVMKTHDLVFCDRPQSKIFDILLYGSKDVGSCAYGEYWRQLRSLGVLHVLSNKRVQSYRCVREEETSRMMEYIKEYSSSASPPLNLSELCSTVTNDIVCRVALGKRYREGRGKKFQEMLLEFGELLGTVCIGNYIPWLDWLGKVNGFYRKAERVAKHLDEFIEEVIEDHISRRSDGDVGVKDNEDFVDVLLSVQKTNAIGFQIDRTAIKALILDIFAAGTDTTYTVLEWAMTELLRHQTVMHKLQDEVRTVVGNKTHVSEEDLANMNYLKAVIKETLRLHLPVPLLVPRRCMEDIKLDGYDIAAGTQVIVNAWAFARDPSSWDEPLEFKPERFVNSSIDFKGLDFELIPFGAGRRGCPGVLFAISVNELVLANLVCQFDWKLPDGVAREDLDMSETFGLTCHRKYPLLALATKYEKKMNK >CAK8561065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84488321:84489604:-1 gene:gene-LATHSAT_LOCUS14688 transcript:rna-LATHSAT_LOCUS14688 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYYFQTLVFFSLLCFIISFSHALSNGFSVELIHRDSLNSPLYQPTQNKYQHVVNAIQRSINHLSYINSLPNIPRSTVIPDLGEYIITYSLGTPPVKIYGIIDTGSDIVWLQCQPCKQCYKQATPVFDPSKSKSYKNLPCSSKQCKSVGFHSCNRNNSCEYSIDYVGGTVSEGDLAVETLTLDSTSGHSISFPNFVIGCGHKNTMTFPGRNSGVVGIGGGPISLLTQLGSSTGGKFSYCFAPSMSNSTSKLNFGDAAVVSGRGVVSTPIVKKSPPVFYFVTLNAFSVGNKRIGFSKPPVEGNFIIDSGTTLTILPSDIYKNVESTLIALVKLKRVKDPNKILSLCYSDKSNKYVFPKITAHFKGADVLLSSISTFIPVADGVVCLAFAPSQDGTGVFGNLAQQNLLVGYDLQRNTVSFKSTDCTKL >CAK8561813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:388264299:388265027:-1 gene:gene-LATHSAT_LOCUS15368 transcript:rna-LATHSAT_LOCUS15368 gene_biotype:protein_coding transcript_biotype:protein_coding MLISIPLFAFFSSLCLFTKQMEIEESGEENLMNVESNNIKIEYKQCMKNHASRIGGHAIDGCCEFLPAGTEGTVEFFRCAACDCHRNFHRKEIIVVTEEQASSTLNIPRFPQPTPISTVFQTSTGYFHVNGPPRGTMTYHALPSSVVHGRDHLSRENIEDSVCVVEPINGGGEGSSNSKKRFRTKFTHEQRKKMLDFAVKLGWKVPKKDENLVEEFCNEIGVKCQILKVWMHNNKYTLGKRN >CAK8564909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15256223:15257806:-1 gene:gene-LATHSAT_LOCUS18160 transcript:rna-LATHSAT_LOCUS18160 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVSRFSIPSWITPHFLSCSYTTKVVHVQDKINVLHTEFQPKGFDSTTFDVACNMFDEMSELTVQSATSIICGFSRRHSHEDAIYLFSRMFASTIRPNEFTFGTVLHSSTRLGNVVVGKQLHGCAIKTGLGSNVFVGSALVDLYVKLSTIEEAQMAFEDTRYPNVVSYTTLIGGYLKTEKFEDAFRVFHEMPERNVVSWNAMVGGCSKTGHNEEAVRFFIDMLREGFVPNESTFPCVISAASSIASLGIGRSFHACAIKFLGKLNEFVGNSLISFYAKCGSMEESLLVFDKIYKRNVVSWNAVICGYAHNGRGVEAISLFERMCSVGIKPNRVSLLGLLLACNHAGLVDEGYSYFNKARIESPDLLKPEHYACMVDMLARSGRFTEAQGFIHRMPFNPGIGFWKAILGGCQIHHNLELGELAARNILVLDPDDVSSYVMLSNAYSAAGRWSDVSRLRTEMKEKGMMRIPGSSWIEVSGKVHAFLKADENHNKNDEIYVLLSIFFVHLRENENSDWLNNYFDFSAV >CAK8542659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535351044:535352714:1 gene:gene-LATHSAT_LOCUS11479 transcript:rna-LATHSAT_LOCUS11479-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREELYSNLLRVYAQDSNFLKGTAIHAQFIKGYIPFTLFLQNHLLNMYIKIPDLTSGLQLFDEMPDRNVVSWSVVMAGCIRNGCASEALSLFTSMHREGLTKPNEFTFVSALQASSLTENETPAYQIYSLVVRSGLESNVFLLNAFLTALVRHGKLMEALRIFETSSIRDIVTWNTMIGGYLQFSCERIPVFWRYMICKGVRPDEFTFSSGLTGLAAVSCLEMGMQVHAHLVKTGYGDDICVGNSLVDMYIKNQKLEEGFKAFDEMPNKDVCSWTQMADGCLRWGEPRKALAVIAQMKRIGIKPNKFTLASALNACACLTSLEEGKQFHGLRIKLGSDVDVCVDNALLDMYAKCGCMDSARALFRLMDSRSVISWTTMIMACAQNGQSREALQIFDEMRETNVVPNYITYICVLYACSQGGFVDEGWKYFSSMNNDYGISPGEDHYICMVSILGRAGLIKEAKELILRMPFQPGVRVWQTLLSACQVHGDVETGKLAAEHAIKHDKNDPSSYVLLSNMLAESSNWDGVVSLRELMEIRNVKKTPGSSWIDIEKI >CAK8542658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:535351026:535352714:1 gene:gene-LATHSAT_LOCUS11479 transcript:rna-LATHSAT_LOCUS11479 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIKEMAKREELYSNLLRVYAQDSNFLKGTAIHAQFIKGYIPFTLFLQNHLLNMYIKIPDLTSGLQLFDEMPDRNVVSWSVVMAGCIRNGCASEALSLFTSMHREGLTKPNEFTFVSALQASSLTENETPAYQIYSLVVRSGLESNVFLLNAFLTALVRHGKLMEALRIFETSSIRDIVTWNTMIGGYLQFSCERIPVFWRYMICKGVRPDEFTFSSGLTGLAAVSCLEMGMQVHAHLVKTGYGDDICVGNSLVDMYIKNQKLEEGFKAFDEMPNKDVCSWTQMADGCLRWGEPRKALAVIAQMKRIGIKPNKFTLASALNACACLTSLEEGKQFHGLRIKLGSDVDVCVDNALLDMYAKCGCMDSARALFRLMDSRSVISWTTMIMACAQNGQSREALQIFDEMRETNVVPNYITYICVLYACSQGGFVDEGWKYFSSMNNDYGISPGEDHYICMVSILGRAGLIKEAKELILRMPFQPGVRVWQTLLSACQVHGDVETGKLAAEHAIKHDKNDPSSYVLLSNMLAESSNWDGVVSLRELMEIRNVKKTPGSSWIDIEKI >CAK8530403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19259154:19260237:1 gene:gene-LATHSAT_LOCUS270 transcript:rna-LATHSAT_LOCUS270 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLIKYEHHVARHIWFGEERGSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSGLASLQRTSLTKIGTNLVSAFAERWHLKTSSFHMSFGEITITLHDVSCLRHLPIRGVFWSPQDIGEELVVEWVVDYLGVTRRVAQQQVRECRGSYYKLEWLYDLFVKHRVASRWDYATRAYFLMLVGSTIFADKTFTLVEVRYLSLFIDLDGLPRYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLHCWIHEFFSNTWEKRREPDTS >CAK8570241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31339996:31341207:-1 gene:gene-LATHSAT_LOCUS23010 transcript:rna-LATHSAT_LOCUS23010-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAGIVTGRYILPYLVSRIILVIILFSALLIYTYRRRHESIYENIEDFLQSNTLIPIRYSYKEIKQMTKNFKLKLGEGGYGDVYRGNLISGPFVAIKMLKIKSKTSGQDFMSEVATLGRIYHSNVVRLIGFCVEGSKRALVYEYMPNGSLDKYIFNKEEVISLTCNQVYEISLGVARGISYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDKSIATLTAARGTIGYMAPELFYQNIGKISYKADVYSFGMLLIEMTSRRRNLNSHAEHSSQQYFPFWIYDQLVKNRKREMEDVIMEEFNDVLRKMFIIALWCIQLKPVDRPSMKKVVEMLEQDLENIEMPPKPLLYPHETIHEYADSDSKETESDTGSTSYVGSTSYVEEITTAPLLKYSA >CAK8570240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:31339996:31343607:-1 gene:gene-LATHSAT_LOCUS23010 transcript:rna-LATHSAT_LOCUS23010 gene_biotype:protein_coding transcript_biotype:protein_coding MISASCVIMFLFFFLFILPQLTYSNSNSQPCFSSSCGKITNISHPFRLKQDAEHCGNKSYELDCVNNVTVLSLYDGYYHVESINYKNYTIRVVDPNIQPTNCSSLPRFFLSQNNFTHFDSMNRRIPKENNSYAYDLTRWPDPDYYRYDISRPVIFMKCTRPPSKVVDEYYADTASCLDDQHTYAIVGDPPFSILEPQCRVMLITLTSFWSASFDTVIGNISYIDIHKALRYGFEISWMQASCPCDACLLNDTANEIQCIVFDCPFDSCGSWADKVRLMFDYVAGIYEGLRELTGMDKIVIYKSHINMYRAGIVTGRYILPYLVSRIILVIILFSALLIYTYRRRHESIYENIEDFLQSNTLIPIRYSYKEIKQMTKNFKLKLGEGGYGDVYRGNLISGPFVAIKMLKIKSKTSGQDFMSEVATLGRIYHSNVVRLIGFCVEGSKRALVYEYMPNGSLDKYIFNKEEVISLTCNQVYEISLGVARGISYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDKSIATLTAARGTIGYMAPELFYQNIGKISYKADVYSFGMLLIEMTSRRRNLNSHAEHSSQQYFPFWIYDQLVKNRKREMEDVIMEEFNDVLRKMFIIALWCIQLKPVDRPSMKKVVEMLEQDLENIEMPPKPLLYPHETIHEYADSDSKETESDTGSTSYVGSTSYVEEITTAPLLKYSA >CAK8534629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:750797837:750798382:-1 gene:gene-LATHSAT_LOCUS4160 transcript:rna-LATHSAT_LOCUS4160 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLVQLKNILRTLKHKRPKNISIIKQVYNIQYLTNNALRRDRTEMQQLLKLLDDNSYVSRYRMCEDEFTIRDIFWTHPNSIKLFNKFPTMFILDSTHKTNKYRLTLLEMVGVTLTEKTYSNGFAFLEYEKKDNFTWALEVCRALLKIQGEMPKAIVTDRDTTLMNSVAKLFHYSNELLY >CAK8575103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30471456:30474538:1 gene:gene-LATHSAT_LOCUS27392 transcript:rna-LATHSAT_LOCUS27392 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSFSPDQQQQQQLSPSDQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMRALLLPAANQFHLGHNFFNPQNLMEEIRNAPSTNMMMNQLPNPNDLMMNTMMRGGHHEEIPKPPPANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQPVKKANVRQDSEDVIMKDGFFTQANVGVSPY >CAK8578330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620843348:620843584:-1 gene:gene-LATHSAT_LOCUS30350 transcript:rna-LATHSAT_LOCUS30350-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKKTKKNDNARRLLISINVFGSAGPIRFVVKEEELVAAVIDTALKAYAREGRLPVLGGDIRTFALYCPLAGSDGNF >CAK8578329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:620843030:620843584:-1 gene:gene-LATHSAT_LOCUS30350 transcript:rna-LATHSAT_LOCUS30350 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKKTKKNDNARRLLISINVFGSAGPIRFVVKEEELVAAVIDTALKAYAREGRLPVLGGDIRTFALYCPLAGSDALRPWITIGSVGARNFMLCKKPQLEGSTPGNGGVNESEVVSRSRGGSWKAWFNKSLNLKISTH >CAK8534342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719136675:719136986:1 gene:gene-LATHSAT_LOCUS3893 transcript:rna-LATHSAT_LOCUS3893 gene_biotype:protein_coding transcript_biotype:protein_coding MENIHSQDLRERQRERRAQHARDRRQQMSVEQRQQELARRCSNYRQNKDKGKEVQTYNTSNMRTIMPFQDLTNVNLASRLFPMAHDSDAGPSNAHVSCIPSPS >CAK8564177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655074899:655075228:-1 gene:gene-LATHSAT_LOCUS17505 transcript:rna-LATHSAT_LOCUS17505 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLAAASRKRLGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8566544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434034544:434036561:1 gene:gene-LATHSAT_LOCUS19667 transcript:rna-LATHSAT_LOCUS19667-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNLDREDGLETVLEVPIPEEIFIHKSGTMKAWQNVKYFWMKPNAESKAVSMTAGFGGRNTEIQLLLGVVGAPLIPSPIINSSDNYQLITPSIKDQHIEVSMAKYIMKQYVAAVGGERALNSVDSMYAMGQVRMATSEFSAGEGGANSNNNHNKKMMKVKNVEVKGEMGGFVVWQKRPELWCLELVVSGNKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFSSAVCIGEKTVNKEDCFILKLDAESSVLRARSNSNVEIVRHTVWGYFSQRTGLLVQLEDSHLLKLKSSETDCIYWETNMESLIQDYRTVDGIQIAHAGKTKVTLFRFGEGPETRSRTRMEEVWQVEEVDFNIKGLSIDCFLPPSDLKREQEEETEQCGVVASTNAKLPYKIRSASFRISASKVAAVNLDDSCTTSESDEDL >CAK8566543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434034538:434036561:1 gene:gene-LATHSAT_LOCUS19667 transcript:rna-LATHSAT_LOCUS19667 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKLCPNLDREDGLETVLEVPIPEEIFIHKSGTMKAWQNVKYFWMKPNAESKAVSMTAGFGGRNTEIQLLLGVVGAPLIPSPIINSSDNYQLITPSIKDQHIEVSMAKYIMKQYVAAVGGERALNSVDSMYAMGQVRMATSEFSAGEGGANSNNNHNKKMMKVKNVEVKGEMGGFVVWQKRPELWCLELVVSGNKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFSSAVCIGEKTVNKEDCFILKLDAESSVLRARSNSNVEIVRHTVWGYFSQRTGLLVQLEDSHLLKLKSSETDCIYWETNMESLIQDYRTVDGIQIAHAGKTKVTLFRFGEGPETRSRTRMEEVWQVEEVDFNIKGLSIDCFLPPSDLKREQEEETEQCGVVASTNAKLPYKIRSASFRISASKVAAVNLDDSCTTSESDEDL >CAK8567453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:515252805:515253747:-1 gene:gene-LATHSAT_LOCUS20506 transcript:rna-LATHSAT_LOCUS20506 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKKEVFKSLETWAVQSPLPLIKPVEESSQPHDLLPDSSLPADEFIDQVKALKDRTAELPDQNLVVLVGGMITEEALPPYQIWVNELDGIEDETGPSLTPWAIWTRSWTAEENRHVDLLKTYLYLTGRADMHMIEKTIHYLIGAGVDLGTENQPYMGFVYTSFQERATYVTHGNIARMAKERGDSTLARIYGTIAVDEKRHENAYVKIIKKLLEVDPTETMIAIANIMRRKITFPTHLMQDEQDSVLFDHYSTVAQRTGVYTTNDYADILDFLIGR >CAK8564750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8141924:8145698:1 gene:gene-LATHSAT_LOCUS18022 transcript:rna-LATHSAT_LOCUS18022 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSESVEENELNHDENGTKGEDSKLGFEFDGNFGVKPDQEGVVVELGSQEPLNSKETQRKGVGLKKWKRIKRNIVRDHNSSADDSSKVLKRGLSGSGNANLSENMRGVKEKNEGSSNAFGNVVFSDGYAIRGSSTDSRYAVGSGFVVGTDSENSEDRSSKSSTAASEPKAKHEKNRGSTSRNMSSKNLVNSAPKVYQGKGRTESSMKPGGRVLIEKENSISSSMESDSRSNYKHGVFMVNSNGKHSGKANLYGGGNSGEAHTNEHGEETGYDNENGEEEDLLLENSAANLSWTGLEDKNVNNNQSTSIEDPLIESIRGLQAVQEALEEEVQKFRDIGTELVSPDDDSAISTSAGITTVDLGHSSAEEIKQAASSSLEFQISSLTQQVNLLESKLKELQGVLAVKDSRIVELETSFRSGNFPKEVSANTVGLSEEKYREVEYEVEELFMQKIEAEVEYLVITKVIQQNCKAVTDSQLTLLEEQEKLSKNQSQVLNKLVDAEGRASVLKNRAEELEKYCGDSLVIEESFVLQKRVCKVSFYLFLQFIMLILFFWFLVSDLSLNSELVVPT >CAK8572783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563375417:563378449:1 gene:gene-LATHSAT_LOCUS25310 transcript:rna-LATHSAT_LOCUS25310 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRYEYHEGYWNNSREMQLFTCKWLPISSSPKALVFLCHGYGMECSRFMKEIGEKLASVGYGVFGMDYEGHGHSAGVRCYITKFDNIVDDCSSFYKSICELQEYKGKAKFLYGESMGGAVSLLLHKKDPSFWDGAVFVAPMCKISEKLKPPPVVISILTGLEEVIPKWKIVPSRDVINSAFKDRTKREMIRKNKLIYQGKPRLKTALELLRASLSVETTLHEVTLPFLVLHGEEDKVTDPEISKALYDRASSVDKTMKLYPGMWHGLTSGETDENIELVLGEIISWLDKRANSKASSEFCLPIPAYKGDNSNEFQEGRTRALSSL >CAK8575794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:327277703:327278607:1 gene:gene-LATHSAT_LOCUS28029 transcript:rna-LATHSAT_LOCUS28029 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQINEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDTEKADKLKQSLPPGLNVQDL >CAK8578856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658949058:658951496:-1 gene:gene-LATHSAT_LOCUS30838 transcript:rna-LATHSAT_LOCUS30838 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDHFGDMYDVALKPRLLNTLISDYLPTVDHPFSNPSELSKVVALIKTHSLLSEDVTDSMESKQGKAWKSSVTSWVDRVLLLLSSHSPDKRWAGISLLGVTCEECSSDRFQESYTVWFQKLLTSLQSPEDSHLVKVAACASISDLLARLSGFPKFKKDGSASAVKVVQPVLKMLQDDNSEEVWEAAVHVICTLITSFPFSIQRHYDSVESAIAVKLVSGGCSHDMMKKLAHCLALLPKSKGDEESWSVMLQKILILINDQLNLKFEGLEEDYIRKEFNGLLILPGKQPPPPLGGYVSTEEARDNTTKRSKQSRASSVSTLMSSCCMLLTNAYQVKVNVPVRLLLVLVERILMVNGALLEMSLPFMTARQQENICSELPVLHMCSLELLTAIIKATGSQLLPHAASIVRLITKYFKTCQLPEIRIKVYSVAKILLISMGVGMALCLSKEVVNNAIADLSTLEKKNVSMSNGSNTDVSAAALQPASNRKRKHSSTNGSLQENEAGGVLGVQVPKKYRVAPISLRIAALEALEALITVAGALKSEQLRSKVDSLLIAIAMDSFREGSSNEEINVFQKKDPAATATDLQLAALRALLASFLSASRPPYLSQGLELFRRGKQQTGTKLAEFCAHAMLTLEVLIHPRALPLVDYVPPSNDTFRETQFSYRDEYVSRNSNTQFGFPQAESPASRENPLTDYLANGDDEMGRLWTENTKETKESSEVATPLPSSAGIQERSEMVPETATYADVEMRTVDNETTFKSDHPGESVPCPTSIPTVVDIHSGAATDIEPERIVSDSSTLDYASKHPPQHTKP >CAK8566199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390458030:390459541:1 gene:gene-LATHSAT_LOCUS19353 transcript:rna-LATHSAT_LOCUS19353 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDQDGLTRVSLNSGSHSVAHIQLSDQLSWANDFALKVRKPYTITKQREKWTDEEHKNFLEALKLYGRAWRRIEEYIGTKTAVQIRSHAQKFFSKVLRDKSGCITNTKESIKIPPPRPKRKPMHPYPRKRAETAGCKEISVPRKEVNFNSIKASDFDQENQSPKSVLLTNGCESLGSSDSDKPNGSLSPRSSISCVCASVSTPAEFETESEEEAGQDADSPPDEKPLMIPEILPNESFSAKESIAEESSLRSLKLFGTTLFVTDTCRLSFPTIEACKPIIHHDKHVSKGEQESDCLGNSSLCETTAISQLRVRVRRETCGKGFVPYKRCMSKKENQSSSATADER >CAK8534022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681784971:681785282:1 gene:gene-LATHSAT_LOCUS3598 transcript:rna-LATHSAT_LOCUS3598 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8561630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:330883025:330906427:-1 gene:gene-LATHSAT_LOCUS15205 transcript:rna-LATHSAT_LOCUS15205 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSTSLPQSLHLAMAALLGASFMAISAFYIHRRTVDHVLHRILEIRRAPPVSNTEEASSDEEENYDDDLSEYDREEMETVTDSRNYKGTLSRSVDENSMNLLRNYRVSSSMPDVVSATEWFRDHPKNRSSSHESLNSVPIGLPSLRMSSKHDSAQISSSYKRIGSVGRIKTPMSPGRNAFESAEDSDDDGTQLGDDNHIPFYPVTRDSSNSYGVNPTIPFRADDVNCAKNQIFGEVSKEAGAGADMNGGTKDSTAAHAAGNDLVFVNNVLSARSAALEPLNIEEEEVCKMMRECLDLRKTYVYKENVPWKAEPVETNSDPFHFEPVEATGHHFRMEDGVVRVFASRTDTEELFPVASATIFFTDMDYILKVMSIGNVRSACYHRLRFLEEKFRLHLLLNADREFVAQKSAPHRDFYNIRKVDTHIHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLLDLEASKYQMAEYRISVYGRKQSEWDQLASWFVNNALYSKNAVWLIQLPRLYNIYRSMGIVTSFQNILDNVFIPLFEATVDPNSHPQLHLFLMQVVGFDLVDDESKPERRPTKHMPTPSEWTNEFNPAYSYYLYYCYANLYTLNKLRESKGMTIIKLRPHCGEAGDSDHLAAAFLLCHNISHGINLRKTPVLQYLYYLAQVGLAMSPLSNNSLFLDYHRNPLPMFFQRGLNVSLSTDDPLQIHLTKEPLLEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHEAKLHWLGDKYFLRGSEGNDIHKTNVPSLRISFRYETWKDEMQYIYAGQATFPEDVDP >CAK8534838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:775076032:775079439:-1 gene:gene-LATHSAT_LOCUS4347 transcript:rna-LATHSAT_LOCUS4347-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKLPRVRRPKNLQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELTMKTDPQNSDYIVESGATRNFEPWRAEDEETDEVRKKREAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDEMLAALQRTAADKEKRIEEEDEALIKSIFSNSSEVSISRIRDEDFETEEELFQFSSGHDEASNNNPKRQKISEDDLPGKATDTLTKASLDDSGKEEKVSSSRGKPNPLVTISVIKKPKTTNVKSPAEPKEMEKKEDDTTNATTGLLSLFQSYGSDDD >CAK8534837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:775030354:775079439:-1 gene:gene-LATHSAT_LOCUS4347 transcript:rna-LATHSAT_LOCUS4347 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKLPRVRRPKNLQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELTMKTDPQNSDYIVESGATRNFEPWRAEDEETDEVRKKREAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDEMLAALQRTAADKEKRIEEEDEALIKSIFSNSSEVSISRIRDEDFETEEELFQFSSGHDEASNNNPKRQKISEDDLPGKATDTLTKASLDDSGKEEKVSSSRGKPNPLVTISVIKKPETTNVKSPAEPKEMEKKEDDTTNATTGLLSLFQSYGSDDD >CAK8533408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:614024191:614028592:-1 gene:gene-LATHSAT_LOCUS3033 transcript:rna-LATHSAT_LOCUS3033 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDAALSVVRFASELAWADAGPEVAEPQVSRLCMEAEEFIAMGKWLELASLMITSAELIFSKVSEKDVESIFTIICNLVTKTENPDEVMEIVKVITAKLVQQPNEKPAVRLKILINLYNLLETPYCQFYVYLKALNLAVDGKVTEYIIPSFKKTDSFLKEWKIEVPEQRELFLTISNVLKENKSMSKDSFKFLTSYLATFSGEDAHVLSEAKEEAVRAIIDFVRAPDVFQCDLLDMPAVGQLENDTKYALLYQLLKIFLTQRLDSYLEFHTANSTLLKDHGLLHEECIAKMRLMSLVDLSSDGSGQIPYELIRDTLQINDDEVEIWVFKAISAKLIDCKMDQMNQVVVVSHHTDRVFGQHQWQTLRTKLVNWRGNIANVISTIQANKVSEDGSQAAQGLVVR >CAK8570916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:169670038:169670385:-1 gene:gene-LATHSAT_LOCUS23625 transcript:rna-LATHSAT_LOCUS23625 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHVFLRIVEALGQHDEYFQMMVDVIGRTSSPLQKCIVVIRMLAYRTFANSVDDYLRIGETTTLKCVDKFTRWVISIFGAQHLRRPNTEDIECLMQIGEAWGFPGMLWSIDCMH >CAK8575188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:49485687:49488426:-1 gene:gene-LATHSAT_LOCUS27472 transcript:rna-LATHSAT_LOCUS27472 gene_biotype:protein_coding transcript_biotype:protein_coding METPDTVVVNRIEPGSKENGLRAEIDTSAPFESVKEAVTRFGGVGYWKPTNTVNLITPQQNTSELDAVKLEEQTAGLEKELILKERETLDVLKELESTKKLVENLKSKLHAEESETNLNIKMNLCDTKENEKEEEKENQVSHVPYHSTSPGLILKELKQAKLNLNRSTNDITDVRVSVESLNKKLEKERISLEKTRARLTQNSSKITCLEEELNQTRLKLQVVKEAEIECGSSDEPSDVTKELQRLSSEAERFKKMGEAAKSEVARAMSEIEQTKAMIKTSEIRLVAARKMKEAAKAAEAAAMAEIKALSYHDGDCMQKRDGVTLTFEKYNALTCKARGAEEQSKKRVLDAMSQVDEANLSKMDVLKRVEEATLEVKTSKVALEEALERVEAATRGKLVVEEALRNWRSEGQKRRSSMHNNNMKFKNPSHHQRHTRLFDVYGMNQVDDEAKESVLKPTLSIGQILSRKLMKPGEFEGRMIRESGSMKRKVSLGQMLGKQNYDPSCFEFDKQVEKENGEKQFSAKRKKFGFGRFSLHLTKQQKKKKPSLNLR >CAK8579537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706405444:706408475:1 gene:gene-LATHSAT_LOCUS31477 transcript:rna-LATHSAT_LOCUS31477-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLTLQLQLQRSLSFSHSLIPNLYHSFPSFTTRNFNPRKQPTIQSSSRNSPLETTNKNSLRKFSKKTTPSPSVSHSDKRVSLESKSLNRVIEKKKIENENVVEKKKKKKKIKDTPQVKLRVSLDLCSKRGDLNGALSFYDSAISEGVKLGQHQYTVLLYLCSSAAIGVLRPAKSGSGTRTLNAQVSSVNRNDDDDRFCSDSDDDNRVLDNSVSGSDSEKLDERNEAWVSDEVKNLALRRGFEVYENMCLNKVEMNEAALTSVARMAMAMCDGDMAFEMVKQMKILGLSPRLRSYGPALSTYCNKGEIDKAFEVENHMLEHGVYPEEPELEALLRGKTASKVGRQKWDKRLIMEAMKNNGGGWHGIGWLGKGRWQVLQTSVGKDGMCKCCGVQLATIDLDPVETENFAKSVASIAISKEKNSNFQTFQKWLDYYGPFEAVIDAANVGLSGQGKFMPSKINEVVNEMRLKLPSKKFPLIVLHHRRIRGDKGDAPINKSVVDRWNNAGALYATPTGSYDDWYWLYAAIKFKCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFEFSDNGLEFYMPPPCSVVIQESEEGHWHIPIEAELNDEAERRWLCISRAKLDVVSKDSSTTSKDEKPLQNGERTKSVTRNESANESQYRNLVNHKEVKETPRGLYKNIRS >CAK8579538.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706405444:706408475:1 gene:gene-LATHSAT_LOCUS31477 transcript:rna-LATHSAT_LOCUS31477 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLTLQLQLQRSLSFSHSLIPNLYHSFPSFTTRNFNPRKQPTIQSSSRNSPLETTNKNSLRKFSKKTTPSPSVSHSDKRVSLESKSLNRVIEKKKIENENVVEKKKKKKKIKDTPQVKLRVSLDLCSKRGDLNGALSFYDSAISEGVKLGQHQYTVLLYLCSSAAIGVLRPAKSGSGTRTLNAQVSSVNRNDDDDRFCSDSDDDNRVLDNSVSGSDSEKLDERNEAWVSDEVKNLALRRGFEVYENMCLNKVEMNEAALTSVARMAMAMCDGDMAFEMVKQMKILGLSPRLRSYGPALSTYCNKGEIDKAFEVENHMLEHGVYPEEPELEALLRVSIRAGKSDRVYYVLHKLRSSVRKVSNTTADLIVDWFKGKTASKVGRQKWDKRLIMEAMKNNGGGWHGIGWLGKGRWQVLQTSVGKDGMCKCCGVQLATIDLDPVETENFAKSVASIAISKEKNSNFQTFQKWLDYYGPFEAVIDAANVGLSGQGKFMPSKINEVVNEMRLKLPSKKFPLIVLHHRRIRGDKGDAPINKSVVDRWNNAGALYATPTGSYDDWYWLYAAIKFKCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFEFSDNGLEFYMPPPCSVVIQESEEGHWHIPIEAELNDEAERRWLCISRAKLDVVSKDSSTTSKDEKPLQNGERTKSVTRNESANESQYRNLVNHKEVKETPRGLYKNIRS >CAK8542466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518205618:518206769:1 gene:gene-LATHSAT_LOCUS11305 transcript:rna-LATHSAT_LOCUS11305 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPVLLEENETKSEAHLTSAAAFVEGGIQEACDDSCSICLESFSDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEGVERERNFRLNPSRNATIFHHPTLGDFELQHLPVGANDADLEERIIQHLAAAAAMGRARHIARREGQRNRSSAQGRPQYLVFSAHPNSPPMAPTSSSPSQRGDGEPTHATGEDTPQLTLMPPVQTDQVSASGSGSTAPATDNQGLSYNNRRSPSQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRYKESISKSTRGWKERWFSRNSPMSDLGTEVKREVNAGIASVSRMMERLETRENDRSDGNSAPSNLEDGPIPGSNDQHLTDNERDSLLRDNDIKTSCTAGSSSN >CAK8531790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151553991:151556916:-1 gene:gene-LATHSAT_LOCUS1556 transcript:rna-LATHSAT_LOCUS1556 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHQECEIRQNDKPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTEAERYESLRHCKWVDEVIPDVPWVITQEFIDKHQIDYVAHDSLPYADTSGAGKDVYEFVKAIGKFKETQRTEGISTSDIIMRIIKDYNQYVMRNLDRGYSRKDLGVSYVKEKRLRMNMGLKKLQERVKKQQETVGKKIGTVKKIAGMNRTEWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQEQLKAQQLRSLLYDEWDDDVDDEFYEDESVEYYSD >CAK8540489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9012218:9013401:-1 gene:gene-LATHSAT_LOCUS9491 transcript:rna-LATHSAT_LOCUS9491 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVVCCSIRITNTQNGCYYCWCFMVVVVCLMIRKTVSLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLLGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSFCRKNFGLCIGRFPIKV >CAK8561984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:421297068:421299762:-1 gene:gene-LATHSAT_LOCUS15523 transcript:rna-LATHSAT_LOCUS15523-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSLLLLFIYYLASPTLSSDPGATKVLLLCTNKTATSMPFRKIFISNFLSAMDSLTPLISKQRYAAVSNGAQNAAVYAYGECMRDLSQSDCNICIAQCKTQILSCLPFQKGTRGGRLLFDGCYIRYDEYSFFNESVTDQDTTVCGSNETRNNVYEGNALELVRNLSVLAPKNDGFSVGFVERENVTVYGLAQCWKFVTGSNCRRCLEDAVTRIGSSCRKKEDGRALNSGCYLRFSPHKFYNNSSTNDAVAGNRGHRKMAIILAASSAVLALLLVAATMAFFIRKSVMRKRRERKQFGTLLDTVNKSKLNVPYEILEKATNYFNDENKLGQGGSGSVYKGVMPDGKTVAVKRLSFNSTQWVDHFFNEVNLISGIDHKNLVKLLGCSITGPESLLVYEYVPNQSLYDYLSVRRICQPLSWEVRHKIILGIAEGLAYLHEESNVRIIHRDIKLSNILLEDDFTPKIADFGLARLFPEDNSHISTVVAGTLGYMAPEYVIRGKLTEKADVYSFGVLVIEIVSGKKNSSYVLNSSSILQTVWSLYGSNKLSDIVDPILEGNFPADEACQMLRIGLLCAQATAELRPLMSVVVKMINNNHEILQPTQPPFIYSSSSELSKSASQRRRNFLPQSNTQSSGDTMTESVVDPR >CAK8561983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:421296880:421299762:-1 gene:gene-LATHSAT_LOCUS15523 transcript:rna-LATHSAT_LOCUS15523 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSLLLLFIYYLASPTLSSDPGATKVLLLCTNKTATSMPFRKIFISNFLSAMDSLTPLISKQRYAAVSNGAQNAAVYAYGECMRDLSQSDCNICIAQCKTQILSCLPFQKGTRGGRLLFDGCYIRYDEYSFFNESVTDQDTTVCGSNETRNNVYEGNALELVRNLSVLAPKNDGFSVGFVERENVTVYGLAQCWKFVTGSNCRRCLEDAVTRIGSSCRKKEDGRALNSGCYLRFSPHKFYNNSSTNDAVAGNRGHRKMAIILAASSAVLALLLVAATMAFFIRKSVMRKRRERKQFGTLLDTVNKSKLNVPYEILEKATNYFNDENKLGQGGSGSVYKGVMPDGKTVAVKRLSFNSTQWVDHFFNEVNLISGIDHKNLVKLLGCSITGPESLLVYEYVPNQSLYDYLSVRRICQPLSWEVRHKIILGIAEGLAYLHEESNVRIIHRDIKLSNILLEDDFTPKIADFGLARLFPEDNSHISTVVAGTLGYMAPEYVIRGKLTEKADVYSFGVLVIEIVSGKKNSSYVLNSSSILQTVWSLYGSNKLSDIVDPILEGNFPADEACQMLRIGLLCAQATAELRPLMSVVVKMINNNHEILQPTQPPFIYSSSSELSKSASQRRRNFLPQSNTQSSGDTMTESVVDPSMELSLLKSPN >CAK8542774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:545389991:545391514:-1 gene:gene-LATHSAT_LOCUS11582 transcript:rna-LATHSAT_LOCUS11582 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGELVEFASGVKGIALNLENENVGIVVFGSDTSIKEGDLVKRTGSIVDVPTGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGCGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGCEAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDKIAQYERDILSTIKQELLQSLKGGLTGERKIEPDAFLKEKALSLI >CAK8561611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:320424698:320429170:-1 gene:gene-LATHSAT_LOCUS15188 transcript:rna-LATHSAT_LOCUS15188 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGLFAGSLNSNELVIIQEHNEPKTVKNLDGQVCEICGDSVGLTVDGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVVGDEDEEDVDDIEQEFKMEEEKYKLMHEEDINSRDDDDENTKYRELPGGAKLDRKEKTDEWKSQQGNLLIETDAVDPEKAMKDETRQPLSRKVAIPSGKLSPYRMMVVARLILLILFFEYRMFHPVPEAIELWFISVSCEIWLALSWMVDQIPKWFPIDRETYLDRLSVRFEPENKPNMLSPIDIFVTTVDPIKEPPLVTANTILSILALDYPARKISCYVSDDGASMLTFEALQETAEFAQKWVPFCKQYSVEPRAPEKYFSEKIDFLKDKVQTSYVKERRTMKREYEEFKVRINALVAKSMRVPSEGWSMKDETPWPGNNTKDHPSMIQILLGHNGGDNEGNELPSLVYISREKRPAFQHHTKAGAMNALLRVSAVLSNAPFVLNLDCNHYVNNSRVVREAMCFFMDIQLGNSIAFVQFPLRFDSLDKNDRYANKNTILFDITLRCLDGIQGPVYIGSGCIFRRKALNGFEPPKTTKRSQVVQVHSKQDENGEDASIIEATDEDKQLLQSDMNTEDKFGKSTLFMNSSLTEEGGVDPSSTQEALLKEAIHVMSCSYEDRTLWGYEVGMSYGSIAADTLTSLKMHTRGWRSVYCMPKRAAFRGTAPINLTERLNQVLRWAVGSLEILFSRHCPLWYGFKEGRLKVLQRIAYINSTVYPFSALPLIIYCIIPAVCLLTDKFITPSVGTFASLVFISLFISIFASAILELRWSGVTLEEWWRNQQFWVIGSVSAHLFAIAQRLMGRFLGKVNAHFSIVSKAPDDDGQFIELYTIRWTALLIPPTTVTIINLIGIVAGFTDAINSGEHEWGPLIGKLFFSSWVIAHLYPFLKGLMGRQNRTPTLIVIWSVLLASIFSLVWVRIDPFVLKTKGPDVKQCGISC >CAK8532009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:191000713:191000991:-1 gene:gene-LATHSAT_LOCUS1754 transcript:rna-LATHSAT_LOCUS1754 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWKSIALTKEEEEEEVVTVAIKEVSGEEIFQRTLAGKLWTDNKFNARVCMSTMVGVWKLKNLVETHELRTNIFLYCFATKRDLENVLRNV >CAK8570082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23297085:23299159:-1 gene:gene-LATHSAT_LOCUS22865 transcript:rna-LATHSAT_LOCUS22865 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIISCNKLYGIGHKFDFLRKKMEIKVKAATSSDSNVKKANLFATKKERVNLPTYDDVVGSKKHHISEFLSQPSGIAAVLNTKALQSFQSLDANTYRCELPKLRLLNFEASPFIDLRVTSTDEDCLVEMISCKFEGSEIIEEQNKHFSAFMINHMTWSDAESFLEVDVKLNLTLEIYTRPFTMMPISAVEGPGNIMMQALVDKLVPLLLEQMLQDYNKWVQKQFYCLNESSN >CAK8575667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:244107655:244108803:1 gene:gene-LATHSAT_LOCUS27910 transcript:rna-LATHSAT_LOCUS27910 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLNSEDSHKKKEGSRCCATNSSAESRKTSFNGSSSTSTSTYTYSLSTFTSTSDEAKAKGFSSPPTPLGWPILKATLSNSKRLSSDEKHKPNLELDHNKFTSIDLKIPDVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITSLCATAFGQLWRLEPLPSEKKEMWQRETEWLVSISDHIVELIPSSQTFPDGKKLEVMTCRPRTDIFINLPALRKLDNMLLEILESFTATEFWYVDQGIVAADADGSASFRKSIQRQEEKWWLPVPRVPPAGLSEKSRKKLNHSRESASQILKAATSIDSIALAEMEVPESYLETLPKNRRACLRDFIYRYITSDQFSSECLLDFLDISSEHITLKISSNVKLVLVRRVGVPQRSF >CAK8579089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:673511193:673520755:-1 gene:gene-LATHSAT_LOCUS31061 transcript:rna-LATHSAT_LOCUS31061 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSDIAGGRSAVGGTAGGILNSANAPNDAVDNFFKSRGYNGLFSQIELSFSASGLRDRDVLSKSDPILVLYAKGKNGALEELGRTEVVLNSLNPTWITKQTLIYHFEVVQVLVFHVYDVDTQFHNADVKILKLEEQQFLGEATCALSEIITKSDGSLTLDLLRQDSIRSGDSQKCGKLKVHAEECVGSKTTVEMILRCSDLEYRDLFSKSDPFLIVSKVVESGAHIPICKTEAIKNDHNPAWKPLFLNVQQVGSKENPLIIECYNYNSSGKHDLLGKVQTSLVELEKLYSGGQGENLFLSGGHDSQAKVLKSRLFVDKFSESIQYTFLDYLAGGFELNFMLAVDFTASNGNSRLPDSLHYIDPSGRPNSYQRAIIEVGEVLQFYDADKRFPTWGFGARPIDGPVSHCFNLNGSNSYCEVDGIQGIMMAYTSALLNVSLAGPTLFGPVINNAALIASQSVANGGRKYFILLIITDGVVTDLQETKDALVKASNLPLSILIVGVGGADFKEMEILDADKGERLESSSGRVASRDIVQFVPFRDVQSGEISVVQALLAELPTQFLAYMRSGNIQPNV >CAK8539336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:509638370:509639662:1 gene:gene-LATHSAT_LOCUS8442 transcript:rna-LATHSAT_LOCUS8442 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTLLKYWRGAGLLGLSPSSETTTTTTILSAVSHNDNNATSESEDDDNNDDEGPFFDLEFTAPDEEDHLSQQTNPNNEPHEEESDDGGGEFKITLSPSSNERNDANLSLSPSEDIQIVELKPSSFLLNNPSEPNSKPQFTASLLKSATKFRVFMSGLKKPKNDSVTTQKKQKPNRIKFKIEEVPIVSLFTRDNSSKGNINNNNNKSQMNQNQNQKVEYEALSPLEEKRFSKEVVMHKYLKMVKPLYIRVSRRYSHGEKEGKFGSASTDNKVEGEVPTEAETVAESEENNAKSTQNQSLKQGNIPAGLRVVYKHLGKSRSASSAVAASPPALVSSKRRDDSLLQQQDGIQGAILHCKRSFNASRECESSSQLSQELSRKSSDKCVSG >CAK8575653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:236465816:236466487:-1 gene:gene-LATHSAT_LOCUS27896 transcript:rna-LATHSAT_LOCUS27896 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRRSLLLLAVVSIGCLFASSVAKEEGTTKLGTVIGIDLGTTYLCVGVYKNDHVEIIANDQGNRITPSWFSFTDRERLIGEAVTNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKMKDGETKVFSPEEVSAMILTKMKETAEAFLGKTICDVVVTVPTYFNDAQRQATKDAGVIVGLNVARIINKQQQSLIILISIINSF >CAK8535357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842796586:842798015:-1 gene:gene-LATHSAT_LOCUS4823 transcript:rna-LATHSAT_LOCUS4823 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEIYMRQSNKRKRVCYSPLTQKSDGTFLDLLVERCEDEQFNSYALKWYMEKIEEVVRERFPHHKPKLKAHIELVNERLRTIYEIVYDMQNQSGFSWDDKNKMIRVDSDEVWKEYVKRNPHAMLYRNTPIPLFDKLARVYGKEPANPSANVEMIDKTKEEQNDLACGKEPTSTKLGANVEMIDEQEEEQNDCACGKDPANTSDHVEMIDKPEEEQNGPACDKEPSNASANVEMIDKPEEEQNGPACDKEPSNASANVEMIDKPEEEQNGPACDKEPASPSASIEMIDEQEEQNDAASGKELANPGANVEMIEKQEEEQNENGENEIETTLLTPRKHGCIEDPSKKRNGGENAITNSMFEFEKTIKGLLENHIEQLRAVVNCLGVDRDIYEDSRKVMNELTKMGLTEQQYFTAADRIFSVPHRLHIFWSCNDVNRLAYVKSLI >CAK8536504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947785964:947787034:1 gene:gene-LATHSAT_LOCUS5866 transcript:rna-LATHSAT_LOCUS5866 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTSILAFFIFLTSISHPSHSNTVPSYPTPTQSQICKLDLSNELFGGVNVACGNNLDRSRCCPVLAAWLFAAHARRALEISPPPSENAIDLPRMPDDSQKCVNSLQDSLRNRNIIIPTPNASCDAVLCFCGIRLHQISSLNCPTAFNVSDSNTTVGNLPGSHKATPTAAVRDLEKNCRNSSYAGCTNCLATLQKIKVHKKESKESDRERKMFNRDCQLMALTWLLGKNKTLYIPTVSAVLRAVMYSAHPHDIMCSPDQENMPLAVDSMQFDHTSSSEAQSRPLFWSMIVVMIGVVM >CAK8572457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:538207382:538207714:-1 gene:gene-LATHSAT_LOCUS25023 transcript:rna-LATHSAT_LOCUS25023 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKWVLILFLAVVNLTVARNSNYQTQSNEHMMSYQHQPTTDPEKPVSLNYDPPIRPNQQRPIQRKLRSARPKIAVPNIAVPKHNPIKSRIPGRHVRPPPSQAFTFQDNN >CAK8565458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:222040417:222040823:1 gene:gene-LATHSAT_LOCUS18675 transcript:rna-LATHSAT_LOCUS18675 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMQGRRELLQDLACDMVQVCRIEFVVIRRFFEQGSRNWLVVTVQFCWLVCKRFDETGLQTRSLECC >CAK8535000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:801580209:801582694:-1 gene:gene-LATHSAT_LOCUS4487 transcript:rna-LATHSAT_LOCUS4487 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTESSESNADAGNDFPLKSDDHESVPVEVQDHVKELHDAGTAGSLKEGEIQEEKTLGVCSKNVGELAENGLKEGEIPDEKTLGVSSENRTDLVEVTPESVVSDAKWVPNGGLSSKTSEWPSFNSNPYSYPYSPVGNEEAIVAVLHLQHNAIDVCQKFLAPEDGSDSDEDVVKDEDEDESEDELVENCDEESEHYKFFEKVFAEDAGLKKYYEDNSKKGDFYCLVCGGIKKKMWKRFKDCVALIQHSTTVLRTKRKRAHRAYAQVVCKVVGWDMNQISAIMLKDLDSSLAASKKLLAEPEKPVPVSGVDDQNSEPEKPAAVNGADDQSGKVVNSVDDN >CAK8575513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:147866975:147867820:-1 gene:gene-LATHSAT_LOCUS27770 transcript:rna-LATHSAT_LOCUS27770 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFWECLLKLFNFVLSLTGLAMVGYGIYLVVQFSKASDDDTPAISPVSDDSALIQLGRPMLMAVSLSNNIFDRLPKAWFIYLFIGVGVVLFVVSCFGCIGAMTGNGCCLICYSILVALLILVELGCAAFLFFDKSWKEVIPTDKSGDFDMIYGFLRKNWSIVRWVALGIVIFEALLFLLALTVRVVNKPADYDSDDELINPRQQARQPLLNRQAGPAAGVPVTGAIDQRPNRNDAWSSRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEEKSRCAIM >CAK8569480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2467392:2467853:1 gene:gene-LATHSAT_LOCUS22327 transcript:rna-LATHSAT_LOCUS22327 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELASGIRVNFHKSNLIGVNVDLFFFSLVDNFLHCKIEFLSFKYLGILVGGRVTLFKHVLNVIHVFLLSFLKKHVKVWKKFVRIQRIFLWRGVKGDSKISWVRWSDVCESKKADLVLLDK >CAK8559947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2268676:2278615:1 gene:gene-LATHSAT_LOCUS13677 transcript:rna-LATHSAT_LOCUS13677-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDQHPFTPLSPDVSRLSSELRSMATDLLTQHPPIHGESRLINEAESQPPHKIDENMWKNREYIEETIFLLEPSNCPQPLKQQSAPDNVEFSIMLRKLKDKLHNTLRTLESFQIKNAEHVFNTVMTYLPQDFRGTLLRQQRERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPEVLLDFTRQINDDDGPMEEQRHRYGPPLYSLTSMILYVRFFLSLSWARYGYKKLKREQFAVLEQAVDVYTMEFERFITFISGVFANSPFFIPADVAGAVEVSKIDDFKEESVPAGKTFEVILSVDSVNSYIAWDFSLVQGKINMDIGFSLEFTSPTGEKTLMLPHRRYESDQGNFCTLMAGSYKLIWDNTHSTFFRKVLRYKVDCIPPVTEPVQLD >CAK8559948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:2268676:2278615:1 gene:gene-LATHSAT_LOCUS13677 transcript:rna-LATHSAT_LOCUS13677 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDQHPFTPLSPDVSRLSSELRSMATDLLTQHPPIHGESRLINEAESQPPHKIDENMWKNREYIEETIFLLEPSNCPQPMQLKQQSAPDNVEFSIMLRKLKDKLHNTLRTLESFQIKNAEHVFNTVMTYLPQDFRGTLLRQQRERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPEVLLDFTRQINDDDGPMEEQRHRYGPPLYSLTSMILYVRFFLSLSWARYGYKKLKREQFAVLEQAVDVYTMEFERFITFISGVFANSPFFIPADVAGAVEVSKIDDFKEESVPAGKTFEVILSVDSVNSYIAWDFSLVQGKINMDIGFSLEFTSPTGEKTLMLPHRRYESDQGNFCTLMAGSYKLIWDNTHSTFFRKVLRYKVDCIPPVTEPVQLD >CAK8536475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:944894168:944894437:-1 gene:gene-LATHSAT_LOCUS5841 transcript:rna-LATHSAT_LOCUS5841 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWVATTVAVAQGHTDPGHKCKTAFGSICQNRTRPFSADSLSDLRPLAGVDVSDVPVSSEAEERLRQTDDSLRKVMYMNCWGQA >CAK8533323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602838261:602888493:1 gene:gene-LATHSAT_LOCUS2962 transcript:rna-LATHSAT_LOCUS2962-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKASYNAGQAKGQAEEKTSNMMDKASETAQSTKESMQETGQQMQAKAQGAVDAVKEAVSDK >CAK8533324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602887905:602888493:1 gene:gene-LATHSAT_LOCUS2962 transcript:rna-LATHSAT_LOCUS2962-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKASYNAGQAKGQAEEKTSNMMDKASETAQSTKESMQETGQQMQAKAQGAVDAVKEAVSDK >CAK8533322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602838261:602888493:1 gene:gene-LATHSAT_LOCUS2962 transcript:rna-LATHSAT_LOCUS2962 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKASYNAGQAKGQAEEKTSNMMDKASETAQSTKESMQETGQQMQAKAQGAVDAVKEAVSDK >CAK8562711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530873683:530876240:-1 gene:gene-LATHSAT_LOCUS16185 transcript:rna-LATHSAT_LOCUS16185 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPVGFSFSNTDTYAFKIHVNSDFFHFKDRMEKKLAQGVEEIIYRHPTLNEDDCTIFYIMTPIKNDDDVKAMFRCHTMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEEIRMQDIFGDSGDEDNEDEDIVVASTQPIRAQPLSLYNPPTHMQNIDIVDDDTTSVFGSAIQNHIGDEIEIGMEFENKEACVLALQHWHITHCLDYWVYQSDNERYVIHCKKQDCRFKCRASFRRRNSKWVIGKLSGSHTCTTTSMAQDHRKLSSEMVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSENSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNAEALNWIDNIPREKWARAFDRGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDGEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8566243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:394726440:394730107:1 gene:gene-LATHSAT_LOCUS19393 transcript:rna-LATHSAT_LOCUS19393 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHGLVLLLCYVWYVVYFGSCSGQLQSSQTQVLLQLQKHLEFPTQLEIWKDHRDEICFISSKQVKVTCKDNFVTELSVFGDKPNNGRDFDGFAIPNQTLTQTFSVDSFVATLARLTGLKVLHLVSLGIWGPLPDRIHRLFSLEYLDLSWNFLYGSIPPKISTMFNLQTLILSDNFFNGTVPNSFNSSNILEVLSLKHNKLKGPFPFSILSIKTLTNIDMSRNRLSGSLRDFTDLSSLEELDLRENELDSLLPEMPKGLITLFLNRNSFSGPIPKHYGQLNSLEQLDVSFNTLTGTTPSELFSLPKIRYLNLGSNILSGTLQNQMKCGQNLSFVDISNNRLVGALPSSLRNESENRVVKSDGNCLSGSLQHQHEVPYCNEAHGKMKSYRLGVFVGVIVGILVIIVVLGLCIVVTCKWLYSKGISEQHLLNKSVQDSYSAGFSSELIANARYVSEAAKLGREDLPPCRSYSLEELMNATNNFDNSTFLGENIYGKLYKGKLESGIPVVIRCIPLSKKYSIRNFKLRLDLLAKLRHAHLVSLLGHCTDGILGERNDSKVFLVYECVSNGNFQTYLSGDSSGKILNWSERLSVLISVAKAVHFLHTGMIPGFFKNRLKINNILLNENCMAKLSDYGLSIISEETDESGVKGESPNSWQMKKLEDDIYSFGFILLEALVGSSISAKREASLLSAMASFNNQDDWKQIVDPVVQATCCKESLSTVISITNKCTSTEPWSRPSIEDVLWNLQYATQVQTTADGDHRI >CAK8565206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:68403519:68403818:-1 gene:gene-LATHSAT_LOCUS18439 transcript:rna-LATHSAT_LOCUS18439 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPKKKKNKEVGEQARNETQLKREKFGIKYSRCHKDGCNKATCELPTTVVTLTLETPIQPQPAATSSQPTLDGASTQQPPKKKRKLQKGLKPVQSQP >CAK8578539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:636635666:636641320:1 gene:gene-LATHSAT_LOCUS30541 transcript:rna-LATHSAT_LOCUS30541 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSITELGVDDFVDAGLSPVEANQLKKLLLSLSLSSSDDPAHTWRRIVSRRILKPSYPHPLHQLLYYTTYSHYHSSSPPLYWFPSIEQAKRTNLGRFMEAHGSELLGASSYKDPITSFPLFHNFSVQHTEEYWSLVLKELSISFVQPPNCILDTSSDPLKHGGTWLPGSVLNIADCCLQPSSHPNKQDDSVAIVWRVEGFDDDSEVNRITLKQLRQQVMLVANAIDANFLKGDAIAIDMQMTVNAVIIYLAIVLAGCAVVSIADSFAPKEIATRLRVSNAKGIFTQDFISGGGRNIPLYSRVIEAAECNVIVLPVIGDDVAVQLREQDLSWKDFLSSGSQNSRSDDYSPVYQSIDTVTNILFSSGTTGDPKAIPWTQLAPIRSAADGWAAIDVQAGDVYSWPTNLGWVIGPTVLYHCFLTGATLALYHGSPQGRGFGKFVQDAGVTILGTVPSLVKSWKSTRCMEGLDWTKIKLFCSTGETSNVDDDLWLSSKSYYSPIIELCGGTELASSYIAGSPLQPQAFGAFSTASMTTSFVILDENGVPYPENVPCVGEVGLFPLSLGASDRLLNADHDEVYFKGMPVYKGKVLRRHGDIIKRMVGGYIIVQGRADDTMNLGGIKTSSVEIERVCDRADECILETAAVGVATANRGPEQLVIFVVLKEGYNSNAETLKMKFSKAIQINLNPLFKVSVVKIVPEFPRTSSNKILRRVMRDQMKHELSVQSRL >CAK8535674.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874128357:874129004:1 gene:gene-LATHSAT_LOCUS5107 transcript:rna-LATHSAT_LOCUS5107 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAAKAYDEAAILMSGRNAKTNFPINMENKTNSISSSSSSSSSSSSNAFSAVLSAKLRKCCKFPSPSLTCLRLDAENSNFGVWQKGAGPRSESNWIMMVELERKKSDSVEEKVKPEEDLSNKGLDDEQKVALQMIEELLNRS >CAK8566771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:461386485:461386763:-1 gene:gene-LATHSAT_LOCUS19880 transcript:rna-LATHSAT_LOCUS19880 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWNRILNSSTKELYVDFVIQFWKVCEKYSALLKYVESTILDQVKENIVYAWTDNVRHLGNTTTNRVESAHASLKNWLGNSKGVYVEIGTP >CAK8572003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501171407:501171698:1 gene:gene-LATHSAT_LOCUS24612 transcript:rna-LATHSAT_LOCUS24612 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHIDAPASITEPVGYPGGPCDTSLLVKYEHHIARHIWFGEERGSKKELKLAEHGLKLIQRVPL >CAK8561719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:364911003:364914211:1 gene:gene-LATHSAT_LOCUS15282 transcript:rna-LATHSAT_LOCUS15282-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLVATTIDPASINPANALLAFPGWQAGPHFQDDIKSFVNGGLRVLLHGKSIVVEDYLDERWEKVTGEVVDEVIFLSKHTAASNKPALTVHPIGVPHLREGDVPPQGGKPGWAALPNPRIGPWIRLLKNIAQAHNLVPEFEITLEATHHGPLTNKPTMFLEIGSTEDYWKRQDAAQVMAQLVWEGLGLGGGTDVGNWSRANDKKKVLFGIGGGHYVPRHMDVILKDDVWAGHLLSGYSVPMEDPKGETNVEIGGTWKQSIKAAYEATKSAFPGGEILAHLDQKSFKGWQKNAITGFLAEQNIKIGKPNNFY >CAK8561718.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:364910874:364914211:1 gene:gene-LATHSAT_LOCUS15282 transcript:rna-LATHSAT_LOCUS15282 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGIVRSCHPFFHLNRSSLRIPPSKSLSTLSSHSTHHHHQHPMVSLLVATTIDPASINPANALLAFPGWQAGPHFQDDIKSFVNGGLRVLLHGKSIVVEDYLDERWEKVTGEVVDEVIFLSKHTAASNKPALTVHPIGVPHLREGDVPPQGGKPGWAALPNPRIGPWIRLLKNIAQAHNLVPEFEITLEATHHGPLTNKPTMFLEIGSTEDYWKRQDAAQVMAQLVWEGLGLGGGTDVGNWSRANDKKKVLFGIGGGHYVPRHMDVILKDDVWAGHLLSGYSVPMEDPKGETNVEIGGTWKQSIKAAYEATKSAFPGGEILAHLDQKSFKGWQKNAITGFLAEQNIKIGKPNNFY >CAK8571152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:317199958:317207168:1 gene:gene-LATHSAT_LOCUS23842 transcript:rna-LATHSAT_LOCUS23842 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTSIFLFLYFCSSIYSAAAAADDLRLRLEAKKLVRDLNLFPEVNLNIVAAANSSSLDLSGKIVEKRLKFPNLVGDDDDDVSVEDFGHYAGYYPIQNSHAARMFYFFFESRNHKEDPVVIWLTGGPGCSSELALFYENGPFKINHNLSLVWNQYGWDKVSNLLYVDQPTGTGFSYSTDLRDIRHNEKGVSNDLYDFLQAFFVEHPQYAKNDFFITGESYAGHYIPALASRIRQGNQANEGIHINLKGLAIGNGLTNPAIQYKAYPDYALDMGIITQATHDLLGKVLVPACELAIKLCGTDGKVSCLTANVACNLIFSDIMLHARGVNYYDIRKKCEGSLCYDFSNMEKFLNQESVRDSLGVGKIRFVSCSTEVYMAMLVDWMRNLEVGIPLLLEDGINLLIYAGEYDLICNWLGNSRWVHAMKWSGQKEFVASPDVPFVVNGSQAGLLKSYGPLSFLKVHDAGHMVPMDQPKAALEMLERWTRGTLAESIAGEERLVADM >CAK8543375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603564414:603565640:1 gene:gene-LATHSAT_LOCUS12135 transcript:rna-LATHSAT_LOCUS12135 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFSGMSVGGMCKPLLFPVNDPGTVTMDHVEMDFSDVFGPLTVEVNSNDNHSFDSVDELSELVYDDPEVIFARSHSLVGPSNCVSQSLKLSKLTIHESDSDNSLELVESVTEETIEDIKEVINEESLNDEDRSLLEIQRVSIDDFEVLKVVGQGAFAKVYQVRKKGTSEIFAMKVMRKDKIMEKNHAEYMKAEREILTKIEHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIVSAVSHLHSKGIMHRDLKPENILMDVDGHVMLTDFGLAKQFEESTRSNSMCGTLEYMAPEIILGKGHDKAADWWSVGVLLFEMLTGKPPFCGGNREKIQQKIVKDKIKLPGYLSSEAHALLKGLLQKEAPKRLGCGAKGISEIKGHKWFKPIN >CAK8575627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:212005403:212008534:-1 gene:gene-LATHSAT_LOCUS27873 transcript:rna-LATHSAT_LOCUS27873-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDLSDTDYPYYMDGSDISDPLEMDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGLIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPRRDEYYMVATGNFLALTRGIQEEQIVPARYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8575626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:212005403:212008558:-1 gene:gene-LATHSAT_LOCUS27873 transcript:rna-LATHSAT_LOCUS27873 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGFNSMLFTRGMLFTRGLEYRCGLSKSIDSFGIDSFGPIDENNGGDPSSLTDMHNNIHSWKNNSENCSYSHGHADYSADVNNIHNHNLLFAKSLSVWDSHNNSYDIYYAYNDDSWTYNMNCCIWNYLRSQIWEDIDKSNASYRDMDFKSYICDRGQNTSESHSTNIIILRNTNARNQTADPDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDLSDTDYPYYMDGSDISDPLEMDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGLIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPRRDEYYMVATGNFLALTRGIQEEQIVPARYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8565830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:341248723:341249784:1 gene:gene-LATHSAT_LOCUS19011 transcript:rna-LATHSAT_LOCUS19011 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDQNDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFSVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDLTRWCQLGGLWTFVTLHGAFGLIGFMLRQFELARSIQLRPYNAIAFSGPIVVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVVGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAKETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >CAK8563819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632793100:632793648:-1 gene:gene-LATHSAT_LOCUS17190 transcript:rna-LATHSAT_LOCUS17190 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLKPLTLCLFLQAIFLITSIPTTYSRSTFPLKDEKLSLIENTCKKTPNYNICLQSLKQNSGTSVADISGLAQIMVKVMKAKANDGLSKIHQLQRVRNGGARKALSSCGDKYRAILVADVPQAIEALEKGDPKFAEDGANDAANEASYCESEFNGKSPLTKQNNDMHDVSAVTAAMVRQLL >CAK8539448.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512958201:512958754:-1 gene:gene-LATHSAT_LOCUS8540 transcript:rna-LATHSAT_LOCUS8540-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLVHAQTAPPCADQILPCMDYLNSTNPPDICCNPIQDMYHTSHETCFCQLATPGLLEGFGVQLDQAIQVVRACGVEFDVTSCKASSPALSPSLVKPPATPGSDEGGAYKITLTGLNFIILIWACVLFH >CAK8539447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512958201:512958799:-1 gene:gene-LATHSAT_LOCUS8540 transcript:rna-LATHSAT_LOCUS8540 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYRVMCIMVVISMMVISLVHAQTAPPCADQILPCMDYLNSTNPPDICCNPIQDMYHTSHETCFCQLATPGLLEGFGVQLDQAIQVVRACGVEFDVTSCKASSPALSPSLVKPPATPGSDEGGAYKITLTGLNFIILIWACVLFH >CAK8568499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611738322:611741985:-1 gene:gene-LATHSAT_LOCUS21449 transcript:rna-LATHSAT_LOCUS21449 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLVMKEIEDKALSLGIDLSTVDLDSIHLPPGEDYGIFSDDEEVYKEENLEFDTGFGNIIVVDNTPVVTKDKFAKLEGVIRKIFSQIGVIKDDGFWMPVDPATEKTVGYCFIEFNTPQEAELAKERGQGYKLDRSHIFTVSMFDDFDRFMKVPDEWAPPPKKDYAPGENLQQWLTDAKARDQFVIRASSDTEVLWNDARHLKPDPVYKRAFWTESFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVIINIFDVRTGKVMRDFKGSADDFAIGGTGGVTGVSWPVFKWSGGREDKYFARMGKNVLSVYEAETFSLVDKKSLKVENIMDFSWSPTDPIISLFVPETGGGNQPARVSLVSIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVNVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFVVIHGDNPKPDISIYSMRTAQNTGRVSKLTTLKGKQANALFWSPAGRFIVLAGLKGFNGLLEFYNVDELETMATTDHFMATDVEWDPTGRYVATAVTSVHEMENGFNIWSFNGKHLYRILKDHFFQFLWRPRPPSFLTPEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQEREKRRVLKEDWDKWVNEWKLMHEEERSERINLRDGEASDEEEEYEAKDIEVEEVVDVTKEILHFEYGQE >CAK8536196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916146784:916150227:-1 gene:gene-LATHSAT_LOCUS5588 transcript:rna-LATHSAT_LOCUS5588-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTTDVDVRVDEGGDSINAATTPASPSLSKLNSGSLPSPHLPEGAVIPRKIAGASVAWKDLTVTIKGKRKYSDKVIKSSTGYALPGTLTVIMGPAKSGKSTLLRAIAGRLHPSARMYGEVFVNGVKSQMPYGSYGYVDRETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGEHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFLDEPLYHLDSVSALLMMVTLRRLASTGCTLIITIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAASVETMVLKLTEKEGPALKSKGKASNATRVAVLTWRSLLVVSREWKYYWLHLILYVLLTLCIGTAFSGLGHSLSSVGGRVAAIFVFVSFCSLLSIARVPALLKEIQIYACEESNQHSSTFVFLLAQLLSSIPFLFLISITSSLVFYFLVGLEDQFSLLMYFVLNFFVTLLLNEGIMLVVATLWQEVFWSVLTLLCIHVVMMLSAGYFRIRSTLPGPMWMYPMSYIAFHTYSIQGLLENEYLGTSFAVGQVRSISGYQALQSAYNISPDANSKWKNLLVLFLMAIGYRIFVFILLFLFIGRKISLLKSFKCSNRDTTDTS >CAK8536197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:916146784:916150227:-1 gene:gene-LATHSAT_LOCUS5588 transcript:rna-LATHSAT_LOCUS5588 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTTDVDVRVDEGGDSINAATTPASPSLSKLNSGSLPSPHLPEGAVIPRKIAGASVAWKDLTVTIKGKRKYSDKVIKSSTGYALPGTLTVIMGPAKSGKSTLLRAIAGRLHPSARMYGEVFVNGVKSQMPYGSYGYVDRETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGEHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFLDEPLYHLDSVSALLMMVTLRRLASTGCTLIITIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAASVETMVLKLTEKEGPALKSKGKASNATRVAVLTWRSLLVVSREWKYYWLHLILYVLLTLCIGTAFSGLGHSLSSVGGRVAAIFVFVSFCSLLSIARVPALLKEIQIYACEESNQHSSTFVFLLAQLLSSIPFLFLISITSSLVFYFLVGLEDQFSLLMYFVLNFFVTLLLNEGIMLVVATLWQEVFWSVLTLLCIHVVMMLSAGYFRIRSTLPGPMWMYPMSYIAFHTYSIQGLLENEYLGTSFAVGQVRSISGYQALQRRKISLLKSFKCSNRDTTDTS >CAK8575795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:327365068:327366093:-1 gene:gene-LATHSAT_LOCUS28030 transcript:rna-LATHSAT_LOCUS28030 gene_biotype:protein_coding transcript_biotype:protein_coding MQESFMIKSSLIETGGGVLVDLVVPENGRDSKVLEAKPLPNVKLTKVDFEWVHVIGEGWASPLKGFMRENEYLQSLHFNSFRLNDGSFVNMSLPIVLSIDDETKWRIGSSYNVGLVGPDGDCVAILRSIGIYKHNKEERIARTWGTTAPGLPYVKEVIASSGNFLIGGDLEVFKPIKYNDGLDNYRLSPKKLHGEFDRRKVDAVFAFQLRNLVHNSHALLMNDTRQHLLDMGYKNLILLIHPLGGFTKAGDVPLDFRMEQHSKVLEDGVLDPETTIVVIFPSPMHYARPTEVQWHTKVWINVGANFYIVGRDPAGMSRPTEKRDLYDPDHGKKVLSMAPGL >CAK8543952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:651025892:651026434:-1 gene:gene-LATHSAT_LOCUS12672 transcript:rna-LATHSAT_LOCUS12672 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTYLSLLLIIPTILISHAISQTTNPNLYEAICAGGVAEKHQQERCLKLLESNPQITSAKDYLTLSKAYLEMAIEKATKGQEYLKSLINKYPSSQALNTCATKNYDDLIYGFQLATSVVAGDPDGAHDDVSNGSEGPRTCDQSLANENIVHDPSVSALNDDMVFLCYIGGEAIDAISH >CAK8566601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:440306878:440309810:1 gene:gene-LATHSAT_LOCUS19721 transcript:rna-LATHSAT_LOCUS19721 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGARGDIENGFPGFMPERRALRVHAARPSNSNSLTFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATMLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIALASRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTAPSMTEEEINALPVHKYKVSVPQKSSSSMQQASPSTAAEKTQDNSNAVGNTKASDDDLTCSVCLEQVNVGDVLRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGFGWNDGGHNDIADMV >CAK8573338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604415289:604418765:1 gene:gene-LATHSAT_LOCUS25799 transcript:rna-LATHSAT_LOCUS25799 gene_biotype:protein_coding transcript_biotype:protein_coding MMESETGTPTAASVPPTPETPGGPLFSSVRIDSLERESFAMGRCNMCMPGAKSNGCIGVRIPSISLTQKIGAEFVGTFILIYAATAGPIVNNKYNGAETLMGNAACAGLTVMAIILSIGHISGAHLNPSLTIAFAAFRHFPWAHVPAYIAAQVSASICACYALKVVYHPFLSGGVTVPTVSIGQAFATEFIITFILLFVVTAVATDTRAVGELAGIAVGATVVVNILISGPTSNGSMNPVRTLGPAVAAGNYKHIWIYLVAPTLGALAGSGVYTLVKLRDNEGETVRPVRSFRR >CAK8574977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19279273:19280703:1 gene:gene-LATHSAT_LOCUS27271 transcript:rna-LATHSAT_LOCUS27271 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVATMSRRPKSMPEVETLGILAFDAAKTMCRLISLFNSLSDEEINKLHNDVIKSKGVTYLNSSQENFLLTLAAAERLEELDNTAVTVSRLGQKCSDLGLARFDLVYADLKHGVIDLRKLQYNSRNTYKIIEKTEKLISASASLHSAMEYMVELETAEKKRQQNGIKQGQKPNMEYFNEKLVSQRKQVQNFKETSLWKQTFDKTVGLMARLVCIVYARICSVFGAYIYKDHHGKKNFVSSGFGFDDCCLLEHRKTRGVSEWYEESLQRRMSRSGPIPKEATSLNILGVIRFLNSPMPMDFACSGGDGIEKTMKGSRNDKVLKLAPSSTVGGVGLSLRYANVILLAERYLHAPVTIGDDAREALYDMLPGRLRVKVRAKLKGRLAKEGEKESLGHSLAEGWREALEEMMEWLSPVAYDTLRWRAERYLEKTKFETKPTAMLLQTLHYSDLEKAEAAIVEVLVGLSCICWYERRMQ >CAK8574541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1883573:1885453:-1 gene:gene-LATHSAT_LOCUS26879 transcript:rna-LATHSAT_LOCUS26879 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKPVYVKQCMGVNGLEKIILKEVRGFSAEVYLYGGQVTSWKNERGEELLFVSNKANFNPPRSIRGGIPICFPQFSNLGSLEHHGFARNKLWTLDPNPPPFPTNSSSRAFIDLILKNSEDDSKIWPHSYEFRLRIGLGPTGDLMMTSRIRNTNKDGKSFTFTFAYHTYLYVTDISEVRIEGLETLDYLDNLKNRQRFTEQGDAITFESEVDKVYVSTPTKIAIIDHERKRTFEVRKDGLPDAVVWNPWDKKAKSISDLGDDEYKHMLCVQSACVEKAITLKPGEEWKGRQEISAVPSSYCSGQLDPRKVLFQY >CAK8566132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:383414329:383421876:1 gene:gene-LATHSAT_LOCUS19293 transcript:rna-LATHSAT_LOCUS19293 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSEGHKHQVVFILWFCCYLVVIAAQNNITDPTEVEALKAIKERLIDPNGNLSNWNRGDPCMSHWTGVLCFNETLVDGYLHVQELQLMNLSLSGNLAPEIGSLAYMERLNFMWNKITGSIPKEIGNIKSLVLLLLNGNLLTGSLPDELGFLPNLDRIQIDQNNISGSLPTSFANLNKTKHFHMNNNSISGQIPPELSRLPKLVHFLLDNNNLSGHLPPELSKLPNLLILQLDNNNFEGNSIPDTYSDMSKLLKLSLKNCNLHGPIPDLSRIPHLLYLDLSSNQLNESIPSDLSQNITTIDLSYNVLTGTIPSSFSNLPNLQKLSLANNSLIGSVPSTIWQNKTLNGTERFILELENNRFTTVSGSIDLPPNVTVLLRGNPLCSNNSLDQLCSSEGVNNADGLIPTNSNGLCPAQSCPPPYEYSLDCFCAAPLLVDYRLKSPGFSDFLPFINEFEKYLTTGLTINMTQLNFTFRWEAGPRLRMNLKFFPVYLGQNSSHTFNETEVARIKSMFTGWNIPDSDLFGPYELINFNMGIYQNVTVTSSKSGISTGAIVGIVLGAIACAVTLSAIVTLLILRTKLKDYRAVSKRRHVSKISIKIDGVRAFTYGELSSATNNFNSSAQVGQGGYGKVYKGIISDGTVVAIKRAQEGSLQGEKEFLTEISLLSRLHHRNLVSLVGYCDEEGEQMLVYEYMPNGTLRDHLSVSSKEPLTFITRLKIALGSAKGLMYLHNEADPPIFHRDVKASNILLDSKLSAKVADFGLSRLAPVPDMEGIVPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNLSYQAGVIFSIIDERMGSYPSEHVEKFLTLALKCCNDEPDNRPKMAEVVRELENIWNVMPESDTRRGESITSVSDSSKAMSTPSSSSAAIRTSFVSGDVSGSDLVSGVIPSIKPR >CAK8560693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36099194:36099430:-1 gene:gene-LATHSAT_LOCUS14349 transcript:rna-LATHSAT_LOCUS14349 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGRGRPKKTVSPPPKQIITQTTQPECSQDNDETNAKQQSQDMEAQLGTKEQSVTGDKGKTLEPTDSTYAQEPKE >CAK8531382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106378756:106382815:-1 gene:gene-LATHSAT_LOCUS1178 transcript:rna-LATHSAT_LOCUS1178 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLFVFLASFSFILSSLTLSFANTDPSDVQALEVMYNALNNPTELTGWKIGGGDPCGDSWKGVTCDGSSVDSIDLSGLGLHGTLGYLLSDLMSLKKLDLSDNKIHDTIPYQLPPNLTSLNLAGNNLTGNLPYSFSAMVSLTYLNLSHNALSPSIGDFFANHSRLDTVDLSFNNISGDLPPSFESLINLSSLFLQNNQLTGSLTVLAGLPLDTLNVANNNFSGWIPHELKSINNFIYDGNSFDDGPAPPSPPSPESTSPPPSEPRKRNHHSGSGSQTKTHGSNSDDHKGASVGAIVGIVLGSVLVCSIVLVALVFCIRKLKGKEKGSRTSNGSLLPGIVNVTPQMQEQRVKSASVITDLKPRPPSETVTTDRMPVKSGSVRQMRSPITSTSYTVASLQSATNSFSQEFIIGEGSLGRVYRAEFPNGKIMAVKKIDNAALSLQEEDNFLEAISNMSRLRHPNVVTLAGYCAEHGQRLLIYEHIGNGNLHDMLHFTEDSSKALPWNARVRIALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRARAEQSLVRWATPQLHDIDALSKMVDSCLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSDESGFGYKTPDHEGIDISF >CAK8574545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1894543:1900498:-1 gene:gene-LATHSAT_LOCUS26880 transcript:rna-LATHSAT_LOCUS26880 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDKDRDKEKETEKKKYPIGAEHYLLHEEIGQGVSASVHRAICVPFSEIVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMAGGSCLHILKAAHPEGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNVLIDSRGAIKLGDFGVSACLFDSGDRQRSRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKYPPLKVLLMTLQNAPPGLDYESDRKFSKSFKQMIACCLVKDPSKRPSANRLLKHSFFKQARSNDYISRTLLEGLPALGARMEILKRKEEDMLAQKKMPDGQMEELSQSEYKRGISGWNFNLEDMKTQASLINDFDDAISDINHVASSSSLSTLDGQDKQQQTRQTADLEEIDGMHNQSASVPVVDSTVNDDKTKIEKCDDDSSITSSSHEPQTSSGRDDHVDHSLGEKCDAENGGSMAVHSHHRRASSSILPEVTIPPIRAECEKLPTLPVSNANSNSVHQNGEDVLTELPSKASKSSVNSDETDDKAKVPVVQQRGRFKVTSENVDPEKVTPSPVLQKSHSMQFIGQHNAAPLHSPLPLLSTVSDATSSNISVCSLFPVLHSVLQTNIFQRETILTLMRQITAGESAADSTTTPAQIATMEKSLLESAHEREKELLHEITDLQWRLICTQEELQKLKTDNAQV >CAK8574542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1894543:1900498:-1 gene:gene-LATHSAT_LOCUS26880 transcript:rna-LATHSAT_LOCUS26880-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDKDRDKEKETEKKKYPIGAEHYLLHEEIGQGVSASVHRAICVPFSEIVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMAGGSCLHILKAAHPEGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNVLIDSRGAIKLGDFGVSACLFDSGDRQRSRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKYPPLKVLLMTLQNAPPGLDYESDRKFSKSFKQMIACCLVKDPSKRPSANRLLKHSFFKQARSNDYISRTLLEGLPALGARMEILKRKEEDMLAQKKMPDGQMEELSQSEYKRGISGWNFNLEDMKTQASLINDFDDAISDINHVASSSSLSTLDGQDKQQQTRQTADLEEIDGMHNQSASVPVVDSTVNDDKTKIEKCDDDSSITSSSHEPQTSSGRDDHVDHSLGEKCDAENGGSMAVHSHHRRASSSILPEVTIPPIRAECEKLPTLPVSNANSNSVHQNGEDVLTELPSKASKSSAVNSDETDDKAKVPVVQQRGRFKVTSENVDPEKVTPSPVLQKSHSMQFIGQHNAAPLHSPLPLLSTVSDATSSNISVCSLFPVLHSVLQTNIFQRETILTLMRQITAGESAADSTTTPAQIATMEKSLLESAHEREKELLHEITDLQWRLICTQEELQKLKTDNAQV >CAK8574544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1894543:1900498:-1 gene:gene-LATHSAT_LOCUS26880 transcript:rna-LATHSAT_LOCUS26880-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDKDRDKEKETEKKKYPIGAEHYLLHEEIGQGVSASVHRAICVPFSEIVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMAGGSCLHILKAAHPEGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNVLIDSRGAIKLGDFGVSACLFDSGDRQRSRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKYPPLKVLLMTLQNAPPGLDYESDRKFSKSFKQMIACCLVKDPSKRPSANRLLKHSFFKQARSNDYISRTLLEGLPALGARMEILKRKEEDMLAQKKMPDGQMEELSQSEYKRGISGWNFNLEDMKTQASLINDFDDAISDINHVASSSSLSTLDGQDKQQQTRQTADLEEIDGMHNQSASVPVVDSTVNDDKTKIEKCDDDSSITSSSHEPQTSSGRDDHVDHSLGEKCDAENGGSMAVHSHHRRASSSILPEVTIPPIRAECEKLPTLPVSNANSNSVHQNGEDVLTELPSKASKSSVNSDETDDKAKVPVVQQRGRFKVTSENVDPEKVTPSPVLQKSHSMQVGCLEFIGQHNAAPLHSPLPLLSTVSDATSSNISVCSLFPVLHSVLQTNIFQRETILTLMRQITAGESAADSTTTPAQIATMEKSLLESAHEREKELLHEITDLQWRLICTQEELQKLKTDNAQV >CAK8574543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1894543:1900498:-1 gene:gene-LATHSAT_LOCUS26880 transcript:rna-LATHSAT_LOCUS26880-4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDKDRDKEKETEKKKYPIGAEHYLLHEEIGQGVSASVHRAICVPFSEIVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMAGGSCLHILKAAHPEGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNVLIDSRGAIKLGDFGVSACLFDSGDRQRSRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKYPPLKVLLMTLQNAPPGLDYESDRKFSKSFKQMIACCLVKDPSKRPSANRLLKHSFFKQARSNDYISRTLLEGLPALGARMEILKRKEEDMLAQKKMPDGQMEELSQSEYKRGISGWNFNLEDMKTQASLINDFDDAISDINHVASSSSLSTLDGQDKQQQTRQTADLEEIDGMHNQSASVPVVDSTVNDDKTKIEKCDDDSSITSSSHEPQTSSGRDDHVDHSLGEKCDAENGGSMAVHSHHRRASSSILPEVTIPPIRAECNINDSEKLPTLPVSNANSNSVHQNGEDVLTELPSKASKSSVNSDETDDKAKVPVVQQRGRFKVTSENVDPEKVTPSPVLQKSHSMQFIGQHNAAPLHSPLPLLSTVSDATSSNISVCSLFPVLHSVLQTNIFQRETILTLMRQITAGESAADSTTTPAQIATMEKSLLESAHEREKELLHEITDLQWRLICTQEELQKLKTDNAQV >CAK8565115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:39779273:39779476:1 gene:gene-LATHSAT_LOCUS18352 transcript:rna-LATHSAT_LOCUS18352 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSATTIQIFSFLFVVVLAAVASGQDLSPSLAPAPGPDAGAAGSVTNSVAMIGASIVLSILAIFKN >CAK8541046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47903393:47904382:1 gene:gene-LATHSAT_LOCUS9998 transcript:rna-LATHSAT_LOCUS9998 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPEEPLLAPNPDRFCMFPIQYPKIWEMYKKAEASFWTAEEVDLSQDNQHWISLTDGERHFVSHVLAFFAASDGIVLENLAGRFMKEVQISEARAFYGFQIAIENIHSEMYSLLLEAYIKDSAEKNRLFHAIETIPCIAKKAEWAMRWIDSSDTFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLKKKLSEERVQTIVRDAVEIEREFVCDALPCALVGMNGDLMSTYIEYVADRLLGELGCGKIYNVTNPFDWMDLISLQGKTNFFEKRVGEYQKASVMNSLTANGADHVFKLDEDF >CAK8560827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47869073:47871534:1 gene:gene-LATHSAT_LOCUS14472 transcript:rna-LATHSAT_LOCUS14472 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNNQLKPTTITNLTSQFTPKCPYQLTLLPFLPSSKPFKLQTLTCSSSPPPSPIKTLISILRTIPDWADQTQERGMQKNRTLYNHTDWVQHRSSLRHVRHFFSSLSSRVILSLVPPVLFFTSFAAVVATYNSAVYFQLLPEFFPVFRASSLPYQLTAPALALLLVFRTEASYSRFVEGKKAWTKVIATAHDFARLVMSVVDTGTQSEYSLKNGLLNYIIAFPLVLKCYVLYGSDIESDLQHLLEVDDIALIMKSNHRPRCVIEFISQSIRLLKLEDSRRNILESKITCFHEGIGLCEQLKGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEDPFPMLALNDLCQKAQNDIHEAIATESMIHAHLAAKQNHHSKEHSPNGRPNS >CAK8572060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:506114480:506115022:-1 gene:gene-LATHSAT_LOCUS24666 transcript:rna-LATHSAT_LOCUS24666 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKVQLAWNVVIAAENLKPGSLMLQRAILIRLLSDFAAKKATKDLGYYLAVTTLDKIGEGKVRQHTGDVLFPVVFNAVTFKMFKGEVLEGTVHKVLKHGVFMRIGPIENAYLSSSKMPGYEYVLGENPYFMNQKMPKIAKDVKVRVVVIGTKWMEAEREFQALVGLEGDYLGPISHSDM >CAK8576345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:464697349:464698548:-1 gene:gene-LATHSAT_LOCUS28540 transcript:rna-LATHSAT_LOCUS28540 gene_biotype:protein_coding transcript_biotype:protein_coding MIEETIMKAELSGVKVISLGLLNQKQEFSAHCAHYIERVPQLKIKIVDGSSLVAATVLNTIPKGTNHVLLRGRFNKVALAITNALCTQNVQVTVLYKDELNELERLLTMSNGSFSLSPINNAPKIWLVGEEWNEDEQMQASEGSFFIPFSHFPPKQMRKDCFYNYTPAMIAPTTLINLHSCENWLPRRRMSAWRIAGIVHALERWNVHECGYTMFDIKKVWEATIRHGFKPLKIPH >CAK8567144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490689966:490692944:1 gene:gene-LATHSAT_LOCUS20221 transcript:rna-LATHSAT_LOCUS20221 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSTSSMLKTLERYQKCNYGAPEGNVTSKEALVLELSSQQEYLKLKARYESLQRSQRNLMGEDLGPLSSKDLETLERQLDSSLKQIRSTRTQFMLDQLGDLQRKEHLLCEANRALRQRMEGYQINSLQLNLSAEDMGYGRHHQGHTQGDELFQVQQIECEPTLQIGYHQGDPGSVVTAGPSMNNYMGGWLP >CAK8579050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670353193:670356709:-1 gene:gene-LATHSAT_LOCUS31024 transcript:rna-LATHSAT_LOCUS31024-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTLLTLPHQQRININFPSKNHTAVTDKPLPNGDIYTGALLGNKPHGTGKYLWSDGCMYEGQWRKGKASGKGRFSWPSGATYEGEFKSGRMEGFGSFIGVDGDVYRGTWFADRKNGFGEKRYGNGDVYEGWWRCNLQDGEGRYVWKNGNEYVGEWKNGAIWGKGVLVWANGNRYEGFWENGVPKGNGVFTWCGESFGNEEKQCEMMMARKRSSVDGSKGVGFPRICIWELDGEAGDITCEIVDNVEASVFYKDGSESENSGESCGGVLDKSPCWSLDGDVKKPGQIVSKGHKSYDLMISLQLGIRYTVGKYAPVVRELRVGDFDPKEKFWTRLPLEGSKFAPQHQSMDFRWKDYCPMVFRHLRELFAIDPVDYTLAICGSDSLREMSSPGKSGSIFYLTQDDRFIIKTVKKSEVKVLTRMLPSYYQHVCKYKNTLVTAFLGAHCIKPVGGQKIRFIVMGNVFCSEYRIHKRFDLKGSSHGRITDKPREEIDETTTLKDLDLNFVFRLEQSWFQELKWQLDRDCEFLEAEGIMDYSLLIGLHFRDDHSVDETESSPRDMPSAGKRDMHDDDTHIPRGPLIRLGMNMPARAARMCSAKSNNSTPSKSSNEISDVILYFGIIDILQDYDISKRIEHAYKSLQVDPTSISAVDPKLYSKRFRDFIHRIFVEDT >CAK8579051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:670353193:670356709:-1 gene:gene-LATHSAT_LOCUS31024 transcript:rna-LATHSAT_LOCUS31024 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTLLTLPHQQRININFPSKNHTAVTDKPLPNGDIYTGALLGNKPHGTGKYLWSDGCMYEGQWRKGKASGKGRFSWPSGATYEGEFKSGRMEGFGSFIGVDGDVYRGTWFADRKNGFGEKRYGNGDVYEGWWRCNLQDGEGRYVWKNGNEYVGEWKNGAIWGKGVLVWANGNRYEGFWENGVPKGNGVFTWCGESFGNEEKQCEMMMARKRSSVDGSKGVGFPRICIWELDGEAGDITCEIVDNVEASVFYKDGSESENSGESCGGVLDKSPCWSLDGDVKKPGQIVSKGHKSYDLMISLQLGIRYTVGKYAPVVRELRVGDFDPKEKFWTRLPLEGSKFAPQHQSMDFRWKDYCPMVFRHLRELFAIDPVDYTLAICGSDSLREMSSPGKSGSIFYLTQDDRFIIKTVKKSEVKVLTRMLPSYYQHVCKYKNTLVTAFLGAHCIKPVGGQKIRFIVMGNVFCSEYRIHKRFDLKGSSHGRITDKPREEIDETTTLKDLDLNFVFRLEQSWFQELKWQLDRDCEFLEAEGIMDYSLLIGLHFRDDHSVDETESSPRDMPSGKRDMHDDDTHIPRGPLIRLGMNMPARAARMCSAKSNNSTPSKSSNEISDVILYFGIIDILQDYDISKRIEHAYKSLQVDPTSISAVDPKLYSKRFRDFIHRIFVEDT >CAK8561740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:371174075:371174680:1 gene:gene-LATHSAT_LOCUS15301 transcript:rna-LATHSAT_LOCUS15301 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEASLTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRVKQHLAGVVGNVEICKSVPTEIRFRINQHLNERSKKRKTPDVAESESFSAEGGELQMQMHPRIGASKKNDARIGTYFLPRTTPGAQPTLKSVMQSKEVVEKCDLAIAKWFIVASIPFNAANSPYFQLAVDALCCMGAG >CAK8539401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511926933:511931862:1 gene:gene-LATHSAT_LOCUS8501 transcript:rna-LATHSAT_LOCUS8501 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSLACFRNEGWHNLSARSHYPSMPSYPKGEPGTSATAVAAVTEASNVTGLFSVHGMTCSACAGSVEKSIKRLHGIQEAVVDVLNNRARVIFHPLFVNEEAICEAIEDAGFEAALLADVTNDISTQICHIQIKGMTCTSCSTAVESILVALPGVVEARVALATEEANVRYNPNIITYSQILKAVDDAGFEAILISSSEDLSKIDLQVEGDLSDHSMIKLIEDSLRSLPGVLELRPSLELNKISVSYKPDLTGPRDFIKVIQETSNGSLEAKIFPGEGGRRDAHRKQEIKSYYKSFLWSLVFTVPVFLTSMVFMYIPGIKDLLDNKVVKMLTIGEVIRWVLATPVQFVFGWRFYVGSYKSLRRGSANMDVLIALGTNAAYFYSVYSVLRAATSKVFEGTDFFETSAMLISFILLGKYLEILAKGKTSNAIAKLMNLTPDTAILLSLDGDGNVVGEEEIDSRLVQKNDVIKIIPGAKVASDGLVVWGQSHVNESMITGEARPVSKRKGDTVIGGTLNENGVLHVKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISTYFVPLVILISFSTWLAWFLAGKYHAYPKSWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVIVNTKLLIKMVLREFYELVAAAEVNSEHPLAKAVVEYAKKFKDEENPSWPEARDFVSITGHGVKATVRNKEIMVGNKSLFTDHNIAIPAIAEDLLAEAENLAQTGIVVSINGEVAGVLAVSDPLKSGAQEVISILKSMNIKSIMVSGDNWGTANSIAREVGIEDVIAEAKPDQKADKVKNLQASGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNVLGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKYYKRPKKLNSLDIGAITIETSSDPLIIHDD >CAK8571293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364992358:364994999:1 gene:gene-LATHSAT_LOCUS23968 transcript:rna-LATHSAT_LOCUS23968 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISALTAAIAVAFLAAALPAYAGDTNKVFSPCTDTRVQRSDGFTFGLAFAAKDKFFFNNNNSVQLSPCDTRLSLSNSNSQISLFRPKVDEISLLTVNSSSFVADSYGYMVAFAGRKYAARSPPAFVANGSYTVTSFTLVLEFTKGRLQNLYWKRDGCAKCPKNSKAVCLNNQDCALQTSTCKSHGGLVDCSLGIQLAFSGTDKHLSALNSWYEVKNLRQYSLYGLYSNLRSSLTSQYDKLF >CAK8544196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671459317:671460274:1 gene:gene-LATHSAT_LOCUS12896 transcript:rna-LATHSAT_LOCUS12896 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMCPPPEKIKTKGGVKKKDKKPVGYDVYKDPSYHESYIDDVVNVVSDGNCGFRVIASLHGYDEDGWPMVRRDLGLEIIHNERSGLYANLFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPVTTSFSPNVSIYCIGFGNRNHWVQVNMKEGFPLPRVTVDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPTHYEFINYVTHF >CAK8577075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535397825:535399207:-1 gene:gene-LATHSAT_LOCUS29216 transcript:rna-LATHSAT_LOCUS29216 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSLHIAMYPWFAMGHQTAFLHLANKLAKKGHKITFLTPQKAQSKLEPSNLHPHLITFVTITVPHVEGLPPNAQTTADVPYPLQPHIMTAMDLTQPDIETHLTNLKPHVVFYDFTHWIPSVTKRLGIKAIHYCTGSSVMVGYTLTPARFSQGNNLTEFDLMEPPSGYPDSSIKLYIHEAKLFAAKRKEFFGSNVLFYDRQAIALNEADALGCRTCREIEGPFLDYVQKQFNKPVLASGPVTFQNSNSVLDENWSSWLGGFKTDSVVYCCFGSECVLRPNQFQEVMLGLELTGMPFFAALKPPFGFATVEEALPEGFAERTKGRGVVYGGWVQQQLILDHPSVGCFITHCGSGSLSEALVNKCQLVLLPNVGDQILNARMMGNSLKVGVEVEKGEDGMYTKDNVCEAVRIVMDDENETSKKVRDNHAKIREMLLHKDLESSYIDDFCNQLQEIIMEKS >CAK8532175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:215428404:215428832:1 gene:gene-LATHSAT_LOCUS1906 transcript:rna-LATHSAT_LOCUS1906 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQHTYYPTSSTSSKLGVGKDSQVISKAKPKIRIIHIYAPEIIKTDVANFRELVQRLTGKPEEHEKGGARSKSKTALPIVQEDEKDFLSLQNGMISVKNETEEDEIWRRSKSNEKFNGFLDGFSEYDGFMEELSTTMPLLN >CAK8541080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:60836359:60854327:-1 gene:gene-LATHSAT_LOCUS10031 transcript:rna-LATHSAT_LOCUS10031 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNSSQTSIETLSHWCIFHMNKAKQVVETWATQFHCSPHEKKLAFLFLANDILQNSRRKGSEFVGEFWKVLPDCLRDVTQNGDEFARNQALRLIGIWEERKVFGSRGQILKEEFIGKHDLKPLNAKPTNVKPMNVKLRPSAGNALDKIVSGYHHIYAGQTDEDVVLSKCRDAISSLEKADKEIVHGSNSGKFHGPAVVNELQGHNAILKDCIEQLTTLESSRAGLVSHLREALTDQEFKLGQVRSQIQAASAQWEHANNTCQQLLNGNNIQSLVEQSSKEIQTSMAPASFISGDRELSAPLMYAPQMLFHQNSGHSEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPMTESSADYHSEKRTKLENDGPSYVPSHVPSQNPQQPLPPFSHSEPTQHYASSSNQQSTPNEPPPPPSSSPPPLPPPPPMPQQYPVPQFMQTVGPVNNMTYSYGVMQQPSMAAYPAVGISMNNVSPYTPPMNPYQGFQSSDGNYYNPSSSMPMVPISRQ >CAK8537679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:420513274:420514425:-1 gene:gene-LATHSAT_LOCUS6945 transcript:rna-LATHSAT_LOCUS6945 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAQKDIKTMSLGQIHQVTLEALEKLCSFHHQFSEVIEQKSKFTQACKKPYLEIKCKDKRCGCSTKKKHKKYTKPHRIFKGKKRKNMKFFRRKPFRGKGKNQRCFICGKKGHFSKECPNNTHKAAKLINSLQPLEGILESLYSEQSSADEETIFALQDSSSDEASFSESEDDKYLPVYSIKEICSSLPTTPLPCVEVHILATKFSRPKKVIAYMDTGAQITMMNPSILPPESWVTHAAYFVAVDGKVFKTNLMTKEKIGIKFFPECIVWTKVIGSNLPNKDIVVGMDVYSEAIKLQILPTGIKFKREFKPYSGILKLYSLSKVPAGYEEIKSNLLRLCADSHEKFRHPKPIWKNKDFFAQLPFKLNEDVNPTKATHPGMSP >CAK8541493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:204507990:204509801:1 gene:gene-LATHSAT_LOCUS10410 transcript:rna-LATHSAT_LOCUS10410 gene_biotype:protein_coding transcript_biotype:protein_coding MVREHRVDSFYAKLRQSAHSSSASSPILIFPSTSDVDSLCALKIIFRILESDSIQYACYPVSSFREIHSYATSTQNDGPISVVLINWGCHKDLRKILKLGPNARIFVIDSHRPIHLHNLSDQNDTVVVLFTQEDEKQADLAYDFEFPLTALANASTTIDSDLESDSDSESGSDTDSDSDGMRNKRKRKRDSEDGENEQGEKDEEEHQDAVRLYRKRKKEYYGLGTFHGKPSGCLMYELAHFLRKNTDELLWLACVALTDQFVHERLSDERYSDGVMELEQYINSSGNLDAVNSVTLKDGTKIRVPNSSRIAYEDEPKLMLLQEWNLFDSMLCSSYIATKLKTWSDNGMKKLKLLLARMGFALIDCQQKFQYMNVEVKRKMKREFEKFLPEYGLTDFYYRGFLRVHGYSSRVSAADVVYGVTALLESFVNSDGSCVSTQFNVAFDALSMNNVDKLKAGMQQAIKVQRSILRQGSLAIMKSGCIRSGRKFRWVKLEDSTDSKLLGYPQALTKFCYFLMDALREKGARMKPLICACVSQDPNKVLIVGVCGRPRLAAAQGNAFGVAFRSAAEDVESEFFHELFESSWIVLESKFLNSFMVKLTEKL >CAK8579585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:709849810:709852686:-1 gene:gene-LATHSAT_LOCUS31519 transcript:rna-LATHSAT_LOCUS31519 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSIMDNLFQRTLDDLIKSMRLQLLPESSFISKSIEDIRREIKSTDPQTKSTALQKLTYLSAIHGVDMSWAAFHVVEVMSSSLFSHKRIGYHAASISFHDQTPVLLLITNQLRKDLSSTNHFHASLALHCLSTIATLDLARDLTPDLFNLLSSSRVFVRNRAIAVVLRVFDKYPDAVRVCFKRLVENLESADPQVVTAVVGVFCELSCKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKIFARLAPLEPRLGKRIVEPICEHIRRSGAKSLVFECVRTVITSLSDHESAVKLAVSKIRELLVDQDPNLRYLGLHALSVAAPKHLWAVLENKDAVFKSLDDEDSNIKIESLRLLMAMVSDSNVVEISRVLLNYALKSDPEFCNEILGSILTTCGRNVYEIIVDFDWYVSLLGEMATIPHCQKGEEIENQLTDIGLRVKDARLQLVRVARDLLIDPALLGNVYLHRILCASAWVAGEYVQLAGAPLELIDALVQPRTNLLPPSIRAVYINSVLKVLSFCLDCYINQDEGTASSHCGNFSGGHSEMYVVKKDTETPELAATCESSIYEQDEDFNPRHSIAESSDDMSVENDTDRVVARFSKRNFTHETVISLLNRIESIFGSLTTNQDVEVLERARNILAFVQLIKADIIDNSGQNVDEKYTQVSTVIKSIRDAFSMELGPVSISAQGRVAVPDGLVLKENLDDLKAICGDIEPPSSSSFYTGGPQFGTTLDASSSNLLKNAESGSSNESTSLLEHRKRHGLYYLASDKSETIPDDYPPANDPKSNSNEADELAKLTEQSLLLKKRTNQMKSRPVVVKLDDGDVAPIPYKRPEPRDSSLSGAIKDVLLGSETHPSLSLDKSSTKRKGKKKQSTNIPSEMKENLGDAEKLDSENPNSSSKNKERRRRGKEKIVEGEESDQRGKKKSSHRHGRHKTHQRANSPLNVVSQTPVIPDFLL >CAK8571841.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482483190:482487724:1 gene:gene-LATHSAT_LOCUS24466 transcript:rna-LATHSAT_LOCUS24466 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIRQRQRIIILSLLSLSVVAPLIFLGRREFLQDLYRNTSRTDSSKLNVVEQIQGGSEEFKEQNLVGYKEKDVGSTISYDSKKNNDAEESRIAALEINVSGFSLDERQDGDARKNDSSSADEDINVHAVPYRMSKENITATNRPSRRKEDRHHILNPPSREVKNQKVQEIKDQILMAKVYLRFAPPSSNSHLKELELRMKEMERGVEGVTRDLDLSRSVLQAMRRMEASLSIVSRAFSDCSMVSKLQAMKRKTEEQVRFQRSQATYLVHLAVRTAQKNFHCLSMRLTAEYFSLRSEEREPPNENKIHLPDLYHYAVFSDNVLACAVVVNSTVSTAKEPEKLVFHIVTDSLNLPAISMWFFLNPPGKATVHVQSTENFEWLSKYNTFGKNNNSDPRYTSELIYFLFYLPDIFPTLNKIVIFDHDVVVQQDLSGLWNTDMKGNVNGAVGTCQEGKTPFHRIDAFVNFSDPQIGESFDANSCTWAFGMNLFDLEQWRRHNLTSVYQKYSQMGTEKPLWNRNNGYSPLGWLTFYNKTEILDRRWHILGLGHNSGVDRNEIEQAAVIHYDGIRKPWLDIAMGRYKSYWTKFLNFDHPFLQQCNLQA >CAK8571842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482483190:482487724:1 gene:gene-LATHSAT_LOCUS24466 transcript:rna-LATHSAT_LOCUS24466-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIRQRQRIIILSLLSLSVVAPLIFLGRREFLQDLYRNTSRTDSSKLNVVEQIQGGSEEFKEQNLVGYKEKDVGSTISYDSKKNNDAEESRIAALEINGFSLDERQDGDARKNDSSSADEDINVHAVPYRMSKENITATNRPSRRKEDRHHILNPPSREVKNQKVQEIKDQILMAKVYLRFAPPSSNSHLKELELRMKEMERGVEGVTRDLDLSRSVLQAMRRMEASLSIVSRAFSDCSMVSKLQAMKRKTEEQVRFQRSQATYLVHLAVRTAQKNFHCLSMRLTAEYFSLRSEEREPPNENKIHLPDLYHYAVFSDNVLACAVVVNSTVSTAKEPEKLVFHIVTDSLNLPAISMWFFLNPPGKATVHVQSTENFEWLSKYNTFGKNNNSDPRYTSELIYFLFYLPDIFPTLNKIVIFDHDVVVQQDLSGLWNTDMKGNVNGAVGTCQEGKTPFHRIDAFVNFSDPQIGESFDANSCTWAFGMNLFDLEQWRRHNLTSVYQKYSQMGTEKPLWNRNNGYSPLGWLTFYNKTEILDRRWHILGLGHNSGVDRNEIEQAAVIHYDGIRKPWLDIAMGRYKSYWTKFLNFDHPFLQQCNLQA >CAK8541563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:250076980:250077255:1 gene:gene-LATHSAT_LOCUS10476 transcript:rna-LATHSAT_LOCUS10476 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSKVADENMYEGGERGVEVAIFGGERGRRQHGWSVIFAILQAPISILSCVSNPRVNGSDGVWTSGEFAQISEINHIMVNDSMRYAILM >CAK8574982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19530799:19533279:-1 gene:gene-LATHSAT_LOCUS27276 transcript:rna-LATHSAT_LOCUS27276 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQYIVNAHFNGSVVVSDEVGLIFENTDVIRFAVNRRSSFQHFKERVQMKLQAGSVLQMTYKNAVCFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVVNPSIIPYEDVEDGDGEEENEAQVDDLYTTLFEEGIEVNIDDQCVPLENVFIPPAHMTTLPLSIEGTSFDWPRNPRFPAEGDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKRYIICCRNDSCKFRLVASYRKRSELWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDRDPSIKVSVCISKIVSEFHFTPSYRKTWIARNKAIEQVYGNWESSYNELPHFLLALKKYVPGTVLEIETLPMHTSDGTIVEGKHIFHRLFWAFQPCIRGFAYCKPILQIDGTWLYGKYKGTLLIAVAQDGNSNIFPVAFALVEGETAEAWGFFLRNLRRHVAPQPDLCLISDRHASIESAYNNVENGWQDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEISMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYTLLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8535417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846559850:846561358:1 gene:gene-LATHSAT_LOCUS4882 transcript:rna-LATHSAT_LOCUS4882 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLMLISPPPPTKTHQFTPSTFPLTRTQQTISLPSSRKTSTKIHSTRKFGNFLDLKPEYQPEALDFDLPWHHSSDRSQFDVVIIGAGPAGIRLAEQVSCYGIKVCCVDPNPLSVWPNNYGVWLDEFEGLGLEDCLDKTWPMASIYIDDNNTKYLDRCYGRVSRSKLKEKLVKGCVSNGVRFYKAKVWEIKHHEFESIVVCDNGKELKASLVVDASGFGSRFIDRDDHKLRKRNYGCQIAHGVLVEVDYHPFDLDKMVLMDWRDSHLGNEPYLREGNSKVPTFMYAMPFSSNLIFLEETSLVSRPVLSYMDVKRRMVARLRHLGINVKRVLEDEKCLIPMGGPLPRIPQNVMAFGGNSGVVHPSTGYMIARTMTLAPIVAFSINECLGSNRMIRGRHLYANVWNSMWPIERRFVRECYCFGMETLLKLDLNGTRSFFDAFFDLKPYYWQGFMSSRLSLKDFALLSLSLFGNASNSSKFDIVTKCPVPLAKMMGNIALESIG >CAK8573326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603298436:603298921:1 gene:gene-LATHSAT_LOCUS25787 transcript:rna-LATHSAT_LOCUS25787 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDVRLRYAIKSPGCRDFKAHQLASQIVEDDSSKKYGLLWSYGVDLRKASSEITSKLNINCPTSGLQPRDPNDQYLPLIFRVVENETKDSLSWFIKLIMEDIGETNRSSIFDQER >CAK8542491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520359603:520360646:-1 gene:gene-LATHSAT_LOCUS11330 transcript:rna-LATHSAT_LOCUS11330 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVIINDNEVDIVISALHSDLTTFMNEWKPVFSRFHLIIVKDPDLNGELKIPDGFNADVYTKSEIEQVVGSPTSLRFSGYACRYFGFLVSKKKYVVCIDDDCVPAKDDAGNLVDAVAQHIVNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLRSGVDCALSCGLWLNLADLDAPTQALKPTQRNSRYVDAVLTVPVRAMLPVSGINIAFNREAIGPALVPALVLAGEGKLRWETVEDIWCGLCVKAICDHLALGVKSGLPYVWRTERGNAIDSLKKEWEGVKLMEDVVPFFQSVRLSQSAITVEDCVVEMAKSVKEQLGKVDPMFSQAADAMEEWVKLWKSVGSA >CAK8533211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:591047851:591050870:-1 gene:gene-LATHSAT_LOCUS2856 transcript:rna-LATHSAT_LOCUS2856 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVSVNGSSCLALSEKKNNNEKQSGGCVGIFFQLFDWNRRFTKKRFFSKKLLPSASAKQDSMNKFKDEKMPNSKLHSIANGNNGGFLNAMEGGNCVIDVERKHEMKAPSIVARLMGLESIPASKRDHKSKKSSFFDSDDGGESLEIEVANASHDSRPQKVRKTEVNERRAMTRFGAEALQIKNVLSQVRKSNHHHDNHRAKFVSPLKSPRVSLGKSASRSSRLIEVATKILEPGLQSTSRSKYSLTSSNSKCPNRNDIVTDMVGTRPKDMHNQSCYNGGIDKSSVERTCKNCGDLLDIEISSPIVSDVFTGFSSESAQKGRSFNPSHESDVVLLRSQEKIITLVDEDVKKNANSCNETTSRRIHVHAKCDSSRQPPGGLEDNDYTSSFNFECKTQTRERMLSGERMAFRFEAKNCKMQVKKASSAATASTVSGNKDIFGLNRSLSGRTRMRSPTKLDSCKFDLERKKRTSNVSQVKGIASVDLVTSKHRNVGSNARGKRRNFEAFSPNNSNVKCRKSGYQKTDKTNDNKINKVETSADEIKTCFQRHHSPLREDVLGAFLEQKLKELKFGENEESANGDQPRRSTALILQELISVLNAGSGYGFHTGSINCSYDQPERFEHDVKLLDSATLFNTGKIGCKILTELVNRIYSILQSLNSFWPRLTKNKLNHMKEVIFMAELVLGNVTRQSEDLPQLLISCILVDELDNMASDAMRRNFNRRQLKGLLFDCVIEYLESNCCHNYYNVFKSLCAWTKAPQCMKGEILVEEVKSEIKKWERIAGMEPDKIIDWEMSYSLGKWTDFNVEASEFGVDIEDYVLQILVDEIVEDVVGWF >CAK8568409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604442227:604443033:1 gene:gene-LATHSAT_LOCUS21368 transcript:rna-LATHSAT_LOCUS21368 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIPNVKIPEWDTTQYEGGSFLNPFISNDSDTQFSITEDFDLPLHLFSTDHFRMFEYKVRKCQRGRSHDWTECPYSHPGEKARRRDPRKFHYSGTPCSEFRRLGNCTKGDSCYFAHGVFECWLHPSRYRTQLCNDGTACRRRVCFFAHTIDQLRLSGKASPETFVSSPTSVLDSPPGNSRYGVVPANMRELVDCMRDVRIMDSGRVGSPPGGFFSLPSRYEGVCMERVESGRDLRAKNYGKFSTMNFNDGAVSVPVPDFGWVSELVN >CAK8572729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558725819:558727618:1 gene:gene-LATHSAT_LOCUS25259 transcript:rna-LATHSAT_LOCUS25259 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAALITTAVVFLAVGVIFSPETFGSKSTALSTYLKLAHLLGFSIAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCISVAVASFGYLHPWKTSSTSEKYQLGFLLSALAFNLTNLFVFTPMTIEMMKQRHKVERENKIGDEVGWSKNVEVAKKNPKLAAMNKKFGMIHGLSSLANILSFGSLAIHSWYLAGKLDL >CAK8572730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558725819:558727618:1 gene:gene-LATHSAT_LOCUS25259 transcript:rna-LATHSAT_LOCUS25259-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAALITTAVVFLAVGVIFSPETFGSKSTALSTYLKLAHLLGFSIAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCISVAVASFGYLHPWKTSSTSEKYQLGFLLSALAFNLTNLFVFTPMTIEMMKQRHKVERENKIGDEVGWSKNVEVAKKNPKLAAMNKKFGKLDL >CAK8533032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567964720:567966808:-1 gene:gene-LATHSAT_LOCUS2684 transcript:rna-LATHSAT_LOCUS2684 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPKTTSNRNSEESFLVQNADLILPWLTHQQLANLSLTSKLLHKLTQTLTLNRISDASRNFENFPIPFLNNNNNHNHNHPYSYFLYTPSLLLSSTNFPRYQPWGGNSVISTNLKTIDNNDNDESVRFVDVAGCDCGEVCGDGCVCFGFCDDVGRECGPGCFCEVGCGNRVSQNGVAVRVKIVRCGEKGWGLFADQVISKGQFLFQYAGELLTTKEAQRRQQHYDELSSHGHFFSALLVVREHLPSGNACLRLNIDATRIGNVARFVNHSCDGGNLSTKLIRSTGALFPRLCFFALKDIQKDEELTFSYGEIRKRSNGLPCYCNSPSCLGTLPSEDT >CAK8561868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399828788:399830670:1 gene:gene-LATHSAT_LOCUS15420 transcript:rna-LATHSAT_LOCUS15420-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDFVVMHGKWLRVTEVFLLCLLSGCSICWFNTVCFVLCIRNFPVHRTLALSLTVSFNGVSAALYTLAANSIDPSSDELYLLLNAIVPLLVCIAALIPILRQPPADPLPPDAFNRDSLVFLILNFLAIFTGLYLLLVGSTAFSMTSARIHFGVTILLLTLPMIIPGIIYARAWARRTIHSSFKIEGSSFLLVHDDDLELHKELLSRHNSVVEIGNGDSYNLLSNNGSMYSSQKAIDNDVRCERIIGQDHLTMLGEEHSAAVLLQRSDFWLYYITYFCGGTIGLVYSNNLGQIAQSLGLSSSTSTLVTLYASFSFFGRLLSAGPDYIRSKFYFARTGWLSIALIPTPIAFFLLAASDSSLALYTGTALIGMSSGFIFAAAVSVTSELFGPNSVGVNHNIMITNIPIGSLLYGFLAALVYDANARSTPGNTIMSDSVVCMGRQCYFWTFIWWGCTSVLGLCASVLLFLRTKHAYEHFEEHRTSTHSIVA >CAK8561867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:399826082:399830670:1 gene:gene-LATHSAT_LOCUS15420 transcript:rna-LATHSAT_LOCUS15420 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRDTNNRFNFNFHHSTTKPTTEFPRRRTPPFTKRLLLFMAGQSRKWMILVVTIWIQAFTGTNFDFSQYSSLLKSALGISQAQLNYLATANDMGKVFGWSSGLALMYLPVSVVMFITAFMGFFGYGLQWLVIIHSISLPYFLVFLLCLLSGCSICWFNTVCFVLCIRNFPVHRTLALSLTVSFNGVSAALYTLAANSIDPSSDELYLLLNAIVPLLVCIAALIPILRQPPADPLPPDAFNRDSLVFLILNFLAIFTGLYLLLVGSTAFSMTSARIHFGVTILLLTLPMIIPGIIYARAWARRTIHSSFKIEGSSFLLVHDDDLELHKELLSRHNSVVEIGNGDSYNLLSNNGSMYSSQKAIDNDVRCERIIGQDHLTMLGEEHSAAVLLQRSDFWLYYITYFCGGTIGLVYSNNLGQIAQSLGLSSSTSTLVTLYASFSFFGRLLSAGPDYIRSKFYFARTGWLSIALIPTPIAFFLLAASDSSLALYTGTALIGMSSGFIFAAAVSVTSELFGPNSVGVNHNIMITNIPIGSLLYGFLAALVYDANARSTPGNTIMSDSVVCMGRQCYFWTFIWWGCTSVLGLCASVLLFLRTKHAYEHFEEHRTSTHSIVA >CAK8535177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820844438:820846884:-1 gene:gene-LATHSAT_LOCUS4651 transcript:rna-LATHSAT_LOCUS4651 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKKNGVVSMDTTDSIVSEAPQAMDTSESVAKIKVPGATNLKVKQNGRPMKRSKCARKMKAIAKAISANEKSAQKVSKNDSKKIRVQSAKTLYE >CAK8570302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35070426:35071771:1 gene:gene-LATHSAT_LOCUS23064 transcript:rna-LATHSAT_LOCUS23064 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPQRYHYQPTISFPVSDNISLQQKPHTTNNNVRQTKNINNDPHESGMCSPPLWTTKSRSLNYRSLSPESKTEAIERGQRELMEMVKNMPESSYELTLKDLVEEQQQQQQHHHHHHHVEENNNDRVVKQKSLNNKKATRREGMMDKRSISTGNNIDSGGFYLKMVFPISLGSSKKQYNYKKNKESLVNNNNNNSKVSPRTSSVSDHGSVNNKDWWKKKKNGSECGGESDHSGSTKRSASISSSCSSRSNTSRHEISGRSCWPFTRRSEILSQK >CAK8578849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658201966:658205007:-1 gene:gene-LATHSAT_LOCUS30831 transcript:rna-LATHSAT_LOCUS30831 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQFLLKRSTKPNGSDFLACRPFSSLGFSSSTSAVTATQNITTPPQIPPFDHQPHPYTGPTADQVFAKRKTFLGPSLFHYYQKPLNIVEGKMQYLYDESGRRYLDAFAGIVTVSCGHCHPEILNAIFEQSKLLQHATTIYLHHTIAEFAEALAAKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVMNPDPYRGLFGADVNSYAKDVQEHIDYGTSGKVAGFIAETIQGVGGAVELVPGYLKHVYEIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLKVLDNEKRQAHCADIGSHLLERLRSLQQRHDIIGDVRGRGLMLGVELVTDRTNKTPAKAETAVLFEKLRELGILVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSISKL >CAK8542741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541985668:541993159:1 gene:gene-LATHSAT_LOCUS11552 transcript:rna-LATHSAT_LOCUS11552 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSFEIHDSIANDGGSGVVSQHLMVSAEIEMSPKIKITVEYGAKKADTKDTPMSQRRSSSRIQAAKLKEVEEVRLRRNVEVVEERKKSSVVVTAKGKSNKRVKKSHGEEESSVKEEAVSETQSRGHEHVASVKEMKNKEEAASEKRPKKKSAMDAKRELMEVWDEKMKVDSENTVDASAEKSYAAKVKDTIRLFNKHYLHLVQEEEERCAKARAEAEKKKKSPKKSPKKSPKKSPKKSPKKSPGKKSAKNSKSSAGKKNAKKDTLPIEPKNAAKRPDLKALTKMMQNSEILNPEKRIGDIPGVEVGYQFYSRAEMVAIGFHSHWLNGIDYMGQSYSKVYNSYNFPIAVAIVISGMYEDDLDNAEDVIYTGQGGHNLTGNKRQMGDQKMERGNLALKNCSEQCVPVRVIRGHNSRDSYTRKVYTYDGLYKVVNYWAEKGISGFTVYKYRLRRVEGQPTLTTNQVYFTSGRVPQSVAEIRGLVCEDITGGQEAIPIPATNLVDDPPVPPTGFKYFKSLKVATSVTLPANASGCKCKGNCTDHTTCECAKRNGSEFPYVSRDGGRLVEAKDVVFECGPNCGCDPSCVNRISQKGPRYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTDDVDSCLENNYIFEIDCLQTIKGLGGRERRSQNVPYAAKLLDKYDDQSPDSAPEFCIDAGSTGNIARFINHCCEPNLFVQCVLSTHHDLRLARVMLFAADNIPPLQELTYDYGYALDSVLDSDGKIKQMACYCGASDCRKRLF >CAK8542742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541985743:541993159:1 gene:gene-LATHSAT_LOCUS11552 transcript:rna-LATHSAT_LOCUS11552-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEIEMSPKIKITVEYGAKKADTKDTPMSQRRSSSRIQAAKLKEVEEVRLRRNVEVVEERKKSSVVVTAKGKSNKRVKKSHGEEESSVKEEAVSETQSRGHEHVASVKEMKNKEEAASEKRPKKKSAMDAKRELMEVWDEKMKVDSENTVDASAEKSYAAKVKDTIRLFNKHYLHLVQEEEERCAKARAEAEKKKKSPKKSPKKSPKKSPKKSPKKSPGKKSAKNSKSSAGKKNAKKDTLPIEPKNAAKRPDLKALTKMMQNSEILNPEKRIGDIPGVEVGYQFYSRAEMVAIGFHSHWLNGIDYMGQSYSKVYNSYNFPIAVAIVISGMYEDDLDNAEDVIYTGQGGHNLTGNKRQMGDQKMERGNLALKNCSEQCVPVRVIRGHNSRDSYTRKVYTYDGLYKVVNYWAEKGISGFTVYKYRLRRVEGQPTLTTNQVYFTSGRVPQSVAEIRGLVCEDITGGQEAIPIPATNLVDDPPVPPTGFKYFKSLKVATSVTLPANASGCKCKGNCTDHTTCECAKRNGSEFPYVSRDGGRLVEAKDVVFECGPNCGCDPSCVNRISQKGPRYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTDDVDSCLENNYIFEIDCLQTIKGLGGRERRSQNVPYAAKLLDKYDDQSPDSAPEFCIDAGSTGNIARFINHCCEPNLFVQCVLSTHHDLRLARVMLFAADNIPPLQELTYDYGYALDSVLDSDGKIKQMACYCGASDCRKRLF >CAK8536671.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6682799:6685534:1 gene:gene-LATHSAT_LOCUS6009 transcript:rna-LATHSAT_LOCUS6009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGIRLRFWFVRLCSSIVLWICLVQLVTVSELWHSHLISGITSGIYNIAQIQLPVQQKYGVDHLPPVFIPPRNYTSNGFLRVSCNGGLNQMRAAICDMVTIARLLNLTLVVPELDKTSFWADPSNFEDIFDVKHFINSLRDQVRIVKRVPKKFSSKYGHTSLEMPPVSWSNEKYYLEQILPLFRKHKVLHFNKTDTRLANNGLPLDLQKLRCRVNYQAIKFTPQIENLGRRLIQMLHEKGPFVALHLRYEMDMLAFSGCTQGCTDKEAEELKRMRYAFPWWREKEIVSEDRRSQGLCPLTPEEAALVLQALGFGRETQIYIAAGEIYGGERRLAQLRAAFPQLVKKEMLLDRDDLQYFQNHSSQMAALDFMVSVASNTFIPTYDGNMARLVEGHRRYSKFRKTILLDRKKLVELVDMHQNGTLKWKEFADNVKQVHEKRTVRPTRRTVIIDRPKEEDYFYANPHECLCEETNCDEFLGNHNSSSQVA >CAK8534254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710097726:710102728:1 gene:gene-LATHSAT_LOCUS3814 transcript:rna-LATHSAT_LOCUS3814 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAYSVLLHRNLAAARLGGATSIAVPNRFLQTTLYGTTAGASPSSRRWFSSILAAVAGTSLGVGGLIAASSASQEVLAKERPPSDALPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPLSKKEIKWSEYQKVPIIMVDGEQLNDSSAIIDKLGERILSKKKADSTSEDDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFMEKISVKYAGAAAMYFVSKKLKKKYNITDERAALYESAETWVDALNGREFLGGSKPNFADLAVFGVLRPIRYLRSGKDMVEHTRIGEWYTRMEIVVGESSRIKA >CAK8576093.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405146881:405148510:1 gene:gene-LATHSAT_LOCUS28308 transcript:rna-LATHSAT_LOCUS28308 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLERPQRHVDSAKSPGSIQTMEQLVRAGEAAKPKLSPSKSSSSSACSSPSSPFFQRMMHLDSEEDHGQNHSQKKSVITKVKEKAKKLRHSLSKKRHEDWNINSPPSSAGHEGDGAEEDAEHHGATLYESEMAHEGHKEYTRQHSRLTPVTPQKHILSNAEKLGLEHAREKTLIRSLSKKITQPATTATTAPTLSGPSKIITKTSPEKNQTPAHAEGSETAQYITSKIHGLSVSKPGENHNSSPTDATANKQVSLSLLTSRTPPAKMPSQIAPSTPRASSNPVETSPPSPPASAPPSPSNNTPPSQIWDKGVSVKEYLMNKFEPGEDEKALSRVISEAMSPRRTPGDVGVIEKVREAVTSLLRTEEATKHADTNTNTNTTARTPSQVSESTSTTHAPSQISVSASTSTTRAPSQIPVSFNYQEDVHEENHGRVLQEN >CAK8534525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738946936:738947247:1 gene:gene-LATHSAT_LOCUS4067 transcript:rna-LATHSAT_LOCUS4067 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGKGIKGLEKGRANRHMMILRDNIWGVIKPSIRILVRRGVVKRISGLIYEETMLFFKVFLTKIIHDAASYTNHVRRKVVTAMDVVYTLKRQGRTLYNFGG >CAK8567153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491038641:491042844:-1 gene:gene-LATHSAT_LOCUS20229 transcript:rna-LATHSAT_LOCUS20229 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHVIGFGLKVGHLLLVLCCWIVSIIYINWFISMDTKMGFLGDGGKMWLKWWEKILGSVCRIHQQYYQCIGSKKVRRKLWRKLLLTWVLGWCIVSLWIFCFMNLQVTEKRKETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHAKNPSAIDQRTFAKYTERTAFERPLTSGVAYAVRVLQSEREQFEKQQGWSIKRMDTMEQNPVHEDDYVPDELEPSPIHEEYAPVIFAQDTISHVISIDVLSGKEDRENVLRARESGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPPNATPNERIQATDGYLGGVFEIESLVEKLLQQLASKQSVIVSVYDTTNHTHSIPMYGSDVSGDEFYHVSFLNFGDPFRKHEMHCRFKQKPPWPWLAITTSFGILVIALLVGHICHATVNRIANVEDACRKMTELKKLAEAADVAKSQFLATVSHEIRTPMNGVLGMMNMLMDTDLDVTQQEYVRTAQGSGKALVSIINEVLDQAKIDSGKLELEAVMFDIRAIMDDVLSLFSEKSQGKGVELAVYVSDQVPQQLIGDPGRFRQIITNLMGNSIKFTDKGHIFVTIHLVEEVFHSIEVEREFTKETDDTLSGSPVANGRRSWEGFTAFSHEGPLGYFSSPSSTDLINLIVSVEDTGEGIPLESQVKIFTPFMQVNPSISRKHGGTGIGLSISKCLVGLMKGEIGFVSEPGIGSTFTFTARFTNACPDSNEIKTQKISNQPHPACSEFNGMAALVIDPRPVRAKVSRYHIQRLGIHVEIKSDLKQGLSTVTDGNVAINMVLIEQEVWERDTGISSQFVNNIRKILEVDKGIPPKLFVLVNSTSSFRLSSSVTSCVHNPTVVTKPLRASMLAASLQRAMGVGNKGNPRNGEHQGLSLHHLLSKRKILIVDDNSVNRTVAAGALKKYGASVVCVSSGKEAISMLKPPHQFDACFMDIQMPEMDGFEATQRIRKIENNVNNKELYVHLPILAMTADVMQATQEECLKYGMDGYVSKPFEAEQLYREVSKFFQSS >CAK8569240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:686314592:686315521:-1 gene:gene-LATHSAT_LOCUS22118 transcript:rna-LATHSAT_LOCUS22118 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSISCIILLHLLLILLCSHGNQARELVETENKSLKIQHNHMTHNIDPSLMVFFTLKDLKAGKKMEIYFPKRDPSTSPKLWSKEEAESLPFSSNQLSYLLKFFSFSPNTPQAMAMENTLQECESKHIKGEVKFCATSLQSMLEFTQNTLGSNSEIQVYATLHKTKSSVTFQNYTIVEILMEILAPKMVACHTVPYPFAVFYCHSQESENRVYKVLLGGENGDKVEAMVVCHMDTSQWAPSHVSFQVLGVTPGTSSVCHFFPADNYIWIPKFKSQGSSSM >CAK8533373.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610432224:610433582:-1 gene:gene-LATHSAT_LOCUS3006 transcript:rna-LATHSAT_LOCUS3006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEESFKVIEVCNVEPFHEPTKPSQSPTSLPLTFFDLLWLRFPPVQRLFFYELTSSPSFFYQTLLPDLKYSLSLTLQLFLPLSGNIIWPIDSPKPIINYVRGDSVSFTVVESNESFEDLSSNHCEASKRHHLIPLLNTSHEKASLISIQVTLFPNKGFCIGITTHHAAFDGKSSTNFMKSWSYISCSNPNLENVAPCFDRSVIEDHYNGITEAYVDALMKHYGPNNKSLKVWEFPSSLKNDAVKRLFELSPSNIQKLKKLAKNEMKMNVINLSTFSVTCAYVISCLAKVEKPKDEKVSFIFSVDCRTRLEHSVSSMYFGNCVVGHIIKLETKKIIGKDGFLNALEGINEGLNKVKNGVLDGAENWLHNMLNSKERFKLYSTAGSPRFEVYDIDFGFGKPKKVDMTSTDKTGAFSLSESKNQNGGIEIGLALNKHEMEAFSTLFVQGLEAI >CAK8531308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99970613:99971701:1 gene:gene-LATHSAT_LOCUS1110 transcript:rna-LATHSAT_LOCUS1110 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEINNFIMVWTIAASTMCYCHTIGNLISHGTPRLIALVPAIILLFLLPLRLISIHLGGPSSFFIGWLSTFKLLLFAFNKGPLSTNPPLSLLHFISLACLPIKFQHQTDNNHKKDHKSNSKPTLRYVYTTIIIILALLIPLYSKKENFHPKFVFLLYTLHMYIGLEFFFALASTFTKKLLNVELEPQFDKPYLSTSLQEFWGKRWNISVNRVLHPTVYEPVMTFCSRWMGRKWAPLPAILATFTVSAIMHEVVFYYIKREKRTWEKWEPSWDATCFFILHGVCLAVQVGVKKAFGEKMRLPKVVSWLFTVAFVMYTALWLFVPALVRCRVYEKATRELSALNEFWNDVYNVVNKKSFVFFY >CAK8563179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:581157931:581161282:1 gene:gene-LATHSAT_LOCUS16613 transcript:rna-LATHSAT_LOCUS16613 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSMKSESPTVSAILLTKIKEFTRGLLVNLSNGHSPIILLDRFRNHCILPDSNCACSSNLPCGKEVLTLCKETHVHRLDVMLRVLLIVQKLLQENKHCSKRDIYYMHPSVFLDQSVVDRAINDICVLMQCSRHNLNVVSAGNGLVMGWIRFVEGKKIFDCINSPNAAYPIPVYVEEIKDIVSVADYILVVEKESVFQRLANDQFCNANRCIVISGRGYPDIPTRRFLRLLVENLHIPAYCLVDCDPYGFDILTTYKFGSMQMAYDTKHLRVPEIYWLGAFPSDSEKYFVPKQCLLPLTAEDKRKIEAMLLRCYLQREVPQWRLELKMMLEKGVKFEIEALSVHALSFLTESYIPSKIHGQVNI >CAK8560785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42460578:42461669:1 gene:gene-LATHSAT_LOCUS14434 transcript:rna-LATHSAT_LOCUS14434 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPDLHSSRSFSLFGNPFRMKSHKGSHKGSLTSSQLDAVLQGFEATLAERLRKLMPKSKDEILSLSWMTSAMNSLCESHNDIRSLIIDLELPVSVWDDKWVDVYFDISTKLLDICNAFSSELSRLNQGNLPLKCALHILEPASSKSFSRACSLLDDWRRHINAKNPRIEKCSTILDGLVGSLDLPKVKNSAKGKVLMQAMYGIKVETVFVCSVFSAAFSGTSKKLLDLDVPDMHSWAPAFKSLQNLVNDEIRVRFSSGKFSVLNELEAVDTVVQELYPSIQGGVNIEGKVKQESHLKTVEELGAAAEKLSQGMDLLAKGVDGFFQAVLTSRDTLLSSLRFDKTVNNRVVGGGRNIGQQVVY >CAK8564907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15212830:15214218:-1 gene:gene-LATHSAT_LOCUS18158 transcript:rna-LATHSAT_LOCUS18158 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATKKVKVTDNVDKDMFNDLPECIILHILSFLTTKHAVRTCILSSRWKDLWKRLPALIFRRSDFQTNMIFTEFVYKVFSLRDSSVSLHTLDFENVSILLVRYMLTWIVNYAISHNVQRLRLSGTSGISLIPVALFSSQTLTHLTLSISDHDIDQFSLNLPALKYLKLSICFSCEILFPKSLNLPELSTLELENFTFSVGDNDCAEPFSTFNMLNRLLIADCSVKALGTLCISNATLVNFTIFSDLESGYKIVLCTPSLCTFAFRGIPYHDISGSNISFLKHVDIDAGAFPYRCGPPLFLLKWLSEFANIKSLTVTASTLQLLSLIPSLLKFEIPSLGKLKLLKVKIDEIHYGLRLTLCNGKLQNAKSMEEVARIQKAFDLGLEPSPLVPDGIVDFLLQNSPSAEVDLVDCRKKTS >CAK8530694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41458853:41459242:-1 gene:gene-LATHSAT_LOCUS539 transcript:rna-LATHSAT_LOCUS539 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANWRELADNWFGACFCSFGGISEKLVTRYLNSHTCAQGMCLLSSTSVTFSKDDLVESDFPERCGQLHGCGYVADDFGIDVVSEGVGNFRLNEERTSICSDACEMNCAFDENVKVAHPEKFLKFHSF >CAK8533527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:632293743:632295017:-1 gene:gene-LATHSAT_LOCUS3149 transcript:rna-LATHSAT_LOCUS3149 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQKKCNHCNIPYCSVSRSYTMHVHHPPQSKGDSYHLVALTSTTLGSLELVSHSQSNGYVPTSGPLHADNKQGNGIEVGTNFMFSNGKVSESFKEEEENEVKTWSSMILEKLPKSIVKNQITKPTTCELDEKETIINTWELMEGLEETSPLQSPNLKDLSFGVNVNGNVEPQKASFMENDDDGIDLHKPKLDPMIEEGSNDLSLKVKVLDFDDLKVVSSFKDSFQDKQEEMDEKLSFFEEKKINDDVFVDFKLSSYGKKEKVVLYFTSLRMVRKTYEDCCNVKMILKGLGIKVDERDVSMHLEFKEELKELLGEEYGKGGLPKVFIGRKYIGGVEEIQKLHDDKKLEKLLDCCQKIDEIEGGDNGGCELCGDIKFVPCETCNGSCKIYYEDDCEDGEFGFQRCSYCNENGLIRCSMCCF >CAK8539414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512209697:512212465:-1 gene:gene-LATHSAT_LOCUS8513 transcript:rna-LATHSAT_LOCUS8513 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCHIHLCNVLLCFILCLFWSILTVSTETEKEILLQFKGNITEDPYNTLSSWVSSGDPCQGYKGIFCNLEGFVERIVLWNTSLGGVLSPALSGLKRLRTLTLFGNRFSGNIPNGYADLHSLWKINFSSNALSGSIPDFIGDLPNIRFLDLSKNDFNGEIPSALFRYCYKTKFVSLSHNNLVGSIPLSLVNCFNLEGFDFSFNNLSGVVPSRLCDIPVLSYVSLRSNALSGSVKDRISSCHSLMHLDFGSNGFTDLAPFSILGMQNLTYFNISYNRFEGQIPDIASCSERLEIFDASGNNLDGVIPSSITRCKKLKLLSLELNKLKGSIPVEIPELRGLLVIKLGNNSIDGTIPKGFGNIELLELLDLSNLNLVGEIPVDITNCKFLLELDVSGNNLDGEIPQAIYKMTNLEALDLHYNKLKGSIPSSLGNISRIEYLDLSHNSLSGSIPTSLGDLNNLTHFNLSFNNLSGVIPNIASIQNFGAPAFSNNPFLCGAPLDTGCSANGTRSSSSASGKTKLLSVSAIIAIVAAALILTGVCLVTIMNIRARRRKNDDDEVMIVESTPLTSSESSVIIGKLVLFSKSLPSKYEDWEAGTKALLDKESLIGGGSIGTVYKTNFEGGISIAVKKLETLGRIGNQEEFEHEIGRLGNLQHHNLVAFQGYYWSSSMQLILSEFVSNGNLYDNLHGLGYPGTSTSRGNRELDWPRRFQIALGTARALAYLHHDCRPPILHLNLKSSNILLDDKYEAKLSDYGLGKLLPILDNYVLTKFHNAVGYVAPELAQSFRQSEKCDVYSFGVILLELVSGRKPVESPTTNEVVVLCEYVRGLLETGSVSNCFDRNLLGFAENELIQVMRLGLICTSEDPLRRPSMAEVVQVLESIRNGLESH >CAK8542228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:491816288:491818722:1 gene:gene-LATHSAT_LOCUS11083 transcript:rna-LATHSAT_LOCUS11083 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSTVSSGSGGGGGGSSGSPCGACKFLRRKCVQDCVFAPYFDSEHGATHFAAVHKVFGASNVSKLLLGIPINRRLDAAISLCYEAQSRLRDPIYGCVGHIFALQQQVMTLHAELSYLQNQLNSTEPPQPTSPPAAAPMVFSFADLPPATGTSMPVTYDMSTLFDPMGQPALSGQQQRPNIDPGQYVAAHGPISTSGSGLQSVACDLTHRQVGSSSGSSSKASSSPSFSKFYK >CAK8541569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:254606573:254608647:1 gene:gene-LATHSAT_LOCUS10482 transcript:rna-LATHSAT_LOCUS10482 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTHVDEKLCYQILHEYKIHNAEILFREDATVDDFIDVIEGNRKYMKCVYVYNKIDVDGIDDVDRLSRQPNSVVISCNLKLNLDRLLSRMWDEMGLVRVYTKPQGQQPDFSDPMVLSADKGGCSVEDFCNHIHGSLVKDVKYVLVWGISARHYPQHCGLSHVLRDEDVVQIVKKKETDEGGRGRFKSHSDALARISDRQKKAPLKQ >CAK8567937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558108539:558111296:1 gene:gene-LATHSAT_LOCUS20945 transcript:rna-LATHSAT_LOCUS20945 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAPLMNSNTSTLVLHPSSQVEEPKKENMLSIFDSNMLQKQVNIPKEFIWPSIDLVSTTQEELKEPLIDLSIMNSGDEKAIASAAELVRKACLSHGFFQVINHGVKQDLINDAYCEVDSIFKQPISKKLSAKRVAGGISGYSGAHADRYSSKLPWKETFSFVYNHHQNSSNSQIVNYFKSVLGEEFQNTGLVYEKYCEAMNELALVIMELLAISLGIDRLHYRRFFQDGDSIMRCNYYPPCKSSALTFGTGPHSDPTSLTILHQDQVGGLQVFANHKWLSVRPRSEALVINIGDTFMALSNGRYKSCLHRALVNKYTVRRSLVFFVCPREDKVVRPSENLLSKNEARKYPDFTWASLFEFTQKHYRADVATLQSFFQWHSSSKLL >CAK8567938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558108545:558111296:1 gene:gene-LATHSAT_LOCUS20945 transcript:rna-LATHSAT_LOCUS20945-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMNSNTSTLVLHPSSQVEEPKKENMLSIFDSNMLQKQVNIPKEFIWPSIDLVSTTQEELKEPLIDLSIMNSGDEKAIASAAELVRKACLSHGFFQVINHGVKQDLINDAYCEVDSIFKQPISKKLSAKRVAGGISGYSGAHADRYSSKLPWKETFSFVYNHHQNSSNSQIVNYFKSVLGEEFQNTGLVYEKYCEAMNELALVIMELLAISLGIDRLHYRRFFQDGDSIMRCNYYPPCKSSALTFGTGPHSDPTSLTILHQDQVGGLQVFANHKWLSVRPRSEALVINIGDTFMALSNGRYKSCLHRALVNKYTVRRSLVFFVCPREDKVVRPSENLLSKNEARKYPDFTWASLFEFTQKHYRADVATLQSFFQWHSSSKLL >CAK8560909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56924966:56926897:1 gene:gene-LATHSAT_LOCUS14548 transcript:rna-LATHSAT_LOCUS14548 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTCFSSLPPPSFTKTKPSPMCSMSKDPVREWILSEGKASKITKISPIGGGCINFANRYDTDAASFFVKSNRTIGPSMFEAEALGLGAMYETGTIRVPKPYKVGPLPTGGSFIIMEFIEFGGSRGDQSVLGRKLAEMHKSGKSSKGYGFDVENTIGSTLQINTWSSDWIQFYGEHRLGYQLQLALDRYSDRTIFEKGQRLVENIAPLFENVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYNSYFEVIPKQPGFEKRRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLAYLKA >CAK8571089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:290420871:290424492:-1 gene:gene-LATHSAT_LOCUS23782 transcript:rna-LATHSAT_LOCUS23782 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCTIPNVKNRKQKTKENPGKDEKTKAKKKKNNNKDTKLEVLKEPTGREIGLSYELGRELGRGEFGITYLCKDRKTGQELACKSISKNKLRTAIDIEDVRREVEIMRHLPKHPNIVTLKDTYEDDDDVHLVMELCEGGELFDRIVARGHYTERAAASVVRTAVQVVQMCHKHGVMHRDLKPENFLFADKKETSPLKAIDFGLSIFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVLDFKREPWPKVSDNAKDLVKKMLDPDPKRRLTAQEVLDHPWLQNAKTAPDVSLGETVRARLMQFSVMNKLKKTALRVIADHLSVEEVAGIKEGFHVMDTENNGKINVDELRVGLQKLGHQIPDADVQILMEAGDVDQDGYLDYGEFVAISIHLRKISHDEHLHRAFQFFDKNESGYIELEELRNALADEIDKNSEEVINAIMHDVDADKDGKISYEEFAAMMKAGTDWRKASRQYSRERFTSLSLKLMKEGSIKLSDEAR >CAK8574464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:199618:201153:1 gene:gene-LATHSAT_LOCUS26808 transcript:rna-LATHSAT_LOCUS26808 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKIAAEKWPKSSSKKVEESLIVMKEYDEVKHKVAVENLERLCEVGQRGKPSLVTDLLGDPICRIRLFPLHVMLVAEFGEEGQVVGVIRGCVKSVTRGNLAYVLGLRVSPKHRRFGIGTKLVQHLEEWCKQKEAKYAYMATECTNEASINLFTKKCGYSKFRTLTMLVQPVHAHYKPISTNISVLRLPPRLSGPIYKHIFANSEFFPKDIDMILSNKLNLGTLMAIPRKYLNRWDPKKGIFPPSYAILSVWNTKDVFKLQVKGVSPFVHACCVGTRLLDKCLPWLRLPSFPNVFRPFGIYVMYGLHMEGKYGKQLMKSLCGFVHNMARDDGDCGTIVAEVSQRDPVKEAVPHWRKFSWAEDMWCIKNLEDINKECGPFDSFHYKSFSDVIFVDPRDF >CAK8539847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528946034:528947617:-1 gene:gene-LATHSAT_LOCUS8907 transcript:rna-LATHSAT_LOCUS8907 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKKQPLVADDSPPRAAQESEESIQETSSTETKLRVFIVFYSMYGHVEGLAKRLKQGVDAVDGVEGVLYRVPETLSIEVLNQMKALPKDETIPVISPEELPAADGVLFGFPTRYGSMAAQMKAFFDSTGSLWQGQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHQGMLFVPVGYTFGPGMFNLDSIRGGSPYGAGVFAGDGTREPSETELALAEHQGKYMAAIVKRLAKS >CAK8577217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:545114246:545114779:-1 gene:gene-LATHSAT_LOCUS29344 transcript:rna-LATHSAT_LOCUS29344 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNNDQEFWALVEEEFMDDGDEEQQLQNSGSSSRRKRRTTIDRGREERHNRLFNDYFSKNPVYTDVQFRRRFRMHRHVFLRIVDAIDNYDEYFQMRIDAIGKMGLSSLQKCTVAIRMLAYGSPVDVVDEYVRIGESTSTECLQSFVKGVNVVFEAEYLRKPNNTDVEHLLQQ >CAK8565136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44500715:44501536:1 gene:gene-LATHSAT_LOCUS18372 transcript:rna-LATHSAT_LOCUS18372 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYWSRGRGYGHGGRGSNKMLPHPESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYVDDEDMKKNPNDGWSIKTKYLESRGYPSLHGKSRPNLEILLTVTESVTITHHYKNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINQEMVNKPIPNWFYECWAKFGTSLEILPKEILNLYNPWCDNSPLIVKIYLII >CAK8540204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:544946371:544949938:1 gene:gene-LATHSAT_LOCUS9232 transcript:rna-LATHSAT_LOCUS9232 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTTSSTRSKLSENSNKGAAQATLRVSKVSKVVSKSETESPPALQNSRVSVERSPRSVNSKPPVERKSVKASSTTPDKQAPRAAKGSELQNQLNVAQDDLKKAKEQILQVEKEKEKITDELKEAQRVAEEANEKLREALVEQKRAKEESEIEKFRAVELEQAGIETVKKKEVEWQKELESVRNQHALDVAALASTTEELQQIKQELAMTCDAKDQALNHADDATKIAEVHAEKAEVYAAELAQLKALLDSKQETEASDNRLIMKLKKEVKALKQELDKGMSYDEKLTEKENLIEQLNVELETSRMAESYARSLLEEWKKKVEELKMKVEESNKLERSASESLESVMKQLEGSNDLLHDAESVVGPLKEKVGLLEMTIGRQKTDLEESERRLLMVKEENLEISKKIESLKSELETVKEEKEQALNNEHLAASSVQTLLEEKNKLINDLEKSKEEEEKSKKAMESLASALHEISAESREAKENLLGNQAERQSYENQIEDLKLVLKGTNEKYESMLDDARHEIDVLIFSIESSKNVFENSKAEWEQKELHLVSSLKKAEEENAATEKEINRLVYLLKEVEEEANSNREEETRLKENLNEVEAEAIHLQEALKEVTSENVKLKENILDKENEMQNVFHENDELRAREAESIKKVEELSKLLEEATTRNHNEQENGDLSDSEKDYDLLPKVIEFSEQNGHGYVGEDIPKVELSINQGLKHSLQEESIILNDKTDEKIESPEPVHANEKPKEDERKGNDDPEEVEFKMWESYKIEKKEFSFSPEREAEPESLEEEVESKVEEDGSRESFDKINGTAVTENIDNGGSSPLKEQQQLKKKKKPLLGKFGSLLKKKGGSNQK >CAK8576835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517634351:517635019:-1 gene:gene-LATHSAT_LOCUS28998 transcript:rna-LATHSAT_LOCUS28998 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSASLRLALLEKVMARRFSSQIGLEIFRLKVGFSGCSRKVSTVNEMGTWEDEWTDCSNLLDQVVANNNVADNWKWLLHDSFSYKVSSFYTALTSSASVHDIGSDGATLLEILWKTVLPAKVQTFIWRMALDRLPTRSNLMKRRVIDYSQNLDCAFCSSSYEDVSHLFFSCTKSSLVWNMICDWVDIENISEDCCNLHAKV >CAK8571989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:500432888:500433964:-1 gene:gene-LATHSAT_LOCUS24598 transcript:rna-LATHSAT_LOCUS24598 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGVMERNTEWSVIEEDRWRKGPWTSEEDKLLIEYVNLHGEGRWNSVARLTGLRRNGKSCRLRWVNYLRPDLKKGQITQQEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKKLSDAAEKAKNRCFKRQQQQLKQQQHQIQQQQQQLQYNLDMKGIIDLLLDENDYYSVPSTSQETQEIVNVYTDTPEQQGYSYSMLNGNGNCNVYAQESSNEEILWDGLWNMDDVLGNSMQPMMLQAKPASVSMCTI >CAK8540978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:38213452:38213850:-1 gene:gene-LATHSAT_LOCUS9932 transcript:rna-LATHSAT_LOCUS9932 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQEKLVHYQVEQQPSWSYYMTRVTRTMEEDQMERIMRLATQNAVVIFSISSTSCMCHAMKSLFSGMGVNAMVHELDQDHKPFMMRLLGNSASLPVVFIGGKLVGSMDTVLAFHINGSLVPLLKHAGALWL >CAK8564660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5606520:5608371:-1 gene:gene-LATHSAT_LOCUS17942 transcript:rna-LATHSAT_LOCUS17942 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKQENQNPPTSQDSQRKQQITILNTTNERLKRDEWSEGAVTTLLESYESKWILRNRAKLKGQDWEDVAKHVSSRSNSTKSPKTQTQCKNKIESMKKRYRSESASSDVSAWPLYSRLDLLLRGTGQLATNVATKLLQVSSNNTNSNINHGLVLLEPSQQGIDEANQLPAPISVANPPLIATAQNSHGSNGFDKFAKEADELETKSSDHAANKNPLETDSSTPALYSEKDEERCKKRRMRSEKNKNSKRQKKEAIGIAESIRWLAEVVVRSEQTRMETLKEIEKMRVEAEAKRGEMEIKRTEIIANTQLEIARIFANVNNKDKDVDSSLRIGRS >CAK8570865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:137220328:137223713:-1 gene:gene-LATHSAT_LOCUS23577 transcript:rna-LATHSAT_LOCUS23577 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLRKLRTSSVPPMETEDSISDSSNFEYSFAVVYAGPPLNHSIPEIPTFKIDQVPIASVAPSLSHDFNVPVIQPLGKLHHRNKLKHKRTTSGSTVYPNLESHVVEIEASKSDVHVHVHVDLNENENGVVDDVATNSDTKESDSGSGIGFRTSEICSISEEEEETVKTKHVKHPSAVTFCDPESNCVVEIVSDEFFDSRNVSVPVKAHAVRAGKKGSCYKCLKGNSLTEREICIVCNAKYCRYCVIRAMGSMPEGRKCVGCIGYGIDESKRRKLGKCSRMMKQLLSETIVDQIMEAERLCEANQIPPELVQVNSQRLNREQLKLLLHCDHPPKDLKPGSYWYDKASGFWGKKGQPPCEIISPQLDVGGRLEKNASHGNTNVMINDRVITKKEMLILKMAGVQCEGTPHFWVSPDGSYREEGQKNDRGRIWDKVGTKVACAILSLPVPSKSASLNDEVETAKKLGLHKKIFQNMLLVGCVNSGACTIFKQAKLLYNAPFSENELQNIKLVIQSNLFTYLGILLEARQDFEEESLLENRKRLSLDESTSSGKNVYTTPYSIGQRLKGFSDWLLKCMLSGNMDAIFPAAIREYGPMVEELWRNEAIQATYNRRNELKTLPRSANYFLDRAIEISKIDYEPSDMDILYAEGMSLLNSLTSMEFSFPKSSREESLHPDYQHDSSLRYRLIRVHPKSLGANCKWLEMFEDTDVILFSVSLTDYDEYIVDSKGVSTNKMLVSKNLFENIIDHPSFKKKKFVLILTKFDLLEEKIEHVPLKKCEWFSDFNPVTSHNKKRSGNGNNGTMPSLAQSAFQYIAIKFKRLFHSFTERILFVSLVTGLEPDTIDDALRYGREVIEWEKWDPSIVNEKSEITSTSVEEASSS >CAK8566197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390159503:390162760:-1 gene:gene-LATHSAT_LOCUS19351 transcript:rna-LATHSAT_LOCUS19351 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRNGSAQASNGKSSAQAANGKSSAQAANGKSSAAGSAYAIDLTTFQTRLKSFYNHWDEHRADIWGSSDAVAVACPPPSEDLRYLKSTALFLWLLGFEFPETIMVFTKVQIHVLCSQKKASIIESVKKSAKESVGVEIVLHVKPKNDDGASLMDAIIRAIRVQSKSDGHDSSTVGHIAREEPEGKLLEAWAEKLKNSKFNLSDVANGFSAMFSAKSSEEITSIKRAAYLTTSVMKNFVVSKLENVIDEEKKILHSTLMEETEKVILEPSKVNCKLKADNVDICYPPIFQSGGKFDLRPSAVSNDEALYYDSASVIICAVGARYKSYCSNIARTFLIDADPIQSKAYEVLLKAHEATIGSLKPGNKLSAAYLAAVSVVEKDAPDMVSCLTKSAGTGIGIEFRESGLNINAKNDQIIKEGMVFNVSIGFQNLQCENSKSKNKVFSLLLADTVIINKDKADVVTSMSSKALKDVAYSFNEDEEEEKPKSKAVHSGAEPLMSKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGNEAGDNRSSSRSSAELVAYKNINDLPPSREMMIQIDQKSEAVLLPINGSMVPFHVAFIRTVSSQQDTNRNCYVRIIFNVPGTPFSPHDSNSVKFQGSIYLKEASFRSKDSRHISEVVQSIKTLRRQVVARESERAERATLVTQEKLQLANNRFKPIRLPDLWIRPAFGGRGRKIPGTLEAHVNGFRYSTTRSDERVDVMFANIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERERKNKINVEFQSFVNRVNDLWGQPQFSGLDLEFDQPLRELGFPGVPHKSSVFIVPTSACLVELIETPFLVVTLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPKSFIEGGGWEFLNLEATDSESDNSEESDKGYEPSDMEPESDSEEEASDSESLVESEEDEEEEDSDEDSEEEKGKTWEELEREASNADREKGNESDSEEDRKRRKAKAFGKSRGSLSGSMPKRPKLR >CAK8532546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:289995801:289996430:1 gene:gene-LATHSAT_LOCUS2240 transcript:rna-LATHSAT_LOCUS2240 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLGAFKPTCNVLISFTDGKNRKQVPFKKENGQSVTVPLFHSQENIAGKITIEPMQGKKINHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTINRGYAGSIVEYQDFVVRNYSPLPQINNNIKMEVRIKDCLHIEFEYNKSKYHLKDAIIGKIYFLLVRIKIKKHGP >CAK8566118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:381544906:381545691:-1 gene:gene-LATHSAT_LOCUS19281 transcript:rna-LATHSAT_LOCUS19281 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQSTLKLHNLKPRASRSNFETNPSFKTITKTQNKMKLLRVILTDHDATDSDSSGEDEPSPQRRKREITEIAMHFPLVSDSPKTSPSSSACSTDPTRFKRRGKPVKKSGSSCRQNIFRGVRQRPWGRWTAEIRDPNQRKRVWLGTFDTAEEAAAVYDEAAVKLKGPKAITNFPSTDAVKKDVNEPPKIFSGDGFASPTSVLPYYDGDSTPFDSFRYGTVDAFGFDIDTPLSLTDVNFMGFRKEKEEFGEFDLDEFLTWPN >CAK8578714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:649075406:649077396:1 gene:gene-LATHSAT_LOCUS30702 transcript:rna-LATHSAT_LOCUS30702 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSSPSTFPVLHDMEIENRGKGNEFFPEDSFKSWSEYGKAFLRTPIRLKERMFTRSKDYMEIVEMKARSNHQMKKTLNWLDLIWFGIGAVIGSGIFVLTGIEAREEAGPAVVLSYVVSGISALLSVFCYTEFAIEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIGSAAVARSWTSYFATLCNYNPDDFLIIVKNMNPNYGHLDPIAVVVLIAIAILAVFSIKASSMFNKIATMVHLFIIAFIITVGLINAKPENYASFSPFGTRGVFKASAVLFFAYIGFDAVSTMAEETKNPGRDIPIGLVGSMVIITIIYCLLASTLCLMQNYKTIDINAPFSVAFSSIGWGWAKYIVAFGALKGMTTVLLVNVVGASRYLTHIARTHMMPPWFALVHERTGTPLNATAAMVIATSIVAFFTNLRILSNLLSISTLSIFVLVAIGLLVRRYYSSGVTTKENQVKLIVFVVLIIGSSCGMSVYRAMSDGWIGWAITAPFWLIGTGGIFFMVPKAKKPKVWGVPLVPWLPSLSIAINIFLLGSIDKDSYIRFGIWTGILLIYYILIGLHASYDASKEVESRHCMSQYLDKEIKSMEEEGKN >CAK8560265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12358201:12360868:1 gene:gene-LATHSAT_LOCUS13954 transcript:rna-LATHSAT_LOCUS13954 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKSIFRKIFSMILVKEEKSMKPILLKCGLAFALTFAGFIYSSFRTRRIKSSPKDHHPSGHGSDVSCRGIRASSSSCNIHSEGSNFDADEKGINKVVCRSSSTGVSPRTKHNGEKGEFLVPELPDSSAAGYSSHEKDAYEQEIRKLRNKIIMLQERERTLEVQLLDYCGLREQETAVMELQNRLKISNMESKMFNLKVETLQSENKRLELQVAGHAKLLAELEASKTKVKFLKKKIRHEAEQNKEHIVELKQKVTKLQELESKAVANDQEIQMKLEKLNGLEVEAEKWRKSSLRLQKENSDLARRLESTQILANAVLEDPEADALREESDRLRRESEELTKEMVQLKADRCTDVEELVYLRWLNACLRHELRNYQPPPGKTVARDLSKSLSPASEKKAKQLILEYANAEGRISISDLDSDQWSSSQASYGECEEFSSHDNLSNPRVSNATNKSKIFGKLMKLMRGKDSSSNLSSRRTSLEKSRSIGCNLDIGADGLRSECESLIGTSQSSMDLERTLSLKEETRRNSSAERSKSLCPRKSGSGDLKMIGDSFSESYSTVKSNLIKYAEALKDSSTSETPKREIRRRSASYSSF >CAK8560266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:12358207:12360868:1 gene:gene-LATHSAT_LOCUS13954 transcript:rna-LATHSAT_LOCUS13954-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIFRKIFSMILVKEEKSMKPILLKCGLAFALTFAGFIYSSFRTRRIKSSPKDHHPSGHGSDVSCRGIRASSSSCNIHSEGSNFDADEKGINKVVCRSSSTGVSPRTKHNGEKGEFLVPELPDSSAAGYSSHEKDAYEQEIRKLRNKIIMLQERERTLEVQLLDYCGLREQETAVMELQNRLKISNMESKMFNLKVETLQSENKRLELQVAGHAKLLAELEASKTKVKFLKKKIRHEAEQNKEHIVELKQKVTKLQELESKAVANDQEIQMKLEKLNGLEVEAEKWRKSSLRLQKENSDLARRLESTQILANAVLEDPEADALREESDRLRRESEELTKEMVQLKADRCTDVEELVYLRWLNACLRHELRNYQPPPGKTVARDLSKSLSPASEKKAKQLILEYANAEGRISISDLDSDQWSSSQASYGECEEFSSHDNLSNPRVSNATNKSKIFGKLMKLMRGKDSSSNLSSRRTSLEKSRSIGCNLDIGADGLRSECESLIGTSQSSMDLERTLSLKEETRRNSSAERSKSLCPRKSGSGDLKMIGDSFSESYSTVKSNLIKYAEALKDSSTSETPKREIRRRSASYSSF >CAK8576241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:434067327:434067812:1 gene:gene-LATHSAT_LOCUS28447 transcript:rna-LATHSAT_LOCUS28447 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYFAKRNQLFLSVSTDRDSSLSFTTDSDSMFEFQESDIYSSNHDNSVEFGKSFHGSRSVKKPSSSKTKDARGTPASVPVNVPDWSKILGDEYRNSYAKRSGVEEEGEEEDENGWLPPHEFLARKRAASLSVQEGVGRTLKGRDLSRLRNAIWAKTGFQ >CAK8576035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:388910078:388911103:-1 gene:gene-LATHSAT_LOCUS28253 transcript:rna-LATHSAT_LOCUS28253 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFKCVVHHSGEFSREFANFTKSGYVGLKEIWDVDPDYWSYFEILDKLRELGYPTIDKIWYYDDMIANDIVQLENDNGTDRMRTIAVLTKECHLYVTHPVSEPDVIEEPILSLPDVSILGEDMCGKGSNMVNNLDGTIMAEDEVGEVYERRSNEGDTTLDEDVVGEGTTIDEVEENVRIEDNVEIEENVGIEENVGIKENVGIEENVGHVGANCETEENVGLEETNCNNMDDVGTICEIEENVDVGMNVGVHEINEGMNCNQEQDEVVICNQGDVPEDGSDNNALNVNFEDSENDIGINGEIAVDEDEVNTTSKKKGKGKGKGSGKGKGKTKRKGKGHC >CAK8576069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399010866:399015311:1 gene:gene-LATHSAT_LOCUS28286 transcript:rna-LATHSAT_LOCUS28286 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQKENENKGFFSAMSSRFSLFSNAMHRSVNGLLGYEGVEVINPEGGKDDVDDEAQRGRWKPEERDGYWKMMQNYIGADVTSLVTLPVIIFEPMTMIQKMAELMEYSHLLDEADKSEDPYMQLVYASSWAISVYFAYQRTWKPFNPILGETYELTNHNGITFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRHGVVLDLVPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKAVLYFQQCGWFGAGRYEVDGYVYNSSEDPKILMTGKWNQSMSYQPCDSEGEPLPNTELKEVWHVADVPPNDKFQYTHFAHKINSFDTAPRKLLASDSRLRPDRYALEMGDLSKSGAEKSSLEERQRAEKRAREEKGHKFSPRWFELTEEVTSTPWGDLEIYQYNGKYAEHRAAADNSGSVVDDVDVKSIEFNPWQYGDLATE >CAK8534399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723161338:723170051:1 gene:gene-LATHSAT_LOCUS3948 transcript:rna-LATHSAT_LOCUS3948-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSNASESAMVIETLRSKGWYLEDTDDLKAIIVIQTALADDSSKVLQSVESELLNSDLRSIGAKSLPEPTVLRNPSFYLQGPKVLQISSARDISMSSVDIISRNSSGRRVLRLCLTDGHSEITAVEYSHIPFIPDNVVPGTKIRLENKVPVHSGIACLNPKALTVLGGVVQSLYEEWQMNQKYSGFSRSSLRQLEDHDTGGPPPFVKLQVGSISDNNSRSSKPIAGIGRDEMRPTAIQQHRNLKEDIVDENLKSKLPPERAEGKPSNSELRPKERAEDKPSSSSTRPKEVVESVPVQNQAAAQKLLQKLNQPNQRDRHPRGRRHRGKGQEEDEVVFTLEEYEKRKTQVKPSYNDEALDISRDEYLARQLQNQFNLEHSQVQRGPRESEAENIRMNMFTYEKDSDDSYQMGRGGRGGRGRGRHG >CAK8534398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723161338:723170051:1 gene:gene-LATHSAT_LOCUS3948 transcript:rna-LATHSAT_LOCUS3948 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSNASESAMVIETLRSKGWYLEDTDDLKAIIVIQTALADDSSKVLQSVESELLNSDLRSIGAKSLPEPTVLRNPSFYLQGPKVLQISSARDISMSSVDIISRNSSGRRVLRLCLTDGHSEITAVEYSHIPFIPDNVVPGTKIRLENKVPVHSGIACLNPKALTVLGGVVQSLYEEWQMNQKYSGFSRSSLRQLEDHDTGGPPPFVKLQVGSISDNNSRSSKPIAGIGRDEMRPTAIQQHRNLKEDIVDENLKSKLPPERAEGKPSNSELRPKERAEDKPSSSSTRPKEVVESVPVQNQAAAQKLLQKLNQPNQRDRHPRGRRHRGKGQEEDEVVFTLEEYEKRKTQVKPSYNDEALDISRDEYLARQLQNQFNLEHSQVQRGPRESEAENIRMNMFTYEKDSDDSYQMGRGGRGGRGRGRGRGRGRGRGRGRHG >CAK8563264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:587117464:587119329:-1 gene:gene-LATHSAT_LOCUS16692 transcript:rna-LATHSAT_LOCUS16692 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVSNENQGSEEMGFCEMLGKQFSDDFNFIGNVSSKKLDAKFGDPNGFSSKGGSVSKLSNSVVETNGWDSLIDLKLGRFGDHGHGGSILSSCESSTPPKRVRVHSLNAYCQVYGCNKDLSSCKEYHKRHKVCEVHSKTAVVIVNGIEQRFCQQCSRFHLLSEFDDGKRSCRKRLAGHNERRRKPQAGVHSANSRRLFQPCGDIRFHGTKPPQASFICPEVFSNGFSRPIKSEHETGFRPLSSVPVVNGHHQPRSSLASYNGKQFPFLHENVATSTTGSSMFGENNNHYAHSIGNASLGREDFNAFHAASTVRGLSGISDSCALSLLSSQSKNTSSQSSGIPFDHPSVIPSSHSHLYSIRSQTTSSSRVSDGFLSELSPADGGHLSPLLIPDNNDIVNFEMADGIFQDSDFVNAKDHLSCEDDATIDLLQLSSQLQRVEHHRQSLQVKNESDSSCTLRIT >CAK8571261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:356579615:356579818:1 gene:gene-LATHSAT_LOCUS23939 transcript:rna-LATHSAT_LOCUS23939 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEPRASWFSPKCVEAQQLNGHLGVKHSFSAGRQSGIKSKQTLNTRYNPDKSRSASDTMEDKLHRQ >CAK8566825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465664462:465664962:-1 gene:gene-LATHSAT_LOCUS19930 transcript:rna-LATHSAT_LOCUS19930 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDLPVVGNRFTWFNSSGRCKSRLDIFLVSEDRINRWKLKAQCVCDRDISDHRPVWLKSNNLNWGPKLFKVFNSWMEHPEFLKFVRYCLNSFTFSGTSTFIIKEKFKALWDKLRWWNLNIFGLIDLRIQEGVVCLNEIERDMLQDRVKQSIVNIKKRSEAWELI >CAK8539686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521606310:521607062:1 gene:gene-LATHSAT_LOCUS8763 transcript:rna-LATHSAT_LOCUS8763 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARIQAQRYSFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSILKQDYKDDITREEAVQLALKVLSKTMDTTSLTSDKLELAEVFLAPSGKVKYQVCSPENLTKLLVKSGVTQPATETA >CAK8578615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641754624:641757180:1 gene:gene-LATHSAT_LOCUS30611 transcript:rna-LATHSAT_LOCUS30611 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNIITTCHFNGVVSTNTPIGFSFFNTDTYAFKIHVNSDFFHFKDRMETKLARCVEEIIYRHPTLNENDCTIFYIMTPIKTDEDVKAMFRCHMMFGQLPTIEVYVRLVQNPETFPTQETQSHWYGMSQTSDDEPTQNNLPFIPNEEVGEPSDDDILEEIRMQDIFGNSDDEDNEDEDIVVPSTQPIRAQPVSLYNPPTHMQNICAEYDDTTSVFGNAIQSHIGDEIDIGMEFENKEACILALQHWHITHCVDYWVCKSDNKRYVIKCKKEECKFKCRASFRQRNSKWVIGKLSGSHTCTTMSMAQDHSKLSSEMVSHSIRELVNSDASLKVKVIIAHILEKYGYIISYRKAWIAKCKAVESLYDNWETSYNDLPQWLLVMKTFLPGTVMDLQTIPAISSDGSQISGKRTFLRLFWAFRPCINGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNVCLISDRHESIKSAYNNPENGWQNPPSSHVYCIRHIAQNFMREIKDKVLRKLVVNMGYALTEASFHYYRREIRRSNAEALNWIDNIPREKWARAFDGGQRWGHMTSNLAEAINSVLKATRNLPITALVQSTYYRLGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGHPKGTFSVDLRNCRCDCGKFQTFHLPCSHVIAACSSIRQDYVIHIPEVFTILNVFKVYKESFLGLPHDENWPKYEGFTLCHDDSIRRNKKGRPKSSRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVAGPSNRPNT >CAK8536978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:47446901:47448412:1 gene:gene-LATHSAT_LOCUS6299 transcript:rna-LATHSAT_LOCUS6299 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTDMLQAKRGIRQRDPLSPMLFVLIMEGDEISLHMILHTFRAFSMSTGLIMNPNKCGIFFGALDKEKRKILQEMSGFQEGTFPFRYLGIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSYAGRIQLVKSITTTMVQYWLHCLPMPKTVIKKIDSICRSFIWTDKNTVSRKCHVAWKRMSCPTAQDGLNLINLHIWNNVLLLKCLWNLCNKSDTLWVKWIHIHYFKDKQIMNYEIKTQNSWIMRNILKQRDTMDLIRNEWDQLLISHKFKASVFYKVLIDDGTRVPWKNLIRSNKSRPRAFFCLWQACHGKLATKDRLKRFGMIQDSRCSLCHTEEESMNHIFFCCQETRHIWKKVLHWFNIVHTPQPWDAELIWITNMTKGKGWKVDIFKMLVAESIQCIWEYRNSATFDKPVDITTVATNIIDNVTYRGWKNLKIRKHLVSYMM >CAK8540919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:31819584:31830864:-1 gene:gene-LATHSAT_LOCUS9876 transcript:rna-LATHSAT_LOCUS9876 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPDETGGGVATEEDTTIDIHTTHGTPIRYLPLDHLYSSTSPCSGSSNVMSKKVKARKLNLTATTANNNNSDDDNNIHFNGEIDFPIDDKKATSTSMVVYAKPKPPLLYVYSRRRKRSSILVSFRDSLLLRREEMDSERTVLKKRKIGSTELERLGVDWNAMGKLHGPRLRECRNPIGSFGIDGSNNNNNKCSSVVKLPKLTPESYSLKRWVTLSFDGADPKAFVGLKCKVYWPMDSKSYTGHVKSYDREANIHHIEYDDGDEENLTLSNENVKYHVSRNDMERLKLSYAKVRDSNVSDYDVEEMLALAASMDDCQDFEPGDIIWAKLTGYAMWPAVVLDESLASSCKGLKTFIGGRSVPVQFFGTHDFARVRLQQVKSFLNGLLTDLHSKCKKQSFIDGLEEAKRYLSAQKLPLEMIELRKRCTADNHNNIRGEDGGCTDSGEDCVNDRGTWAALQNIDTFPYEVGDLQILSLGKIVGDSTAFRDGKSIWPEGYIAVRKFTSVTDPKVSVPYKMEVLRDPESRVRPLFRVTVDGGEQFNGYTPSTCWNKIYERIKKLEKVVSESSVADGEVESGYKSGSDMFGFSNSKVAKLIKGLSKSKVSLKKSICKSGSGLPLGYRQVHINWFDLDKCNVCHMDEEYETNLFLQCDKCRMMVHARCYGELEPVNGVLWLCKLCRSGAPPPPCCLCPLIGGAMKPTTDGRWAHLACAMWIPETCLADVKRMEPIDGLSRISKDRWKLLCSICGVSYGACIQCSNNSCRVAYHPLCARAAGLCVELENEDRLYLLSLDDDEDQCIRLLSFCKRHRQPSHEHSVADERVQVIGQCSDYKPPPSSSGCARSEPYDYSGRRGRKEPEVLAASSLKRLFVENQPYLVGGYCQHGLLNDLEPSGRGVCSKFFCSEQRLRMSVVDSADNILSVPEKYKYMKETCRKQLAFGKSRIHGFGIFAKHPYKGGDMVIEYTGELVRPSIADRRERFIYNSLVGAGTYLFRVDDARVIDATRAGSIAHLINHSCAPNCYSRVISVNGDEHIIIFAKRDINQWEELTYDYRFFSIDERLACYCGFPKCRGVVNDTEAEERVATIYAPRSELVDWKGE >CAK8560834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48776147:48779164:-1 gene:gene-LATHSAT_LOCUS14479 transcript:rna-LATHSAT_LOCUS14479 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVGAHDLMPKDGEGSSSAFVELHFDDQKFRTSIKEKDLNPVWNEKFYFIVADQSKLPSLTLDACVYHNNNKGNNSKVFLGKVRLTETSFVPYPDAVVLHYPLEKKYTFSRVKGELGLKVFVTDDPSVRSTNLVPDEKPSVDSDLHSNKDQPPGSLTDSIMNMFSRKKNAPRHSFHAIPGSNKEEHRSSPPAAAKMNTDHGTSEMKSALAPKFMHTYADSLSPMDYALKETSPSLGGGQVIGGRVIRGNRSSNTYDLVEPMRYLFVRVARGRDLPSKGVTGSLNPYVEVKAGNFKGTTKHFEKNQEPEWNEVFAFAKDNLQSTTLEVELKDKSTVLDEIVGTVRFVLHDVPTRVPPDSPLAPEWYQIDKKGKKKKGELMLAVWFGTQADEAFPDAWHSDTLFPGENSSVSHYQMRSKVYHSPRLWYVRVRVIEAQDLILSDKSQFSDAYVKVQTGHQILKTKLVQSRTKNMRWDQELMFVAAEPFDEPLILSVENRVGPNKDETIGVAVIPLTKVDKRADDRIIRTRWYNLQESMSSAMDGEHEKTNDVFSSRIHLSVCLDGGYHVFDESIYHTSDLTPTSKQLWKKPIGVLELGILKVDGLHPMKARDGRGTSDAYCVAKYGRKWVRTRTISNSLDPKYHEQYTWEVFDPATVLTVGVFDNCQVNGPDNKDLLIGKVRVRISTLETGRVYPNSYPLLMLHPSGVKKMGELNLAIRFSCYSMVDLMQQYFKPHLPKMHYKRPLNVMEQEMLRQQAVNVVAARLSRAEPPLRKEVVEYMSDTKSHLWSMRRSKANFYRLMTVFSGFLSVGRWLGEVSTWKHPMTTVLVHILFLMLVCFPELIMPTMFLYVFVIGMWNWRFRPRHPPHMNPKLSYTDGVTTDELDEEFDTFPSTKSPDIVRWRYDRLRSVAGRVQSVVGDLATQGERVQALVSWRDPRASSMFMAFCLVSAVLLYMTPFQIPILIGGFYFLRHPMFRSKVPPAPVNFYRRLPALTDSML >CAK8577231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:546118882:546121236:1 gene:gene-LATHSAT_LOCUS29357 transcript:rna-LATHSAT_LOCUS29357 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRKLKRGPDLPSVLCFMIANCSYGTTQISNNRRMQSIVKFVGPCLAKSSSKALLLGFENKFFLQRLYISSMAERILVQAQDPAKVTIEIQNAINSNQLDYSWKLLEQHKHMEGFPRKSLFNLVITSYVETLDIEWLEKAYELVHRASKDGREDLLEKEVLIYLSFGLAKVRLPVPASTIIRKMIDMEYLPPVTAWSAVLAHMSQTAEGSFLAAELILEIGYLFQNNRVDPRKKNNAPLIAMKPNTNAFNIALAGCLVFEKSRKAEQLIDMMPRIGVKADANLLITMARVYERNGRREELMKLQRHIEEASNLTDIQFRQFYNCLLTCHLKFGDLDSASNMVLEMLRKAKEARNSLASAKFLVDAAKIDHNYSPRPVSVHSLSNSKDLEIVKDDQLIRNVILSYEEFSKDRNFLKLEAESKAVLGSLYAKLQMQVDLITTVHGILQPTETIYVKLVKAFLESGKTKDLAVFLLKAEREDSPFSNDNSALVHVINSCISLGWLDQGHDLLDEMRLAGVRTGSSVYASLLKAYCVANRTADVTSLLRDARKAGIQLDSSSYEAMIQSRVLEEDTQGALQLFKEMKEAKIPKVSPQYSGMLAKSVTETDEAALMTKLVQEIKDGQKVDCGVHDWNNVIHFFCKKRLMQDAEKALKKMRSLGHSPNAQTFHSMVTGYAAVGGKYLEVTELWGEMKGLASSSSIKFDQELLDSVLYTFVRGGFFIRANEVVAVMEKGKMFIDKYKYRMLFLKYHRTLYKGKAPKFQTESQLNKREAALIFKRWIGMI >CAK8577864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594843407:594845479:-1 gene:gene-LATHSAT_LOCUS29933 transcript:rna-LATHSAT_LOCUS29933 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMLSDELPKFSDIRRYFCPYCGICRSKKTLITSHINSQHKEELEKAKVESEPEAEVTLKDNTCEECGANFKKHAYLLQHMQSHSLERPYVCTLEDCNASYRRKDHLNRHLLQHQGKNFKCPVENCKSDFSLQSNLKRHLGEIHDENSTPARNGENQKRFVCPEIGCGKVFRYASQLQKHEDSHVKLKTVDVVCLEPGCLKHFTNSECLKAHVKSCHQYVTCDTCGTKQLKKNMKRHLRTHETGTSSEPFKCEFKDCDCTFSTKSNLQKHEKAVHFEVRPFVCGFPNCGMRFAYKHVRDNHEKTAKHLFTLGDFEEADEEFRSRPRGGVKRKCPTVDMLIRKTVTPPGQLENSLVKQACE >CAK8577865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594843407:594844700:-1 gene:gene-LATHSAT_LOCUS29933 transcript:rna-LATHSAT_LOCUS29933-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRSIQNERPYVCTLEDCNASYRRKDHLNRHLLQHQGKNFKCPVENCKSDFSLQSNLKRHLGEIHDENSTPARNGENQKRFVCPEIGCGKVFRYASQLQKHEDSHVKLKTVDVVCLEPGCLKHFTNSECLKAHVKSCHQYVTCDTCGTKQLKKNMKRHLRTHETGTSSEPFKCEFKDCDCTFSTKSNLQKHEKAVHFEVRPFVCGFPNCGMRFAYKHVRDNHEKTAKHLFTLGDFEEADEEFRSRPRGGVKRKCPTVDMLIRKTVTPPGQLENSLVKQACE >CAK8544706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701287391:701288109:1 gene:gene-LATHSAT_LOCUS13361 transcript:rna-LATHSAT_LOCUS13361 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGFCAVFLMVVIVFTFFSFSGTITNPSWFPDLVGTKCADETTPGAVIRKLKENVKSCTGYRVSLEDYNPVDPISGGDQKDVKPEPIEHGTPLMPFIPKSPPPKPAPGDYY >CAK8563715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626620058:626620303:1 gene:gene-LATHSAT_LOCUS17094 transcript:rna-LATHSAT_LOCUS17094 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQVDFSIPKIGQQKIDKSNELQKWSSHSQPQILCQYKFYPTYLTAEVIQMKVEDVKCNEVVSRFIIEKTKELQQRSLVG >CAK8570406.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43589692:43591591:-1 gene:gene-LATHSAT_LOCUS23155 transcript:rna-LATHSAT_LOCUS23155 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSITNNQEKKIDPDENVLAKHVAFFDRNHDGIVYPWETFKGFRAIGCGILLSSVACIFINLGLSQKTRPGKFPSIFLPIEIKNIEKAKHGSDSGVYDNHGRFVPSKFEEIFSKHAKKNPDALTSDELKGLLKANREPKDFKGWLASFTEWKILYVLCKDKNGLLHKETVRGVYDGSLFEKMEKEHSEKKKK >CAK8544672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698639299:698643166:1 gene:gene-LATHSAT_LOCUS13329 transcript:rna-LATHSAT_LOCUS13329 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSGGKYSSINNQQLQGSVPAVPDPPTVTVKFTDSNLQTFPPSQAQGKITGGSRPPRDADDSFSKPVSGGSSDEPQQGGWLRNFTVAAYKPYFDVDTSDVLERIIDSVFPFRGTFNEKTSINPDLYGPFWICTTLIFLAASMGTFVTYIAHKLKDQEWNYDINLVTWSAGLFYGYVTIVPLGLYVILKYFSVPAGLVQLFCLYGYSLFIFIPALCMSIVPLDIFRWVIAGVAGFMSATFVALNLRAHIKSAGEQWFFIVAGIFLLQLALSVVLKIYLFTVSV >CAK8532509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:274095613:274096083:1 gene:gene-LATHSAT_LOCUS2207 transcript:rna-LATHSAT_LOCUS2207 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIIFDHQKFQVTDTPGLLKRHEDDRNNLEKLTLAVLQHLPTAVLYVHDLSGECGTSPSDQFSIYKEIKERFPGHLWLDVVSKADLLKTSPVIYATEDRDLTQHELEKYRKAGPDGAINVSTTTQEGIHELKHRVNELLNMQMAKIRDVSNNQKK >CAK8541686.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:353615289:353618761:-1 gene:gene-LATHSAT_LOCUS10585 transcript:rna-LATHSAT_LOCUS10585-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKMKFDEDLGHDREDDFQTDDEENQAERVFDNLDDDTESDVNNSPPSRNLSNEVDFNTSWPQSYRKSMDMLTSVSQTPPGINFFKIIGLTEKSSFYTESTQDEYSNSLSLPLVSDEKTSIGKEELTSKPRLRSHASFPKVSEFSPSERQSSFTQSVINGINILCGIGLMTIPYAIKEGGWLSLVILLLFALACCYTGILLMRCLQSRPGLNTYPDIGQAAFGVAGRLGIAIILYMELFGSCVEYITLVSDNLASLFPNTGMNLAGTELSTHQVFAITAALLVLPTVWLRDLSLLSYISAGGIFASILVALCLFWAGAVNQVGLINPRMKILDIKNISVSIGLFGYGFAGHAVFPNVYSSMKDQSKFPLILYISVAFSLVMYVSVGVVGYLLFGDKVESQFTLNMPKELSASKIAIWTTVVTPLAKYALTLLPIVSSIEELVTSPRLSCYAMSIVIRTALVLASLVVALSFPYFGSVMALIGSLMSMIVAIIYPCACYLKLHSGRVSNIQMTNCILIIIVGVISAIIGTYSATTRIAGKGD >CAK8541685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:353615289:353618773:-1 gene:gene-LATHSAT_LOCUS10585 transcript:rna-LATHSAT_LOCUS10585 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRMPRGKMKFDEDLGHDREDDFQTDDEENQAERVFDNLDDDTESDVNNSPPSRNLSNEVDFNTSWPQSYRKSMDMLTSVSQTPPGINFFKIIGLTEKSSFYTESTQDEYSNSLSLPLVSDEKTSIGKEELTSKPRLRSHASFPKVSEFSPSERQSSFTQSVINGINILCGIGLMTIPYAIKEGGWLSLVILLLFALACCYTGILLMRCLQSRPGLNTYPDIGQAAFGVAGRLGIAGSCVEYITLVSDNLASLFPNTGMNLAGTELSTHQVFAITAALLVLPTVWLRDLSLLSYISAGGIFASILVALCLFWAGAVNQVGLINPRMKILDIKNISVSIGLFGYGFAGHAVFPNVYSSMKDQSKFPLILYISVAFSLVMYVSVGVVGYLLFGDKVESQFTLNMPKELSASKIAIWTTVVTPLAKYALTLLPIVSSIEELVTSPRLSCYAMSIVIRTALVLASLVVALSFPYFGSVMALIGSLMSMIVAIIYPCACYLKLHSGRVSNIQMTNCILIIIVGVISAIIGTYSATTRIAGKGD >CAK8541684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:353615289:353618773:-1 gene:gene-LATHSAT_LOCUS10585 transcript:rna-LATHSAT_LOCUS10585-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRMPRGKMKFDEDLGHDREDDFQTDDEENQAERVFDNLDDDTESDVNNSPPSRNLSNEVDFNTSWPQSYRKSMDMLTSVSQTPPGINFFKIIGLTEKSSFYTESTQDEYSNSLSLPLVSDEKTSIGKEELTSKPRLRSHASFPKVSEFSPSERQSSFTQSVINGINILCGIGLMTIPYAIKEGGWLSLVILLLFALACCYTGILLMRCLQSRPGLNTYPDIGQAAFGVAGRLGIAIILYMELFGSCVEYITLVSDNLASLFPNTGMNLAGTELSTHQVFAITAALLVLPTVWLRDLSLLSYISAGGIFASILVALCLFWAGAVNQVGLINPRMKILDIKNISVSIGLFGYGFAGHAVFPNVYSSMKDQSKFPLILYISVAFSLVMYVSVGVVGYLLFGDKVESQFTLNMPKELSASKIAIWTTVVTPLAKYALTLLPIVSSIEELVTSPRLSCYAMSIVIRTALVLASLVVALSFPYFGSVMALIGSLMSMIVAIIYPCACYLKLHSGRVSNIQMTNCILIIIVGVISAIIGTYSATTRIAGKGD >CAK8571864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:485449363:485450558:1 gene:gene-LATHSAT_LOCUS24487 transcript:rna-LATHSAT_LOCUS24487 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLVAAKTTAANKDKDKKKPTSRSSRAGIQFPVGRIHRQLKQRVQANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >CAK8568449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606684471:606684761:-1 gene:gene-LATHSAT_LOCUS21405 transcript:rna-LATHSAT_LOCUS21405 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHAYGITRRSLKSTFNHSIGNLLFCLLIAILLSQPMTHNHGLLMLLTQSALHSCEDLSVVLRRIVTR >CAK8567009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:479438266:479439207:1 gene:gene-LATHSAT_LOCUS20100 transcript:rna-LATHSAT_LOCUS20100 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQLSFRSQSILNAYDDSTFSQRISEEPLPTKTAQSTVTYIYQASIAGQWRHISVLWCKNIMNHTLNLKIDAVGEISLYNCKIDVKPWYFWNKKGYKSFGAGGYQIDVYWDLRSAKFCGNCPEPYGDYYVALVCHEEVVLLLGDYKKKAYKRMKMKQTLIEATLLIKRENVFAKKSFSTKAKLDEKRKESDIVVESSTVGNKDPEMWISIDGIILVHVKNLQWKFRGNQTVIVNKQPVHVFWDVHDWLFSDSGSGPGLFIFKPGVTEAESDKEERGIESCESDDDSATSGYYSTKSHTPFEACLVLCAYKLE >CAK8542549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525134758:525148166:-1 gene:gene-LATHSAT_LOCUS11380 transcript:rna-LATHSAT_LOCUS11380-3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTTVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGDYVDRHVSTREQITLQDTLDDMAYKTSQFGLDERFGDGDASQIGLDLDEVMLIDKDSTLEHNDFSANPQVSHQEDEKKEEVITTSDKMLVENSGSKVMLIDHDANLEHVDFGANPQVSHHEDEKKEDVIGTSNRMQVEDSGTKIDSSDGFPTSPEFHEYAQGPSTSPEFHDNAQDPSTSPEFHDYAQDPSTSPKFHEYAQGPSTPGLQEPNLFGTQSDQVINEADFHNSADLSSMYSTQNESGAHQTENNVIGCSLQNNGKHVGVDLHHEASDRVLTDVNNEIEEQDHFTRTVVMKDQGNLIPNNHCLASVPLMDSSNEDHTTTMLPECAGGYVDASGIHEKVERSQDGVQMNTESVMANLNETVSVVSGGVNINDSGVSPSCSHVTPDQEGLSCKLLSTMGGSRGSEFGGHLADVTTSLKHGVSNNSEVSKNEQQPNMVYEAQVSNIVSLLESSGRPEVVDVEARASQELKEAGILNFVSHEAEQRTQSHLQPCTSRVNNPSLLSIEGEKCHETDVSDPALGYQGTVEPSACEGKLDLGQSGMQFGSQMISNKMGSVNTFTASDIPEPEKMLSLGQSGMQFGNQMISNKMGSVNTFTASDIPVPEKMPSLGQSGMQFGSQLISNKMGSVNPFTASDIPAPEKTPSLGQSGMQFGNQMMSNKMGSVNTFTASDIPAPEKVLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPGPEKMLSLGQSGMQFGSQMTGNKMGSVDTFTAPNVPEPEKMLSLGQSGMQFGSQMTSNRMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTPEPEKMLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTVSNMPEPEKMLSLAYPHFGEMNHLLLESTPGNQGISGGHTDVAAVTSVSGKKRSYTESTLTVQSTGLVESYGGAQSRRTTGSIPDDDDLLSSILAGRKSSALKVKPSPATAEVPTAKRFRSTPRTSTLKRKVLVDNTMVLHGDIIRQQLISTEDIRRVRKKAPCTSDEILMIQRQFLEDKIFHKPIFTDLSADLTILQNGTFDLSGIKVYNYGLDSFSVEKVNDQQSYSKSNAEIHVELAHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNIGVHEVESHNEPMEVQPQEEAEVSYSKTNVGVHEVESYNEPMEVQPQNNAEAQPSEMPVPSERESHNETMEVQPQKTAEAQPSEMSVLSERESHNVTMEVQPQKTAEAQPSEMPVPSERESHNVTMEVQPQKTAEAQPSEIPLPLESYQSGVDFGSHDIDAHGRADIISDMKELSGSQNAEMDNAGVIFEISEAENYSVGPTNIISDVNELGSSQNAEMNNAGRNFETSEAENYSIVHSNIISDVNELGSSQNAEMNNAGGNFETFEAENYSVVPGHDETLSLTEVFENELCMPKDFDASQPLMDKTDDLAGSIHTNVLDIPTSEKMNTSTLENEFVDDQHDRNNADAIEIVEHAMEIGTRVETDGLEADNLYASLALGSKEASEYTDNQVSFHGDLQMEENGNSMLEGLNEDQVVSSGLGCDDKDAKAGALFSENIEVDCLHSVAPEDVKEGSNDEENPVFQEAELQNTMTPDVSAIRSPFVDQNDEDDMVDNDTGFLNVGDDEIIDDDDDDADGFAPGAEGTQLENSGWSSRTRAVAKYLQTLFDKEDLHGKQNLHLDKILAGKTRKEASRMFFETLVLKTRDYIDVEQTEPFANINLQPRGKLMKTDF >CAK8542548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525134758:525148166:-1 gene:gene-LATHSAT_LOCUS11380 transcript:rna-LATHSAT_LOCUS11380-4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTTVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGDYVDRHVSTREQITLQDTLDDMAYKTSQFGLDERFGDGDASQIGLDLDEVMLIDKDSTLEHNDFSANPQVSHQEDEKKEEVITTSDKMLVENSGSKVMLIDHDANLEHVDFGANPQVSHHEDEKKEDVIGTSNRMQVEDSGTKIDSSDGFPTSPEFHEYAQGPSTSPEFHDNAQDPSTSPEFHDYAQDPSTSPKFHEYAQGPSTPGLQEPNLFGTQSDQVINEADFHNSADLSSMYSTQNESGAHQTENNVIGCSLQNNGKHVGVDLHHEASDRVLTDVNNEIEEQDHFTRTVVMKDQGNLIPNNHCLASVPLMDSSNEDHTTTMLPECAGGYVDASGIHEKVERSQDGVQMNTESVMANLNETVSVVSGGVNINDSGVSPSCSHVTPDQEGLSCKLLSTMGGSRGSEFGGHLADVTTSLKHGVSNNSEVSKNEQQPNMVYEAQVSNIVSLLESSGRPEVVDVEARASQELKEAGILNFVSHEAEQRTQSHLQPCTSRVNNPSLLSIEGEKCHETDVSDPALGYQGTVEPSACEGKLDLGQSGMQFGSQMISNKMGSVNTFTASDIPEPEKMLSLGQSGMQFGNQMISNKMGSVNTFTASDIPVPEKMPSLGQSGMQFGSQLISNKMGSVNPFTASDIPAPEKTPSLGQSGMQFGNQMMSNKMGSVNTFTASDIPAPEKVLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPGPEKMLSLGQSGMQFGSQMTGNKMGSVDTFTAPNVPEPEKMLSLGQSGMQFGSQMTSNRMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTPEPEKMLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTVSNMPEPEKMLSLAYPHFGEMNHLLLESTPGNQGISGGHTDVAAVTSVSGKKRSYTESTLTVQSTGLVESYGGAQSRRTTGSIPDDDDLLSSILAGRKSSALKVKPSPATAEVPTAKRFRSTPRTSTLKRKVLVDNTMVLHGDIIRQQLISTEDIRRVRKKAPCTSDEILMIQRQFLEDKIFHKPIFTDLSADLTILQNGTFDLSGIKVYNYGLDSFSVEKVNDQQSYSKSNAEIHVELAHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESYNEPMEVQPQNNAEAQPSEMPVPSERESHNETMEVQPQKTAEAQPSEMSVLSERESHNVTMEVQPQKTAEAQPSEMPVPSERESHNVTMEVQPQKTAEAQPSEIPLPLESYQSGVDFGSHDIDAHGRADIISDMKELSGSQNAEMDNAGVIFEISEAENYSVGPTNIISDVNELGSSQNAEMNNAGRNFETSEAENYSIVHSNIISDVNELGSSQNAEMNNAGGNFETFEAENYSVVPGHDETLSLTEVFENELCMPKDFDASQPLMDKTDDLAGSIHTNVLDIPTSEKMNTSTLENEFVDDQHDRNNADAIEIVEHAMEIGTRVETDGLEADNLYASLALGSKEASEYTDNQVSFHGDLQMEENGNSMLEGLNEDQVVSSGLGCDDKDAKAGALFSENIEVDCLHSVAPEDVKEGSNDEENPVFQEAELQNTMTPDVSAIRSPFVDQNDEDDMVDNDTGFLNVGDDEIIDDDDDDADGFAPGAEGTQLENSGWSSRTRAVAKYLQTLFDKEDLHGKQNLHLDKILAGKTRKEASRMFFETLVLKTRDYIDVEQTEPFANINLQPRGKLMKTDF >CAK8542547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525134758:525148166:-1 gene:gene-LATHSAT_LOCUS11380 transcript:rna-LATHSAT_LOCUS11380-5 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTTVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGDYVDRHVSTREQITLQDTLDDMAYKTSQFGLDERFGDGDASQIGLDLDEVMLIDKDSTLEHNDFSANPQVSHQEDEKKEEVITTSDKMLVENSGSKVMLIDHDANLEHVDFGANPQVSHHEDEKKEDVIGTSNRMQVEDSGTKIDSSDGFPTSPEFHEYAQGPSTSPEFHDNAQDPSTSPEFHDYAQDPSTSPKFHEYAQGPSTPGLQEPNLFGTQSDQVINEADFHNSADLSSMYSTQNESGAHQTENNVIGCSLQNNGKHVGVDLHHEASDRVLTDVNNEIEEQDHFTRTVVMKDQGNLIPNNHCLASVPLMDSSNEDHTTTMLPECAGGYVDASGIHEKVERSQDGVQMNTESVMANLNETVSVVSGGVNINDSGVSPSCSHVTPDQEGLSCKLLSTMGGSRGSEFGGHLADVTTSLKHGVSNNSEVSKNEQQPNMVYEAQVSNIVSLLESSGRPEVVDVEARASQELKEAGILNFVSHEAEQRTQSHLQPCTSRVNNPSLLSIEGEKCHETDVSDPALGYQGTVEPSACEGKLDLGQSGMQFGSQMISNKMGSVNTFTASDIPEPEKMLSLGQSGMQFGNQMISNKMGSVNTFTASDIPVPEKMPSLGQSGMQFGSQLISNKMGSVNPFTASDIPAPEKTPSLGQSGMQFGNQMMSNKMGSVNTFTASDIPAPEKVLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPGPEKMLSLGQSGMQFGSQMTGNKMGSVDTFTAPNVPEPEKMLSLGQSGMQFGSQMTSNRMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTPEPEKMLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTVSNMPEPEKMLSLAYPHFGEMNHLLLESTPGNQGISGGHTDVAAVTSVSGKKRSYTESTLTVQSTGLVESYGGAQSRRTTGSIPDDDDLLSSILAGRKSSALKVKPSPATAEVPTAKRFRSTPRTSTLKRKVLVDNTMVLHGDIIRQQLISTEDIRRVRKKAPCTSDEILMIQRQFLEDKIFHKPIFTDLSADLTILQNGTFDLSGIKVYNYGLDSFSVEKVNDQQSYSKSNAEIHVELAHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNIGVHEVESHNEPMEVQPQEEAEVSYSKTNVGVHEVESYNEPMEVQPQNNAEAQPSEMPVPSERESHNETMEVQPQKTAEAQPSEMSVLSERESHNVTMEVQPQKTAEAQPSEMPVPSERESHNVTMEVQPQKTAEAQPSEIPLPLESYQSGVDFGSHDIDAHGRADIISDMKELSGSQNAEMDNAGVIFEISEAENYSVGPTNIISDVNELGSSQNAEMNNAGRNFETSEAENYSIVHSNIISDVNELGSSQNAEMNNAGGNFETFEAENYSVVPGHDETLSLTEVFENELCMPKDFDASQPLMDKTDDLAGSIHTNVLDIPTSEKMNTSTLENEFVDDQHDRNNADAIEIVEHAMEIGTRVETDGLEADNLYASLALGSKEASEYTDNQVSFHGDLQMEENGNSMLEGLNEDQVVSSGLGCDDKDAKAGALFSENIEVDCLHSVAPEDVKEGSNDEENPVFQEAELQNTMTPDVSAIRSPFVDQNDEDDMVDNDTGFLNVGDDEIIDDDDDDADGFAPGAEGTQLENSGWSSRTRAVAKYLQTLFDKEDLHGKQNLHLDKILAGKTRKEASRMFFETLVLKTRDYIDVEQTEPFANINLQPRGKLMKTDF >CAK8542550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525134758:525148166:-1 gene:gene-LATHSAT_LOCUS11380 transcript:rna-LATHSAT_LOCUS11380 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTTVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGDYVDRHVSTREQITLQDTLDDMAYKTSQFGLDERFGDGDASQIGLDLDEVMLIDKDSTLEHNDFSANPQVSHQEDEKKEEVITTSDKMLVENSGSKVMLIDHDANLEHVDFGANPQVSHHEDEKKEDVIGTSNRMQVEDSGTKIDSSDGFPTSPEFHEYAQGPSTSPEFHDNAQDPSTSPEFHDYAQDPSTSPKFHEYAQGPSTPGLQEPNLFGTQSDQVINEADFHNSADLSSMYSTQNESGAHQTENNVIGCSLQNNGKHVGVDLHHEASDRVLTDVNNEIEEQDHFTRTVVMKDQGNLIPNNHCLASVPLMDSSNEDHTTTMLPECAGGYVDASGIHEKVERSQDGVQMNTESVMANLNETVSVVSGGVNINDSGVSPSCSHVTPDQEGLSCKLLSTMGGSRGSEFGGHLADVTTSLKHGVSNNSEVSKNEQQPNMVYEAQVSNIVSLLESSGRPEVVDVEARASQELKEAGILNFVSHEAEQRTQSHLQPCTSRVNNPSLLSIEGEKCHETDVSDPALGYQGTVEPSACEGKLDLGQSGMQFGSQMISNKMGSVNTFTASDIPEPEKMLSLGQSGMQFGNQMISNKMGSVNTFTASDIPVPEKMPSLGQSGMQFGSQLISNKMGSVNPFTASDIPAPEKTPSLGQSGMQFGNQMMSNKMGSVNTFTASDIPAPEKVLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPGPEKMLSLGQSGMQFGSQMTGNKMGSVDTFTAPNVPEPEKMLSLGQSGMQFGSQMTSNRMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTVSNMPEPEKMLSLAYPHFGEMNHLLLESTPGNQGISGGHTDVAAVTSVSGKKRSYTESTLTVQSTGLVESYGGAQSRRTTGSIPDDDDLLSSILAGRKSSALKVKPSPATAEVPTAKRFRSTPRTSTLKRKVLVDNTMVLHGDIIRQQLISTEDIRRVRKKAPCTSDEILMIQRQFLEDKIFHKPIFTDLSADLTILQNGTFDLSGIKVYNYGLDSFSVEKVNDQQSYSKSNAEIHVELAHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNIGVHEVESHNEPMEVQPQEEAEVSYSKTNVGVHEVESYNEPMEVQPQNNAEAQPSEMPVPSERESHNETMEVQPQKTAEAQPSEMSVLSERESHNVTMEVQPQKTAEAQPSEMPVPSERESHNVTMEVQPQKTAEAQPSEIPLPLESYQSGVDFGSHDIDAHGRADIISDMKELSGSQNAEMDNAGVIFEISEAENYSVGPTNIISDVNELGSSQNAEMNNAGRNFETSEAENYSIVHSNIISDVNELGSSQNAEMNNAGGNFETFEAENYSVVPGHDETLSLTEVFENELCMPKDFDASQPLMDKTDDLAGSIHTNVLDIPTSEKMNTSTLENEFVDDQHDRNNADAIEIVEHAMEIGTRVETDGLEADNLYASLALGSKEASEYTDNQVSFHGDLQMEENGNSMLEGLNEDQVVSSGLGCDDKDAKAGALFSENIEVDCLHSVAPEDVKEGSNDEENPVFQEAELQNTMTPDVSAIRSPFVDQNDEDDMVDNDTGFLNVGDDEIIDDDDDDADGFAPGAEGTQLENSGWSSRTRAVAKYLQTLFDKEDLHGKQNLHLDKILAGKTRKEASRMFFETLVLKTRDYIDVEQTEPFANINLQPRGKLMKTDF >CAK8542546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:525134758:525148166:-1 gene:gene-LATHSAT_LOCUS11380 transcript:rna-LATHSAT_LOCUS11380-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTTVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGDYVDRHVSTREQITLQDTLDDMAYKTSQFGLDERFGDGDASQIGLDLDEVMLIDKDSTLEHNDFSANPQVSHQEDEKKEEVITTSDKMLVENSGSKVMLIDHDANLEHVDFGANPQVSHHEDEKKEDVIGTSNRMQVEDSGTKIDSSDGFPTSPEFHEYAQGPSTSPEFHDNAQDPSTSPEFHDYAQDPSTSPKFHEYAQGPSTPGLQEPNLFGTQSDQVINEADFHNSADLSSMYSTQNESGAHQTENNVIGCSLQNNGKHVGVDLHHEASDRVLTDVNNEIEEQDHFTRTVVMKDQGNLIPNNHCLASVPLMDSSNEDHTTTMLPECAGGYVDASGIHEKVERSQDGVQMNTESVMANLNETVSVVSGGVNINDSGVSPSCSHVTPDQEGLSCKLLSTMGGSRGSEFGGHLADVTTSLKHGVSNNSEVSKNEQQPNMVYEAQVSNIVSLLESSGRPEVVDVEARASQELKEAGILNFVSHEAEQRTQSHLQPCTSRVNNPSLLSIEGEKCHETDVSDPALGYQGTVEPSACEGKLDLGQSGMQFGSQMISNKMGSVNTFTASDIPEPEKMLSLGQSGMQFGNQMISNKMGSVNTFTASDIPVPEKMPSLGQSGMQFGSQLISNKMGSVNPFTASDIPAPEKTPSLGQSGMQFGNQMMSNKMGSVNTFTASDIPAPEKVLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPGPEKMLSLGQSGMQFGSQMTGNKMGSVDTFTAPNVPEPEKMLSLGQSGMQFGSQMTSNRMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTPEPEKMLSLGQSGMQFGSQMTSNKMGSVNTFTASNIPEPEKMLSLGQSGMQFGSQMTSNRMGGVNTFTVSNMPEPEKMLSLAYPHFGEMNHLLLESTPGNQGISGGHTDVAAVTSVSGKKRSYTESTLTVQSTGLVESYGGAQSRRTTGSIPDDDDLLSSILAGRKSSALKVKPSPATAEVPTAKRFRSTPRTSTLKRKVLVDNTMVLHGDIIRQQLISTEDIRRVRKKAPCTSDEILMIQRQFLEDKIFHKPIFTDLSADLTILQNGTFDLSGIKVYNYGLDSFSVEKVNDQQSYSKSNAEIHVELAHNEPMAVQPQEEAEVSYSKTNVGIHEVESHNEPMAVQPQEEAEVSYSKTNVGIHEVESYNEPMEVQPQNNAEAQPSEMPVPSERESHNETMEVQPQKTAEAQPSEMSVLSERESHNVTMEVQPQKTAEAQPSEMPVPSERESHNVTMEVQPQKTAEAQPSEIPLPLESYQSGVDFGSHDIDAHGRADIISDMKELSGSQNAEMDNAGVIFEISEAENYSVGPTNIISDVNELGSSQNAEMNNAGRNFETSEAENYSIVHSNIISDVNELGSSQNAEMNNAGGNFETFEAENYSVVPGHDETLSLTEVFENELCMPKDFDASQPLMDKTDDLAGSIHTNVLDIPTSEKMNTSTLENEFVDDQHDRNNADAIEIVEHAMEIGTRVETDGLEADNLYASLALGSKEASEYTDNQVSFHGDLQMEENGNSMLEGLNEDQVVSSGLGCDDKDAKAGALFSENIEVDCLHSVAPEDVKEGSNDEENPVFQEAELQNTMTPDVSAIRSPFVDQNDEDDMVDNDTGFLNVGDDEIIDDDDDDADGFAPGAEGTQLENSGWSSRTRAVAKYLQTLFDKEDLHGKQNLHLDKILAGKTRKEASRMFFETLVLKTRDYIDVEQTEPFANINLQPRGKLMKTDF >CAK8563157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578559395:578561885:-1 gene:gene-LATHSAT_LOCUS16592 transcript:rna-LATHSAT_LOCUS16592 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSRSKADVPPTSQPNSAPLRSTQLLVHSSAASVHGKSKGGKPEILPTPRSEGDILSSPHLKAFTFKDLRNATKNFSNDSLIGQGGFGYVYKGWIDCRSLKAAKPAAGTAVAVKKLKPEGFQGHKEWLSELNYLGQLHHPNLVKLTGYCLDGDNRLLVYEFMPNGSLENHLFRKGTQLLPWATRIKVATGAARGLNFLHDSNQQIIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRSHVSTQVLGTQGYAAPEYIATGRLTTRCDVYSFGVVLLELLSGRNAVDKTKSGVEHNLVDWARPYLGDRRKLFRIMDTRLEGQYPQRAAYTAAILALQCISEAKFRPQMSEVLATLEQLPIRHSRSPSRLEGKPISSPIREIV >CAK8572209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521156287:521157426:1 gene:gene-LATHSAT_LOCUS24799 transcript:rna-LATHSAT_LOCUS24799 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNPDFNHHAKCERLQITHLTFADDLLLFSRGDYGSMDILHLTVNKFLESTGLKINPSKSRVYFSNVSANVKYDILQLTSYQEGTFPFRYLGIQVTSKRLAIIHYMPLLDRLLSRITHWSSRLLSYAGILQLIKSVLYAITSYWMQCIWFPKTVINRINAICRSFLWTGGTTLSRKSPVAWDNVCKPHVKGGLNMMNLEIWNRMFMIKLLWNIFAKSDDLWVRWIHAYYLRHEGILTRTDKASDSGIFKTILLQRDNLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLILHNRARPQAIITLWMICHDKLPTKARLFRLGMLQNNKCGFCNEEETIDHLFFCCFKLKQIWSGVLQWMGIQRIPTRWKEEMQ >CAK8565422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:187428175:187428993:-1 gene:gene-LATHSAT_LOCUS18640 transcript:rna-LATHSAT_LOCUS18640 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRFDCVINHGGVSDEFNRICYKGLKKIWQVDPDFWSYFKILGGLKNLGYPKVESLWYYHAMDDNELVMLQDDAGTNRMKTIAFINENVHLYVMHLVYEKEQILPLENNVGPNGVDDDILKDDMLNELNNCVKKTFDDLGTIEEFNNLGDKFDEGRPTDVEDSTAVDQEGSFKDVNVDETTEGLNQKELCQDVNFEGDTVSEDTIVNITLDGTTDHILCDQEDDILDGTYYMVSQVRKRAGGNKQKSRHRTLFIPKRGKRNDRRKPKRKEK >CAK8562636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:518414180:518414707:-1 gene:gene-LATHSAT_LOCUS16119 transcript:rna-LATHSAT_LOCUS16119 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESEPTNLFSTPSPVVQNSTTMLPSETRRRKNRSAIWNDFKLDINSKNIVICNHYDKKLKYNGTSSMITHSKICKSNPNNDFNKRQRTLTPSMNSEGHALSSPSVSRFDQEKLRHLLVKVFVDLELPFTKVEHPDFHEFVNGLNLKFNNISRTTLARDILLLWDAKKEKIETF >CAK8540408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558505539:558505936:-1 gene:gene-LATHSAT_LOCUS9413 transcript:rna-LATHSAT_LOCUS9413 gene_biotype:protein_coding transcript_biotype:protein_coding MYICFKGPKDIFFKCKPIIGLNGCFLKNYYGGQIIEAIRRDLSDQMLRITYVVVKSEAKYSWNWFLKLLANDLEGVRLCKTYTFIGNHQKGLFLALEEFLRQSLKIV >CAK8565645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296956398:296957257:1 gene:gene-LATHSAT_LOCUS18837 transcript:rna-LATHSAT_LOCUS18837 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKFLSLLHSSSRSSFLKPSTPFLYNTIKNYGQEIKEKQSHLIKERAPSTAEEFLRVAEERANETPKVKSQTVDKAFEAAEEATKSNSNTENVKNKYKEH >CAK8540789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:21243941:21244881:1 gene:gene-LATHSAT_LOCUS9759 transcript:rna-LATHSAT_LOCUS9759 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCYDLLLYIWQCFVLYFLDFVCKRYDKSLQGIPTNEFVNENHHEASSSTCHIPTNDSTPFNFTPRPHTASDMLDDEDSSDDYSSEEIGEYQKSYTLYNTVFAFTSPEMKIDNNQRGRGPPIIRIQGQTCHRIGSMLPFPGHSPKFSQLYIYDTDNEIGNRMQSFGNNSNILPDTVEKLKCMLGDVKPHAKSFRMEAERLKHCEFCDLKLNLIFKRPAVGRIYNQPTVSEVVALIVSDVDTENKRDIILERQSGRLKIISEFHPSYMACQ >CAK8561701.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:360026245:360029159:-1 gene:gene-LATHSAT_LOCUS15266 transcript:rna-LATHSAT_LOCUS15266 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVQIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDKVKYEATARSWTQKYAMG >CAK8569527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4230465:4234906:-1 gene:gene-LATHSAT_LOCUS22369 transcript:rna-LATHSAT_LOCUS22369 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSEHHQTVPLSVLLKRELMNEKIEKPEIIHGQAGQSKKGEDLTLLKSECQRMVSDGVYTYSVYGLFDGHNGSAAAVYSKENLLNNVLSAIPPDLNGDEWLAALPRALVAGFVKTDKDFAQIGKKSGTTVTFVIIEGWVVTVASVGDSRCVLESSEGGIYYLSADHRLETNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDIGEFVVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEVALDCCRGMSAEAAAPQIVKEALQAKGLRDDTTCIVVDILPQEKPPAPVAQQKKPVKGMLKAMFRKKSSESSSLDKEYMEPDVVVEVYEEGSAMLSERLETKYPLCNMFKLFICAVCQVEIKPGEGISVHEGASNPQNSRPWDGPFLCFSCQEKKEAMEGKRSSDRHSSGSDE >CAK8570041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21713558:21715334:-1 gene:gene-LATHSAT_LOCUS22828 transcript:rna-LATHSAT_LOCUS22828 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEERKPKAVIVGGSIAGISTAHALISAGWDVLVLEKTTSPPSGSPTGAGLGLSPISQQIIQSWISQPQHFLHNTTFPLTIDQNQVTDSEKKVNWTLTRDESFNFRASHWADLHGALYNALPPQLFLWGHLLLSFHVANEKGTSVVIKAKVLQTGEIVEIVGDLLVAADGCLSSIRQKYLPDFKLRYSGYCAWRGVLDFSEIENSETITGIRKAYPDLGKCLYFDLASGTHSVLYELLNKKLNWIWYVNQPEPEVKGTSVTTKVTNDMIQKMHEEAEKVWIPELAKVMKETKEPFINFIYDSDPLERIIWDNVVLVGDAAHPTTPHCLRSTNMSILDASVLGKCIEKWGAEKLESALEEYQFIRLPVTSKQVLHARRLGRIKQGLVLPDRDTFDPKLARQEDCQDLLQTNTPSFNDVPLSFSSITSSISI >CAK8560875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:51730555:51733055:-1 gene:gene-LATHSAT_LOCUS14515 transcript:rna-LATHSAT_LOCUS14515 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILVVGGTGYLGQHLLQSYDNQSLAVAFTYHSTPPPQPFLDAFPDSLPFQIDLKSGIGFEAISNEFGQPDVVINCAAISVPRACEIDPDTANAINVPSSLVKWMQSFKQNSTLLIHLSTDQVYEGEKSFYKEEDIAIPVNVYGKTKLAAEKFISENFPNFAILRSSIIYGPQTLSPVPKSLPVQWMDGVLSKRETVEFFHDEFRCPVYVKDLVTIILALTNQWISGSKQMQLLLNVGGPDRVSRVQMAQAVAQIRGYDTSLIKPVPASSVDRGVKSPADISMDITRLVQTLKINPVSFKDGVNLTLTTEA >CAK8560569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26397139:26397441:1 gene:gene-LATHSAT_LOCUS14231 transcript:rna-LATHSAT_LOCUS14231 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEKISKVWKPVTKKLNIVHQEDNSQVPREEEKASEVSEGKTPEPVKPSEDWTIVTSRKVDKGNKDMSHTPNNSFMKYQNLFTPLRIGDYPRGDNNLDQ >CAK8540417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559145244:559147379:-1 gene:gene-LATHSAT_LOCUS9422 transcript:rna-LATHSAT_LOCUS9422 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLILGIGRTFRRKRTSSLDILSPKRAPRGFYKGKNCQPTGFHTRKGGYVVLQEKLPNYVVPDLTGFKLKPYVSQCPIEANTAETSQTAK >CAK8576464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481045336:481057127:-1 gene:gene-LATHSAT_LOCUS28649 transcript:rna-LATHSAT_LOCUS28649 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKEYQLRCELHGHEDDVRGITICGNGDIATSSRDKTVRLWSQENRKFVSSKLLVGHTSFVGPLTWIPPNSDLPQGGVASGGMDTLVLVWDLNTGGKFHTLKGHQYQVTGIAFDDGDLVSSSIDCTLKRWRNGQCVETWEAHKSAIQAVIKLPTGELVTGSSDTTLKTWKGKTCLHTFEGHSDTVRGLAVMSDLGILSASHDGSLRLWAVSGEVLMEMVGHTAIVYSVDSHASGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDTKFMENGDIVTACSDGVVRIWTINQDYFADQLELDLYTSQLSQYKSSRKRVGGLKLEELPGLDALKIPGTSDGQTKVVREGDNGVAYAWNMAEQKWDKIGEVVDGPEGSSRPLFDGAQYDYVFDVDIGDGMPIRKLPYNRSDNAYDVADKWLLKEGLPLSFREQVVQFILQNSGQKDVTFDASFRDPYTGSNAYVPGQQSRTSDISVKPTFKHIPKKGMLVFDTAQFDGILKKVVEFNTALLSDQENQKSSLAELDVSRLDAIVKTLKDTSHYHSSKFADSEIALLLKMLSSWPTTMIFPVIDIARMLVLHPEGAVALHKHLESEKDILMEVIKKVTINPTIPANLLTSVRAVTNLFKNSCYYNWLLKHRSEILDAFSCCSSSTNKNLQLSYSTLILNYAVLMIESKDQEGQSQVLSAALEIVEDENVGPDSKFRALVAVGSLMLEGLVKKIALDFDVLSIAKAAKGSKDSKIAEVGSDIELLSKQS >CAK8576466.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481054904:481057127:-1 gene:gene-LATHSAT_LOCUS28649 transcript:rna-LATHSAT_LOCUS28649-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKEYQLRCELHGHEDDVRGITICGNGDIATSSRDKTVRLWSQENRKFVSSKLLVGHTSFVGPLTWIPPNSDLPQGGVASGGMDTLVLVWDLNTGGKFHTLKGHQYQVTGIAFDDGDLVSSSIDCTLKRWRNGQCVETWEAHKSAIQAVIKLPTGELVTGSSDTTLKTWKGKTCLHTFEGHSDTVRGLAVMSDLGILSASHDG >CAK8576465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481045336:481054759:-1 gene:gene-LATHSAT_LOCUS28649 transcript:rna-LATHSAT_LOCUS28649-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGHTAIVYSVDSHASGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDTKFMENGDIVTACSDGVVRIWTINQDYFADQLELDLYTSQLSQYKSSRKRVGGLKLEELPGLDALKIPGTSDGQTKVVREGDNGVAYAWNMAEQKWDKIGEVVDGPEGSSRPLFDGAQYDYVFDVDIGDGMPIRKLPYNRSDNAYDVADKWLLKEGLPLSFREQVVQFILQNSGQKDVTFDASFRDPYTGSNAYVPGQQSRTSDISVKPTFKHIPKKGMLVFDTAQFDGILKKVVEFNTALLSDQENQKSSLAELDVSRLDAIVKTLKDTSHYHSSKFADSEIALLLKMLSSWPTTMIFPVIDIARMLVLHPEGAVALHKHLESEKDILMEVIKKVTINPTIPANLLTSVRAVTNLFKNSCYYNWLLKHRSEILDAFSCCSSSTNKNLQLSYSTLILNYAVLMIESKDQEGQSQVLSAALEIVEDENVGPDSKFRALVAVGSLMLEGLVKKIALDFDVLSIAKAAKGSKDSKIAEVGSDIELLSKQS >CAK8563135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576671662:576673110:1 gene:gene-LATHSAT_LOCUS16573 transcript:rna-LATHSAT_LOCUS16573-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIACGGTSKFMAPLSLPPSFNSFVITQNNKMKKGLVLDYWGFSNTRRNVIVKASSDVASPSIWENWKPPKSSSTPSFSDILWPSAGAFVAVTILGKLDQLLTPKGLSITVAPLGAVSALLFASPSAPSARKYSMLMAQIGCAAIGVLAFTMFGPGWLAKSASVAACVAYMIYTDSVHPPAVSMPLLFIDGVKLQHLSFWYVLYPGAAGCIMLCFIQEVVLYMKKNFKF >CAK8563134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576671641:576673110:1 gene:gene-LATHSAT_LOCUS16573 transcript:rna-LATHSAT_LOCUS16573 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQLLKMSSVIACGGTSKFMAPLSLPPSFNSFVITQNNKMKKGLVLDYWGFSNTRRNVIVKASSDVASPSIWENWKPPKSSSTPSFSDILWPSAGAFVAVTILGKLDQLLTPKGLSITVAPLGAVSALLFASPSAPSARKYSMLMAQIGCAAIGVLAFTMFGPGWLAKSASVAACVAYMIYTDSVHPPAVSMPLLFIDGVKLQHLSFWYVLYPGAAGCIMLCFIQEVVLYMKKNFKF >CAK8541714.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:362782948:362784969:-1 gene:gene-LATHSAT_LOCUS10610 transcript:rna-LATHSAT_LOCUS10610 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQTHLKLIISFSFFLFIPSLSQIDQLLYAGFNNIDSKNLTLNGISEIQKNGILRLTNETSRLMGHAFYPSPFQLKNKTTGKVFSFSSSFALAVVPEYPKLGGHGMAFTIAPTKDLKALPSQYLGLFNSSDVGNFSNHLFAVEFDTVQDFEFGDINDNHVGININSMTSNASVKAGYYSDDLVFQDLNIKGGKAILVWVDYDSLMNVVSVTLSPTSNKPKKPTLSFHMDLSPILHDTMYVGFSASTGLLASSHYVLGWSFKINGPAPFLDLSSLPHLPQPKKKHTSLITGVSVAASVIVLGSIAFGFYLFRKIKNADVIEAWELEVGPHRYSYQELKKATKGFKEKGLLGQGGFGRVYKGSLPKSKIQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDKYLFEDSEFVLSWEQRFKIIKGVASGLLYLHEGYEQVVIHRDVKASNVLLDFELNGRLGDFGLARLYEHGANPGTTRVVGTLGYLAPELPRTGRATTSSDVFAFGALLLEVACGRRPIEPKALQEELVLVDWVWERFKEGRALEVVDPKLNGNFVESEVMMVLKLGLICSNDVPTIRPNMRQVVRILDGEVELPNEMRKPGGIDSQEGFDEFLHSLGTTSFDKTNSSSYVGNGDMNTSFISFGNSP >CAK8568772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639935904:639936216:-1 gene:gene-LATHSAT_LOCUS21697 transcript:rna-LATHSAT_LOCUS21697 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVGEMIYEVRHINVTRDIFTVDIEQMQCSCRSWMLNGIPCYHAITCIQSKVEDPAYYIPPMYSKENLPGLL >CAK8578826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657032107:657034652:1 gene:gene-LATHSAT_LOCUS30809 transcript:rna-LATHSAT_LOCUS30809 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVKYTETEIQSVYSYETTELVHENRNDTYQWVVKPKTVKYEFKTQTNVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKIEQANYFGSLTQASAIRVGSIQGEEIYAPFKSLLPMVNPEDIVWGGWDISDMNLADAMGRAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNIIKGTKKEQLQQVIKDIKEFKEANKVDKVVVLWTANTERYSNIVVGLNDTTENLLASVDKNESEISPSTLFALACVLENVPFINGSPQNTFVPGLIDLAIQRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNAILYAPGEHPDHVVVIKYVPYVRDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQLKSEHEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRSMLENIMRACVGLAPENNMILEYK >CAK8531727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:143827898:143829088:1 gene:gene-LATHSAT_LOCUS1496 transcript:rna-LATHSAT_LOCUS1496 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSCFSMFLPHDKSWNTPVLKMKTLNPVLFPTPIFISSLSLKCYSSTTSQQNQFTISYFVTNFGFSLETATNASKQVHFKTSRKPDSVILFFRNFGFSDSQISYIVSKAPNILTCDPHKRILPKFQFLLSQGASISDVVEIVGRNPRILYSSLDNCIIPTFELVRRFIPSNDKVIRLIRRCSLFFGHNNVINNVKLLLDEGVSDSNIRYLLFNGHILCYDLSSALDKVKKMGFNDPSNVHFCIALLAIKGMPKSLWNAKVILFKRWGWSEEMVLEAFRRRPSCMLLSTEKINEVLRFWVNELGWNSLILVKWPGIFSYSLEKRIIPRACVVSYLISKGMIDKNLESSPFVVTEKAFLKKYVQSFEEERHNLLKLYQEKMDGKKIKENGEASGSY >CAK8537015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:57524113:57531601:-1 gene:gene-LATHSAT_LOCUS6335 transcript:rna-LATHSAT_LOCUS6335 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGAIVTSAMVTAQFLEMGGNTLFKAATNDGMSIYVFMFYSNLFALCFLLPSTLFYHRKRAPPPISSSIYCRLFLLSCLSTLVQILMSTGIRCSSPTLSSAMVDLVPAFTFILAVISRMENLNLKQHSSQAKIIGTVVSIAGALTLTLYKGISLISDAFQNIKMGASDTYLTENSQWILGAFILATASFCLSVLYIVQVTK >CAK8575774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322180261:322182123:1 gene:gene-LATHSAT_LOCUS28010 transcript:rna-LATHSAT_LOCUS28010 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKPFNPNSFHHHPKALSNFSLLTTPPTQPITQTTLLNSIKSSHWHFIKHLAPHLTPSLLSSTLSNLHQNPTLVQTLLSHLHNNPHCLDLTTRCIALCILYRLPSSTPSHQILHPIIHTTAPAVLFNELSLARNHLNAKTTFVFDILLISYCHLMKPNEALECFILMKENGILPNTETCNQVLNMFLKLNRTKMAWAFYQDMFRMKIKSSVFTFNIMINVLCKDDKLKKAKEFIGHMEVLGVKPNVVTYNTLINGYCLKGKFREARLIFQTMKDKGLKPDSYTYNSFISGLCKERRIEEASDLLCKLLESGLVPNAVTYNALIDGCCNKGELDKAFSYRDEMICRGIMPSLVTYNLFIHAMFLEKKLVEADDMIKEMREKGMEPDVVTYNIVINGYCRCGDAKKAFTLYDEMVEKRIRPTLVTYTSLIDVLGKRNRMSEAEEMFKKTKKEGLLPDIIIFNALIDGHCANGNIDRAFQLLKEMDSAKVVPDEVTYNTLMQGYCREEKVEEARKLLDEMKRRGIKPDHISYNTLISGYSKKGDMKDALEVYNEMLSIGFHPTILTYNALIQGYCKNGESVYAEELLREMKRKGITPDDNTFLSLVEAIQTNDDLVENDDK >CAK8575775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322180846:322182123:1 gene:gene-LATHSAT_LOCUS28010 transcript:rna-LATHSAT_LOCUS28010-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAFYQDMFRMKIKSSVFTFNIMINVLCKDDKLKKAKEFIGHMEVLGVKPNVVTYNTLINGYCLKGKFREARLIFQTMKDKGLKPDSYTYNSFISGLCKERRIEEASDLLCKLLESGLVPNAVTYNALIDGCCNKGELDKAFSYRDEMICRGIMPSLVTYNLFIHAMFLEKKLVEADDMIKEMREKGMEPDVVTYNIVINGYCRCGDAKKAFTLYDEMVEKRIRPTLVTYTSLIDVLGKRNRMSEAEEMFKKTKKEGLLPDIIIFNALIDGHCANGNIDRAFQLLKEMDSAKVVPDEVTYNTLMQGYCREEKVEEARKLLDEMKRRGIKPDHISYNTLISGYSKKGDMKDALEVYNEMLSIGFHPTILTYNALIQGYCKNGESVYAEELLREMKRKGITPDDNTFLSLVEAIQTNDDLVENDDK >CAK8534326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:717165783:717166623:1 gene:gene-LATHSAT_LOCUS3878 transcript:rna-LATHSAT_LOCUS3878 gene_biotype:protein_coding transcript_biotype:protein_coding MHITLIVVLIFSQVAALNYKVIILDEVHERSVESDLVLVCVKQFLLKNNDLRVVLMSATADISRYRDYFRDLGRGERVEVLAIPSSNQHMVFQRSVSYVDQVAESLGISSEIMHSKYSSCLDLSKAVNAHKFGSCIDLFSGGCTELQGYYS >CAK8531567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125615232:125615711:1 gene:gene-LATHSAT_LOCUS1350 transcript:rna-LATHSAT_LOCUS1350 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKKICRCTLRTTYELPFACELTGYRIDGIPIPIDVVHVHWRKLSMEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKKGIKK >CAK8565132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44209124:44209435:-1 gene:gene-LATHSAT_LOCUS18368 transcript:rna-LATHSAT_LOCUS18368 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEKWEELDLKALSTIHMSLAMNILVNVLGTSLGKELWEKLEGLYQGNGISNCLLLKEQFHSLCMNEHTKVSDHLSVLNGNVSELETIGVKIDDEDKALRLI >CAK8565378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:156474423:156474644:1 gene:gene-LATHSAT_LOCUS18598 transcript:rna-LATHSAT_LOCUS18598 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLGLGLPIPIGPHKGTGAVERFHIAEPKGSTSVRDRSMSSRPRCIEKYLCTISGSLIRKIELGWGSTM >CAK8574452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682266479:682267410:-1 gene:gene-LATHSAT_LOCUS26796 transcript:rna-LATHSAT_LOCUS26796 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFNVISPPLFSLSNVADTRALTIRAFNPNPTSTPIPPRLGFKLRTTSSVSAPAARLKVRAATGNSDSEQVIVQSKVTHKVYFDIGIGNPLGQFVGKIVIGLFGDDVPQTTENFRALCTGEKGFGYKNSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGTVSMANAGPNTNGSQFFICTVKTQWLDKKHVVFGQVLEGMNIVRLIESQDTDRGDRPLKNVIINECGELPIA >CAK8540877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27953126:27955431:1 gene:gene-LATHSAT_LOCUS9836 transcript:rna-LATHSAT_LOCUS9836 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQLPKKRVAFVLIDGLGDVSLPRLGYKTPLQAAKLPNLDGIASAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGVVTSRRADRHFEEEGPILCAALDGMKLPSFPQYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRVLLKAEALDESHEARNTADVVNELSKEITKILVSHPVNVQRAAEGKNIANVVLLRGCGIRIEVTPFADKHGLRPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAIAKALSAPSQSCPRVFVPGEDEHKAGPSDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDTAIGQLARLLWEAESIGKFQFFLCVTGDHSTPVEYGDHSFEPVPFSICRLKDFVGAIGESIIRETSLDPFPLPSVKSGEDLTYDLEPEERGGKWSQSYSGDSVYELNEIAAARGCLGRFPGGEMMGIIKNFLNLDAETI >CAK8563098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573558542:573558860:1 gene:gene-LATHSAT_LOCUS16542 transcript:rna-LATHSAT_LOCUS16542 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKASLVDVTENNKVVYVRSLLITLDVDYTDEWLVLWLFWGIISSWIRTLLFKSDCTACLLLLTG >CAK8571059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274826793:274827083:-1 gene:gene-LATHSAT_LOCUS23754 transcript:rna-LATHSAT_LOCUS23754 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRLYPGRRGLKPYFEEGVKGFITWAFSQECCRSEGGVRCACLKYGCRPIISDPEEVERHLKRRGFIENYWVWTYNGEELPSSIPETSNTHVSSS >CAK8576060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:396399375:396399851:1 gene:gene-LATHSAT_LOCUS28277 transcript:rna-LATHSAT_LOCUS28277 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFLNYMQNPQNPNPQNPNPQNSQIPLVPTNPAIFLPSPNNPNIYLIPQMNSNSMKFSTQIPPFSTQVPPFSTQVGTEKEERVVVKKRSREQFTREEDILLIQSWLNVSKDPIVGVDQKAESFWLRIAASYNQYRGQLREKLGGQLKCR >CAK8540690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16226981:16232505:1 gene:gene-LATHSAT_LOCUS9670 transcript:rna-LATHSAT_LOCUS9670 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSFSLSHTVRISSHRNHARCSSHPRHVSLSFSAKPVSLRSSFSFNRRHSLGNGFCRTQSKSSRFSVRCEASTGNGRITQQEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTQLLEATDKYIQRQPKIVGESAGSMLGRDLEALVQRARDFKKDYGDSFVSVEHLVLGFIQDQRFGKQLFKDFQISKQVLKSAVESIRGHQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDGALVDAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTVLDEINRSVLKLEMERLSLTNDTDKASKDRLNRLEAELSLLKDKQAELTEQWEHEKTVMTRIQSIKEEIDRVNLEINQAEREYDLNRAAELKYGSLNSLQQQLESAEKELNEYMNSGKSMLREEVTGSDIAEIVSKWTGIPVSKLQQSEREKLLYLEEVLHKRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKTLASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTAPKELAYETIKQRVMDTARSIFRPEFMNRVDEYIVFQPLDREQINSIVRIQLERVQNRIADRKMKIRVTDAAIQLLGSLGYDPSYGARPVKRVIQQNVENELAKGILRGEFKDEDTILVDTEISSFSNNQLPQQKLVFRKIEANSQSSPQESMEHAQQTS >CAK8535664.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:873244731:873245489:-1 gene:gene-LATHSAT_LOCUS5099 transcript:rna-LATHSAT_LOCUS5099 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITMISYRFNIMGGYTEVLQAKRGIRQGDPLSPLLFVLIMEYMNRGDEISMKMMLETFRNFSKSTGLKMNPNKCKIYFGGMDMQTRTRLKELSGFQEGALPVKYLGIPLTSKRLTITHFMSLVDKIVARIHHWSSRLLSYVGRIQLVKSIAYAMVQYWMHCLPLPKYVMKKIDAICRSFIWKGKKDASRKCPMAWKTTCRPAAQGGLNILNLQI >CAK8538792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496473114:496490182:-1 gene:gene-LATHSAT_LOCUS7951 transcript:rna-LATHSAT_LOCUS7951 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIASQLEAIRSIAHVDSAPLKRPFTRPSILFDPKEAADISIESIFTIALQGLEVLISNDERFRNYKNDLFSHRSKELDRELMGIEQNNQLNVLINSYLKLVSGFFMLPSALQTLEYLIRRHKIHVYNNEDLILCALPYHDTHAFVRVVQILDIRNGTWGFLEGVKVSGAPPPRKVIVQQCLRDKGVLEVLCNYASPSKKFQPSKNVIGFCTAVFVELLGTVVTVDDDIVKRILPFVVSGLQSGVKGLSDHKASSLMIVGLLGNKAALAPKLLNSLIRSVTEVAREEAKELIDLHWFRLSLIALINLVQSQNVEILPIKALEILKELRDLPGVLLELSKEFNIEKFFVVLLDSLIDCSSKDEYCQQALLSLIEKVPINDSVHHVVTKILSTCVKLSQKVDDSISLMSAGWAKKILIIVNTKYPSELRGAVNHFLQNNKAHSKKDDSLYKILCKMLDGNLDSSSDISESKVWFALYHPKADVRRTTLRDINSSGILKNEAFVSEGLVDIQEAILRQLDDKDLTVVQAALNVDGLQNVLGASKLIETLQTVLRRCVGKLLSGSTDNVSLTGEVAVTCLKKAISYFHDHSDYLKNIAAMIFPLLLAMPQTQDLNLKALVLVNKFTWPLYQNVAVSSSEETTPILGSLSSINLKVINNLASNFMVHPEDNIAWFVESCNDSELSKTLFLFVLLQSLLLIKPKSDGFSALFKSVFPILKAELESLVNAGDVLLDEFNSEMLDWDCSSFFDHLLYANLRPLNAKVMVCIFWRLISALMSVESSGNRLDDSKIKDLFVFFASSKFKHAFREHLHFLAAQCSVSPARLLSKFFTDEGVPATVQVESLQCYAFLCSLSQDKWQTELLAEFPSILVPLAGDDQTVRVASMKCIDELRALWCRIERSGKKNGNNATWFHFLGELLLLLDQQKTLILSDKKFLPSLFASTLGSSCHNILVPQNMENRFDQPTKERILEFILGSALKFSNYGKLMILSLLKGVGYAIMHPNIAPVLSRSIEQYYDDRSKSRQKISNTRTKIMCLLLESCVMSSPSGGDDLQDPLLKTLQLDDMTSDDPAYIEPCISVLNKLNSQFYTGLQNKVKEDLFRALVFLCRSANGDVQSATKEALMRIDINFSTVGHILDLILAPKCGKVRSADEKTKKRQKLTIDQEAELPTNDICRIDDPAYLLSSLLDVLLLKKDIINRHFLLDPLFKLLSKVFSEEWVNDTLSLEEGSSQSSSSPSESINHIQQTLLIILEDIIMSLKSITAHNERMTDEINIKLLIECARTTNVLVTRNHIFSLLSAVIKVLPEKVFDHILDILPVIGLSAVTQIDSHSKHVFEDLISAIVPCWLSKTDDVENLLKVFIDIFPEIVEHRRLSIVLHLLGTLGEGKSLASLLILLFSSLVSRKASHFVNIQTPDALTFCTKEWEYKLAMQICEQFTNPELLFKLESGEDTVVIQTALGGLMEHVVFLLHLIDARKKQLNFPVNIRKELKETMRALVRNITMVMIPSVYFKSIIKLLHHSDKNVGKMALGLLCVAARNHEKVSLALKDNKGSRSRSSFPWLHMDESSQESLDKMCLEILQVLDDSSNTSLKVAAVSALEVLAERFPSNSSIFGVCLGSITKCITSHNLAVTSSCLRASAALINVLGPKALAELPQIMDNVMKSSRIVLSSQDLKPKTNEVLSVSNEPHFISVLVTLEAVVDKLGGFLNPYLTNIMELLVLHPEYVSGMYPKVESRAHGLRKLLAGKIPVRLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVYHLGDGGDSNVTSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPAMLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTRTRILGLRIIKHFVDNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8538791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496473114:496490182:-1 gene:gene-LATHSAT_LOCUS7951 transcript:rna-LATHSAT_LOCUS7951-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIASQLEAIRSIAHVDSAPLKRPFTRPSILFDPKEAADISIESIFTIALQGLEVLISNDERFRNYKNDLFSHRSKELDRELMGIEQNNQLNVLINSYLKLVSGFFMLPSALQTLEYLIRRHKIHVYNNEDLILCALPYHDTHAFVRVVQILDIRNGTWGFLEGVKVSGAPPPRKVIVQQCLRDKGVLEVLCNYASPSKKFQPSKNVIGFCTAVFVELLGTVVTVDDDIVKRILPFVVSGLQSGVKGLSDHKASSLMIVGLLGNKAALAPKLLNSLIRSVTEVAREEAKELIDLHWFRLSLIALINLVQSQNVEILPIKALEILKELRDLPGVLLELSKEFNIEKFFVVLLDSLIDCSSKDEYCQQALLSLIEKVPINDSVHHVVTKILSTCVKLSQKVDDSISLMSAGWAKKILIIVNTKYPSELRGAVNHFLQNNKAHSKKDDSLYKILCKMLDGNLDSSSDISESKVWFALYHPKADVRRTTLRDINSSGILKNEAFVSEGLVDIQEAILRQLDDKDLTVVQAALNVDGLQNVLGASKLIETLQTVLRRCVGKLLSGSTDNVSLTGEVAVTCLKKAISYFHDHSDYLKNIAAMIFPLLLAMPQTQDLNLKALVLVNKFTWPLYQNVAVSSSEETTPILGSLSSINLKVINNLASNFMVHPEDNIAWFVESCNDSELSKTLFLFVLLQSLLLIKPKSDGFSALFKSVFPILKAELESLVNAGDVLLDEFNSEMLDWDCSSFFDHLLYANLRPLNAKVMVCIFWRLISALMSVESSGNRLDDSKIKDLFVFFASSKFKHAFREHLHFLAAQCSVSPARLLSKFFTDEGVPATVQVESLQCYAFLCSLSQDKWQTELLAEFPSILVPLAGDDQTVRVASMKCIDELRALWCRIERSGKKNGNNATWFHFLGELLLLLDQQKTLILSDKKFLPSLFASTLGSSCHNILVPQNMENRFDQPTKERILEFILGSALKFSNYGKLMILSLLKGVGYAIMHPNIAPVLSRSIEQYYDDRSKSRQKISNTRTKIMCLLLESCVMSSPSGGDDLQDPLLKTLQLDDMTSDDPAYIEPCISVLNKLNSQFYTGLQNKVKEDLFRALVFLCRSANGDVQSATKEALMRIDINFSTVGHILDLILAPKCGKVRSADEKTKKRQKLTIDQEAELPTNDICRIDDPAYLLSSLLDVLLLKKDIINRHFLLDPLFKLLSKVFSEEWVNDTLSLEEGSSQSSSSPSESINHIQQTLLIILEDIIMSLKSITAHNERMTDEINIKLLIECARTTNVLVTRNHIFSLLSAVIKVLPEKVFDHILDILPVIGLSAVTQIDSHSKHVFEDLISAIVPCWLSKTDDVENLLKVFIDIFPEIVEHRRLSIVLHLLGTLGEGKSLASLLILLFSSLVSRKASHFVNIQTPDALTFCTKEWEYKLAMQICEQFTSMTWLPSLVIILEQRGNTNVDRLMFLELFLAMQFSLQKLQDPELLFKLESGEDTVVIQTALGGLMEHVVFLLHLIDARKKQLNFPVNIRKELKETMRALVRNITMVMIPSVYFKSIIKLLHHSDKNVGKMALGLLCVAARNHEKVSLALKDNKGSRSRSSFPWLHMDESSQESLDKMCLEILQVLDDSSNTSLKVAAVSALEVLAERFPSNSSIFGVCLGSITKCITSHNLAVTSSCLRASAALINVLGPKALAELPQIMDNVMKSSRIVLSSQDLKPKTNEVLSVSNEPHFISVLVTLEAVVDKLGGFLNPYLTNIMELLVLHPEYVSGMYPKVESRAHGLRKLLAGKIPVRLSLPPLLKLYPAAVEAGDKSLKVVFDMLATFIGAMDRSSIGAFHGKIFDFCLVALDLRRQSPRSVQNIDLVEKGVMSAMLALTLKLTESMFKPLFVKSIEWAESVVDETASAGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLGSCVYHLGDGGDSNVTSLSRKKKKAKIMDDGDVKETSSLSIKEWHLRTLVLSSLHKCFLYDTGSLKFLESSNFQMLLKPIVSQLVLDPPAMLDDNSLSIPSVKEFDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMQTRSEKTRTRILGLRIIKHFVDNLKEEYLVLLAETIPFLGELLEDVELSVKSLAQEILQEMESMSGESLRQYL >CAK8566121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382035450:382040137:1 gene:gene-LATHSAT_LOCUS19284 transcript:rna-LATHSAT_LOCUS19284-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQELDFDSGALKPYYGKLFPFADIFKWMSYGHDGKHPGCDQSYFGRREFSFTLKGDIYLRFQSYNNAVELENSIKDKCPLKIDIGPVYTVDPAKRHAYAQGGNNVFTPVERELIFDIDMTDYDDIRYCCKGADVCLDCWPLMTIAIKVIDTSLRDEFGFKHILWVYSGRRGVHCWVCDGKARRLTNEQRSAIAEYYRVYKGNENSQKKVSLTGGALHPFLATSYTNVLKDYFEKKLLTNQNLLATEERYEKILSMIPDESIASELRGRWQDNRRSSSAKEDINVVRWEQCKQLLQSGKHKAQGLRRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNRCDEFDPTTVPTLFQLLDELNNEGLRADVNGEWSGTSLGNAVRLFRSSFLEPLQKACKEEIEKSYNLKLQQSKNSIGW >CAK8566122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:382035450:382040137:1 gene:gene-LATHSAT_LOCUS19284 transcript:rna-LATHSAT_LOCUS19284 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQELDFDSGALKPYYGKLFPFADIFKWMSYGHDGKHPGCDQSYFGRREFSFTLKGDIYLRFQSYNNAVELENSIKDKCPLKIDIGPVYTVDPAKRHAYAQGGNNVFTPVERELIFDIDMTDYDDIRYCCKGADVCLDCWPLMTIAIKVIDTSLRDEFGFKHILWVYSGRRGVHCWVCDGKARRLTNEQRSAIAEYYRVYKGNENSQKKVSLTGGALHPFLATSYTNVLKDYFEKKLLTNQNLLATEERYEKILSMIPDESIASELRGRWQDNRRSSSAKEDINVVRWEQCKQLLQSGKHKAQGLRRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNRCDEFDPTTVPTLFQLLDELNNEGLRADVNGAEWSGTSLGNAVRLFRSSFLEPLQKACKEEIEKSYNLKLQQSKNSIGW >CAK8539029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502036447:502038617:-1 gene:gene-LATHSAT_LOCUS8167 transcript:rna-LATHSAT_LOCUS8167 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVPLPKFGEWDVNDPASAEGYTVIFNKARNEKKTGVKLDSPSKDYHKTKYKNQVVLGKPQSKKWFCCVSPSGGES >CAK8533595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640011741:640012436:-1 gene:gene-LATHSAT_LOCUS3213 transcript:rna-LATHSAT_LOCUS3213 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNITLEEMLKAGVHFGHETRKWNPRMAPFISEKRKGNHITNLTKTARFLSEACDLAFDAASEGKQFLIVGTKRKVAYSVRRAAIRAQCHYVNKKWLGGMLTNWYTTETRLCKFMDLRTEQKTGKLNSLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIILDQEEEYKALQECITLGIPTICVIDTNCDPNLADLPIPANGDTMASIRFILNKLVFAICEGRSS >CAK8534438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:726352278:726356378:-1 gene:gene-LATHSAT_LOCUS3984 transcript:rna-LATHSAT_LOCUS3984 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYNRRHDSSPSPFPPTISVPSPYNHRHTRHESSPLISISPPPRPPSPDCTRNINLNRRDSLPPSFPPNSPPATTSHYRRDSYPGPFPTLPSPSSSTVSEPPLPLYHNRRESCPSPFPFPISPYHESTDLSLLPSTSSSKNLNHRLLKRRLDIRNKDNYHDNNNGLIWPFYDIKFLDDDDIRETAYEVFFTACRSCPGFGGRSALTFYSKHESSGVGGMSPSSPVAQTSRTKRALGLKILKSSLSQRMAMRGSWTMSMSSPPSPVAEGSPRPRVLPRRIMTMAEVMRLQMGVSEQSDSRLRKTLMRTLVGQLGRQAETIILPLELLRHLKPSEFNNPHEYHLWQKRQLKILEAGLLLHPSIPVEKTNTFAMNLKEIIRSGELKPIDTSKNSETMRTFSNSVVSLSMRSPDGVPTNVCHWANGFPVNVHLYTSLLQSIFDLEDETLVLDEVDELLELMKKTWSTLGINRPIHNLCFAWTLFQQYVATGQREPELLCASHTMFNEVANDARKEKEALYVNMLKSVLGSLQSWADKRLLNYHAYFQGESIRQIENLLPVVLLASKILGDVTISDGDWQNKGDFSAERVDGYIRSSLKNAFEMIIEAENAKSTESETKQDISEVMLDLAKDTEELAMKERRTYSPILKKWHTIAGAVAALTLNNCYGHVLKQYLSEMMKTITVELILVLQRARRLEDVLVQMVVQDSADCEDGGKTIVREMVPFEVDLNIMDLMKRWIDESLEKANVCLQRAKETETWNPKSKSEPYAKSVVELVNLAKKIVQDFFQIPIAITEDLVQELADGLHKIFKEYTMFIAACGLKENYIPSLPPLTRCNRNSKFHKLWKIASPCNVSCEDPHIYGIYESSHPHSCTSRGTQRLYIRLNTLHYLLSHISSLDKSLTLTQGVVPSDRLRCSTDINNTQGKSTSYFETVNNSISAACQHLSEVASYRLIFFDSNSFFYDSLYVGDVANARINNAVTILKHNIKLMTAILTERAQPSLIKEIMKACFHAFLFVLLAGGTTRMFNESDHVSIQEDFQYLKQEFYNCGEELIAKSVVDKEGEVVEGVIGLMGMNTEELMENLSNFSSEIGNGMKLPMPPTAGKWNRTDPNTILRVLCYRNDRVANHFLKRTFQIAKRR >CAK8575492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:137571321:137575047:-1 gene:gene-LATHSAT_LOCUS27751 transcript:rna-LATHSAT_LOCUS27751 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSNLLEAGQFHPLEMMQNTSESDVPRIREDECFESATKSYSENHEGGAASGEEQEPRPKRKRYHRHTQHQIQEMESFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERSENSQLRAENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYSNISPSLPPRPLEIGFGNQQGIGTMDMYGGSGDLLRTLSGPTAADKPIIIELAVAAMEELTGMAQMGEPLWLSTTLDGAASVLNEDEYVRSFPRGIGPKPNGFKCEASRETCVVIMNHVNLVEILMDVNQWSTVFAGIVSRAMTVEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYFVRYCKQHTDGTWAVVDVSLDNLRPSPSSRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSTGHAFGAKRWVATLDRQCERLASSMATNIPTVDVGVITNQEGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKQVFDFLRDENSRNEWDILSNGGAVQEMAHIANGRETGNCVSLLRVNSANSSQSNMLILQESVTDSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILSDGNGSDESGAQASGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKASLSGEAA >CAK8540988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:39344235:39346962:1 gene:gene-LATHSAT_LOCUS9942 transcript:rna-LATHSAT_LOCUS9942 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDDDARAPCHLSSNRKMFWRSSSWSSARSSAANGEPESNFADPNSGEGNNTNRRFPPPPLTPRSQQNCKARSSCLPPLQPLSIARRSLDEWPKASSDDIGEWPQPPITPGGRGNGNGNSSSSVNNNNGERLKLDLSSIQQNNNHDSRNNGGLVKRDKIAFFDKECSKVAEHVYLGGDAVAKDRDILKRNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRENNGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIADPNMGFACQLLQCQKRVHASPLSPSSLLRMYRIAPHSPYDPLHLVPKMLTDPSSSALDSRGAFIVHIPSAIYVWIGKNCEAIMERDARGAAGQIVRYERVQGSIIMIKEGEEPASFWDAFSNFLPLMDLSGSVVESSKTSVKIFPGERKVDAYNVDFEVFGKAIVGGFVPPFASSENEHETHLPARENSWSVLRRKNSYANVKEFVSAPKLSLPRIYSDSMLCIHASAISFPSPSASSTTSSSPPFVSPDSVSSDSSNYSKFLELSPDSSSLVFAPVPVSSSFSNFSNLSLSSNSTSLPVSKCTDSYDVNLSNPSSQSASLPLKKSSTSIAERRGSLSKSLKLPLMNDKAQVIDKPSTNCAGREHGVLGNNNFSCVQDTDSIDYLFESTNHHQVKDRGTNSTQHCELLSRPDIIESEGRKESSLLRNHAEPSVDGLSRENLKSTAPKGTNESGSLQCTKTQTLVYRWPSLEKIETFGSNHLGSEAAFIILSPSILTQTGSILYLWVGSSFKPDASSQDWLDSDRQSDFLGAVDWNQIGCDLLVQFNLPKNTVTKIVKENEEPREFLALLSSL >CAK8571524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434923546:434923689:-1 gene:gene-LATHSAT_LOCUS24179 transcript:rna-LATHSAT_LOCUS24179 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICMVNSVNERDLSLLNSYVEVTLHGQLLRWTMAA >CAK8534377.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:721703397:721706462:-1 gene:gene-LATHSAT_LOCUS3927 transcript:rna-LATHSAT_LOCUS3927 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAAPSLGSVGGRSATKAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTRPMSVFGGFPSTAWFDVEELSEEAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAAIALYSASCFTAGKYGNGNAYPANISAAVGLSGWLPCSKTLSNKLQGVDEATRRAQSFPILMCHGKGDDVVPYKFGEKSSKCLSSNGFQDVTFKAYNGLGHYTIPEETDNVCAWLTSKLGLEGDAAA >CAK8577699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583956835:583957311:-1 gene:gene-LATHSAT_LOCUS29781 transcript:rna-LATHSAT_LOCUS29781 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNVYDPFSLDVWDPLKDFPFPGSALSASFPRENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERSVEKEDKNDEWHRVERSSGKFLRRFRLPENAKIDQVKASMENGVLTVTVPKEEIKKPEVKSIEISG >CAK8568544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615190357:615193710:-1 gene:gene-LATHSAT_LOCUS21491 transcript:rna-LATHSAT_LOCUS21491 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLLCSSTTTCCNSMSLCYTLTDANFYVVANHGLFRGETNFVKMKTFSNGSLLNWKKNGRRHVGHRAFRTRCTHDNDLVVVNGKKNKTKVSSEEVMKVLKSISDPNSVFSYFKLVSQLPNFVHTTDACNYMLEFLREQGRIEDMIFVFNMMQKQVIYRSLDTYLTIFKALSVKGGIGRTTFALQKMMQAGFILNAYSYNGLIYLMLPGFCKEALKVYKRMISEGMKPSMKTYSALMVALGRRRDTGNIMNLLEEMKSIGLRPNIYTYTICIRALGKAGRIDDAWGIFKEMEDEGCGPDVVTYTVLIDALCSAGKLDKAEELYMKMRASNHSPDRVTYITLMDKFGNVGDLEKVKRFWNEMEADDYAPDVVTYTILIEALCKSGDVERAFSMLDVMKTKGILPNLHTYNTLICGLLKARRLDDALELFENMESLGAKPTAFSYVLFIDYYGKSGDPGKALDTFAKMKERGIMPSIAACNASLYTLAETGRIKEAEDIFNELHNCGLSPDSATYNMMMKCYSKAGKVDNATNLLFEMISKGCEPDVMIINSLIDTLYKAGRVNEAWKMFGRLKSSRLAPTVVTYNILLTGLGREGNIVNALELFSSMTESGCPPNTITFNSLLDCLSKNEAVDLALKMFCRMTTMNCKPDVFTYNSIIYGLIREGRTNYAFWFFHQMKKFLSPDYVTLCTLLPGVVRYGRVDDATKVVMEFVHQEGLQTNSQFWEELMECILAEAEIEDAISFAEILVCDSVCQDDHVMLPLIKILCKRKKALDAQNVFDKFTKKLGIHPTLESYNCLMDGLLASNFTEKALELFEEMKNAGCHPNNFTYNLLLDIHGKSQRINELYHLYNEMRCKGCEPNVITHNIIISALVKSNYLNAALDLYYELMSGNFSPTPSTYGPLIDGLLKAGRSEQAMKIFEEMLDYQCKPNSVIYNILINGFGKSGEIDIACDLFKKMVKEGIRPDLKSYTILVESLCISGRVDEAFQYFEELKLTGLDPDTVSYNFIINGLAKSHRFEEALSLLDEMKNRGVSPDLYTYNALILHLGIAGKVDLAVKMYEELQLVGLEPSVFTYNALIRGHSLSGNKDQAFSVFKKMLVVGCSPNTGTFAQLPNEC >CAK8573790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636882254:636883063:1 gene:gene-LATHSAT_LOCUS26195 transcript:rna-LATHSAT_LOCUS26195 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSLTPLSFLCNLFLITILLTSKSKADNNDQKFHFFCDQNNDRGNYITGDTYHNNLKVGFIHLAYYNLNPNNGFTNTIYGENNDKVNLIGLCRGDTNLQDCRKCLIGSKSNLTQACPNKKEAIGWYEDEKCMLRYSDRSILGLNEIGPAYFAWNMNNATLADQFNVVVKQLLNDLRSKAVKGESNRKYVVGTLAGPSSGEMIYGLVQCTPDLSGAQCDDCLISSIVEVSRCCSNRIGARIVRPSCDLRFETSYQFYQPSAGPATNLP >CAK8536864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:29912202:29914295:-1 gene:gene-LATHSAT_LOCUS6191 transcript:rna-LATHSAT_LOCUS6191 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALSLTSPFCFTTKPHQRISLFNSHSLIFNAKPSLKPKTSLTLHYGSPHLTHSIAKITHTQIKATSQECLDAIDEPERLVFYEEKPVKFALWVVFWASLSLAWFAYSKDANAAVDSIKASGFGLKIADSLRKLGLPDWVVVFTLATLPILELRGAIPVGYWLQLNPVTLTVVSILGNMVPVPFIILYLKRFASFLASTSPSASRFLDILFKNAKAKAGPVEEFQWLGLMLFVAVPFPGTGAWSGAIIASILDMPFWTAVSANFLGVVFAGLLVNLLVNLGLKYAIITGIILFLVSTFMWTILRNLKNSLSSSS >CAK8572001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:501079447:501081914:1 gene:gene-LATHSAT_LOCUS24610 transcript:rna-LATHSAT_LOCUS24610 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVFDPPLPSNSNPDQHQHHQNQHQPQHQQQQLSVDEISSPLSAAQIFELCNPELFPETLQNSEVTSSSNCCYEENSSYATNILDVETKFNNRNSNHTVVATPTSTNNNNNNNNNNNNNNNNNSNTSNNLSVIFDSQEEIDNDISASIDFSLSPSFNVPSFLPVTSQQQVEQFDFNSHVQQLTACSSVEGFSQYHNPNDSVPPLMGAPLSLPPVFEEDCITSVPSYVPLNPSSPSCNYFSPAGMAAYMPNGPLTTALSADSSGLFGGNILLGSELQTQELDYQGDSGRIFCPDPIQRVFNPPDLQAIKTENQQLVAGSGSSATLTPEISNLEDSTFKVGKLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGEAQRTASGNHEEEDEEEVVVKEEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >CAK8530207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:7740667:7740897:1 gene:gene-LATHSAT_LOCUS94 transcript:rna-LATHSAT_LOCUS94 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGDFDYSYDNVDDNNSTTETFNGPHLNLATRLQRRANIREKQVHRQLQGDLVEHIWERFGHKEDET >CAK8540108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539919607:539920050:-1 gene:gene-LATHSAT_LOCUS9141 transcript:rna-LATHSAT_LOCUS9141 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFALLLNKETDQVIEWTRTLPFHMQSFRNNHNLPIHALIFGKFEEGSKLSLREGSKLERCSFDFNKLGPTLSFSWWERFCR >CAK8572600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550630477:550631367:-1 gene:gene-LATHSAT_LOCUS25151 transcript:rna-LATHSAT_LOCUS25151 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSWIKKEITRSISVLLMIHIITRAPISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGALNVGAILILPEGFELAPPHRLSPEIKEKMGNLSFQSYRPTKKNILVIGPVPGKKYSEITFPILSPDPATKRDVYFLKYPLYVGGNRGRGQIYPDGSKSNNNVYNATATGVVNKIIRKEKGGYEITIVDASDGREVIDILPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLLLVYSH >CAK8539139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504965144:504965371:1 gene:gene-LATHSAT_LOCUS8263 transcript:rna-LATHSAT_LOCUS8263 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLKGVAKSTMSDQIRKELCEYKRDNPASTQKDLQRWLEGKFQLKVSQGTISNTLKRSDDYLSTEIEKRRAEI >CAK8569021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663691860:663694413:1 gene:gene-LATHSAT_LOCUS21919 transcript:rna-LATHSAT_LOCUS21919 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMVSVKGSTVCSVPMSLIGSINGGGCSRTEFLGFSGGNKAKANSLSCHINVRKLCKAVNCSFNSSSNGSGSMAENFNQNDEDYVNSTILEAVEVKSGADGFIVKMRDGRHLRCVHNSPHGGLLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAIRNVQIARPTLYQVVKEMIDKMGYEVRAVRVTKRVQEAYFAQLYLSKVGNESECMSFDLRPSDAINIAVRCKVPIQVNKYLAFSDGMRVVESGKLSTQSPGSDGPLFTELDRPNGKPCVETKEFNLLQNMLKAVGEERYQDAALWRDRLNQFRAEKKANNRSWRL >CAK8541255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:98173647:98174134:-1 gene:gene-LATHSAT_LOCUS10187 transcript:rna-LATHSAT_LOCUS10187 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIRQIFPHALHHLRGIRWKFCHVKKRLNGPPDNNLVIAFNEILHPTSSTIPQSDSIPSIHPHQPTANLNTVGHSTDDENSISDDEMSLANSSDEDDDNINEPFIASHDQQYSDIGDSVWECPFCQACIWYQERK >CAK8544043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659744135:659745446:-1 gene:gene-LATHSAT_LOCUS12753 transcript:rna-LATHSAT_LOCUS12753 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSFLLSFIFLILHLSGQRVVRGEADVQHDHRIQKLFVFGDSYADTGNIEKGFYPPWNVPYGVTFPSEPSGRFSDGRVLTDYIAKYLKVKLPVSYTKVEHLAPHHLKNGMSFAFGGSGVFETINSLLPNMTTQINFFEKSIQEKVFTTSNIRKSAALVSIAGNDYLRYVKDGSIQSLPSFILSVVNQTITNLIRIKELGVKKVIITNLPPMGCLPSETASSSFKQCNETSNSLLVHYHNTLLTKAVRKLNQQINDDSSPFIVLDIYDSFMSVLKNPSTHNIKNELEPCCVGESSKYFCGMVVNNVKKYKVCENPKSAFFWDLGHPTDAGWRAVYTMLRKSNALEQIQDH >CAK8565633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:296000167:296001941:1 gene:gene-LATHSAT_LOCUS18828 transcript:rna-LATHSAT_LOCUS18828 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFYSEYHIDGYLPDLSTLSGCLYLMANIVGTVADKVATNVGTNVTNYFAGRIIREIQYLFCIDNLIDDLENEKMALTSERDSLRAQIVLAKERTEVIEEPVVKWLNDVENLLQEVEVLLKRTESDNNCFQGWFPTCGRYILCKQMAEMIEEIEKFNGKRFKCSDQITLKLKDCRQLHIFFPSECKLQNLKILRLQDCRTDEVLFSASVAQNLQQLEELRITGCNELKHIIAASGSEHDGCNTSEEIIPTLMNSHFLMTNLREVNILDCGSLESLFPICYVEGLKRLQNLEIVLSPKLEYVFGEYGHEHFSSHQYEKQVMLPRLESLILFHLDNFIGMCPKNCQAKWPSQTLRTVAIVDCPKLDVPWLNLQVGYDKRQHHHHLNEIWSFQCLQHLSVGNSEKLKCFFSMETHRSLPELIELRVYDCQELEQIVAASEELVQLPNAEVYFQKLESMYVNNCNKLKNLFPLAMVRMLPQLSTLTITNCTQLEEVFRHGPGGDNIIGEKEVVLPNLTDIKLEDLPNFVDICYGYKLHAVKLQELRIYNCPKTVANLKNFR >CAK8535301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836319996:836325154:-1 gene:gene-LATHSAT_LOCUS4771 transcript:rna-LATHSAT_LOCUS4771 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNPSPSPVRVLIRPPPSSSASSSSSSSTTVHPSSAPPPSSDGVVVVGFISRRYDDSTHLLNRVIDSNVFASGNLDKALLVDDEEASEWFKRRRISYFRDRDKGILFLHFSSTRCSTVHDSVEPSPGFDSVVEEHEFGDLQGMLFMFSVCHIIIYIQEGSQFGTRVLKNFRVLQAAKHAMAPFARSQGGAPPLPSRVHSSVSLSSRAALSGNNSSPGRGVGNLNRNASAVSLMSGLGSYTSLFPGQCIPVMLFVFVDDFSDLSVSGMNGEDSSDVSSLHQSSSLGSVAKANLASTKGSGSVVVLARPASRSEGGFRKKLQSSLEAQFRFLIKKCRTLSGSEVTHPGVRTGGSSASTALFSLDASRAVVLLDQLSVNKGESLEFATGLVEDVLNGKATSDSLLLESHGQIASKEDLISVKEFIYRQSDILRGRGGLVNTNSGSGVGMVAVAAAAAAASVASSKTLNAPDLPSFESWLTSSRNILSRIFCAKGGCLDELEYFKRRPRPRNPVSPAVEESLKGTDPFDVAVSWLQCGRGLNTKFSTLWCQRAIPAAKEIYLKDLPACYPTSEHETHLDKALHAFHSKVKGPAVQLFAKTLEEECSSIWKSGRQLCDAVSLTGKPCRHQRHDVDGSNSELGSLSKPHSSGYFFLHACACGRSRQLHPDPFDFESADSCGFFDCDKLLPAVKLPETEVEGPVQSSAWSLLRIGGSRYYESSKGLLQSGFCATEKYLLKWTIYLEKHKRLNGSTESIVKQNSVIRGSNVEYIADAKKTVDKQTHPAVESGVEDYRTPLDITKADNKDISFGRGFPIFKMRKPFSEVVAGSAAVDSGFPPLQQRKLPTSGSEKDMKQSRPCNQNTDRINATIDHQISLKFQDMSFTEGPLHGNGNNNLRDSDPFLRIGSNVVPVHLNGGERSKPHSSLKHVIVNVGFEHECPRGHRFLLNADHLTELGSFYSSSDESHLSSSMEPTERNQASHTRVSNNASWSKVHRSSNEILSPSINKERDVGKSNEVIPNGYLNADGPVHTSIPLKEKNMTSVNILAKPPNLMKDSGWDMHANNKGDDELAFSMLNRNLPIYMICPHCRRSRNKKDTADVKFASGISQLKRIFMVTPAFPVILATCPVVQFEASCLPSSVPDRERKLQFSLGCQVILPPDSFLTLKLPFVYGVQLEDGNKHPLNPFEQQPEMTAWITKGTVLQILSKGSSDEGYQT >CAK8565355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:149536830:149537305:-1 gene:gene-LATHSAT_LOCUS18576 transcript:rna-LATHSAT_LOCUS18576 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLELLEGVVAFHTGQLEKSKQALASAREKFVQLQVPDEALSLVLLHSTGLFTYQHRTYAEAIKKVIAQSIAS >CAK8542619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:531323658:531324186:1 gene:gene-LATHSAT_LOCUS11443 transcript:rna-LATHSAT_LOCUS11443 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKQSVKNKGKVEGSIYAHFNHLMLTPRIIRNPVNVNKKSQFTFSIFKLPGRPSGKKDVHWLTQKQMQFAHIYVLTNYVEVKPCLEEFNISYFHSTGVQATSSHIHAHFQAWFKEKLSCIIEPTQEILHFRNLSEGPI >CAK8575547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:160910318:160910781:-1 gene:gene-LATHSAT_LOCUS27803 transcript:rna-LATHSAT_LOCUS27803 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEPQKFYILQSPLLMDLRLNYCRHEYHQEWVPMPILDHYCDNLTTKRHGKRGGCVTNLETRVCRPRNLYNAKLETVQSTVLIVSAIFVGGTMSDDMVAVEFIRSHQHRRDESSEGMLA >CAK8577944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598366438:598367553:-1 gene:gene-LATHSAT_LOCUS30002 transcript:rna-LATHSAT_LOCUS30002 gene_biotype:protein_coding transcript_biotype:protein_coding MNENMKHFQKKLTELELEAEHLLLARHQLVENDKLRNGNREALTALRRKARTTTSSVPSPFGSIMKGVSRPLVQEVCTTCGNHDSFEQTWTMFPGTDLFVGIPFHAAHTILETDQAQLDFEAKKLQSTVKEKSLIISDTGALADKISPGVLKSLVTLNDKPK >CAK8577133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:539204672:539210383:1 gene:gene-LATHSAT_LOCUS29264 transcript:rna-LATHSAT_LOCUS29264 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLLLSQARRHCFTNHPSHFHSPLSHSFFSHNRSFSSSESNPSTTPFEPIPIQPVSYPVKPQDPPSPEPQLSSDAPSLSQLPPPPPKSADGPEPPRAWTREDIRYVKDAPSITPVSYPVRVAPLPDDKGPAENDEMENERKRIEAEDHLRKKMLKATEDEKLKVPFPLLIKPKQKEKPPLFDLSEAIRQVKANAKAKFDETVEAHIRLGIDSKRTELAVRGTVILPHGAPKAVSVAVFAEGAEAEEAKAAGADIVGGKELIEEIASGSNKLKVDKCFSTPGMAPHLGKIAQYLRKRRLMPDKKLGTLTSDIAGQLKELRQGRIEFKMESKSILHAGVGKVSYKEESLRENIGAFMNAVLQAKPAGLKKTSKYAGYVLSVHICSTIGPGIPVSIQSLSKAADNYKKAHMV >CAK8531084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78994587:78995033:1 gene:gene-LATHSAT_LOCUS899 transcript:rna-LATHSAT_LOCUS899 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIISEMQLAVIAGRQILDVILVANEIVDEARKRRKEVLLFKVDFEKAYDYVDSKCLIYLMEKIRFLPKWCNWISECLSISSLSILVNDSPTREFKMGRGLRQGDPLSPFLFLIVAEAFNTMMKKVVALGKFQGFKFDEGSEQFSHL >CAK8579119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:677004518:677004898:1 gene:gene-LATHSAT_LOCUS31087 transcript:rna-LATHSAT_LOCUS31087 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRKCKRVWGSKNRLHPEKSYKKQKDNTKKTPNGCLCVYVGPERQRFVIKIKIFNHPLFKTFLEDVENEYGYRNDGPLWLHCDVEFFCEALVEVESSVGDMGFVGCNFPMSHKLSPVHNYQVLV >CAK8578840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657804887:657805363:1 gene:gene-LATHSAT_LOCUS30823 transcript:rna-LATHSAT_LOCUS30823 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSEVLYQLYVKTIVLFTYMLIQLILIICYLKSTKNPITTTQYLNFIEEKNPTRKLKNPTKEHIDCRVCLSEFKEGDTVRNLNCEHTFHKDCLDEWFLQDQYCATCPLCRNKVLSEDVSSKYSLLQNQVEFDGIDDQFLGLLSSLRDGGDIWYRYL >CAK8571962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497435839:497437956:-1 gene:gene-LATHSAT_LOCUS24574 transcript:rna-LATHSAT_LOCUS24574 gene_biotype:protein_coding transcript_biotype:protein_coding MITITMAKHFLFSLCLLLFSTACLAHHSELDRFNQCQLDSINALEPDHRVESEAGLTETWNPNHPELQCAGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGKGVLGLAVPGCPETYEEPRSQSRQQQQQHDSHQKIRRFSKGDVIAIPPGIPYWTYNHGHEPLVAISLLDTSNTLNQLDSTPRVFYLGGNPEAEFPETQEKQYEQHQPRHSSPIGRRGGHQQKEEESEEQNEGNSVLSGFSAEFLAHSLNTKEDTAKKLQSPQDERGQIVKVEDGLQIISPELQEEEEQSQSQREEEEEREQRDRKHSKKEEEDEDEEEEREQGDRKHSKKEEEDEDEEEEREQRDRKHSKKEEEDEDEEEEREQRDRKHSKKEEEDEDEPRSHETRRKWKKHTQEKKRESRGQGEEEKELEKEEEEEEEIQRQRSKGGKNGLEETICSAKIRENIARPSRTDLYNSRAGRISTVNSLTLPILRNLRLSAEYVRLYRNGIYAPHWNINANSLLYVIRGQGRVRIVNSQGNPVFDDKVRKGQLVVVPQNFVVAQQAGNEEGLEYVVFKTNDRAAVSHVNQVFRATPGDVLANAFGLRHREVAQIKSNGNRGPLVRPQS >CAK8536647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:4187131:4190016:1 gene:gene-LATHSAT_LOCUS5989 transcript:rna-LATHSAT_LOCUS5989 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSQSKLELPIRNAISRIQFAPHSNNLLISSWDTCLRLYDFNASVLRLESPSEFALLDCCFTGEDTVAFAADSGGFITRYDLYSGVVDQIGNHDDMATCIGYSNETCLLITSGSDNKLLSWDVRTKKASSLSRSLDEEIESMSVSGFKVTVGIEATAHVFDLRNFDKPTLSMEPCSGTQLRCVSSIPYAEGFAAGSMDGRVALHVSNSSNLNDTGYAFRYHPKSKDGRYHLTSINDIAFSPLVSRAFVTGNDEGYVSIWDARSTKRLIEFPKYSNSVASLSYNHSGWLIAVASSYTYREAKEKRVEPPQVFIHKVDDINFGSSSA >CAK8563678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624727298:624727507:-1 gene:gene-LATHSAT_LOCUS17063 transcript:rna-LATHSAT_LOCUS17063 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKRFLEESCKPKCVRPLLEYQACIKRINGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTQLK >CAK8569605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6786271:6789677:1 gene:gene-LATHSAT_LOCUS22439 transcript:rna-LATHSAT_LOCUS22439 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNFDKEDGLETVLEVPIPEDMWTGIGSSGSNRWRNLCALMKAQISNDKSSHLSASSNNEFIALLKLVGSPLIPLQVQCDHTLTRPLNDSSIEASSAKYIVQQYIAATGGMGALDSLKSMYAVGQVRMFGSEMRAGDHSIKPIGRAEVGGFVLWQKNPNLWHFELVVSGFKVSAGSDGKIAWSQSSSKPCHANKGPPRPLRRIFQGLDPRCIANLFLDAVCVGEKTINKEDCFLLKLEMAHDVLQAQNTSHTEIIKHTVWGYFSQRTGLLVKFEDSKLVRMKPSKETDSVFWETNIESMIEDYRYIDGIKIAHGGKTVSILYRYGVAHNHKRRIEETWRIEEVDFNICGLSMDCFLPPSDIKKDQDVVEQIV >CAK8560942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:61558614:61562134:1 gene:gene-LATHSAT_LOCUS14578 transcript:rna-LATHSAT_LOCUS14578 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAKRQGGRPLPPPPRGPPPPSSRPRLEPVDREKTCPLLLRVFTKIGSHHTMDDFSVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRNAKLSFSFVFPDKNGRFKVQEVGKTLSFGNGRLDDNKALAELGFEIGDYLDVAIL >CAK8533829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:663794326:663796505:1 gene:gene-LATHSAT_LOCUS3423 transcript:rna-LATHSAT_LOCUS3423 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKRRRALVAEKKRQIHGDPITGKLKIRKQNVSLSGKRKRKLFKQWRREQKDALESGIVSMEDVQMAVAKGETKDSTASSSGKFHLKKGLKLKQLKRKGKNKRKSDVPATEISADANAMME >CAK8568094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573108529:573109485:-1 gene:gene-LATHSAT_LOCUS21090 transcript:rna-LATHSAT_LOCUS21090 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRVTVTVAVFLLFSIGLLATLTGFWGGARSGTLVSFSFLFGLEEGTNYTLLHTPHRKLLPHPGTEKVEPNRIWGEKCTKSDIVINQGSTAPLPSGIPTYTVEIMNMCVSGCDISAIHLRCGWFSSARLINPKLFKRLRYNDCLVNDGRPLVNGGTVSFQYANTYLYPLSVSSVVCV >CAK8535544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:861262007:861285075:-1 gene:gene-LATHSAT_LOCUS4995 transcript:rna-LATHSAT_LOCUS4995 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLVPSPSRCLHTHIIIHSPPPNLFNRIIIHSPPPHRHPHCSQSPLLRHSLSCSRLQEISVASESLDEHQHGQSENINNNSSKEVKTTSKIQVPRQKHIPVSKSQLRDAILSIMLHQDAAHHFRLLTSCLDSILHAEHKTILEDMRSDYHLTNSLQTPDDHNNGNGNVYQDDMQQLQPQKSLLSDYALNLETLLRSLDPTPNNDYDRGSRVTIATRFQRSFMQLLSDAQFEELSARDLMLTSALNTDYLLTLPIYVDWEKAYESNAIIFRRGYATEKQNGLLIVEKLDYLQSKLLETIFSLISKPLAKLGTRINELYENFSQKHVVHSWTERLRLWLKELSVFQKSLLHNDNALDEQIGVNQVPNEELPIWLAAQRAVARYEGILSPVGPRERLLRRLLSWIGLIPLKSETPFQVENDGDSPEPYLRPTFLSRISLSDTWRPATRKYCGNDPWKMLKTSISILFSKSVLQEPAFEELILLYTEEVGETNGKDKAEVPSLQLKIYERIPFPDLAVVFPHKKLSFRIIDTVRLDIATILGLLAYFINYKFENVLSSPSAVLLDVVAVSALIIYGSRVALGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQYKEAILAYAVLLKADKGQVTSRQSVGEECERFMYEVFKVKVEMPIDKALNTLLRLSLATETCIDGNHGLLAVPCPQAYEGLKERWNSLFC >CAK8561288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:137429054:137431247:1 gene:gene-LATHSAT_LOCUS14895 transcript:rna-LATHSAT_LOCUS14895 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMMQDIEAQTTVQKEASKIFHKGNAPVTLKFHDVVYKVKAKKKEQRVILSGVTGMVQPGEILAILGPSGSGKTTLLTALGGRLGGNLSGTIAYNGKLFSNSMKKSIGFVTQEDILYAHLTVTETLVFTSLLRLPNSFTKQEKIEHAKSVISLLGLTKCKDSIIGKPMLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQKIVSNLWELAIGGRTVVMTIHQPSSRIYHMFHTVLLLSEGIPLYYGKGSEAMEYFSGVGYEPAIAMNPSDFLLDLANGVYTDQSNEDHALNKQKLVSAYKNYFDAKWKPIILDENPDNDESQSRFEDSGFGKWSTTWWQQFIVLLKRDLKERKHEPFSALRICKVIVIALITGLLWYRSNISQLGDQIGLLFFINSFWAVLPFYKAIFTFPQEATMLEKERSSGMYRLSSYFISPIIVDLPVELILPTIYLIIIYFMTGLKLNVINFLYTLLTLLLDVLVSQGLGLAIGAIIMDTKSAITLGSIILLSFVLAVGFYVRHVPNFIAWIKYISINYYIYKLFLGSQYDTNETYPCSNGKCLISEFPLIKQMGELHLQGQLMALLALFIMLIGYRVMAYFALTRIGVTKKIPT >CAK8564627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3220842:3222467:1 gene:gene-LATHSAT_LOCUS17912 transcript:rna-LATHSAT_LOCUS17912 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPFFDDIRSRSGVDPPQTEESTEIPELISDSIQTVVKPNGTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHDRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAFRPYNCPYAGSECAVVGDIRFLVAHLKDDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNDAKNYTYSLEVGGNGRKMTWQGVPRTIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >CAK8560001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4790629:4791615:1 gene:gene-LATHSAT_LOCUS13724 transcript:rna-LATHSAT_LOCUS13724 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNHFVLIHGASYGAWCWYKVSILLKSSGHDVTTLDLAASGINPIQVQDVSSILDYNEPLLTFMESLPSHEKVILVGHSFGGISISLAMERFPHKISVAVFVAAFVISENLTYPDIHQELMRRREKKKDWSMDTKRFFFNGNNNPPTALLFGPKFMESQLFQLSPPEDLTLSLTLVRPFPLFNNEELFLKESKVSKEKNGNVAKVFIISKGDISLIDDLQSWMIERSGPYAEVNEINDSDHMVMFSNPKELSSLLQKIADKY >CAK8536131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910160381:910160833:1 gene:gene-LATHSAT_LOCUS5529 transcript:rna-LATHSAT_LOCUS5529 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSFNVRGCCSSLKRRRISQIIQRGSADIFLIQETKINKMEAGIVNSMWRNYDMDWSAQNSIGNSGGILTMWNTTRITAYSSFCGKGFLGLHIFWNNHRLIVINVYAPCGSADKSKLWRELIKIKSNYSNVGWIVRGDFNAVVTTRGI >CAK8530136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:2529576:2533675:1 gene:gene-LATHSAT_LOCUS28 transcript:rna-LATHSAT_LOCUS28 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTLIFFTLFSALFSSVFSSSLLTDFHALVNLKQGFKFSNQVLNSWEGSNFTAVCSWGGIQCRENRVVSVELTDLNLFGSVSPAISSLDKLTHLSLAGNNFTGIIDLTNLTSLQFLNISNNNFSGNMNWNFSTMQNLQVVDVYNNNFTSLLPLGLVTLKQTLKHLDLGGNFFFGEIPKRFGEFASLEYLSLAGNDITGKIPGELGNLSNLREIYLGYYNSFEGGIPKEFRTLTNLVHMDLSSCDLDGSIPKELGELKKLNTLYLHINQLSGSLPKHLGNLTNLLYLDLSSNALTGEIPFEFVNLRNLKLFNLFLNRLHGSIPDYIADFPDLETLGLWMNNFTGEIPYKLGLNGKIQVLDLSSNKLTGVIPPHLCSSNQLKILILLNNFLFGPIPEGLGTCYSLTRVRLGENYLNGSIPNGFLYLPKLNLAELKNNYLSGTLLENGNGSSSSSLFSQSQSQPVCLQQLDLSNNALSGPLPHSLSNFTSLQILLLSGNHFSGPIPSSIGGLNQVLKLDLSRNLLSGEIPSEIGNCGHLTYLDMSQNNLSGSIPQSFSNIRILNYLNLSRNHLNQTIPKSIGTLKSLTVADFSFNEFSGRLPESGQFSFFNASAFAGNPKLCGSLLNNPCKLTGMKSTPGKKTNADFKLIFALGLLMCSLVFVIAAIIKAKSFKKNGPGSWKMTAFKKLEFTVSDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGANSHDHGFKAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGAFLSWNFRYKISIDSAKGLCYLHHDCSPLILHRDVKSNNILLSSNFEAHVADFGLAKFLVDGAASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELLTGKKPVGDFGEGVDLVQWCKKATSGRREQVINIIDSRLMVVPKEEAMHMFFIAMLCLEENSVQRPTMREVVQMLSEFPRQSHQQDQQSSSCSSSSSINPPSKKLIQNHKLPCSPTFKHDLLV >CAK8574950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:17342597:17342955:1 gene:gene-LATHSAT_LOCUS27247 transcript:rna-LATHSAT_LOCUS27247 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNKAPTFLLLIVLISSIGEKPSIVEGRVLSLISHQGYSKIFATLGVICKCCDDGVEGACKETWTDSCSNVRCLPWKTY >CAK8577554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574088995:574089162:1 gene:gene-LATHSAT_LOCUS29652 transcript:rna-LATHSAT_LOCUS29652 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRIELCISMVRMAIEFVMAVAETVVIVQERNTDHFVPFNRGSTPLPFHGYLR >CAK8565570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:280883646:280884008:1 gene:gene-LATHSAT_LOCUS18770 transcript:rna-LATHSAT_LOCUS18770 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISVIFHHGGNFIHEKHTFYKEGSETIVKGQDPDKWSFFEAVSLVKEWGHNGFKLWRKILGFDEGFVHFIDDLQAVENGIEEMLSKVLRPNVNQFSECNDDVRGIRFDDSEEERTCHY >CAK8533355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607373763:607378196:1 gene:gene-LATHSAT_LOCUS2991 transcript:rna-LATHSAT_LOCUS2991 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLSCDDVEDYVNPNNPVYRNCVCLSCLVQNLLNAYTSIFRRGEAHSISSSLQGTASMTSAASLDNSLSDMYRSPPRPLPYDAEPRFFRSQRDGLVSRREKSSSHSNEETEPLRSDVDVDPEPLNSADKWNECAREDESKIYRSKSALRLSSAKYTPGVGLVYTSSEEEDICPTCLEEYTKENPKIMTKCSHHFHLGCIYEWMERSDNCPVCGKEMEFDETTYS >CAK8578858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658994555:658995172:1 gene:gene-LATHSAT_LOCUS30840 transcript:rna-LATHSAT_LOCUS30840 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKRSSQTSPVGSPTSGNISDSSSSKEQDRFLPIANVSRIMKRALPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKVYLNNYRETEGEKSNSLSSSMATTKQDDYYNHDHGSSNGGFYSQVVNDNGSKRFQEIVDYRVIGQSGGGGEETENNAIASGNRIMPPNLRYRVEW >CAK8568579.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:620068331:620070382:-1 gene:gene-LATHSAT_LOCUS21523 transcript:rna-LATHSAT_LOCUS21523 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVAKASEYLVITGVGIKDIKLAKKAWILPGQTYTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRANDHESLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEATMKGEIGSKLRKGQTLQNAAKIDAETKVIAVRRNGEGEKEGIKVRSEVKVFENEREAEVAQANSELAKKKAAWTKAAQVAEVEAAKAVALREAELQGEVERMNALTTTEKLKAEFLSKASVQYETKVQEANWELYKKQKEAEAILYEKKTEAEAQIALADATFYARKQAAEADLYVKKKEAEGIVALGQSQGAYISTLLTALGGNYTALRDYLMINGGVFQEIAKINAEAIRGLEPKISIWSNGGDNGGGIAEGGMGMKDLAGVYKMLPPLFKTVHEQTGMLPPSWMGALPDKALD >CAK8565392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:160778151:160780424:-1 gene:gene-LATHSAT_LOCUS18610 transcript:rna-LATHSAT_LOCUS18610 gene_biotype:protein_coding transcript_biotype:protein_coding MALHINSGCFTIMGHSRIQRIRAVASDGSSTLKTVEDKVKLGGSDLKVTTIGIGAWSWGDTTYWNNFQWNDRNEKAAKEAFDASIDGGLTFFDTAEVYGSGLAFGAINSETLLGRFLRERKERDPNVDVAVATKFAALPWRLGRQSVISALKDSLGRLGMTSVDVYQLHWPGVWGNEGYIDGLGDAVEKGLVKAVGVSNYSEKRLREAYKRLKKRGIPLASNQVNYSLIYRTPEENGVKASCDELGISLIAYSPIAQGVLTGKYTPEKPPSGPRGRIYTPEFLTTLKPLLNKIAEIGDKYNKTSTQVSLNWLVAQGNVIPIPGAKTAEQAEEFKGALGWRLDNDEVAELRSLASKIKPVIGFPVEKL >CAK8561556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:290659086:290659289:1 gene:gene-LATHSAT_LOCUS15136 transcript:rna-LATHSAT_LOCUS15136 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQETDREGNGLSIIGGPSLKLNRLRSSPLSCLGFGIPSLVGGFSLKVIKCGAGSSLSTNSGSREG >CAK8569266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:688965866:688967583:-1 gene:gene-LATHSAT_LOCUS22141 transcript:rna-LATHSAT_LOCUS22141 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSVLSDRLRINGSLARRAIRDLMAKGLIRLVSSHSSQQIYTRATNT >CAK8573879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643938174:643942303:-1 gene:gene-LATHSAT_LOCUS26279 transcript:rna-LATHSAT_LOCUS26279 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSILSLYPSLSLHRLRPSLSLSFSPLRFSTKPRSRFLVLASSSHDDFTSKKSALSELIHEIEPLDVSCIQKDVPPTTADAMKRTISGMLGLLPSDQFNVVVEALWEPLSKLLISSMMTGYTLRNAEYRLCLEKTLDTCERDLEKPKAESTKFDLQDFLRDSVNIDFGRKNSLSSKVEKSHEDVDIEDLGKLSAEAQEFISSLQSRLSSMKKELREVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEQVAQLSEFTSPELRDIILSVVHGLLATLSPKMHSKPSTTSENATVGTANDGSEDCAEVVENSSLQFQPFITLTRDYLARLLFWCMLLGHYLRGLEYRMDLTELLSLTNDAENDVSGNEQIV >CAK8572661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553977338:553977631:-1 gene:gene-LATHSAT_LOCUS25196 transcript:rna-LATHSAT_LOCUS25196 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDIPKGLCGWSWKENKLFELALALVDERHPERWEMVAAMVGGEKSAGEVEEHYVILLEDLELIESGKFDHKLGEEDRTCVLVDFTESLCLSDNID >CAK8570845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:127146038:127146310:-1 gene:gene-LATHSAT_LOCUS23560 transcript:rna-LATHSAT_LOCUS23560 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYHFILSFLFIFFIIFYNIWRRHKSGVVLNWPIIGMLPSLLLNLSNFHDFLTSGSKQYGSTFHFKGPWLTNMNNIIITCDPMNVHHIT >CAK8530906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62261816:62262163:1 gene:gene-LATHSAT_LOCUS735 transcript:rna-LATHSAT_LOCUS735 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFVILITLAVVLVSATMNQALSSNGVSPINVNDPHVIDIAKFAVNEHNKESKTTKLKFEKILNGVPKTTSAGTIYRFTLSATDGSASNQYGAVVLEDPQHSLKLIHFAAIHD >CAK8561739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:371133880:371134152:-1 gene:gene-LATHSAT_LOCUS15300 transcript:rna-LATHSAT_LOCUS15300 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWPEWILEIMISNYELGSSKPSDVTSLGDIEDLLHNFLIKVLEHSMRQKEGWKDIEATIHYADWLSIIGGSSIGEAGHHVIINRNWMG >CAK8570094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23655515:23655859:-1 gene:gene-LATHSAT_LOCUS22877 transcript:rna-LATHSAT_LOCUS22877 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAASISTSSLSVVGTNLSLPPHRHHRHSSISTPFRTNRFFLSSSLAYSSPCDRRVVHGGLGLRRNTPDVWKHYSAVLSQPTAPVRQSCTSCCLASAKKRRSNLPRFVPGAFF >CAK8569641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7135130:7137991:1 gene:gene-LATHSAT_LOCUS22469 transcript:rna-LATHSAT_LOCUS22469 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRTLLSLHSSRNAIIKLKSISNSPFPTIIFKRLPVSSITGHRNLHSHSPELGNSLIDPSLRTHVNSNAVQQNDDDDETTNEFLSRFAWIMRKKVKESFPESDKSTVDAMLLVIVERVASEMEKDGSATASFSPFDSVDFSEDLWRTVWEVSNKVLVDMNKERKKEKMKGFLQCDEVKEMCRFAGEVGIRGNLLRELRFKWAREKMEEHEFNEDLEKLRKEGQVIEEQVENDTETDTNVDGGVLVDGNVAESKIGNKVVGLPKRKGKMRFKIYGLDLSDPKWEQVAGRIHEAGEVLWPKEAKPITGKCKQVTEKILSLKEDGDDNLLTLLAEWVELLQPARVDWINLLDRLKNQNPPFYFKVAEMVLTEDSFQTNISDYSRLIDMYAKENRIDDTERLLKKMNENGIHLDASIASVLVHMYSKIGNLERAEEAFKVLNDLGFQPDTKVYNSMIMAYINAGEPTKGETLMRQMDTRDIKPTKEIYMALLRYYSQRGDFDRASRTSTSLQFAGHQQTMETCTLLIEAAAVSGDLDKVSSNFDHMVQLGHKPDDRCTAAMIRAYKKTKLLDKALDLLLTLEKDGFEPGVATYSVLIDWLAKMQLVDEAEQILNKIALLGEAPPFKVQVSLCDMYARTKMERKALQTLGVLIARKNELNQHEFERVISGLIGGGFLKDAQRMLGIMEAQGFKASGQLISALKSGLLPLSMRS >CAK8569568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5222564:5222865:-1 gene:gene-LATHSAT_LOCUS22407 transcript:rna-LATHSAT_LOCUS22407 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTVLGIRPHCLVLQLSQVSIVALIFAVKITVQESRLTSAES >CAK8560919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57786379:57796266:-1 gene:gene-LATHSAT_LOCUS14558 transcript:rna-LATHSAT_LOCUS14558 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGPPHPYGYPNPYAYPPYPYQYPYSSYPPPSSSQSQNPAVPHPPPPPPATTPYSPYPPNSSYPYNYNYSYPPPPPSNHSEYPPPQQSHAYPFPYPYSYPYPYPYQALPITEDPNSPPSSTYPTLSDLMNNTQISDNRPNTPPLLTHSNSVSTEDRQEDFCGHSSHHSFSGFENSYSDDLVDNQSLQIVPVQNKGSLKFLLLHGNLDICIHGANNLPNMDMFHKTLDDMFGKFPGNVGNKFEGTMSRKITSDPYVSISLLNAVVGRTFVISNSENPVWEQHFYVPVAHNAAEVHFVVKDSDVVGSQLIGVVAIPVEQIYTGEKIQGTYPILNNSGKPCKQGAVLSVSIQFIPMEKLSIYHQGVGAGPDYIGVPGTYFPLRKGGTVTLYQDAHVPDGYLPNVMLDNGMNYANGKCWADVFDAIRQAKRLVYITGWSVWHKVRLLRDVGHSHETDFTLGDLLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRIGGKRHSWAKQKEVETIYTHHQKTIIVDADAGNNRRKIVAFIGGLDLCDGRYDTPHHPLFRSLQTLHKDDYHNPTFTGSTGGCPREPWHDLHSKIDGPAAYDILTNFEERWLRAAKPTGIKKFRSSYDDALLKIERISDIIQMSEAPSDGDDNPEAWHAQIFRSIDSSSVKGFPKEPKDASQKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSYNWSQNKDIGANNLIPLEIALKIAEKIKANERFAVYIVIPMWPEGNPTGAATQRILFWQHKTMQMMYETVYKALVEVGLEAAFSPQDYLNFFCLGNREAIDIHENIVVSGIPPPPNTPQVNSRNNRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQYTWARNQCYPRGQVHGYRMSLWAEHIGTIEDCFLQPESLDCVRRVRTMSEMNWNQFSSNDLTEMRGHLIKYPVEVDRKGKVRSLPGYEEFPDVGGKIIGSFIAIQENLTI >CAK8531500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:116633749:116634639:1 gene:gene-LATHSAT_LOCUS1288 transcript:rna-LATHSAT_LOCUS1288 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGWPHLVPPLITNPTVTDQNTADKENYGIGEVQATNLECDSQAGEEAATTDTKTLNQVITEEAKKEVEVTQPKKLWVDIINENHNPAKGLTMEFVAPKIIDGEVEIQIEEADVEAEVKFWESALILYALGVYLSMNAVTQFMSRTWNFVTLPEMFYNEEGFFILRFHSFHDKELVLMKGSYSIRNRPTLLREWKPDFSMNKDMLRTIPLWVKLPQLSLHLWGERSLSKIGSAIGTPLVTNECTTNKLRVSYARILVEIDVTQELKTEILIRDEKGARMKQPIEYEWKPLY >CAK8570476.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49447165:49448147:1 gene:gene-LATHSAT_LOCUS23222 transcript:rna-LATHSAT_LOCUS23222 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTSKNENREKPVMLFGVNIAAVDDTASNSFNTGDENHNEMELCSFDKQENESDQDRNEYPDSGQSSNSENGKKVKQWTKNEHEAFLTGLKTVGKGKWKDISMNYVKTKTSIQVASHAQKFFLRQRTPETKRQSIFDTMLDYKDWKTPSPSPSRKPSPSKSI >CAK8561438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:210978292:210978871:-1 gene:gene-LATHSAT_LOCUS15030 transcript:rna-LATHSAT_LOCUS15030-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIGGGIGRYSLALVEDARDFSKYLWNTFLGGTSFSRPFGDAVLDGIDFDIELGSAQYWQYLAQYLKDYQGVYLSAAPQCPFPDRFLAAIPYGEVFLRLPEAGSAFIPTEVLTSEILQVIQHTSKYGGVMFLSRYFDDRIGYSASIIDSV >CAK8561437.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:210978292:210978871:-1 gene:gene-LATHSAT_LOCUS15030 transcript:rna-LATHSAT_LOCUS15030 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIGGGIGRYSLALVEDARDFSKYLWNTFLGGTSFSRPFGDAVLDGIDFDIELGSAQYWQYLAQYLKDYQAAIPYGEVFLRLPEAGSAFIPTEVLTSEILQVIQHTSKYGGVMFLSRYFDDRIGYSASIIDSV >CAK8571037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272852064:272852451:1 gene:gene-LATHSAT_LOCUS23734 transcript:rna-LATHSAT_LOCUS23734 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTYPHHQHNLEFLLCLNRPFFLPDQLQCIKTSEVLGLKMEEAGLLLCCSVDCRFQQENYAGLFFVVVSSFGFIRVNAVFSFRVTWLLRTRLPNEV >CAK8538689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493038169:493040358:1 gene:gene-LATHSAT_LOCUS7863 transcript:rna-LATHSAT_LOCUS7863 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREYQPLLLGLESHSNIADLSSDAIEEFLEHKPIGLRWWLKIVAWESRLLWILSGASIVVYLFTYMLSFATLMFSGHLGSVELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMCITLQRAIILHFGAAVILTFLYWFSGDFLKLIGQVDSIALQGQVFARGLIPQLYAFAFSCPMQRFLQAQNIVNPLAYMVVGVFVLHVLLSWLVVYVLDYGLLGASLTLSFSWWVLVLLNALYIVLSPKCRETWTGFSMRAFVGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLSNPTVALDSISICMNYLNWDMQLMLGLGTAASVRISNELGAAHPRVAKFSVYVVNGNSVLISIVLSAIMLIFRVGLSKLFTSDTDVIDEVSDLTPLLCISVLLNGIQPILSGVAIGSGWQALVAYVNLACYYGIGLTVGCVLGFKTSLGVAGIWWGMILGVLIQTVTLIILTARTNWTAEVEKAVVRVKRSAEDDTLDQLVANI >CAK8542388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507568799:507570234:-1 gene:gene-LATHSAT_LOCUS11229 transcript:rna-LATHSAT_LOCUS11229 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEDEVEKASRSNDWEVVSLTASTYAAAPGPGKVELKDDDKEDAYAPNEAETSNALFMSGHFAFPPSRHENLPLEPDYGEIQNESGDKDNASEETREEATRPSGKEEENLTLAGLDVSKEFEGMQYYDEKINELSVHGKQFEESTALPDFGLTVKEESMYHPAKYTSFPSETDIGTLTAYGESIVESETTESAEQGTNVSPDDLSLSKNSSHDDKQNPSDLPCRAWWKRRAASIYAHAKEANTFWSVFIAATVMGLVMLGQRWQREKALQLKWQINITDEAKSRALSPMIRLKDVIVGGGHRRGSFIRESSLAES >CAK8566765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460818848:460820408:1 gene:gene-LATHSAT_LOCUS19875 transcript:rna-LATHSAT_LOCUS19875 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETKFTENFHFKPNMKNHENLFSVPSSQGFLQDFNHIDKFNLHGTFFNPGSGVQNFDPSDNFSFVDNEFKPFVENNSGNGHAFVMDNFLHGGYGLNNLSQKNNQVETMVTNQSNYFPFNINIPQETKPLNFVAPDEVSCTPSMKFYKRFGINRNTKGYPISRRYRKNSNVVKGQWTVEEDGLLIQLVEENGLRKWSRIAQMLPGRIGKQCRERWHNHLRPDIKKDIWSEEEDRILIKAHGEIGNKWAEIAKKLPGRTENSIKNHWNATKRRQYSKRKCRLKNPRGNLLQEYIKSLNLDKNPPKDYRRKSSTSVMKNNTNKDTTITITTTAQSQSQKAEQFRPNDHECLVPSCGFDDVPDFCFDESLFQGGCSIDSLLNDVQIMEGKKHCDAEKEVMDSMFGVEVKKEMDLIDMVFSCQ >CAK8566766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:460818896:460820408:1 gene:gene-LATHSAT_LOCUS19875 transcript:rna-LATHSAT_LOCUS19875-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHENLFSVPSSQGFLQDFNHIDKFNLHGTFFNPGSGVQNFDPSDNFSFVDNEFKPFVENNSGNGHAFVMDNFLHGGYGLNNLSQKNNQVETMVTNQSNYFPFNINIPQETKPLNFVAPDEVSCTPSMKFYKRFGINRNTKGYPISRRYRKNSNVVKGQWTVEEDGLLIQLVEENGLRKWSRIAQMLPGRIGKQCRERWHNHLRPDIKKDIWSEEEDRILIKAHGEIGNKWAEIAKKLPGRTENSIKNHWNATKRRQYSKRKCRLKNPRGNLLQEYIKSLNLDKNPPKDYRRKSSTSVMKNNTNKDTTITITTTAQSQSQKAEQFRPNDHECLVPSCGFDDVPDFCFDESLFQGGCSIDSLLNDVQIMEGKKHCDAEKEVMDSMFGVEVKKEMDLIDMVFSCQ >CAK8564927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15744030:15745031:1 gene:gene-LATHSAT_LOCUS18177 transcript:rna-LATHSAT_LOCUS18177 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKKLVDEVSGWLRIYDDGSVDRTWYGPPEVNFMIEPVAPHEHFIDGVAIRDVTMNTIHRARLYLPEKTPKENEKLPILVHFHGGGFCISEPDWFMYYKVYTQFVKSTRSICVSPFLRRAPEHRLPAAIDDGLTTLRWLQSVAKGDTRDEWLEKHGDFDRVFLIGDSSGGNLVHEVSARAGSTDLRPVRLAGAIPIHPGYVRSERSRSENEMPQSPFLTLDMLDKFLSLSLPIGSNKDHPITCPMGEAAPPLAGLKLPPYLLCVAEKDLLRDTQMEYYEAMKKANKEVDLFVSKEMTHSFYLNKIAVDMDPTVNAEMNALIGRVKDFIEKH >CAK8536506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947882825:947884643:-1 gene:gene-LATHSAT_LOCUS5868 transcript:rna-LATHSAT_LOCUS5868 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLSPTTPSQLCSGKSGIFCPSIALLVKPTRTHMIGKGNKGMKITCQATSIPADRVPDMSKRKTLNLLLLGALSLPTAGMLVPYGSFLVPPGSGSSTGGTIAKDAIGNDVVAAEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATFAINAVCTHLGCVVPFNQAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHCDVGVDDGKVVFVPWVETDFRTGDAPWWS >CAK8530464.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23229852:23230752:-1 gene:gene-LATHSAT_LOCUS327 transcript:rna-LATHSAT_LOCUS327 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKLLLISTAVIFTSLGFKSSLSLATTHLHLLWTFFLLCFTPPYLIFTLNAIIIFILVSSKFHQSNTQPNNPMLDSTISPSPHVANTVLLNISPAPKDQDIEEQTKHIDLGVSPPNTMDSPEYLPTIDKPLVSSRFTHRKPLKSNPEGGKALKVAAKQKRHETLESTWKTITEGRSIPLSRHMKKYDTWQNRYNVGPHQSEDFVSDFNLNKSVRLRKEPSLSQDELNKRVETFIQNFNHQMRLQREESLLNHYQNMINRGAS >CAK8567648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533231374:533231799:1 gene:gene-LATHSAT_LOCUS20683 transcript:rna-LATHSAT_LOCUS20683 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWKCDGDRWSYFEILEVVKETKYPEVQEMWYDFAGTLKALEDDFGAIEALNWSKTKRKVDIYIVHPIEQPDLVVALPETLTIKVCEAQPKEQNVEDCRDQPDEQNMNVCGDQPIEENVDVCVDHHEEQNMNVCVDQHEE >CAK8568007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562532688:562532912:-1 gene:gene-LATHSAT_LOCUS21009 transcript:rna-LATHSAT_LOCUS21009 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMMEWHKQVQNGNVVAMYVKVMTDEQLETIRKQIVVYATICEQLVEMHKSLYAQQDLAGISILYSNSFLPHQ >CAK8536984.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:50481037:50481297:-1 gene:gene-LATHSAT_LOCUS6305 transcript:rna-LATHSAT_LOCUS6305 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYHPSCEAMITSTWSIRVLGFRMYVLNRKLQLLKSNLKFWEKKAFGNVKDNVDMAESKLKDIPKPNRLYRPFRPTCKPRKKCTM >CAK8532615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:330336246:330337374:1 gene:gene-LATHSAT_LOCUS2302 transcript:rna-LATHSAT_LOCUS2302 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPPVENDESSTKVSITSPAIESQRSIPTPFLTKTYSLVEDKSIDDVISWNEDGSTFIVWNPTVFASNLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLCEIQRRKICSAVPMSTSVAAVAAVETATVAVPSPMPLTVVPIAMPMVSPSNSGEEHVISSSSSPSRAPWEILDENERLMKENVLLNKELAEMRLLCNNIYTLMSSYANNNNSNSGDNNIQTDGGAQGSRESGMTAVRPLDLMPAVKRSSGMEKMNPKLFGVAIGTKRTRENGCESGRDDTLLRLHQPGSTDVKSEPLDCQDSPDNDQPPWLNRCNRANESLCK >CAK8561100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93613638:93615380:-1 gene:gene-LATHSAT_LOCUS14721 transcript:rna-LATHSAT_LOCUS14721 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDSICAIVVKGYWNNLLKPKIASTLTSTTIHQCILHLTQHRYEPFFIFSFFKWLHSIPHYTHSLQSSWSMLHILTKHRHFKTAHQMLDKIAQKEILSSPSVLTSLVRIHDDPEVNSHVLSWIVIHYAKSKMTHDAVQVFEQMSLCNLKPHLHACTALLNSLLKDGVTNMAWKVYKRMVQDGVVPNIYIYNCLIHACLKSRDVERAELILNEMEGKCVVPDIFTYNTLIALYCKKGMHYEALSVQDKMEREGINLDIVSYNSLIYGFCKEGKMREAMRMFGEIKSATPNLVTYTTLIDGYCKTNELEQALRLREMMEAKGLYPGVVTYNSILRKLCSDGRIRDANKLLNEMSEKKIQADNITCNTLINAYCKIGDLSSALKVKNKMLEAGLKPDPFTYKALIYGFCKTSELESAKELLFGMLDAGFSPSYCTYSWIVDGYCKKNNTDAVLALPDEFLSKGICLDVSVYRALIRRLSKIERIECAEKLLVHMEGKGISGDSVIYTSVAFAYWKSGNTNAASNVLEEMARRRLMITAEIYRCFSAPDAGENKVSQMFWDHVVERGLISRNTMYKIKQMLI >CAK8570396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42745252:42747795:1 gene:gene-LATHSAT_LOCUS23145 transcript:rna-LATHSAT_LOCUS23145 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSLGEQHFIQGGIAQDLRCDGRKRLTYRPINVETGVIPQANGSARVRIGATEVIASVKAELGKPGAGQPDKGKVFIYIDCSSTAEPAFEGRGGEELSAELSTALHRCLLGAKSGAGAGIDRTSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPSVKVAPGASSDEQPEVDVSDEEFLQFDTSGIPAIVTLTKVGRHYIVDATPEEESQMSSAVSISINRKGHVCGITKRGGAGLDPSFILDMVSVAKHVSEQLMNKLDSEIASAEAEDES >CAK8573002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:575787233:575791329:-1 gene:gene-LATHSAT_LOCUS25497 transcript:rna-LATHSAT_LOCUS25497 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHIIGGGSSVGGGSNSSGNNNNNNGSGCCDMSMKCWCRWRLENQHYYNRFFSSGFVFFFGCFVLFGSIASFYGWLAFSPSVHSSLSPFGCQDDNEGSWSIGIFYGDSPFSLKPIESSNISNDDSAAWPVANPVVTCASVSDAGFPSNFVADPFLFIQGDAFYLFYETKNSITMQGDIGVSKSTDNGATWQQLGLALNEDWHLSYPYVFRHDGQTYMMPEGSQRGDLRLYRAVNFPLQWKLEKVLIKKPLIDSFIIDYDGKYWLFGSDHSGFGTMRNGQLEIWHSNSPLGPWKPHKKNPIYNINKSLGARNGGRPFKYEGNLYRMGQDCGDTYGRRVRAFQIETLTAEEYKEIEVPLGFVESNKSRNAWNGARYHHLDAQRLPSGGWIGVMDGDRVPSGDPIRRLMVGCASVVVAAIFIVLLGVLLGFVNCIVPLHLFIHNSGKRSPTSLSWERPNAFSSKVRRFCSRVNRAPTFLRGKIKHNACARRVILTIIFVVGVGLMCIGFKNIYGGNGSEEPYPLKGQYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVSEIVVVWNKGIPPELSDLDSAVPVRIRVEERNSLNNRFKDDPLIKTRAVLELDDDIMMTCDDVERGFNVWRQHPERIVGFYPRLIAGSPLQYRGEKYARRHNGYNMILTGAAFIDSKLAFKRYWGEEAKQGRETVDKLFNCEDVLLNYLYANASSSSRTVDYVKPAWAIDTSKFSGAAISRNTKVHYGLRSNCLMKFSEMYGSIADRKWGFDSRKDGWDV >CAK8575295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:72449992:72451587:-1 gene:gene-LATHSAT_LOCUS27569 transcript:rna-LATHSAT_LOCUS27569 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVGHDDGVHAGFMWENQSWSDLLNFENIGESGKQKLGMKSLNHKEGLNEGEVHVNKTQSRDGVMIRSENDINGGGKDEIYRDLDHEMHLLAERERRKKMRNMFSSLHALLPELPSKANNSTIVDAAVKQIKNLKQIVEKLENKKQEKLKYISLFKSDNGSSSYENDIPTNAVATSYHNSKALAQSAPPPQQIALQTWSYQNVVLNICGGEAQFSICATKIKGLLSKITFVLEKYRIDVVAANITCNGNGNFYMILAQARQCLNDSNSVEETYKQAAIEIMMLIS >CAK8560704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37070715:37073576:-1 gene:gene-LATHSAT_LOCUS14359 transcript:rna-LATHSAT_LOCUS14359 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCYFFKNQIFMDTLSRFHHLNPYSHQNPKKNHTLPKTFSPPSISLPISDTHFSPSSPIQFSSQKKSPFFHPFDEFNELRTLKSVKEMHAQMVKNPKNVNFTTMDGTMTRNYLEFGDFVSAIKVFFAGFERNYLLWNSFMEKFESFGGDPFEILVVFNELHSKGVEFDSKAFTFVLKICLALRELCVGLEIHACLIKKGFHDDIHLYCALINFYEKCWSIDKANQVFHETPYQEDFLWNTIIMANLRSEKWKNAFELFGNMQRVSAKATPGIIVKMLQACGKLRALNEGKQLHGYSLRFGLVSNAVVCNSIISMYSRNNRFKLARAVFYSMEVRNRNLSSWNSIISSYTDDGCLSDAFDIIVKEMECSGIKPDIITWNSVLSGYVLQGSFEMVLTSFRSLCNAGFEPDSFSVTSTLKAIIELGFFKLGKEIHGYIMRSNLNCDVYVCTTLVDMYVKNDCLEKAHAVFRHAKNKNVCAWNSLISGYSFKGLFGDTEKLLNQMEEEGVKPDLVTWNCLVSGYSMRGRIEEALAVMNRIKSLGITPNVVSWTALISGCSQNGKYTDALQVFSQMQAENVKPNSTTICSLLCACACPSLLKKGEEIHCFSMKLGIVNDIYLATALIDMYSKAGKLKVAHNVFSKTQEKTLACWNCMMMGYAIHGHGEEVMILYDKMREKCIRPDAITFTALLSGCKNSGLVEEGWRYFDSMHEDYNIIPTIEHYCCMVDLLGKAGFLDEALDLIKTMPIKPDASIWGALLASCRIHKNIKLAEIAAEKLFKMEPNNSANYVLMMNLYSSLNQWNDVERLKRSMITLGMKSPPVWSWTQVNQGIHVFSTDGKPHPEEGEIYFELYRLISEIQNLGYVADLKCVCQNIDDNEKEKILLSHTEKLAMVFGVMKMKGGSPIRVVKNTRICCDCHTVAKYISLARNCEILLRDAGRFHHFKDGKCSCNDCW >CAK8578570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638998786:639002904:-1 gene:gene-LATHSAT_LOCUS30569 transcript:rna-LATHSAT_LOCUS30569 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSGAPLCNICGEQLLLGENGERFVACHDCDYPICKACFEHEINEGHSVCLNCATPYQGRTKDDDDDDDANNDGDVIEVHENPSTLASQINNSDDVGGLHARHVSTVSTVDIEEVTEESGNSTWKKRMKSWKGKGKDKKNKNKKAAPKVENKAAVPSEQQMEEIRSTEAAALPLSLVVPIVKSKLAPYRTVIIVRLIILGLFFHYRVTNPVESAFPLWLTSIICEIWFAFSWVLDQFPKWTPVNRHTFIENLSARFEREGESSGLASVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETAEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKREYEEYKVRVNAMVSKAQKTPEEGWSMQDGMPWPGNNSRDHPGMIQVFLGHTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPEVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMRGLDGIQGPMYVGTGCVFNRQALYGYSPPSMANLSTSSCCCFPSISTKDVSRVSRDAKRADFEAAIYNLREIDNYDENERSMLISQMSFEKTFGLSTVFIESALMENGGGVPETADPSMLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAVGGGRLKWLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTSVLELRWSGVCIEDLWRNEQFWVIGGSSAHLFAVFQGFLKMLAGVDTNFTVTAKAAEDTEFGELYIIKWTTLLIPPTTLIIINMVGVVAGFSDALNGGYESWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSIIWVKIDPFVNKVDSETIAETCVAIDC >CAK8578571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638998786:639002904:-1 gene:gene-LATHSAT_LOCUS30569 transcript:rna-LATHSAT_LOCUS30569-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSGAPLCNICGEQLLLGENGERFVACHDCDYPICKACFEHEINEGHSVCLNCATPYQGRTKDDDDDDDANNDGDVIEVHENPSTLASQINNSDVDVGGLHARHVSTVSTVDIEEVTEESGNSTWKKRMKSWKGKGKDKKNKNKKAAPKVENKAAVPSEQQMEEIRSTEAAALPLSLVVPIVKSKLAPYRTVIIVRLIILGLFFHYRVTNPVESAFPLWLTSIICEIWFAFSWVLDQFPKWTPVNRHTFIENLSARFEREGESSGLASVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETAEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKREYEEYKVRVNAMVSKAQKTPEEGWSMQDGMPWPGNNSRDHPGMIQVFLGHTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPEVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMRGLDGIQGPMYVGTGCVFNRQALYGYSPPSMANLSTSSCCCFPSISTKDVSRVSRDAKRADFEAAIYNLREIDNYDENERSMLISQMSFEKTFGLSTVFIESALMENGGGVPETADPSMLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAVGGGRLKWLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTSVLELRWSGVCIEDLWRNEQFWVIGGSSAHLFAVFQGFLKMLAGVDTNFTVTAKAAEDTEFGELYIIKWTTLLIPPTTLIIINMVGVVAGFSDALNGGYESWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSIIWVKIDPFVNKVDSETIAETCVAIDC >CAK8564696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7142355:7144759:-1 gene:gene-LATHSAT_LOCUS17973 transcript:rna-LATHSAT_LOCUS17973 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDHSNTTTTTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREIELENENLCLRTKISEVERLPQVNMVSGQELNAIQALASRNFFNPNMMEDGTSYNQSSDKKILHLG >CAK8568032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565766635:565767339:-1 gene:gene-LATHSAT_LOCUS21032 transcript:rna-LATHSAT_LOCUS21032 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEGKKTDLESVKRYLQKREASNSKQDDEFPKEFLEPLVFHGLRLDLIQPGRVVFSMKIPPRLLNSAKYLHGGAIATLVDVVGAAAVPAAGFPWDSGVSLEINVSCLDAAYVHEEIEIDARVLRVGKTIAVISVDLRKKKTGQIFAQGRHTKYLPAITSKI >CAK8565349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:145667825:145669189:1 gene:gene-LATHSAT_LOCUS18570 transcript:rna-LATHSAT_LOCUS18570 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNQNHQSSSFRYNPNSNKMNNLDDDEDDVEFSGFLDIYVHHAKNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINKGGKNPIFNQNLRVKIIQVDAVLKCEIWMFSKSRNHLEDQLLGFALVPISQVFGRGKVTQDYSLSSTDLFHSPAGTVQLTLSLDTSFEMNSSLSSIPQSATKSSSISSEVVLLDPIEYSRIEFPDINVVNENQKMVYEYFNSEYYASVSRPNLVGSLPFLHLGGSPEVVVDCDMTTDSSGENHESVISPNGSNHNSRFPSSTTTSINDDRNSNDSVEKKSNLRDDSSNSFNVLGSVEDNQNSVACPDTRTSKKESEVGNENKELKFSSTEMETNNNGRNLEAAKFGQVYSVPSRNIDMKAEQSSMQQQIVDMYMKSMQQFTESLAKMKLPMDLDHKPNNEDQGDVIQNHSNVKLEAADKKKSDGSRVFYGSRAFF >CAK8566923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473029114:473030645:-1 gene:gene-LATHSAT_LOCUS20019 transcript:rna-LATHSAT_LOCUS20019 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHVPHRAPDLPAKFKSMLVPGKIQHIICPGNLCIKEVHDYLKTLCPDLHITRGEYDEESKYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSSNQSAH >CAK8538325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:477441952:477455184:1 gene:gene-LATHSAT_LOCUS7537 transcript:rna-LATHSAT_LOCUS7537 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAPIAMKEVLTLPSVGINAQHITFTHVTMESDKYICVRETTPQNSVVIVDMSMPNQPLRRPITADSALMNPNSRILALKALLQGTTQDHLQIFNIELKTKMKSHQMPEQVVFWKWISPKMLGLVTQTSVYHWSVEGDSEPVKIFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAAAFAQFKVPGNENPSVLISFASKTLNAGQVISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSAGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVSLAKRGNLPGAEKLVVERFHELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILVYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLANLRGNLQIIVQVAKEYSEQLGVDGCIKIFEQFRSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLSQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTSKNSLFKLQARYVVERMDGDLWAQVLDPDNEYRRQLIDQVVSTALPESSSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYINRLDNFDGPAVGEMAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSVDRAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDTTQFLDVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDGELIYAYAKIDRLSDIEEFILMPNVANLQSVGDRLYDEALYEAAKIIYAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTFLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLEEHPDLLNDILNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADIVLELAWMHNMIDFAFPYLLQFIREYTGKVDELVKDRIEAQNEVKSKEKEEKDVVAQQNMYAQLLPLALPAPPMPGMGGPGFAGSYAPPPPVGGMGMPQMPPFGMPPMGY >CAK8574170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667251033:667254660:1 gene:gene-LATHSAT_LOCUS26541 transcript:rna-LATHSAT_LOCUS26541 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVTATATPTPTRVTEDEEDMKPWEQHSGVIRLPRFDYNAPSSLLSHSHSAFLITCTIKREKSATKEAITILHSFINGDQHSSENTDSASKRRKISAPETAVKEEGVTDLSLVKLIRNGLVLFIFPKNTFPDTVGIVSNIIQALESGKITFPAWCHRILPIQGTCSLNEKELHEVVSVLVKKFVDAKQDKLERPLKFAVGFNRRGIEETKSAKENLNGSNSLLDRNKCFEIVATAVNHVVKNSVVDLRSPELSVLVEVLPLSGVPNGSLVAAVSVLPSNLVSTKPKLCVKALNSNTKEGFVAQ >CAK8573789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636875274:636876083:1 gene:gene-LATHSAT_LOCUS26194 transcript:rna-LATHSAT_LOCUS26194 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSLTPHSFLCNLFLITILLTSKSKADNNDQKFHFFCDQNNDRGNYITGDTYHNNLKVGFIHLAYYNLNPNNGFTNTSYGETNDKVNLIGLCRGDINLQDCRKCLIGSKSNLTQACPNKKEAIGWFEDEKCMLRYSDRSILGLNEIGPAYFAWNMNNATLADQFNVVVKQLLNDLRSKAVKGESNRKYVVGTLAGPSSGEMIYGLVQCTPDLSGAQCDDCLISSIVEVSRCCSNRIGARIVRPSCNLRFETSYQFYQPSTGPASNLP >CAK8577946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598408034:598414955:-1 gene:gene-LATHSAT_LOCUS30004 transcript:rna-LATHSAT_LOCUS30004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTVESLASTITDSSNSNVSFKRTRSHVVFRLLCHASRVGAFIGKAGAAIKSLQQLTDAKIRVDNAPMECPERVIVVVIKLDGQDEGEVPKATEALLKVFERILDVAAAEGDGSEVGDRVVSCRLLANGGQAGSVIGKGGKVVEKIRSDTGCRIRVLNDKLPVCIKASDEIIEIQGTVSSVKKALIAVAGRLQDSPPDRTKIMGARPNEVSQHETSAVPHEGLTEPNMDYRLQKTSALSTSPIRSNDSASKVQPLSAEANRVSALDPEALQQEVTFRILCSGDRIGNVIGKGESTLKTLQNETGAAIRVGPPVFECEDRLITVTALENPESRFSPAQKAVVLVFCRSVEGSVEKGPDSRSYKGPSVTARLVVPSNQVGVLLGKAGAIVTEIRKATWTNIRVIGNGQVPKCASYNDQVVQITGDFPNVQDALYKATSRLRDHLFPITQNSNGTGSYRILRDSIPLSLGGQPVLGVNHDLSVHSLSQSIDHLTLSQNLDRSSAPGVRPPKTVGGISSGFPDDVSRRWNPHKGGLELGSGSAISVVTNTTVEIMVPNNVIGRVYGENGNNLNQLRQISGAKVTIHEAHPGTSNGTTIVLSGTPDETQAAQSLLQAFIINGSSA >CAK8573689.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:630831831:630832215:-1 gene:gene-LATHSAT_LOCUS26100 transcript:rna-LATHSAT_LOCUS26100 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKFVALLLLVCVVTVQGKGKLMTREACYDYCSKSMFYPKPIGDSICQYRCQFPMYENNPGVPTGVDLKVRKALPNQTAARSPIPAPKIR >CAK8560649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34267978:34268963:1 gene:gene-LATHSAT_LOCUS14308 transcript:rna-LATHSAT_LOCUS14308 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCAKVGLHKGPWTTKEDALLTKYVQAHGEGQWKSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITQEEDDLIIRLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHLLKKMQRNEQTCNVTDHEEQQQQQQQQRKTKKNNNVGGNKKKKNNNKQQKKNDKKKGSDEEEEKIQVYLPKAIRIKALTLPRTDSGSFTFESNSSSGSQEKQGVEQQVMININKNVVCEVGEIGENDGFGLSSDDHDLVNDIECESYFDMCNDRGTLERLYDEYFQLLNVDGICNFEFDSFAESIFD >CAK8572647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553114964:553120272:-1 gene:gene-LATHSAT_LOCUS25184 transcript:rna-LATHSAT_LOCUS25184-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAARKILHDEHANDCRSMGSNILQSSQSRKISIGVMADSKASLRSGALKMDGAVTPNTDRVTSKVGNITGGESKAEGVTTSSKLKKIGGPKAVEGSWMSKSPYQKSPTSEANLQANKTSSLLVSPAGQNEPDGVEREARVQFFSVQTPHLPSNNYKKFDGDTNRSSRRKERKDGSAEERAEEFTFTTTPKVFGSDKTNANDKISRTENSTENLRMKLCQILGTTSSPKTQDSGSHTYNKDKESSSLKPRLNQKENEFVKSKQNSDTIETDSENPDHTRKRPVTRSRTRKKASSLKQQEKGKSGLVFRDEEKHQEKSILSFEEKGIGGRHAFPNDGSSVALKKKSQGKNSKIGRHKICFTENHTADKLHQDTSKTDPPLHPEAPFSFGNKIGGFKGFSPDYHTKNPQTKKKDQEKDIYQPQRVNKNDQHVELKVSENRNQQECRSTPVAQSVSKSQDDFQSPTFQFKTPTLSSLSPTPKMDQEANDVNSPSSTERTKFSLRTIPNLRTFLASEPDFTAREQDKSHQTKDSKYSIPKKEESFKKQTKEQDGSSDSSSEEKNIQGHNQGSRARHTAERKSFTLHPIKRLCKHKGIKFNDASPASVSSKDIEESGSIDEASEQTQDGFIRVVELIALELAKLKNKLKLTTSQKSSEILKSVAEDIHLQLQDVHSQIQTDLGKLTNLNKSKRKRIETKFEDQQKQLRLIYDRFREEVNLHMQGCRSTAEDLEADQIEIKGALEKQRVAHKKLISQVEEAVEVQLNDAQKKITFTQEASYM >CAK8572646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553114417:553120272:-1 gene:gene-LATHSAT_LOCUS25184 transcript:rna-LATHSAT_LOCUS25184 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAARKILHDEHANDCRSMGSNILQSSQSRKISIGVMADSKASLRSGALKMDGAVTPNTDRVTSKVGNITGGESKAEGVTTSSKLKKIGGPKAVEGSWMSKSPYQKSPTSEANLQANKTSSLLVSPAGQNEPDGVEREARVQFFSVQTPHLPSNNYKKFDGDTNRSSRRKERKDGSAEERAEEFTFTTTPKVFGSDKTNANDKISRTENSTENLRMKLCQILGTTSSPKTQDSGSHTYNKDKESSSLKPRLNQKENEFVKSKQNSDTIETDSENPDHTRKRPVTRSRTRKKASSLKQQEKGKSGLVFRDEEKHQEKSILSFEEKGIGGRHAFPNDGSSVALKKKSQGKNSKIGRHKICFTENHTADKLHQDTSKTDPPLHPEAPFSFGNKIGGFKGFSPDYHTKNPQTKKKDQEKDIYQPQRVNKNDQHVELKVSENRNQQECRSTPVAQSVSKSQDDFQSPTFQFKTPTLSSLSPTPKMDQEANDVNSPSSTERTKFSLRTIPNLRTFLASEPDFTAREQDKSHQTKDSKYSIPKKEESFKKQTKEQDGSSDSSSEEKNIQGHNQGSRARHTAERKSFTLHPIKRLCKHKGIKFNDASPASVSSKDIEESGSIDEASEQTQDGFIRVVELIALELAKLKNKLKLTTSQKSSEILKSVAEDIHLQLQDVHSQIQTDLGKLTNLNKSKRKRIETKFEDQQKQLRLIYDRFREEVNLHMQGCRSTAEDLEADQIEIKGALEKQRVAHKKLISQVEEAVEVQLNDAQKKITFTQEMARKKLLQLKQVITMCLKEGI >CAK8569465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:1989457:1991531:-1 gene:gene-LATHSAT_LOCUS22314 transcript:rna-LATHSAT_LOCUS22314 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKSFLLGDHQHNDNYLASDEEIPTIDYSLLLSDDSYQRSIALEFLAHACKEYGFFYLINHTMPDDVLKSVLNGVFDYFDPTTVEERRIYYKNTSSGNVRWGLNANDGENREYLKVIAHPKDQAPSNPTSLSKITEEYNNEMRKIVLGLARAMSKNLGFDENYIEKAFHMKLGFDVMAMNLYPPVSKSKSDIGLPNHTDPGFVITLMQDVNGGLQVLSHKGNWINVYIPHHAILIQLGDHLEILTNGKYKSHVHRVIVNKNEVQRTSVVTLHGPSLDKFIVPDTKFVDDENPQNYIGMTYKESLEANGGSLIDVQSSLEQIKLV >CAK8570238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30782763:30783524:1 gene:gene-LATHSAT_LOCUS23008 transcript:rna-LATHSAT_LOCUS23008 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPQNSETESTSNSSPSTTTSIQDQGSNSNNLKRNRDSNKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAAICVKGKSAILNFPHLADLLPKPASLAPRDVQAAAAKAAQMTNSLEPQSSSSSLSSSASSSSTTTMSLSTSLSMKTNTSLSSSTDLSTTSDELSEIIELPTLKNSYDDIGKEEFLLDYTWMYQQPITWLQTPQENGCSGGGEEYDDGFGNNNSVVTNFESFLWNY >CAK8532849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:534983386:534984030:-1 gene:gene-LATHSAT_LOCUS2513 transcript:rna-LATHSAT_LOCUS2513 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNNIQAKFDLEQQSSTIATLEVETKDFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKREKERGREVGDGEEKESLPNPLQAAAASALAFSIGAMVPLLAAFFISDYKVRLGVVVAAVSFALVVFGWFGAVLGKAPIFRSILRVLIGGWVAMAITFGLTKLIGTSGL >CAK8564427.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673790834:673795621:1 gene:gene-LATHSAT_LOCUS17733 transcript:rna-LATHSAT_LOCUS17733 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSDWIRSSSNQHIDLYKQWFNLADSDGDGRVTGNDATKFFALSTLSRPQLKQVWAIADTKRQGFLGFEEFVTAMQLISVGQAGYDLNSDILKTEIDSDKIKPPVLEGIDALVANTKSPAINAQPDVFGTGQLQPFPRAASKSAKKLPLNAVTSIIDGLKKLYVERLKPLEATYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRCEYPGAHIGPEPTTDRFVAVMSGTDERSIPGNTVAVDASMPFSGLTSFGSSFLSKFQCSQMPHPLLDEITFIDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIYSLRGQDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEGFVGPLGQELFEKEQSDLLSDLADIPRKACDGRINEFVKRARSAKIHAYIISHLKMQMPAMMGKAKVQQRLIDDLEDEFKKVQREFHLPVGDFPNVDHFREVLNTYSIDKFDKLKPKMIQAVDDMLGYEIPELLKKFRNPYE >CAK8536578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:956077523:956078047:-1 gene:gene-LATHSAT_LOCUS5934 transcript:rna-LATHSAT_LOCUS5934 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGGRKGGPRKKSVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRAENASTTPKSPSKAKKSPKKA >CAK8565788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:333454375:333457292:1 gene:gene-LATHSAT_LOCUS18970 transcript:rna-LATHSAT_LOCUS18970 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGFKSLLASVLRCCDIDINQPRGLDDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >CAK8542260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494453410:494455019:1 gene:gene-LATHSAT_LOCUS11109 transcript:rna-LATHSAT_LOCUS11109 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQTLLLVITFMFASILIFILTKLNQTQNSTRLPPGPQPLPIIGNILKLGKNPHKSFTKLSKIYGPIMTLKLGTITTIVISSPQVAKQVLHENSHIFSNRTVPHALFAVDHHKFSVGWLPTLDLWKKLRKICATKVFSTKMLDSTKILRQQKLQELLDYVNEKSKKGEAFDITEAIFTTVLNSISNTLFSMDLAHSTHDEKSQEFKNIIWGIMEEAGKPNVSDFFPILRPLDPQGLYTRMTNHMKKLCEIFDKIIEERIHSRSTKLDDSGYVCNDVLDSLLNNNIEDTSSELSRNEMVHLFLDLFVAGIDTTSSIIEWIMAELLRDPEKLIKAKTELSHTIDKDEIIEESHISKLTFLQAIVKETFRLHPPIPLLLPHKCDESVNILGFNVPKNAQVLVNVWAMGRDSTIWKNPNMFVPERFLECHANYKGNNFELIPFGAGKRICPGLPLAHRTVHLVVASLVHNFEWNLADMVIPEEMNMDEKFGLTLKRVQSLRVQAISSS >CAK8561322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:149196629:149202935:-1 gene:gene-LATHSAT_LOCUS14924 transcript:rna-LATHSAT_LOCUS14924 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLMVTSLGDLVIDLHTQKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGTAGDSIYKFLYGDQARFFNDEVHIDLKHSKTGTVAMASAGENMNASQFYITLRDDLDYLDGKHTVFGEVAEGFETLTRINEAYADGKGKPFKNIRIKHTYILEDPYDDPPQLPEFIPEASPEGKPKDEVDDEVRLEDDWVPIDEQLNPGELEEVIRSKEAHSRAVVLESIGDIPDAEVKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVSSAEIIRDQNSGDSLCYAFIEFDDKQACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYNRKDNKAGGCFKCGSTDHIAKDCTGDATVKQPTKYILKDNNGQRGGQNARYEMVFDGDNTKSPRRETKRQRHNKDDRDDKRGRKEIFKDDSHRGRRDQEMEGSNNKDRHVDRGRGLEGTGDDKARLERDARDTGLQDDKKDRERHVGRQRDNDYQRKDELDSRKRDLGYSFTGRRRASRDERRKTDTSHLDRKNDRDYRKRTEDSGRADVKNDSGRRKRSPDYEDYKHRRNDQDCRENVKIDSGRIKRSPDDGDYKQTRDHEDYKHRRENRGHRRCDTEPDEYPHPHRRHHGDKR >CAK8566643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:444743981:444745050:-1 gene:gene-LATHSAT_LOCUS19760 transcript:rna-LATHSAT_LOCUS19760 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSIAAKEAEVESTMLPNWLDLPWDITSNILQRLGTVEVATSACLVCPLWWNICKDPLMWHTIHISYFKSLFHNNYTDYLRILGPFYKNDLEYQKVCRYAVDRSCGHLIDINIEYFCTDDLLESIAENAYNLRTMRLLICPRISEKGFSEAVKKLSQLEKLDISYCKLSKDSLEVLGGSCPLLKSLVLKRFVCLYPRADDDAALVISETMTGLCHLDIRGNVLTNVGLVSVLDKCPLLEYLDIQECYHLNLTEDLKKRCLEQIKVLLLPICDNLEDWDEYSDYYYEDFDNGYYGDIVEGY >CAK8576623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498407095:498408385:1 gene:gene-LATHSAT_LOCUS28797 transcript:rna-LATHSAT_LOCUS28797 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATASCHLLPVLRSQPPLPSPSSSSSFISFLKPFFLPSSNITLRKLHLHAPIPKTLPLSFLSTPSFSSRAFSYTSPLSAVIGVSSPKSTEDVSEEEEEEEYDEESGFTERDNVEDTEVVDSTSSPLVGKREARLKLEVPKLSVKERKELASYAHSLGKKLNTQLVGKSGVTPNLVTAFSDNLEANELLKIKIHGSCPGELDDVMKQLQEATGSVIVDQIGRTLILYRPSLSKLKVEEKRKQVRKLFQEKQHKRRLLINRSNKQAPKSSKRGSPWKARSSSS >CAK8570385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42021178:42022987:1 gene:gene-LATHSAT_LOCUS23135 transcript:rna-LATHSAT_LOCUS23135 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSITNNQEKKIDPDENVLAKHVAFFDRNHDGIVYPWETFKGFRAIGCGILLSSVACIFINLGLSQKTRPGKFPSIFLPIEIKNIEKAKHGSDSGVYDNHGRFVPSKFEEIFSKHAKKNPDALTSDELKGLLKANREPKDYKGWLASFTEWKILYVLCKDKNGLLHKETVRGVYDGSLFEQMEKEHSEKKKK >CAK8563164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:579291355:579293000:-1 gene:gene-LATHSAT_LOCUS16599 transcript:rna-LATHSAT_LOCUS16599 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESIAPMVETSKNRSSPCKMNKGKVPKRIHKAEREKMKREHLNDLFLDLANALDLNDPNSGKASILCEASKLLKDLLCQIQSLKKENVSLLSESQYVTVEKNELKEENSSLETQIEKLQGEIQARMAHSKPDLNAPPQLELELPEQTKFAGYGLQMPTIEPSLQQGPAVLVVPFRTDLQAAFPAPNVTDLIPNPTSVISKPHARYPTPADSWPLQLLGEQPTSN >CAK8543407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606182891:606183547:-1 gene:gene-LATHSAT_LOCUS12164 transcript:rna-LATHSAT_LOCUS12164 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKTFFYPTFFTTFLFFSFVNATYYQDISPSFLGFKQEKLTHINFFFHDIVTGPKPTMIISSESPLNGKSESPLPFGTIVVLEDPLTVGPELSSEQIGKAQGFYLTVSQAAVRDLELVMGMTFVFTQGKYNGSTLSVLGRNTIIAPIREMPIIGGTGEFRFARGFLQAKSYTVDYHLGDAVVEYNIYVFHYPSTSSSPEVFDDGSRFMNEHMFGII >CAK8543555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619585875:619588144:-1 gene:gene-LATHSAT_LOCUS12305 transcript:rna-LATHSAT_LOCUS12305 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDAYSVTIPPPSSPDDSPPPLQPPPSSILIPGLPNEVSRTILSMVPYAHHARLKSTSKSWKSALSSKSFLNTLLGQNRNNLICIFPQDPSIASPYLFDANAVAWCPLPPMPCNPHVYGLCNFAAVPFGPHIYIIGGSLFDTRSFPINRPSSSSSTFRFNFRDFSWENRAPMISPRGSFAYAVVPSSGNIVVAGGGSRHTVFAAAGSRIRAVERYDVVEDQWEELDPLPCFRAGCVGFMEREGEEFWVVGGYSATRTVSGVFPVDEYCRDAAVMGLEDGAWREIEDTWGDGENVRAGKIVVGNDSGSPLVFMLDGNEIFRYDMSSNLWEYESRVPKKAPLGSAFGIVVASGEVYVLSHLYDDDFAETRRSRPYKKTGTMCFQIYNPKKKTWRTLVTKSPFTRHIDITSAVLSSIHL >CAK8568870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:649291764:649292562:1 gene:gene-LATHSAT_LOCUS21780 transcript:rna-LATHSAT_LOCUS21780 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLTNYTTSYLLLSILPPPWNQNETQDPPRHCDSGGKSRFSLPRVKPRSLARLTLTYKTFKQTKCRLRLKRKSLKSKRVTFDEFDTVMTTSRFVFLLHSSSISNALRQ >CAK8565697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:308591292:308592587:1 gene:gene-LATHSAT_LOCUS18887 transcript:rna-LATHSAT_LOCUS18887 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFRSSILGEGEKHKTDVFAQSNSSSSKEIEVNGKETIMSNADECVGVLDVYIHQARDIQNICIYHKQDVYAKICLTSNPENAVSTKTINGGGRNPVFNDNLRLNVCNVDSSLKCELWMLSRVKNYLEDQLLGFALVPLSEVLVQNGKLEKEFSLSSTDLFHSPSGFVQLSIAYNGATPDVMAISAMPGEEATRAAAQDLETSESLARDLGKIEFPDPKIANEDHLMVSEYFGISCEESQCSDSLANSDADNHSSEAGVRLVESFSACSGESVQVQPPKVDSPPSSVSTNGVSSPSAHESSESSDAAAASKSPGDEQVSGTKEVQKVDVKDGESDSSSVVPNELFPKPIVTVNIEPEPTMVQQDIVDMYMKSMQQFTESLAKMKLPMDITNEPTNSGNSSTEQKLPQSKNANSRVYYGSRAFF >CAK8534557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741782510:741797978:-1 gene:gene-LATHSAT_LOCUS4095 transcript:rna-LATHSAT_LOCUS4095-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHEDECRNGGESNSKQDDEQEAVAHLEEIKKSTEAKMALRQSNLNPDRPDSGFFRTLDSSIKRNTAVIKKLKQINEEQRESLMDDLRSVNLSKFVSEAVAAICDAKLRSSDIQAAVQICSLLHQRYKDFVPTLIQGLLKVFSPGKSGDETDSDRNLKAMKKRSSLKLLMELFFVGVIEDGGIFINIIKDLTSVEQLKDREATQTSLTLLSSFARQGRIFLGLSVSGPEIQEEFLKGLNITVDQKKVIRKACFSFYDAAAELLQSEHSSLRLMEHENSKILNAKGELSDENLSSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEAVSSASGKDSSVEPIWDDEDTRAFYECFPDLRAFVPAVLLGETEPKVNEQSVKSQDQPSEILPESDKSQLITFESGEGSTESNVLPEGESIERVDDKEEKDKSKDLDIDKDKEKENEKKGENDKEKLRSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFSVPRTSLELLAYYSRMVATLSTCMKDVSSLLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKVAPAGLVFTCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETSIRMGNMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWSDCEPYLLKCFMKVHKGKYGQIHLIASLAAGLSRYHDEFAVAIVDEVLEEIRVGLELNDYGMQQRRVANMRFLGELYNYKHADSSVIFETLYLILIYGHGTPEQDVLDPPEDFFRIRLIITLLETCGHYFDHGSSKKKLDRFLIHFQRYILSKGALPLDVEFDLQDLFADLRPSMVRYNSADEVNAALVELEEHDRIVSADKASSEKHSDTDKPLSRTTSTAMVRNKQNNDNGTEENGVQDNVNDGEHDSGSDVIDVEGHDDDELDEENHDDGCETEDDDEDEDGPASDDEDEVHVRQKVTEVDPLEEASFDQELKAVLQESMEQRRLELRGRPTLNMMIPMNIFEGSAKDHHGRGVGGESGDEALDDDTGINKEVQVKVLVKRGNKQQTKQMYIPSDSSLVQSTKQKEAAELQEKEDIKRLILEYNDREEEEFNGLGAQPANWMQSGGNKPGGRGNSFEGTSGRGGGSRHRHHNYYSGGGIYYSRRR >CAK8534555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741782510:741797993:-1 gene:gene-LATHSAT_LOCUS4095 transcript:rna-LATHSAT_LOCUS4095 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDMDQHEDECRNGGESNSKQDDEEAVAHLEEIKKSTEAKMALRQSNLNPDRPDSGFFRTLDSSIKRNTAVIKKLKQINEEQRESLMDDLRSVNLSKFVSEAVAAICDAKLRSSDIQAAVQICSLLHQRYKDFVPTLIQGLLKVFSPGKSGDETDSDRNLKAMKKRSSLKLLMELFFVGVIEDGGIFINIIKDLTSVEQLKDREATQTSLTLLSSFARQGRIFLGLSVSGPEIQEEFLKGLNITVDQKKVIRKACFSFYDAAAELLQSEHSSLRLMEHENSKILNAKGELSDENLSSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEAVSSASGKDSSVEPIWDDEDTRAFYECFPDLRAFVPAVLLGETEPKVNEQSVKSQDQPSEILPESDKSQLITFESGEGSTESNVLPEGESIERVDDKEEKDKSKDLDIDKDKEKENEKKGENDKEKLRSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFSVPRTSLELLAYYSRMVATLSTCMKDVSSLLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKVAPAGLVFTCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETSIRMGNMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWSDCEPYLLKCFMKVHKGKYGQIHLIASLAAGLSRYHDEFAVAIVDEVLEEIRVGLELNDYGMQQRRVANMRFLGELYNYKHADSSVIFETLYLILIYGHGTPEQDVLDPPEDFFRIRLIITLLETCGHYFDHGSSKKKLDRFLIHFQRYILSKGALPLDVEFDLQDLFADLRPSMVRYNSADEVNAALVELEEHDRIVSADKASSEKHSDTDKPLSRTTSTAMVRNKQNNDNGTEENGVQDNVNDGEHDSGSDVIDVEGHDDDELDEENHDDGCETEDDDEDEDGPASDDEDEVHVRQKVTEVDPLEEASFDQELKAVLQESMEQRRLELRGRPTLNMMIPMNIFEGSAKDHHGRGVGGESGDEALDDDTGINKEVQVKVLVKRGNKQQTKQMYIPSDSSLVQSTKQKEAAELQEKEDIKRLILEYNDREEEEFNGLGAQPANWMQSGGNKPGGRGNSFEGTSGRGGGSRHRHHNYYSGGGIYYSRRR >CAK8534556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:741782510:741797993:-1 gene:gene-LATHSAT_LOCUS4095 transcript:rna-LATHSAT_LOCUS4095-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDMDQHEDECRNGGESNSKQDDEQEAVAHLEEIKKSTEAKMALRQSNLNPDRPDSGFFRTLDSSIKRNTAVIKKLKQINEEQRESLMDDLRSVNLSKFVSEAVAAICDAKLRSSDIQAAVQICSLLHQRYKDFVPTLIQGLLKVFSPGKSGDETDSDRNLKAMKKRSSLKLLMELFFVGVIEDGGIFINIIKDLTSVEQLKDREATQTSLTLLSSFARQGRIFLGLSVSGPEIQEEFLKGLNITVDQKKVIRKACFSFYDAAAELLQSEHSSLRLMEHENSKILNAKGELSDENLSSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEAVSSASGKDSSVEPIWDDEDTRAFYECFPDLRAFVPAVLLGETEPKVNEQSVKSQDQPSEILPESDKSQLITFESGEGSTESNVLPEGESIERVDDKEEKDKSKDLDIDKDKEKENEKKGENDKEKLRSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFSVPRTSLELLAYYSRMVATLSTCMKDVSSLLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKVAPAGLVFTCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETSIRMGNMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWSDCEPYLLKCFMKVHKGKYGQIHLIASLAAGLSRYHDEFAVAIVDEVLEEIRVGLELNDYGMQQRRVANMRFLGELYNYKHADSSVIFETLYLILIYGHGTPEQDVLDPPEDFFRIRLIITLLETCGHYFDHGSSKKKLDRFLIHFQRYILSKGALPLDVEFDLQDLFADLRPSMVRYNSADEVNAALVELEEHDRIVSADKASSEKHSDTDKPLSRTTSTAMVRNKQNNDNGTEENGVQDNVNDGEHDSGSDVIDVEGHDDDELDEENHDDGCETEDDDEDEDGPASDDEDEVHVRQKVTEVDPLEEASFDQELKAVLQESMEQRRLELRGRPTLNMMIPMNIFEGSAKDHHGRGVGGESGDEALDDDTGINKEVQVKVLVKRGNKQQTKQMYIPSDSSLVQSTKQKEAAELQEKEDIKRLILEYNDREEEEFNGLGAQPANWMQSGGNKPGGRGNSFEGTSGRGGGSRHRHHNYYSGGGIYYSRRR >CAK8562882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:552876077:552878467:-1 gene:gene-LATHSAT_LOCUS16344 transcript:rna-LATHSAT_LOCUS16344 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDEGFGPVPTRWRGICQVDEDNTDNFQCNRKLIGARYFYKGYFADLGDAKNVTFNSARDLDGHGTHTLSTAGGNFVENVSIFGYGNGTASGGSPQARVAAYKVCWPPLAIGGGCYEADILAAFEAAISDGVDVISASLGGSPAEFFESSSSIGSFHAVAQGIVVVSSAGNTGPSPHTVSNVEPWSITVGASTMDRSFTSFVTLGNLKILKGASLSESNLPPNKRYPLISAADARAHNTSSANALLCKNGTLDPTKVKGKILVCLRGENDRADKGVQAARAGAVGMVLANNKGENDIIADALVLPASHITFKDGVYLFNYINSTKCPMASISRVKTHMGVKPAPFMASFSSRGPNALDPSILKPDITAPGVEIIAAFSEAASPSDQASDKRRTSYNTLSGTSMSCPHVSGIVSLIKSIHPDWSPAAIKSAIMTTASLKDNTENFMLDSSLQKATPFAFGAGHVQPNRAVDPGLVYDLNITDYMNYLCNRGYNGSHLSAFYRKPYTCPESFSLVDFNYPTITIPNLKVGHPLNVTRTLTNVGPPSIYVVLVTAPRKVLVSVEPKVLKFKEKGEKREFTVTLSLRSLTKNKNSSSIGYVFGRLDWTDGKHHVTSSIAVKPQN >CAK8563215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583338045:583338954:-1 gene:gene-LATHSAT_LOCUS16648 transcript:rna-LATHSAT_LOCUS16648 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMTKLGSFWVSKKAKEEISNITNDLSSFSNTVEDKAKFFINKLKGKTQKALPDLLREHNLPPGLFPRNITCYEFDESKGKLIVHLSSPCEVCFKDSSIVRYSNRVKGTLSKGKLVVIDGMKTKVLMWVKVTSVYVESYKSDKVWFTTTGVKKSRPKDAYEMPREAIKVEEF >CAK8577558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574514628:574516099:-1 gene:gene-LATHSAT_LOCUS29656 transcript:rna-LATHSAT_LOCUS29656 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLETDQPDSAFIQSPQHRPKSSITIAQGIPLIDLSPINYQDETIVPLSIQGLVKEIGEACKEWGFFQVINHKVPLDKRERIEESARKFFGLSLEEKIKVRRDEVNLLGYFEAEHTKNVRDWKEIYDFNVQQPTFIPPSLDQDFHFTWENRWPQYPPEFRETCQEYAEEVEKLAYKLMELIAMSLGLVPNRFRDFFIHNTSNIRLNHYPPCPYPHLALGLGRHKDTGVLTVLAQDDVGGLQVKRKSDGEWIQVKPIFNSFIINVGDMIQVWSNDGYESVEHRVMVNSEKDRLSIPFFLKPALYTDVKPLEELTNDRNPSKYSPINWGKFRTARMQSNFTKSKVDNLQIYDFKISL >CAK8571358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390597156:390597542:-1 gene:gene-LATHSAT_LOCUS24025 transcript:rna-LATHSAT_LOCUS24025 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFTQKLFLLCVLTLLSAHATLGVRVSILNNLEQNLDLTVHCKSADDDLGVHVLHHGESFGWNFKISIIGHTLYYCSFQWNGGFHYYDIYVASRDYNVCDPCNWYIVKSGPCRVLSHGSAVCDFWKK >CAK8536557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954172519:954173262:1 gene:gene-LATHSAT_LOCUS5915 transcript:rna-LATHSAT_LOCUS5915 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNFFTTITISLALALILQTSFALNSPLSYICSTSENFTSYTPYESNLKTLVNSLIYKTPSTGFGVGSIGLTQYQNQRAYGLALCRGDVSTLECKTCVSEASNKIQNLCPYNKGAIIWYDNCMFKYSDIDFFGKIDNSNKFSLLNVQSVNDPIKFNKVTKDLLSLLAYEASMHKKMYADGELKIGESERVYGQAQCTRDLSSVDCKKCLDDAINQVPSCCDGKKGGRVVGGSCNVRYEIYAFVRE >CAK8533857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665406252:665407359:1 gene:gene-LATHSAT_LOCUS3448 transcript:rna-LATHSAT_LOCUS3448 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEANKNKYIEEWGAVRENLEHNFRWTRRNLLLVGIFGLAVPVLVYKGIVKEFHMQDEDNGRPYRKFKP >CAK8568194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:581040066:581049079:1 gene:gene-LATHSAT_LOCUS21180 transcript:rna-LATHSAT_LOCUS21180 gene_biotype:protein_coding transcript_biotype:protein_coding MNESPRRDLQVFDFNEEDAINSDKLIFNKFNKIPINDSLAHDGQIKEVGVKNVPSNSCVDDVIGGISDMEAGCSNPSFKTMEDKFDSKVKNSELGAGKQTNSISQENHCHFKINVDYYDEQKNRDTSGGASTPGTNQIGPSGSPSSKESVDVSSDADDCMNHESAPTSAASDIVENGVFHLSLKGCGLNGAHTSDMDDTTEVVLCPDYIVYQDNYYMGPMLTFSHRCIKINVSTASMKQGAFDLEWGLDDLIDIKCQLFQSSETVIIKINVISRNANQLDNVSDTSGIEELEIALVDSNWSLIHKQITSLNVKYLAIWNVMLNMDVEDKETKSGGSRCYFPIFEEPFDDVIYPEGDPDAVSLSKRDFDLLQPDTFINDTIIDFYIQYLKNQIQEEEKPRFHFFNSFFFRKLADLDKNPSSASDGKAAFLRVRKWTRKVNLFEKDYIFIPVNFNLHWSLIVICHPGEVVNFNDKELANSLRVPCILHMDSIKGNHSGLKNLLQSYLWEEWKERHNYAPEEDLSALFSNLRFLPLALPQQDNSYDCGLFLLHYLELFLAEAPLTFNPFKLTKFSNFLNADWFLPAEAYLKRTLIQKLISELVESHGSREISSSDCSDDPQYIENNENKICIEHSEVNRESKISLDGQGIEMTLLSGSSALDPQSFNNSGMVLKDLFEPGASAATPAQCNSFDQRSSDYRFNNSIFSMEESSDLGEQFMYLATDTNLATETNFQQVAEVTPQACSLPYLPRDCGNGINHILEISLQEDVSSPSSSDDTEDIGVTENCPDNGNVPVASNEAEQGEKICSPIVNAEHFIDICASASNNLSIPSVMGISQDSMNCEGYKNGEIHSPCQEAPTIGLHQVSEAVDEEAACDDGQMIDGMGPNICEEQAAKRRRVMPPQ >CAK8536269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:923294766:923304609:-1 gene:gene-LATHSAT_LOCUS5653 transcript:rna-LATHSAT_LOCUS5653 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSRCQEKLTYFRVKDLKDVLSEVGLSKQGRKQDLIDRIISILTDEQVFRICVKKKKILGKAEVVKLVDDTYSKLQESGVTDLASKGQGASDSRNVRMKGEADDSFLADMKVRCLCGSSTETDLLIKCEDTKCPVSQHLNCVVIPDKPTKGMPPIPDTFYCEICRLSRADPFSVSMTHPLFPVKLTTTRIPTDGSNPMQSVEKTFLLTRATKDLVFKPDFDIQAWCMLLNDKVPFRMQWPQHTNLLVNGCDVRAISRPGSQMLGVNGRDDGAIITSKIKEGINKISLTSCDTRIFCFGVRIIKKRSMQQILNMIPKESNGERFEDALARVCCRVGGGNSAGEDDSDSDLEVVSDTISINLRCPMSGSRIKVAGRFKPCVHIGCFDLEVFVEMNRRSRKWQCPICVKNYALENIIIDPYFNRITSMMKNCGEEFSEVEVKPDGYWRVKAKTESECRELGNLAEWHSPDGSLSVSTSGEDKRVETLNVKKEGVSDSPTGIRLGIRKNCNGVWEVSKPKDTNTSSDDRLNADLGNNEVVVIQMSSSGTGSGLDGDDQSVNQSGGGHVEYSTPNGIESDSLCHTNAGSTYGYTIPDTSAPMANAEVIVLSDSEDDDILLSPTVGCNNNQTDDPVDAYSVPPPGIINPYAEDHNIGGNPCLEDFGNPSEGDFGIPSLWPLHSETQATSGFQLFSSEVDVSDALVHGDINCSSSLNSYTLAPNTGLGSNTLIPNSSTDPSDTDLNGGLVDNPLAFGGEDPSLQIFLPTKPAESSVQHELRDNNGVSNGVYTEDWVSLRLGGGAGSSNGDASTPNDLNSRPQITSREDATDSLTDTASLPLGMNDAGSDKESRKRSYGPFSFPRQKRSVRPRLNLSIDSDSDSDSE >CAK8543758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636967291:636970497:1 gene:gene-LATHSAT_LOCUS12497 transcript:rna-LATHSAT_LOCUS12497 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDNHIDNYKGHTNGRIWIQWDSNRVNVKFLRSSNQYIHCGIYDICGNFKHWLTVVYAHNQLNQRKTLWTDIEHIYGNIQGPWCVIGDYNNVTKSHERIGGNLVTEREYVDLTTMMEHTGLSEMDSIGDHLTWSNKQTTGPIYSRIDRVLGNTDWFLTNMETTLKFLPPNISDHAMLYLVYNQEHRKPPRQFKFSNCIIELPGYDTLVKKNSDGHIRGSPMFVLWHKLKRLKHGLKQFSKPLSDIKTKLISARNILEETQEQLRNDRMNTNLIGKAKDLAEAVISLNEMEWKILHQRAKIDWIRKGDVNNQYFYAAIKSRHYSNCLSNLKKSDGSQITIKPDIEDEVIKFYSNLMGKDAETINHIDIKAMRMGKQLDINQREYLTIPISENDITKALKGIGDLKAPGLGGYGAKIFKASWSIIKADVIATVKEYFETGKLYKAFNVTVVSLIPKDHDACEIKDYRPIAVYTIFYKIISKILTDRLGTVIPSVVNHNQAAFIPGQNIHNHIMLATELLKGYTRKEGTTRIMMQLDLQKAYDMVNWKALECIMKEMGFPNKFIQWIMLGITTVSYRFNIMGEYTDILQAKRGIRQGDPLSPMLFVLIMEYMNRMLVKMQRDPNFNYHAKCEKLKITNLTFADDVLLFCRGDCISLQMILRTFRDFSNSTGLIMNPNKCRIYFGGLDNEKRRMMKELSGFQEGTLPFKYLGIPLSGKKLNINHFMPLVDRIVARIHHWSSKLLSYARRIQLVKSIVAAMVQYWLHCIPLPKSVIRKIDSICRSFIWTGKDTVSRKCHVAWKRTCCPAAQGGLNLINLQIWNNVLLLKCLWNLCNKTDTLWVKWIHIHYLKGNHVMNYATKNHNSWIMRGILKQRDIMDLIRNEWDQLLTTHKFKASVFYKVMIDDGTRVVWRNLIRSNKSRPRAVFCLWQACHGKLATKDRLKRFGMIKDNTCRLCHTEEETVNHLFFCCLGTRHIWKNVLQWFNIYHEPQPWEAELIWISNMTKGKGWKVDVLKMLVAETIHNIWGYRNSIIFGNSVDNTTMDTNIIDNVIYRGWQNLKIRKHLVSFMM >CAK8543759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636967771:636970497:1 gene:gene-LATHSAT_LOCUS12497 transcript:rna-LATHSAT_LOCUS12497-2 gene_biotype:protein_coding transcript_biotype:protein_coding METTLKFLPPNISDHAMLYLVYNQEHRKPPRQFKFSNCIIELPGYDTLVKKNSDGHIRGSPMFVLWHKLKRLKHGLKQFSKPLSDIKTKLISARNILEETQEQLRNDRMNTNLIGKAKDLAEAVISLNEMEWKILHQRAKIDWIRKGDVNNQYFYAAIKSRHYSNCLSNLKKSDGSQITIKPDIEDEVIKFYSNLMGKDAETINHIDIKAMRMGKQLDINQREYLTIPISENDITKALKGIGDLKAPGLGGYGAKIFKASWSIIKADVIATVKEYFETGKLYKAFNVTVVSLIPKDHDACEIKDYRPIAVYTIFYKIISKILTDRLGTVIPSVVNHNQAAFIPGQNIHNHIMLATELLKGYTRKEGTTRIMMQLDLQKAYDMVNWKALECIMKEMGFPNKFIQWIMLGITTVSYRFNIMGEYTDILQAKRGIRQGDPLSPMLFVLIMEYMNRMLVKMQRDPNFNYHAKCEKLKITNLTFADDVLLFCRGDCISLQMILRTFRDFSNSTGLIMNPNKCRIYFGGLDNEKRRMMKELSGFQEGTLPFKYLGIPLSGKKLNINHFMPLVDRIVARIHHWSSKLLSYARRIQLVKSIVAAMVQYWLHCIPLPKSVIRKIDSICRSFIWTGKDTVSRKCHVAWKRTCCPAAQGGLNLINLQIWNNVLLLKCLWNLCNKTDTLWVKWIHIHYLKGNHVMNYATKNHNSWIMRGILKQRDIMDLIRNEWDQLLTTHKFKASVFYKVMIDDGTRVVWRNLIRSNKSRPRAVFCLWQACHGKLATKDRLKRFGMIKDNTCRLCHTEEETVNHLFFCCLGTRHIWKNVLQWFNIYHEPQPWEAELIWISNMTKGKGWKVDVLKMLVAETIHNIWGYRNSIIFGNSVDNTTMDTNIIDNVIYRGWQNLKIRKHLVSFMM >CAK8569624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6902833:6904221:1 gene:gene-LATHSAT_LOCUS22455 transcript:rna-LATHSAT_LOCUS22455 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTDMFAQIGSIIASMMFFWAIFQQYFPYQLRNQIEKYSQKLATLIYPYIQITFHEFTGEQKLMRSEAYSSIENYLSTKASTQAKRLKGDIAKNNQSLVLSMDDHEEVSDEFNGVKLWWASGKNVSKPNSFSLHHNIDEKRYYKLTFHKVNRDLILGSYLSFVLKEGKAIKVRNRQRKLYTNSGSYWSHVVFEHPSTFETLAMDLEKKKMIIDDLVTFSKAGEFYGRIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDLYDLELTAVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRTKKKAKRDEEEKKGGGNMEERDGRMSQVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALVRKGRMDKHIELSYCGFEAFKMLAKNYLNIESHYLFDTICELLEEVEITPADVAEHLMPKSSSGDVEVYLKSLIHALELAKEVKVKSVEDAEKL >CAK8578864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659512495:659517441:-1 gene:gene-LATHSAT_LOCUS30846 transcript:rna-LATHSAT_LOCUS30846 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAVQTLNFSGFTRPEKFVGRRTGFSLSRTGKPEMVRMSRRKMAHMEIACSYKNIPASILESGGHKFPLEEELILKNKSQQIQPYLNGRCIYVVGMMGSGKTTVGKIMSQALSYSFCDCDTLIEEEVDGNSVADIFKLYGESFFRDRETEALHKMSLMHKFVISTGGGAVLRPINWKYMHKGVSVWLDVPVDALAKRIAAVGTNSRPLLHEEAGDAYTAALMRLSSLFEERGEFYANANARVSLENIAAKLGRKDPSDLSPTAIAIEALEQVGNFLKAEDGGYAR >CAK8543339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:601546240:601546503:-1 gene:gene-LATHSAT_LOCUS12102 transcript:rna-LATHSAT_LOCUS12102 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRTRIFAVVFTLVLIHFLLFSCCCHHHDQRFSRKIGLQGSRELLSSSFATSFGKINGSKNLNRKVVESSLRIAPPSIPNPTQNK >CAK8578031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603253375:603254722:1 gene:gene-LATHSAT_LOCUS30077 transcript:rna-LATHSAT_LOCUS30077 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNNVEFPFAKRWSSLGMNYDNCPHYSITQYRNLIDHLGQDDFIWRSYLGLEAFHEVERQDSAVWSAKVPIINFTTVEMHNTDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDTVFPYKMKQSIQYMTWYRSVSIGFISHPSQPPQNNYEGMGNSFDLDDFTDMDPSSWAEVIQLLEEDTMDPTL >CAK8578812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655813924:655814805:-1 gene:gene-LATHSAT_LOCUS30796 transcript:rna-LATHSAT_LOCUS30796 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRKKSTPEENEQEKIQRKGKRKLASTVDDDDANEEMDEFEVDGFLVGSDEDEEDSDEEDNSKQTQKKKIERDRQKTLFLMTMILS >CAK8565193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:64667553:64668728:1 gene:gene-LATHSAT_LOCUS18427 transcript:rna-LATHSAT_LOCUS18427 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSREDNYQEKQEEGNNNSMNNIEKSSKAPSSSSSRQWSSSSLRNPRIVRVSRTFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLGQPSKVIDWLLEATKFDIDKLPPLQFPQSFSQFHHHVLPQTLLPFHDHQSSNVLSHELSLGPMYDPNSSTFVGIQNLMTRSKFWDVDSTRVGKGKEVEREYFCEKGKWIKTNDEENQVGEASYNNFHQVSTQKLFPMETQSSFLNNAMSYNNNYHSEVSSLSLSQFGSNHGLFQSHNQQVDPNQSNGNAFQFPFSFQNSQLLFGPSSSTQPSSSLLNNPFMNNSLENDPRVPFNHFQFSNSSSSQAMPHSLIPSFHHPFNPPPVRPFPIPFSSKLLDSDNNDTSNQMDGRGCRDRS >CAK8561737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:370147980:370149355:1 gene:gene-LATHSAT_LOCUS15298 transcript:rna-LATHSAT_LOCUS15298 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKNVIGIINFIAVLLSIPIIGAGIWLTTLQAESCVKILQWPVIILGILIFIVAMVGLVGAFWRIPMLLIFYLIAMIVLIVLLGSLVIFVYFVTLRGHGNIEPNRSYLEYRVDDFSIWLRRRVRSSHKWDGIKRCLSSSSICAELNQSYRLPQDFFNAHLSPLQSGCCKPPTKCGYTFVNPTYWISPINNGEDMDCMKWSNDQTQLCYNCDSCKAGLLATLRKDWRKANVILVVTLVGLIVVYLFGCFAFRNAKTEELFRKYKQGYT >CAK8561489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:244109945:244112574:1 gene:gene-LATHSAT_LOCUS15076 transcript:rna-LATHSAT_LOCUS15076 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLFVDRLVRPMPVEPLAQHPAQPAPEPVTPVNDAPAGPSGSSEEDDGEEEPLLQMGECRICQEEDSINNLENPCACSGSLKFAHRKCVQRWCNEKGDTTCEICSKSYEPGYTAPPPRPHPEETTIDIGGGWTISGTPLDLRDPRLLAIAEAERQFFEAEYDGYAASNANGAAFCRSAALILMALLLLRHALSVTDADADDDPSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFAIAPVPTVNPHQEQV >CAK8560103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7620482:7620859:-1 gene:gene-LATHSAT_LOCUS13815 transcript:rna-LATHSAT_LOCUS13815 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPFYFRPLPYYFLLSLSRGGVSGSHGGGPKGSESDEDLFESGINTQSLALIALYFRWLNISFITCSMKVLLLLGLLLRLLLLGEGNREVVVAAWIRCGCCRKCRNNDGRRKSVIRSSETG >CAK8543458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:609103117:609105230:1 gene:gene-LATHSAT_LOCUS12214 transcript:rna-LATHSAT_LOCUS12214 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPKSSTINHTLRSLSSENALPSGNFAQGSSENINQTKELDSQPMLSPQKRLSRSVTDVETNLVRKAPHKQINSVGKHQKKYATFSRKKFKYHGASVRRSERIKSGVAKSPNPKQGAECIVDVTVSDSEVDEQETQAEQVLPQTKPTNTQIELVQVLPPQNTQTEHVLPQPNARTEQALPESQPAENLSEKGLDEKVEYALQKIDALYKIIEVLKSKVDGNVNSYEAPTTAAPISYRTMYIDSQKKIEALSNENQQLKEQLENALGKVEMYEKENGVLSELLDKMKDTVNQQLSNVAKTTEAAVFASTQEIDNAYSASAAKRKRTEG >CAK8560642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33309693:33313566:-1 gene:gene-LATHSAT_LOCUS14301 transcript:rna-LATHSAT_LOCUS14301-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEECLKEYGVKLDKGLSSNEVQKRRERCGWNELAKEKGKPLWKLVLEQFDDMLVKILLVAAFVSFLLAYIQGSESGESGFEAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESIKVLRDGYSVPDLPARELVPGDIVELRVGDKVPADMRVAALKTSTLRLEQSSLTGEAMPVLKGTNPIFMDDCELQAKENMVFAGTTVVNGSCLCIVITTAMNTEIGKIQKQIHEASLEESDTPLKKKLDEFGSRLTTSIGIVCLVVWIINYKNFISWDIVDGWPSNVQFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVMEFFTLGGKTTASRVLRVEGTTYDPKDGGIVDWTCYNMDANLLAMAEICAVCNDAGVYFDGRLFRATGLPTEAALKVLVEKMGFPDIKSRNKTRDMQGAANNTMDCDTLKLGCSEWWNRRSKRVATLEFDRVRKSMSVIVREPDGQNRLLVKGAVESLLERSSFVQLADGSLVPIDDQCRELLLQRLHEMSSKGLRCLGLACKDELGEFSDYYADTHPAHKKLLDPTYYSSIESDLVFVGVVGLRDPPREEVHRAIEDCKQAGIRVMVITGDNKSTAEAICKEIGLFSRDEDLTGQSLTGKEFTSLSHSEQVKLLLRNGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAIAEGRAIYNNMKAFIRYMISSNVGEVISIFLAAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKSDDALISTWVLFRYLIIGSYVGIATVGIFVLWYTQASLLGISLVNDGHTIIELSQLLNWRECPSWSNFTVSSFDAGGGRLMAFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEENSLRTLPPWRNPWLLVAMTTSLGLHCLILYIPFLSEVFGVAPLSLKEWFLVILISAPVILIDEILKLVVRSQRRKRKEKEA >CAK8560641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33309693:33313572:-1 gene:gene-LATHSAT_LOCUS14301 transcript:rna-LATHSAT_LOCUS14301 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKVPMEEKPFPAWSWSVEECLKEYGVKLDKGLSSNEVQKRRERCGWNELAKEKGKPLWKLVLEQFDDMLVKILLVAAFVSFLLAYIQGSESGESGFEAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESIKVLRDGYSVPDLPARELVPGDIVELRVGDKVPADMRVAALKTSTLRLEQSSLTGEAMPVLKGTNPIFMDDCELQAKENMVFAGTTVVNGSCLCIVITTAMNTEIGKIQKQIHEASLEESDTPLKKKLDEFGSRLTTSIGIVCLVVWIINYKNFISWDIVDGWPSNVQFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVMEFFTLGGKTTASRVLRVEGTTYDPKDGGIVDWTCYNMDANLLAMAEICAVCNDAGVYFDGRLFRATGLPTEAALKVLVEKMGFPDIKSRNKTRDMQGAANNTMDCDTLKLGCSEWWNRRSKRVATLEFDRVRKSMSVIVREPDGQNRLLVKGAVESLLERSSFVQLADGSLVPIDDQCRELLLQRLHEMSSKGLRCLGLACKDELGEFSDYYADTHPAHKKLLDPTYYSSIESDLVFVGVVGLRDPPREEVHRAIEDCKQAGIRVMVITGDNKSTAEAICKEIGLFSRDEDLTGQSLTGKEFTSLSHSEQVKLLLRNGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAIAEGRAIYNNMKAFIRYMISSNVGEVISIFLAAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKSDDALISTWVLFRYLIIGSYVGIATVGIFVLWYTQASLLGISLVNDGHTIIELSQLLNWRECPSWSNFTVSSFDAGGGRLMAFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEENSLRTLPPWRNPWLLVAMTTSLGLHCLILYIPFLSEVFGVAPLSLKEWFLVILISAPVILIDEILKLVVRSQRRKRKEKEA >CAK8563299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590893356:590894165:1 gene:gene-LATHSAT_LOCUS16724 transcript:rna-LATHSAT_LOCUS16724 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKRKRHSKNDASNKNQKSPTNEERKITSPSTTKRTKSNESSSFLEKMRARLSGGHFRMINEKLYTCTGKEALHYFREDSSLFNLYHEGYKTQMSNWPEKPVDVIIKWLKKQNPSFVVADFGCGEALISKSVKNTVFSLDLVSNDPNVIACDMANTPLGSSSADVAVFCLSLMGTNYQTYLEEAYRVLKPGGWLLIAEVKSRFDPNNGGADPEKFSKAISELGFSSVKSDLSNKMFILFYFTKMGKQNSKRKEIEWPSLKPCLYKRR >CAK8566234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393881886:393883270:-1 gene:gene-LATHSAT_LOCUS19385 transcript:rna-LATHSAT_LOCUS19385-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIEIDPKVDFANQEGEIDSRHVDAIGSVDSIFPFNSKSIPRRPRTKCKRKFKGNEALSRSKEKLNSQVFDNYLAKIWKSFSEDRKMSFAYLDSLWFSLYRNPLSKDKVLSWINKERIFTKAYVFVPIVCWGHWNLLIFCHFGENVRSVTESRCMLLLDSLEMANPRRLEPEIRRFVQDIYKAGDRPETKHLISQIPLLVPKVPQQKDGTECGNFVLYFIKLFLELAPDKFSMEGYPYFMKKDWFTFKELDRFCESLTH >CAK8566233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393881886:393883856:-1 gene:gene-LATHSAT_LOCUS19385 transcript:rna-LATHSAT_LOCUS19385 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRNQERETDSGCVSKLVYSRRRKKTEIMKEVIEIDPKVDFANQEGEIDSRHVDAIGSVDSIFPFNSKSIPRRPRTKCKRKFKGNEALSRSKEKLNSQVFDNYLAKIWKSFSEDRKMSFAYLDSLWFSLYRNPLSKDKVLSWINKERIFTKAYVFVPIVCWGHWNLLIFCHFGENVRSVTESRCMLLLDSLEMANPRRLEPEIRRFVQDIYKAGDRPETKHLISQIPLLVPKVPQQKDGTECGNFVLYFIKLFLELAPDKFSMEGYPYFMKKDWFTFKELDRFCESLTH >CAK8563509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:611507202:611507552:-1 gene:gene-LATHSAT_LOCUS16909 transcript:rna-LATHSAT_LOCUS16909 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNASSITNSHCLTKQPRRTCMCSPTNHPGSFRCSKHKKSRHVVVAPWLSSSSSKADYRNLDRSRMTIALKAKHPLTAFLLQIIKHHSKNVLYRRKIFQPKPTRFSIMNRIAVL >CAK8562088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439557093:439559749:1 gene:gene-LATHSAT_LOCUS15615 transcript:rna-LATHSAT_LOCUS15615 gene_biotype:protein_coding transcript_biotype:protein_coding MAINASSPNHPPIVAAVSPPWTQIVASAAPHSTTVVVTLSVTSGMEDIDNNSGENNANIVERIVWNKPSNAASSSVMDAESWPALSESAKAPAKSPPPPPLEVGETSLVASTLSQLQGTGTMLPFPRDQDRDTASMNNRPPMPIHQRPYRHPNPNVTSNGGHLPQGSIAVPGSHNHNSAPNQYPPRGVFGPNDQQHQRNSFRYRGGQHQRGRGDGFHHQNYGNSFHHQNYGNRQVRGNQDWNVHQRNFNSGDNYRSPRFVPPSVGPPPSNNQYYVPPPVNAQYYVPPPVNAQYYVPPVNAQYYAPPPFNNAQYNAQYYVPPPPQPVWPFGGSYGYHEPPPQVVYSSSLPMEPSRGVPFVPSIPPNPIIYQQPTDPKLYTKIVNQIDYYFSDQNLINDAYLKGIMDDQGWVPLNTIADFKLVANLTDNIQIVFDAVRTSSVVEMQGGKIRRRHGWWKWIASSTQSENVTGSEVVGELANRVQNIDLETTEDLDHSASAEN >CAK8560847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49463485:49464245:-1 gene:gene-LATHSAT_LOCUS14491 transcript:rna-LATHSAT_LOCUS14491 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRIVVKEAWEKTFLFTKFLCFLHVTDNYLVDPVKIYGPSMLPVIDLNPSIFLAERITPRSGKVTHRDIVVFRSPQNPRRTVTKRVVGLEGDTITYVSNPENSDNDKHETVVVPKGHVWVQGDNKYNSTDSRHFGPIPYGLIESKIFWKVFPLDDFGSFWRK >CAK8544168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670272625:670275033:-1 gene:gene-LATHSAT_LOCUS12870 transcript:rna-LATHSAT_LOCUS12870 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNMDIPENNTFLLPRDILTAADHLIGMKFGMGTLDNMNHLKNKRIRSVADLLQDQFGLALVRLENIIREKMCRAIRDKLIPTTENLVTSTPLTTTYESFFGLHPLSQVFDQTNPLSQIVHGRKWSSLGPGGLTARTASFRIRDIHPSNYGRICPIDTSEGINVGLIGSLAIHARIGRWGSIESPFYEISERSKRIRMLYLSPRRDEYYMVATGNFLALTRGIQEEQIVPARYRQEFLTIAWEQVHLRSISPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERHVALDSGVPAIAEHEGKIVYNDTDKIILFGSGNALSIPLIIYKRSNKNTCMHQKSQVQKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYDDIYTSFHIRKYEIQTHVTSDGRERITNKIPHLEGYLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQGSSYNPETVQIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSRIFDGRTGNPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKGGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKGRQEVLGTTIIGGTIPKPVDATESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >CAK8562421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:487641849:487642986:-1 gene:gene-LATHSAT_LOCUS15917 transcript:rna-LATHSAT_LOCUS15917 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNSHISVSAKTDSEVSSQTQSSPTRSPRRPVYYVISPSRDSSNDEKTSNSFNSSPLQSPLASPPHSHSNSSLGPHSRESSSTRFSASRKSSNASHNRRWRPWKDHFYPIEEEGLLDDHDDDYHGFPRRCFFPAFVLCFMVLFSVFAIVLWAASRPQKPVIFLKSVTFDRFVIQAGADVSGVATSMVSMNSTVKMTYRNTATFFGVHVTSTPLDLNYYALTLATGNIPKFYQSRKSGRSIHVMVQGNHVPLYGGGARLNSMNGSPVEPVFLTLSVTIRSKAYVLGQLVKPKFNKKIECGLIMDPKKMGVPIPLTNKCTYEL >CAK8533395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:612556168:612557660:1 gene:gene-LATHSAT_LOCUS3024 transcript:rna-LATHSAT_LOCUS3024 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDSSQELSTFSNYLPRLRSLWVDFKSEDQLALHAKIILDALCVTVSKDLESTATTSQLSSSSQVHVLGSNHCLKSLLIQMGMNCHVTNFLKKKILQNMNVNESDVCFLPGDNYPNWITFHSETSSVTFQVPQVEERNLNTMMCVVYTCTLDGIASDGHLKSVLVKNYTKSTIQLYKRETLASLEDDFSEEGRRIVLSMEPGNKVEVVFVFENSFIVKKIAVYLVYDEPVGEELELHQVPDLIGIVCRDDENEATEEESVDDFNPNRKKRSRVE >CAK8571903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:491566858:491568171:-1 gene:gene-LATHSAT_LOCUS24526 transcript:rna-LATHSAT_LOCUS24526 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNFQHFITLLLLLFFISPTFSQQSFRPKALVLPVNKDSAKALQYTAQINQRTPLVPLNLVVDLGGQFLWVDCENKYTSSTYRPARCRSAQCSLAKANGCGDCFSSPKPGCNNNTCGLLPDNTVTHTATSGELAEDVLSIQSTNGFNPGQTVSVSRFLFSCAPTFLLKGLADGASGMAGLGRTKIALPSQLASAFSFDKKFAICVSSSSGVVIFGDGPYGFLPSIVLDSKSLAYTPLLINPVSTASAFSQGEASAEYFIGVKTIKIDEKVVPVNTSLLSIDRNGVGGTKISTMDPYAVLEASIYKAVTDAFVKASIARNIKRVGSFAPFEFCFSSDSVGATRLGAAVPTIELFLQNENTVWRIFGANSMVNINDDVLCLGFVKGGENLRTSIVLGGYQLENNLVQFDLAASRLGFSSLLFGRQTGCFNFNFTSNA >CAK8561141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:103191992:103193335:-1 gene:gene-LATHSAT_LOCUS14755 transcript:rna-LATHSAT_LOCUS14755 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRVLSAATINSQNHNLNVLNDHTIDLTPWDLQYLPFGYSQIGLIYHNSSELDTKNQIQRLKDSLSSTLEFFPPFTGRLNITEHEDNTISCSIRCNNEGVLFVHAAAKNISVGDILEPTYLPAIFYSFFQFNGVKNYEGTSNPLLAVQVTDLADGIVIGCSISHVVVDGTSLWHFINSWARISKGNFEISKISSFERWFPSGIQRPIQFHFPMQPRYNLFSNQNDKEEKLNTPMSERLFHFSKENIAKLKSQANLEAGTTRISSLQAVLTHVWRSIIRSKNLDMQEELNFVMDIGVRPRFIPPLQERYFGNAVMECVVTMKVGELLEDGGLGKGALKMNKMISLHSDEKLKNHYKDWLIAPSFVFNDGNVANSNAMAIGSSPWFDVYENDFGWGKPVAVRNGGANKRNGKIYVSAGVEEGSINLEVCLPYEILEAIGNDSEFKIS >CAK8573935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:648643495:648647312:-1 gene:gene-LATHSAT_LOCUS26329 transcript:rna-LATHSAT_LOCUS26329 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSETYACAPSTERGRGILISGDPKTNNILYCTARSVIIRNLDNPLQVSVYGEHPYPVTVARYSPNGEWIASADVSGTIRIWGTHNDYVLKNEFRVLSGRIDDLQWSADCQRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCTFKPTRPFRIVTCGEDFLANFYEGPPFKFSMSIRDHTNFVNCVRYSPDGSKFITVSSDRKGIIYDGKTGNKLGEFSEEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWDIDENGSGTVHKTLAHTESGGVEDMLVGCLWQNDHLLTISLGGTIYLYTSKDLDQSPKSLSGHMKNITVLNLLNKSEKMLLSSSYDGVIIRWIPGIGYSGKFDGKQFGLIKLLAAAEEEVVTSGFDNKVYRVPLHGDGFGPAEEVDIGSQAKDLGLALNSPNLALIAIESGVVLLDGAKIVTTANLGFVVTACTISPDGSEAIVGGQDGKLRIYSISGDTLTEQTVLEKHRGAISAIRYSPDVSMFASADLNREAVVWDCASREVVLNNMLFNTARINCIAWSPDSKLVATGSLDTCVIIYEIGKPASSRRTIKGAHLGGVYGLTFTENERVVSSGEDSCIRVWNLNSE >CAK8533567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637215703:637215900:1 gene:gene-LATHSAT_LOCUS3187 transcript:rna-LATHSAT_LOCUS3187 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >CAK8531364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105109810:105110367:1 gene:gene-LATHSAT_LOCUS1161 transcript:rna-LATHSAT_LOCUS1161 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDRFLVDASFINKWGMMGQSIGKRDISDHCQIWLKISPKSWGPKPFKTNDIWFEYGLFLKFVEEKWLNYRVSGRSDFVLKEKFKLLRGDLKLWSKEFFGWYDLKVEEEVDVINGVDLALMSAANEEVESLVLKRSEACRVVWHNLHIKDNMIFQKARVKWNREGDINSKFCQHLSWCGRICV >CAK8543853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:644188557:644191384:-1 gene:gene-LATHSAT_LOCUS12578 transcript:rna-LATHSAT_LOCUS12578 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEMKHSKFKRICVFCGSSPGKKTSYQDAAIQLGQELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVDVDGYFNSLLSFIDKAVEEGFISQNARHIIVSAPTAKELVKKLEEYVPCHEGVASKLSWQMEQQLAYPQDYDISR >CAK8533919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:671287478:671289564:1 gene:gene-LATHSAT_LOCUS3506 transcript:rna-LATHSAT_LOCUS3506 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKHYIFSTLTFLLVLVQNLSTIECHSKGIRPIHSTGNGLATNNTTRTELSEQQFMKWVSFVGGLNHTVFKTAKNKLFASYTLHVDKNPSFGDFTTIQDAIDSLPPINLVRVVIKVHAGVYTEKVNIPPLKSFISIEGDGADTTIVQWGDTAQTPGPRGTPMGTYGSATFAVNSPYFIAKNITFQNTFPVPAPGAVGKQAVAFRISADTASFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEECHVHAIARNTGALTAQGRSSILQDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTNMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGPGASFAGRVSWSRELTDEEAKPFLSLTFIDGTEWINF >CAK8561039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:80070687:80071046:-1 gene:gene-LATHSAT_LOCUS14665 transcript:rna-LATHSAT_LOCUS14665 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRKEWNQAMTTHNFKAITFYKVLIDNGTRVPWRNLIRSNKGQLRAVYCLWQACHGKLATKDRLKRTRHIWKETLEWFNIQHKPQQWET >CAK8568308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592504358:592504906:-1 gene:gene-LATHSAT_LOCUS21277 transcript:rna-LATHSAT_LOCUS21277 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHSIRELVNSDASLKVKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNELPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSGKQISHRLFWAFRPCIRGFAYCKPIVQVDGTWLYGKYRGTLLTAVAQDGNANIFPVAFTLVEGETKEVWSFFSEEPTITRDTTTEFMSNI >CAK8538986.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501016085:501018172:-1 gene:gene-LATHSAT_LOCUS8129 transcript:rna-LATHSAT_LOCUS8129 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKEEALKAIEIAEKRFSQRDFEGAKNYALKAKTLCPELDGVSQMVATFEVYFASEFRHNGKLDYYSVLGLKPTADEAAVKRKYKKLAVLLHPDKNKCVGADGAFKLVSEAWTCLDNAMRSSYDLKRNYSSVVQATGYNNCSKMPPTSCSKLDTFWTICTACKVQYEYMRKYVNKKLSCKNCRGVFIAVETGAAPANGSFPYQPVPYVPGNGYGNQSIDGVTYAPSNAPYFNGNGVPGYHSGHGYEYVSNVSFQMGSAGYIHQNGSPTALPAGSVYQVNGNAKRGRPKVKPEVANGRHPMAETMVNINSHVSFSCNELHEVKLGRPDKKPKITVGASFRNGYDEKGSKCAPEAVMSNGNDSVGNSRLGQKLSGVNEVQTKHCFTPPAFDARKLLIEKARTVIRKKLEEMKLSSEAAALKEKEKAHLDVCQVKRQTCRKAPLNVSGLTVEHGKVNPISITVPDSDFHDFDKDRTEECFQPKQIWALYDEEDGMPRLYCLIREVLSVNPFKIHISYLNSKTDTEFGSVNWLESGFTKSCGNFRAWTSDIVDQVNIFSHVLSREKAGRGGCVRIYPRSGDIWAVYRNWSPDWNHSTPDKVRHEYDMVEVLYDYSEDLGVCVSPLIKLSGFKTVYKRNPDQSAERWIPRREMVRFSHQVPSWLLKGEESKLQGRCFDLDPAATPDELLHTATEAKAL >CAK8568662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629578532:629580026:1 gene:gene-LATHSAT_LOCUS21600 transcript:rna-LATHSAT_LOCUS21600 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKGSKVEILVNTSKLEVEWHGARITSGNGHTYNVKYDHSSAKDKALSRMVPRKAIRPCPPAMKNIEGWKVNDAVEVWNDGCWKKATVLKYMTGELYLVALHGSCTELKVQEIHMRVCQSWENGQWIISPMVPAKSRVMKFSRNLISNNYKVMPDVQQANNVSLGLDDSCLHLPSPSTLKRVCSHGSSRIEDYPRKNRAGVIMGESKRFKAVSTAPLMEKVDAIAYPQNNMGEKYTHYSFTNSTNQLYGIGKKNPCNVKTTVEQDYSCGNLSSVGSCSVISGSANEFFGDTLAGPCQDDADSLRCNPEFPDVEDVDGFYGDMLANPCQSDDNTICSDADSLDAEDADVGCTIFPKEVVAEKIHRLELNAYHCTLEAMYASGPLSWEKEEVLTNLRISLNISNDEHLIGIKNLVSGVQSF >CAK8534879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:781969044:781969613:-1 gene:gene-LATHSAT_LOCUS4384 transcript:rna-LATHSAT_LOCUS4384 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAPINRNSFTFPYTNKWSVPGMNYQRCPRHSIIQYRNLIDHLGPDDFIWMPYEGLDHEPSPDDVAVWTSKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDPPVDLGQWHQKRVDAQWSVNDWKEFTKELHIH >CAK8543896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648132558:648134798:-1 gene:gene-LATHSAT_LOCUS12619 transcript:rna-LATHSAT_LOCUS12619 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLRLRRIIKITSNSNSPKLHSTLEQQQPPTEPLTTSQLKTLVLNNYTNATFTNLIQNVVASPAVLFTASHNISTAPFHPHPDRFFNIDVLAQQLRQNRFDVASCCVSLKSSDSPLVLPNLKLKVVIEALRMVLEAVYDGRFVTFSYGGRVGMGRHTAIRYLKNTVQNPTWWFTVRFKPHKFESAHMDNLCFFIQRKVKDYGFIHLIKKLFECKVLVIELGTNSLGKGFPQECGLSSILINVYFDGFDKEIQETRLRENQQSPKMVDSGLGSDVLYKPVKVYAVRYLDEILVATSGGSKLMAMDLKMKVVKTLELDLSLHVDKLNTAIHSAVSEKIEFLGMEFQAVPPSVLRPPMSEKAIRARKKYLRQKEVRAFEFQNARARNRRILGLKIFNHVYKKMKLSDGFKFDFSIENEVREIFKSWADEVVQEFLGSMDECQEWHRSLTAGDFLSLRHIRNQLPPELVDAYDNFQEQVDKHLNPVKLRKVIEDKERRETQKEEQRYSKGTVEDLTRFCTKVDAPVLLIRKAVRLGAFTNHMGRPRPIEFLVALEDADIIKWYAGIARRWLDFYCCCHNFKVVKTIVSYHLRFSCILTLAEKHESTKREAIKHFSKDLKVYDMNGNDEVNFPTEREVKMMGDRNLSDPKPVDGVLSLAVVRLASDESPTHCIAHFCDKTTTVFYRVRLLQNTSNVNPLENEKWVQGMGVIHESLNQKCFPLCTDHVHDLYLGRITLQDIDCTSCVDVE >CAK8570595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64879220:64881578:-1 gene:gene-LATHSAT_LOCUS23332 transcript:rna-LATHSAT_LOCUS23332 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDRASPALKQILLKLYRAEKSIEIDHHLYEFGSVEYHVQSQASNPRIAYLSISIPPLCNGILPNDLSPYTIEMVKGICPNVVEIEEPSREGFQLTLKLNLDQIPRNKDYVKVFEDISSVQSVILSSQLKEILWNVNSDDASPGMYKPIKLVYHPREPFFVIRQPQRIIAVFPIRFREKSDIVIATTFFQELMDVGNSDKWTKTPPCTWSAIPPPELRGEAFADLSTNGGFFSFEISSRHVEGNRLDKTVWNLLNFNTYVRNHVKSTKGFIHRRMRKRLENLVQVLHGTNSEENEQAKQVKQRQGFRYTTKLVRSSKHTMKQRWRTLGRKIKRIHFRLKIHGFTRFRQRWLRFPKFSSTKYTKLE >CAK8540780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20618639:20620627:1 gene:gene-LATHSAT_LOCUS9750 transcript:rna-LATHSAT_LOCUS9750 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQVPQLVRHGPFQTQPCLYTSHISSRLPLCLVSFNSSCNPANDIKSVGNNNNNMIQSLCRGGNLKQALELLCCEANPTQKSFELLICSCVEQNSLSDGFDVHRRLVGCGFEQDPFLATKLINMYCDLGSVHYACKVFDGTQDKTIFVWNAVFRALAKVGCGEKLLELYCQMNWIGVKSNRFTYTYVLKGCVVSEMSICPLRKGKEIHAHILRHGYEGHVHVMTTLLDVYAKFGCISYASSVFGAMPVKNVVSWSAMIACYAKNEMPVKALELFQAMMLEACDLVPNPVTMVSVLQACASLAALENGKLVHGYVLRRGLDSILPVLNTLITMYGRCGEISTGQRVFDYMKNRDVVSWNSLISIYGMHGFGKKAIQIFENMIHQGVLPSYISFITVLCACSHAGLVEEGKILFESMLNKYKIQPSMEHYACIVDLLGRANRLDEAMKLIENMDFKPGPTVWGSLLGSCRIHCNVKLAERASSMLFKLEPMNAGNYVLLSDVYAKSRMWNDVRRVRKQLESRGLQKIPSYSWIEVKRKIYSLVSVEEYNPQIEDLRVFLTTLLTEIKNQGYVPQTNVVNYDLDEEEKEVILLGHSRKLAVAFGLINTSKGEIIRITNNLRLCEDCHGFMKFVSKFVNREILLRDVNRFHCFRDGVCSCGDYW >CAK8561185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:115220403:115222657:-1 gene:gene-LATHSAT_LOCUS14797 transcript:rna-LATHSAT_LOCUS14797 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSISNARYNYVHDQKYPSEDVDIHHIVLKRSGAKYYFLYASALLVLACGFYLYVFEAKSISLVYYSLLFDILLVKLLLQKPVNKESVVIMPAFGVQLETHYSSGKVIRCFVPIDKILKPVLLECVTPVTCYWTLSLIVREESEMVLVFKNLRPPVKMLVHVWKALCAATDNKEETCTHTE >CAK8578682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:647002268:647011005:-1 gene:gene-LATHSAT_LOCUS30672 transcript:rna-LATHSAT_LOCUS30672 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSQGGGENVPQKSQKENENYNKETVFLHGDLDLLIIEAKSLPNLDFSTEAVRKCITMGNSCSPPFVKGLKTRSGKDKIITSDPYVSVCLAGATIAQTRVIPNCENPLWDEHYTVAVAHPVQKVEFLVKDNDILGAELIGVVEIPVQKILSGKILNDWFPIIGQYGSCLKPYPELHISIQYMPISRSNEKKESVDLGVPDTYFPLRKGGNVTLYQDAHVPDGMLPKIELEGGKIFQHSKCWEDICHAILEAHHMIYIIGWSIFHPVKLVREPTKPLPSGGELSLGELLKYKSQEGLRVVMLIWDDRTSHDKFLLKTDGVMQTHDEETKKFFKHSTVHCILSPRYASNKLSIFKQQVVGTLFTHHQKCVLLDTQGSGNNRKITAFMGGLDLCDGRYDTPEHRIFHDLDTVFQNDFHNPTFQLNSNSRAPRQPWHDLHCKIEGPAAYDILTNFEQRSRKAKKWRDFRLRKVTNWHDDALLRLDRISWIVKPSSGPDGDKSVRVTEETDPESWHVQVFRSIDSGSVKGFPKDFDKAKAQHLLCGKNLKVDQSIHMAYIKAIRSAERFIYIENQYFLGSSYHWPSYKNAGANHLVPMEIALKIACKISENERFSVYIVIPMWPEGVPTSAAVQEILFWQGQTMSMMYKIIADALAKAGLSECYHPQDYLNFYCLGKREPQSSEIPSTPTHQSSENRALASVKKYRRFMIYVHAKGMIVDDGYVIIGSANINQRSMDGSRDTEIAMGAYQPKHTLAEKNSLPRGQVYGYRMSLWAEHLGIIDETFTEPHTLECVKHVNRIARKNWSSYVSEEGHHQMRGNLMQYPVHVSRNGKVSSLEGHEYFPDVGGKVLGAPNSLPDALTT >CAK8532557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:294411276:294411692:1 gene:gene-LATHSAT_LOCUS2249 transcript:rna-LATHSAT_LOCUS2249 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTPVPPPNFNQTAPRFQYQTFNTTFSQPTSTFTPNDVYYPTLQQTQPKTYPQPPPPPHSFQNFLLTDEQLTHMPNFNIDDLLDEQPRPSSRQTNPPTAHHNEDMSSDSSQSPRNERLGKGYKERRSTRCGTGGHLR >CAK8544036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659427346:659438205:-1 gene:gene-LATHSAT_LOCUS12746 transcript:rna-LATHSAT_LOCUS12746 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVSDDDDQVILVCTNPNDPIQTTHITNQEILLSTTDILVSDLTPFLTFRTIKIQAHRNRLIEQSLYFRGLLSGSFSESCLGSITINWNLSVFMQILKHMCGFPLDITTENILPLYEGALYFGVETLLLKCETWFSEVFSPKGFQIMQIQMEGLIQIWKFSLDHASDFILHLCIGYLARNFMWAKQNNLFGKIPYNLLLSSVKHPHLTVDSEMHLSDALLLWLESNMENLERLSEVEDKYNGILKQIRVDLLPLWFAAGKRNSFYFRQLAEESLGSIFRALNILPIGSLDISEYSDLQHLRIRVTEYSKKIDLSNCPQLTSATLLLSLIPASYFTDPMQRKIIEQFFISSGHPIRENYLFPQKLLDTLIFEAVQEVDISKCRRLLIEHAVDYFSQSFPCLKILKARYLLNIRTTDFLHLMEKCSLVSEIDLTVDITPLIPASVTVLSSSPAMVPLVPEKTSSLKYKAVETMSFHESRPLISYVTKLTLEGRTDVSDLSLQYISKFCVSLCHLNIKGCIYVTDIGISDLIGKCKNLNSIVVCDTSFGINSVQALCSAISDGGNFPSLHSRDKHLNSAVSNLQSLHMGGCIGISELSLQELMSQTQVLKSLCLRGTYLVDQALYNFKGSSLEMLDVSDTKISEAALSYVIHGNPSLKSLKARGCKNLLRGDSFIEKRDSSFSSLHEELQAVLGKKCRLEELEFGWGFSSFSFSALEPALKSLKTINVGLGGMLGEAALRQLPEICPQLETIILHFQVISDIIMTKLIMTLVKLQVLALCYCFGDISMTSFQFPMQNLRKLRLERVTPWMTNDDLVILSQNCRNLVELSLLGCPLLNSDSQQIISRAWPGLVSIHLEECGEITANGVSALLDCRALEDLLLRHNGPGLQRNFIFHAASEMPLLRKLSLDICDASEGDFDIPNYADRCFLSTLKIARCKSQRCAFHLPVPSFPGSRRRSVHVETLVLVWNSKNLTRTVVKERL >CAK8561072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:85723263:85725846:1 gene:gene-LATHSAT_LOCUS14694 transcript:rna-LATHSAT_LOCUS14694 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEENSDTKDVPGPHKRITNLMHQAGNRFCADCGTPEPKWVSSSLGVFICIKCSGIHRSLGVHISKVASLKLDEWSEEQVDALEKLGGNTLLNKKYEAFLPSNIKKPKPHTSIEDRSDYIRKKYEQLQFMECDDNSACAIVPSRRRSLSLAQSSSSCYTFHMENKKYDKTPTKHRIGNAFRNSWTRKDSEHKSSKKSSSLAGMVEFVGLIKVNVVKGTHLAVRDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDFMGEAEIDIQPLVLAAIAYEKSTANESVQLEKFVASKDNSLVRDGVISLDEGRIKQEISVRLQNVERGVLEIELECVPLTQ >CAK8540533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10181949:10183731:1 gene:gene-LATHSAT_LOCUS9531 transcript:rna-LATHSAT_LOCUS9531 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSREQPATVLRRIISSPGCHQGPACFDALSAKLIESAGFSYCITSGFSISATRLGLPDAGFISYGEIVDQGRLITQSVNIPVIGDGDNGYGNAMNVKRTVKGFIHAGFAGIILEDQVAPKACGHTRGRKVVSREEAVMKIKAAVDARSESGSDIVIVARSDARQGVSLEEALWRSNAYAEAGADVVFIDALGSVEEMKAFCQVAPHVPHLANMLEGGGKTPILNAQELEQVGYKLAVYPLSLMGVSIRAMQGALTAIKVGGIPPPESLPSFEEIKDIVGFNDYYKEEERYATTTDHQL >CAK8540630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13305644:13306957:-1 gene:gene-LATHSAT_LOCUS9616 transcript:rna-LATHSAT_LOCUS9616 gene_biotype:protein_coding transcript_biotype:protein_coding MGCANSKQKRCRHCNNPYSPVPRSYSMHVHHPPQSQDDDSYHAVALTSTTLGNLKLNSPSSFNGDFRFSNGKVEENGSFRFDRESLMQKLNKEREKEKNEKEELEIDEKVEDFSMGLIEAKTWSSMIEEKLTKVVPMTPTRTPPGEPETINTWELMEGLEDVSPFRSPNHFKSFSFDVNGVVVVDDCVGVGVGVHPPKPFWQEDESKLNPAISDFDPEVISSFKMSLQQISHDSPFHLRQTPIREDKLRFDDDGDDDDDLFVDAKVGGKEKVVLYFTSLRGVRKTYEDCCQVRMILRGLGMKIDERDVSMHLGFKEELRELLGDLYGGGRLPRVFVGGNYIGGVEEIEKMHEDGKLEKLLECCEKIEDSCGECENCGDIRFVPCETCFGSCKIYHEGRDQDDEEERYDDHDEDGESGFQRCPDCNENGLIRCSVCCY >CAK8562493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500854227:500856220:1 gene:gene-LATHSAT_LOCUS15985 transcript:rna-LATHSAT_LOCUS15985 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEQANENNREFLLEQLAQAFLELEAQTGSSEDKVQWVEIKQHFSDLETILNKKHEELQAKEREYEGKQLETNTILTQRKAAVTSKEQDLLDRLQELKDAAVASIVEARANHQTAPLEFVYDGENKDNKVSSSPENSPYKSGEKSEDVANDVTPLLEIKIFCEKMDGNGLLDYVVAHKKKVSVFREEISVALESAIDPARLVLDLLVGFYPANDTTQQKDKMGAALQGKRKSCILILEAMASLLARADPGADHLLNPETKQQAKAIADEWRLKLASADIDAANGNSLEAEAFLQLLSIFRIASEFGEEELCKLVLAVAQNRSAPELCRSIGLTHKVPALVEILINNEKQIAAVHFIQLFQLQESFPPVPLLRTYLKNQRRNSQVKADNVRDIATAKIDANAQELAALRVVIKCIEEYQFESEYPLDTLHKRVHQLEKAKTEKRRGGEFFKRPQQSKRPRPNERHFPLRSSGRGGGASGVVTGRQLPPVRTAYAGTADRYPHYAAVAHNYQVPGQAMYTPQPSAAPSNYGRYIGSSLQSSHQHYM >CAK8563535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:613926685:613927218:-1 gene:gene-LATHSAT_LOCUS16934 transcript:rna-LATHSAT_LOCUS16934 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAILRSHDCLQRRYLPKDSLSSSSSPIRSQKNCSPNPNYKSNVNQNRRRNRSPVSAFPVAKQHDRRKSGERTFDKVAPVNLVMGKVKILKRGEKLTPEISYDSGLVVKAMDLKLDQPEPVAMKKQIRVSDSNLKDAIYAGSTIFSSPSPSSVPVPLFLRKNGVATSDLRRLLRLD >CAK8578903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661257271:661258214:-1 gene:gene-LATHSAT_LOCUS30882 transcript:rna-LATHSAT_LOCUS30882 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMLPGLNREGEMSAMISALTHVICGDGGDDENRNMNHDMEPFVSAIESSTSLSYGDSSALKRRREDGGLFDNKHQVVECSSKWMNTITTERNQSEETIYEYRTDNNNVPNEDEPKRKYRGVRQRPWGKWAAEIRDPFKATRVWLGTFETAEDAAKAYDQASLRFRGNKAKLNFPENVTLKQQPIVDPPTTHTHSNSVILPHHSRTDSMVQNEALYNLQGSNKFYDYFHGQNIPMASSLQSSMSPSASYSSSSFSSPQTTSSFSSPQTTSSIPSVYSTQLPAWSSG >CAK8537799.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:435686669:435687181:1 gene:gene-LATHSAT_LOCUS7053 transcript:rna-LATHSAT_LOCUS7053 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEASKSSSHNVIQFDRERFYFMVSERINQHDGRPLGTFSVDLRRGWCDCERFQAFHLPYSHVIETCASIRQDHNMHIPDVFKILCVFKVYSESFLKISHHENWPTYEGFTLCHDETMRRNKKGCPNSTRIRTEMDDLEKEKRRCEICQEIGHMRRKCSNVADTSNRPV >CAK8567612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528818966:528821196:1 gene:gene-LATHSAT_LOCUS20649 transcript:rna-LATHSAT_LOCUS20649 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVNSFSLSSALPKIESRVGNYRSPSLSVNSQMMIQMRKEGGGRRIWRRRKMTKKDASLPHRMERTPFMEEQVRKIKDEGKLLLRDIDRLMLSEDNRYDFVNEIAAEANACVESNMDEYGGEKKALLHAFSNRMNEVGSYRPDAYAEPDPGKPGPHYRREFFA >CAK8575408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:112263955:112284329:-1 gene:gene-LATHSAT_LOCUS27677 transcript:rna-LATHSAT_LOCUS27677 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIISHTPNPFLLSSTPLTPTLQNSNFFTSGRKFKLRASSTPDPNGADGSSWSQSLERASRRFLVKFGDMVKKETGVDLRDRVVKAGEFVGGVKNVGSEFPTPSLSKFVDWNRFEYWKNVKNWEPRRIGALVLYIFVVAFACRGVYVAIRAPYLNRQRKELTEAYMEALIPEPSPTNIRRLKKGLWRKTMPKGLKMKKFIERPDGTLVHDSTYVGENAWEDDQESFAEYVKQTIEDDERFDSEEKEELTKDLAISGKDQTKGTWRERLHKWREILGKERLTEQLNSLNAKYAVEFDMKEVENSLRKNMAEKVTSIQRTRSLWIAKRWWRYRPKLPYNYFLDKLDSSEVAAVVFTENMKRLYVTMKEGFPLEYVVDIPLDPYLFEIITSSGVEVDLLQKQQIHYFLKVVIAFLPGILILWLIRESLTLLHITSNRFIYKKYNQLFDMAYADNFVMPVGDVGETKSMFKEVVLGGDVWDLLDELLIYMRNPMQFYEKDVKFVRGVLLSGPPGTGKTLFARTLAKQCGLPFVFASGAEFTDSEKSGAARINEIFSLARRNAPCFVFVDEIDAVAGRHTKKDPRRRATFEALISQLDGEKEKTGVDRLSLRQAVIFICATNRPDELDLEFIRPGRIDRRLFIDLPDEDQRVKIFDVHSSGKQLAEDVHFTKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKIFQQDIVDVLDKELLEGMGVLITEDEQKKCEERVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLMPGGKETAISVFYPREDVDQGYATFGYLKMQMVVAHGGRCAEHVVFGGDITDGGKDDLEKITKIAREMVISPQNRKLGLIALTKRVGLVNRPDTSDGDLIRYRWDDPQVIPTKMSAELSELFMRELTRYIEETEEVAMNALMDNKHILNLVAKELLEKSRITGLEVEEKMKTMSPVMFEDFIKPFQVNFEEDGTLPHNDRLQYEAPDIYPAPLHRC >CAK8537735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425682864:425684441:1 gene:gene-LATHSAT_LOCUS6996 transcript:rna-LATHSAT_LOCUS6996 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMNFSCGITKPNFQFSQTEMPILTFGHSKLTATLAFQLPCICVRKMRLITKLQCSVADMTYSSSTGGSRGPNRDSGEIQRRRGGPYVGYIRPSLYEMKKDKATLRDNVYEFLRGIGIVPDELDGLELPVTVDVMKERVDFLHNLGLTIEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTLTKFLQRYPQVLHASVVVDLVPVVNYLQGMDIKPADIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRELGGILTRYPEILGMRVGRVIKPFVEYLESLGIPRLAIARLIETQPYILGFDLDEKVKPNVKSLEEFNVRRTSIPSIIAQYPVIIGADLEPKLANKKSVLNSVLDLDPEDFGLIIEKMPQVVSLSNTPMLKHVDFLKECGFSAMQMKKMIVGCPQLLALNIDIMKLGFDYSQSVMERPLEDLVEFPAFFTYGLESTIKPRHNMVAKKGLKCSLAWMLNCSDEKFEQRMDYDTIDMDEMEMKPSFDMNSLTQPRNDESESDYEYSDSDDDE >CAK8564158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:654692517:654693400:1 gene:gene-LATHSAT_LOCUS17487 transcript:rna-LATHSAT_LOCUS17487 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEESGHADKVETISETLVKHGFNYSGKDFIYSGFHFTLEFLVLLQMEVFFK >CAK8578440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629443642:629446542:-1 gene:gene-LATHSAT_LOCUS30452 transcript:rna-LATHSAT_LOCUS30452 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFDFSTAGDMETPEEEEMVSPIHKVGEEKEIGKNGLKKKLVKEGEGWETPGNGDQVEVHYTGTLLDGTKFDSSRDRGTTFKFKLGQGEVIKGWDEGIKTMKKGENAIFTIPSELAYGESGSPPTIPSNATLRFDVELLSWTSVKDISKDGGILKNIITEGEKWENPKDLDEVFVKYEARLEDGTIISKSDGVEFTVQEGYFCPALAKAVKTMKKGEKVLLNVKPQYAFGESGKPSLGDDGAVPPNASLQIDLELVSWKTVSDISKDGKVLKKILKEGEGYERPNDGAVVQVKLIGKLEDGTVFLKKGHDDDQPFEFKIDEEQVIDGLDRAVKNMKQGEIALVVIQPEYAFGSSASPQELATVPPNSTVYYAVELVSFVKEKESWDMNTQEKIEAAGKKKEEGNALFKAGKHERASKRYEKAIKYIEYDSAFSDEEKQKAKLLKITCNLNDAACKLKLKDYKQTEKLCTKVLELDSRNVKALYRRAQAYIHLVDLDLAEMDIKKALEIDPNNRDVKIEYKILKDKVREYNKKDAQFYGNIFAKMNKVEQARTAEAKQEPVAMAVDSKA >CAK8540562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10868509:10869936:1 gene:gene-LATHSAT_LOCUS9558 transcript:rna-LATHSAT_LOCUS9558 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTGEEHMFIEQILIFPSTSTTRTRITTTTTTTSLPLTFLDLPFAGPKYVKRQFFYRFSHSPNHFYQTTLPSLKHSLSLTLQHFSPLAGNLHCPPPPHKPFILCTQNDALTLTVIESLGDFNNLSTNHHPKSVKDFSHLVPKLTQKIDIHDNETLIFSLMALQVTFFPNHGLCISITYCHVMDDYFCNHFMKSWSCIHKKGKLVDVKSLPCFDRQVLRDPRGLENVLLKGYFEQRKMWKNRILIESQTTEEEYVKTTIIFTKEQIERMKNWIFKKWKTNYPDIQAPKFLSKFVVTCGFVWATMVKTKNKNKNDEDEKDEYFCFAGDCREILGYPIPEGYFGNCLTLCFITAKRKDMKGEYGFLSVVKAIENAVTDMKNDPLKGAEEWDDNFKKVFMSGNHLLVNGSPKFNVYETDFEFGNPIKVEMMLHSSKDMSLAESGDKEGGLEVGLIFETHEVEHFYSFIEQGLESLKF >CAK8544201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671739250:671740050:1 gene:gene-LATHSAT_LOCUS12901 transcript:rna-LATHSAT_LOCUS12901 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLIFTTFRISNTALVTHYHTLKPNKPEVWFVNIVSTLFLRSTNSFDATFSRYVTNHITPSLTLQVIKRLNNPQLGFSFFQFTKQRLNLSYSFWTYNFLLRSLCQQHQHDSAKLVYHSMRSDGLLPDSRLLGFLVSSFAFADRFDVSKEFLCDALCNKVDVNVVVYNNFLNILVKCNRLDDAVCFFRELVRFNFDIDIFTFNILIRGFCAVGEIDEAFRFLNDMISFGCYPDVVSYNTLIHGLCRINEVDRAVRIKCWKTYLIE >CAK8543915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649070391:649072102:-1 gene:gene-LATHSAT_LOCUS12637 transcript:rna-LATHSAT_LOCUS12637 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGDSDNILLYISPDEVNDFVGEYTRAGLWENVIMLYYEFPQQAHTAIISVLDCTALHVAIDLYEEIVVKELVNAILTYHIEVSDQSQSERVEALEMENERGDTPLHFAASRGFARLCKYIIGGNNERIYLLRRTNRHGETPLFQAAVNWRKQTFAYLAQISKDIVTLQDLVRDDGDSILHTAIRGEYFDLAVIIVYYYDFLSTHLNKENSTPLKVLATRPSAFKSAGTLPLYKRILYHCTLVEPVDAERTMRWNLRKMEDRPDCNKTKFPTNYTALYDFVSIWLGATIFGKKIGKKKQLDPEDPPNRLGSDKYSVEYLPPNYVTFHQFFKSAYVHILGLSGVELKDIKNVKKKHQWSSQLLKALMKRPYAAFTGCGGRPTDIELDPDMYNVTQGGVRGFEDYVLESDNIV >CAK8531081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:78806913:78808673:-1 gene:gene-LATHSAT_LOCUS896 transcript:rna-LATHSAT_LOCUS896 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQAGSVSQMTYKNAVHFGDNRFKFVPLKIRDDEDVETMFSNHECCGFQHIDLYITFAQVQETQTSQVINPSIIPHEDVEEGDGEEENEAQVDDLYTTLFEEGNEVNIDDQCVPVENVFIPPTHMTTLPLSVDGTSFDWPRNPRFPAEDDIEVGYQFKNKADCVAAIKQYHMKHCVDYKVIDSDKKRYIIFCNNDSCKFRLVASYRKRTELWEICIMNPQPDLCLISDRHASIESAYNNAENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMANADALRWIDNIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLGSLFAERGGKWSAVLNSGQAFTDNCLKVMKEETTKSNTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCHEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMNNFDKLERKCSMCRQTGHNRTRCPNVGTSSRWSFY >CAK8537642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:414213487:414214752:1 gene:gene-LATHSAT_LOCUS6909 transcript:rna-LATHSAT_LOCUS6909 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPSINPFTVPFFPLFPIIIVTATLILIASAEDQDTKENTNIPSLQDDNGAADLSLQPPVSSKDPDQVVTKSVAFKPSAAAIVGVLATTFCLTFLLLLYMKNCDGVAANNTDQHGHGDLQDRENSGIGRTAVDMLPAFEFGSLRGQKQGLECAVCLTGFEDHDILRLLPKCKHAFHMECVDTWLNQHSSCPLCRYKVDPDDVFSSDEVLMSSDEESGRVMSNVINNNESSQQENESIGSRRVSFVTERMCEGSLISSHHSRKVKSESVIGQHRLHHQIVLSPTCSIPSDSGVPQRWSNFETDDMLYLTSDRIISCSSSSHDRRRSAVRRYHSIVDDEMENGFGGGGGRRRNERDLSGTVSDMTGMLRILNRDRRRERDRRGGGDGGREEQERRRESVASRWWAWISRSQLSHRSRSSFE >CAK8577791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588596132:588596395:-1 gene:gene-LATHSAT_LOCUS29862 transcript:rna-LATHSAT_LOCUS29862 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGVSQSKKEVAVRQRTIERTIITHAVVELEEEIEDGIAQRQISEPTTQGESLIEDQGPEKETKKSPETEEKVNAKPWVEVISGN >CAK8560575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26527955:26539288:-1 gene:gene-LATHSAT_LOCUS14237 transcript:rna-LATHSAT_LOCUS14237 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLRSFLPDDTDADFHVTHHRTVDEILNDCDSSSSSSSPSPPSSPSHHLPLPPNNKPTQFPQPVPVSISRVKPDKPPRPFSSLFGRVTPNAKPGAALAAAAAASRSVPTPHAAAIISRRKGSHSVRTESDDSDKGSELGEKFESVERKIESSESVSSSVGDDAEVAAIEVNGEDGVDIQVKNDSPVVSEGKSDLDECSVSPSLNDVENGHDHDHDQGLNLNSEPFDVDDDGFGEKTSLDYKGVEETEEEIVNGVGVSVSGGSVGGIENKVSGGGDDGGGGSGDDDGSSIGDVFELVEETLEELESLNLKKKKSESVKKPLDLAEELEKKNASTGLHLEEGAAAQPMRLEGVRRGSITLGYFDVDADNAITRAISSQTFRRDHGSAQVLVVHANFIAVGMTKGLIVLVPSKYSIHHADNTDGKMLMLGIQGDRSHAPVTSMSFNQQGDLLLAGYGDGHVTLWDTQKGVVVRVITGEHTAPVVHAFFLGQDPQNTRQFKAVTGDCKGLVLLHHISVVVLINRFNFKTQCLLDGQRTGLVLSASPLLLDEFGGGASSYSQGNTTVSTSSISSMVGGVVGGDAGWKLFNEASSLAEEGVVVFVTHQTALVVRLSPSLEVYAQLSRPNGIREGSMPYTAWKHMPQTCSSPEAVERVSLLAIAWERKVQVARLVKSELKVYGEWSLDSAAIGLAWLDDQMLVVLTSTGQLNLFAKDGTVIHQTSFGVIHQTNFGVDGVGGEELLSYHTHFINIYGNPEKAYHNSIAVRGTSIYVLGPTHLVVSRLLPWKERILVLRKAGDWMGALNMAMTLYDGHAHGVVDLPRTLDAIHEAIMPFLEELLTSYVDEVFSYISVAFCNQIGKPDQSNDSNSRSNSVHSEIKEQYTRVGGVAVEFCCHIKRTDILFDKIFSKFTDVHVQQRETFLELLEPYILKDMLGSLPPEIMQELVEYYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYSALVYLFNKGLDDFRAPLEELFAVLQNSEKENAKALGYRMLVYLKYCFIGLGFPPGRGTIPPTRLPSLRKELVEFLLEDSSAMRSRTASDSVSKQPYLNLYLLLELDTEATLDVLRCALMEDKISKSSSSSLDSADKPIEEENKENNVTETENILVQNTVDALIQITDMNVVPSDTTSSSGDDGLTKEWPSKDKGCLFEFIAYYVARQRAKVSKDMLCRILEYLTLDNHFLANVSSHSSTRKNREKQVLALLEVVPESDWDVPFLLDLCERAKYYQVCGLIHSIRHEYVAALDSYMKDVDEPVHAFSFINKAFSQLIGNDHAAIRSAVLSRIPELVELSREGAFHIVTRHFTDESSHIITKLQSHRRSLFLYLKTLIELHLFGTLDLSNLTKGVITNPPNGKQDKDLPQGIHDYLENISDFPKYMRENPSYVPDDLIELYLKLLCQYERGSVLKFLEMFDSYRVEHCLRLCQEYGIVDAAAFLLERVGDVGSALSLTLSDLNDKFVELDAAVEAVVLNHPKLDSSHMEVFNTVLRTEEVNVMYDLLHACIGLCQRNTPRLNPEESEAHWFKLLDSFSDPLMESYVEERAYERKNYFGVLTGSADPQLDKDTNKSSWKISKSQNGDLLRKLLSQFIKEIVEGMIGYVHLPAIMSKLLSDNGSQEFGYFKLTILGMLGTYGFEKRILDAAKSLIEDDTFYTMSLLKKGASHGFAPKSSVCCICNCLLTKNSVTTGIRIFNCGHAIHLHCEASEVESTSKGSSSGCPVCLPNQKPQKSRNKSIVTENGLVNRFSSRRQHPQYGSTIHHHDNDLSENTYGGQQQISRFEILSSLQKNQRFMQFENLPPLRLAPPAVYHEKVSKVAHYQTGESSNSSAIVEKNSRHKQNRELRVRGSSIRFPLKSSFFGKEKTNKR >CAK8560576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26527955:26539288:-1 gene:gene-LATHSAT_LOCUS14237 transcript:rna-LATHSAT_LOCUS14237-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLRSFLPDDTDADFHVTHHRTVDEILNDCDSSSSSSSPSPPSSPSHHLPLPPNNKPTQFPQPVPVSISRVKPDKPPRPFSSLFGRVTPNAKPGAALAAAAAASRSVPTPHAAAIISRRKGSHSVRTESDDSDKGSELGEKFESVERKIESSESVSSSVGDDAEVAAIEVNGEDGVDIQVKNDSPVVSEGKSDLDECSVSPSLNDVENGHDHDHDQGLNLNSEPFDVDDDGFGEKTSLDYKGVEETEEEIVNGVGVSVSGGSVGGIENKVSGGGDDGGGGSGDDDGSSIGDVFELVEETLEELESLNLKKKKSESVKKPLDLAEELEKKNASTGLHLEEGAAAQPMRLEGVRRGSITLGYFDVDADNAITRAISSQTFRRDHGSAQVLVVHANFIAVGMTKGLIVLVPSKYSIHHADNTDGKMLMLGIQGDRSHAPVTSMSFNQQGDLLLAGYGDGHVTLWDTQKGVVVRVITGEHTAPVVHAFFLGQDPQNTRQFKAVTGDCKGLVLLHHISVVVLINRFNFKTQCLLDGQRTGLVLSASPLLLDEFGGGASSYSQGNTTVSTSSISSMVGGVVGGDAGWKLFNEASSLAEEGVVVFVTHQTALVVRLSPSLEVYAQLSRPNGIREGSMPYTAWKHMPQTCSSPENMSAEAVERVSLLAIAWERKVQVARLVKSELKVYGEWSLDSAAIGLAWLDDQMLVVLTSTGQLNLFAKDGTVIHQTSFGVIHQTNFGVDGVGGEELLSYHTHFINIYGNPEKAYHNSIAVRGTSIYVLGPTHLVVSRLLPWKERILVLRKAGDWMGALNMAMTLYDGHAHGVVDLPRTLDAIHEAIMPFLEELLTSYVDEVFSYISVAFCNQIGKPDQSNDSNSRSNSVHSEIKEQYTRVGGVAVEFCCHIKRTDILFDKIFSKFTDVHVQQRETFLELLEPYILKDMLGSLPPEIMQELVEYYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYSALVYLFNKGLDDFRAPLEELFAVLQNSEKENAKALGYRMLVYLKYCFIGLGFPPGRGTIPPTRLPSLRKELVEFLLEDSSAMRSRTASDSVSKQPYLNLYLLLELDTEATLDVLRCALMEDKISKSSSSSLDSADKPIEEENKENNVTETENILVQNTVDALIQITDMNVVPSDTTSSSGDDGLTKEWPSKDKGCLFEFIAYYVARQRAKVSKDMLCRILEYLTLDNHFLANVSSHSSTRKNREKQVLALLEVVPESDWDVPFLLDLCERAKYYQVCGLIHSIRHEYVAALDSYMKDVDEPVHAFSFINKAFSQLIGNDHAAIRSAVLSRIPELVELSREGAFHIVTRHFTDESSHIITKLQSHRRSLFLYLKTLIELHLFGTLDLSNLTKGVITNPPNGKQDKDLPQGIHDYLENISDFPKYMRENPSYVPDDLIELYLKLLCQYERGSVLKFLEMFDSYRVEHCLRLCQEYGIVDAAAFLLERVGDVGSALSLTLSDLNDKFVELDAAVEAVVLNHPKLDSSHMEVFNTVLRTEEVNVMYDLLHACIGLCQRNTPRLNPEESEAHWFKLLDSFSDPLMESYVEERAYERKNYFGVLTGSADPQLDKDTNKSSWKISKSQNGDLLRKLLSQFIKEIVEGMIGYVHLPAIMSKLLSDNGSQEFGYFKLTILGMLGTYGFEKRILDAAKSLIEDDTFYTMSLLKKGASHGFAPKSSVCCICNCLLTKNSVTTGIRIFNCGHAIHLHCEASEVESTSKGSSSGCPVCLPNQKPQKSRNKSIVTENGLVNRFSSRRQHPQYGSTIHHHDNDLSENTYGGQQQISRFEILSSLQKNQRFMQFENLPPLRLAPPAVYHEKVSKVAHYQTGESSNSSAIVEKNSRHKQNRELRVRGSSIRFPLKSSFFGKEKTNKR >CAK8534312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714908161:714909477:-1 gene:gene-LATHSAT_LOCUS3865 transcript:rna-LATHSAT_LOCUS3865 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWGRRKNNKKNKGGKPNMEVVIPNQFKCPITLDLMKDPVTLSTGITYDRESVERWFDEGNITCPLTNLVVRNFDMIPNHSLRIMIQDWCVENRQNGVERIPTPRIPVSPTDVSELVFRVKECARGLDQYGCVELVQKMEKWSNESERNKKCIVENGAISGLALAFEAFANDSIERNLIVLEVILSSLNWMFPLQLEAQKSLGSKASLHCMVWFLKHQDVKGKEKAIIALKEILSFGDEKHVEALLEIEGVNELLIEFINKRISPIITKASLRIVWYLVSSNSSFNEKLKFSFVELGLVSSILNILIDSEKSVCEKAFTILDSLLSCDFGREKAYENDLTIPLLVKKILRVSPLTTEYSVSSIWKLCKFEEKNYEGKALIEALQVGAFQKLLLVLQVGCSDETKEKATELLKLMNPYRAELEECIDSDFKNLKRSY >CAK8575344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:90022979:90025764:1 gene:gene-LATHSAT_LOCUS27614 transcript:rna-LATHSAT_LOCUS27614 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNERPTKRMKTRVTANLYDFLSFPSAGDFSDAVPFRYRVHRFLSDHARVAFPPSLFPFLMTWQILFRVGEVVDGSDLSSDIVTLDIVEEDVTCSRSTVYCDQCRVVGWSGHPVCRKRFHFIIRSGSDAVEAYQRPCSKCGDLLQLSEARCKTCNLDICVDDVEDWVYLQIEDNTHLLHGVVHSNGYGHLLTLNGREGGSMLLSGSNLIGFWDRLCAAISVRKVSVMDLSKKFGLDYRLLHAITNGHSWYGNWGYEFGTGCYGLTKEAYKKAVDTLSNIPLSSFSFQGRGPRNPVQTVISLYQSLAETELLTIKDLFSFLLKLVHNFRNPRSAKTSQHESTGPSNILVAWTRNEVEDVQQALIKVLLASSACNKAKWATRRTLKGAISMRVRSPELVDYSLKHLPGKLAANGMVVCSRCNPTSSAVEFRLGPFTNGFTSNSSYPSEEQVISDLTFLFNIIIQPEKKFRYRPKIMKKTIADSARTLLDCKQFMKNYENDHVITESPTDIKLWCHVELSDQPKEDQPTPPPELIVLPIEATVDDLKNEVTSAFQEVYAMYKRFQAEELLGYGKISNLYTLKFLFGANSSVRILGKCPTKHGLSRFRKERGTEEWKVDCTCGAKDDDGEKMLACDTCGVWQHTRCAGIGSPAPSKFECRRCVSSYSVKTKKYPVSSSSETCKLKTSCRDEAAAVTCNINVNFGVR >CAK8579725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719175268:719177548:-1 gene:gene-LATHSAT_LOCUS31649 transcript:rna-LATHSAT_LOCUS31649 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKCFTHNLLLNLFIFFTIPCSLASLPIPDAATVQTVATNSSSQGTIPAFPEQADIARCPLSLSDEHFNGIKNACSIKSSKHGGDSYDKLQHSRCCPVLAAWLYSAYSATALGTGRVSSGLEHGHATASYDMPLLPDDSETCESDLGRALKVRGIELFQPNETCDVVYCYCGIRLHPLSCSESFKVTHSGNLVGDENVKRLERNCLSSSNDVNGFPGLGGCSKCLHSLYLLRKKTSNSSKTGDRTTKIHNKDCELMGLTWLLAKNRTAYIHTVSLVLRALMLSPDGSDPQSCTLNSDGMPLAVDSSEMYDQSSSTNLRSPIFFSLLVLCFVLAMHFIILST >CAK8578578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639882547:639883000:-1 gene:gene-LATHSAT_LOCUS30576 transcript:rna-LATHSAT_LOCUS30576 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFVEISRSSSYSRRRRIQFYCGLDSPLATAWTSENPGRRFYGCGLFKLQGIKGCFFYWYNDKIPERSKEVVNSFLKKVNKLKKKDSLTKKSDDDMKKKNKLLILSWFFIIMLITKGFV >CAK8579591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:711101431:711108655:1 gene:gene-LATHSAT_LOCUS31525 transcript:rna-LATHSAT_LOCUS31525 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVSHIQTTPFDGQKPGTSGLRKKVKVFVQPHYLENFVQASFNALTEEKVRGATLVVSGDGRYYSEQAIQIITKMAAANGVRRIWIGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEGITNKIYENTTTIKEYLIAPDLPNVDINTVGVANFTGPEGPFDVEVFDSASDYIKLMKSIFDFESIRKLLTSPKFSFCYDALHGVAGAYAKRIFVDELGAQENSLINCVPKEDFGGGHPDPNLTYAKELVARMGLGKSEPQGEVPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLENKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAHLVKLQSSLPEVNEIIKGASSDVSKVVHGDEFEYNDPVDGSISSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPSKIGRLSHEALAPLVEAALKLSKMEEFTGRSAPTVIT >CAK8531053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:76209480:76216800:-1 gene:gene-LATHSAT_LOCUS869 transcript:rna-LATHSAT_LOCUS869 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLFSPTYSPIFPTHASSRRVVKASVSVDSPSPQSTQVKLNKYSSRITEPKSQGASQAILYGVGLSEDDMKKPQVGVSSVWYEGNTCNMHLLRLSEVVKEGVAEAGMIPFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDGNISIPGCDKNMPGTIIAMGRLNRPSIMVYGGTIKPGHFKGDTFDIVSAFQIYGEYVSGSISDEHRQDVIRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLRMDLKPRDIITRKSLRNAMVIVMALGGSTNAVLHLIAIAKSVGVDLTLDDFQKVSDEVPFIADLKPSGKYVMEDVHKIGGTPAVIRYLLEQGLLDGDCLTVTGKTLAENAELFPPLSKGQEIIRPIENPIKKTAHIQILYGNVAPQGSVAKITGKEGLYFSGPALVFEGEEAMISAISEDPSRFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIQNGDVINIDVQNKRIDVLVTDEELQARRGKWVAPPYKANQGVLYKYIKNVKPASSGCVTDE >CAK8570758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:109245895:109247091:1 gene:gene-LATHSAT_LOCUS23486 transcript:rna-LATHSAT_LOCUS23486 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKTPHHFAPGYRTFFDHFVEDDFRWRPYLELEDEDPTESDMWSSTTFIISFTIVEMHHSDRVKLQFRIKQDIPGPPTCMDRYHKSAANDQWKFDDWRDHNSQEQQHWMNRRRTVLQGNVMDAECKPSREYMSWYRSVTNLYLSQNRYLWDPRNQLTSSNFQNTSSMTYDTQPPIFNTPQPIFNTPQQIFNTPQQIFNTPFNPNPTQPPYTPSQHYNQPYTSFNPNPFNIQQQNPTSSYPQYNQFSFSQPTQQEHNYQTPQPQVPFYPSQNFTLSQDQHPYTPYMTNATPPINPPSWSNEGTRLSYGSAAAIPCDDDFNDDLVAQFMNPNNDAGPSTQTQNAEVDRRRSTRNVQAPACGTHQRLRRPGQN >CAK8569803.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11729443:11729810:-1 gene:gene-LATHSAT_LOCUS22612 transcript:rna-LATHSAT_LOCUS22612 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHIACRIWFGQERGSKKELKVVGHGLKLAQRVPLQLPREMERWISRSGLASLQKPVYQR >CAK8563648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:622578392:622581472:1 gene:gene-LATHSAT_LOCUS17036 transcript:rna-LATHSAT_LOCUS17036 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTLDNDRHQIRNICILAHVDHGKTTLADQLIATAGSGMVHPKVAGKVRFMDFLDEEQRRAITMKSSSISLHYNQHTVNLIDSPGHIDFCGEVSTAARLSDGALLLVDAVEGVHIQTHAVLRQCWIERLSPCLVLNKMDRLITELKLTPSEAYARLLRIVHEVNGIVSAYNSQKYLSDVDSLLAGGTAAGGEVMEDYDDVEDVFQPQKGNVVFACALDGWGFGIHEFAEIYASKLGASVSALQKALWGPRYFNAKTKMIVGKKGIGGGGKAKPMFVQFVLEPLWQVYQGALGGDKGLVEKVIKSFNLQIPARELQNRDSKVVLQAVMSRWLPLSDAILSMVVKCMPDPVAAQGSRISRLIPQREVGSENGIDRRVLEEAEFVRKSVEGCDWRGEVPCVAFVAKMVAIPVKMLPPPQVGEFVGSYGEEGEGESDECFLAFARIFSGVLSVGQRVFVISALYDPLKGESMQKHIQEAELKSMYLMMGQGLKVVKSAKAGDVVAIRGLGQYILKSATLSSTRNCWPFSSMAFQVAPILRVAIEPSDPADMGALLKGLRLLNRADPFVEVTVSARGEHVLSAAGEVHLERCIKDLKDRFAKVSLEVSPPLVSYKETIEGEVSNMLENLKVLSKNLDYVEKTTPNGRCVVRVQVMKLLPSLTKVLDESADLLGDILGIKSGQTVKSLETQRTNILENENPAEVIKKRIMEAIESDILCRIENDEDHAEKCRLKWLKLLRRIWALGPSYTGTNVLFTPDIKAESTDSYVLIRGSSQLSERLGFVADSGNSNSVSETSSNESQVLYMDAERLESNVITGFQLATSAGPLCDEPMWGLAFVIEARISSSTGHHDESETHQQSDQYGIFAGQVIATVKDACRTAVLKNKPRLVEAMYFCELNTPTEYLGPMYGVLSRRRARILKEEMQEGSPFFTVHAYVPVSESFGFTDELRSKTSGAASALLVLSHWEALLEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDTVRRRKGLPVEEKVVQHGTKQRTLARKV >CAK8544993.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717066936:717068634:-1 gene:gene-LATHSAT_LOCUS13629 transcript:rna-LATHSAT_LOCUS13629 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDSDEEEEHELIHQHNHTKPPPFFTTNLKFFKFQKRYLFAILPLSIIVFFFFAPDPHSLFSLTFSSPHQSNHSQLRALYLLRQQNSLLLRTLNSTSPQLDNLKSALIHQISLNSQIQQALLNPHGIEDFDDRCRTLRRNVSHTKSIKWKPKANKYLLALCVSEHLICLQKHMFIAALLNRVLIIPNSKLVDYDYDRVLDIDHINQCIGTKVVIPFEQEFSKNHHHHHLTDTSVKLLCYFALPHPCFVDQERLRKKMKLLGLSMSKPELVWAEEDTKKPTKRTVEDVVSKFSSDEPVVAIGDVYYADMEWMSGPFAHRCNNLIQPTRLILLTAQRFIQTFLGRNFVALHFPRNRFLKFCNDANQTTCFYPIPQAADCISRVVGRANAPVIYLSSDAAESDIRLLQSLAVANGRSVPLVKRPPRNSAEKWDALLYRHHIDGDPQVEGMLEKTICAMSSVFIGASGSTFTRDILQLRKDWGSASLCDEYLCKDEESNVVTENE >CAK8569035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:665523158:665523628:1 gene:gene-LATHSAT_LOCUS21932 transcript:rna-LATHSAT_LOCUS21932 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGNNSYASTRSSGSEKDLNNLMDERKNKRKQSNRESAKRSRMRKQKHVDDMMNQVSELTKDNNEIVNKINITTQHCLNVEAENSILRAQIGELSQRFQSLNNIIELINTNNTSNGSYDSTRDCYETSAQNMMDLIMYNNNQPITTSADVFKW >CAK8534685.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754941998:754942273:-1 gene:gene-LATHSAT_LOCUS4207 transcript:rna-LATHSAT_LOCUS4207 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDNSDDLFWDMIEEEFMDNTDEDLIKSMLEKEHQSGSSSRLKRRTMIDRSREEGHDHLFNDYFSENPVYTDVQFRRRFRMHRHVFL >CAK8532313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246035414:246037704:1 gene:gene-LATHSAT_LOCUS2034 transcript:rna-LATHSAT_LOCUS2034-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHVADQSLDTSDFKNPKLGWIIAFLFVVSFLGLFSVVPLRKVMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKLLGRFFSLSFLWGFFQWFYTASDGCGFQAFPSLGLKAFDNKFYFDFSALYIGVGMICPYIINISVLVGGILSWGVMWPLIKTREGHWYKVGLGDSNLQGIQGYRVFIAIAMILGDGLYNFVKVLSHTLLGLYNKIRNKQKAGALPIADQDSTSSPEPELSYDDQRRKQLFLKDQIPIWFAVGGYVAIASISTATLPHIFPQLKWYYILVIYLIAPTLAFCNAYGNGLTDWSLASTYGKLAIFTIGAWAGASHGGVLASLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFVSQIIGTTMGCVISPCVFWIFYKAFPDLGTHKSQYPSPNAIVFRNMAILGVQGFGSLPNNCLLLCYIFFGAAIVINLTKDLVGKVGRFIPLPMAMAIPFYLGPYFAIDMCVGSLILFVWEKVDKAKADAFAPAVASGLICGDGIWTLPASILALFGVKPPICMKFLSRATNSRVDTFLGN >CAK8532311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246019468:246037704:1 gene:gene-LATHSAT_LOCUS2034 transcript:rna-LATHSAT_LOCUS2034 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNENEDRVIDHGFEFEQHHDNQQQQQHQKGTRLSREDEASVEKVFKHLLVPTWQNQLTIRAFVVSFFLSVLFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSDMLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLLGMSKHVADQSLDTSDFKNPKLGWIIAFLFVVSFLGLFSVVPLRKVMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKLLGRFFSLSFLWGFFQWFYTASDGCGFQAFPSLGLKAFDNKFYFDFSALYIGVGMICPYIINISVLVGGILSWGVMWPLIKTREGHWYKVGLGDSNLQGIQGYRVFIAIAMILGDGLYNFVKVLSHTLLGLYNKIRNKQKAGALPIADQDSTSSPEPELSYDDQRRKQLFLKDQIPIWFAVGGYVAIASISTATLPHIFPQLKWYYILVIYLIAPTLAFCNAYGNGLTDWSLASTYGKLAIFTIGAWAGASHGGVLASLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFVSQIIGTTMGCVISPCVFWIFYKAFPDLGTHKSQYPSPNAIVFRNMAILGVQGFGSLPNNCLLLCYIFFGAAIVINLTKDLVGKVGRFIPLPMAMAIPFYLGPYFAIDMCVGSLILFVWEKVDKAKADAFAPAVASGLICGDGIWTLPASILALFGVKPPICMKFLSRATNSRVDTFLGN >CAK8532312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:246019468:246019950:1 gene:gene-LATHSAT_LOCUS2034 transcript:rna-LATHSAT_LOCUS2034-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNENEDRVIDHGFEFEQHHDNQQQQQHQKGTRLSREDEASVEKVFKHLLVPTWQNQLTIRAFVVSFFLSVLFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSDMLKQPFTRQENTVIQTCVVASSGIAFSGKLLFSSSLLNLYEYALLL >CAK8579157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:680497580:680501502:-1 gene:gene-LATHSAT_LOCUS31125 transcript:rna-LATHSAT_LOCUS31125 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWMIREGRTASLSASWNLNLIRNMGGGPRTFPGGVSKWKWKRMHEKRAKEKQRNLLEQEKQLYQARIRSHIRSTLSPPSSSSPSSTTHNPISPHQHIKALADRFMKDGAQDLWNDHDGPITQPQPQIQDQTSQQIDLRKLAHQSSNQNLTNLNNYSQIRGYRSVPEVRVLAERKRVSTEKPEKRKIWRKNGSSTESESEAEDESRNQGYYSNMGSIASLGKYDGKRERRVMPKTFDDKTDFSEQVQLIKYELNKKKLSQSEENQAQEQENVLTQTRFEECAISPLTIKALSSSGYVHMTRVQETSLPICLEGVDVMVKAKTGTGKTAAFLLPAIETVLKAMSSNTFHRALPISVLILCPTRELASQIAAEAKVLLKYHDGIGVQTLVGGVRFKVDQKRLESDPCQILVAAPGRLLDHIENKSGISVRLMGLQMLVLDEADHLLDLGFRKDIEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHKYVDTVGMGCVETPVQVKQSYLIAPHESHFQIVHHILKEHVSQTPDYKVIVFCITGMVTSLTYNLLREMKLNVREIHSRKPQLYRTRVSEEFKESKQMILVSSDVSSRGMNYPDVTLVIQVGVPTDREQYIHRLGRTGREGKGGEGILLIAPWEEYFLNEIKDLPLEKFPLPDLDPQAQLKIEQSMAKIDNDIKDAAYHAWLGYYNSIREIGREKSTVAELANRFSESIGLQRPPSLFRKTALKMGLKDIPGIRIRR >CAK8575483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:133391212:133391640:-1 gene:gene-LATHSAT_LOCUS27742 transcript:rna-LATHSAT_LOCUS27742 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQWNPYYNYLPTPTGDSSQNFQMCPRPSLPIGDGFQNLQSFMFQSPPFSTSNNSQYTRPFMFQPPPTIDIVESPNVESESPIGSITDSQVPGNSTQDGLENITFTEEGERSTQKKQRLRFLEEEDSCLFRHGLTFPKIQ >CAK8575560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:169382493:169385469:-1 gene:gene-LATHSAT_LOCUS27815 transcript:rna-LATHSAT_LOCUS27815 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNAGYHSGRMFSDKKWIIPFFASLLVSASLVLSVILGEFSSFGEEEQLPLVDVISLNGLEDNSSGYFVESDLERSLNGSVVVKMKVPKFAYLISGTKGDSRRMLRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPIFREVENVRVMSQSNLVTYKGPKMIACTLQAIAILLKESSEWDWFINLSASDYPLVTQDDMLHVFSNLSRDLNFIEHTHISGWKLNQRARPIIIDPAFYLSKKSDLAVTSQKRTLPTSFKLFTGSAWVVLTRSYVEYCIWGWDNFPRTMLMYYTNFVSSPVGYFHTVICNTEKFRHTAISHDLHYIARDSPPKQHPISLSMKKDFDKMAKSNAPFARKFGKDDTVLDKIDKELLGRTHRFSPGAWCIGTSDGGADPCSLRGNDTVLRPGPGAEKLHQLKTLQVNSVCDNGVHYRLDNAKCT >CAK8540472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8461599:8462491:1 gene:gene-LATHSAT_LOCUS9475 transcript:rna-LATHSAT_LOCUS9475 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGLFAAGHEATATGIMWTIIYLTNHPHFLKKAKEFSLEEVSSMKHPVELLKEVKKVSFTAIVHVFMGSCNHNVVKKIESLFEDLMNGLNSLPINVPGFTFHKALKAQEKIVKILEPVVSERRMKIKNGQHMGEKKDFMDILLDMKDVNGRKMKDGDISDLLIGLLAAGHESTATGIMWALRFSS >CAK8541384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:147053255:147053602:-1 gene:gene-LATHSAT_LOCUS10309 transcript:rna-LATHSAT_LOCUS10309 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHLGELGTVAAGANALTNEKLETKKDSENAHKPEIEDKIGVAAVVGSGGGVTSHEHHEKKEANEEDEEARRKMLRNIFR >CAK8533789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:660332440:660336079:1 gene:gene-LATHSAT_LOCUS3386 transcript:rna-LATHSAT_LOCUS3386 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTIITLLAISTSYLLLLTTPTKNPTYYHSLFISNSLSDNVSISNNLEILTHRPHTAGSEANNEAAAYVVSVLTSCNILSRVTSYDVALTYPVSRSLVLTKSSSESSSVSFNLSQQVYEGDPYADVADEVVPTFHAYAKSGTAVGSVVYANYGRVEDYLKLKEIGINVSNTVVLAKYGKIFRGDIVKNAYKEGAIGVVIYSDKKDYGGGGGTKWFPDEKWLPPSGVQVGSVYGGTGDPTTPGWASSGACERISKDEVEKSGDVPLIPSLPVSGEDGEKIIRSIGGPVAEDDWQGSKDAPTYRVGPGPGILNLSYTGQDVIARIQNVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTATLLEVAQRLGKLQRRGWKPRRTIILCNWDAEEYGLIGSTEWVEENREILTSRAVAYLNVDCGIAGPGFHVRATPQLDELIKKAIKQVKDPNNSSRSIYDSLTSTGSSPQFGRLGGGGSDYKAFLQHVGIPATDMSFGEGYPVYHSLYDDFIWMKEFGDPMFQRHVAAASVWGLVALWLADEEFLPFNYLSYAKELKLNMEELKNEVSNNDINLSPMYKSIMELEKAATKINNQIKELEASENWRTWKMEHLKVRELNDRLMMAERAFTDRDGLSGMQWHKHLIYGPSKHDRYGSQSFPGIGDAIQMAKKLRTVESWRQVQHEVWRVSRVIRHASLVLSGQLT >CAK8539697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522275758:522276357:1 gene:gene-LATHSAT_LOCUS8773 transcript:rna-LATHSAT_LOCUS8773 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVHLAYEAYLGGPVQYKWMYPFERFMGDSKRLVKNKVKVEGSICAHYLHRETSHFCSHYFNHLMLTPRVIRNEFDVNKRRQFTLSVFSLPGRPSGKENVHWLTQKELQSAHVHVLINRVEVRPYLEAFNASYFQSTGEQATIGHIQASFPAWFKDQLSCIVAPTQEILH >CAK8535303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836395142:836395360:-1 gene:gene-LATHSAT_LOCUS4773 transcript:rna-LATHSAT_LOCUS4773 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSIGDMVKCWEAMNDNLKLQLGKIRASFQKSFYKVEHAHISPFYNNLCGSVSRAALRCIAKELTRVDYV >CAK8537443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:360028266:360030269:-1 gene:gene-LATHSAT_LOCUS6735 transcript:rna-LATHSAT_LOCUS6735 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSINKMRDFISWIIWGQDGGSSTFLSRSIDLAFILFKHDQYCAAEQLLMMAEAHLLKEKTSQSVQDADDGWCIRHHLLGCCLLAQVQYGLHAAQKDKKISDAIRCFFRSASGNGASGALQSLSVDVGIPHLGFSGCTSIAAWKLQYYQWAMQLFERYNISEGACQFALAALEQVDEALHMKEEKCTDNSANESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRCFIIVLYEQGAIKILCSNKLPLIGLVEKVEQELAWKAERSDISAKPNLYKLLYAFQLHQHNWRREANYIYMYSARLKTEAALKDNQGSSMMLQERLNAVSAAVNALHLVHPAYAWIDSLTDRNSLTNECYPTDNEAETQKGQSAIDIEKLENEFVLTSEEYMLSLVNVKWTFFGKPGALSDLVDLLVQNNLYDMAFTILLRFFKGSGLKRELERVLSEMAIKCCLDKVESTWIEEHGHLLTSSKLEMVVHGSLVTVPTAPQIDRNSRWATLKLYIEKYKDFHGRLPVIVAGTLLRADPKIELPLWLVQLFKEGQKERMWGMTGRESNPASLFQLYVNYGRYAEATYLLLEYIESFASMRPADIVKRKKPFALWFPYTTIEQLLYQLEESIRMGHMVDHCDKLKKMLHGSLLNHLKILKVDSDDTISATS >CAK8534425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:725299401:725300029:-1 gene:gene-LATHSAT_LOCUS3972 transcript:rna-LATHSAT_LOCUS3972 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEKKNEGPIASRLRSGFRKTIEGNNIKTEKVTQKSNDSKIKDSSSKILNTSEEMKDNKPTSSKQRASKNPIKQRPRHYIQWEGIEDTSVHWNCDFCGLDLANKEEQEPSNQDGDEDELQYYLLSSQGGEEDLRSTVLPEVSVLPCSHVFHGTCLPAFLTHLTDPSCPVCDPATTSL >CAK8570333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:37496899:37501346:1 gene:gene-LATHSAT_LOCUS23089 transcript:rna-LATHSAT_LOCUS23089 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLVCQWTVIRSLLAILQWWAFNVTVIIINKWIFQKLDFKFPLSVSCIHFICSAIGAYVVIKVLKLKPLISVDPQDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLTSITELSFNMLGFCAALFGCLATSTKTILAEALLHGYKFDSINTVYYMAPFATLIMVLPAMLLEGNGILEWLSIHPYPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYMNAVGCAITLVGCTFYGYVRHLLSQQPSVPGTPRTPRSKMELLPLVNDKLDDKV >CAK8575204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52106834:52108057:-1 gene:gene-LATHSAT_LOCUS27488 transcript:rna-LATHSAT_LOCUS27488 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNQEPLDRSSSSVVEFDSVLEELEAAEGNIRNRIRHLEEITSRARQSRRLPRMRMNSPIRITNFTGAATTDDDVVRGEEEREEQNDVEKGGKRKSAHLVAKALGVEEIDEGKVEESSGSFYDCNICLDVARDPVLTCCGHLFCWPCFYQLSYVYSKAKECPVCKGEVTESGVIPIYGNGNVGGDCQMEMKEAGLRVPPRPKAPRIESIRQKLITQGASSSSIVQSIRRFHNRIGGFGEQAQLESPNNRGDRSNGTLVRSRTQTDINQHADTHQVSRLLEQGASSFSSLSSALNSAMDSAERLVQDLESYIHGHNIGGSRELNHRALVAIDRSESRAQDVVNVANSAAAAARNVDNIAFSGSEIQTTDRNIHISPLDPSSSNSRRRNGDSRHVSNEPRRRRRLR >CAK8578409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:627054256:627055317:1 gene:gene-LATHSAT_LOCUS30422 transcript:rna-LATHSAT_LOCUS30422 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQQEKTPVQTPAILKYILETSCYTKEHEQLKELREATVKKFEGSWEHLMSVPIDEAQFLSILLKTMNAKKTLEIGVFTGYSLLATALALPTDGKITAIDVDREAYEVGLPFIQKAGVEHKIEFILGDGLSVLHDLVDGKQEESFDFVFVDAAKDQYIKYHEQALKLMRKGGIVAYDNTLWAGSVAMSEDEEMGDHIKPYRKPLIEFNKFIASDSRIESIILSVGDGLTLCRKV >CAK8539649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519718308:519719160:1 gene:gene-LATHSAT_LOCUS8727 transcript:rna-LATHSAT_LOCUS8727 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCILRPCIQWIDTPESQGYATVFVAKFFGRADLMSFISNVPQPQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLGPIPELLCLDDASEGEVTCNDARRIRDPNSSFRLVSSGGKRKRLSEAAKIQTQTTTDLNLRLTPCFLQNALTNGYRKEVRRQGTPSLNSEESVTTTTTCLESGNGDEYVHDGDRKVLNLFV >CAK8530407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19372169:19372492:-1 gene:gene-LATHSAT_LOCUS274 transcript:rna-LATHSAT_LOCUS274 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVENITSNVVMETKGLNISQLPSLVIEKHNSSLKSKGLSNGDKCTQYGNSRHVHNRCFKLHRYLEWWHELQAKKKRDITTLKNGTGKVVVVTIESQLSNIPPPQ >CAK8568492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:611718571:611720154:1 gene:gene-LATHSAT_LOCUS21444 transcript:rna-LATHSAT_LOCUS21444 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLSPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVTITSSSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >CAK8535628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870785380:870786759:1 gene:gene-LATHSAT_LOCUS5071 transcript:rna-LATHSAT_LOCUS5071 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPIHLSSSTTRLPRFRFTPFQTHSSFHNNNFITQNPNPNPVPVHIPAVDHTTVHQTLTSFNNDWKRALEFFNWVETEFKFHHSTETYNLTLDILGKFFEFQQCWNLIHKMRNNPHSFPNHTTFRVMFKRYISAHCIDDAVTTFQRLNEFNLKDETSFHNLIDALCEYKHVLEAQDLVFSKKKTLNLAWNVDGVVSSNNTKIYNIVLRGWYKLGWWSKCWEFWDEMDKLGVQKDLHSYSIYMDILSKGGKPWKAVKLFKEMKRKGIKLDVVVYNIVIRAIGVSQGVDFSIRLFREMKDLGFSPTVVTYNTIIRLLCDNYRYKEALTLLRTMRSNGCSPNAVSYQCFFSCLEKPKFIIELFDGMIESGVRPTMDTYVMLLKKFARWGFLRLVFLVWNRMEELGCSPDASAYNVLIDALVEKGLIDMARKYDEEMLAKGLSPKPRKELGTKALGGELVEE >CAK8536379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:936488555:936499487:1 gene:gene-LATHSAT_LOCUS5754 transcript:rna-LATHSAT_LOCUS5754 gene_biotype:protein_coding transcript_biotype:protein_coding MADMEKKFRMLFFCSVILSIFKETVADLHQPLSKVAVHDTVFALDLDAFIKATPNQLGFKGQNTEWVTLKYSNPNPTIDDWIGVFSPGNFSASTCPAENKHVNPPFLCSAPIKFQYANFSSNSYKNTGKGTLKLQLINQRSDFSFALFTGGLTNPKLVAVSNKISFINPNAPVYPRLAQGKTWDEITVTWTSGYGISDAEPFVEWGRKEGKLVQSPAGTLTFNRNTMCGAPARTVGWRDPGYIHTSFLKELWPNKEYTYKLGHRLVNGTTVWSQEYQFKSSPYPGQNSVQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTSQIIQDLKDIDVVFHIGDLCYANGYLSQWDQFTAQIEPIASRVPYMTASGNHERDWPESGSFYGTLDSGGECGVLAQTMFYVPAENREKFWYSVDYGMFRFCIAHTELDWRKGTEQYEFIEKCLASADRQKQPWLIFLAHRVLGYSSADFYVAEGTFEEPMGREDLQSLWQKYKVDIAMYGHVHNYERTCPIYQNICTDQEKHNYKGLLNGTIHVVVGGGGAALADFAPINTKWSIFKDHDFGFVKLTAFDHSNLLLEYKKSSDGQVYDSFKISRDYRDILACTVDSCQSTTLAS >CAK8564872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:14851013:14851963:-1 gene:gene-LATHSAT_LOCUS18128 transcript:rna-LATHSAT_LOCUS18128 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNSNIEMLRVQALSILCTPRPFHDFTCLSPIQDKLDPFCGIKDQISDDGSFGFDNFAFIQYNPDSYCCTKNQINNKIILDFEVFAPVQEKSESRRSTNQQIQKSDEENNEEQEFSFACTDVQGMHIFAEDIFESGKIRALLPTFDQSLQFFPTTNNNASHPRPSLKNIFIKNPINQQSTLSGISKETQYEILHNITTKASSESYEKSNSSGSSNLWRFRQNLYLRSNSDHKDSFVILNPSVPKKTIKPKVENVIVKKKKGEQPQNTLTAYEKLYVKNKTRNGSNKRRSFLPYKNQLFGFFTNMYGLSRNLHPF >CAK8543873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645787568:645790456:1 gene:gene-LATHSAT_LOCUS12598 transcript:rna-LATHSAT_LOCUS12598 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYEHSDVYQWGLNLLDGDPGYNLNYYDNIVQHDNGGIYNGHYFHSNYDNECNHVENDEIIARALQEEFSQLEITESSRYLQTDENQFHPVNETEPAYDWHDSSMVNYCSEGHDYAQEGVGDVESSCSSPPETEEYSLEITDNYPLDDEVGKRLSQMIPIPHVPKINGEIPSIDEATSDHQRLLDRLQLYDFVENKVHGDGNCQFRALSDQLYHAPEHHKFVRRQVVSQLKSNPEIYDGYVPMEYGDYLDKMSKSGEWGDHVTLQAAADSYGVRIFVMTSFKDTCCIEILPHFEKPKGVIFLSFWAEVHYNSIYPQGDIPSEESRKKKRWWSFGSRN >CAK8543412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606468593:606468814:-1 gene:gene-LATHSAT_LOCUS12169 transcript:rna-LATHSAT_LOCUS12169 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFAQDQGTTFNLEHAWRRLKDEAKWRVVEESIGSSAKITKTYASGASSENPDTTRSYEFNSSSPMES >CAK8535629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:870846508:870847110:1 gene:gene-LATHSAT_LOCUS5072 transcript:rna-LATHSAT_LOCUS5072 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNLRSDQGAEPNLDTSNWRDRVAPESRQRIVNRVMDTLKRHLPLSGQEGLNQLWMIAQRFEEKNFTTATSEHEYLRKISLKMLAMETKFQGTMATNITSSLEMLTLETISQGSMANNIN >CAK8566504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:429760007:429760402:-1 gene:gene-LATHSAT_LOCUS19629 transcript:rna-LATHSAT_LOCUS19629 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLKEADLNTKLFHLSATTRSKFKSINMILNEDNQGVNDQVRLCDVAKIYFNKLLAAKEGVYDAVMTHIQLKINMEDNTKLLAPFTKAELYEAMKHMHQDKSSRLDGFNPTFYHNFWEVCGDDLMTTTTR >CAK8572982.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:574361739:574363302:-1 gene:gene-LATHSAT_LOCUS25479 transcript:rna-LATHSAT_LOCUS25479 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMIAIGFEGSANKIGVGIVTLDGTILSNPRHTYITPPGQGFLPRETAQHHFQHVLPLVKAALETAQVTPQDIDCICYTKGPGMGAPLQVSAIVVRVLSMLWKKPIVGVNHCVAHIEMGRVVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEQFIDLPYVVKGMDVSFSGILSYIEATANEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRTMCSERGGRLFATDDRYCIDNGAMIAYTGLLEFAHGASTALEDSTFTQRFRTDEVKAIWREANLAKSNSLVDKSI >CAK8533806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661466303:661466986:-1 gene:gene-LATHSAT_LOCUS3402 transcript:rna-LATHSAT_LOCUS3402 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGEASYLSQNFQRQLLENPSFIHAYQMDIEEQITNVFWCDANMILDYGYFDDVVSFDTTYCTNFANRPLALFSGFNHYKGLIIFGAALLYDETIESFKWLFDTFLQAHNNKKSKIVFTDQDQAMAKAFAKVMLETHHGLCTWHLSQNGIKHLGNLMKGGSYFLRDFKKCMYDYDIEKYFETAWIDLINEYNVHDNNWIKSVYAIKKMYFMLYEWSINTWYAEYPS >CAK8540038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536656564:536658366:-1 gene:gene-LATHSAT_LOCUS9077 transcript:rna-LATHSAT_LOCUS9077 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTRQLFPFLSRPITTTNIAPSSSSSPFLSQDKKTTPPFLTHLHFQSLLTPSYCQTTRHLLQIQALLITSSVYRNPFLARTLLSRASNLCDVSFTFLVFQHFNNPLDTFCVNTVINSYCNSYVPHEAVGFYFRSLRIGLFPNSYTFVPLIASCSNMDCVESGGMCHGQAVKNGVDFVVPVQNSLVHMYGSCGDVGLARVVFDEMFSRDLVSWNSMIDGYVKVGDLSGAHQLFDVMPERNLVTWNSMISGFLKGRNPGYALKLFREMGRLGLRGNVRSMVCVVTACGRSGRLKEGKSVHGSIIRLFVRSNLILDTALVDMYCKCRRVEVASEVFERMGSRNLVSWNAMILGHCIRGSPEDGLSLFDLMVGMERVKGEVEVDESSSAADKGLVRFLPDEITFIGILCACAREELLSEGRSYFKQMVDVYGVKPNFAHFWCMANLLANVGLVEEAEECLKNMAKFDADMSHESLLWASLLGLCRFKRDVYLGEQIAELLIDTDPKNLSYYQFLLIIYAVAAQWENVSRVQKLMKERKLGIIPGSSLVDMKHIVHKFKVSNKRHEGIEAVNKMMNELSHRLRLPCADSSQSSVGQKELRRR >CAK8561919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:410834605:410834919:1 gene:gene-LATHSAT_LOCUS15466 transcript:rna-LATHSAT_LOCUS15466 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLIFSYQLSPTFCCLIVTLLAFTLFMKHQRIIHNYEKPKLPPGPTPWPIVGNIPEMLANRTTFRWIQKIMNDMNKNIACIRLGRVHVILVSDSTISRELCVK >CAK8564815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11213577:11215100:1 gene:gene-LATHSAT_LOCUS18080 transcript:rna-LATHSAT_LOCUS18080 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLANPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKEDSMKWFQVKYEGVILNKSQAI >CAK8574960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18357400:18357918:1 gene:gene-LATHSAT_LOCUS27257 transcript:rna-LATHSAT_LOCUS27257 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKGGLGIKDLVCFNKSLLPKCLWTCINDTSALWKGLLVSKYGNLNKRLMVKREPGNMLMESQWWRDLMRLRDLIEKGSFMRQVSYKIKDGCLLSFWHACWLGKEPLRCAYHDQYNVSVMKWDSVMDIGNWVGKVWIWNLHFGDQDLMGNLLEEFTELLNFLMEVRLHRV >CAK8566746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:458991666:458992238:-1 gene:gene-LATHSAT_LOCUS19857 transcript:rna-LATHSAT_LOCUS19857 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDSSENIAPPAPMVDNVDDYEYLYVTEALSAYPNCYTTEWDDMFESARAPVCEDLSTYFFNPLKPQLPLNVLTPHVRQNISDGNNIDSSEFVVDESNDNHVMVNIDSSKLVVDESNNNPAIVDPLPVDAVETSNGTVRVIDLSVKEKKLGRPKNTRRAADESDDVDASSSPSMSQEVNQAPQLPKSI >CAK8568890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651636793:651637687:-1 gene:gene-LATHSAT_LOCUS21799 transcript:rna-LATHSAT_LOCUS21799 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMTQYWLTCFPFPKTVLQRIESICRIFLWIGGFEGSRKAPVAWKQICSPRSHGGLNVVDLEVWNKATILKLLWNVSEKEDSLWVKWVQTYAQSQGGLSKHERSGRIQDRGVFRMSNLYAKLHDCGQPVEWRNLVYGNNARPRANFMLWLACHGRLATKDRLHNVWTKILRWAQINHTPGNWHSKLKWLIQHTKGKGVRVAVIKMAISKTIYEIWQARNKSIFGKKPEITIIGRKVIDTLVYKG >CAK8563268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:588273764:588276242:1 gene:gene-LATHSAT_LOCUS16696 transcript:rna-LATHSAT_LOCUS16696 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRRLSYWICLLLAFSFILATVLFIIQHNHHQDPLQYSLLERNARVEHFAKESLNFTEEILSVTSFSRQLAQQMILAKAYVVIAKEHNNLHLAWQLSSKIRSCQLLLSKAAMTGEPVTLEEAEPIIKSLTALIFKAQDIHYDIATTIVTMKSHIQALEERANAATVQSAVFGQLAAEALPKSLHCLNVKLVTDWLKVPSLQKLSDERKNSPRLTDNNLYHFCLFSDNVLATSVVINSTVYNADHPKQLVFHIVTNGINYGAMQAWFLSNDFKGATVEVQNIEEFRWLNASYSPVVKQLLNPDSRAFYFGLYQDVNAEPKIRNPKYLYLLNHLRFYIPEIYPQLEKIVFLDDDLVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSSSIISSRFDPQACAWAFGMNVFDLVAWRKTNVTARYHYWQEQNADRTLWKLGTLPPALLSFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKVAIGRYKPLWDKYINQSLPHLQNCVLS >CAK8544073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:661208532:661208921:-1 gene:gene-LATHSAT_LOCUS12781 transcript:rna-LATHSAT_LOCUS12781 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQQINMMKIMKTDRKVLEYKTPIEVEEVLPLPLPASPKGVRKVRFANPEVQDVHKSSVVRIKLVISKQKLQDMLDNGGISVDKMLSLAHGEKGMDGEDLCKESDDDDSLWEWKPVLKNIPEVI >CAK8535811.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883340849:883341601:1 gene:gene-LATHSAT_LOCUS5233 transcript:rna-LATHSAT_LOCUS5233 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIFICIVLYDRVVIPLASKIRGKPVRISPKTRMGIGLFFSFLYFVTAATFESIRRKKAIKEGYLNDPDGVLKMSAMWLAPQLCLSGIASAFNGIGQNEFFYTEFPTTMSSVSASLSGLAAAVGNLVSSFVFSTIENFTSRGGKQGWITDNINKGRFDKYYWVIAGLNALNFVYYLVCSWTYGPTVDKVSKETEENGTKEEDSTELKNVNPLFDEKVISDETRSMEKELTELKNSEENGFKEEELTKD >CAK8562022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:426726496:426727910:1 gene:gene-LATHSAT_LOCUS15558 transcript:rna-LATHSAT_LOCUS15558 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKVLVVGGTGYIGRRIVKASLEQGHETYVIQRPELGLQIEKLQRLLSFKKQGAHLIEASFSDHKSLVDAIKKVDVVISAISGVHIRSHSIGLQLKLVDAIKEAGNVKRFLPSEFGLDPARMGDALEPGRVTFDDKMAVRKAIEEANIPFTYISANLFAGYFAGSLSQMGSFVPPRDKVHLFGDGKLKAVFLDEYDVATYTIKTIDDPRTLNKTLYLRPQENILSQGELIGIWEKLIGKELEKTYIPPEGFLTTLKGLDYKLQVGIGHFYHIFYEGCLTNFEIGEDGEEASELYPEVNYTRMDEYLKIYV >CAK8564346.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669143358:669144469:1 gene:gene-LATHSAT_LOCUS17661 transcript:rna-LATHSAT_LOCUS17661 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEPKFPPQSQKTQPGKEHLMEPLPQSINPNHKPSNKLRGKVALVTGGDSGIGRAVSLIFAKEGATVAFTYVKGHEERDKDETLKMLLEAKTSDAQEPLAIAADIGYDDNCKQVIELVVQEYGHIDILVNNAAEQHLKDSVEEITEEQLERVFRTNIFSNFFLVRHALKHMKEGGSIINSSSVNAYIGKAETLDYTSTKGAIVAFTRGLAQQLVKKGIRVNAVAPGPVWTPIQPASMPGEMIENLGSEVPMKRAAQPSEIAPCYLFLASLQDSSYFTGQVLHPNGGLIVNA >CAK8563113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:574558666:574570084:-1 gene:gene-LATHSAT_LOCUS16556 transcript:rna-LATHSAT_LOCUS16556 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSPSSLPADADDRSSPSPELDSKNHSIADVPKEETSAAPLPPTTRSNRPSRACTIRAASRNYSSQPVIERKPKKEQRREEPEESPSPPQCSNSKIVTPLVETPSESQLSRWNLRSMWELASVLNFLNLFRPLLNISLEFSAEEFETALLNPNDTLFYIHMPLLKAIPPVTRMALTRDTWITVLCRKLRDWWHWVAEGDLPIVASHGTEIEVYKSLDPAVRIIILKALCDIRVEQEDIRNYIDNSIKHGAPLSTFRKERIGGDSNGISYWYEDDPVIGHRLYREIRKTEVIQPRKGRSRGSQILSSTSYQWEAVATNFDEFQDVSEKLFSSKNRTETSVGKKLKIDMLPEIEKVHKKKERLLKKQHREALLLDNYLVADALSSGRALRDRKPVTYTFDDYDRSINEAIKVTKRKQSSPEPMPRREPVPKPEVLTNGNHGPSHAAAQEQNFTISSPESLDSDDDDDDDEYDNTDNLDRSGRRRRKPKRYSENEFVEEVSDYEPEFESDDDIVGEVVYDEEYLKKRKQRRKHSSSSEGDEEYQWDDDNLEDEEEEEEDEDDDSASMSEDSDKPRKAKRLPGRTRRETKLRSVGEIQSSPRRSKRATRNRINYKQYELSDSETEFTKPEKSNASADHSDPSENENENDNANDNENGEYMMESEDSDSVEDGDQEMREMKVDEPVSVPYPAVEENEQNHPPEKSSSPPGQEEVEGTGKRRFLDLNELAPSPGFDDGPNTIMKDEDNDY >CAK8571332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:382999845:383003162:-1 gene:gene-LATHSAT_LOCUS24004 transcript:rna-LATHSAT_LOCUS24004 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGNCSLQQGLTTDAANIVKQAITLAKRRGHAQVTPLHVANTMLSVTNGLLRTACLQSHSHPLQCKALELCFNVALNRLPATNSSPMLGSHHSQSQYPSFANALVAAFKRAQAHQRRGSIENQQQPLLTVKIELEQLIISILDDPSVSRVMREAGFNSTQVKTNVEQAVSLENTSSIKENNNQTLSSQSQEKVANKALVSDSTRVEDINSVVDNLKMNQRKSVVVVGECVTNLEGVVKGVMEKFDKGEVDESLKGVKFISLSLCDFGNVSRVEVEEKVEELKGLAKKNFHGKGYVLYLGDLRYLFDYKKQQGIRGYYSSIDHMIMEIGKLVNGVGENGKFWLMCIATFQAYMRCKNGQPSLETIWNLHPITIPAGTLKFSLITNSGLANESSNEKAENRTSWLLHERVGDDQQIIQKQQETAFFAEPSTKNESEVGRSLQRMNSCKSDSSSSSLPAWLQQYKNENKGIIYNDQNCVQVGELCKKWNNSMCGSIQKQTYHFDDKILTLSSASPSSSTSGFSYEQQQYPNVSQRDRELDRDRHFWISQGGSKFNEPSNPNSTSSSELMEMEQLNKFKELNLENMRTLCNALEKKVPWQKDIIPEITSTVLQCRSGLIKRKGNMRNIYHDPKEETWLFFQGLDLEGKEKIAKELAKLVFGSYNNFTSISLSSFASTRGESSDESRNKRSRDEASCSFIERFGDAMSNNPHRVFLVEDIEQVDYCSQVGFKRAIEKGRVLDSNGEEVGFCDAIIILSCESFTSRSRVCSPIQRSSQEDKDDDDDVNVVALEETSSYVSLDLNISIDDNYEEDDRSVDEIGLLESVDRNILFKIQEL >CAK8571333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:382999845:383003162:-1 gene:gene-LATHSAT_LOCUS24004 transcript:rna-LATHSAT_LOCUS24004-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGNCSLQQGLTTDAANIVKQAITLAKRRGHAQVTPLHVANTMLSVTNGLLRTACLQSHSHPLQCKALELCFNVALNRLPATNSSPMLGSHHSQSQYPSFANALVAAFKRAQAHQRRGSIENQQQPLLTVKIELEQLIISILDDPSVSRVMREAGFNSTQVKTNVEQAVSLENTSSIKENNNQTLSSQSQEKVANKALVSDSTRVEDINSVVDNLKMNQRKSVVVVGECVTNLEGVVKGVMEKFDKGEVDESLKGVKFISLSLCDFGNVSRVEVEEKVEELKGLAKKNFHGKGYVLYLGDLRYLFDYKKQQGIRGYYSSIDHMIMEIGKLVNGVGENGKFWLMCIATFQAYMRCKNGQPSLETIWNLHPITIPAGTLKFSLITNSGLANESSNEKAENRTSWLLHERVGDDQQIIQKQQETAFFAEPSTKNESEVGRSLQRMNSCKSDSSSSSLPAWLQQYKNENKGIIYNDQENCVQVGELCKKWNNSMCGSIQKQTYHFDDKILTLSSASPSSSTSGFSYEQQQYPNVSQRDRELDRDRHFWISQGGSKFNEPSNPNSTSSSELMEMEQLNKFKELNLENMRTLCNALEKKVPWQKDIIPEITSTVLQCRSGLIKRKGNMRNIYHDPKEETWLFFQGLDLEGKEKIAKELAKLVFGSYNNFTSISLSSFASTRGESSDESRNKRSRDEASCSFIERFGDAMSNNPHRVFLVEDIEQVDYCSQVGFKRAIEKGRVLDSNGEEVGFCDAIIILSCESFTSRSRVCSPIQRSSQEDKDDDDDVNVVALEETSSYVSLDLNISIDDNYEEDDRSVDEIGLLESVDRNILFKIQEL >CAK8536624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2787120:2787923:-1 gene:gene-LATHSAT_LOCUS5972 transcript:rna-LATHSAT_LOCUS5972 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYYNNSSYGGQGKQHRPGQNHSNMELFSSAKVLSEAAQSVYNHEPGKMDKAKVAVAASNILGAAGVDESKGFGKYVEKSAVYLNKHDSSYGNTGGKTGHSGGGFGGSGAYGNKITEHSESGYGRYGGSYNRNNSESGYGGGRYGGSGGYGNNNESRYGGERYGASGYGGGRSDGGYGNNNESGYGVRHSGYGASGGYGAAADNRYESGYGEGVQSSGGYGEQNYSGGGYGYGGNSGGRYSDERSVRGYGRDGGDSRSGGGYGYVY >CAK8563151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:578427024:578434325:1 gene:gene-LATHSAT_LOCUS16588 transcript:rna-LATHSAT_LOCUS16588 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSSFWTQANALLRKSVTFQKRNVKTNLRLILFPLILCILLVVIQNLIDSQFNKPEFKCGCACRDNSTTCSESEKVCGAQYSNRNQIVNCAIPKPPEWPPVLQLPDEFCKENGTCPVKMLFTANNQSFGQIVSDNMFPSAPTVNYSDITASLVSNVVGSEENPEVTNFNEPAFTSDLPLFYVQNQCPQNNPELTFPYQIAGTTLEKEIKCAQGTRFWRKSSTDINNEIYNGYQRDNTRQIDDVASAFDFLDSNEHGFNITVWYKSYFNPGSGFRPSTLLRIPRSVNLISNAYLQFLRGPGTKMLFEFVKEMPKSGTPFRVEVASLLGSLFFTWVVLQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMISYGYFLALSVIYMLCFVIFGSVLGLKFFTLNDYSIQFVFYFIYINLQISLAFLLSSFFSNVKTATVTAYIAVFGTGLLGGFLFQFFLQDSSFPRGWIICMELYPGFALYRGLYEFAQSATIASNSETYRMRWQDLSDSSSGMKEVLIIMFAEWILVLFVAYYIDQVLSTGSGKSPLFFLKRFQKKTISSFSNPGIQRQGSKVLAQMEKQDIIQEREKVEQLLLEPTVNHAIVCNDLKKFYPRKDGNPGKFAVRELFLAVPQGECFGMLGPNGAGKTSFISMMIGLTKPTSGAAYVEGLDIRAHMDEIYTSMGVCPQHDLLWESLTGREHLLFYGRLKNLKGSVLTQAVEESLKSLNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRKCLWSVIKLAKRDRAIILTTHSMEEAEALCDRLGFFVDGSLQCIGNPKELKGRYGGTYVFTMTTSSDHEKDVENMVQQLTPNANKIYHLSGTQKFELPKEDVKIANVFQAVELAKRKFTVVAWGLADTTLEDVFIKVAREAQAFDTLS >CAK8536233.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920096355:920096711:1 gene:gene-LATHSAT_LOCUS5622 transcript:rna-LATHSAT_LOCUS5622 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKKFKRMLRSRKSIYPDETCSGSYVKLSSDTSRKKLKDSHKKTPNGCVCVDVGPERQRFVIKIKIFNHPLFKTLLEGVENEYGYRNDGPLWLPCDVEFFCETLVKIESVFPKSHT >CAK8537520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:383287399:383287841:-1 gene:gene-LATHSAT_LOCUS6809 transcript:rna-LATHSAT_LOCUS6809 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTSSFSPNVAIYCIGFVNRNHWVQVNVKEGFPLPPVTVDWKKFRTPAATSWMIGFAGRLQHWQQLTPILPTHFEL >CAK8542244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492675194:492675625:-1 gene:gene-LATHSAT_LOCUS11097 transcript:rna-LATHSAT_LOCUS11097 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKNIRGPRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWARPDSVKLLNIFPIVLVMNNTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTETFCWVLDKLKQLFVKKEMCPQVILTDRDLALISFGLIQIQLSC >CAK8560129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8238686:8239501:1 gene:gene-LATHSAT_LOCUS13837 transcript:rna-LATHSAT_LOCUS13837 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKIIELKTVTTKFVKSSSTPKIVRITFTDKDATDSSSEEEQEHNFVQRVITKKIVNEIRFQTSSTHSNKKIYKKKHEDGENYNVNGECGLKSVDHKFRGVRRRPWGRWAAEIRDPRLGRRRWLGTYDTAEEAALVYDRAAIEYRGADAVTNIIKPPQKHDDQPKQQKRKSKNVDKYNNGSSSSSCVTETWSERINVQEWIREITGEEYLEESFGCFCSYNEHVVPLPTMVSNESSSCMVDNIPFHLEEDFESCEWEVDNYFNDPLIET >CAK8560621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29959370:29962386:1 gene:gene-LATHSAT_LOCUS14282 transcript:rna-LATHSAT_LOCUS14282 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPQMIPMMPSFPQTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPTLPPQMAPHPAMQQQGFFMQHPQAAAMAQQQGMFPQKMPMQFSGNPHQMQDQQQQQQQLHQQAMQGQMGHRPGGINNGMHPMHNVHNEAALGGGSGQNDGRGGGSKQDASETGTAGGDGQGSSAATAATHNSGDASEEAK >CAK8543351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602459138:602459820:-1 gene:gene-LATHSAT_LOCUS12113 transcript:rna-LATHSAT_LOCUS12113 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAKKAGETIKETAANIGASAKSGMEKTKATVQEKTEKMSARDPIQKETATHKKEAKKNQAELDKQMAREHNAAVKESTTAAQMGQAHHNTGTGTTTYPTTGAHQAGTYSTTGNYGQPTGTHEMSAMPGHHSDHVTQGVVGSHTIGTHRDGTATAHNTRVGENPNATGYTTGGNYK >CAK8576206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425628848:425630264:1 gene:gene-LATHSAT_LOCUS28412 transcript:rna-LATHSAT_LOCUS28412 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLASIVLIFSFIMALVLLSSCPAMSQEVEDEREFNYDEESDKGPQNWGNIKPEWFMCKNGTKQSPIDLLNQRVQIVSNLGTLQINYKPSYATLKNRGHDIMLELNSNSSYLQINETQYILKQFHWHSPSEHTINGKRFDLELHLVHQTPSGKIAVIGLLYKIGIPDLFLALLKKDLEAISESSGAEREIGIVVPNLITFDRRQYYRYIGSLTTPPCSQNVTWTIITEVKSVSKEQIELLRVAVHDESNSNARPLQPINGRLVQLNKFGPRV >CAK8576032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:388045904:388048236:-1 gene:gene-LATHSAT_LOCUS28250 transcript:rna-LATHSAT_LOCUS28250 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKHPVDGFSAGLVDEGNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPSVKFTSDIWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDSRDEFRKKVGRCVRKSQEML >CAK8538282.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:474909998:474911669:-1 gene:gene-LATHSAT_LOCUS7497 transcript:rna-LATHSAT_LOCUS7497 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEYRNVAPRIWQECAGSSFTVPKLHSKVYYFPFGHLEHSPVTPTAQTLSLLRPFILCTVSCVDFLAHSETDQICAKLLLTPVVLPVEATNEGDDHVVLPVEATNEGDDHVVLPVEATNEGDDHVVSCAKTLSNSDANNGGAFSVPRACAKSIFPPLDLTTPLPSQELSVTDVCGVVWTFRHVYRGNPKRFLFTTGWSAFVDKKQLVCGDTLVFIKNSAVNIFVGIRRKNMFAAANKIAEKTVINAIELAGKNTPFEVVCYPRVDDSDFVVGDKVVEDAMKIHWYPGMRVTRTVKNENSSKGCTTLHGTISAISHSSTNPWRMLLVEWNEFDISEKLKHVSPWQVEPDFSIPQELQLFAPIKRLRTARESTLLTDKERVVSPISMEPLHQTPLNFDFRQNPLKDTSLDKSSMEGSNNVSIQLSITNHKSHDHNIFNSSGIRNSNTEEVRPDYIKLFGQIVRPSKNSIDFYEKLMVVRSENEVEDPVKSVEKK >CAK8560402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17550697:17551317:1 gene:gene-LATHSAT_LOCUS14076 transcript:rna-LATHSAT_LOCUS14076 gene_biotype:protein_coding transcript_biotype:protein_coding MITNTIIVVLFTTLVFGCLTKPLVRYLLPHHVTRIDTRHEESSGSRSPVGELDLPLLSFHESIETNISRAKESLSMLIESPMYTIHYYWRKFDDTYMRPIFGGPCSNPDPLEC >CAK8566279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399350005:399350391:-1 gene:gene-LATHSAT_LOCUS19422 transcript:rna-LATHSAT_LOCUS19422 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPREGDQRSRSRSQPSCSWEGACEGEGPNFVSSNGALAFFLILCNTSSSTYVSRITIHFMKNLRLLAAESLSPLVVVLFSSLSYGTKASWLSRIFCFLCILHLSFKNPVLAVLSSANYWWSFLQL >CAK8579546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706776333:706776932:1 gene:gene-LATHSAT_LOCUS31484 transcript:rna-LATHSAT_LOCUS31484 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTSISKYKKLFQKTLTNFKSLFSPTYQKIPKTPLHDHSSYNDLEKFYTDFTQKWDSENGKTKKRSKNKVMISSSTTKEEEEKEEEVHTHLHNHNEMEKEFEEKKNKRKLSHQRGKKQDSSSSMLNSKSIVEKKMKELEMLEMNNMDYVLDIEEVLHYYTRLTCPSYVEIVDKFFMEMYSEFLDCPNTPPTVNSKPM >CAK8541395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:151058633:151074949:-1 gene:gene-LATHSAT_LOCUS10320 transcript:rna-LATHSAT_LOCUS10320 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILVDCVRKNLCHFMHSNAIFLSHRLCAEFPSETNLQLLAGCYLQSNQAHSAYHILKGTQMAQSRYLFAISCFQMDLLNEAEAALCPANEPNAEVPNGSAGHHLLGLIYRYTDRRKSAIHHFKQALSMDPLMWAAYEELCILGAAEEATAFFGEAAALCIQKQYLNCSTSPKLHSSSADCNLVDTRHCVSEDTSPRQSKLKQGLKDPGNHHGAPILGGTSGQPISSGLSNISFYNTPSPMVTQLSGVAPPPLCRNVQPNGSNMSTQSAENSPKSTVNSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSLRLSGDASVNPNPNTTAVSGNGTSYTSKHLGGSKLSSMAFRSVTVRKGQSWANENIGEGIHNDILDDSRLNITSTSSSLATIEAKSYEPDAESILVGGQVLSASKVITGASEILTLLRVLGEGFRLACLYRSQDALETYLKLPYKHYNTGWVLSQVGKVYCEFDYLEADRAFGLARQITPYNLEAMDVYSTVLYHLKEDMKLSYLAQELVHSDRLAPQSWCAMGNCYSLQKDHETALKTFQRAAQLNPRFAYAHTLCGHEYVALEDFENGIRCYQSALRVDARHYNAWYGLGMVYLRQEKFEFSEHHFQMAFQINPRSSVILSYLGTALHALKRSDEALVVMEKAILADKKNPVPMYQKANILMSLEKFDEALEVLEELKEYAPRESSVYALMGRIYKRRNMHERAMLHYGIALDLKPSATDAAAIKAAIEKLHVPDEMDDNL >CAK8565904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:349034076:349036283:-1 gene:gene-LATHSAT_LOCUS19079 transcript:rna-LATHSAT_LOCUS19079 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVLHLSHNVLSHSPSSSQTLASAISSPSSKPRTKFVPCSALFRSRNFVIHRSRSLDRYPLWTTRKRSTIRRAFSASLDSFSDEEFAKKIEDLAFRFQISSENNAIMDLESFEESLKASLTSSSSGSSAVNFAADFEPPWNGSHGGEEIMPAIIERKANSVELPFSLRIIKKKLRLKEEFREVGESARCSVKKAFSSMVFIIRELQCFTLQMREALLYEDLQGILERVQREMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMSSNNAFAAVAPPVETESIVEFRDNDFQKFDSSVIKSFSVSNGNNTASVDGGSGRGGKFKPVASGFDGDGRFDKTGTGFSNGGVYKTGENEPESVLEKEDEEEETKLWENMVEEASRMEVDLDREAIKRFVSPVSARIESDDYAEYLRTELVYQTALSQEPNNGLLLANYAQFLYIVAHEFERAEEYFKKAIEVEPPDAESFNKYAMFLWKVKNDLWAAEETYLEAISAEPSNTYYAANYAHFLWNTGGEDTCFPLDNSQEV >CAK8530621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36035944:36037331:1 gene:gene-LATHSAT_LOCUS473 transcript:rna-LATHSAT_LOCUS473 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKSKPTGRRQFSTQEDLLAGTTTRPRTFRQKEAENEEEPEQVSGDESGEQSDEESRKKKGTQGIIEIENPNLVKPKNLKARDVDVEKTTELSRREREEIEKQKAHERYMRLQEQGKTDQSKKDLERLALIRQQRAEAAKKRGEEKAAKELKKAEVRNAA >CAK8570609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:71619047:71619582:-1 gene:gene-LATHSAT_LOCUS23346 transcript:rna-LATHSAT_LOCUS23346 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEVADTSDFQQTIWPRAATAAERLWSPIQFTTGRNGDLTPLSRLQYFRCLLNRRGVPAAPVTNSYARTPPAGPGSCFEQ >CAK8576640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:499794957:499798451:-1 gene:gene-LATHSAT_LOCUS28814 transcript:rna-LATHSAT_LOCUS28814 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAVLKQFGGGGYGGSDNMVTDERELHLHQKLEKLYISTRAGKHYQRDLVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGGTLCRAALSYARARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQDAEAQAIEVSKRQAKVREMPGNSENAMKLEAAEAKLQDLKTNMTILGKEAAAALAAVEAQQQRLTLQRLIAMVEAERAYHQVVIQTLDQLEGEMISERQRIEAPPTPSVDNNMPPPPPYEEVNDVYASQTHNGSTDSMGYFLGEVLFPYSAVSEVELNLSVGDYIVIRKVTNNGWAEGECKGRAGWFPFSYIERRERVLASKVSEVF >CAK8539935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531704420:531708492:1 gene:gene-LATHSAT_LOCUS8985 transcript:rna-LATHSAT_LOCUS8985-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVALNFTSDVEKNMVHGHAQVVSITLFVAVLCLCLLIGHLLEESRWVNESIVAIFVGCIAGLVILLITKGKSSRILTFNEEVFFIYLLPPIIFNAGFQVKKKQFFHNFLTIMLFGVVGVFISTSIITGGSWWLFPKLGFSYPTARDYLALGVIFSSTDTVCTLQVLNQEATPLLYSLVFGEGVVNDATSVVLFNAVQQLDVSRLNGKALRVIGDFLYLFAASTALGVIAGLVTAYILKALSFGKHSSVREISMMMLMAYLSYMLAELLELSGILTVFFCGISMSHYAWHNVTEISRTTTRHVFATMSFIAETFIFLYVGMDALDMEKWRMTHLRFGNSLGIYSCLIFLILLGRAAFIFPLSTLVNYMNRRVEETPSITLQHQIIIWWAGLMRGAVSIALAFKQFTFSGVTSDPVKATIITNTIIVVLFTTLVFGCLTKPLVRYLLPHHVSRIDTRHEESSGSRSPVGELDLPLLSFHESTETNISRAKESLSMLIESPVYTIHYYWRKFDDAYMRPIFGGPCSNPNPSEC >CAK8539934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531704408:531708492:1 gene:gene-LATHSAT_LOCUS8985 transcript:rna-LATHSAT_LOCUS8985 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMSTMVALNFTSDVEKNMVHGHAQVVSITLFVAVLCLCLLIGHLLEESRWVNESIVAIFVGCIAGLVILLITKGKSSRILTFNEEVFFIYLLPPIIFNAGFQVKKKQFFHNFLTIMLFGVVGVFISTSIITGGSWWLFPKLGFSYPTARDYLALGVIFSSTDTVCTLQVLNQEATPLLYSLVFGEGVVNDATSVVLFNAVQQLDVSRLNGKALRVIGDFLYLFAASTALGVIAGLVTAYILKALSFGKHSSVREISMMMLMAYLSYMLAELLELSGILTVFFCGISMSHYAWHNVTEISRTTTRHVFATMSFIAETFIFLYVGMDALDMEKWRMTHLRFGNSLGIYSCLIFLILLGRAAFIFPLSTLVNYMNRRVEETPSITLQHQIIIWWAGLMRGAVSIALAFKQFTFSGVTSDPVKATIITNTIIVVLFTTLVFGCLTKPLVRYLLPHHVSRIDTRHEESSGSRSPVGELDLPLLSFHESTETNISRAKESLSMLIESPVYTIHYYWRKFDDAYMRPIFGGPCSNPNPSEC >CAK8567721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:538835938:538841528:-1 gene:gene-LATHSAT_LOCUS20744 transcript:rna-LATHSAT_LOCUS20744 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGSYTDWSYYASPSSNLSAFATPFSVNRSSPNGVSPPFIDPGDAARTGFPYRYPDQYYGTKPNLVEPHQYFNSYGVLQDRNSASVVPDHWSSFSGFTASDGVSRADYANKANELGFAGQTVANQFAEFGNGKGNQIGVGVNKPNELGFVGQTVADQFEDFGNGKANQIGVGTSKPNELGFVGQMVANQFADFGNGKGNQIGVGGGFALNTNFTGSVADERMKSGCQDVTVSHVEGPHMIGWDRHSLASSVDPLNDKPFWWRTTKLTSAGCQDITDSHGEVPHMIDWEKHSLPTSGDCVDDKSCWWRPTKPMPVDFSHTSVLQSPPLSLETHHEPPLKLAVDSGDHHFSYTGVYDKHLGQQDKQTRVDTVSSTSITGSGTDLNLGIFVPDGGSGHKKFNDIKEAAACFGLNLSMNLDSNEASSSNNAMVSDMDDSGDVVDYKDKARHEFQHLLPNPGLLSLGLNAIQGVNSVDKSFECVGDPCNPSVDSPCWKGAPAAHFSYYESSEALPPENVPKNECFGSVIREPQNFLLDGKNNVKKPCDRSFQMHIQIADQQTYSVGSSSKQNSETRFASDDCNVVNAGPFHSEPSCDYELQYQDDITKMKDNSVPPTKPIACESGSSHDEDQVTEENKLASQKLHSLCIGGTDAGCNENISSSSGTSRTEGHTLPLSSSVGAASTTSEKTAGKVSTEDLNAQMLVDTMNKFSQLLLNHCLNDACELEEQNCNILKDVITNLNTCVLKNVERINLAQAFLLRQPETSKCAVETCELRKGVQLTKIGPDEHENQLAQKTDLCFGSEKPHWMPSGFISPSSGAEMTEMTKEENMTKAIKNILSENFDDDDAEATVSQTLLYKNLWLEAEAALCSVSYKNRYNQMKIEMEKQSYKQRDMEQHSKSEVIPSLSTSESSAIEVNKCLNADSSAQNLADLAATIPKEHSQLKFSSDMNRLNSLTPEADGGQNLYNFIKNYAVSGTNKEVAGNDEASVMARYNVIKSRADKSRIIANALETPSDIADMFAPQETDNQNQVNFCRDFPILEKNKANYETSVLARFHVLKSRAAAEDSSSVSSTEKLFEFSGEGIKETVTTKDALEGESLNANLDFYTAVDKTTPKEINLDWGNIQPTDYHFDGLASDWEHV >CAK8534472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:730280194:730280904:-1 gene:gene-LATHSAT_LOCUS4016 transcript:rna-LATHSAT_LOCUS4016 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQPSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGDSFVVPSIISPSTTQNMVEDTIIFEDPINDAFRVDMYHANEIPSASNLEIGQEDYVMLSATQERNEAKEYCKLTREGEQPLYEGCRRYSRLSFLVKLYHIMCLCGLSEKAITMILELIKDAFKYANIPGSFHEAKKSITKLGLNYVKIPACPNGCMLYWGRR >CAK8568154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577619412:577621293:1 gene:gene-LATHSAT_LOCUS21145 transcript:rna-LATHSAT_LOCUS21145-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSLNGGIRRISFVLSARNIWIQAESRASAFPEEQNLRSLIAGFKPPSRSPLPVLQNWIDQGNKLSPSELRCISRTLIKSKRYNHAFEVLKWMENQKQFRPNPADHAMKVELIIKNYGLMEAEEYFMSIRDSAGKKAAYLPLLRGYVRDRDTSNAETFMEKLYELGLVVNPHPYNEMMKLYLATCEYRKVPLVIQQMKRNKVPCNVLSYNLWMNACNEGKGYGVAAVETVFRAMQNDENVEVGWSSLATLANAYMKAGQSEKAILVLKNAEEKLSTRDRLGYFFIITLYASLKQKEGVLRLWEASKAVGGRISCANYICILTCLVKLGDIAQARRIFNEWESNCLKYDIRVSNVLLGAYVRNGLIEEAESLHLHTLQKGGFPNYKTWEILIEGYVKRQKMDEAIIAMKRALAMLKDCHWRPPQGLVLAIAEYLEKCGNFEYANEYVTDIHNLGLGSLSLYKVLLRMHLSAKKPPLHILKMINEDKVEMDSETFTILKAFTGLVA >CAK8568155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:577619412:577621293:1 gene:gene-LATHSAT_LOCUS21145 transcript:rna-LATHSAT_LOCUS21145 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSLNGGIRRSISFVLSARNIWIQAESRASAFPEEQNLRSLIAGFKPPSRSPLPVLQNWIDQGNKLSPSELRCISRTLIKSKRYNHAFEVLKWMENQKQFRPNPADHAMKVELIIKNYGLMEAEEYFMSIRDSAGKKAAYLPLLRGYVRDRDTSNAETFMEKLYELGLVVNPHPYNEMMKLYLATCEYRKVPLVIQQMKRNKVPCNVLSYNLWMNACNEGKGYGVAAVETVFRAMQNDENVEVGWSSLATLANAYMKAGQSEKAILVLKNAEEKLSTRDRLGYFFIITLYASLKQKEGVLRLWEASKAVGGRISCANYICILTCLVKLGDIAQARRIFNEWESNCLKYDIRVSNVLLGAYVRNGLIEEAESLHLHTLQKGGFPNYKTWEILIEGYVKRQKMDEAIIAMKRALAMLKDCHWRPPQGLVLAIAEYLEKCGNFEYANEYVTDIHNLGLGSLSLYKVLLRMHLSAKKPPLHILKMINEDKVEMDSETFTILKAFTGLVA >CAK8562044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430677273:430682236:-1 gene:gene-LATHSAT_LOCUS15577 transcript:rna-LATHSAT_LOCUS15577 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDVDKTLKEKEPKTPPVATSQEQSSTATGTPAVNPEWANYQAYSPIPPPGYMASSPQGHPYMWGVQHIMPPYGTPPHPYVAMYPPHGGIYAHPSMPPGSYPFSPYAMPSPNGMVDASGNTPGSSEADGKPHEVKEKLPIKRSKGSLGSLNMVTGKNNELGKTPGASANGIHSKSGESASEGTSEGSDENSHNDSQLKSGERQDSFEDEPSQNGSSAHAPQNGVLNTPHTVVNQTMSVVPMSVAGPLAAVPGPTTNLNIGMDYWGTPTSSTIPAMHGKDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLSEENASLRAELSRIKSEHAKALADNAALKAKQVEIPRNEDIVPGQNDQHVGGEDTKQSG >CAK8562043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430677273:430682236:-1 gene:gene-LATHSAT_LOCUS15577 transcript:rna-LATHSAT_LOCUS15577-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDVDKTLKEKEPKTPPVATSQEQSSTATGTPAVNPEWANYQAYSPIPPPGYMASSPQGHPYMWGVQHIMPPYGTPPHPYVAMYPPHGGIYAHPSMPPGSYPFSPYAMPSPNGMVDASGNTPGSSEADGKPHEVKEKLPIKRSKGSLGSLNMVTGKNNELGKTPGASANGIHSKSGESASEGTSEGSDENSHNVLQDSQLKSGERQDSFEDEPSQNGSSAHAPQNGVLNTPHTVVNQTMSVVPMSVAGPLAAVPGPTTNLNIGMDYWGTPTSSTIPAMHGKVPSSAVAGGMVNAGPRDGVHSQPWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLSEENASLRAELSRIKSEHAKALADNAALKAKQVEIPRNEDIVPGQNDQHVGGEDTKQSG >CAK8562042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430677273:430682236:-1 gene:gene-LATHSAT_LOCUS15577 transcript:rna-LATHSAT_LOCUS15577-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDVDKTLKEKEPKTPPVATSQEQSSTATGTPAVNPEWANYQAYSPIPPPGYMASSPQGHPYMWGVQHIMPPYGTPPHPYVAMYPPHGGIYAHPSMPPGSYPFSPYAMPSPNGMVDASGNTPGSSEADGKPHEVKEKLPIKRSKGSLGSLNMVTGKNNELGKTPGASANGIHSKSGESASEGTSEGSDENSHNDSQLKSGERQDSFEDEPSQNGSSAHAPQNGVLNTPHTVVNQTMSVVPMSVAGPLAAVPGPTTNLNIGMDYWGTPTSSTIPAMHGKVPSSAVAGGMVNAGPRDGVHSQPWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLSEENASLRAELSRIKSEHAKALADNAALKAKQVEIPRNEDIVPGQNDQHVGGEDTKQSG >CAK8579743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719974762:719976737:-1 gene:gene-LATHSAT_LOCUS31664 transcript:rna-LATHSAT_LOCUS31664 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSLLYRYFDPKQNKIIFPEFFRLPKRKNRISFQEFRSKFLEPGLVHHIVVSKNFAKVYVKNSYLNQTEGSGGSGGKYQCYFKIASADSFELRLEEAQKVLGIDPRDFVPVIYSSGIMEIMDLRLFVILSIFLLHMFVIRGKGFSGLLNLNGGHVIKGNQNHNNKVYFKDVAGCDEAKQEIMDFVHFLKNPKKYQELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFVEMYVGVGASRMRNLFKEARKCAPSIIFIDEIDAIGRSRGGRSSEEHENTLNQLLVEMDGFGTTDGVVVMAGTNRVDVLDKALLRPGRFDRTITVDKPDVNGRDQIFQIYLGRIKLDHKPLDYSQRLASLTPGFVGADIANVCNEAALIAARTEDARVTMNHFEAAIDRIIGGLEKKHKVINKLQRRTVAYHEAGHAVAGWFLEHTEPLLKVTIIPRGTAALGFAQYVPNENLLMTKEQLYDRTCMILGGRAAEKVLIGTISTGAQDDLEKVTKITYAQVAVYGFSEKVGLLSFPQKEGSYETSKPYSSNTGAIIDSEVREWVNKAYERTIKLIEEHKEKVAEIAELLLEKEVLHQDDLLPVLGARPFRSAEVTNYDRFHEEKVVETTIDRA >CAK8535113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814933188:814933523:1 gene:gene-LATHSAT_LOCUS4590 transcript:rna-LATHSAT_LOCUS4590 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQVQNGVAASSSSALNQGTATAATTTTVTHGVAASSSALNQATATATTTPTAATACEVSNPPKKKKICCACPDTKRIRDECIVEHGEDACAKWIEAHRLCLRSEGFNV >CAK8564970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19743577:19744347:1 gene:gene-LATHSAT_LOCUS18217 transcript:rna-LATHSAT_LOCUS18217 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGWSGIAAEVLMLKPLIIYHLKNFFLVKTEKDREEATDPGSIGFNTGEPRIQLYFLLGLVYAAVTPTVLPFIIIFFGLAYVVFRHQIINVYNQEYESGAAFWPDVHFRVIIALIVSQLVLMGLLTTKRAASSTPFLIALPVLTIWFHIYCKGRFESAFSKYPLQEAMMKDTLERATDPNLNIKGYLQHAYVHPVFKASLEDADEEEDVTSLKWETESATVPTKRHSRRNTPLPSRVSGASSPPMLDGIKDDPES >CAK8533273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:598986228:598987271:1 gene:gene-LATHSAT_LOCUS2914 transcript:rna-LATHSAT_LOCUS2914 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNEKRRRRTKIRRIAMNGKRKKNSITNDKLLTPSFGFLSKSKIDAYKKNPWILPLNFLFMTFYSQKNITDELELRLEKKEYAIHSNLKSYLERYYKSKHRYNANSPKYRKDMAAEHNFLINRYVGYYLCCADLTYYDKNYAKIVSVITLLKKTKQAKQILLSTIRRGELDLETFVRMVSDDPCFFYSRDIEEVQENLVFCLEPIRCSYKKNYEQSFLYQTISVSLKHKRQIINKDKNHYDLLVPENIFSPRRRRELQILTCLNHRKNRKTMDRNTITDKEKMNSSEVLTKKKDLDSDTKKLRNLKFFLWPNYRFEDLACMNRYWFDTHNGSRFSILRIRMYPRLD >CAK8577002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530630613:530633652:1 gene:gene-LATHSAT_LOCUS29151 transcript:rna-LATHSAT_LOCUS29151 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLSFVRLSCSSHSISPSLSHLPPQLPNSRASSVIFCRYRRRHVSGSSSISRGNFKVCSFSTLGGASEFDSLSQRSHDEEEDDEQQIRTRASNEDEVDEDDEEEESISSCVFPERWDVLGLGQAMVDFSGTVDEEFLKNLGLEKGTRKLVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGGRSRRDPAINVAMAGSVASDLLGGFYREKLRRANVQFLSVPIKDATTGTVIVLTTPDAQRTMLAYQGTSSTVNFDTSLASAVSKTNILVVEGYLFELPDTIKTITKACMEARSNGALVAVTASDVSCIERHFDHFWEIIGNYADLIFANVDEARALCNFDAKESTVSVTRYLSQFVPLVSVTDGIRGSYIGIRGEAVYIPPSPCVPVDTCGAGDAYASGILYGVLRGMSDLRSIGTIAAKVASTVVAQQGTRLRISDAVKLAESFAFQLDTSTVRSDVGTDHISSV >CAK8577003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:530630619:530633652:1 gene:gene-LATHSAT_LOCUS29151 transcript:rna-LATHSAT_LOCUS29151-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFVRLSCSSHSISPSLSHLPPQLPNSRASSVIFCRYRRRHVSGSSSISRGNFKVCSFSTLGGASEFDSLSQRSHDEEEDDEQQIRTRASNEDEVDEDDEEEESISSCVFPERWDVLGLGQAMVDFSGTVDEEFLKNLGLEKGTRKLVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGGRSRRDPAINVAMAGSVASDLLGGFYREKLRRANVQFLSVPIKDATTGTVIVLTTPDAQRTMLAYQGTSSTVNFDTSLASAVSKTNILVVEGYLFELPDTIKTITKACMEARSNGALVAVTASDVSCIERHFDHFWEIIGNYADLIFANVDEARALCNFDAKESTVSVTRYLSQFVPLVSVTDGIRGSYIGIRGEAVYIPPSPCVPVDTCGAGDAYASGILYGVLRGMSDLRSIGTIAAKVASTVVAQQGTRLRISDAVKLAESFAFQLDTSTVRSDVGTDHISSV >CAK8576868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521388085:521388858:1 gene:gene-LATHSAT_LOCUS29031 transcript:rna-LATHSAT_LOCUS29031 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLRSRRRSRYGGLLCAVISTLLLLISLSFLRIHSLRRSPTHEVNYDSLLSDSSNEDTTGGEDTIDALDIVEEQVPESTADAEEDDEPIEESNKASGYFFYHVEGVIRRSFSQRSIMTMKEESNEGFRIFETTVEDRGKTAFGSDDVAVDEYVRMKMMEVKGIEDALLLKVGKKPLREGWSNWFDKKSDYLKKDKMLKSNLEALNPLHNPILQDPDSFGLTGLTKVDKLLQKSLVKELKIPFPPRKITREPTLAA >CAK8539944.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531999325:532004206:-1 gene:gene-LATHSAT_LOCUS8994 transcript:rna-LATHSAT_LOCUS8994 gene_biotype:protein_coding transcript_biotype:protein_coding METNSVSKFLFLFIFSTFLCSLVYSDVTYDRKAIIINGQRRLLFSGSIHYPRSTPDMWEDLIYKAKEGGLDVIETYVFWNVHEPSPGNYDFEGRNDLVRFVKTVQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRQDNEPFKKAMQGFTEKIVGLMKSERLYESQGGPIILSQIENEYGAQSKLLGPVGYNYMSWAAKMAVEMGTGVPWVMCKEDDAPDPVINTCNGFYCDKFTPNKPYKPTMWTEAWSGWFSEFGGPIHKRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKAFKMCERALVSTDPVVTSLGNFQQAYVYSTKSGDCAAFLSNYDSKSSARVLFNNMHYNLPPWSVSVLPDCRNAVFNTAKVGVQTSEMQMLPTNTHMFSWESFDEDTSSSSTNTITASGLLEQINVTRDISDYLWYITSVDVGSSESFLRGGKLPSLIVQSTGHAVHVFINGRLSGSAYGTREDRRFRYIGDVNLRAGTNTIALLSVAVGLPNVGGHFETWNTGILGPVVMHGLDQGKLDLSWQKWTYQVGLKGEALNLASPDGISSVEWMQSEFVVQKNQPLTWHKTFFDAPEGEEPLALDMDGMGKGQIWINGISIGRYWTATATGSCNDCNYAGSYRPPKCQIGCGKPTQRWYHVPRSWLKPNHNLLVVFEELGGDPSKISLVKRSVSSVCADVSEYHPNLKNWHIDSYGKSENFHPPKVHLHCNPGQAISSIKFASFGTPLGTCGSYEQGTCHSSASYDILEKKCIGKARCTVTVSNSNFGHDPCPNVLKRLSVEAVCAPTVTN >CAK8540158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542612953:542613320:1 gene:gene-LATHSAT_LOCUS9190 transcript:rna-LATHSAT_LOCUS9190 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTKVLLLDTLGKVCSGNKEKEVVSIDQEEYEYSDHLYTPPESDDDEKGVKILTICIPSNKIYKDSIKDHMLLFLTNRSLRS >CAK8574903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14561715:14563228:-1 gene:gene-LATHSAT_LOCUS27202 transcript:rna-LATHSAT_LOCUS27202 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKTVVANIPYGGAKGGIGCNPKDLSISELERITRVFTQKIHDLIGTHRDVPAHDMGTNAQTMAWMLDEYSKFHVHSPAVVTGKPIDLGGSLGREVATGLGVVFGTEALFAEYGKSISDMKFAIQGFGNVGTWAAKSIFERGGKVVAVSDISGAICNPNGIDIAALLKHKEDNASLMDFSGADAMDPKDLLTHDYDVLIPCALGGVLNKENADDVRAKFIIEAANHPTDPDEILSKKGVIILPDIYVNAGRVTVSYFEWVQNIQGFIWDEDKVNLELKRYMTRAFKNIKRNV >CAK8539162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505777584:505778651:1 gene:gene-LATHSAT_LOCUS8282 transcript:rna-LATHSAT_LOCUS8282 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLARKAAFIHNQRFLVKLSAFNLCYNSLTTVPNKDDVASASSLSEPPSEYNSSSNLHLSPFLSNFNHPSSGFEIELVDHDAWGVSSVVAQAWRQGDLAASEAISCGQRVIDEPLDSHSTDVDDELDFEDIDNMRVRGSLFYKLERSSMEFEEYNLEFHKKKSSKKKNNKNELISKAKEAKAKTKPNVNSNDQKLPKVNDFERHSKSVVPRMDEINDASPENKRQRVPTFNQLTGPYHEPFCLDIYISKASVRACIVHRVTSKVVVVAHSISKDLKFDLASTKNKTTCAAVGKILAQRALADDIHDIIYTPRKGERVEGKLQIVLNSIIDSGINVKLKIKQRHKKKSFSSHFN >CAK8536568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955611444:955612239:1 gene:gene-LATHSAT_LOCUS5924 transcript:rna-LATHSAT_LOCUS5924 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASEYSNNGRQHQPATGPAELNLPRVLWILSSTLEKLVARNEKLVDELNQQLNKLSCDSVRLGKSLNAFHGVRAPGISIPKYLERIYKYTNCSPSCFVVGYVYIDMLTHKHPDSLVLSLNVHRLLVTSVMVASKMLDDEHYNNAVYARVGGVSNAELNKLELELLFLLDFKVVVSSRVFESYCLHLEKEMLVNGTGLKIERTLSPKSFETEISVEDKKSSSPPQIVDYGLT >CAK8541154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:76998139:77001156:-1 gene:gene-LATHSAT_LOCUS10095 transcript:rna-LATHSAT_LOCUS10095 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASISYILPSPHSNLHKFQTFTSNHYKPQSRFLFSFPVSKINSVRSLKTRCASDNSSSFHGVEFIVSILPGGDWWSLPKHREDHAEPAEAKLALRRMLELVADERWVAVVAFGSLVIAALSEITMPSILAASIFSAQSGETAAFSRNAMFLVLLCFTSGICR >CAK8542421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512253278:512254248:-1 gene:gene-LATHSAT_LOCUS11261 transcript:rna-LATHSAT_LOCUS11261 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYLTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYRQGALKVDELQPILDELTPADVIWRPFENNRVWHQFDELCLYRGCLRWGGTIVPYLPDRCMRQFRYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYCVSHPRLVPIPYHNAPAEMSAEMPVPVYEAGPSDPSWARVSSLIHRYLQQASAEDDDPQFADLFEALHIARSQ >CAK8541234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:93040677:93043910:-1 gene:gene-LATHSAT_LOCUS10167 transcript:rna-LATHSAT_LOCUS10167 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILLSFLISYYFIYLTLVVSAKCLQHQQSLLLQLKNNLTFPPQFSTKLKLWNENTPCCNWSGVTCDHEGHVIGLDLCGEEIYGGIDNSSSLFTLQHLQKLNLAHNDFDSSIPSGFGKLVMLKYLNLSYSYNVGNIPMEISKLTRLVTLDLSSDDSFSQEQRRTIYNRNLQTFLQNLTSLRQLYLNNINISGMGKEWGNALLPLHDLRELSMSYCDLSGPLDSSLTKLVNLSILILKGNNFSSSVPETFANLKNLTILSLSDCGLTDKFPQKIFRIETLSDIDLSFNYDLHGLFPDYSMKKSLHSIILTNTQFSGTIPHTISNMRLLSHLDLSYCHFNGTLPNSLSNLTHLIYLDLSYNYLSDQIPYSLSNLTQLTFLDLSNNNLSGQIPYSLSNLTQLTFLYLSDNNLSGQIPYSLSNLTHLTGLDLSYNNLSGRIPSFLFTLPAMKEIMLSSNKFIQFDKFINVSSSVLEVLYAHSNNLVGPFPTPIFKIHSLSFLDLSFNMFNGSLQLDKILKLRNLTDLNLSHNNISIDVNVSNVDFSSIPKFKYLSLATCNLKFFPNFLINQSTLTNLDLSNNQIEGEIPNWIWNLQDLQLFNLSHNFLSNLEGPFQNLTSKLETLDLHNNKLQGSIPAFPHCAYTLDYSNNNFSIIPQDIGNYLLCTNFLSFSKNNLQGSIPVSLCNASQLQVLDISFNNFSGTIPPCLITMTNTLEVLNIKANNLSGPITDVFPNYCAVTRLNFHGNQLQGPIPMSLSHCSSLELLDIGSNQIVDHFPCFLNNIPALSVLVLRNNRFHGSMECSENKPWKSIQIVDIAFNNFNGKLLKKYFTTWERMMYDEDVAREIGYMTLPNYQYYHDSLTVTWKGQQQDLVKILTIFKAIDFSSNFFEGPIPESLMDLKALYILNFSNNGLTGEIPSAIGNMKQLESLDFSNNSLVGEIPVQLASLSFLSYLNLSFNHLMGKIPTGTQLQTFSASSFEGNHGLYGPPLNETPEDKMKDLHPQAACEKLVCSIDWNFLSVELGFVFGLGIIICPIMFWKKWRVRYWKSADKILCRIFPWMHLEYATDRGKTYTVLRW >CAK8543901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648428195:648428611:-1 gene:gene-LATHSAT_LOCUS12624 transcript:rna-LATHSAT_LOCUS12624 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLYEALVDDNIRVHWRFLFKSNNARPRAIHTTWLVCHGKIGTKDKLVRIGLIHETKCNLCNEVDEKLDHLFFECAVSKGIWQHVLQWKEIQHDLQCWSAEKVWILEQVANKGWRASLLKLSIMETIYACGNIYEYM >CAK8574581.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3037102:3038775:1 gene:gene-LATHSAT_LOCUS26911 transcript:rna-LATHSAT_LOCUS26911 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDFPEGCWELIFRFLGQGNDLESVSVVCKQFLSITNRIRISLTIRNPTIIFLPRLLLRFLRLKVIDLSHFTCELKGLLHQISQSGLNLDFINLSNQKTLRVDGLRELGSKMINLRVLICSNIGSLCDSHLIIIAYCFPFLQELDISFPLDSEVSDFGILKLSTMLESLNKIDLSGNHLVTDKSLLSLCQNCRSLEEISFFTCFKITQFGIASAFRMRPSLASISFNIEKKRIHGPGLTPVPINVDLIDSLASLKRLNAVDLSNSFISDEFLISLANSAGNFLKKLILHDCCNFTFSGISYVLSKCQYTQCLDLRKADFLTDQCIKKLSMFLLNLTSINLSGCCQLTNSTFFILTKNCQLLNEIKMERTYIGVDGEEDFNSMTDFVINLQVKAVYFGDNVLLNDVSLSKFSSICHNLQFLDLSACEAISEECVVEVMERCSKIRHLNLCYTGIEKFQINFEIPQLEMLNLSGLRIEDETLFIISKLCIGLLSLDIQNCCYITTKGVREVIENCRGLKELNLKNCQLVDNDFVFMQTLTKPSLRTIITPSGVDVYY >CAK8530401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:19252277:19252768:1 gene:gene-LATHSAT_LOCUS268 transcript:rna-LATHSAT_LOCUS268 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSVLCVLSLILIVGTHVVQAQDSPADYLEGHNAARSAITKVKVPDLVWDNNVAAFANNYANQRKDCQLVHSGTDRYGENIAMSSGDMSGAEAVKLWVDEKAYYDYNSNSCAAGEMCGHYTQVVWKNTVRIGCAKVKCENGGTFITCNYDPPGNYVGERPY >CAK8534868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779490699:779491544:-1 gene:gene-LATHSAT_LOCUS4374 transcript:rna-LATHSAT_LOCUS4374 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRRHPNWQQRAREDVLQIFGIKKLDFDGLNNLKIVTMILYEVLRLYPPVLKLVRNIEKEVKLGNLILPVGVEVSLPILLVHHDRELWGDDVKMFNPDRFSEGISKATNGRHSFFSFGGGPKICIGQNFSMMEAKMATTMILQHFSFELSPTYTHAPSPTVTLQPQYGVQIIIRRWET >CAK8534869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779490699:779491124:-1 gene:gene-LATHSAT_LOCUS4374 transcript:rna-LATHSAT_LOCUS4374-2 gene_biotype:protein_coding transcript_biotype:protein_coding MILYEVLRLYPPVLKLVRNIEKEVKLGNLILPVGVEVSLPILLVHHDRELWGDDVKMFNPDRFSEGISKATNGRHSFFSFGGGPKICIGQNFSMMEAKMATTMILQHFSFELSPTYTHAPSPTVTLQPQYGVQIIIRRWET >CAK8562458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496004213:496006711:-1 gene:gene-LATHSAT_LOCUS15953 transcript:rna-LATHSAT_LOCUS15953 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHSILLLTFLLQFSLLQFPSLGLFNQQDTYFINCGSDTNVTEYNNLYIGESNPTYPTKVFSNSSPETSQSSVPSPLYQTARIFYSESSYQFTTVPNNTYMLRFHFLSFSSPTHLSTAKFNVSVPGFSLLQNFDAKNFTNSPLIKEYFVKIIRKKFNITFTPQISSFAFVNAIELFSLPIHFIPDSIHRFKYGGVILSQALETKHRLNVGGETVTRLTDNLSRNWLPDDSYITTPQNANKSYFGGNIKRSADDESEGPNSNKYIAPDVVYQHARESKNGSNGLNISWSVPVEKNIDHFLRLHFCDLLNLQIGLTTFSLFIYDNFVQSVNNDSNFSFELHDPYYYDFVVRSDGSGILKVTVTPNKTDHQPNAFLNGLELMRMINSSGFIPMDDLALDLNSNSKVSLPVVVGSVVGGIVLVSVVVVVFLWISKIRKQRPVENSNGWPIRGAAEGSSHSRTTAQGSPLPNINLGLKISLLDLQLATENFNTERIIGKGGFGIVYKGVLRNGMNVAVKRSEPGSAQGLPEFQAEIMVLSKIRHRHLVSLIGYCDERFEMILVYEYMEKGTLRDSLYNTNLPTFLSWKQRLEICIGAARGLYYLHKGATGGIIHRDVKSTNILLDENLVAKVADFGLSRTGPLDQHSYVSTGVKGTFGYLDPEYFRLQQLTEKSDIYSFGVVLLEVLCARPALEPSLPREQVNLAEWGVFCKDKGMLEDIIDPSIKGQIDQNSLRKFSETVEKCLQDDGSDRPSMGDVLWDLEYALQLQRGAIHRESHEDSSSSASVSIQLPNVRRFPSLSTLSEMDDVSIGRATDESDSAPDAVFSQLKIGDGR >CAK8539386.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:511048105:511049569:1 gene:gene-LATHSAT_LOCUS8486 transcript:rna-LATHSAT_LOCUS8486 gene_biotype:protein_coding transcript_biotype:protein_coding MGELELEDNSVNKTESQQPQPLDPVPEESSPLQENESDIVNQEPNQSVTSTLDDQKVADDHAENKETENHDDNKDTKGSSDKDTGLAKIVAEKRLALIKAWEDSEKTKAENRAFKKQSAVGLWEESKKASIEAQLKKFEENLERKKVEYVLKMKNDIAEIHQYAEEKRAIVEAQKREEFLDLEETAAKFRSRGVAPKKFLGCFSS >CAK8574829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:10667909:10668712:-1 gene:gene-LATHSAT_LOCUS27132 transcript:rna-LATHSAT_LOCUS27132 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSKRHRRLNLNAPIMSTRRFGSVVADTSSSLGTFQNTSERVPFSWEKEPGKPKDTERNGSKLAATCHRLFPRKEAADLSDILDNACDEMHDKDDLFSDAMDDVFSLSEALDIVQRKSEKAHSDINEGLKLKLAECNGYQSPTYLINRFLPDATALAASSSALQFHSDFAEKVCDSCSYPECYLSESGRHSHSYAYASGSSSSSPKGCGLEVLFPWRTMKHKFCAVESPVLPCSTTTNLPKTQRELRLKKHRSSAYIPCTNVKKDV >CAK8536409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939054567:939055641:-1 gene:gene-LATHSAT_LOCUS5780 transcript:rna-LATHSAT_LOCUS5780 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYQQAGQHCSSTKLERKIIEKNRRNKMKSLCSKLNSLLPNYNPKEALPLPDQIDNAIHYIKSLKTNLKLAKELKESLIRNKRSSSGCSSSSGAKGSTKSPKIEIHENGSSLQVIVTCGVYDKFIFCEILRILHEDHVEVISANSSLAGDSVIHVVHAENPQSLFQFGATKVGERLKRFVNGSASKAQLIEPPVWDFETGTENWDLNSVVNKCLPNC >CAK8542196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:487645791:487646424:-1 gene:gene-LATHSAT_LOCUS11056 transcript:rna-LATHSAT_LOCUS11056 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQEIGLTSPSKSFRLRSPSLNSLRLRRIFDMFDKNGDSMITVQEINQALNLLGLEAEFKDVESMIKSYIKPGNEGLTYEDFVALHESLGDTYFGVTEEVDEETQNDDLWEAFKVFDEDGDGYISAKELQVVLGKLGLVEGNMIDNVQRMIVSVDTNNDGLVDFNEFKDMMRNTIVPSS >CAK8569922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15880039:15880709:-1 gene:gene-LATHSAT_LOCUS22721 transcript:rna-LATHSAT_LOCUS22721 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAAVKDVRSMSGCVLLLTTWAFTRISLFAPISTLQASFPYTQRWTQRRMNYDANPRFHLQGYRNALDHMQEKDFIWRPYI >CAK8567881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:553928346:553928677:-1 gene:gene-LATHSAT_LOCUS20896 transcript:rna-LATHSAT_LOCUS20896 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLPYDNFIFTECSNLLHCFVNRSHWVQVNIKEGFSLPPVIVDWKKFHSPAATSWMLGFARCLQHWQQLTPILPTHYKL >CAK8566430.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:422393930:422398794:-1 gene:gene-LATHSAT_LOCUS19561 transcript:rna-LATHSAT_LOCUS19561 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNTQASFATATPHVTYFHRSTLSRANPSQTHPLTLNQKNAKRRRINLSIAARKTEHSDGSDKVRESESSVEQREWSRARSNRRGVLVAPFLAVGASFLLSAASTRAAEEEKATPAVEVTVVKPEEVKKIEEEVITSRIYDATAIGEPLAIGKEKGKVWEKLMNARVVYLGEAEQVPVRDDKELELEIVKNLHKRCLEIDKRLSLAIEAFPSDLQEPLNQYIDKNIDGETLKTYTMHWPPERWQEYEPILSYCRENGIHLVACGTPLEILRTVQAEGISGLTKAERNLYAPPAGSGFISGFTSMSRRSSLDNTPNLSFPFGPSSYLSAQARVVDEYTMSQIILHSILDGGASGMLIVVTGASHVTYGSRGTGVPARISRKMQKKNQVVILLDPERQFIRSEGEVPVADFLWYSAARPCSRNCFDRVEIARVMNAAGQRRDALPQDLQKGIDLGLVSPEVLQNFFDLEKYPLLSELTHRFQGFRERLLADPKFLYRLAIEEGISVTTTVLAQYLKRRENFFQELDYVITDTVRGSVVDFFTVWLPAPTLSFISYADDMSAPDNISSLMGLLGSIPDNAFQKNPVGTNWDLNHRIASVLFGGLKLAGVGFISSIGAVASSNSLFAVRKFLNPAIITDQQIARSPILKTAIVYALFLGISANLRYQIIAGVVEHRISDQFASQTFLVNMLSFVSRTINSYWGTQQWIDLARDYGLQVRKTESPDPPTSDSPNQAAIICNESDSSNQAAILCNESDSSNQAAILCNEAEEASIDEIKSE >CAK8569347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695815069:695816244:-1 gene:gene-LATHSAT_LOCUS22208 transcript:rna-LATHSAT_LOCUS22208 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGVKENAVTHNIMVKWLCKEGKIDEASNVMAKMVESGFSPDCFTYNTMINSYCKAGKMGEAFKMMDEMGRKGLKTDTFTLNTILHTLCSKKKLEDAYKLTINARKRGYILDEVTYGTLIMGYFKDEQADRALKLWDEMKEKGIVPTVVTYNTIIRGLCLSGKTDQAVDRLNELLEKGLVPDEATCNIIIHGYCWEGAVEKAFQFHNKEHSFKPDIFTCNILLRGLCRGGKLEKGLTLFNTWISKGKPIDIVTYNIVISSFCQEGRLEDAFDLMVEMERKNMEPDRYTYNAITSALTNAGRNEEATKFVSKFAEKGEDVKAQDTSPEVGTSDMMYSEQISSLCTQGKYKEAMKLFQQAEQKGVCLNKYTYIKLMDGLLKRRKSISKAAK >CAK8575038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:23277370:23278775:1 gene:gene-LATHSAT_LOCUS27331 transcript:rna-LATHSAT_LOCUS27331 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSIPAAYLDAANWQQQQQNHHHHNQPENGGSGSGSISQQLLQTPLSQPPQTQPHPHGAGSTGSIRPGSMSDKARMANMPMPETALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGFRRNKRSKGSNSAKSPASSDLQTGSASSTNSITSHNNHSSASADILGLTPQMSSLRFMTPLHHNFNNPNEFGGSDLGLNYGFSYMGGGGGGGDLGSSLLGGNGNSILSSTNGLEQWKMPMPMPMPMSMTHQQQQFPFLTNLETSNGNLYPFEGNVQNHEMMISNNGYMRPKVTSTSGIMTQLASVKMEDSINRGFLGISSNNNNNNNHQGSEQYWNSAVIIGNSAANWNDHVSGFSSSSNTTSNNQM >CAK8574306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674533489:674537136:1 gene:gene-LATHSAT_LOCUS26662 transcript:rna-LATHSAT_LOCUS26662 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYRAAASGILKHSIRRNLHRCGSSCYATRNIVLSSTSRNFQTTVFKSKAQAASVPRAVPLSRLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGRASTFPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEERKIPDELDLTLHGFTEADLDREFFLGVWNMSGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIPDRDKCNWLREKIETPAATQFSRERREAIFDRLAWSTLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVENIVMGMAHRGRLNVLGNVVRKPLRQIFCEFSGGGLPVDEAGLYTGTGDVKYHLGTSYDRPTRGGRRLHLSLVANPSHLEAVNPLVIGKTRAKQYYSNDAERMKNMGVLIHGDGSFAGQGVVYETLHLSALPSYTTGGTIHIVFNNQVAFTTDPKSGRSSQYSTDVAKAISAPIFHVNGDDVEAVIHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQKKLLELGDLTKEDIDKIHKKVTSILNDEFLASKDYVPKRRDWLSAYWSGFKSPEQLSRIRNTGVKPEILKNVGKAITALPENFTPHKAVKRIYEQRAQMIEKGEDIDWGFAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVVHDQATWKKYCPFDHVVMNQSEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDNFLSCGESKWLRQAGLVVLLPHGYDGQGPEHSSARLERFLQMADDHPYVIPEMDPTLRKQIQECNWQIVNVTTPANFFHVLRRQIHREFRKPLIVMSPKNLLRSKVCRSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNNHANVEEGIRRLILCSGKVYYELDDQRAKVDAKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPMNMGGYTYVLPRLITSMKSLGRGGYDDIKYVGRDPSAATATGFLKVHHKEQTELVEKALQSEPINFPY >CAK8576575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493986483:493991197:1 gene:gene-LATHSAT_LOCUS28751 transcript:rna-LATHSAT_LOCUS28751 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVDRFALPCFEGFSGSDEKRERRSDFENSEDERRTRMGSLKKKALHASSKLKHSLKKKGGRTKSDGRVSSVSIEDIRDVEELQAVDAFRQSLILDELLPQKHDDYHMMLRFLKARKFDIEKAKHMWADMLQWRKDFGADTIMEEFEFKEINEVVKYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKSFAIKFPACTIASKRHIDSSTTILDVQGVGLKNFSKAARELITRLQKIDGDNYPETLCQMFIINAGPGFRMLWSSVKSFLDPKTTSKIHVLGNKYQSKLLEVINASELPEFLGGSCTCLDQGGCLRSDKGPWNNPEIMKMILSGEAKRPRQVVKVLNSEGKVIAYAKPRGPMVKGSDTSTAESGSEAEDTASPKVMKSYSNLRLTPVREESKVVGKSSYAVCGNLAGYDEYIPMVDKAVDAVWKKQASLQKSPSRKGTPPLPDTPKPPENIQARIWIALSLFFLTIFTFFHSIAWCVTKKLPSVSFNKSTSELTLDTTNMSPTPANTKTSALSSMMKRLTELEEKVDMLKSKPSEMPWQKAELLNAAVCRVDGLEAELIATKKALYEALMRQEELLAYIDSQEEAKLRRKKSCW >CAK8578397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:626387361:626388850:1 gene:gene-LATHSAT_LOCUS30410 transcript:rna-LATHSAT_LOCUS30410 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRLMRSQSKLIAFVPTMGYLHQGHLSLITEVHKHANVVAVSIYVNPGQFSPNEDLSTYPSDFEGDVQKLLSVLGGVEVVFNPKNLYDYGESEGGDGGVGGGGEVVSCVEKRGLGHESWIRVEKLEKGLCGKSRPVFFRGVATIVAKLFNIVEPDVAVFGKKDYQQWRVIQKMVRDLDFSIKVIGCEITRENDGLAMSSRNVHLSSEEREKALSINKSLSKVKSAAEDGQIQCEKLRNLVIQCITDAGGRIDYAEIVDQQSLEKVELIKSPVVFCVAALFGKVRLIDNMEINL >CAK8568361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598684013:598686364:-1 gene:gene-LATHSAT_LOCUS21323 transcript:rna-LATHSAT_LOCUS21323 gene_biotype:protein_coding transcript_biotype:protein_coding MWPNLIKKAKDGGLDAIETYIFWDLHEPIRRQYDFSENLDFIKFLKNVHEEGLYVVLRIGPYVCAEWNYGGFPMWLHNLPGIQLRTDNVVFKEEMKIFTTKIVTLCKEAGLFAPQGGPIILAQIENEYGDVITNYGEDGNAYIKWCAQMALAQNVGVPWIMCKQNNAPSPIINTCNGYYCHDFKPNNPKSPKMFTENWVGWFQKWGERKPHRTAEDVAFSVARFFQKGGVLQNYYMYHGGTNFGRTAGGPYIITAYDYDAPLDEYGNLNQPKWGHLKKLHAAIKLGEKVLTNGTVTAKQYGDLIYLTIYANNATGEKFCFLSNSHNSKDVEVDLQQDGKYYVPAWSVSILQDCNKEVFNTAKVDAQTNVYVKKLSTELGNRLIWTWASDPVEDTLQAIGTFNTSQLLEQKSVTIDASDYLWYMTKVFINETSAWDNATLQVNTSGHVLHAYVNGQYIGPQWGTHDNLSFTYEKMVSLKQGTNIISLLSGTVGHAHYGASFDMKETGIVGGPVKLIATDSVNTLDLSKSSWSYKVGLNGEARRFYDSKINNGFQWNANDVVIEKPLTWYKTTFKTPEGKDPVVLDFIGLTKGHAWINGQSIGRYWPTMVADKNGCDTNCDYRGNYGADKCLSGCGEPSQRFYHVPRSFLNNDTKANTLVLFEEMGGSPFNVSVQTVAINFICARIDYGKTLELKCPDGKTISEIQFASYGDPQGKCGSFQVGEWESRHSVTVVEKACSGKQSCSINVTSSIFGITKGGINGQLAVQLLCDGSNPEDNRVQQIHV >CAK8543696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631887044:631893606:-1 gene:gene-LATHSAT_LOCUS12438 transcript:rna-LATHSAT_LOCUS12438 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSFRSDSSSIWRNNDADEIFSNSFHQEDDEEALKWAAIQKLPTFQRMRKGLLTSLQGEAVEIDVKKLGLQERKGLLERLVKIDEDDNEKFLLKLKDRIDRVGIDLPTIEVRFNHLNIEAEAHVGSRSLPTLTNFMVNIVEVLLNSFHLLPRRKQHLNILKDVSGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGKVTYNGHEMNEFAPQRTSAYVDQNDLHVGEMTVRETLAFSARVQGVGSHYDLLAEISRKEKHANIKPDPDIDVYMKAVAIEGQKTNLITDYVLRILGLEICADTFVGNAMLRGISGGQRKRVTTGEMLVGPNKVLFMDEISTGLDSSTTFQIVNSMKHYVHIMKGTAVISLLQPPPETYNLFDDIILLSDSHILYHGPLDHVLEFFESLGFKCPNRKGVADFLQEVTSKKDQEQYWEHKDQPYRFVTSVEFSEAFKSFHVGIRLGVELGTEFDKSKSHPAALTTKKYGVGKMELLKACLSREYLLMKRNSFVYIFKLCQTFIMAVVTMTIFLRTEMHRDSVAHGGIYVGALFFAVVVTTFNGIGEISMVVSRLPVFFKQRGYLFFPPWTYALPGWILQVPLTFVEVAVWVFLTYYVIDFDPNVERFFRQYLVLVLVHQMASGLFRFVAAVGRDMTVALTFGSFTLAILFSMGGFVLSKDDIKKGWIWGFWISPLMYAQNAIVNNEFLGDNWKHVLPNSTEPLGVEVLKSRGFFTESYWYWIGVGALTGYTLLFNIGYILALTFLNPFGKHQTVIPEESQSRKRTNLLKYTKDTTTTTSSLSTKRGMVLLIEPHSITFDEVTYSVDMPQEMRNRGVVEDKLVLLNGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYISGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVHESLLYSAWLRLSPEINAETRKKFIEEVMELVELKPLQNALVGLPGVSGLSTEQGKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGPLGHHSSNLISYFEEIQGVSKINDGYNPATWMLEVTSSSKEMELEIDFAEVYKNSELYRRNKTLIKELSTAAPGSTDLYFHSQYSRSFFTQCTGCLWKQHLSYWRNPTYTAIRFLFSTVVAVFLGTVFWNLGSKIETVQDLFNAMGSMYATVLLVGIKNANAVQPVVAIERTVFYRERAAGMYSAFPYAFAQVVIELPYVFVQAVIYGLIVYAMIGFEWSVGKVFWYLFFMYFTFLYLTFYGMMCVAMTPNSDISIIVSSAFYAVWNLFSGFIIPRPKIPVWWRWYSWANPVAWSLYGLLVSQYGDVKQNIESSDGGVTTVEEFLRDYFGFKHDFLGVVAAANIALPVAFALIFAISIKMFNFQKR >CAK8576165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416269543:416269821:-1 gene:gene-LATHSAT_LOCUS28374 transcript:rna-LATHSAT_LOCUS28374 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDSSEQERFDAFEFIVLIPLPTRSMLFMISAHDSIAMYLAIEPQSLCFYVMAASKRKSEFSTEAGSKYLILGAFSSGILLFGYDRTTTDI >CAK8535947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893456976:893460336:1 gene:gene-LATHSAT_LOCUS5361 transcript:rna-LATHSAT_LOCUS5361 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVTGMLNRGHKIKGTVVLMRKNVLDINNLTSVGGVIGQGFDILGSTVDNLTAFLGRSISLQLISATKPDASGKGKLGKATFLEGIITSLPTLGAGQSAFRIHFEWDNDMGVPGAFYIKNFMQTEFFLVSLTLDDIPNHGSIYFVCNSWIYNAKHHKIDRIFFANQAYLPSETPAPLVHYREEELNNLRGDGTGERKEWERIYDYDVYNDLGNPDSGENHARPVLGGSETYPYPRRGRTGRKPTRKDPNSESRSDYVYLPRDEAFGHLKSSDFLTYGLKAVSQNVVPALESAFFDLNFTPNEFDSFDEVHGLYEGGIKLPTNILSQISPLPVLKEIFRTDGEQTLKYPPPKVIQVSRSGWMTDEEFAREMLAGVNPNVICLLQEFPPRSKLDSQVYGDHTSKITKEHLEPNLDGLTVEEAIQNKKLFLLDHHDSIMPYLRRINSTSTKAYATRTILFLNNNQTLKPLAIELSLPHPQGDEHGAVSYVYQPALEGVESSIWLLAKAYVIVNDSCYHQLVSHWLNTHAVVEPFVIATNRHLSCLHPIYKLLYPHYRDTMNINSLARLSLVNDGGIIEKTFLWGRYSMEMSSKAYKNWVFTEQALPADLIKRGMAIEDPSSPCGVKLVIEDYPYAVDGLEIWAIIKTWVQDYVSLYYTSDEKLRQDSELQAWWKELVEVGHGDKKNEPWWPKMQTREDLIEVCSIVIWTASALHAAINFGQYPYGGLILNRPTLSRRLMPEKGSAEFEELVKSPQKAYLKTITPKFQTLIDLSVIEILSRHASDELYLGERDNPNWTSDKRALEAFKKFGNKLAEVEKKLTQRNNDEKLINRHGPVEMPYTLLYPSSKEGLTFRGIPNSISI >CAK8531819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:157409153:157409575:1 gene:gene-LATHSAT_LOCUS1584 transcript:rna-LATHSAT_LOCUS1584 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNIKNLSRLQFFYLDNCKNLCCLSELPPSTKELHTDSCISLVNVSSLKALSQSMKGVKKYNLFKNNIKLGGPSLDRVMEDGILTTKSVTFHNIALAKGHNYNENNVLFCLPGHTVPRQFKFRTICSSFSISIQLPPC >CAK8572692.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556300548:556301810:-1 gene:gene-LATHSAT_LOCUS25225 transcript:rna-LATHSAT_LOCUS25225 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLSSVSASPLQAYTLHTQTHTYLRHRVHKHGLLKPHRAVKQQSQTSIRTSWPSVSLSLFGAGFLFGPLLDGLHSRVDLVVYKSGSIDIGPLHTNIWVPPLLGLFYCSVGLLQLYLDEKVLNKVQEGSLTKTIASLVLVALFIELSAELYNAGIADNIEAYILFAAAEFLWFLLDRTQPGFTLACLVGVACPLAEIPLMKFLHLWYYPQANIEIFGQGLVTWTLTCYFVYTLFLINLSRWFRTVYATQTEETDS >CAK8567758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541922334:541923767:1 gene:gene-LATHSAT_LOCUS20781 transcript:rna-LATHSAT_LOCUS20781 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSNCDIGCEEESVLMIPNQKTTELKCDLQALNIDLPSSSDVDVSSMVSMSSWDSEPEKDISPEESLQDSNLEGNHFVEVENIDDDFSWEVDNRSYEELLKKFMEKEEELRVSNLKLQLSEQDTINLAVQVENSEIQINDVCEKLELKEVQILKSENELDSLRGELNQKNDQLDNVRKELELKEEELNEQKKLSEEEIFKLEIKITKNLSKIRNLTEQVDVDHKKLKILTDENESLRKELGMKSSMTNQLQCQIKEEKRKRVILENLVIMNEENNNNHEEELRKLNLKQFDLQIGFFSHRKKMEADIASLSKQKKQLTSKLEDCESRNKELKQKLMRNEAENLKHFQVEIRYLRRKLDERVIDVEEANVKIDKLNDEICSRDGEISDMKKYMEEINTSREVLVVEENKLKLRVEELEQELIKQKSVILNMADEKKEAIRELCNSLEHYKTGYNEVLQAYEVLYESWRTRCFSFLV >CAK8576480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482860158:482860469:-1 gene:gene-LATHSAT_LOCUS28663 transcript:rna-LATHSAT_LOCUS28663 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKGIKGLGKGRTKRHMMIMHDNIWGITKPSIRRLVRRGGVKRISGLIYEETMLFLKVFLTKIIHDTTSYTNNARRKVVTATDVVYTLKRQGRTLYDFGG >CAK8538229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471897511:471898359:-1 gene:gene-LATHSAT_LOCUS7451 transcript:rna-LATHSAT_LOCUS7451-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANTDVLRWIDSIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLRSLFVERGGKWSVVLNSGQTFTDNCLNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPFSHVIAACSNVRHDACALLSDVYRVTNLFGVYNASFPVMSCDEYWPVYEGDQICHNPRMWRNKKGRPVNTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8538228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471897511:471898404:-1 gene:gene-LATHSAT_LOCUS7451 transcript:rna-LATHSAT_LOCUS7451 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYALNQPTFHYYRSEIGMANTDVLRWIDSIPAEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLRSLFVERGGKWSVVLNSGQTFTDNCLNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPFSHVIAACSNVRHDACALLSDVYRVTNLFGVYNASFPVMSCDEYWPVYEGDQICHNPRMWRNKKGRPVNTRITTEMDNFDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8572659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553675964:553680958:-1 gene:gene-LATHSAT_LOCUS25194 transcript:rna-LATHSAT_LOCUS25194 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENGSGEIGNSHKHDEATTSEKNGRETSTSGEKEKEKEKQETVPFHKLFTFADSTDILLMVVGTIGAVGNGLGLPLMTLLFGQMINSFGSNQSNIDDVVEQVSKVSLRFVYLAVGCGVAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFIGGFVIAFTKGWLLTLVMMSTLPLLAVSGAAMAVIIGKMASKGQTAYAKAAHVVEQTIGSIRTVASFTGEKQAVSSYKVFLVDAYKSGVFEGTIAGVGLGTVMFVIFCGYALAVWFGAKMIIEKGYNGGTVINVIIAVLTASMSLGQASPSLSAFAAGQAAAYKMFETIKRKPEIDTYDPNGKTLEDIQGEVELKEVYFSYPARPEELIFNGFSLHIPSGTTAALVGQSGSGKSTVISLVERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFSSSIKDNIAYGKDGATIEEIRSASELANAAKFIDKLPQGLDTMVGDHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTIRNADMIAVIHRGKMVEKGTHSELLKDPEGAYSQLIRLQEVSRESDETSDNNGKRELSAESFRHSSQKKSLQKSISRGSSLGNSSRHSFSVSFGLPTGVNVADPETEDSPTKEKGQEIPLSRLASLNKPEIPVLLIGCLAAIGNGVILPIFGVLISSIIKTFYEPFDEMKKDSKFWAIMFMLLGLASLVVIPARGYFFSVAGCKLIERIRLICFEKVVSMEVGWFDEPENSSGAVGARLSADAASVRALVGDALGLLVQNLATALAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDKVMELYRKKCEGPMKTGIRQGIISGTGFGVSFFLLFCVYATSFYAGARLVKAGDATFSDVFRVFFALTMAAIGVSQSSSFAPDSSKAKSATASIFGMIDKKSKINPSDESGTTLDSVKGEIELRHISFKYPSRPDIQIFRDLNLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGVITLDGIEIRELQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEIIAASELANAHRFISGLQQGYDTIVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINVKDGFYASLVQLHTSAKTV >CAK8563575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617295305:617295853:1 gene:gene-LATHSAT_LOCUS16971 transcript:rna-LATHSAT_LOCUS16971 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQTSVEEIERGRKAQEELSRRNSISSLLVGDGLEVVGSMKKHIKEFFSAKFQQQEVKIPNLDVTGLVCLTEAESSSLEIMFDEEEIRDVIFECAGNKSPGLANFNLEFLKRRWSVVGVDVVSCIQEFHKLAWLPKATTSSFFA >CAK8563576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:617295536:617295853:1 gene:gene-LATHSAT_LOCUS16971 transcript:rna-LATHSAT_LOCUS16971-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHIKEFFSAKFQQQEVKIPNLDVTGLVCLTEAESSSLEIMFDEEEIRDVIFECAGNKSPGLANFNLEFLKRRWSVVGVDVVSCIQEFHKLAWLPKATTSSFFA >CAK8536278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:924550506:924552184:-1 gene:gene-LATHSAT_LOCUS5662 transcript:rna-LATHSAT_LOCUS5662 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDEAVSVPSNLIRSTSNSNSNPNPPNPNKRKRSLPGTPDPASEVIALSPKSLMTTNRFICEVCNKGFKRDQNLQLHLRGHNLPWKLKQRNHQEVVRKKVYVCPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTIFSRKDSFLTHRAFCESLVERSGRIGSAPAVLSNFGNNLLINTQAQAQAPRIPHGLFGLNQEFGGPVPEQFMGNFLNNNPPPHHHHQINIPHHNYLSSNATTTTSVFSTSEANSDLELLQTNMNTFGSIPNGQWMNYRYTDQQEVSMPMLTQGVLKLEQEENNKMNDFSHLFYQNQLQGGPSHMSMESQSTTRKLNNGNNSAPPNIVEIKKLFKQGNHAGNLNEDQLSLTRDFLGVGVGDDSLKKPLLQQEIPRFNPIGPVMNMQSDQFGGHY >CAK8544886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710454741:710456335:1 gene:gene-LATHSAT_LOCUS13531 transcript:rna-LATHSAT_LOCUS13531 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >CAK8564097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651564534:651565919:1 gene:gene-LATHSAT_LOCUS17436 transcript:rna-LATHSAT_LOCUS17436 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSQLRWYGECVYSTATGVDLLNNINNGKTALERLISVVAWTISTTRPQSFGVAPYNPILGETHHVSKGNLNVLVEQVSHHPQVSAFHATDQKENIEIILCHFPAPKFVGSGVEAIMHGKRKLRLHNHGETYEMNCPNFLFRFLPVPGIDWVGDVTIRCQETGLVAELSYIRQSFFGFGGNRRRIKGKIFDSLTMNVLYKVDGHWDSTVTLKDASNAEVRVIYDAKQVISGLHTPFVKDPEKVWPTESALVWGELSQAIISNDWEKAREAKKTVEETQRVLQRERESNGETWIPKHFIVSQSNEDGWNCSPIQKWVPDAPIVTL >CAK8578355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623127139:623127393:1 gene:gene-LATHSAT_LOCUS30372 transcript:rna-LATHSAT_LOCUS30372 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIARFFMEVAPPQYVSVMRRRTTKMMETITEEDREISLNDSVISASSPSASACASSSTNATVNTRFFLKEVHRKLSSLNH >CAK8538945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500066799:500069948:1 gene:gene-LATHSAT_LOCUS8090 transcript:rna-LATHSAT_LOCUS8090 gene_biotype:protein_coding transcript_biotype:protein_coding MENHREDEIEDNMSTPSVGSMQIAGSNGFGHSMEFMSQAYLHNRYPEIDIQVEDSTFNQDPPLPVYLKFEDVEFKVRNCQVASKNPVKTMVSKVAIQNNVEEKNKYKTILKGITGSIGPGEILALMGPSGSGKTTLLRVVGGRLLDNVKGKITYNDVPYSPALKRRIGFVTQEDVLFPQLTVEETLIFSAFLRLPTNMSKQQKYARVENTVKDLGLERCRHTKIGGGYLNGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLLLTLQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGHPVYYGKARDTSEYFSSLRLIPEIPMNPAEFLLDLATGQVNDISVPEDIFKDQESTDPSIAVIKYVQIKYKDILEPKEKQENHRGANTPKHLQLAIQVKKEWTLSWLDQFIILYKRTFRARRKDYFDILRLVQALGIALLLGLLWWKSSIDTEAQLRDQVGLMFYICIFWTSSCIFGAVYVFPFEKVYLKKERVADMYRLSVYYASSTLCDMVAHVLYPTFFMLIVYFMAGFKRTVACFFLTLFAVLLIAVTSQGAGELFGAAVMSIQRAGMVASLILMLFLLTGGYYVQHIPKFMQWLKYMSFMYYGFRLLLKVQYSGDELYECESEDGCKTLQSSPSFDTVNLNGGLTEVWVLLAMALCFRVFAYFCLRRRIDISN >CAK8561360.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:168413683:168415595:-1 gene:gene-LATHSAT_LOCUS14961 transcript:rna-LATHSAT_LOCUS14961 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKEILHKMKEKVLGPSDPDSGKGKSKMSKHVTHGFHLVKGRSNHPMEDYVVAEFRHVDDNELGLFAIFDGHAGHTVPDYLRSHLFDNIINESDFWTDPADSVKRAYSETDSTILEKSVELGRGGSTAVTAILINCQKLVVANIGDSRAVLSENGVAIPLSVDHEPTTESDAIKNRGGFVSNFPGDVARVDGQLAVSRAFGDKSLKIHMTSEPHVTVKMIDDGGEFVILASDGLWKVMSNQEAVDAIKDIKDARSAAKRLTEEALNRRSSDDISCIVVRFH >CAK8532031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:194816610:194817311:-1 gene:gene-LATHSAT_LOCUS1774 transcript:rna-LATHSAT_LOCUS1774 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGVIQTDHQKIEEEILKFYKDLVGKSVGKLKSINLVDLRNGPQLNNDQRDALSCSIFDAKIKTALYGIHDNTAPGIDGFGAKFFKASWDIIKEDLGKAVREFFGSSCLLNAMNCTLVTLIPKVNNATMIKDYRPISCCTTLYKVISKIMANRLSKVLNSIIDNSQAAFVPGNHIQDHILLAFELIKGYNTNGAPPRCMIQMGLQKANDTIEWCALEAIMKEMSFPQKFIN >CAK8564452.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675371225:675373077:1 gene:gene-LATHSAT_LOCUS17757 transcript:rna-LATHSAT_LOCUS17757 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKEHKLSSSCHNTLNQLLNNAKTIAHLKQTHALFIKLLTPKPPHRFFDRLLLQVLHFSAEKSNLYYAHKLFDTMPNCPSCFLWTSVIRAFLSHRTHFRHCIFTYVRMHQNSIFPSEFTFSSVLNACGRVPALVEGKQVHARVMQSGFIGNKIVQTSLLDMYSKCGCVCDARDVFDGMVERDVVAWTAMICGYAKVGKMIDARLLFDIMGERNSFTWTTMVAGYTNCGDMKAAKELYDLMSGKDEVAQVAMIAGYGKLGNVSDAKRIFDGISVPWDPSICAAMLACYAQNGYAKEAIEMYEKLRQAKIKITEVAMVAAISACAQLRDIRMSNSLTHHIQKGFCERTHIVSNALIYMHSKCGNIDLAWREFSMMRYRDLYTYSAMIAAFAEHGKSQDAIDLFLEMQQKGLKPNQVTFVGVLNACSASGLTEQGCRFFQIMTEVYGIEPLPQHYTCMVDLLGRAGQLEKAYSLIQENSASADATSWGSLLAACQVYGNMQLGETAARHLFEIDPTDSGNYVLLANTYAANDKWECAEEVKKLISKKGMKKPSGYSWIQKEIKGKYQEEVHCLVVSAS >CAK8539860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529571032:529574561:1 gene:gene-LATHSAT_LOCUS8920 transcript:rna-LATHSAT_LOCUS8920 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDGLPTSTTTSIKKENVDSSLFGKGRYKFWALAAILLLAFWSMFTGTVSLRWSGNLNSLSSDLDTPIHDDLDVLEMEEREKVVRHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVRDDAITEIAKMSVRSVNFDPPPIQSTRTQEFSKTLKIAEKGKEAIASRRA >CAK8561064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:84436233:84437552:1 gene:gene-LATHSAT_LOCUS14687 transcript:rna-LATHSAT_LOCUS14687 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPASITLFLFVICFIFPLSRALNNGFSVELIHRDSLKSPLYKPTQNKYQNIINAARRSVDRISHFYEDSLNASPESTIIPDQAEYLMTYSVGTPPFKLYGIADTGSDIVWLQCEPCEQCFNQTTPMFTPAKSSTYKNIPCSSNLCQTVRDSTCNDKNNCEYSISYGDRSHSQGDLSVDTLTLESTTGKSVSFPNTVIGCGTDNTVSFQGVSSGIVGLGGGPVSFITQLGSSIGGKFSYCLPPSLLSSETQSSNSTTKLNFGDAAIVSGDGVVSTPIAKKDSPVFYYLTLESFSVGNNKTVEFGGLSSNDSRDEGNIIIDSGTTLTLLPSKIYNDLESAVVESVKLERVDDPNKIFNLCYSLKSDGYDFPLITAHFDGADVELHPISTFVQVADGIVCFAFQSSQTAIFGNLAQQNLLVGYDLQQKTVSFKPTDCTKV >CAK8567050.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:482423876:482424607:1 gene:gene-LATHSAT_LOCUS20138 transcript:rna-LATHSAT_LOCUS20138 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLGVVRPPPESCDERGKRLKSDQEPKTEPEQESESEPEPEPESETDSETEREIEKEWDRAWGWESIPLEDLTVSDLSSRFEDDPYAFAYSCPKFSYENKAAKKLREDGKKALADYRERSRNISPFDVTDVPSFGIICGTNFPRPVTITDDRRPQFVYLSELALDKYNRDNQVLNYEFQHVIKATMQFIPRTTYYITFQAKAKPIHDDGVQNYPATTFQARVRVLDREPSPVVESCSIKT >CAK8574349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676645356:676656083:-1 gene:gene-LATHSAT_LOCUS26704 transcript:rna-LATHSAT_LOCUS26704 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLRSAVNKAVEASNKNNLTNTVKKYADTVVQHAGQAVAEGAKILQDRITTRNYRSVAQTVKRLEEAAISHRGPERVQLLRRWIVVLKEIESLSEALAEGKEKTLEQHLVVEEIKENPQRPSLILYYDSDVGGEPLNFRDVFLQSQALEGITLSMIIEAPNEEEVSLLLEMFGFCLTGGKEVHNAIVSSLQDLATAFSSYQDEVLVKREELLQFAQRAITGLKINSDLARIDAEASSFRKNLTEITTSQGIVNKGDYNAAEERQATLEALRVALGQIRICSKLEGLLLKKKNISNGDSPEVHAQKVDKLKVLTESLVNSAAKAEKRISDNRQQKEEALKVRVTKSGETSEKEKELAAEISELQQKKDDLEAELKKVNNSLAAAQARLRNAREERDQFEEANNQIVEHLKIKEDELSKSISSCRVESDVIKTWINFLEDTWVLQQSNTEIHEKQVNDELERHEDYFVNLAIQLLTTYQKELEPCINHIQTFVLNLKNLSQRLEMTASADTEESKLLSPRRNLEEEYLTYEAKIITTFSVVDNMKQQFYAQQGNITRKDEEKVKELFEAIEKLRIQFEAIERPILEIESPPVKTERLPSEKKSDSIPSPSSASVQGTEFSKTETDEQPKSPSVKPDQVLDHEAELAKLESEFGKVSTQDYSTEEINDWEFDELEREFESGSTK >CAK8565825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339909827:339911737:1 gene:gene-LATHSAT_LOCUS19006 transcript:rna-LATHSAT_LOCUS19006 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKNSLQITRTASVAYDDDGRVKRTGNVKSAVAHIITAVIGSGVLSLAWSIAQLGWIGGPIALLSCAAATYISSFLLSDCYRNPVSGKRNYSYIDAVRVNLGMKRTYVAGFLQFLSLYGTCVAYVITTATSMRAIMRSNCYHKEGRDAPCSYGGNLYMLLFGLVQIVMSFIPDLHNMTWVSVVAAIMSFSYSFIGLGLGIATVIQNGRFIGSLTGVQTANVADKIWLIFQAIGDISFSYPYPMLLLEIQDTLKSPPSENRTMKKASMISISITTFFYLCCGCFGYAAFGNAAPGNLLTGFGFYEPFWLIDIANVCIIIHLVGGYQIYSQPIYGAADRWFSKKFSKSSFVNDFRRVKLPLLPAFEVNLYRFCFRTAYVISTTGLAILFPYFNQVLGILGGINFWPLAIYFPVEMYFVQMKIGAWTRKWIALRIFSFACFLVTMVGLIGSLEGIIRAKIGGNSH >CAK8537631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:411838735:411839505:-1 gene:gene-LATHSAT_LOCUS6900 transcript:rna-LATHSAT_LOCUS6900 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNFQQHHHNLFQSPRECQTSEDDESRGSGGPGSLTPHKPFSAISGSSDGATIEIGRRPRGRPPGSKNKPKSPLIITHDPEPAMSPHILEIPGGSDIVEAIARFSIRRKTGLCILSGSGTVANVTLRQPSGPPGTTVIFHGRFSILSISATFFVPTESSPPMNKEFSISLAGPQGQIIGGFVVGRLLAAGTVFVIAASFNNPTYHRLPLEEDVRNNSVSGGCDEKSPPLSGGESCMYSCQLPSDVIWAPTPRTHF >CAK8567541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523522017:523527011:1 gene:gene-LATHSAT_LOCUS20587 transcript:rna-LATHSAT_LOCUS20587 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQQQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGSNRNQAFIEFTDLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVHNKTAADVAGNVLLVTIEGEDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPRYLLSEQVGPCTLKITYSGHTDLTVKFQSHRSRDYTNPYLPVAQSAIEGGGQVMVGLDGKRLEAESNVLLASIENMQYAVTLDVLHTVFSTFGPIQKIAMFDKNGALQALIQYPDTQTAVVAKEALEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPITPVVNLQPSILGQPVSMVGAPAQQYNGSQYTPISDPAMIPQPQAGWGTAPPAVAQSMPMQMYNNIYMPPGNMPPQMSPGNTPPQMPPGNMPPGMQFPNHGMLQPTSTLPSYGFDRTQ >CAK8537529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:388687577:388688934:1 gene:gene-LATHSAT_LOCUS6818 transcript:rna-LATHSAT_LOCUS6818 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATADIRRWDELIPDTLGVIFTKLPLRERLTVIPRVCKSWASAVNGPYCWQEIDIYDWCTRCEPRHIERMVEMLITRSSGSMRKLCASGLQTERIFTFIAENAGSLKNLRLPRCDVNDFAVEQMTRKLSMICILDLSYCIRIGSNAIETIGKNCKRLEVFCRNMHPLDTSGKPLEDAEAFAVASTMPKLKSLEMTYNLITNEGVYQILSLCPNLEHLDLRGCWGVKLDDMYVKQSFPKLNVLGPQVSGYYEREECSDISDSSDSEYDDSDMDEYDFYDDESDDGMWYHDGRIDELEFRVYEGGIEDAAMYWPPSP >CAK8577693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583762731:583764877:1 gene:gene-LATHSAT_LOCUS29775 transcript:rna-LATHSAT_LOCUS29775 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCNSWVRRTNFSHTVCHRLDYSRVGSFIIWPDAVLNSGLNSRTVSSISSSASASAATVAPASASATVAPGSAPPVVSKVSKVQRNPSTNKQRSVSPLPEIYLSETFKEAKNEQKRFSTPGRRRKEQDTRIMGKLLNKDSQVSRSKSPLKSPLRYFGSKNSDKSKNRKDSGWTKYFDHGGVKVTAVETAEEWNVDLCKLYIGLRFAYGAHSRLYHGKYEGDQHVAVKLIRVPDDDENGMLAAKLEKQFITEVTLLSRLHHQNVLKFVAASRNPPVYCVITEYLSEGSLRAYLHKLEGKTMSLQNLIAFSLDIAHGMEYIHSQGVIHRDLKPENVLINEDFHLKIADFGIACEEAYCDLLAYDPGTYRWMAPEMIKRKHYGRKVDVYSFGLILWEMFTGRIPYEDMTPIQAAFAVVYKNSRPVIPLNCPPAMRALIEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTLLQNPCEQDHKKGLLHWIHKLVPAHHNISPVIKPKFT >CAK8561013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:72563287:72572223:-1 gene:gene-LATHSAT_LOCUS14641 transcript:rna-LATHSAT_LOCUS14641 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFVKNLPKYVAEDRLRELFSQKGEITDVNLRTKNGKSRQFAYIGFRTDQEAQEAIRFFNKSYLDTLRITCEVSQKPNDAKLPPPWSRHSAKKDNKVITPDAKNLARAKRQKYNSKDIDDPKLQDFLQVTQPRAKSKMWENDTTVVSNVGNDQATSCKEIDGTSIANHPILSDTQVVGLPNNPKSDKSCELMTDMDYFQSRVITEWSDSVSSEDENDNDDDKDNRCHGNGHEEDCGNNPCERNPRSGAQELDLEGQEDTFGEDVANNKFQVSATEDKKQVSESCRLFVRNLPYTTTEEELEEHFSQFGGVSEVHLVVDKETKRSKGIAYIHFTVPDFAARALQESDNSIFQGRLLHVMPAIPRRSNNEENNGSKDQGTKTLKQRREEERKAAEASGDTRAWNSLFMRPDTIVENVARKYGVSKSDLLDREADDLAVRIALGETQVISETKNAFKKAGVNVEALEELAKGKIDGIKRSNHVILAKNLPYGATENELANMFGQFGNLDKIILPSTKTLALVVFLEPAEAKAAFKGLAYKRYKDTLLYLEWAPSNVVSPKDNEMNSGIGEKDVKRQILEQDVERISDVEIDPDRVEARSMFVKNLSFQTTDEGFRKHFTEHMKEGKILSVKVKKHLKNGKNVSMGFGFVEFDSTETATSVCSDLQGSVLDSHALSLQLCHVKNDGKVGKRVEKDESSTRLLVKNVAFEVTKKDLRQLLSPFGQIKSLKLPMKIGKHRGFAFVEYVTQQEAQNALTALSSTHLYGRHLVIERAKENKSLEELRAQTAAQFNANNLSKKRKAMST >CAK8579207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683425209:683429159:1 gene:gene-LATHSAT_LOCUS31172 transcript:rna-LATHSAT_LOCUS31172 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFLTLPHFLSPTVIKPKHFLLPFPSRHFSRPISYPLLPLRPSAPARPNAKFYPNPADDDPPEISEDTAHGYSKYQQIQIQADRARLLEEEDFKKNQATYLAAIADTEDAPDEAPSIESSQDDLFGEIDKAIALKRKEFVKQGLLKPNPAKKEIEEVVNEELQPDEVDDVEEIERLKGIAVNSDKTPGDSTEDGDLGSNSDSPFDLDFDSLEKSNKPRIVEPKFTMSLAELLDESKVVPILVYGNLEVEISGIQHDSRLVTSGNLFVCCVGSKNDGHMFLSEADKRGAIAVVASKEIDMEDTLGCKALVIVEDTNAVLATLAASFYKYPSKNMALIGITGTYGKTTTTYLVKSMYEAMGLRTGMFNSISCYVHGDNQLDSPYAMLDAVLVQNLMAKMLHNGTESVVFEASSCGLAQGKYDEIDFDVAVFTNLMAGDDEEEDRDSNAKLFSRMVDPERHRKVVNIDDPNASSFVSLGSPEVPVVTFALENKNADVHPLKFELSLFETQVLVNTPSGILEISSGLLGKHNVYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYARTPDALSRLLDSVRELQPRRIITVIGSCGEDERGKRPLLTKIATDKSEVTMLTSDNPRSEDPLDILDDMLAGVGWSMQEYLKYGDNDYYPPLPNGHRLFLHDIRKVAVRAAVAMGEEGDVVVIAGKGHETYQIEGEKRDFFDDRDECREALQYVDDLHQAGIDTSEFPWRLPESH >CAK8534925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:790637346:790638305:-1 gene:gene-LATHSAT_LOCUS4422 transcript:rna-LATHSAT_LOCUS4422 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQSQWLFRPLTKSLFSIPITYASISPQRFCCHSLPHSTKDAVKHVFEDGNFDEPKVKILKQKMEPLGITLDNSCFPGKYHNLFCPKCNGGQLMERSLSFHIISDCEFAMWRCFRPACGWAGQVFADDKELNNKPFGQMDEKGLGLEPLGAKLVAYFKERLISEKTLSRNAVRQLSDDKNVIAFTYKQNGLLVGCKYRTMEKKFWQRKGTKKVLYGLDDIAHATEIIIVEGEIDKLSLEEAGYLNCVSVPIGAPGKVSSKALPPIEKDTAYQYLWNCKVYLDKAVRIILATDNDPPGQALAEELTRRLGRERCWQVR >CAK8565806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:337033510:337033995:-1 gene:gene-LATHSAT_LOCUS18987 transcript:rna-LATHSAT_LOCUS18987 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSFAGVSAVRPLRFTDECSTSARSFRNPISNFSNHRRVSIRAVAAVAETRRPAKSLYEILRLKPGASPTDIKSAYRSLAKVYHPDTAAQRLPECDDGDFIEIRNAYETLYDPSTRAIYDMSLMAVHGGRSRQFSAPVTQKRYSGYHTKRRWETDQCW >CAK8563121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575035006:575044063:-1 gene:gene-LATHSAT_LOCUS16562 transcript:rna-LATHSAT_LOCUS16562 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNSAPRSKLSPAASPVAQSPIGGAAKGSTSPDTDATSVFDQNLTNPSKNELVPFQSGGSDFSAIKVECERALTTFRRGNHNRAMKLMKELCLKEEGSPYSAFVYRIHGFICFKVASIITDCSAKQRHLKHAVESARRAVELSPNSIEYAHFHATVILEAATEGKDYEDVVHECERGLAIENPNDPAKETLQDENEQKVSSLEDRILHVQAELRQLIQKSNIASLSSWMKNLGNGEERFRLIPIRRASEDPMEVRLVQARRPNEIKKVTKTPEERRKEIEVRVAAARLLQQKSEAPQSPNEGERDDRALDLSSGSSQRIGERRRHMRKNGSTAERRKWVLSYWDSVSMDVKKDCLRIKISDIISHFGSTKETLPKDVLSEALFYAEANKTWKFWVCGICTEIFSNPEGHRQHVMQAHLQTLSPKMQRILPQHVDNEWLEMILNCSWKPLDVSAAVKMLGYKANLKGSSLPEDYLAQGYNDCFKDSTSTYHEKESLGYSFDNCTTERSKYYKIAESNAREGIEDQQFVANAVTDCWPVSDDKECAKLLEKIHGLFEILIRHKCIAASHLHKVIQFSMGEIQGLAAGSELLKHDLDQTPMCICFLGASQLKKILQFLQEMSHACGLGRYTDKSSSPKDLHDISQGPEIREKIVLNGDASCLLLDESLLLAQFTPGTAQESVFEDMVTPSSPDGISDSSGAFLSWLYSSRSIGDQLSTWIRTKEDKICQGQEMVRTLDKEFYQLHSLCQKKCDRLAYEEALQTIEDLCLEEGKKRGDVGEFVQRSYESVLKRRREEIAESESEMAYTGNRFEMECISNVLQEAEAMNVNNQYCCDETYPGATSQICDLESGENDEWRMKDCLHQMDGCVEIAIQKLKEHASIEISKADAEIIRCVSEVQQLELKLGHVSANDYRAILVPLVKVYLKALLEDLAEKYAREKSDAAGEAFLAELALDSKKIGKGGNESTRHVEKTKDKKKNKDHRKTRDLKTTSGSTHPLLQSTTLDSDLVSPDSDFQDHEVISMNDVDLEHHEEEFRRKIELEEEEKKLEETLELQRRIENEAKQKHLAEQQKKLSGTCVEELSDKFQGCQLKPVVDGSDAQEYGRLPMQEQLGKENGCPNNLDAVLITAANGSMGPTKSLADSAPPKIIHLHQSKVKQADIPNGVGPENGLPLPDRRAGKKHKRNKNSSKMVDGKLEYVSLEENENIEDKHTDNHMREQAKFHNSQDAKHLLENNGVKVMKELQVEDDEEERFQADLKMAVRQSLDTYQARGNLPLVSSLRMPQRSSSPVDCSGLAPLEDSAQVVNGASLLGTGLMNEVGEYNCFLNVIIQSLWHLSCFRVEFLGRSRSEHAHVGNPCVVCALYEIFTALDLASKDSRREAVAPTSLRIALSNLYPQSNFFQEAQMNDASEVLAVIFDCLHRSFTRGSGVSDTESVESNCTGSWDCAANSCIVHSHFGMNISEQMNCYHCGRESRRLKYTSFFHNINANALRSMKATFPESSLDKLLNLVEKNHQLACDLEAEGCGKLNHIHHFLAAPPHVFMTVLGWQNTCESVDDITATLAALSTKIDISVLYRGLSPKSTHNLVSVVCYYGQHYHCFAYSHDHGQWIMYDDKTVKVIGGWADVLTMCERGHLQPQVLFFEAVN >CAK8563119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575035006:575044063:-1 gene:gene-LATHSAT_LOCUS16562 transcript:rna-LATHSAT_LOCUS16562-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNSAPRSKLSPAASPVAQSPIGGAAKGSTSPDTDATSVFDQNLTNPSKNELVPFQSGGSDFSAIKVECERALTTFRRGNHNRAMKLMKELCLKEEGSPYSAFVYRIHGFICFKVASIITDCSAKQRHLKHAVESARRAVELSPNSIEYAHFHATVILEAATEGKDYEDVVHECERGLAIENPNDPAKETLQDENEQKVSSLEDRILHVQAELRQLIQKSNIASLSSWMKNLGNGEERFRLIPIRRASEDPMEVRLVQARRPNEIKKVTKTPEERRKEIEVRVAAARLLQQKSEAPQSPNEGERDDRALDLSSGSSQRIGERRRHMRKNGSTAERRKWVLSYWDSVSMDVKKDCLRIKISDIISHFGSTKETLPKDVLSEALFYAEANKTWKFWVCGICTEIFSNPEGHRQHVMQAHLQTLSPKMQRILPQHVDNEWLEMILNCSWKPLDVSAAVKMLGYKANLKGSSLPEDYLAQGYNDCFKDSTSTYHEKESLGYSFDNCTTERSKYYKIAESNAREGIEDQQFVANAVTDCWPVSDDKECAKLLEKIHGLFEILIRHKCIAASHLHKVIQFSMGEIQGLAAGSELLKHDLDQTPMCICFLGASQLKKILQFLQEMSHACGLGRYTDKSSSPKDLHDISQGPEIREKIVLNGDASCLLLDESLLLAQFTPGTAQESVFEDMVTPSSPDGISDSSGAFLSWLYSSRSIGDQLSTWIRTKEDKICQGQEMVRTLDKEFYQLHSLCQKKCDRLAYEEALQTIEDLCLEEGKKRGDVGEFVQRSYESVLKRRREEIAESESEMAYTGNRFEMECISNVLQEAEAMNVNNQYCCDETYPGATSQICDLESGENDEWRMKDCLHQMDGCVEIAIQKLKEHASIEISKADAEIIRCVSEVQQLELKLGHVSANDYRAILVPLVKVYLKALLEDLAEKYAREKSDAAGEAFLAELALDSKKIGKGGNESTRHVEKTKDKKKNKDHRKTRDLKTTSGSTHPLLQSTTLDCSSDLVSPDSDFQDHEVISMNDVDLEHHEEEFRRKIELEEEEKKLEETLELQRRIENEAKQKHLAEQQKKLSGTCVEELSDKFQGCQLKPVVDGSDAQEYGRLPMQEQLGKENGCPNNLDAVLITAANGSMGPTKSLADSAPPKIIHLHQSKVKQDIPNGVGPENGLPLPDRRAGKKHKRNKNSSKMVDGKLEYVSLEENENIEDKHTDNHMREQAKFHNSQDAKHLLENNGVKVMKELQVEDDEEERFQADLKMAVRQSLDTYQARGNLPLVSSLRMPQRSSSPVDCSGLAPLEDSAQVVNGASLLGTGLMNEVGEYNCFLNVIIQSLWHLSCFRVEFLGRSRSEHAHVGNPCVVCALYEIFTALDLASKDSRREAVAPTSLRIALSNLYPQSNFFQEAQMNDASEVLAVIFDCLHRSFTRGSGVSDTESVESNCTGSWDCAANSCIVHSHFGMNISEQMNCYHCGRESRRLKYTSFFHNINANALRSMKATFPESSLDKLLNLVEKNHQLACDLEAEGCGKLNHIHHFLAAPPHVFMTVLGWQNTCESVDDITATLAALSTKIDISVLYRGLSPKSTHNLVSVVCYYGQHYHCFAYSHDHGQWIMYDDKTVKVIGGWADVLTMCERGHLQPQVLFFEAVN >CAK8563120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575035006:575044063:-1 gene:gene-LATHSAT_LOCUS16562 transcript:rna-LATHSAT_LOCUS16562-3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNSAPRSKLSPAASPVAQSPIGGAAKGSTSPDTDATSVFDQNLTNPSKNELVPFQSGGSDFSAIKVECERALTTFRRGNHNRAMKLMKELCLKEEGSPYSAFVYRIHGFICFKVASIITDCSAKQRHLKHAVESARRAVELSPNSIEYAHFHATVILEAATEGKDYEDVVHECERGLAIENPNDPAKETLQDENEQKVSSLEDRILHVQAELRQLIQKSNIASLSSWMKNLGNGEERFRLIPIRRASEDPMEVRLVQARRPNEIKKVTKTPEERRKEIEVRVAAARLLQQKSEAPQSPNEGERDDRALDLSSGSSQRIGERRRHMRKNGSTAERRKWVLSYWDSVSMDVKKDCLRIKISDIISHFGSTKETLPKDVLSEALFYAEANKTWKFWVCGICTEIFSNPEGHRQHVMQAHLQTLSPKMQRILPQHVDNEWLEMILNCSWKPLDVSAAVKMLGYKANLKGSSLPEDYLAQGYNDCFKDSTSTYHEKESLGYSFDNCTTERSKYYKIAESNAREGIEDQQFVANAVTDCWPVSDDKECAKLLEKIHGLFEILIRHKCIAASHLHKVIQFSMGEIQGLAAGSELLKHDLDQTPMCICFLGASQLKKILQFLQEMSHACGLGRYTDKSSSPKDLHDISQGPEIREKIVLNGDASCLLLDESLLLAQFTPGTAQESVFEDMVTPSSPDGISDSSGAFLSWLYSSRSIGDQLSTWIRTKEDKICQGQEMVRTLDKEFYQLHSLCQKKCDRLAYEEALQTIEDLCLEEGKKRGDVGEFVQRSYESVLKRRREEIAESESEMAYTGNRFEMECISNVLQEAEAMNVNNQYCCDETYPGATSQICDLESGENDEWRMKDCLHQMDGCVEIAIQKLKEHASIEISKADAEIIRCVSEVQQLELKLGHVSANDYRAILVPLVKVYLKALLEDLAEKYAREKSDAAGEAFLAELALDSKKIGKGGNESTRHVEKTKDKKKNKDHRKTRDLKTTSGSTHPLLQSTTLDCSSDLVSPDSDFQDHEVISMNDVDLEHHEEEFRRKIELEEEEKKLEETLELQRRIENEAKQKHLAEQQKKLSGTCVEELSDKFQGCQLKPVVDGSDAQEYGRLPMQEQLGKENGCPNNLDAVLITAANGSMGPTKSLADSAPPKIIHLHQSKVKQADIPNGVGPENGLPLPDRRAGKKHKRNKNSSKMVDGKLEYVSLEENENIEDKHTDNHMREQAKFHNSQDAKHLLENNGVKVMKELQVEDDEEERFQADLKMAVRQSLDTYQARGNLPLVSSLRMPQRSSSPVDCSGLAPLEDSAQVVNGASLLGTGLMNEVGEYNCFLNVIIQSLWHLSCFRVEFLGRSRSEHAHVGNPCVVCALYEIFTALDLASKDSRREAVAPTSLRIALSNLYPQSNFFQEAQMNDASEVLAVIFDCLHRSFTRGSGVSDTESVESNCTGSWDCAANSCIVHSHFGMNISEQMNCYHCGRESRRLKYTSFFHNINANALRSMKATFPESSLDKLLNLVEKNHQLACDLEAEGCGKLNHIHHFLAAPPHVFMTVLGWQNTCESVDDITATLAALSTKIDISVLYRGLSPKSTHNLVSVVCYYGQHYHCFAYSHDHGQWIMYDDKTVKVIGGWADVLTMCERGHLQPQVLFFEAVN >CAK8533329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:603219657:603223856:1 gene:gene-LATHSAT_LOCUS2967 transcript:rna-LATHSAT_LOCUS2967 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRGEAFLCFVALFLFLCSTNALLSPKGINFEVQALMSIKASLMDPHGILENWDGDAVDPCSWNMVTCSPENLVISLGIPSQNLSGTLSPSIGKLTNLQTIVLQNNNITGTISSELGKLSMLQTLDLSDNFFNGKIPPSLGHLRNLQYLRLNNNSFSGECPESLANMAQLTFLDLSFNNLSGNVPRILAKSFSIVGNPLVCATAKETNCHGMKLMPMSMNLNNTNNALPSRRTKAHKRVIAFGLSLGCLCLLVLGFGFVLWRRHKHKQQAFFDVKDRNHEEVYLGNLKRFQLRELQIATHNFSNKNILGKGGFGIVYKGILSDGTLVAVKRLKDGNAKGGEIQFQTEVEMISLAVHRNLLKLYGFCMTSSERLLVYPYMSNGSVASRLKAKPVLDWGTRKQIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHKDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKAANQKGAMLDWVKKIHQEKKLDLLVDKDLKNNYDKIELEEIVQVALLCTQYLPNHRPKMSEVVRMLEGDGLAEKWEASQKADNTNKCKPHELSLSDRFSDLTDDSSLLIQAMELSGPR >CAK8541331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:122812515:122812707:1 gene:gene-LATHSAT_LOCUS10260 transcript:rna-LATHSAT_LOCUS10260 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTGFRGCVGKTGPFNVLETDLHLKTY >CAK8562827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:544232640:544233338:-1 gene:gene-LATHSAT_LOCUS16292 transcript:rna-LATHSAT_LOCUS16292 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCNLVFWMLCVLLHVLMKISSATLSPSGINYEVVALMAIKNNLNDPHNVLESWDINYVDPCSLRMITCTSDDSVSALGLPSQNVSGTLSPRIGNLTNLQSV >CAK8533704.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651541817:651544714:-1 gene:gene-LATHSAT_LOCUS3307 transcript:rna-LATHSAT_LOCUS3307 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYFILLFSFLVLLSLLSPTSATPPPAKIVTGVVSNVVSSLLKWIWSQSQKSKPKATVQHSRSMVKFESGYNVETIFDGSKLGIEPYSIEISSDGEFLVLDSENSNVYKISSPMSRYSKPKLLAGSSEGYIGHIDGRPRDARLNHPKGLTVDDSGNIYVADTLNMAIRKISDEGVTTIAGGGKRGQVGGHVDGPSEEAKFSNDFDIVYVSSTCSLLVVDRGNHAIREIQLNQHDCMTSSSTNDEYEYDNSFPLGIAALVCAGFFGYMLALLQRRVKDLFSFSDEPRAHTRTTTPPYASQQRPPPKSVRPPLIPNEDEFDKHDEGFFVSLGRLLVNSSSSMGEIFLSLFIGSKRKQLSYHQYQQHQQQYHYPNRHSNSWPMQESFVIPDEDEPPPNMETKTPTQRKTYPYTNKELEMLEKTRDNSLYETNIFPTSAQINRQTENTIPQRSHAYMNMLNSAYNEQQQHHRHQQRQHSQTQHQQQQQVQTRYSSTTPSSYYEQNCETNEIVFGAVQEHDGRREAMVIKAVDYGDPKFSHHNIRPRLNYVGYSKNY >CAK8533598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:640188282:640189122:-1 gene:gene-LATHSAT_LOCUS3216 transcript:rna-LATHSAT_LOCUS3216 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLFDSFPYKEIENGLLWEVEGKWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKLLTPKLEAEKQEIFKKHIEGTTKFLLPKLKDLKFFVGEILHDDGSLVFAYYKDGATDPTFIYFADGLKEIKC >CAK8539094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503571163:503571381:1 gene:gene-LATHSAT_LOCUS8225 transcript:rna-LATHSAT_LOCUS8225 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWDYAHIEGEKVEIERKKVDAKIKKAESAGERLKMNDLQILSKDTSNMDTRQLQVHDMLCDMIREKYGLN >CAK8560391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17127889:17131466:1 gene:gene-LATHSAT_LOCUS14067 transcript:rna-LATHSAT_LOCUS14067-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAQYNPRTVEEVFRDFKGRRAGLIKALTTDVEDFYNQCDPDKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDSWLLAIAYYFGARFGFDKSDRKRLFNMINELPSIFEVVTGTAKKQVKEKSSVSNHSGSKSKSSSKVRAPEPHVKQTKALEPKDEEEELDEEDEDEHGETLCGACGEHYGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNNKRARP >CAK8560390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:17127889:17131466:1 gene:gene-LATHSAT_LOCUS14067 transcript:rna-LATHSAT_LOCUS14067 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAQYNPRTVEEVFRDFKGRRAGLIKALTTDVEDFYNQCDPDKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDSWLLAIAYYFGARFGFDKSDRKRLFNMINELPSIFEVVTGTAKKQVKEKSSVSNHSGSKSKSSSKVQRAPEPHVKQTKALEPKDEEEELDEEDEDEHGETLCGACGEHYGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNNKRARP >CAK8574665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5902970:5903713:-1 gene:gene-LATHSAT_LOCUS26990 transcript:rna-LATHSAT_LOCUS26990 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSATATVPQNLTFVSSTKLSSSSTSSFNFLSFPSSQSNFCKTITASPQFPRAHALPSSAVTTTASSFHGLCYVVGDNIDTDQIIPAEHLTLVPSKPDEYEKLGSFALIGLPDSYSVRFVEPGETKTKYSIVIGGANFGCGSSREHAPVALGASGAAAVVAESYARIFFRNSVATGEVYPLESETRLCEECHTGDVVTVELEENRLINHTTGKEYQLKPIGDAGPVIEAGGIFAYARKTGMIPTR >CAK8577343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556275401:556276066:-1 gene:gene-LATHSAT_LOCUS29457 transcript:rna-LATHSAT_LOCUS29457 gene_biotype:protein_coding transcript_biotype:protein_coding MSICQGAAENQAPFLPPSAPATNMPRGEIKPLSGKPYFHVVISKSHASPRYGLGPSSKICQKLPFGEVPTVLNCRGKSWDMTYNGQNKNKQFDTIGWRNFVKDNNLKVGDGCVFELMENSEEKIVFEVQILGGGFPGTDYCEEEPIFISKFSGTGESDSPYVID >CAK8544649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:697644094:697651386:-1 gene:gene-LATHSAT_LOCUS13307 transcript:rna-LATHSAT_LOCUS13307 gene_biotype:protein_coding transcript_biotype:protein_coding MYASVTDRGYEGSGGVSGPILIPKRFVWPYGGRRVFLSGSFTRWSEHIPMSPMEGYPSVFQVVCSLMPGYHQYKFNVDGEWRHDEQQPFASGNYGVVNTTYLAREPDVLSAILSAETPSRSHMEVDNDVFGHAEANPRISESDLEVSRHRISVFLSTHTAYELLPESGKVIALDINLPVKQAFHVLYEQDVPMAPLWDYCKSQFVGVLSAMDFILILKELGSHGSNLTEEQLETHTIAAWKEGKLKQRRALDNNEGSNRPCFVHAGPHECLKDVALKVLQSNVSTVPIIHSSSEDGSFPQLLHLASLSGLLKCICRHFKHSAGSLPILQLPIGSIPLGTWVPKVGDPNGQPLAMLRPNASLGAALTMFIQANVSTIPIVDDNDSLLDIYSRSDITALAKDKAYARISLDEISIHQALLLGQDANSSYVPNNSHRCHMCLRSDSLHKVMERLADPGVRRLVIVEAGSKRVEGIISLCDVFRFLLG >CAK8573436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:612233531:612235533:-1 gene:gene-LATHSAT_LOCUS25883 transcript:rna-LATHSAT_LOCUS25883 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETESFVDKIEEKFHDHNSDSDSYSDSDDDNKKKPPAFSSKVKNVYRLFGRDRPVHKVLGGGKPADILLWRNKRCTGMALGAGTALWVFFELMQYHLITLICHLMILSLGALFLWSNASVFIHKSPLQIPHIQVPQERALEVASVLRVEINQMFTILRDIGTGRDIKKFLTVVAGLWVISVIGSWFNFLTLFYLFYLSLFTLPLVYEKHEDQVDALAEKAMIEIKKQYAVLDAKVLSQIPIAGFKKD >CAK8560025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5115684:5116154:1 gene:gene-LATHSAT_LOCUS13743 transcript:rna-LATHSAT_LOCUS13743 gene_biotype:protein_coding transcript_biotype:protein_coding MENFRYYRSWMYDRKLPGRRGLTPNFEEGLKGFITWAFAQECCRREGGVRCPCLKCECRPIISDSEEVERHLKRKGFIKNYWVWTYNGEQLSSNVYAETTNTHASSSQSHMEFDEQFNLIDEMVGNAFGVNVTYMNLRILMGKSCRMRKHKDFTSY >CAK8576061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:396433238:396433468:1 gene:gene-LATHSAT_LOCUS28278 transcript:rna-LATHSAT_LOCUS28278 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTKTFSGPHVNLATRLQRRANIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8542046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460391880:460393388:-1 gene:gene-LATHSAT_LOCUS10920 transcript:rna-LATHSAT_LOCUS10920 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQQSHNQLHVVFLPYPTPGHMIPMIDTARLFAKHGVNVTIITTQASASTFQKSIDTDFNSGYSIKTHLIHFPSAQVGLPHGVENLKDGTSREIFAKICRGISMLQKPIQVLFQDLQPDCIITDMMHDWTVESAAKLNIPTIHYYSASYFSNCAFRFIAKYRPHDDLVSDTHKFTIPGLPHTIEMTPLQISDWLKVKNTANSKLILDLAPTFESEERSYGTLYNSFHELESDYEKLNKTTIGIKSWSVGPVSAWANKDDERKANRGHMEKKFGKEKELLIWLDSKPNDSVLYVSFGSLSRLSHAQIVEIAHGLENSCHNFIWVVREKDKDEDKEGFLHDFEERMKESNKGYIIWNWAPQLLILDHPSTGGIVTHCGWNSILESVNSGLPMITWPMFADQFFNEKLVVDVLKIGVSVGSKVNKFWLGIVEEIVVNREEIAKVVEILMGNGQEGKEMRTRARNLGDAAKRSIEKGGDSYTNLIQLIDELKSLKKSKALGEKAY >CAK8534482.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:731644959:731645432:-1 gene:gene-LATHSAT_LOCUS4025 transcript:rna-LATHSAT_LOCUS4025 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFMLQSNDSSSIITNPNSNQSIRRNRLLTAVNPSSQNGNRSHSGADRNCTVKHPGLNLEMGKVKILKRGEKLSPDNVFKSEGEGCYDSILGSTDRFGPDPQTVEKQVRVHDLTEGLYAGPTSVSSPPPSSVPFPGFLGTNKGAVGDDLVVSLFN >CAK8567873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:552946958:552948390:1 gene:gene-LATHSAT_LOCUS20890 transcript:rna-LATHSAT_LOCUS20890 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNGEKREDTYEGKSYARMIRSRRRNLPLKLSRFRNCNTKKLFMAASTNSSSFLITIHHFHKPKQNY >CAK8544622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696278768:696281500:-1 gene:gene-LATHSAT_LOCUS13281 transcript:rna-LATHSAT_LOCUS13281 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKGEHNVHVVSEEEPVSAKPLPNKPKRIASLDIFRGLTVALMILVDDAGDQWPVIGHAPWNGCHLADFVMPFFLFIVGMAIPLSLKKIPNKLVAVKKVIGRTLKLLFWGLLLQGGFSHAPDHLSYGVDMKHIRWCGILQRIALAYLVVALVEIISRSRQARDDPEHTNLSIFKLYYWHWLVAACIVIVYMTLLYRIHVPDWTFTVHNPDSIYNGTTLTVSCGVQGKLDPPCNAVGYIDRVVLGINHVYKKPAWRRSEACTVKPPYEGPFKNTAPAWCYAPFEPEGILSSISAILSTIIGLHYGHVLVHLQDHLSRLKQWILIGLALLTLGFVLHFTHAIPLNKQLYSLSYVCVTSGAAALVFSAFYVMVDIFGLKLLFIPLKWIGMNAMFVYVMAAEGIFAGFINGWYYDNPQNTLVYWIQEHVFIRVWHSTKLDVVGWNSRPYNKTYLPGYQLS >CAK8544623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696278911:696281500:-1 gene:gene-LATHSAT_LOCUS13281 transcript:rna-LATHSAT_LOCUS13281-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKGEHNVHVVSEEEPVSAKPLPNKPKRIASLDIFRGLTVALMILVDDAGDQWPVIGHAPWNGCHLADFVMPFFLFIVGMAIPLSLKKIPNKLVAVKKVIGRTLKLLFWGLLLQGGFSHAPDHLSYGVDMKHIRWCGILQRIALAYLVVALVEIISRSRQARDDPEHTNLSIFKLYYWHWLVAACIVIVYMTLLYRIHVPDWTFTVHNPDSIYNGTTLTVSCGVQGKLDPPCNAVGYIDRVVLGINHVYKKPAWRRSEACTVKPPYEGPFKNTAPAWCYAPFEPEGILSSISAILSTIIGLHYGHVLVHLQDHLSRLKQWILIGLALLTLGFVLHFTHAIPLNKQLYSLSYVCVTSGAAALVFSAFYVMVDIFGLKLLFIPLKWIGMNAMFVYVMAAEGIFAGFINGWYYDNPQNTLVYWIQEHVFIRVWHSTKLGILLYVIFAEILFWAVIAGILHKLDIYWKL >CAK8537263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:188102426:188102731:-1 gene:gene-LATHSAT_LOCUS6565 transcript:rna-LATHSAT_LOCUS6565 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFQLWIFIRTESYSSSLPFAYKTLTCTIFTSSSIKLCTTQSSLLHQSSSVLHHPHIFINQALHYTIFTSSSIKLFTLHHLHLHQSKFHSAILHHNKNTQ >CAK8534600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:746652359:746652664:1 gene:gene-LATHSAT_LOCUS4133 transcript:rna-LATHSAT_LOCUS4133 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHGRTTTPTLLKRNEEITKLKKEHVDEVRQFNDRIQEMEEKRRQDKEEMERKMQLLLKAILNQNTSELNIEALAALVSSPATDANSVLCSSTSTHAPTK >CAK8564651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5004836:5011200:1 gene:gene-LATHSAT_LOCUS17934 transcript:rna-LATHSAT_LOCUS17934 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTVLSAPVSLLLAILIASLHVLQPTLDFLPWKNLQKSSLPSKADLIIRNGVIFTSDESLPFAHSMAVANGRVIRIGNNSFVQEVAGHGTQVLDLEGKIVLPGFIDSHVHFISGGLQMMQVGLRGVNKKEEVIRRIKEAVESAKPGSWILGGGWNNDQWGGDLPAAYWIDDITPNNPVWLSRMDGHMGWANSVALRLAGITNSTESPSGGTIVRSVDGEPTGLLVDSAMTLVVSRIPELSIDDRREALQKASNLALTKGVTTVVDMGRYFPGVSADLPWEDFTDVYQWTNAMSKMKVRVCLFFPMETWRRLADLVNKMGHALSQWVYFGGVKAFFDGSLGSNSALFYEPYQDEPDNYGLQLADLDALLNMTLESDLSGLQIAIHAIGDKANDLILDLHSLVASTNGMRDRRFRIEHAQHLAPGSPPRFGREGVAASVQPDHLLDDADSVAKKLGNERAVKQSYLFKSLIESNALVALGSDWPVADINPLSGINTAVKRKPPNSEDAWIPSECISLEEAIKGYTISAARASFIDNDLGSLSIGKLADFVILSTDSWKDFAETASASLEATYVSGVKAYP >CAK8563620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620687781:620690776:-1 gene:gene-LATHSAT_LOCUS17013 transcript:rna-LATHSAT_LOCUS17013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGALYEKLATMMTSDHASVVSLNIFVALLCTCIVIGHMLEENRWINESITALLIGLCSGVLILFTTGGRSSHIMVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFCIISLGAMHFFQKLNIGSLKIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFKAIQSFDLSHIDFTTALHLIGNFWYLFIASTVLGIFVGLLSAFIIKKLYFGKLIRHSTDREVALMILMAYLSYMLAELFSLSAILTVFFCGILMSHYTWHNVTESSRITTKHTFATMSFIAEIFIFLYVGMDALDIEKWRFVSESPGKSIGVSSLLLALILVGRAAFVFPLSFISNLSKKSQSEKIEFKQQVTIWWAGLMRGAVSIALAYNQFTRHGHTKLHENAIMITSTISVVLFSTVVFGLLTKPLVKLLLPSSKHITISIPSTPSSPKSFSVPLLGNEEDDVGGSDGTQRPNRLLPSSKHIIISIPSTPSSPKSFSVPLLGNEEDDVGGSDGTQRPNRLLGNEEDDVGGSDGTQRPNRLLGNEEDDVGGSDGTQRPNRLHSLLRIPSYGVHHYWRKFDNCFMRPVFGGRGFVPYVLGSPL >CAK8563163.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:579149268:579151076:-1 gene:gene-LATHSAT_LOCUS16598 transcript:rna-LATHSAT_LOCUS16598 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGSGSGAGDFLMVLIRNIDVLAGPVVSLVYPLYASVRAIESKSPVDDQQWLTYWVLYSMITLFELTFAKILEWIPIWPYAKLILTCWLVLPYFTGAAYVYEHYVRAFLANPQTINIWYVPRKKDVFSKPDDIITAAEKYIKENGTEAFENLIHRADKSQWGGSHHTKSQWGDSHHTMYDEHY >CAK8577356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:556733245:556734332:-1 gene:gene-LATHSAT_LOCUS29470 transcript:rna-LATHSAT_LOCUS29470 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFGLAFPTMTSMCPPPEKIKTKGGVKKKDKKTSRPSQNKSQPSQASKKLKLSQSSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEFGPQQSHKWLTLPDMGYVIANRYNVVLVCLGIECWTFFPMTTSFSPNVSIYCICFVNINHWIQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPTHYEL >CAK8536443.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941734548:941737936:1 gene:gene-LATHSAT_LOCUS5811 transcript:rna-LATHSAT_LOCUS5811 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEHANWSPYDNNGGSCVAVAGSDYCVIAADTRMSSGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSETEAVDLVKTVFASASERDIYTGDRVEIVILNASGIHREFMELRKD >CAK8571425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404809089:404810808:1 gene:gene-LATHSAT_LOCUS24091 transcript:rna-LATHSAT_LOCUS24091 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKSKFQDELIANAAYIGSPGKGILAADESTGTIGKRFANISVENTEENRRTLRELLFTAPGCLECLSGVIMFEETLYQKTAAGELFTEVLKKAGVLPGIKVDKGTVELPGTNGETTTQGLDDLGQRCKKYYEAGARFAKWRAVLKIGPNEPSPLSIHENAYGLARYAAICQENGLVPIVEPEILVDGSHDIAKCADVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSAKVAPEVIAEHTVRALLRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLKGKKPWSLAFSYGRALQQSTLKAWGGKTENIPKAQAALLIRCKANSEATLGNYQGGSNLGDGASESLHVKDYKY >CAK8543242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591529127:591529624:1 gene:gene-LATHSAT_LOCUS12012 transcript:rna-LATHSAT_LOCUS12012 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYTPQWSDRQEFYAAFIHCPFLVKLSSYITLSLFLSFSSQRQSIFSLFSIIREPHFTTLLNIILLFSLISSLPFPHTKEVTTFQLQSLSLISSSVTKSERF >CAK8577730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585519755:585539378:-1 gene:gene-LATHSAT_LOCUS29810 transcript:rna-LATHSAT_LOCUS29810 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTAATKDSEEAEPLALSMPQSQELPNESDYNESVSAEEQEVVEEDKSPIDQPMEEDSVSPSTVFTIRLKQSKSNLQHKMSVPELCRNFSAVSWCGKLNAVACAAETCARIPSSTANPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLVANFHGRVTIWTQPSQGPANLVRDASSWQREHEWRQDIAVATKWLSGVSPYRWLSSKSSGATNPKLTFEEKFLSQQSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPSQNGSTPRWFYTSKGLLGCGPSGIMAGDAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNFQATPMTSVTPGVPPLIPPNWAGFAPLAAYLFSWQDYLLSQAKQGNKLTDINISDALLLYCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTCAGSVIAVVIVEGQYMSPSDPDEGPSVTGWRVQRWESSLQHVVLHPIFGSPTSSMGGQPPMQTVWQSKVNLSIPPTNDFKNHQSPGIGIATDVQKASEHIPDKSKRVNFDPFDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTPVDNYQIAVGSAIAAPAFSSTSCCSASVWHDISNNYTILKIIRVLLPPIPVSQVKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSVQHRQQYGPSLDRIKCRLLEGSNAQEVRAMVLDMQARLLLDMLGRGIESALINSSALVPELWQASSETLSNIDPETMAVEPALIPSVQAYVDSILDLASHFITRLRRYASFCRTLASHAVTAGTGSNRSMVASPTQSSATPATSQGGQNGGTSSMGSTQLQTWVQGAIAKISNTTDGGSNPTPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTLLPRYMGSANRTTDTTGQKSNAPASGKVEEIAKPVSTVAKTEDGLMGRSGQLMSGPKGSEEAPSGRSRLGSGNAGQGYTFEEVKVLFMMLMELCRRTAGLQHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDPEDMDCANDQPKVVCSDPLDFSSIEHCDAYYGTHHLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTATWKTGLEGVWYKCIRCQRQTSAFASPSSTTSPGQSDREFWWISRWAYGCPMCGGTWVRVV >CAK8534862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:779057264:779059944:1 gene:gene-LATHSAT_LOCUS4369 transcript:rna-LATHSAT_LOCUS4369 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAIIVFTVIILALTWSWRMLNWLWLKPKKLEKLLREQGLKGNSYRFLVGDIKDIVKMGQEATSKPMNLSDDIVPRVFSYFQQSVVKHGKNSFVWFGPTPRVTITDPEIIKDVLNKNNEIRKNSVSPLVRLLVNGLVNLEGEQWSKHRKIINPAFHFEKLKIMLPSFYKSCDDLISKWETMLSSDGSCEMDVWPFLQNLASDVISRTAFGSSYEEGRRIFQLQIEQAELTKTIMTNAYIPGWSFLPTPTHRRMKEINRDVKASLTNMINKREKALKAGEATKEDLLSILLESNHKEMEEHGNNKNVGMSLEDVIEECKLFYFAGQETTSVLLVWTMVLLSRYPDWQARAREEVLQVFGNNKPNFDGLTHLKIVTMILNEVLRLYPPAIAFPRTVHKDTKLGNLTLPAGVQLFLSIILVHHDSELWGDDAKVFNPERFSEGVLKATNGRYSFFPFGGGPRICIGQNFAMMEAKIAIAMILQRFSFELSPTYTHAPATVITLQPQHGAHIILRKVEI >CAK8574242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671751228:671753052:1 gene:gene-LATHSAT_LOCUS26602 transcript:rna-LATHSAT_LOCUS26602 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSASGAMTLERMSVEQLKAVKEQADMEVNLLQDSLTNIGTATTRLELATTALNDLSLRSHGSKILVPLTASLYVPATLQDPHHVLVDIGTGYFVEKTMPEGKDYCERKINLLKSNFDQLVEVASKKKNVADDAGVILQAKLKQLASSS >CAK8562547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508531604:508532943:1 gene:gene-LATHSAT_LOCUS16036 transcript:rna-LATHSAT_LOCUS16036 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQASSTRRSGGTFSFSGVFNSKSKSSPLLIIPVLLGAFFLVYAFGGSGLFRGRKNVVIRAEGDFSCTFEVQSAFPILKNVYGDNMKNVLHVGPESCSVVSKLLREGEVEAWGVEPYDIEDADRNCRALVHRGVVRVADIKYPLPYRPKSFSHVIVSDALDYISPKYLNKTLPELVRVSGDGVIILAGSPGQQREAAQLSKFGRPAKMRSSSWWKEFFSETNLEENVAAVKKFEQAASEMSYNPTCQIFHIKPYN >CAK8567039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:481128139:481129398:-1 gene:gene-LATHSAT_LOCUS20127 transcript:rna-LATHSAT_LOCUS20127 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNDDKETGETSEAGNKEQEEHHEPLSRHTSETSSVYGTEEEEDEYGSKIQLGPLCTLKEHLEKDKDDESLRKWKEQLLGSVDVNNIGEILEPEVNFTSLSIISPGRDDIVLPIPEDGKPKGLWFTLKEGSPYRLKFSLIVTNNIVSGLKYTNTVWKTAVKVDSTKEMLGTFSPQPEPYTHEMPEEVTPSGMFARGQYTARTKFFDDDNKCYLEINYTFDIRKDWA >CAK8534853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778052824:778053389:1 gene:gene-LATHSAT_LOCUS4360 transcript:rna-LATHSAT_LOCUS4360 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKLPLLFFFFFISLTSGWNVIMVNGQKTWCVAKPSSDQATLLSNLNYACSQVDCRVLRKGCPCSTPDNLINHASIAMNLYYQSRGKNHWNCDFRASGLVVVTDPSYGDCIYA >CAK8561208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117351234:117352241:-1 gene:gene-LATHSAT_LOCUS14817 transcript:rna-LATHSAT_LOCUS14817-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLNVSTKTLQWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAIRRILLGEIDGTCITRAKPEKISHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKWGYRIKTLNTIQDGSYTIDVVFMPVRNENYSIHSYVNGNEKQDILFLEIWTNGSFTPKEALYEASRNLIDLFIPFLHAEEENFNLENNQHKMTWPLFTFHDHDRFVKDKLTNTQKEITLKSIFIDQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8561207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:117351234:117352737:-1 gene:gene-LATHSAT_LOCUS14817 transcript:rna-LATHSAT_LOCUS14817 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRNTGRIGSCKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSAGSCGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDTTLRVIFRSGILLNVIRDVIPIPYNGCRAPKKRSWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAIRRILLGEIDGTCITRAKPEKISHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKWGYRIKTLNTIQDGSYTIDVVFMPVRNENYSIHSYVNGNEKQDILFLEIWTNGKEENFNLENNQHKMTWPLFTFHDHDRFVKDKLTNTQKEITLKSIFIDQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRVEDLKNILNILQIENHFV >CAK8542872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554454328:554454989:-1 gene:gene-LATHSAT_LOCUS11673 transcript:rna-LATHSAT_LOCUS11673 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRALFLFALIATVFSTIAVAKDFVVGDESGWTLGVDYQAWAANKVFHLGDTLTFKYVAGKDSVVRVNGSDFQSCSVPWAAPVLGSGHDTVVLTTYGRRWYISGFANHCKNGQKLVITVVPSQQLPWSPVPSPSASPVPAPEAASPSNAPWSATVPRRSMLSKKLFKMIHTNLVAV >CAK8570536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:57031504:57032004:-1 gene:gene-LATHSAT_LOCUS23276 transcript:rna-LATHSAT_LOCUS23276 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELVQRWEGKVSTKLQNTTEHQAWPLVKDFFNLHKRFPNLATCYGIHGSNGEPGCIRYCAGFSLPSDGSQEVSWSKERLVAVDDVERCLTYEIVDCNIGFKSYESTMRVIGDGDGGCVIEWLFAVDPVDGLESEDLVGKYGIGLQVMARKMEEEIATSVQRNGM >CAK8562534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:506599916:506601265:1 gene:gene-LATHSAT_LOCUS16024 transcript:rna-LATHSAT_LOCUS16024 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQYKLYHSHTPMAKLGSKTKSSPWSSNGCIFLAGAMSALVLILGLSSFITPIPNTNPKFNSIHTNLKSLNITTNSKASPDLLHDPSDKTFYDDPETCYTIDKQMKNWDEKRNQWLLHHPSFVTRADEKILVITGSQPGMCENPIGDHLLLRFFKNKVDYCRLHNYDIVYNNALLHPKMNSYWAKYPVVRAAMLAHPEVEWIWWIDSDAVFTDMEFKLPLNRYKNHNLVIHGWEELVKKEHSWTGLNAGVFLIRNCQWSLDFMDELASMGPNSPEYEKWGERQRAIFKTKEVPDSDDQTALAYIIAMGEEKWTAKIYMENEYYFEAYWLEISKMYDKMGERYEEVEKKVEGLRRRHAEKVSEHYGEMREEYVKDLGDMRRPLITHFTGCQPCNGHHNPMYSADDCWNSMERALNFADNQVLRTFGFFHSNLLDKSVSPLPFGYPAAPA >CAK8538717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493704879:493705720:1 gene:gene-LATHSAT_LOCUS7888 transcript:rna-LATHSAT_LOCUS7888 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPQCASAKRVLVCLIEKEIEFEVVPVNVLTGEHKDPQYLKLQPFGTVPVIQDGDYTLYESRAIMRYYAEKYRSQGVELLGKTIEEKGLIEQWLEVEAHSYNPPAYNLTIHVLFPALAASKTSDPKVIEESEAKLRKVLDIYEERLSKSKYLAGDFFSLADMSHLPFTDYIVNHMGKEYLIKDRKHVSAWWDDISTRPSWKKVVELYKPPV >CAK8562688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:525993073:525993441:1 gene:gene-LATHSAT_LOCUS16163 transcript:rna-LATHSAT_LOCUS16163 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGYKEHDPFIMSHIVKQVYYVPYLSNQPRKCGWCVVIKIKPLGHIEPDDLVKDVTYQDDEISQINNVVEVEQSTNLCDTMVEGHQIDAYVLLVENNMDEEHEEFGSEDTIGPDEEHEEFE >CAK8531822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:158958609:158958920:1 gene:gene-LATHSAT_LOCUS1587 transcript:rna-LATHSAT_LOCUS1587 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKFPITQLKTPNYYVISCHRKHSTRIISQQKFSFKSLGNKWNLNDLTASSIQERLNVLMSRTQNFLVTSPLAKSGQSKKPGPENDIGFQVMEDIFMAEQTN >CAK8537669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:419230915:419232579:1 gene:gene-LATHSAT_LOCUS6935 transcript:rna-LATHSAT_LOCUS6935 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAILVFLFFTFTFTYLLFKLFLQPKPKIKRPPGPSPLPIIGNLHHILCALPHRKLHTLSQKYGPIMCLQLGQVPTVIIASSKAAESFLKTHDLVFASRPKIQASEIFSYGSRGLAFSAYGPYWRSVRKLCTLKLLSASKVEMFAPVRKEELGVLVESLKKDALVGKVVNVSEILENLVENIVYKMVLGRCHYEQFDVKELIQQGMALLGAFNLADFVPWLGVFDLQGLTRKCKKISKAMDEVLEMIITEHEQNPIVDQNTNEDFVDILLSTIHEDIDHEAEENRVSDRTYIKAILLDMIVAASDTSATSSQWAMSELLRHPRVMKKLQNQIQNEVGNDRMVEEKDVKKFTYLDMVIDEMLRVHPVAPLLVPRESRESITIDGYFIEKKTRVMVNAWSISRDPTNWSNPEEFYPERFVDKKLNYQGQEFESIPFGSGRRGCAGMNMGLTTVKLIISQLVHCFDWELPKNVTPSNLNMEEKFGLTVTRAQPLRAIPRYRLGDAKHEQA >CAK8536132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:910687246:910689231:1 gene:gene-LATHSAT_LOCUS5530 transcript:rna-LATHSAT_LOCUS5530 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRGIKKRRKTENNSNNNNVRASSDSSDKEGVVVDWWNDLSIKMNGHQKSPPKISRKTFEYICSLVKDDVLTKSAHFTFSNGKPMSLFDQVAVSLRRLGTGDSLVTIADSFGLSHSTVSQVTWRFVVSMEERALHHLQWPSTQEEMNTIKSKFEKIQGFPNCCGAIDVTHITMCLPASEHSSNVWLDHKKNHSMVLQAIVDPDMKFRDIVTGWPGKMKDWLIFESSNFNKLCNKEERLNGKKLKLSEGSEIREYIIGDSGYPLLPYLVVPHQEKELLESEQKAKFNRLHLETRLVAQRALARLKEMWRIIHGNMWRPDKHRLPRIILVCCLLHNIVIDMQDEVKDELLCLYHHNHDSGYNQLICEGVKDDKGLALRESLSHYLNGRLHP >CAK8577077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535534276:535542265:1 gene:gene-LATHSAT_LOCUS29218 transcript:rna-LATHSAT_LOCUS29218-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFMLGSKKKKNNKSQGGFETMGLNPNVFRGVRRKGYKVPTPIQRKTMPLILSGVDVVAMARTGSGKTAAFLVPMLHKLNQHLPQAGVRGLILSPTRDLALQTLKFTQELGHFTDLRVSLLVGGDSMESQFVELSQSPDIIIATPGRLMHHLSEVDDMSLRKVEYVVFDEADCLFGMGFAEQLHQILAQLGDNRQTLLFSATLPSALAEFAKAGLREPRLVRLDLETKISPDLKLVFFTLRQEEKYAALLYLIRELIGSDEQTLIFVSTKHHVEFLNSLFHQEGIEPSVCYGDMDQDARKMHVSRFRSRKTMLLIVTDIAARGIDIPLLDNVINWDFSPKPKIFVHRVGRVARAGRTGTAYSFLTTEDMAYLLDLHLFLSKPVKAAPTEEEVLQDMDGVMSRIDQAMANGETIYGRFPQKVIDLVSDRVREVIDTNAELESLQRTCKNAFRLYSKTKPLPSKESIRRVKDLPPEGLHPIFNRVLGTGELTALAFSEHLKTFRPKQTILEAEGEAAKAKRRAGPSGQWVDVMKRKRAIHESTINLFLEQKSKSTSEKEEFQQEPTSAGKGRKARGSKRKLESFKDEDNYISSIPKNRHMEAGLAVKANEGFSSNRLEEAVLDLVADDGTGIKKQKSVYHWDKRSKKYIKLNNGDRVSANGKIKTESGAKTKANKTGIYKKWKERSHSKISLRGTNTDGDAQESTSSKGSYRGGGRNFRDGRKQHSMPNAHVRPEIKDMDQIRKERQKKASKISYMKSKSPKGKKSGKKGGNKGNKGGSKRRGGK >CAK8577078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535534276:535542265:1 gene:gene-LATHSAT_LOCUS29218 transcript:rna-LATHSAT_LOCUS29218 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFMLGSKKKKNNKSQGGFETMGLNPNVFRGVRRKGYKVPTPIQRKTMPLILSGVDVVAMARTGSGKTAAFLVPMLHKLNQHLPQAGVRGLILSPTRDLALQTLKFTQELGHFTDLRVSLLVGGDSMESQFVELSQSPDIIIATPGRLMHHLSEVDDMSLRKVEYVVFDEADCLFGMGFAEQLHQILAQLGDNRQTLLFSATLPSALAEFAKAGLREPRLVRLDLETKISPDLKLVFFTLRQEEKYAALLYLIRELIGSDEQTLIFVSTKHHVEFLNSLFHQEGIEPSVCYGDMDQDARKMHVSRFRSRKTMLLIVTDIAARGIDIPLLDNVINWDFSPKPKIFVHRVGRVARAGRTGTAYSFLTTEDMAYLLDLHLFLSKPVKAAPTEEEVLQDMDGVMSRIDQAMANGETIYGRFPQKVIDLVSDRVREVIDTNAELESLQRTCKNAFRLYSKTKPLPSKESIRRVKDLPPEGLHPIFNRVLGTGELTALAFSEHLKTFRPKQTILEAEGEAAKAKRRAGPSGQWVDVMKRKRAIHESTINLFLEQKSKSTSEKEEFQQEPTSAGKGRKAARGSKRKLESFKDEDNYISSIPKNRHMEAGLAVKANEGFSSNRLEEAVLDLVADDGTGIKKQKSVYHWDKRSKKYIKLNNGDRVSANGKIKTESGAKTKANKTGIYKKWKERSHSKISLRGTNTDGDAQESTSSKGSYRGGGRNFRDGRKQHSMPNAHVRPEIKDMDQIRKERQKKASKISYMKSKSPKGKKSGKKGGNKGNKGGSKRRGGK >CAK8542622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:532364797:532365030:-1 gene:gene-LATHSAT_LOCUS11446 transcript:rna-LATHSAT_LOCUS11446 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHMLMLLLKKVKLGEPIRMDDVFSHWKRLSFDDDGCIDEGKLNISILTKWEAIQERFSKADDNMKLHINEQLRKI >CAK8534930.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:791894931:791896725:1 gene:gene-LATHSAT_LOCUS4427 transcript:rna-LATHSAT_LOCUS4427 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEAKVMCCADEAALCWDCDEKVHAANKLATKHQRIPLSTSSSHMPKCDICQEAFGYFFCLEDRALLCRKCDLAIHTANAFVSGHQRFLLTGVKVGLEATDPGASSNSLKSDSGEKASDTKSSSVSKKVPKMPQSSEYNEMFPTEAGRVMEFPTERVSYGGGSTAGNMSHWSIDEFFGVNDFSQNYNYMDGSSRADSGNFEDSDSPVLRSNDEEMEYDDYMDRVPDSCWTVPQVPSPPTASGLYWPKNPRYSSDNALFVPDISMQQSQNSSNFSRSRNHH >CAK8573333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603908360:603908893:-1 gene:gene-LATHSAT_LOCUS25794 transcript:rna-LATHSAT_LOCUS25794 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNLKSSNVLLGSDFESCLSDYGLNVFLNPKTIDEPSATSFFYRAPECRSFQRPQPQSTDVYNFGVLLLKLLTGKAAYQDLVQAHSSYIPIWVRSVREEEIESRDDPASSGNEVNIAMACVLVSPENRSTIRKFLKMIRDARGETHVSYNNSSDHSPGRWSNTVQSLPRDEHLNI >CAK8567516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:520022866:520024100:1 gene:gene-LATHSAT_LOCUS20562 transcript:rna-LATHSAT_LOCUS20562 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLHFQISFPLESPTSSNKNTIKTQPCIARLYNKKTHFINLASKPTSLPNQKKNCQIEKWKARGSNDVSPEFLPSSPSPVHIVHEFYKAFNKKDTETLKQLLSPECVYQDLLFYSAYEGQENIIHFWQSVMDAMGPNIHVFVEDVKETNHVMVTVFMHLVWKNKKLPFTSGCRFFTFEEVDGKLLISKITGMEEFPLKPGELMLKLLKGIGNILDNYPLAAGAMLDSHASHHDGGSDTHFDFDFFGKKH >CAK8543741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:635858256:635860193:1 gene:gene-LATHSAT_LOCUS12481 transcript:rna-LATHSAT_LOCUS12481 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVISLISGMAGPSGFGSASTAEIVTQGIDAPNLTAIVTGGASGIGLETTRVLALRNVHVIIAARSMEAAEKAKQQITQENKFARVDIMKLDLCSTKSVKSFVDNFIALDLPLNILINNAGIMFCPFKLSEEGIEMQFATNHLGHFLLTNLLLEKMKYTAKATGIEGRIINLSSIAHRYTYCRKGIRFDKINDRNGYSNKKAYGQSKLANILHANELSRRLQEEGVNITANSVHPGIIMTPLMRYSSYLMNFLKIFSFYIWKNVPQGAATTCYVALHPNVKGVSGKYFVDCNEFKPSAFARNKQLAKKLWDFSNNLINSISKA >CAK8575951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:373025847:373027454:-1 gene:gene-LATHSAT_LOCUS28175 transcript:rna-LATHSAT_LOCUS28175 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTSQVYIDVIEDVMVKVRDEFVTNVGGLGDEVLRELQAIWETKMIQAGAVLGPIERSAAANRPTPGGPITPVHDLNVPYEGTEEYETPTAEILSPPTPLQTPIQTPLPGAGETPNYNIPTGPSDYSSSGNETGGNADVKGGRPSPFMQPPSPWMNQRPPLDVNVAYVEGREEADRGASNQPMTQDFFTVPGGKRKRNDMPPQYDVGGYIPQQDGAGDAGSGDFEIEVCGGSISFNSQHTNSKGKMPADLERLTSRIPQLDGPIPYEDDVLSNPNIYYNGGGYNEDYNVANTPAPPEVPVSTPALVAQNEVVNDENDEPARVLVDAIVDNSALELLVQNLHRLSESDPDENAAIYNTLATIENLIEVKPAVAELVCEKTNLLKWLLGKIKVREFDGNKQYASEILAILVQSSSVNQKKFGADIARFQKKTADTSSTKIQSVTEDEEIKETIEAVSSKKRKRKKTSSEAVGGFSVFRSSTSKTNEKVQAGDDESIRLKKEKINDSSVMLSSGRVITFMSPVTMCCPHFKALMS >CAK8539931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531464481:531466197:1 gene:gene-LATHSAT_LOCUS8982 transcript:rna-LATHSAT_LOCUS8982 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMFGGFSSSFTNIGSASSWFEIYAAFSTFMMLLRTAINDLIPFKLRNFIISKLTSFFTNYQPNNLVSLQIDQFWDGMTNHLYYAAKEYLPTKISSTYKSLKVGKISKHNNVLLAFDGKQVVTDEFEDIKVKWRLVENSKDDDLLENPRKEYKHHNKSKDYDENGFLLSFDEKYRDKVMKKYIPHILSTYDTIKAGNRTLKIHSMQNGPWKQSDLTHPASFDSIALDPDLKKDIIDDLDRFLRRKKLYQKVGKPWKRGYLLYGPPGTGKSSLIAAIAKYLKFDVYDLDLSSVFSNSELMRAMRETSNRSIIVFEDIDCNSEVLDRSKSDKFSDNDFSDTFGGAKMGKTMPMLPMPMPRKFTLSGLLNYMDGLWSSCGEERILIFTTNHKDKVDSALLRPGRMDMHIHLSFLKGKAFRILASNYLDIEEQHGVLIEQIEKLLEKVDVTPAVAAEYLLRSEDPSIALEAVIKFLQEIDVSKVIVAEENNQNV >CAK8577381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559237723:559239072:-1 gene:gene-LATHSAT_LOCUS29494 transcript:rna-LATHSAT_LOCUS29494-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLDEISDSGAFTRTASTFRQFVSRDLNSQFPAESGRYHLYISYACPWACRCLAYLKIKGLDKAISFSAVKPIWGITKESDQHVGWVFPESNTEVLGAEPDPLNGAKSVRELYEIASTNYSGKFTVPILWDKKLKTIVNNESSEIIRMFNTEFNNIAENPALDLYPTELRAQIDETNEWTYHDINNGVYKCGFAKKQEPYVDAVTRLYEALDKCEDILSKQRYICGNKLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNIFNYTKDIFQVPGISRTVNMEHIKLHYYGSHPSINPFGIVPVGPNIDYSAPHDREKFSA >CAK8577380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:559237723:559239423:-1 gene:gene-LATHSAT_LOCUS29494 transcript:rna-LATHSAT_LOCUS29494 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPRSLHFPKSSFTFSSFSHRFTCNYKNIVEMARSSLDEISDSGAFTRTASTFRQFVSRDLNSQFPAESGRYHLYISYACPWACRCLAYLKIKGLDKAISFSAVKPIWGITKESDQHVGWVFPESNTEVLGAEPDPLNGAKSVRELYEIASTNYSGKFTVPILWDKKLKTIVNNESSEIIRMFNTEFNNIAENPALDLYPTELRAQIDETNEWTYHDINNGVYKCGFAKKQEPYVDAVTRLYEALDKCEDILSKQRYICGNKLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNIFNYTKDIFQVPGISRTVNMEHIKLHYYGSHPSINPFGIVPVGPNIDYSAPHDREKFSA >CAK8534522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:738435282:738436265:-1 gene:gene-LATHSAT_LOCUS4064 transcript:rna-LATHSAT_LOCUS4064 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKPSFNSVFTNKLDVEELEMLLEAYFVQIDGTLNKLSTLTEYVNDTEDYINIMLDDKQNHLLQMGVMLTMATLVVSAFVVVAGVFGINIHIELFDSDKYGTREFMWTVGGGTAGTIFLYVVAIAWCKYKRLLE >CAK8544549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691939775:691941062:1 gene:gene-LATHSAT_LOCUS13215 transcript:rna-LATHSAT_LOCUS13215 gene_biotype:protein_coding transcript_biotype:protein_coding MANQASLCFMFFSFLTLFALNNALSTRFLHNSKISKSQIQFRRTLQDTNPASTSPTIITVPSTTPGATNLVPSTTPPTNPANSPVPVTNPVTAPVTVPVSSYPPPSPLSGTVPVPVTNPQLQPPPSNINPPPSSSSSSSPQGGGGGSWCVAKPGVSQTTLQTALDYACGTNGADCSQIQQGGICYNPNSLQNHASFAFNSYYVKNPAPTSCDFGGVATIVTTNPSTGTCIFPSSSGGAGTSGSGSNSPSIGFGGPSTSPDSSHSTGLRPFLSFMILMTLLASGRLASP >CAK8541370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:139090332:139092247:-1 gene:gene-LATHSAT_LOCUS10295 transcript:rna-LATHSAT_LOCUS10295 gene_biotype:protein_coding transcript_biotype:protein_coding MATQETLLDRPKKSIPKTFWLILSLAAIISSSAIIVSHLNIPTSFFHLSSAPNLCEHAVDTKSCLTHVSEIVQGPTLANTNDHKLSTLISLLTKSTTHIQKAMDTANVIKSRVNRRREEIALNDCVELMNLSMDRVWDSVLTLTKDNMDSQQDAHTWLSSVLTNHATCLDGLQGTSRVIMESHLQDLISRARSSLAVLVSVLPAKGNDGFIDESLNGDFPSWITSKDRRLLESSVRDIKANVVVAEDGSGDFKTVAEAVASAPKKGKTRYVIYVKNGTYKENVEISSQKTNVMLVGDGMNATIISGSLNFIDGTGTYQSATVAAVGDGFIAQDIGFINTAGPEKHQAVALRVGSDQSVINRCYIDAFQDTLYAHSNRQFYSECFITGTIDFIFGNAAVVIQKSKIVARKPMRNQANMVTAQGRLDPNQNTATSIQQCDIIPSTDLEPVLDSFKTYLGRPWKNYSRTVVMQSLIGNHIAPTGWAEWNDVSKSFLQTLYYGEYMNSGPGAGTGNRVNWTGYHVINDTADARKFTVAQLIQGNVWLKDTGVTFIEGL >CAK8535235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828553962:828554996:1 gene:gene-LATHSAT_LOCUS4708 transcript:rna-LATHSAT_LOCUS4708 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFYHHSTHSQSTKFSKPSPCITAYSNNKFTSFPFHTHYLCKHYHISCIPQNPNVPLKATSTSIIHSSLSSLNPPISKEDAILQAKTSLSTALKKPLNNPKLLGKIKKLKQPKFRVEIPVIDDSRDSLSKLALDIFGDIDIPIKRKDSPIKILILWPNPSLKEAAVLAFESHSSNQVEHIDIVSVAKTGPTTLNSADVVIFLVPESSQLSVVKMVSDGVCPKPVVMMNPKWVFEEESNFGDLSGFVNSFEVVYSFMGLEVRGLLSKRKGVIFKCVKDGVVSGERWNVLVEEGEEMKVVSSFKVRPTIGQVESVLYNLMAINSPITKSAKFFKGLVSNMTGKK >CAK8579403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696018563:696018859:-1 gene:gene-LATHSAT_LOCUS31352 transcript:rna-LATHSAT_LOCUS31352 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQRSVVKIDKKVHREFAHWFHNHICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLE >CAK8564556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681127230:681131058:1 gene:gene-LATHSAT_LOCUS17853 transcript:rna-LATHSAT_LOCUS17853 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIAFDYCSSLSRFAFPVTINKPFTATIATATACKFSLNKNRLSVAKLNSRLILCSALPSQEKEKEADSDVVVKDRSVSVVLLAGGKGKRMGATMPKQYLPLLGQPIALYSFLTFSRMPEVKEIVVVCDPSYRDIFEDVKGNCQAELKFALPGKERQDSVYNGFQVIDSNSELVCVHDSARPLVLQGDVKKVLKDGLLNGAAVLGVPSKATIKEANSDSFVVRTLDRKTLWEMQTPQVIKPELLRKGFELVNREGLEVTDDVSIVEHLKQPVYITEGSYTNIKVTTPDDLLLAERILNINSGESS >CAK8570727.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:98030643:98033431:1 gene:gene-LATHSAT_LOCUS23458 transcript:rna-LATHSAT_LOCUS23458 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFKLHNHQFSTLFFFLLLLPLLNSQTPRQVNNTGYTCNTTTTNNKNQTNTYPCQSYAFYRATSPNYLDLATISDLFSLPRLAISKTSNISSPSTPLIPNQSLLIPIPCSCNFINTTFGSISYSNITYTIKPNNTFFLVSTIDFQNLTTYPSVEVVNPNLVATNLSIGDNVVFPIFCKCPDKTRNSSSFMISYVVQPSDNVSSIALMFGTTEKSIVDVNGEKLYDYDTIFVPVTKLPVLKQPSTVVVPSPAPSRNSNDGGGGDDDKSGVVRGLAIGLGILGFLLILILGIWLYREILSKKEEKGGDMYFGEKGKRGDEYKKKGVDVNFMANVSDCLDKYRVFGYDELVEATDGFDESFLIQGCVYRGEIDGQVYAIKKMKWNAYEELKILQKVNHGNLVKLEGFCIEPEESNCYLVYEYVENGSLYSWLHEDKNEKLNWVRRLRIAIDIANGLLYIHEHTRPKVVHKDIKSSNILLDSNMRAKIANFGLAKSGINAITMHIVGTQGYISPEYLTDGVVSTKMDVFSFGVVLLELISGKEVIDEEGNVLWASAIKTFEVKNEQEKGRRLKAWLDKAILKETCSMESLMGVLNVAIACLHRDPSKRPSIIDIVYSLTKCEENGFEISDDGFGSQSLVAR >CAK8544115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664138816:664140082:-1 gene:gene-LATHSAT_LOCUS12818 transcript:rna-LATHSAT_LOCUS12818 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQETTSLWWTTHQQQQQHKQRQEKTKSHQQEEEGELEDDVVSESVMKLKTVSESASVSEEEKEGMFEKPLTPSDVGKLNRLVIPKQHAERYFPLDSEETKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFQRHRTHPHRFFISWRRRHGTAAATVTPYVGPSTDGNGAVSSVGWSRGLYPAHPYPTHHHPFSYHAAGEGSQSQNTNAPCGNNSSTSRVLRLFGVNMECQPDNDINDSETPECSYNMSQGPQFYHLLHRQPPSNTHHHMLRQQQP >CAK8570297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34839636:34843531:1 gene:gene-LATHSAT_LOCUS23059 transcript:rna-LATHSAT_LOCUS23059 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSSTSHTEFDYLFKLLMIGDSGVGKSTLLLRFTSDNFEDLSPTIGVDFKVKYVTLGGKKLKLAIWDTAGQERFRTLTGSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVTKKEAVDFARQYGCLYTECSAKTRVNVEQCFEELVMKILDTPSLLAEGSSGVKKNIFKQKPQESDASTSSCCSW >CAK8577919.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597441420:597442022:-1 gene:gene-LATHSAT_LOCUS29982 transcript:rna-LATHSAT_LOCUS29982 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELSVLDFTELQHLESQLEMSLKSIRKRKGQIFSDEINSLHKKGSLSSTENEELHKKINRIGEENAELEKVIGTRRREYAISNASQNNISISYGYDIHDEQISLQLRQPQPQQ >CAK8572767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561682485:561683855:1 gene:gene-LATHSAT_LOCUS25294 transcript:rna-LATHSAT_LOCUS25294 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPPAISLLKNLKELRISYLKGNTTMTFPDLKDLKRMTRLELRNCLITGPILDSIGKMESLKTLDLSSNRLTGLIPDSFENLENINFMFLTNNSLSGTTPEWILTSKQNFDLSFNNFSESSSTDCQPLAVNLASSVSPSANTSLSFLKTNLPCSGKSQYHSLFINCGGPAIEFDDKEYEVDEHHISAYV >CAK8562735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532433820:532435268:1 gene:gene-LATHSAT_LOCUS16207 transcript:rna-LATHSAT_LOCUS16207 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNIRGLNKAGKVREIGSRLQKLTHVISVLIETRVKAKNARCIRRKLRLEGNYIDNYSNHDNGRIWIHWDENRRNMALVESTDQLIHCKVNDVNGNFLFWLTAIYAHNQLQCKKELWNDIERINAQQNGPWILVGDYNNVMKTEDRIGGNDVTEQEYIDLTAMMSKTGLYEKDSGGDYFTWSNKQGDNAIYSRIDHVLCNVEWLQQNGNTMLTNMNPSISDHAMLVLHEDIEVQRPKREFRFIHCCADLDDFQDVVKNSWDMPLAGKPMYVVWKKLQRLQSHIRKLSRPLAEIHKEIARAQDDLNKAHDSLMIDRLDADKINVVKSCSENLIRLQELDDSMVRQRAKIDWLRLSDGNNKYFHASVKMRQQINKMTKIQRMDGTIVTDQKGMENEVISFYRKLMGTKLNHLEGIDTATMRNGSQLDGAQRDMLTEHVAEDEITTTLKGIGNDKAPGTDGFGAYFYKKAWNIIKLDVIAAV >CAK8562736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532434471:532435268:1 gene:gene-LATHSAT_LOCUS16207 transcript:rna-LATHSAT_LOCUS16207-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSISDHAMLVLHEDIEVQRPKREFRFIHCCADLDDFQDVVKNSWDMPLAGKPMYVVWKKLQRLQSHIRKLSRPLAEIHKEIARAQDDLNKAHDSLMIDRLDADKINVVKSCSENLIRLQELDDSMVRQRAKIDWLRLSDGNNKYFHASVKMRQQINKMTKIQRMDGTIVTDQKGMENEVISFYRKLMGTKLNHLEGIDTATMRNGSQLDGAQRDMLTEHVAEDEITTTLKGIGNDKAPGTDGFGAYFYKKAWNIIKLDVIAAV >CAK8562250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462025559:462026545:1 gene:gene-LATHSAT_LOCUS15762 transcript:rna-LATHSAT_LOCUS15762-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNAAPDNSMTRYVISIKKGIYIEKVFIDEKKWNLTMIGEGMGATVITGNMSCSQNKSSECTYDSATFAVSGPRFIAQDISIWNTAGPENYQAVALKSDSDFSIFYRVEISGYQDSLCVNTNRQFYRECKISGTLDFIFGYATVVFQNCTILVKKGLNGQQNTITAQGGYLGVSSGFSFQFCNILADYDLLPLINSTSTFLGRPWKPNARTIFMQSNISNVLSPKGWLEWEGAPEYLDTLFFAEYMNYGQGADTKNRVKWSGYHLLSFNQASNFTVANFILGDQWLPSTGIPFTSGLVN >CAK8562249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462025439:462026545:1 gene:gene-LATHSAT_LOCUS15762 transcript:rna-LATHSAT_LOCUS15762 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTLTLLIAFLTFVVEITSGEVIANVTVAADGSGNYTTVMEAVNAAPDNSMTRYVISIKKGIYIEKVFIDEKKWNLTMIGEGMGATVITGNMSCSQNKSSECTYDSATFAVSGPRFIAQDISIWNTAGPENYQAVALKSDSDFSIFYRVEISGYQDSLCVNTNRQFYRECKISGTLDFIFGYATVVFQNCTILVKKGLNGQQNTITAQGGYLGVSSGFSFQFCNILADYDLLPLINSTSTFLGRPWKPNARTIFMQSNISNVLSPKGWLEWEGAPEYLDTLFFAEYMNYGQGADTKNRVKWSGYHLLSFNQASNFTVANFILGDQWLPSTGIPFTSGLVN >CAK8574341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:676136751:676137425:1 gene:gene-LATHSAT_LOCUS26696 transcript:rna-LATHSAT_LOCUS26696 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLEEKQEQRKSSKHFKFSNCLIDLPSYDTVIKKSWDAHIRGNPMYVLWHKLKRLQHELKQFSKPLSDIKRKLIAARANLKETQGKLIGDRLNNTLVEEAKKLTEEVISMNELEWKILQQRAKIDWIRKGDGNNHYFYAAIKGRQHTNCLTNLRTNDGRQLTAKPDIEEEVINFYKNLMGKDVDCMNYIDIEAMRMGKQLNMEQREHLTRPISEADSLKPSKE >CAK8571716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464799728:464800576:-1 gene:gene-LATHSAT_LOCUS24353 transcript:rna-LATHSAT_LOCUS24353 gene_biotype:protein_coding transcript_biotype:protein_coding MATMHSLALSSPLYNSVQKPRSYSVSSAIVHGNLNFNSSFNGQHLHVPSLRLPMITQKSPMYMPVIVMAVKPKIQFIQGTDELTIPDVKLTKSKDGTNGMAIFRFDQPSVFDSSGEVGDITGFYMIDEEGVLQSVDVNAKFLNGKPSGIEAKYIMRTPRDWDRFMRFMERYSNANDLQFIKY >CAK8562301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468939636:468940535:-1 gene:gene-LATHSAT_LOCUS15808 transcript:rna-LATHSAT_LOCUS15808 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSPPATAIRTLIYYLSEHPSIVEFRWSHTHSWGSTWSFLFTSIATYLILSLFLHLSLSLLFPNRRHIPLGPIPAVHSLTMSLISATIFTGTLISAVSEIRDTRWFWHRSKTPFQWLLCFPLGTRPSGRVFFWSYVYYLSRFLHMFRTIITILKRRRLSFYQLINNSVSTFVSFLWLEFSQSFQVLAILFATLVYSLVYGYRFWTAIGLRSACFPFVLNCQIVLLGCNVACHVGVFLIHFFFKGGCNGIGAWVFNSVLNTGVLVIFLNFYVRIYVIGKFKRRKVEENESMDPHTYY >CAK8536039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:901889442:901890182:1 gene:gene-LATHSAT_LOCUS5441 transcript:rna-LATHSAT_LOCUS5441 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCLWNICLKSDNLWVKWIYSYYLKGSDVMASNIRINSSWIMKNILSRRNLINQVHQLWDDSLLKKKFSMMAVYKVFIDDQVRVSWSHLLRHNGALPRAILTLWLAFCGHLATKARLLKMGMLQTSQCQLCIDKEENIYHLLFECSCSNIIWSDVLHWMEYYHIPQLWYDELNWIMRHTKCKDWKGRLLMIDIAEMIYGIWQHRNNVCFANNMDSTHVTSNIIDNIVYRGWMQPKLRIHISHMLM >CAK8568029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:565668725:565669756:-1 gene:gene-LATHSAT_LOCUS21029 transcript:rna-LATHSAT_LOCUS21029 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLSRITHWSSRLLSYAGRLQLIKSVLYAITSYWMQCVCFPKTVIRRINAICRTFLWTGGNSSSRKSLIAWDKICKPAAKGGLNVLDLVVWNSMFMMKLLWNISMKTDDLWVRWIHAYYLKNEDVMYRMVKNSDSIIFKTILMQRDNIGTMQGDWNEMVQAGRFVGRRVYANLLPATPKVAWSRLILHNRARPRAIYTLWMTCHGKLATKVRLNRFGMVDNNQCVFCPAAETIDHLFFDCATLRKIWVEILHWIGIPHNPGDWTEELNWMLNCFGGKGWKADLVRLALTETVHEVWRFRNDTCFNQRNDSRNCTDRIINNIVYRGWSSPKLRPHIALLMVQ >CAK8539195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506497707:506498464:1 gene:gene-LATHSAT_LOCUS8315 transcript:rna-LATHSAT_LOCUS8315 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKMNMGLTLVVMAMLCAGAAAQSSCTNVLVSLSPCLNYITGNSSTPSSGCCSNLANVVSSSPLCLCQVLGGGGSSLGININQTQALALPGACKVKTPPTSQCKTTTAVSPAISPAGTEAESPNSVPSGTGSKSTPSPGDGSSSGNSIKLSIPLLLILAAATYASVF >CAK8537131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:104443284:104446252:-1 gene:gene-LATHSAT_LOCUS6444 transcript:rna-LATHSAT_LOCUS6444 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKPPPVFVLSPSKPVQSRHVSFRTIIFNNLNSCPKLMLQESSTTTAPITSYLSKEPPRLSRSVVAVRSQLRYPIISPDDHWGVWSTIFSIGAFGLWSEKTKIGSMVSAALVSTLVGLAASNLGILPHDAPAYSIVLEFILPLTIPLLLFGANLHQVVRSTGTLLVAFILGSVATVIGTLVAFLLVPMRSLGPDNWKIAAALMGSYIGGSVNYVAISEALGLSPSVLAAGVAADNVICAVYFMVLFALASKIPAETALPSNDNATQMKLENQGNMPVLQTATAVATSFLICRAATYLTKLYGIQGGTLPGATAIVVILATLLPKFISPLVPVGHTVALVLMQVFFVVIGASGSILNVIKTAPSIFMFALVQVTIHLLVVLGLGKLFKLDLKVLLIASNANVGGPTTACGMAKAKSWESLVVPGILTGIFGVSIATFLGIGFGEMVLKQL >CAK8533200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590153775:590154125:1 gene:gene-LATHSAT_LOCUS2845 transcript:rna-LATHSAT_LOCUS2845 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKIHQHHSPFFSISKPNQLHTFSLQPHFLSLPPSPPQKLPLKLKPQQSSLSFPIQRVSNIELPLFSNDEQLQDLSPKGEVYQKTLQLVECSMFAALTGLVYFLSNSLAIEVCY >CAK8531100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80866993:80868864:-1 gene:gene-LATHSAT_LOCUS912 transcript:rna-LATHSAT_LOCUS912 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAQSNAELLEWPKKDNHRFLHVVYRVGDLDRTIKFYTEAFGMKLLRQRDVPEEKYTNAFLGFGPEQSNFVVELTYNYGVTSYDIGTGFGHFAIATPDAYKFVENVRAKGGIVAREPAPVGDTVNAFVKDPDGYIFEVLQKASTPEPLCHVMFRVGDLDRTIKFYEKALGLKLVKKFDIPQNKITLAIIGYGEEPERVVLELLYNYGVTEYTKGNAYAQIAIGTDDVYKSAEVVKIVTQELGGKITKQPGPISGLNTRITSFLDPDGWKIVLVDNQDFLKELE >CAK8537113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:100263501:100264019:-1 gene:gene-LATHSAT_LOCUS6427 transcript:rna-LATHSAT_LOCUS6427 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPHLNMEQRRSLLAPITEQEIHTALKGIGDLKSPGINGYGARFFKGSWETIKSDVVNAVQDFLCHERLFKTFNSSVVMLIPKHYDAQSIKDYRPIAGCTIVYKIISKILTTRLGKVIGDVVYHSQAAFVSGQQIHNHILLAYALIKGYTRKGGTPRCMLKLIFKKPMIW >CAK8569923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15892420:15893696:-1 gene:gene-LATHSAT_LOCUS22722 transcript:rna-LATHSAT_LOCUS22722 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEELDHWNNGVHFFKIIVESTLQEEKMRVPISFVRRYWKGIENPVTLRLPNMFESKIFWEKNSDYDVLFCNGWKEFANYLSMGDSQLLVFQYQENSLFNVIVFGKNGLEIKYPSLKINGESIEIEASENSLQIIEDPSPKHRERLKSLQVFKKRKTTPKEQKESNQDKRKIQKNGRSHNIIDVDDVNNEDLKEKSRVLYDKVKNTFQSNKDFFICMIQKTSMERDLLGIPYDFGRKFLHGLQGRNVTLFVNPKKRWIVDLRLTSNDKYILSGGWSKFRAHNNLNFGDVCGLILNKSKGKISFKVTIFSLEKDI >CAK8562327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:474024120:474024644:-1 gene:gene-LATHSAT_LOCUS15833 transcript:rna-LATHSAT_LOCUS15833 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYCQLIFLLTTLTTLHVSTNSAATPPPLPATAREFLETHNQARSSVGVEPLTWSEQLSNITNKLVRYQRDKMACQFANLTAGKYGANQLMSLGATVTPRMVVEKWVKEKQFYNHSDNSCAPNHRCGVYTQVVWRKSLQLGCAQAMCGKDGSGTSLSVCFYSPPGNYAGESPY >CAK8534239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:709255132:709256891:-1 gene:gene-LATHSAT_LOCUS3799 transcript:rna-LATHSAT_LOCUS3799 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLFLYILFFPSYTSLPNPILPTPSSHPTTLTIPSFQEQSYVKGCSLSLSNELFNGIKDACSSSKHSKLDRSRCCPVLAAWVYSSYSATALRNHSPSSSSSSFDMPLVPDDSETCVDGLEKALRVRGIEIVNPNESCDLVYCYCGIRLHPFSCPDSFSVTKSGELVGDQSVRKLEKSCLSSDKHVNGFQGLEGCSKCLNSLYLLNKKTSNSSKEDRTTKIHNKDCELMGLTWLLSKNRTAYIHTVTNILRALLLNNDESKPQSCTLNSDGMPLAVDSSEIINEQSSSTKLQPPMSLSLLLVLCLVLCVHVFVLFS >CAK8579106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675211578:675213466:1 gene:gene-LATHSAT_LOCUS31074 transcript:rna-LATHSAT_LOCUS31074 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDKSSRNSLVPGFLYSSNAFSHLDSSSINHAPSPLPRRNNIPMIPSPAESSIPMFSPAFYAACSAGGVFSCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGARGFFKGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGVENAVKYKTFIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFIKADGVSGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKHAIPTPKAECSKSKQLGVSFAAGYVAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGVVGLFTRGLPLRIVMIGTLTGAQWGLYDSFKVFVGLPTTGGAAPAPAPTPTN >CAK8577444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:564545317:564558610:1 gene:gene-LATHSAT_LOCUS29552 transcript:rna-LATHSAT_LOCUS29552 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDTSFLDLIEKVRSWVSWGGGDLSCLSQNFDMQNSDCKMCCECDTNFKYNCKSCGRWLCGKCMRICDLPILESDDSSGIKEAISSCKYCSVSNRTPEGQPRKCVEKVHPAVSPQESPRQSPEPPSPSLSGETERDSYFHDRESAYYAHSMLNRSLTSSGAHSSSVSTLPSTLRSDEEATEDFGKNSLSPSRTYCDNNSDVDSSSVSARHDNYNFNSVGTSPSDSPSRIDFTSSRAGLPIQKKGQEKGLIDQYDAPSSQQSMAVLRKPEPGTEDAYNTTYFSDDLSIRNEIENSQRPLDFENNEPIWYPPPPEDKDADAESNFFAYDDEDDDIGDSGALFSSNSSLSNMFPAKEKHNEGNKEPLTSVVQGHFRALVSQLLQAEGIKDDKENDSVDWLDIVTTVAWQAANFVRPDTSKGGSMDPGDYVKVKCVASGSPNDSTLIKGVVCTKNIKHKRMTSQYKRPRLLLLGGSLEYQKVPNQLASFDTLLQQENDHLKMIISNIEALRPNVLLVEKSVASQAQEYLLAKEISLVLNVKKPLLERIARCTGALIAPSVDSLSKARLGHCELFRLDRIVEDHEAGNQSNKKPSKTLMFFEGCPRRLGCTVLLKGSCRKELKKIKHVVQYAIFAAYHLSLETSFLADEGATLPKMIVKHSTDTPESAIADTDISVVSNTFSSTTCQSEADDASRAKDSVGHVVIGNLGSVSGNLDELNFDSYRGTMGDYSVENMLSDPYYNNLTSNLTLESDYLHECSESEADTMSRDPSRVDLQETMLQGERECEVFDSTKDKINEDEFSGEYFSAAEAHQNILVYFSSHCVSKGTLCERTRLLRIKFYGSFDKPLGRYLHNSLFDQAYRCQSCKEPAEAHVLCFTHQQGNLTINVKRLPSVKLPGESDGKIWMWHRCLRCPFVDGVPPATQRVVMSKSAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGSMVVFFRYSPIDVLSVHLPPSVLEFGYIPEKWIRKVAGELFSKVETLYAEISDVLEQLETKVFSPGAGNELSDAYDIHNHILDLKNTLLREKMDYHTLLKSADVTAEPGNMTLDILELNRLRRSLLIGSHVWDHQLSTLDSLIKRSLSSKVKQERESFADVNNELRVDSLHKDQSFDSWLEQNNSQPSKLHESHESHKLVEPDDQVESRHGSEASACYPDGEEPHSDGEFVYNNTLSDCIPSKESNLSEKIDLAWTGTDQPVPVNSSFKRLTQPLRVHSFDSALRVQEKIKKDLPSSLHMSTHRSFHASGDYRNMVRDPISNVLQSNFQALPWESQKINLILSSTPSFLSSISHIAEGARLLLPQTCHGDRVIAVYDNDYSSIISYALSSKDYEDWVSGKSDLHDGNWNSSERNKEDLANSSFSAWATLDLDYINYASYGSDDAPPSSISSFIRDNKKPLHAQISFGDDSLGAGGKVNFSVTCYFAKQFDSLRKKCCPNEVDFVRSLTRARRWSAQGGKSNAYFAKSLDERFIIKQVTKTELESFEEFAPQYFKYLIDAMNSGGPTCLAKILGIYQVTVKYPKGGKETKIDLIVMENLFYKRNITRVYDLKGSERSRYNADTTGANKVMLDMNLLETLRTNPIFLGSKAKRKLERAVWNDTSFLASVAVMDYSLLVGVDDEKKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIVSPKQYKKRFRKAMTTYFLTLPDRWS >CAK8566905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471692339:471693044:1 gene:gene-LATHSAT_LOCUS20001 transcript:rna-LATHSAT_LOCUS20001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFAKRWHLETSSLHLLHDELTVTLDDISCLLHIPIRGTLLRHGRMTKENVREMLVEELGTDPLDALEEVDKTREAHVRFSFLTRRFGEALIAARQADDGPVEVEIQRQHVLRCYFLFLVGTQLFVDTSSIYTNVAYFRYFLDSIQIHEYNWGAATLAYMYSRLGEGGLWKTKTMAGDVALLVAWIFQHFPMISGWGSVPD >CAK8573475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615365502:615369447:1 gene:gene-LATHSAT_LOCUS25921 transcript:rna-LATHSAT_LOCUS25921 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWWGKSSSKETRKKAGKESIIDTLHRKFKFPSDGKLSTRSGGSRRRSNDTISEKGDRSPSESRSPSPSKVARCQSFAERPHAQPLPLPGLHPSSIGRVDSEISISAKSRLEKSSKSSLFLPLPKPACIRCGTNPADLDGDIVNTSVFSDCSADSDEPADSRNRSPLATDSETGTRTAAGSPSSLMLKDQPAAVAQLNSREVKTPTNILSNHTPSTSPKRRPLRHHVPNLQVPPHGVFYSGPDSSLSSPSRSPLRAFGTDQVLNSAFWAGKPYPEINFVGSGHCSSPGSGHNSGHNSMGGDMSGPLFWQPSRGSPEYSPVPSPRMTSPGPSSRIQSGAVTPIHPRAAGTPTESQTGWVDEGKQQSHRLPLPPLTVTNTSPFSHSNSAATSPSMPRSPARADSPMGSGSRWKKGKLLGRGTFGHVYIGFNSESGEMCAMKEVTMFSDDAKSMESAKQLMQEIHLLSRLRHPNIVQYYGSEKVDDKLYIYLEYVSGGSIHKLLQEYGQFGELAIRSYTQQILSGLAYLHAKNTLHRDIKGANILVDPNGRVKVADFGMAKHITGQYCPLSFKGSPYWMAPEVIKNSKECSLGVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPTIPEHLSNEGKDFVRKCLQRNPRDRPSASELLDHPFVKGAAPLERPIMVPEASEPISGITHGTKALGIGQGRNLSALDTDKLLIHSSRVLKSNPNESETHIQRNISCPVSPIGSPLLRSRSPHQRSGRLSPSPISSPRTVSGASTPLTGGGSGAIPFSNHLKQSVYFQECLGSMPKSSNYINGSSHHDSNIDIFRTMQIGSHIKSELISSENDALTKQFVRSPHPEPYDFQSVLADRVGRQLLGDHVKINPSFDPNPSSSLLNRTNGL >CAK8562178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451089487:451091639:-1 gene:gene-LATHSAT_LOCUS15697 transcript:rna-LATHSAT_LOCUS15697 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGLSGTLSRCPQLPPRCLESRRVRVRPFSVATRCIQGTTFPGVERTSFSSPRIKCNRQQVQLVRSATDASFGDTSNDSTAVFPRISVSDPYKRLGISKEASEEEIQGARNFLIQKYAGHKPSVDSIESAHDKIIMQKFYERRNPKIDFKKKFRAVNQSRFVQAVRGRFRIPSTIFIIKTSLAFLLLGVLTVFFPTEEGPTLQVALSLIATTYFVYDRLKSKLRALFYGVGAFIFSWLLGTFLMVSVIPPIPVIKGPRAFEVITSLITYVLLWISSTYLR >CAK8539191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506470701:506472880:1 gene:gene-LATHSAT_LOCUS8311 transcript:rna-LATHSAT_LOCUS8311 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFAIVVADTSAVHSILVHKSNEDKIMLLDSHKLVAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILLAGYDKETGPSLYYIDYIATLHKLEKGAFGYGSYFSLSMMDRHYHSGMSVEEAIDLVDKCIVEIRSRLVVAPPNFVIKIVDKDGAREYAWRQSVQDTPVPSA >CAK8542258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:494278368:494280707:-1 gene:gene-LATHSAT_LOCUS11107 transcript:rna-LATHSAT_LOCUS11107 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTLLLLITFMCATILIFILRKLNQTQSSTKLPPGPHPLPIIGNILELGKNPHKSLTKLSKIYGPIMTLKLGTITTIVISSPQVAKQVLQENSQIFSNRTIPHAGYALDHDKLSLAWLPTLDLWRKLRKICATKIFSTKMLDSTKLLRQQKLQELLNYVNEKSKKGEAFDIGEAVFTTVLNSISNTLFSMDLAHAIQGEESQGFKKIIWGIMEEVGKPNVSDFFPILRPLDLQGVYAKVTNYVKKLYTIFDEIIEERISSRSTKVDSEDVCNDVLDSLLKSNNIGETTLELSRDEMVHLFMDLFVVGIDTTSGTIEWIMTELLCNPEKLTKAKKELCQEIGKDEVIEESHIFKLPFLQAVVKETLRLHPPAPFLLPHKCDESVNKLGFNVPKSAQILVNVWAMGRDSTIWQNPNMFIPERFLECDINYKGNSFELIPFGASKRICPGLPMAHRVVHLMVASLLRNFEWKLVDGLKPEDMNMEENFGLTLKMVQSLRVQATSPT >CAK8539133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504903373:504904215:-1 gene:gene-LATHSAT_LOCUS8258 transcript:rna-LATHSAT_LOCUS8258 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVCHGLPSSLDSHLVESRIHNLRLTSPKPLTNQPIDLPFKSNEDSWGSIQSLSKSTYVHPNVKLPWQKLSSKSLELCTENLGNETGADILESNIDFFSLTSSPCDKLDTKEQKSEKVRTKNYPPPLKSMRGLESLRAKPHRENGRLVIELTKVPSSVSCFQAERSNGRLRLSFWNDADDEQEYTNEVEENEEEETSQKECIMNNENKERETYEECIIDNENQKGETYEIQTPTQTLEVRIEKYERESRRRCKEIGKHENNEMLVNWGEPLWLALVTS >CAK8541892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:423877245:423877592:1 gene:gene-LATHSAT_LOCUS10781 transcript:rna-LATHSAT_LOCUS10781 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVETPFKAGSSSDPSPEVQSLDIEVNDDTFKGLTFVVLSDGKWFKNSGSDFCIEFGRKKQIQKATLYEIVIIGSPKSTYIG >CAK8577713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:584754655:584758546:1 gene:gene-LATHSAT_LOCUS29794 transcript:rna-LATHSAT_LOCUS29794 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTSDSEWETSSDSSSSSEDQEENDFQYGGQAQSILSNLEESIGKIDDFLSFERTFGHGDVVCSLSYQTGQMGRVTNVELFADLENVKGKVFKNVNSKKLSKIRSISEGDYVIKGPWLGRVQRVVDKVTVLYDDGAKSDIIAFEREKLLPLTCNFSEDLQCPYYPGQRVKVKSSDASKSAGWLCGTWRDNQDEGTVCAVDAGLVHVNWIASVLSSSNLNVNAPPCWQDSKNLIVLSCFSDTNWQLGDWCMLSVAAKEEQISMEHSMTRECNKSEGKKLDSSIGELFIIGKIKTKVDILWQNGEHSLGLDPENLLPVNVINTHEFWPHQFVLEKGTYDPLQPNNQRWGVVQYVDAKEHIVKVKWKNVSIFKPNDLERDKMEETVSAYELVEHPDYSCFFGDIMFKPAQKQFKENSVDDMNMEASLRNRNQMSYHDDFPDDCYLSCVGTVTGFKDGNVEVKLATGFTTKLAPYEIFRIDKHEGSTVTPAPQEMIERGSLTSDKKGKDLINCDGKKENCEKNLEECSPFFLPQAAFEIFSSIKSSIFQTLGGTSVTGAFSSVPTFEKDHESDFPEKKDSETCNLCIEPHPATELQSTEDTTSYPEVIRIHDKNEFPFSLDSNSSNQFKQFDVIESCSEDHHFFDEGKGLPMLQVKRSWVKKVQQEWSILEKSLPDSIYVRAYEERMDLMRAAIVGASGTPYQDGLFFFDICFPPEYPNEPPMVHYNSGGLRLNPNLYESGKICLSLLNTWTGAGSEVWNPGTSTILQVLISLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTTKSMLYLLRKPPKHFEALVEGHFRQCSKHILSTCKACLEGASIGCGKTEQENQKGTSTGFKIMLAKLFPRLVEAFKDIESSQFS >CAK8544237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:673641285:673642615:-1 gene:gene-LATHSAT_LOCUS12936 transcript:rna-LATHSAT_LOCUS12936 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRFFLVASMMLLSFLEISEGGSLRKNFYKKSCPQAEEIVKNITQQHVFSRPELPAKLLRLHFHDCFVRGCDASVLIESTASNTAEKDALPNLSLSGFDVVKDIKDAVEAKCPGIVSCADILTLATRDAVSVQFNNKQKWEVLTGRRDGTVSKSLEALINIPAPFHNITTLRQIFASKKLTLHDLVVLSGAHTIGVGHCNLFSNRLFNFTGKGDQDPSLNPTYAKFLKTKCQGLSDTTTTVEMDPNSSTNFNNDYYPILLQKKGLFTSDAALLTTKQSRNIVNELVSQNKFFTEFAQSMKRMGAIGVLSGSDGEIRRKCSIVN >CAK8537954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450003060:450004967:-1 gene:gene-LATHSAT_LOCUS7200 transcript:rna-LATHSAT_LOCUS7200 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIYYKDYGSAGRMDTNDTLRVASLWHSMHAISQQLSPVSGCSGIELLQADTFDLHCFQSLTGTKFFAVCEPGTQQIESLLKFVYELYTDYVLKNPFYEIEMPIRCELFDIILTQSVQKDRVALLGQ >CAK8542473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518447511:518448008:-1 gene:gene-LATHSAT_LOCUS11312 transcript:rna-LATHSAT_LOCUS11312 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKRVKSVYLPHELIMEILLRLPVKTLLCCRFVCKSWFSLISDNNFATSHCEHAATHRLVLINGFDLKSALSIDVDASLHDSSAHASLTLDFLSLHFPYEYSLEIKGSCRGFLFMLFNGKYLYLWNPSTGVNKQIPDSFINTFTIMVSNFSLALHMTTPPMIT >CAK8568140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:576141155:576142542:-1 gene:gene-LATHSAT_LOCUS21131 transcript:rna-LATHSAT_LOCUS21131 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRISSPLLSTKQHHGIVITSFSSINFDKNRNYSINPKPIHSLRQSITHTPTLIRTKQHVNFTLRFSEQQRSQVIEENTEEFSSTRLLAQNVPWTCSAEDIRSLFEKHGKVIDVKLSMYTKTRNRGLAFVEMGSPEEALAVFNTLQSFEFEGRVINVKYARPRKEKITPPVEQKPIKFNLFVANLSYETRSKDLKEFFDSGASGVVSAKVIYRVGPKKRFGCGFVSFKSKKEADDALSAFQGKNLKGRPIRVAPSKQFVQLTEESV >CAK8538020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459126366:459126677:-1 gene:gene-LATHSAT_LOCUS7261 transcript:rna-LATHSAT_LOCUS7261 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIQTQNARDRRQRMSVKQRQQELARRCSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLMNVNLASRLFPMAHDSEAGPSNAHVSRIPSPD >CAK8560459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20536447:20537013:-1 gene:gene-LATHSAT_LOCUS14128 transcript:rna-LATHSAT_LOCUS14128 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDKLRRGDAAIDKCLKDCERMIQKSLNNPLVKTLREQMEKAGCPVKDNFFKAMICFNSYGGVFTPGKGITVCGNKKQNQDNVTQVIIHELIHAFDDCRAANMDWTNCAHHACSEIRAGHLSGDCHYKRELLRGFLRIKGHEQECIKRRVMKSLAANPFCAGSTAKDSMDAVWNTCYNDTAPFEKVP >CAK8561824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:389726966:389727374:-1 gene:gene-LATHSAT_LOCUS15378 transcript:rna-LATHSAT_LOCUS15378 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFGPQPPHKWLTLPDMGYVIENRYNIVLVCIGFVNTNVAFYCIGFVNTNHWIQVNMKEGFPLPPVTVDWKKFRSPAATSWMLGFAGRLQYWQQLTPLLPTHYEL >CAK8531398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:107391549:107391911:1 gene:gene-LATHSAT_LOCUS1194 transcript:rna-LATHSAT_LOCUS1194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFEIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >CAK8543601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624743462:624745276:1 gene:gene-LATHSAT_LOCUS12349 transcript:rna-LATHSAT_LOCUS12349 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLVLSLSLCFLFFSSSFARREQSQQNECQLEQLNALEPDNRIESEGGRIETWNPNNRQFRCAGVALSRATLQRNALRRPYYSNAPQEIYIQQGNGYFGIVFPGCPETFEEPQESEQGKGRRYRDSHQKVNRFREGDIIAVPTGVVFWMYNDQDTPVIAVSLTDTGSSNNQLDQMPRRFYLAGNHEQEFLRYQHQQGGKEEQENEGNNIFSGFKRDFLEDAFNVNKHIVDRLQGRNEDEEKGAIVKVKGGLSIISPPERQPPHQRGSRQEEEEDEDEERGSRQEEEEDEDEERQPRHQRSREEEEKGDEKERRRHHSQKGESGRHGDNGLEETICTAKLRQNIGSSSSPDIYNPQARRIKTVNSLDLPVLRWLKLSAEHGSLHKNAMFVPHYNLNANSVIYALKGRATLQVVNCNGNTVFDGELEAGRALTVPQNYAVAAKSLSDRFTYVAFKTNDRAGIARLAGTSSVINDMPVDVVAATFNLQRNEARQLKSNNPFKFLIPPRESQNRASA >CAK8572044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504265054:504265527:-1 gene:gene-LATHSAT_LOCUS24652 transcript:rna-LATHSAT_LOCUS24652 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIICPFVERLLLLLSKQLNSRSLLLVSRLLICLHHTKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERT >CAK8538693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493103741:493105070:1 gene:gene-LATHSAT_LOCUS7866 transcript:rna-LATHSAT_LOCUS7866 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTQCFLSHPTLTTPTRTTKLSSQRQVVVINTKPNQNQLIVCKTQNQKQLIHQDDCSNIISRRLALTVLIGSAAVGSKVSPADAAYGQSANVFGKPKTNTDFLPYNGDGFKLSVPSKWNPSKEVEYAGQVVRYEDNFDSTSNVVVTVTPTDKKSIIDYGSPEEFLSKVNYLLGKQAFFGETQAEGGFDPNTVATANILESSTPEIDGKKYYVLSVLTRTADGDEGGKHQIIRATVKDGKLYICKAQAGDKRWFKGARRFVESTANSFSVA >CAK8535875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888086287:888102427:-1 gene:gene-LATHSAT_LOCUS5294 transcript:rna-LATHSAT_LOCUS5294 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSRKSVFVMFAFVLFAWGNNAQDDTIVPAIITFGDSAVDVGNNDYLYTIFKANYLPYGRDFANRKPTGRFCNGKLATDITAETLGFKSYAPAYLSPQAKGKNLLIGANFASAASGYDEKAAVLNHAIPLSQQLKYYKEYQSKLAKIAGRKKAASIIKGALYLLSGGSSDFVQNYYVNPIINKVVTPDQYSAYLVDTFSSFVKDLYKLGARKIGVTSLPPLGCLPATRTLFGFHEKGCVTRINNDAQGFNKKMNSAAAKLQKQLPGLKIVVFNIFKPLYELVQSPSKFGFAEARRGCCGVGTVETTTLLCNQHSLGTCSNATQYVFWDSVHPSEAANQVLADALVVQGISLIS >CAK8572041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504233910:504235037:-1 gene:gene-LATHSAT_LOCUS24649 transcript:rna-LATHSAT_LOCUS24649 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDFTASYGNLQQPDSLHYISGSGRLNSCQKAIMEVGDVILFYDSDKRFPAWGFGGMIPGSTVSHCFNMNGNPASSEVVGVEGIMEAYANALHTGSFLQPKDDPDSEISKVVRAIRKKLQQIKMLETKQSKGHILTARVKIFL >CAK8536250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:921818541:921822622:-1 gene:gene-LATHSAT_LOCUS5638 transcript:rna-LATHSAT_LOCUS5638 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTVPASFSGVRISESGLGFGRSVDFVRVSDLKRMKSARTKVSIIRNSNPGQDVVELQPASEGSQLLVPRQKYCESLHKTVRRKTRTVTVGDVTIGSEHPIRVQTMTTTDTKDVAGTVEQVMRIADKGADIVRITVQGRKEADACFEIKNSLVQKNYNIPLVADIHFAPTIALRVAECFDKIRVNPGNFADRRAQFEILEYTEDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIVPCTRLANLGMRAAELQKGVAPFEEKHRRYFDFQRRSGQLPVQKEGEEVDYRGTLHRDGSVLMSVSLDQLKTPELLYKSLAAKLIVGMPFKDLATVDSILLRELPPVDDVDARLALKRLVDISMGVIVPLSEQLTKTLPNAIVLVNLKELSTGADKLLPQGTRLAVSVRGDEPYEELEILKGVDATMILHDLPYTEDRVSRVHAARRLFEYLSENSLDFPVIHHIQFPNGIHRDDLVIGAGSHAGALLVDGLGDGLLLEASDKDFDFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMEQATDALIDLIKEHGRWVEPPVEE >CAK8563365.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:597466574:597468667:-1 gene:gene-LATHSAT_LOCUS16786 transcript:rna-LATHSAT_LOCUS16786 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESASSSLQYEEDDISSKNNSSFVVLNVYDLTPMNNYMYWFGLGIFHSGIEVYGKEYGFGAHDFSASGVFEAEPKTCPGFIYRCSIDLGQIHISPSEFRTFIENMASEYHGDTYHLISKNCNHFTNDVSYRLLGKQTPGWVNRLAKLGALCSCLLPECLQVTTLKQVPEYHECSEDELADSLSSATPYRSPETDDEQEKLLLSSPGAEDVTFVKESHVK >CAK8539599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:517477462:517478587:-1 gene:gene-LATHSAT_LOCUS8681 transcript:rna-LATHSAT_LOCUS8681 gene_biotype:protein_coding transcript_biotype:protein_coding MALINNNQDSNNSNLHLVLGLSLTTPKETTTTTTTATTAANPYYSSSNEPSLTLALSGESYKQPLKNKTYGEELCRQTSSPHSVTVSSFSNGRILHVKRERDEEEDIVEEEERVSSRIISDEDEDGTNTRKKLRLTKEQSLLLEESFKLHSTLNPKQKQALAKQLSLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTEENRRLKKELQELKTLKLSAQPLYMPMPAATLSICPSCDRLGGRVAEGGGSNKKIITAFTMAPNSHFYNPFNNPSAAC >CAK8564975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19822957:19823414:1 gene:gene-LATHSAT_LOCUS18222 transcript:rna-LATHSAT_LOCUS18222 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQLFLYANVRPCYSLSGYETRYDDVDLITIRENTEGEYSGLEHQVVRGVVESIKIITRQASTRVVEYAFHYAKAHGRKRVSSNKVSNHHTVQKLVQAYIAARNNSFHRALSLPIHALKLIS >CAK8563734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:627943145:627943369:-1 gene:gene-LATHSAT_LOCUS17113 transcript:rna-LATHSAT_LOCUS17113 gene_biotype:protein_coding transcript_biotype:protein_coding MNEACLDKLGWQMLHDDDSLCKQIILGKYARQNDYSNWVAKNTDSSFLKNMAKIWPKIVKHSMWYVGNGLKIDA >CAK8575242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57742950:57746348:-1 gene:gene-LATHSAT_LOCUS27521 transcript:rna-LATHSAT_LOCUS27521 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALRLNNLRRHLDLDDTANKAAGVNPVGASINVKVAPEVSEALSLGHAVVALESTIISHGMPYPQNLETAKAVEAVVRENGAVPATIAILDGVPRIGLSGEELERLATLGTKAQKTARRDIAYVVARGGNGATTVSGTMFLASMVGIHIFVTGGMGGVHRQGEYTMDISSDLTHLGRTPIAVICAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTASSGCMVPCRVDTPEECASLIEANIKLKLGSGILIGVPIPQEHSTSGHIIESAISKAIKETKENNISGNAITPFLLDRVNALTGGVSLASNIALVKNNAQLGAKVAVALAQLRENSQRKQETE >CAK8537757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427101418:427102587:1 gene:gene-LATHSAT_LOCUS7013 transcript:rna-LATHSAT_LOCUS7013 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISVEICIVSARGVRASPSLWKHQWYAVGWVDPTNKYITKVDASTNTNPIWRTKFSVPVDNSDPGFQDLALNVEVYSRDPFFFSEKLHGSATVLLKEFLAKEMQNEEIGSYQLRKKKSNKPRGFVDVSIRVSEDKEESNSYSGNEGGGILLSDNGSNNKGGNGQRYHQQMDPASFNGPFKQAQTNVPYSHPMPFPTNYSNPYVGGPTYPAAAGAGPSYQPLRTPPPPPPPPPPSNFGYIPTFHQSNDGLAPSYFNMPSSSGTAPRQRGPPGFAMGAGAGALAAGAVMFGDNLMSGFDFSSGIGDPAISIATDPLF >CAK8537925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:448139001:448140154:1 gene:gene-LATHSAT_LOCUS7173 transcript:rna-LATHSAT_LOCUS7173 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIQFYLVLFMVTFAAVLSPTVAKLTPNYYDRICPKALPIIKSVVKQAIYREPRIGASLLRLHFHDCFVNGCDASVLLDDTPTFRGEKTALPNINSIRGFEVVDQIKAAVTKACRRDVVSCADILAIAARDSVAILGGNQYWYQVLLGRRDARTASWDAANANLPPPFFNFSQLITNFNSHGLNLKDLVVLSGGHTIGFAKCATFRDRIFNDTNIDTTFAANLQKTCPRIGGDNNLAPFDSTPKKVDTEYYKALLYKKGLLHSDQELFKGDGSQSDRLVQLYSKNSYAFAEDFGVSMIKMGNLKPLTGKNGEIRCNCRKVNYS >CAK8563849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634947210:634948373:-1 gene:gene-LATHSAT_LOCUS17216 transcript:rna-LATHSAT_LOCUS17216 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIDNKALKFYLKGATNLREYQKTWYDATRGKRKNGRVYGAGGYAKTIKRRDRTFMMRLDNGEGSSRPPILTADMLETVRNLTQTETAREAATRQAEVEEMRRRQAEMEEELRRKIAEYEEAMQIANESKLKFEQFMALHMNQGVRERDEEDEDDDEKVD >CAK8576215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:427404834:427407713:1 gene:gene-LATHSAT_LOCUS28421 transcript:rna-LATHSAT_LOCUS28421 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESEMKESKFKRICVFCGSSSGNKSSYKDAAIELGKELVSRNIDLVYGGGNIGLMGLISQSVYDGGRHVIGVIPRALMSREISGETVGEVKAVADMHERKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGFYNSLLSFIDKAVEEGFICPLARHIIVSAPSTKELVKKMEEYSPQHVRVASKLSWENGQLD >CAK8579290.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689886403:689889386:1 gene:gene-LATHSAT_LOCUS31245 transcript:rna-LATHSAT_LOCUS31245 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDLLLGVSMFAEPINFKIIDRASLAMNELCNVGIIGKPLWHQHNSNQYEILNGIEYLKYVGHDAMLMDIVKLVEVGEIQTLPSFDSYGNQINSISNENSIQGLHIEASRDTAMINAGPNDIVELLMNVNQWGMTFHNIVSRATILGSFMNGVEGSYDGRLHVMNAEFHLPSPVVPTRECCFVRYCKQLSPNDWVVVDVSLEDLFPYPSTNFRKRPSGCMIKEMPNGYSKVTWVEHVEADHSQLNDLFKPLVTSGLAFGATRWLASIVRHFEWAETLMTTQFFSDRKVFIPQTGRTSFLKLADRMMRKFCGNLSATTTNPWMRLAPFPSSTDVRVMIQNNMPNTLNNPVGTTIVFCTTIWLNISPNRLFNFLRHEKSRNKWDILSQTLSIEQFACMTIGKHLENRVSLLRASDSKDKTEIFYLQKSYADATTSYVIYTPLDESALIHLAKGSNPDNVIAFPSGFAIIPGGLPKDNGNNVGSNESLLTISFHLFDKATNVTGIPPESVQTIYEIITVTAIKDALSCHSRLNNWAQDELKNGTVKK >CAK8563016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566692422:566692820:1 gene:gene-LATHSAT_LOCUS16466 transcript:rna-LATHSAT_LOCUS16466 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAAKVRSDVAGSEFKFAFAWTVEGLQWMIRRRHRGFADGNGDFWRQKWRRWRMKKISSSASPFLRFLIRDFYSCDFVNRFLCVVVKSLLANPLLLIAFHSLLINSIANSFPNSDLCDNDHDNVFPFEAF >CAK8530226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8557437:8561019:1 gene:gene-LATHSAT_LOCUS109 transcript:rna-LATHSAT_LOCUS109-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSSVLLSTPISTLLFKHRIDTHPLQQNLLFTPPQPQFNTTHLKLPPSISYSKIWRNKVSFFQDFLTGGDRERNIQSLKDELYETIAPLDRGAEATSEDLQRVDKIASKLEAMNSVKEPLNSDLLNGKWELLYTTSQSILQTKRPKFLRPNGKIYQAINADTLRAQNIETWPFFNQATANLVPLNSRKVAVKFDFFKIASLIPIKSPGSGRGELEITYLDQDLRISRGNRGNLFILKMVDPSYRVPN >CAK8530225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8557422:8561019:1 gene:gene-LATHSAT_LOCUS109 transcript:rna-LATHSAT_LOCUS109 gene_biotype:protein_coding transcript_biotype:protein_coding MISCSMTHLSSVLLSTPISTLLFKHRIDTHPLQQNLLFTPPQPQFNTTHLKLPPSISYSKIWRNKVSFFQDFLTGGDRERNIQSLKDELYETIAPLDRGAEATSEDLQRVDKIASKLEAMNSVKEPLNSDLLNGKWELLYTTSQSILQTKRPKFLRPNGKIYQAINADTLRAQNIETWPFFNQATANLVPLNSRKVAVKFDFFKIASLIPIKSPGSGRGELEITYLDQDLRISRGNRGNLFILKMVDPSYRVPN >CAK8540810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22638378:22639874:-1 gene:gene-LATHSAT_LOCUS9777 transcript:rna-LATHSAT_LOCUS9777 gene_biotype:protein_coding transcript_biotype:protein_coding METQSAEDNSGGVDVNVGGVSANVDVIGDLELSVACFSEKVSNLSIFVMNLETLEAEFEGLVIMGEENMDVECVIKGFEFDLLCGVLDSEVRDLGLFLDTLHAGISDAKDRVSSFEDWQDRLIELERCLKLSEEQFYEIKKQSVNFQRFFASHKTEENGNVEEGGNVQEDNQVLDVNNTMNVETTLRMLEKSLANEIDLEKNFNDSKKIEESLKQRIASLQDELIQMEEEAIEVWERWSEADNAREILKGISNELLAKLKLSQFNLAGLRKSESELTAKLETCIQQLKSRDANLDKIIESKKAEDSEAVYLSIKVCSLEKQLEETECQLVNVKASADEYQQQYNVTCSEIRDMDNLIMELKEKASNAENWANAAEVQCKVLRETVEIYFIFPCIL >CAK8576927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525497134:525500977:1 gene:gene-LATHSAT_LOCUS29083 transcript:rna-LATHSAT_LOCUS29083 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSVWIIGESGFIYERFWNGLEWVIAPHDLPISQGRAVAVFIISQKFFALSELGNLYQMHVQLGEASQPVWIEFSHTLDQISDTDSIFIKSGAVSDDRKRGYFCTKKGTLIELAEVEPPRWINHGQPSGANVAAIAEVASSREVVYTISSAGDLYEYNSKSKPSWKKHIWQEKKAQVSSLIPSKGCIHGLIGDHSESLFLLTKEGTLVERRLHQRKWKWIVHGNPPHQNLTSITPSLRDESSETSISLFFTTSVGSVFEYQIPKQLGTSPNNQFPGGEWISHQHPLHAKAARGKSGLPLQVGRIVFALDDGRIAELHQAGLGGESAGPSMPQNFRRKTTSTKYVWSILDAPESEGWNAEYCTEERGPRNCMTGIKDESKDSGITSSVTGRRKQSQEHHYYLSLGTGNELVSSLEEYQYNLPDDWVSSNFRLRLMYEGKSFFLVTSDGLIFEHVCIESVWIWLKHDSSTEMNGIVGNYNGSLFMVDTFGSVLLREWSGNEIEWKNCSDMRRGKNIVVGGQPWDRLPGIARRVTAEDSLFFVSKTGRLMQFTVYMRKFKWKDCKNPPNVKVANIVDQELFRENIIFVTGRNGRLYQYNKVSDLWHEHYQSQHLVLSNFPGTIIRPMSKSLTGSIFMLSKDGGLVEYNWNTWHEWNWIEHGTPYKGVTLVGSPGPSFEGNQLLLIGSDGRVYLRYMEKNAWKWKDCGFPTLGDKTMEAEDREEEFNDEDTKKEQENLGDLSFLNCDPKVRSTRPIPFSADSAIFELRDGRLAEIKVGEGKEWVWSRIIGTPNSLCIQNYWITVASSPS >CAK8560582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26658371:26658976:1 gene:gene-LATHSAT_LOCUS14243 transcript:rna-LATHSAT_LOCUS14243 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFHHGDFEDTKVRIVTSNGGIMELYSPITVECITNEFPHHGIFKNNCNIRSKPLMKNEELQGGEVYYLLPLKHMTNKQFGETFETLTPYRMSTCDRSSSNNNGSNMWSEHEVFPRYNSGGVWKVKLVISPEKLSEILSQESRTEALIESVRTVAKCGNGAAPSSVACSDQWSVSSSFKGSSLLEKFNLESSSSN >CAK8541475.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:195074805:195075665:-1 gene:gene-LATHSAT_LOCUS10394 transcript:rna-LATHSAT_LOCUS10394 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYSHDDSYFNFSIGWLGKFKNQHGIKPFCRFGESGSVDVQDMEQKLVSIREKIDQFPMKDVFSMDETGLFYRLQADHSLATKQLEGRKQDKERLMVVICCNEDGSEKIPLWIIGKYAKPRCFKNVNMNSLDCHYRANKKAWMTSVLFDEYVRSFDQMMHGRRVLLVVDNCPAHPRNIEGLRNVELFFLPPNIASKIQSCDAGIIRAFKMHHRRRFYHKILDGYKVGQSDPGKINVLDAINLAIPAWTIDVRKEIIANCFRHCKIHLASDVAKNLDESTFDEET >CAK8564032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646955810:646956028:1 gene:gene-LATHSAT_LOCUS17377 transcript:rna-LATHSAT_LOCUS17377 gene_biotype:protein_coding transcript_biotype:protein_coding MFADERTADKVRVTYTRMREVISIKDKEGRKIQQKVGYEWKPKFCDRCQKIGHRCNKERKPMAKQWRLKPDQ >CAK8538635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489665134:489695391:1 gene:gene-LATHSAT_LOCUS7815 transcript:rna-LATHSAT_LOCUS7815 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSSSTAPALRAKSDTEIEEMLDRMLTRLALCDDSNLEPLLSKLLPLTISSLSSQAIAVRNKVLEILSHVNKRVKLQSDIGLPLTELWKLYSEPGAAPMIRNFCIVYIEMAFQRVDAKVKEGLAPELLMNISKLPVQHQEIILRIIVKVIGECHSGQIADEVAAKFKEVNNSQDRELFIEFCLHTMLYQRVSQSGGFPPGLSVTQVNRVTGKQQLQSNELLLRKLGILNVIQAMELDPELVYPLYIAASVDCEEPVVKRGEELLKKKASGANLDDLNLLKRLFLLFNGTVGAESVDSESRVSPGSYALKAKLMSIFCRSIAAANSFPSTLQCIFGCVYGNGTTSRLKQLGMEFTVWVFKHAKIEQLKLMGPVILSGIMKSLDSYSISEADASAREVKTYAFQAIGLLAQRMPHLFSKKIDMAARLFNALKVESQSLRFVVQEATISLAAAYKVAPLAVLQDLEVLLLKNSQVEESEVRFCAVRWATSLFDFQHCPSRFICMLGSADAKLDIREMALEGLCLLKNGNQIDDFKYPKLGLMLDYIIRQQPKLLESTNIRDQNLHFPSNTYVAMIKFLMKCFESELEKNKTLEGSPEFISSVKTFCLLLEHSMSFEGSVELHVTSSKALLIIGSHMPEVVASHYALKVSWLKQLLLNHVDWDTRESIACLLGIVSSALPLPATSDVISELTSIFSQTHKPRFETQHGALCAIGYITADYLSRALMPEMFLRKTLRCLVDVVNSETSALAAVAMQALGHIGLRISLPPLEDSNSDGILIVLYDKLSKFLLSDDVKAIQKIVISIGHICVKESSSSHIDTALNLIFSLCRSKAEDVLFAAGEALSFLWGSVPVSADTILRTNFTSLSTASNFLMGDLNSSETKQFPNGQSEHSEEYHASARDAIIKKLFDVLLYSSRKEERCAGTVWLVSLTKYCGSHPIIQKMLPEIQEAFSHLLAEQNELTQELASQGMSIVYDLGDESMKQNLVNALVNTLTGSGKRKRAIKLVEDSEVFQDGSLGETASGGKLNTYKELCSLANEMGQPDLIYKFMDLANHQASLNSKRAAAFGFSKIAKQAGDALKPHLRSLIPRLVRYQYDPDKNVQDAMVHIWKSLVADSKKTIDENLDLIIEDLLVQCGSRLWRSREASCLALADIIQGRKFYEVGKHLKRLWSGAFRAMDDIKETVRISGEKLCRSVTSLTTRLCDISLTDMSDAHKAMDIVLPFLLAEGILSKVDSVRKASIGIVMKLTKHAGTAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIKSEKLESLRISIAKGSPMWETLDSCIKVVDAESLDTLIPRLAHLVRSGVGLNTRVGVANFITLLLESVGVDIKPYANMLVRLLFSVVKEEKSTAAKRAFAGACAKALNYIAVAQTQKLIEDTAALSAGDKSSQIACAFLLKSYSSRATDVIGGYHAVIIPVVFLSRFEDDTNISSQFEELWEEYTSGERITLQLYLGEIVSLICDGMSSSSWTRKKKSAQAICKLSDVLGDSLSSHYEVLLQSLIKEIPGRLWEGKDVLLLAVGALSKSCHKAISADGSASSIAILNLVSSACTKKEKKYREAAFTSLEQVIKAFGNPEFFNMVFPLLFDLCNSKLPSVSDAAKAELDSVEETSIPHNKIIDCLTSCIQVAHINDILQKQKDLMHIYAAFLLPEYKWTVKTTAFLSIKELCSRLHNVIKDSQGSNEHASVTSLVQEMFHSISPKVLHCISTIKIAQVHVSASECLLEIMKLSVAVPSVIAINEEFKEELLHQYEIEKNEGAKSLLRMCVNILQDWKR >CAK8544458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:686235340:686237083:-1 gene:gene-LATHSAT_LOCUS13134 transcript:rna-LATHSAT_LOCUS13134 gene_biotype:protein_coding transcript_biotype:protein_coding MDANEARILLGFPPNSRPTPSEVKSAYKQKVWESHPDLFPSHEKPLAESKFKSISEAYTRLLPGGRGEASTSAEYWRVVRTGVPRASGGRKNHAMIKVPFLLIILGTVALGGFQASRAYKRQKEEYPSHNPFLP >CAK8535413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846360264:846361843:1 gene:gene-LATHSAT_LOCUS4878 transcript:rna-LATHSAT_LOCUS4878 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLMCVRLVTIFLVLFGIVSNIAIAGNANAVYPAVFAFGDSIFDTGNNNNLATASKCNFPPYGRDFYGGAATGRFGNGKVLSDVITAAMGVKDTLPAYLNTQLTAQDLTTGVCFASGGSGLDDLTANTQGGVLTMGAQLNLFKQYIEKLRAAVGTQKSSEIISNALFIISAGNNDVSFAYSYTIRRTLLFNVYAGMLVDSSQNFLKSLYQLGARHVWVLSTLPLGCLPAARSTFGGPIRACLDFENVLAQTFNGMLSTSVSNLKASLQDYDVKFVDVYTPMLSIITNPSASGFQNVVNGCCGSGTFEMGASCNMFTFQCPSTAAYFFWDVAHPSERAYQLTVAQLLHAQNYDLTSHHVAKALHPLNVSNLNFKN >CAK8571356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:390185450:390188180:1 gene:gene-LATHSAT_LOCUS24023 transcript:rna-LATHSAT_LOCUS24023 gene_biotype:protein_coding transcript_biotype:protein_coding MNRELAYALSFLFFLLSSLFYSNLITFDQQSLFRFDFLSHIAPNNSKTLLPIEACDFSKGQWVWDETYNSHQLYDEKCPFLDPGFRCRENGRNDEGFRKWRWQPDDCDLPRFNASDLLERSRNRRIVFAGDSVGRNQWESLLCMLTKGVSNMSKIYEVNGSPISKHKGYLIMKFEEYNMTVEYYRAPFLSILGRPPPNSTKDIKMTIRLDELHWYSNKWKEADVLVFNSGHWWNPDKTIKAGIYFQQGGKVNMTMTVKEAFRRSLHAWKIWALGNLKPTKSFIFFRSYSPVHYRNGTWNEGGNCDKDSEPENDPTKIETEPYYNLFISDAVKEMQYGSWKANFLNITYLSEVRKDGHPSKYREPGTPSDAPQDCSHWCLPGVPDTWNELIYAQLLSKKFGTKFIFPESKEGS >CAK8564258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663241698:663244826:-1 gene:gene-LATHSAT_LOCUS17581 transcript:rna-LATHSAT_LOCUS17581 gene_biotype:protein_coding transcript_biotype:protein_coding MRITARSLRQFRHVFQRQSCVMINNLVAEKPCFFEKCWKIRSSSYRVIIDQAESDLIKNHPFIATSSRSVSTDAVKLTNEEINRRGPLIEYERRIANGELVDGDSCQVETLTELQRLYDELVESADECQLDRNSEKPVRHGWLWSRLLSHPSHSPVKGLYLYGGVGTGKTMLMDLFYDQLPSNWRKKRIHFHDFMLNVHSLLQKHKGLSDPLDVVAEEISDEAVLLCLDEFMVTDVADALILNRLFGHLFSKGIILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVPHEIGSSTDYRKMTSGGQGFYLVGRDSSGFLKKKFQQLIGEDTATPQEVEVVMGRTLQVPLGANGCAYFPFEELCDKPLGAADYFGLFKKFHTLALDGIPIFGLSNKSAAHRFVTLVDVIYENKARLLCSADGSPLDLFQKVVTVSEAKFMAPRTSSRSRKNDESDLCVDNELGFAKDRTISRLTEINSREYLEQHTAMSAEKK >CAK8561368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:171786872:171789717:-1 gene:gene-LATHSAT_LOCUS14968 transcript:rna-LATHSAT_LOCUS14968 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSCSLLNLPSKMRGLTLTSSSFTSNSVSLSFSRNISHTNFSQGRLSLSTVQRRGVVVCEAAPQKVDSAIKRARQAEKRRIYNKARKSEIRTRTKRVLEALDLLKKKSDAQREEILSIEKMIGETYSVIDKAVKVGTLHRNTGANRKSRLARRKRAIEIHHGWYTPVPQESA >CAK8543923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649443928:649445515:-1 gene:gene-LATHSAT_LOCUS12645 transcript:rna-LATHSAT_LOCUS12645 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQNHFSVSILLAISLTILFYSTFTTSLEEPEYGYNEESADGPKHWGDLKREWAACKGDMQSPIDLSNERVTLIPNLGKLTSFYKPQYATVSNRGHDVAITWKGDAGSVNINGNEYFLQQSHWHWPSEHTINGRRYDLELHMVHVSPQQDGTNKTAVVGILYKYGLPDPFLSELVKYIVEVPDEDEETSIGVIDPSKIFKDSNMYYRYMGSLTAPPCTEGIIWTINTKIRTVSRGQVKLLKNSVLKYYANKNARPVQILNQREIGVYDSIMAQNIPHY >CAK8541572.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:255223751:255228425:-1 gene:gene-LATHSAT_LOCUS10483 transcript:rna-LATHSAT_LOCUS10483-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPVFPNRFLLNLPSTNLRRCSATQPVTVTLFHSLPFPHKLFANAHAFHHFRNRIRCFKQEGEENYEALKSKDIPDKQVRLSNEVQTNGKERHNKTEIPFLATIAIALGIAAIATYASIRQQPILGSPSGLQILSQGSSSSTVAPVAAGFTFEVFGFSVIIPQYAPGWIYFWLLMAAGCGLFISEEALNIWVGTSIARLLSLDGTWQSFAESFSRNAPYIISTVLWVYWGVCISDLIPFYFGKLFRQYGASADVTSRLGIGKEKAIEITDVVQKYGNLIGFVERFSLGVRNPTAFLAGALGISPELFFAGVCCGGLFTLSIQLGIGFLLRERPIFALATVATVVGIWTVFPYAIAASTALFFYVRSKYFS >CAK8541571.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:255223751:255228458:-1 gene:gene-LATHSAT_LOCUS10483 transcript:rna-LATHSAT_LOCUS10483-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEAVSAPTMSFAPVFPNRFLLNLPSTNLRRCSATQPVTVTLFHSLPFPHKLFANAHAFHHFSIVKSPLHDRNRIRCFKQEGEENYEALKSKDIPDKQVRLSNEVQTNGKERHNKTEIPFLATIAIALGIAAIATYASIRQQPILGSPSGLQILSQGSSSSTVAPVAAGFTFEVFGFSVIIPQYAPGWIYFWLLMAAGCGLFISEEALNIWVGTSIARLLSLDGTWQSFAESFSRNAPYIISTVLWVYWGVCISDLIPFYFGKLFRQYGASADVTSRLGIGKEKAIEITDVVQKYGNLIGFVERFSLGVRNPTAFLAGALGISPELFFAGVCCGGLFTLSIQLGIGFLLRERPIFALATVATVVGIWTVFPYAIAASTALFFYVRSKYFS >CAK8541573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:255223751:255228425:-1 gene:gene-LATHSAT_LOCUS10483 transcript:rna-LATHSAT_LOCUS10483-4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPVFPNRFLLNLPSTNLRRCSATQPVTVTLFHSLPFPHKLFANAHAFHHFSIVKSPLHDRNRIRCFKQEGEENYEALKSKDIPDKQVRLSNEVQTNGKERHNKTEIPFLATIAIALGIAAIATYASIRQQPILGSPSGLQILSQGSSSSTVAPVAAGFTFEVFGFSVIIPQYAPGWIYFWLLMAAGCGLFISEEALNIWVGTSIARLLSLDGTWQSFAESFSRNAPYIISTVLWVYWGVCISDLIPFYFGKLFRQYGASADVTSRLGIGKEKAIEITDVVQKYGNLIGFVERFSLGVRNPTAFLAGALGISPELFFAGVCCGGLFTLSIQLGIGFLLRERPIFALATVATVVGIWTVFPYAIAASTALFFYVRSKYFS >CAK8541570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:255223751:255228458:-1 gene:gene-LATHSAT_LOCUS10483 transcript:rna-LATHSAT_LOCUS10483 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEAVSAPTMSFAPVFPNRFLLNLPSTNLRRCSATQPVTVTLFHSLPFPHKLFANAHAFHHFRNRIRCFKQEGEENYEALKSKDIPDKQVRLSNEVQTNGKERHNKTEIPFLATIAIALGIAAIATYASIRQQPILGSPSGLQILSQGSSSSTVAPVAAGFTFEVFGFSVIIPQYAPGWIYFWLLMAAGCGLFISEEALNIWVGTSIARLLSLDGTWQSFAESFSRNAPYIISTVLWVYWGVCISDLIPFYFGKLFRQYGASADVTSRLGIGKEKAIEITDVVQKYGNLIGFVERFSLGVRNPTAFLAGALGISPELFFAGVCCGGLFTLSIQLGIGFLLRERPIFALATVATVVGIWTVFPYAIAASTALFFYVRSKYFS >CAK8565917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353565972:353566199:-1 gene:gene-LATHSAT_LOCUS19092 transcript:rna-LATHSAT_LOCUS19092 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKMPTLLITDQDPAMKVAIENIFTTSNHRFYMWHIMKNVSEKIGGSMNANEEFNTSLKSCVWGSETPNEFEAT >CAK8569510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3629806:3631212:1 gene:gene-LATHSAT_LOCUS22355 transcript:rna-LATHSAT_LOCUS22355 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVHIAVVPGPWYSHLVSILEFSKLLVQLHPDFHVTCIIPTLGSPSTASNSFLQTLPSNINYTFLPPVNPNHLPQDSTLESKIQLTVSLSLPSLHQALNSLTVRTPPVALVVDSLSAEALDLAKEFNMLSYVYFPAAATTLCYYFHLLKVDKETSREYRDLPEAIQAPGCVPIHGKDLIDQAQDRSSQAYKFLLQRLNKFFSPNGILINSFLEIEKGPIQALAEGSGNPAVYPVGPIIQTRTESDYHSNGKKCLTWLDKQQTCSVLYVSFGSGGRLSQEQIVELSLGLELSNHKFLWVFRAPSSSASDAYLSAQDDIDASKFLPSGFLERTKEQGMVIPSWAPQVQILSHRSAGGFLSHCGWNSVLESVMHGVPLIAWPLFAEQRMNAVLLSESLKVGLRPKVQKNGIVERVQISELIKCLMEGEEGDKLRKRINELKEGTNSALKEDGSSAKTISLLAHKLRNLA >CAK8565465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:226995697:226996083:-1 gene:gene-LATHSAT_LOCUS18681 transcript:rna-LATHSAT_LOCUS18681 gene_biotype:protein_coding transcript_biotype:protein_coding MSREHDPSSPTLSRRPIGQKAAKRKEKEKLMEMSSTPNVKYDSLKDDFKKKFDLMSMFARDYARIEGEKVEIERKKVDAKIKKAGNAEERLKMNDLQILSKDTSNMDTRQLQAHDMLCDMIREKYGLN >CAK8540973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:37290573:37292370:1 gene:gene-LATHSAT_LOCUS9927 transcript:rna-LATHSAT_LOCUS9927 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKSIIERLSALRTRIVVMASQEGPTITRTKRKSVTQHGGSTLADLLQALEDYLPVLLGLVKDGSHLQYKVQFVWMNQEDEKEETAMSNAWYEVLSVLHLMAMLLHSKANLLLLPRSSSDGHQQKVSDENRRTSIDIFLKASGYLDCAVKHVLPQLPAELRRNLPVDLAEGVLRALSLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMLKCWQQAQDNIMNLPLANGWGEKHHLLVKWKYVEAKAAAYYYHGLILDEGNTEKSQAQV >CAK8532831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:524472773:524478475:1 gene:gene-LATHSAT_LOCUS2495 transcript:rna-LATHSAT_LOCUS2495 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCDYCLTDIAGEIIDDGYLCCGDCGKVLEDHHFSQKTGLTVFCDHCCKNVSCIRLDDDPLFCGDCGMLLEDSFLVVESRRVRTVAKKVDDYIPSEGRFFRRNIERWEYENREYLEELAAKETIAKKAFELFFRNCSNDSLAARDKLAQSAVESLAKSRKAQTQENLKKVASENDNISESKSKEMGSFDEFKHTSYQENGGRKKRQRRFAPKICK >CAK8579629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713626245:713628215:-1 gene:gene-LATHSAT_LOCUS31560 transcript:rna-LATHSAT_LOCUS31560 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLKAAMLMSSSLSHVRPLTCASSSRLVSHPHDLVKWVKREGGFVHRAVKIAQLDSGGLGLGLVVKEEIPIGTDLIVLPQHLPLHFTSFHQDEKNDENSLLLQLSSHVPDELWAMKLGLKLLHERAKHGSFWWPYISNLPETYTLPIFFPGEDIKNLQYAPILHQVNKRCRFLLDFEQQVKRALVGVKPDKHPFGGQEVDASSLGWAMSAVSSRAFKLHGNKNSNGINFDIPMMLPLIDMCNHSFNPNARIVQEQESGSTNMRVKVVAEKVIREDDPLLLCYGCLNNDLFLLDYGFVIQSNPYDCIELRYDGALLEAASTAAGVSSANFSTPAPWQELILSQLNLAGEAPDLKVSIGGQEIIEGRLLAALRVLLASDMETVQKYDLNTLKSLDAETPLGVANDIAVFRTLIALCVVALGHFPTKLMDDESLLKQGASGSTEIAIQFRIQKKSIIIDVMKNLARKVKVLSSNQTVTAEG >CAK8537661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:417580860:417581579:1 gene:gene-LATHSAT_LOCUS6927 transcript:rna-LATHSAT_LOCUS6927 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELSGLTVKELQSLENQLEISLRGVRMKKEQLFMGEIQELNRKGDIIHQENAELYKKVYGTKDKNGTNRISVPTDSLGIGDDSHVPVNLQLSQPQQLHYKAPSGTTKLGYGFDHLASKLLIMKRKLLTSEYFDCFSRLQLH >CAK8567936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:557646255:557651375:1 gene:gene-LATHSAT_LOCUS20944 transcript:rna-LATHSAT_LOCUS20944 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKYSRVDGRRSASGYCSTVTIVVLVALCLVGVWVMTSSSVAPVLNGGDGDVSQESKNEVKEQSEVKEPVGDNDNSNARQFEDNPGDLPEDATKGDSNVNSEEKSEESTSEKSAEDTKTEDEGKKTEEEGTNTENVEQTSEATESNKDSGESTKESGSDEGEKKDESDDSNKSSDSNETTDSNIEEKVEQSDNKESDDNSSEKNTDDNAKDQSSNEVYPSGGQSELLNENSTQTGSFSTQAAESKNEKESQESSKTEYNWKVCNVTAGPDFIPCLDNWKAIRSLRSTKHYEHRERHCPEEPPTCLVSLPEGYKRSIEWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQETLPDIAWGKRTRVILDVGCGVASFGGFLFDRDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPIYQKLPEDVEIWNEMKALTKSICWELVAISKDQVNGVGVAIYKKPLSNECYEKRLKNEPPLCPASDDPNAAWYIKLQACIHKVPVSSSERGSQWPEKWPARLTKVPYWLSSSQVGVYGKPAPEDFAADDKHWKNVVSKSYLNGMGIQWSNVRNVMDMNSIYGGFAAALKDLKIWVMNVVSIESADTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKVKKRCSLASLLAEVDRILRPEGKLIVRDTVEIINELESMVKSMQWEVRMTYSKDKEGLLCVQKSKWRPKETETLQYAIV >CAK8536575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955996899:955997651:1 gene:gene-LATHSAT_LOCUS5931 transcript:rna-LATHSAT_LOCUS5931 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIATRVNQFLIEISNLETVIEIKKKIEQTHGVPVAYQILTVSGWELVDGLDMEDYPIITQGTKVDLTIKSGESHSTNYNKKIQITLKFPSRQTNIEVDETDTVRSLKEKIHIIATIPIKRMSLFFLGKELNEDFRNLNEYGICEFSEIIVFLKTTNQSKEPSSKKVRFVVQTSSSLLNAATIPVEMRDISTINDLKQLLIGRKILPVDDYLFIHRQRIMRDSCSLRWHGVENGDYLYVFKGTASRSG >CAK8538894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499061059:499062618:-1 gene:gene-LATHSAT_LOCUS8043 transcript:rna-LATHSAT_LOCUS8043 gene_biotype:protein_coding transcript_biotype:protein_coding MSYESDMFSNDPIQNLDSYCFLPNENLENYSSSDNGSHVTYPSFQALEQYSALESSNNSPVSKLQSKSYTFTSQNSLEIINNSLENELCLTHNQDDLWHKIRELENAMLGHDAADIDMIQEESDPLLLEAEKWNKMMQMISRGDLKEVLFTCAKAVSENDIETTEWLMSELSKMVSVSGSPIQRLGAYMLEALVARIASSGSIIYKSLKCKEPTIATSNELLSHMHVLYEICPYLKFGYMSANGVIAEALKDESEVHIIDFQINQGVQWISLIQALAGKPGGPPKIRITGFDDSTSAYARGGGLDIVGQRLSKLAQSYNVAFEFHAIKANPSEVKLEDFELRRGEAIAVNFAMTLHHVPDDNVHGGQNHRGRLVRLVKCLSPKVVTLVEQESNTNELPFVARFMETMNYYLAVFESIDVALPREHRERISVEQHCLAREIVNLIACEGVERVERHDPLEKWKSCFTMAGFTPYPLSPYINGSIKNLLESYQGHYTLKENDGALYLGWMNHALITSSAWR >CAK8532444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:264120910:264125966:-1 gene:gene-LATHSAT_LOCUS2149 transcript:rna-LATHSAT_LOCUS2149 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLHKDRLLPQAETTCGSLLQELQIIWHEVGESESEKDRMLFELEQECLEVYRKNIDKANCSRAQLRQEIADSEAELTAICSAMGERPVHNRQSDHKARSLKEELAMILPELEEMKKRKLERRNQFIQVYEQIQSVSNEIYGPREIIPFILDETDLSLRKLEELHRQLHTLQKEKSDRLQKIQDNLYTLTSLCSVLGLDFKQTVSEVHPSLGNSEVPKSVNNDTISRLALATQELRGLKLHRMQKLQDLATSMLELWHLMDTPIEEQQVFQNVTCNIAASEDEVTESNSLSEDFINHVEAEVSRLEDLKSSKMKELVFKKRAELEEICRKTHLVPEFDGAVEHAIEAIESGSVDLADVLEQIELQIAQVKEQAFSRIEILEKVEKWVSACDEESWLEEYSRDENRYNAGKGTHLNLKRAEKARVLVNKIPAMTDTLTSKTVAWEKDKGTEFIYDGVHLTSMLQDYISLRQEKEQERRRQRDLKKLQGHIIAEQEVLYGSKSPSKVLSARKAPRTPNGSAASRRVTFGGSMLKPDSKLTRSSSTKKTDRAHQIEQINDLDDGMSGLSSARRYTFGTGIIRDIESPLSRQPFTTISSTVSSQENVENATVELNMQKLKLQKTVAVNNLPFTTTSKTDTLVDEESRTPKAMPTPTSVPIPMNMAMTPASFGGELVQEIEYSFEEKRLGFVLA >CAK8543434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607793249:607796440:1 gene:gene-LATHSAT_LOCUS12191 transcript:rna-LATHSAT_LOCUS12191 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >CAK8576523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:487258800:487261421:-1 gene:gene-LATHSAT_LOCUS28705 transcript:rna-LATHSAT_LOCUS28705 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCQNHTLYLPYSSTHSSKNSKPNIIFNCQTPNDQNQPIPRRHNAKSTSFLIHHLSRKNSDNHQPSPTELQDPTPHEEKVKLLELSLVRKRTPQFPGSIYAQSPSDPDVSSSLPPLRTLFRSRDDEEEVLIMKALEIRRKVTQEVFKEQMKKGKFGITYTDNLVSRLGGFLDYVMIEAANLKRLPQYSHSTFNLRAKTVIEDSQVVPLIRWLKHNSLSYPQIAKLILMSRGKLESIRNRIEWLKSVHVKGDFIGDAMLKSGDNVLLRSDQELDEIVDCLESNGVRMEWMGYVVSRCPKLLSYSLEEVKIRVQFYLDMGLDDKDFGTMVFDFPKALGNYTLEEMKRKVDYLKEFGLDNKDVGKLLAFRPQLMACSIEEQWKPLVKYLYYYGITRDGMKRMLTIKPMVFCVDLEMTIVPKVKFFQDLGVRNDGIANMLVKFPTLLTYSLYKKIRPVVIFLMTKAGVTEESIGKVVALGPQLLGCSIVHKLEVNVKYHLSLGIRLRQLGEMVADFPMLLRYNIDVLRPKYIYLRRTMVRTLQDLIEFPRFFSYSLEGRIIPRHKVLVENQINVKLKSMLACTDEEFNKMVNNMIRKRQNFESTVTKGNTPHPQYLVTGDITNTPDVKSKTDSSVGNRT >CAK8576897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522651017:522652120:-1 gene:gene-LATHSAT_LOCUS29054 transcript:rna-LATHSAT_LOCUS29054 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLCFFVLFLASSASACDRCAHQSKASHFPKDSPPLSPGACGYDSLALDISFRKLAAGAALLFNNGAGCGACFQVRCKNPDLCTKAGTRVTLTDLNPSNETDFVLSSRALTAMALKEKRKEILKHDILDIEYKRVPCEYADQKLAVRVEESSKKPDSLVIKILYQGGQTEIVGVNVAQIGSSEWEYMTRHHGAVWETSKVPKGALQLMFVVTAGYDGKQVVAKKVLPANWKIGKIYDSDIQITEIAQEECPICADEKWE >CAK8542795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:547308894:547315455:1 gene:gene-LATHSAT_LOCUS11602 transcript:rna-LATHSAT_LOCUS11602 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQIVFNPILSATPPLKKEKQNQFRSLLCDSNCRSGRANSMPSNHRTNNFLRHLESMKILPSGAGRIPHLNAVILGESLASEEEEFVLPSEEFASQALVQSPEQYLEMYKRSIEDPAGFWSEIASDFYWKQKWGDQVYHENFDVTKGNINIEWFKGGITNICYNCVDRHVEAGLGDKVAFYWEGNELGVDATLTYAQLLDQVCQVANYLKDTGVKKGDAVIIYLPMLMELPITMLACARIGAVHSVVFAGFSSESLSQRIIDCKPKVVITSNAVKRGSKVIYLKDIVDSAINDSAKNGVSIDVCLTYDNTLALTREDTKWKEGRDIWWQDVIPQYPTTCPVEWLDAEDPLFLLYTSGSTGKPKGVVHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPILNGATVVLYEGAPNYPDAGRSWNIVDKYKVSIFYTAPTLVRSLMQYGDEHVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATCPFFGVEPVIVDEKGVAIEGECSGYLCVKRSWPGAFRTLYGDHERYETTYFKPFAGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQSIYAFVTLVDGVPYSEELRKDLVLTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPQVVNQLIELADS >CAK8536065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904155780:904160801:-1 gene:gene-LATHSAT_LOCUS5466 transcript:rna-LATHSAT_LOCUS5466 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELGRRPVIGGNEGSFGDEFEKEIGMLLRDQRRHEVDDHEPEPELNLYRSGSAPPTVDGSLNAVGGLFGSGLTGAAGITEFSGNGFGSEEELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRFSQRLKGGASVIGGIGDRRKVNGADDNGGRSVYATPPGFNNRKRESEVVVDEKARGSAEWGGDGLIGLPGPGLGSKQKSLAEIFQDDLGRNSSVTGFPSRPASRNAFDESAEIASSAEAELAHLRHGSSATDGVRSGSNVQGSPVSQNVGTQASYSYAAALGGSSLSRSTTPDPQHIARAPSPCPTPIGSGRVAAAEKRGITSPEAFNDVSSGVKNGSADIVSAMSGMKLSADGVLDGDNHFPSQVESDVNNYARYLFGMPGGQDHGKQQAYLKKSESGHLQKIAHSDSVKRSSSRADMKSPSLDRQAEHQMSAVSPNNSYFNGSPSSPYSGGGGLPAQYQPSDGTNSSFNNYGLSGYGGNPALASFMANQLGTGNLPPLFENVAAASAMASPGMDSRILGGGFPSGVSSPSDVHVLSRMGNQTAGGGLQASYVDPMYLQYMRSPEYAAAQLGALNDPAADRNYLGNSYMNLLELQKAYLGSLISPQKSQYNVPLGGKSGGSNHHGYYGNPAYGVGLSYPGSPMANSLSNSPVGSGSPIRHNDLNMHFASGMRNVAGVMAPWHLDSGNVDENFASSLLEEFKSNKTKCFELSEISGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEITPHALALMTDVFGNYVVQKFFEHGLASQRRELANKLYGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGNIMRCVRDQNGNHVIQKCIECVPEDAINFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCEDPSTQQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSTIIKELAGNIVQMSQQKFASNVVEKCLTFGGPSERLLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPHTTA >CAK8532703.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:493395801:493396437:-1 gene:gene-LATHSAT_LOCUS2383 transcript:rna-LATHSAT_LOCUS2383 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQASTGIVVGLNKGHIVTKKELAPRPSSRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGAGDKKK >CAK8570534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56895018:56896001:-1 gene:gene-LATHSAT_LOCUS23274 transcript:rna-LATHSAT_LOCUS23274 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNIDSPAPESSSPVYHPSVAPLSYLLGTWRGQGEGGYPTINSFSYGEELHFYHPPNKPVIGYTQKTWKLSSGEPMHSESGYWRPKPDGTIEVVIAQSNGLVEVQKGTYNTEEKVIQLQSELVGNASKVTGIQRCFRLVEGNLCYEVEMATNTVTLQPHLKATLKKL >CAK8541782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393869552:393870424:-1 gene:gene-LATHSAT_LOCUS10677 transcript:rna-LATHSAT_LOCUS10677 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLLGALGSPFVCRVNLALNLKGIEYEFVKEDLQNKSELLLKYNPVYKKVPVFVHNQKPISESLVILEYIDETWKQNPILPSDPYKRASARFWSKFIDDKIVSPSFKSAFTVDEKEREKNIAESSEALLILENQLKDKFFGGEEIDFVDIAAVFIAFWIPLVQDVSGLQFFTAEKFPKLYKWSQEFLNHPAVKKSLPPREPLFALFKGRYESLFNASK >CAK8537761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:428172700:428174095:1 gene:gene-LATHSAT_LOCUS7017 transcript:rna-LATHSAT_LOCUS7017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDSNGQNAQEALRRAKFKFPGRQKIIVSRKWGFTKIDRNDYLKLKSANRILNDGVNAKLLGCHGPLANRQPGRAFINASCNEEASL >CAK8531120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:82354541:82355788:-1 gene:gene-LATHSAT_LOCUS932 transcript:rna-LATHSAT_LOCUS932 gene_biotype:protein_coding transcript_biotype:protein_coding MASILQTSVITSCSLSSSKRVINAAIHLPKLPNISLPPKIQTSRKQLDHQQLILENNNNITKILHDQQRQQYSNATVQLYAILEAVSDRVEMHQNIGEQRNNWNTLLLNSINMITLTATTMSGVAAVTSGEGATLLALKLSSALLFSAATGLLLIMNKIQPSQLTEEQRNATRLFKQLQTQIHTTIKIGNPSEEDVKGAMEKVLALDKAFPLPLLGAMLEKFPAKFEPAVWWPSKQGKTQSKKMGKMNNGWSEELEMEMREVVEVIKRKDAEDYDRLGNIALKVNKSLAIAGPFLTGIAAIGSTFIGNGSPVAAFVPLLAGSLASAINTFQHGGQVGMVFEMYRGSAGFFNLLETSIESTLSEKDLEKRENGELYEMKMALKLGRSISNLRELASKSASYRMEGVEIDEFASKLF >CAK8567084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485676571:485681640:1 gene:gene-LATHSAT_LOCUS20169 transcript:rna-LATHSAT_LOCUS20169 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRVPDWLNSPIWSSPSEHNRFSAAFDPPEPPSPPPVTVKEDPSLIQHRTNHNGIATSSPSSSSSSASTSSADDMSISRRAQCQAQLLAELSRKVIDMQELRRIASQGIPDFPGLRSTVWKLLLGYLPPDHALWSSELAKKRSQYKRFKQDILINPSEITRRMWDSANYDADEVKCETRCMLSRSQITHGEHPLSLGKTSIWNQFFQDTGIIEQIDRDVKRTHPDMHFFCGDSQLAKSNQEALKNILIIFAKLNPGIRYVQGMHEVLAPLFYVFRNDPDEENAAFSEADTFFCFVEVMSAFRDNFCQQLDNSVVGIRSTITRLSHLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFSFSESLRIWDTLLSDPDGLQETLLRICCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSM >CAK8539877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530033590:530034140:-1 gene:gene-LATHSAT_LOCUS8935 transcript:rna-LATHSAT_LOCUS8935 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTTKTVLTFSQVSSSRPLSSNLCRKTSTVRFCSRKMDEAQKANTEKKETTEHGDVMSHSFGEGYATRSDEEGFGGTYGGNQSLQQHKSVDENHPDYDKTQGSVVKEKEKARHQSNST >CAK8543240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:591221715:591238336:-1 gene:gene-LATHSAT_LOCUS12010 transcript:rna-LATHSAT_LOCUS12010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKDNTEIAKARIDKRDYRRIVLRNSLQALLISDPDTDKCAASMSVGVGYFSDPVGLEGLAHFLEHMLFYASEKYPVEDSYSKYITEHGGSTNAFTSSENTNYFFDVNTDGFEEALDRFAQFFTKPLMSADATMREIKAVDSENQKNLLSDGWRMNQLQKHLTAEDHPYHKFSTGNWDTLEVRPKANGIDTRNELIKFHKENYSANLMHLVVYTNESLDKIQNLVEEKFQDIRNTNRDYFRTSSQPCKSDHLQIVVRTVPIKQGHKLRIVWPVTPEILHYLEGPSRYLAHLIGHEGEGSLYYILKKLGWATSLSAGESDLSLDFSFFKVVIDLTDAGHEHMQDIVGLLFKYIKLLQQSGVCKWIFEELSAVCETKFHYQDKIPPSDYVVNIASNMQYYPPKDWLVGSSLPSKFSPSVIQVVLDQLSPNNVRIFWESKSFEGHTDKVEPWYGTAYSIEKITPSTIQGWVLSAPNENVHLPAPNKFIPTDLSLKIASEKVKFPVLLSRSSYSALWYKPDTLFSTPKAYVKINFNCPYAGNSPEAEILTHIFTQLLMDYLNDNAYYAQVAGLYYSISHTDGGFQVNLVGYNHKLRILLETIVEEIATFTVKTDRFSVIKETVTKEYQNLKYQQPYQQAMYYCSLILEDQTWPWVEQLEVLPALQAEDLAKFVPVMLSRTFLECYIAGNIESQEAEAMTAHIEDVLFKCSKPLCQPLFPSQHLTNRVVKLESGINYFYPSECLNPNEENSALVHYIQVGRDDFKLNAKLQLFALVAKQPTFHQLRSVEQLGYITVLMQRNDCGVRGLQFIIQSTVKAPGGIQERVEAFLKTFETKLNEMTIEEFKSNVNALIDMKLEKHKNLREESTFFWREVNDGTLRFDRRDFEIEELRKLTLQELIDFFNEYVKVGAPRKRTLSVRVHGKLHSSEYKSEASESHLARIDNIFAFRKAQSLYGSFKGLTGQMKL >CAK8534486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:734244783:734245094:1 gene:gene-LATHSAT_LOCUS4029 transcript:rna-LATHSAT_LOCUS4029 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGKGIKGLEKGRAKRHMMILRDNIWGVTKPSICRLVRRGGVKRISGLIYEETMLFFKVFLTKIIHDAASYTNHARRKVVTAMNVVYTLKRQGRTLYNFGG >CAK8542453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:516389203:516390489:-1 gene:gene-LATHSAT_LOCUS11292 transcript:rna-LATHSAT_LOCUS11292 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPLFISEDLIAEVLSVLNVKSILRFRCVNKHWDTLISDPTFVKLHLKQSAKRNPHVILKSDHTKSIPGESPYGSDDESECARGIIPHSISSLIENPSFTVYVDSFYIVEDKGCYSMVGSCNGLICLAGFTSTREYLEDWFQLWNPATRTTSPKFGFLRLFYNRPDFTSSYANDGYYKFTFGCDDSTGTYKVVASRHNDRELRSNVRILNFGDSVWREIESFPVDPLCLRSCCDNGVSFKSTLNWLAVHNTIFYIGDDYKDITIDQFSIVSLDLRSETYNQYLVPHEFDEVPPNAPIIGVLGDCLFFSSRYKETDIIIWQMKKFGVQDSWTQFLKISCHSLQIDYDYSEYMKYHFKLVPLFLSKDDDTLILDCILEHPTILYNWRNNSVVRTNISARKIITDDETSNCISCSANGYFESLVSVFGV >CAK8579313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691059736:691060100:-1 gene:gene-LATHSAT_LOCUS31267 transcript:rna-LATHSAT_LOCUS31267 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLQTGSEVRAMAVSSELIYLGCKGGVVEIWDKKKHIRVETLQLGTNCKANCMSLDSNEEMLVIGTLDGQIQAWEMT >CAK8537009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:55815561:55816618:-1 gene:gene-LATHSAT_LOCUS6329 transcript:rna-LATHSAT_LOCUS6329 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVISRNELFKSESKYWYEMIDSYVHAFNWKKLNLRNVMDMRTQPKKVCSQIGMCMFDGTHGVSMGIQSVVPQTDRISSGGHQDATCSVCEMAIVWMQSQLKQNQTEEQIINYADALCDKIPNPMDAFYTHYNG >CAK8563236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584658183:584661680:1 gene:gene-LATHSAT_LOCUS16667 transcript:rna-LATHSAT_LOCUS16667 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVEGPEGQIHVEDLNLNCNEYDTFVIVSSVLFVLYLAVKAKKNVNSLYNGGSCIIISYYALLWFVTLLNLARSVLRAWQCTTGKEVAWNMLSLFTASGMLYLEISLMAFLLNNGYMNVMETLAHTSTVSGIIVCVDTLLKAIYVFGFGVPLFNRTVGSTHTFLWGLWIIHKLLLAAAYGFILFVQFYKWREKLPPKPTIYNYVVVMFIFNAITLFACGLAGIGAGLGNWLYDFTVLCYHALYLPFLYVTFLADFFREEDFLLDNAYYSEMKDAGFFDADWE >CAK8564393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671741910:671743250:-1 gene:gene-LATHSAT_LOCUS17703 transcript:rna-LATHSAT_LOCUS17703 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTCLKSMKKKRKSCIKSDFYLPDDCWEYVFSFIINPVDDIKKLNFKSLSLVSKQFLSITNRLIFSMKIDHLHLSYLPCFFHRFSRLNSLDLSFGSCYLDSSHAATTLALRDRSTLKSLSISWIMLVDANHTTSHYIASFVSLKGLNSLKFLSSRISDDLLYSIAREALPLKTFVLESCTGYSYQGIYTLLSKCHWIQHLGLQGVDFLTNHQFSQLSLLLPDLISINLSYCFKLPQSTLFAFIKNCHSLDEIKMHYIERRSLENSDTLKDFRVNPPLKFLNLSNNLFINDDIIILLASILPNLQLLDLTCCYDISEKSICQVLSKCCKVRHLYLTDCKNVRELQINSVLHRLETLNLSGTRVNDKTLYDISKTCCGLLKLALAGCKYFTEKGVMRVVEKCRNLEGIYLRGCDKVNVDAMKISMLSSNQSLEKDDCSDFAQLPLMF >CAK8578250.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:614701417:614711581:1 gene:gene-LATHSAT_LOCUS30277 transcript:rna-LATHSAT_LOCUS30277 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMNRSISCSSKRNTSYYNDQAFLNTVFSWTLEDIFNEDLYVNKVENIDLSFDSLEKYFQSFVYPLLDETRAQLCSSMEILSSSPYAEVVSLEHSRSRSYGRNQYVVKTDSWKNRSCGHGKELYKTLSGDVFILADFKPETVNDLQRSGRTWSFVLSAGILYEEIKEDDDDDSKVMSTFKVIASKDIDIDEMGQKSLFIMFLTNITPNRRIWNALHMNGDSKLIRKILCASDVVEESCDYCSPKTDALRDDETYQRLSSELNESQSKSICACLSSFHCNHKSSVDLIWGPPGTGKTKTLGTLLYALLKMNCRTLVCAPTNVAIKEVASRVLSMVRESFDRNVDAMICNLGDILLFGNHERLKVGGEIEEIYLDYRVKQLIQCFTPPNGWKYCFASMIDLLEDCVSHYHIFIENEMRREQDEVDDDNSNRAKDDKSSDSGVSMHKSFLEFVRERFLSIVSPLKDSISILCTHIARSFIMEHNMKELACLICYLDTFQALLLENNIVCEVLEELFSPESQLSSLESVAGAELSLHLIRTECLTLLKNLKVSLGNLHLPDVGTEESIREFCLQESSLIFSTASSSFKLHSVAMEPLDILVIDEAAQLKECESVIPLLLPDINHAILVGDECQLPAMVESVVSFGVGFGRSLFARLSTLGHPNHFLNIQYRMHPAISSFPNSRFYLNQILDAPNVIAKNYRKQYLPGKMFGPCSFINIIGGAEEFDDAGRSRKNMVEAAVVMKIIKNCFRAWFGSNEHISIGVVSPYAAQVVAIQDMLGQKYDNHEDFDVKVKTIDGFQGGEQDIIILSTVRTDCTSPLQFISNNQRTNVALTRARHCLWILGNERTLISQENVWKALLLDVKKRQCFFNADEDQDLAKSIWDTKKELDQIDDLLNADSVVFRNSRWKVLFSDNFLKSFKNLPSKRKKKSILNLLLKLSSGWRPKRIKVDLLCGNSSQMLKQYKVEGLFVVCSKDIVKESNFTQVLRIWDILPTEDVPKVVKRLDSIFGSYTDDFISRCSEQCFEGKMEVPVSWGKSTEIIKINNLDNNGNEAESSCCDQRIYVENSKVEESFLLMKFYSLSSVVVNHLLSDRNSNELELPFEVSDEEHDIILFPRSTFVLGRSGTGKTTVLTMKLFKKEELHHAALENAYGITRSEVPCLNYSEEDKDSSSVNGRPVLHQLFVTVSPKLCQAVKHQVVRMKRFICGGDISDKSCSIEEDIADVDTSIQFRNIPDSFVNLPANSYPLVITFKKFIMMLDGTVGNSYFERFSELSSENLGASSVALETFIRKKEVTYDRFDLLYWPHFNSQHTKTLDSSRVFREIVSHIKGGLQSVESDEGKLSREDYLSLSENRASSLSKQKREVIYDIYQSYERMKMDKGDFDLADIVADLHRRLRINKYEGDEMHYVYIDEVQDLTMSQIALFKYVCQNVEEGFVFCGDTAQTIARGIDFRFKDIKTLFYKNFVLESKRSAYNQGKDKGKVSETFLLNQNFRTHAGVLKLSQSTIELLFYFFPHSIDVLKPETSLIYGEAPVVLECGSRKNAIVTIFGNTGHDGGKIVGFGAEQVILVRDDCARKEILDYVGKQALVLTILECKGLEFQDVLLYNFFGTSPLKNRWRVIYEYMSEQDMLETTESKSFPRFNDSKHNVLCSELKQLYVAITRTRQRLWICENAEEYCRPMFDYWKRKCLVQFKELDDSLAQAMKVASSPEEWKSRGKKLYYQNNYEMATMCFERAGDSYWEKKSKAAGLRATANRLHDLNPEDANAILREAAEIFESIGMAESAAQCFSDLGDYERAGKIYLEKCEEPDLKRAGDCFYLASCYEMAAQVYARGSFFSDCLNVCAKGGLFDIGLHYVQHWKQNKSADPGWANSHDLYAIEQKFLENCARSYFGKKDIKSMMKFVKAFHSMDLKRDFLKSFNLLGELLDLEEESGNYMEAVHIAKMIGDVIREADLLGKAGEFLEAYELMFFYVLSNSLWSGGSKAWPLKLFTQKAELLGRALTFAKGVSSSFYELASAEAEILSNKHDNSFEIMNQLKSSRIHRSIRGEILCLWKLLDSHFRLNSSKYFWHDNVFGVSVEGMITENHFSVETLFYCWTCWKDNIVQMLDFLSNFKTLELHQQQSSYVKFALNYLGVQKQIYNQQDMYLLLIPDANWVMKLGDKFLKQNGRLVSVDVQHLVSYAQSYWSSELLSVGMDVLRNLDVLYNFSVYKAFSEFRQVHSLLHIYEVSKFLLKSKCFSHGYSNLKTLETFYRLPIEYLFRYVNPLDWKKSLEKEMVYQRITEVWQEVMKDHIYENTKQRGKLTYGLIGRVVVMILGTANVKHELFVQIMKRFEANEPWKDFIQSLCLCSEHENVPGNKAVLEMNRMVKLQEALEDTCSVDWIEENDYIAPGCFMYLVERLLLLTSCLKGSIYATKSSFTEWLISQNENSLTNLSFMGVQWQDMSRVHDFVANILCEFLRDERSTKSWIKRSNLNVKNYFPSLVLRLVASICLLHLSSGSGKYLQLLRDLLWEKGITTQLPLEFCNVLQKGKKHLGLKVFAKAFKVIGNPLVIAKLRNTSSQIACPDAVFVDLTTCRKRDVILETLFPSVLDNVGGETAAVTGEAYTSVSDQALDGQIKDGKTNVKSDSSKASAAVGNDDQHGQNVLKESEDNVTDNSQDAVKSGDQKAKENNNGKNNSKKRKGKKSNDDQQGQNVLKESKDNATANSQDAVKSGDGKAKENNKGKKNKSKKKKGKKGKK >CAK8534505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736700450:736700882:1 gene:gene-LATHSAT_LOCUS4048 transcript:rna-LATHSAT_LOCUS4048 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVAISGCGHLPHEECPKALLAAVLPFIALCIFTRVSNKTTIGSTIVNCNYGVSLLVFEDDPIDLLQNDEVDGEEVSLSTIQENEDEEDSNDDDENLIVI >CAK8570095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23673604:23674083:1 gene:gene-LATHSAT_LOCUS22878 transcript:rna-LATHSAT_LOCUS22878 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHIKRENKNKATLSLFLSLPLFEYLSPLLKSFLFSFSLFRVVWLLRLIWGCYFNWRRRRRLRIWGFRRKVEGLVETWYR >CAK8563787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:631140238:631140861:1 gene:gene-LATHSAT_LOCUS17162 transcript:rna-LATHSAT_LOCUS17162 gene_biotype:protein_coding transcript_biotype:protein_coding MTITNYTPLFFLCFIIPLVHSNVQSHSGPSTQVMHSFHQDRNGSKFSWHGSCTNKDISISQSRETLSGIPQYVVQIMNTCVSSKCAPYNIHLHCGWFASARIVNPKLFKRLSFDDCLVNGGKPLTSSQIIRFTYSNSFLYPLAFKSAKFC >CAK8537045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:66302350:66305128:1 gene:gene-LATHSAT_LOCUS6362 transcript:rna-LATHSAT_LOCUS6362 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >CAK8565861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345029006:345030639:-1 gene:gene-LATHSAT_LOCUS19040 transcript:rna-LATHSAT_LOCUS19040 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLESIKELVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFARICKDLSSIGDTVVIAVSKEGVKFSTKGDIGSANIVCRQNTTVDKPEEATVIEMNEPVALQFALRYMNSFTKATPLSSSVTISLSNELPVVVEYKIAEMGYVRFYLAPKIEEDEEETKPQD >CAK8530166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3962566:3962808:-1 gene:gene-LATHSAT_LOCUS55 transcript:rna-LATHSAT_LOCUS55 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLPCCHTMSCVKDQHLKIDYFVPDCYKKEHYAACYAHVIYPLNREALWANTSVVDLQPPPIKRKPVRPKKKRNREAG >CAK8571009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:261343274:261343594:1 gene:gene-LATHSAT_LOCUS23709 transcript:rna-LATHSAT_LOCUS23709 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLWTSKCGQVITVCVKESELKMFNKGFLCLEKVIFQFFLLFFLCYGIFSPTPQFPSSVLFLSIENLLTKFQFVCCCGDMSPQRAADGCTILSSFEALIQVLLL >CAK8536447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941973409:941974975:-1 gene:gene-LATHSAT_LOCUS5815 transcript:rna-LATHSAT_LOCUS5815 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKHSYVEVKGLKLHVAEIGSGEKVVVFLHGFPEIWYTWRNQMIAVANAGYRAIAFDFRGYGLSDHPQEPEKASSLDLVHEVKDLLDSLKISKAFIIGQDFGAIIAYLVAVVYPEKVASVITLGIPFINPGASAVKNDLLPKGFYITRWQEPGRAEADFGRFDVKSVIRNIYILFSKSEVPVAGDDQEIMDLFNPSTPLPPWFSEEDLNVYASLYEKSGFRFALQVPYRSLTVESGLVDPKVNVPALLVMGEEDYCFKFPGMEEYIRSGVVKHFVPDLEITYVPEGRHFLGEQFPEKVNKLIIEFLDKQSI >CAK8566405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:420231215:420233056:1 gene:gene-LATHSAT_LOCUS19538 transcript:rna-LATHSAT_LOCUS19538 gene_biotype:protein_coding transcript_biotype:protein_coding METREPASESGTNGLDSAIGINGIRKVSLRAEIDTSPPFESVKEAVTRFGGSGPWLPLYRLGEAFNNFEDFDLKKVEEQAAELEKDLIVKELETLDVLEELGATKRIVEDLKQQLQKEALKLSTTPDLHSYEQVGAPVIKEMNKESNGNRVNNEEQILQSPDMILMELKQAKVNLGNTMNELEAIQSSVESLNKKTKKEKAYLERTREKLASKFAAMSAQEKAQEEARSSPPPSSPVEFTFDIPANMRDFNCDSEQNNGMAETRRSEVSKPLLSLYEEHGFSVKTAEMRWLAAKKMEEAAKAAEAIALAEIKALSGVERSYEFALPEPRKVTFALAENSPLNPGAMMIPEEFNLKKVIDSKFQMDETNISKLTILKKLEEASEEILHSKQVLNDALNRIEIANRKQHAAKEALRKWIPEVDLKEKPVYNSFNFNKFNQAGIRHDSSLPDVTRPTIANHNPKRVSRPTTSMRDVLSRKQVPEGYAARKEMEEHTARRKVALSQMLQALREDLTLSPEPEKDHESDQKQTATPRKKFGFIHISLPMVRTSKKRT >CAK8561947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:416410486:416410698:1 gene:gene-LATHSAT_LOCUS15492 transcript:rna-LATHSAT_LOCUS15492 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGHDEMPLSKTKDFTFPDDWTKTSWGFDPTLGHVSYIGNILETTTIAGRDPKTTYSRRAMTSRFQPL >CAK8535442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848613842:848614507:-1 gene:gene-LATHSAT_LOCUS4906 transcript:rna-LATHSAT_LOCUS4906 gene_biotype:protein_coding transcript_biotype:protein_coding MIKECEYHETEHRQLIRRVFAGILTFIILILLTIFLIWIILRPTKPRFLLQDVTVFAFNLTSTGETPSLSAPTPNTLTLTIQVTLSSLNPNSKIGIYYNKLDAYASYRGQQISLATGVPETYQGHRDISVWSPIIYGLAVPVSPYLSEILRQDLTSGGVLVNIKVNGRVKWKVGTWVSGRYHIDVNCPAFIRVAGDKGGDGFGVSDTAVKFQLSQSCVVDV >CAK8569640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7130289:7131343:1 gene:gene-LATHSAT_LOCUS22468 transcript:rna-LATHSAT_LOCUS22468 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNTTATTPICCEVKVHRSICIELQGFIDRILHIILSIESARPNCALAIQTLCSLHFTLDKAKLVIKHCSESSKLYLALTSQRILSRCEKIRISFELYLDQIQNTVPIPLASQICTILEDLRVTKFSLEFEDETRKVLLSLLEKEFPDSTSRENSELEAIQIVALMLDMKSSITLLEEREILKKQIERVKNTDQKEKELLEYLLYLLIKYEKFI >CAK8577922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597533582:597535588:1 gene:gene-LATHSAT_LOCUS29985 transcript:rna-LATHSAT_LOCUS29985 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHIGLNTQTLVFCYAIGTICTFQIIYLANSLSFDYPYFKIGDVNWEGDASPYKGAIQITSNTLDQNNNYSVGRVTSFKQVHLWDSKSGNLSDFTTNFSFVVFSNTQNYGDGMGFFMADPNLPLLKNIKEGGGLGLVDADQVLKSTQHSFVAVEFDTFNNPSWDPSGEGTHVGLNFNSMRSNVTKPWLANILKWKVYNCSIEYNSNTLNLSVSFTMYDDDDKPVEGYISHKVDLRDLLPERVIVGFSAATGRLYEVHTLRSWSFHSSLLSDETRYQIVDPISSPIISEKENKIRLKVGLGIGTGLAVSLSGLVCTLLWKRSRVSKKEFGFDLDMDDEFQKGSGPKRFSYNKLVSATNKFSESDKVGQGGFGGVYKGYLKGTNSYVAIKRISRESRQGILEYATEVKVISQLRHRNLVQLLGWCHKKNDFLLVYEFMSNGSLDSHLYGKKSFLTWTARYNIALGLASALLYLQEEWEQCVLHRDIKSSNIMLDSCFNTKLGDFGLARLVDHEKGSQTTLIAGTRGYIAPEYFTSGKATKESDIYSFGVVLLEIVTGRKAIEREEKEGQISVVEWVWELYGFGKFLEAADPRLGGAFDEHQLERLVVVGLWCAHPDYAFRPSIRQVIQVLKFESSLPILPKKMPVPTYLPPTIKALFSSVSSTYWTNS >CAK8536551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954004437:954006096:1 gene:gene-LATHSAT_LOCUS5909 transcript:rna-LATHSAT_LOCUS5909 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLQTQPLTILISFCAISFFILISILFFTPSKIPILDVGSSLQQTTDTDSDPTDVNHLVFGIASTGNSWADRKKYVKLWWEKDIKGCVFVDNLPPEQNDRDDSVPPLCVSEDTSKFHYTFRGGLRSAIRVARVVKEIVGLNNHSNVRWYVFGDDDTVFFPENLAKTLSKYDHRLWYYVGAYSENYEGSYVFGFGMAFGGGGFAISASLANVLSTVFDSCIERYSHLYGSDARVFSCITELGVGLTYEPGFHQVDLSGNIFGLLAAHPLSLVLSLHHPDLVEPIFPQMTASESLKHLFEAANVDSLRILQQTVCYDKQFSRTISVSWGYAVQVFQNNELLPDILRVRETFRPWRENLPFAGVYTFSTTKIHPDPCERPATFYLDKVSSGKDGIISNYTKSFRNCSKDNTSMKNLEVIKVVTKKLDLNTKQVQSLRRQCCDVLHSNSSQLMEIAVRECRYEELIYMH >CAK8542357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504218654:504218974:1 gene:gene-LATHSAT_LOCUS11202 transcript:rna-LATHSAT_LOCUS11202 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKKATMMKVTFMLFLVGFTATVDARFDRTSFITQVLSKSNDVKSTTTACCDSCPCTKSIPPQCRCTDIGETCHSACKSCYCTKSIPPQCHCADITDFCYEPCN >CAK8544557.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692498983:692499470:1 gene:gene-LATHSAT_LOCUS13223 transcript:rna-LATHSAT_LOCUS13223 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEGSCNTLTTLSKNWSQASGSSQLTPNDKFMYKAIILHLRDIVQLKDITQCVTVAKTDKLKSSHGGWYYQSCHECPRVAKGQQPPYICGAGHNTDTDIYRSFFTNTFHLDLPYLTILCGHNKLA >CAK8543869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645570208:645572546:-1 gene:gene-LATHSAT_LOCUS12594 transcript:rna-LATHSAT_LOCUS12594 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMKPAIRNGSYCYAYTVSRSLLLTLNPNPFFFSSPIRSLSIFSSSMASTHFSSTSALSLEKQFGQFRTHLEESGTLRDRIRTVVSEIESTTRLMYANILLVHHSRPTPELLEKAKSQIDVLKDKYKQLADILGGCPGQYYRYHGDWRSETQTVVSMLTFMHWLETGTLLEHKEAEEKLGLNGPEEFSLDVEDYLVGVCFMSNELPRYVVNQVTAGDYDCPRNVLKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLTPNGESIGDQGIKEQS >CAK8535513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856620241:856621768:1 gene:gene-LATHSAT_LOCUS4968 transcript:rna-LATHSAT_LOCUS4968 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGNVNQLISQDSAHQVAPTMEESFNSSLNSLTPSKETVETPNDETTDKMDTCDNIEALAETKKGMLRSKAWDHFATVKVNSEDKAQCKYCKKFLGGKSSNGTKHLLQHMETCIHRKIHENKTTKGQTFLMPKCLQGKQELEVGTYNAEKSRKELARAIIMHAYPLSIVDHIMGIASVLDPRYKMEYLEYYYEKLYEQDSFDQVKRIQQLCYDLVVDYQLKLNQENCGDSPVLESSRVANDSLDDYDAYVRKKKRARTSYVKTKLDHYLEEEVLLRSSDFDVLLWWKLNDIKYPTLQAIAKDILSIPISTVASESAFSTSGHILSPHCNRLHWTTLEALMCARSWLWSIKNSGGMSSKLSNDYTTLLDEIEPDEEGEILTSGVISLFEDGE >CAK8560314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:14109627:14119602:1 gene:gene-LATHSAT_LOCUS13998 transcript:rna-LATHSAT_LOCUS13998 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSIRLLPSSLTSLLQPRSPYSWRWRSPSSVSFPLSPIHSVSSNGVGDVELPVDQSQVIFIGTGTSEGIPRVSCLTNPSNKCPVCLKASKPGDKNRRLNTSILIRHPNSTGTHNILIDAGKFFYHSALKWFPEFGIRTLDAVIITHSHADAIGGLDDLRDWTNNVQPSIPIYVANRDFEVMKKTHYYLVDTSVITPGAAVSALQFKSISEEPFFVHGLKFIPLPVWHGQGYRSLGFRFGNICYISDVSEIPEETYPLLKDCELLIMDALRPDRSSATHFGLPRALEEVRKIQPKRTLFTGMMHLMDHEEVNGYLTKLLESEGLDAQLSYDGLCIPVRL >CAK8543035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:568541381:568541845:-1 gene:gene-LATHSAT_LOCUS11822 transcript:rna-LATHSAT_LOCUS11822 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSSAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWLPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIYNMINDAFGVDMSHTNEIPFASNLEIGQEDYAMLSATQKRNEAKEYYELVRE >CAK8566684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448741148:448746071:1 gene:gene-LATHSAT_LOCUS19796 transcript:rna-LATHSAT_LOCUS19796 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFPFELQSETFKILSSNDDTAMETLFSRLYNPQQQQNRSQALTFLQCCKHHHPDLLMIKLFFLLTSSPEIPTRTNAARALIFVQPNELWPKLRPQAQSRLQAHFINYLTEETSVHVLRLASTILSQTVSVIYKSQQHWNEILDFLLSSVNSNDEKLREFSLLVFSSLTNDCRLSLSNSLHDRVRVLHSSFLAGLTSRNPDVQVASFAAVVNLICLFSDNQLFHELLRAMMVAVFALLHGFERSYFKSAFAELVKLVSAEPVLLKPYMSDMVLDALQIAENCSVTEETRRLAFELVLAMAELKESEQVLASLPHEMVVRLFIVPMKTLVLCVKENGNSNNDGNHNGAYCGADRGTGDEREKGADPQNEKVDDAYEFGIKCLKKLCVVFGGTKVVTVTHELLKNYYLDSTDWKMRHAGITLLTEIAKEFSDEMVLEDKFLEEIVIRILKLFQDSHVQVRLAAFTLMEMPIIFVESAQIRYHHRFVHAFSIALVSDGDNKVKEQAASAMLYFLKNTLPESLLLYQNVDTVMNKMLSSIQDKGNAKQRRIVLSAFNLVAQSCHEVAHKYFANYLPILVDACGDKNSEIKEEAARGIRICAEFGTPNFKPFINMILSEISILLKDRNASNSSKNATYDVAVSALGRICEFHRDSIDGSTAVPVWLSFLPLKDDLAEAKIMHEQLCLMVARLDKDLLGAGNQNLAKIITVFLDVIQKGDRLATAQTIDQMNSLLRQLAANIPPNTFETILLSLNAQQRELLLPFLSSF >CAK8540602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12080498:12081098:-1 gene:gene-LATHSAT_LOCUS9593 transcript:rna-LATHSAT_LOCUS9593 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSSIIGGGPAYESSESSDHSVKTFHSSARWQLHFNEIKDSPSLVVIDFSATWCGPCKMMEPIVHAMANEFRDVEFIKIDVDELSDVAQEFKVQAMPTFLLLKNGREVDKIVGAKKDELKNKIQKYRA >CAK8544544.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691577579:691580454:-1 gene:gene-LATHSAT_LOCUS13212 transcript:rna-LATHSAT_LOCUS13212 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNAELSKKTVFGVKVWEVIAIVVALSIIVILSVVSICLTSRKKSRRARNKIPVTEIPNVSKEIKEVRVEQVSTNGFAPRDGILLTIHDKSSDKESDKVMVHLDLGKKMKNGDSSSHSESFHQYMEKDGGGSHSQSGEEGSSETVTVYKQPSSSHPITAPSPLSGLPEFSHLGWGHWFTLRDLELATSRFAKENVLGEGGYGVVYKGQLINGSPVAVKKILNNIGQAEKEFRVEVEAIGHVRHKNLVRLLGFCVEGTHRILVYEYVNNGNLEQWLHGAMRHHGYLTWEARVKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEGITGRDPVDYGRPTNEVNLVDWLKMMVGSRRSEEVVDPNIEVKPSTRALKRALLTALRCVDPDSEKRPKMSQVVRMLESEEYPLAREDRRHRRRNQGGSAEIESQREFSDTDRSEIQLSREESRG >CAK8563839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634069452:634071569:1 gene:gene-LATHSAT_LOCUS17208 transcript:rna-LATHSAT_LOCUS17208 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASPKPHRSETILPSDVIPIIDLKGERCEVIKLIVKASEEFGFFKVINHGIRDETIEKMEEAGFSFFAKPMSEKKLSSPAYGCKNIGFNGDIGEVEYLLLNANTSSIDQISKTISIHSPHSNFRYRVSEYTEAVKEVACEILDLMAEGLGVPDRKVFSSLIKDIDSDSILRLNHYPPTLVKDKDKSHSNNVGFGEHSDPQILTILRSNDVSGLQISLQHGLWIPINPDPSALCVNVGDVLEVMTNGRFVSVRHRAMTNSYKSRMSMAYFGAPPLNASIVAPPVLVTPHRPSLFRQFTWADYKKATYSLRLGDTRIQLFRANMS >CAK8532438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260885790:260890787:-1 gene:gene-LATHSAT_LOCUS2143 transcript:rna-LATHSAT_LOCUS2143 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLFFYILVCFLYFATIKAQNSPFFLQRNCYNDLTTTHSVFQNNLMSLLSSLSSKATGNTEFYNTTVTALNPSDSVYGLFMCRGDVPSMVCHECINDAIQQLSSYCSFSKQAVIWYNECMLRYSDYNFFSTVDKSGFSFTNTANVSNTTTFIPLLLSFMNQTADEAARPLSGVKIKKFATNETRVSEFETLYCLAQCTQDLSPDDCRACLSSAIKELPLCCNGKVGGRYLYPSCNVRYELYPFYRSIDVTSPNELAPQTNESKQDANFSQDPFYLSHNCSSKHNTISSKNLELLVTDLSSNAASGLKFHTYNGDDTVYGLFMCRGDLPPHLCAPCVISGTEQLIYSKCPSSSEGIIWYSHCLVRYSSRYIIINMETRPMYRDINITNHSIPDQNLFATALSNQLSELANKTGNSDGKYLTNSLKLNDKQTLYALEQCTPNLSSEDCISCLNDVIGRAIPWSLLGSVGGRIIYPSCNLRFELFQFYMDGIPSPLSRNAEKRTIIFIVGSIIILAILFSIGYYFFKRRGRKSRRTILRENFGEESAILEPLQFDWMVIQAATNNFSIDNYIGKGGFGEVYKGILFDGREVAIKRLSESSKQGVEEFKNEVLLIAKLQHRNLVTFIGFCLEQHEKILIYEFVPNKSLDYFLFDFQQQKSLTWIERFNIIGGIARGILYLHEHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIVEISQDERSTKRIVGTYGYISPEYAMLGQFSEKSDVYSFGVMILEIVSGKKNISSSSSQSIVNGLLNYVWRQWMDQTPLSILDPNIKEDYSKSEVIKCIQIGLLCVQHDPDARPSMVTIVSYLSSYSIELPAPHEPAFFLRSNTYSTSLIQESSSTQSANAYSSSLLSTNEMSTSIFLPR >CAK8572110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:511223326:511241852:-1 gene:gene-LATHSAT_LOCUS24709 transcript:rna-LATHSAT_LOCUS24709 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKDPTNLSRTFKYLLATQFLSRGIPFIFNTWIVRHLTEEDYAMYAVQFHLFVTCVLFLSREGFRRACMRVDIKRDGTSMEEDVVKLMKVAWMSVPLGIFVTIVACLFVFWWQQISYFSPYGQAVLVNGCACILELLAEPLYILSQNLVLLELRLMVETVATFSRCLTMYFLIVKLTGMEKSIIFALSQSAYGACLFLGYWGYLLLFRKLRYSYIFPFRKGNYFGFDQQLSKMCTLFTFQSFRKLILQEGEKIVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYTTFARSASGEYQGKHKKLGNCLTESLKLVLLIGVVFMAFGPSYSYSLIRLLYGKKWSDGEASIVLRYYCFYVIVLAMNGTSEAFMHAVATESQLKRSNDSLLVFSLISVVLNVLLIRLYGSVGLIMANSLNMTLRILYSAMFIKDYFKGSSSFSFRGCFPSGWVILLLSSVITLISENVVLDQDNFWPTFMIHFSVGLVCFCVSSYVIYCREKPFIKKIIRFRDHSD >CAK8543229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:590542407:590542788:1 gene:gene-LATHSAT_LOCUS12000 transcript:rna-LATHSAT_LOCUS12000 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIISVLKIPQDRDSGFIALGEMALALDGELSHYLSTICTHLREAIAPRRNKPSLEALACVGNIVKAMGPTSTNKSEV >CAK8569421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:376605:378389:-1 gene:gene-LATHSAT_LOCUS22276 transcript:rna-LATHSAT_LOCUS22276 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHITVLALFCLAFVRTGAIPSGEDYWKYVWPNSPLPKAFLDLLLPYGKTNNLPIRLEELNQYSTLFFPHDLYPGKKIVLGNTHSVAKTARPFTEPTQGVTDSIWLENKERQSLDDFCNSPTAKGERKHCVSSLESMVDHVISHFGTSKIKAISSTFDINQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVRATTAYVVSLAAPDGTKAKALTVCHHDTRGMNPELLYEALKVSPGTVSVCHFIGNKAAAWVPNFSVDRPCAI >CAK8565760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:324485152:324486347:1 gene:gene-LATHSAT_LOCUS18945 transcript:rna-LATHSAT_LOCUS18945 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVPPNVAIAMAILLLLSNINVVFGIRFVIDREDCFSHYVQYEGDTVHASFVVINTADPSWQLTQEGLDLVVKGPSGEQVRDFRDKTSEKFDFVARTRGAYRFCFTNKSPYREKVDFDVHSNHFSTFDQHAQNEHFTPLLEQIIKLEEALFNIQYEQHWLEAQTERQAIVNNAMSSRAIHKALLESAALIGASTLQVYLLRRLFERKLGM >CAK8560512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22990165:22990743:-1 gene:gene-LATHSAT_LOCUS14176 transcript:rna-LATHSAT_LOCUS14176 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDAWLRAHPLPRIQEDQKKKESNSSSCNKSLFNISSWQSRCETKGKGKEGDEGEVEQRNDYLQESLRVDEPQPKAPSMGENILKIAVMAESIGAVDISNVGRETEVTSNAANDKKKKWTTRQATRKVNPNKTKKLDIEYGKRNLVDVMIINGTVEGCGSGEKKVKGQEVPKVCHETELEVVLEIQHRINQ >CAK8574863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12639926:12642382:-1 gene:gene-LATHSAT_LOCUS27163 transcript:rna-LATHSAT_LOCUS27163 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFTSFSSNPINLKLRSLSPRVDFHVSDRPFSCFTIPFRNQQKGSIFCVLKLVQNKGFYPLHAVPSEHQQEVELEAVEPQVQQSEQTNESKFVRVEFRLLKDCDFGEQFLIVGDDPMLGSWNPLDALLMTWSDGHIWTLEVDMPAGKSVQYKFILKGIEGDIIWQPGLDRVIQTWETMNRIIVSEDWENAELQKVIEEDRFSQSNVLSEVSTSTETLDDSRDKPEFVVSSVSGIEDTRIHGEEKLVDEQVIQENIGDSISSSIEKPMAIIAENIGSSDDLVESTSNVTSKSNVILKNDETEDGSQKDDKIRYNGYNGNAAALNNQEGTIVEGSLIDLEGGPVLVPGLTPPTEKEADLREVVEEEKTAIESSIETFETRDQNIPELSKDQESDDDIAQEINTTINDELSFHEEQFHLAPTMEEASNAEPIHGNALQNDVEWGRETVKKFLTKFGLL >CAK8579114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:676285348:676286806:1 gene:gene-LATHSAT_LOCUS31082 transcript:rna-LATHSAT_LOCUS31082 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSHNPMIFVVGILGNIASFFCFIAPISVFYRICKKKTTEGFQSCPYVAALFSAMLWIFYAYIKTGEMLIITINAFGCLIETIYLVIYITYCPKQARNFTLKLICLLNLGGICLVIVLTHLLAKERTARIELLGWICVVLSTSVFAAPLSVIRVVIRTKSVEFMPFTLSLLLTISAITWLIYGILLKDIFVTLPNIVGITFGMIQMVLYGIYRKNKPVNDEKLPEHKDEINQLQIVVISHENVVDVERGENKEEKEQEKKQEKAGSEERVEEQPQKEG >CAK8578236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613910301:613916559:1 gene:gene-LATHSAT_LOCUS30265 transcript:rna-LATHSAT_LOCUS30265-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARRETCDGRVIAHVDMDCFYVQVEQRKQPCLRGLPTAVVQYNSYKGGGLIAVSYEARKCGVKRSMRGDEAKEACPQIQLVQVPVARGKANLNTYRNAGSEVVAILSRKGRCERASIDEVYLDLTDAAQTMLMETPPESMEHVEEEVIKSHVLGLQVKDGDDAKEEVRKWFCRSDASYQEKLLACGAFIVADLRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVEGLLESFPIKKMKQLGGKLGTSLQSDLGINTVGDLLQFSEEKLQQCYGINTGTWLWNIARGISGEEVEERLLPKSHGSGKTFPGPQALKTFASVQHWLNELCEELSERLESDMDKNKRIAHTLTLHARAYKRGDLDSHKKFPSKSCPLRYGTIKIQEDALTLFHAGLRDFLGLFNSKTHSSENNNWGIRALSVSASKIVPIPSGTHSIAKYFSERLPSGSSNQPVDNAIDEVTPSSPSGENCWEVIPNELQLEYPEEDTGMNHSNACLDQPDLLCNLSEKVDGLTEESSLVAPLGNEDSVTQIESCRDIPRKEPRHACNTYSTNAVVKKKAAGKKPQGSCSIAKFFNNYHNSQSSLEQKNLTNAQGSPSASSSYLANNHVEMPPEDVDKNSINCMPQRSQAWSYNIDEIDPSIIDELPPEIQDEFRTWLRPRKRPHVVKRGSNITQYFRPDKSR >CAK8578235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613910301:613916559:1 gene:gene-LATHSAT_LOCUS30265 transcript:rna-LATHSAT_LOCUS30265 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARRETCDGRVIAHVDMDCFYVQVEQRKQPCLRGLPTAVVQYNSYKGGGLIAVSYEARKCGVKRSMRGDEAKEACPQIQLVQVPVARGKANLNTYRNAGSEVVAILSRKGRCERASIDEVYLDLTDAAQTMLMETPPESMEHVEEEVIKSHVLGLQVKDGDDAKEEVRKWFCRSDASYQEKLLACGAFIVADLRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVEGLLESFPIKKMKQLGGKLGTSLQSDLGINTVGDLLQFSEEKLQQCYGINTGTWLWNIARGISGEEVEERLLPKSHGSGKTFPGPQALKTFASVQHWLNELCEELSERLESDMDKNKRIAHTLTLHARAYKRGDLDSHKKFPSKSCPLRYGTIKIQEDALTLFHAGLRDFLGLFNSKTHSSENNNWGIRALSVSASKIVPIPSGTHSIAKYFSERLPSGSSNQPVDNAIDEVTPSSPSAGENCWEVIPNELQLEYPEEDTGMNHSNACLDQPDLLCNLSEKVDGLTEESSLVAPLGNEDSVTQIESCRDIPRKEPRHACNTYSTNAVVKKKAAGKKPQGSCSIAKFFNNYHNSQSSLEQKNLTNAQGSPSASSSYLANNHVEMPPEDVDKNSINCMPQRSQAWSYNIDEIDPSIIDELPPEIQDEFRTWLRPRKRPHVVKRGSNITQYFRPDKSR >CAK8578237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613910301:613916559:1 gene:gene-LATHSAT_LOCUS30265 transcript:rna-LATHSAT_LOCUS30265-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARRETCDGRVIAHVDMDCFYVQVEQRKQPCLRGLPTAVVQYNSYKGGGLIAVSYEARKCGVKRSMRGDEAKEACPQIQLVQVPVARGKANLNTYRNAGSEVVAILSRKGRCERASIDEVYLDLTDAAQTMLMETPPESMEHVEEEVIKSHVLGLQVKDGDDAKEEVRKWFCRSDASYQEKLLACGAFIVADLRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVEGLLESFPIKKMKQLGGKLGTSLQSDLGINTVGDLLQFSEEKLQQCYGINTGTWLWNIARGISGEEVEERLLPKSHGSGKTFPGPQALKTFASVQHWLNELCEELSERLESDMDKNKRIAHTLTLHARAYKRGDLDSHKKFPSKSCPLRYGTIKIQEDALTLFHAGLRDFLGLFNSKTHSSENNNWGIRALSVSASKIVPIPSGTHSIAKYFSERLPSGSSNQPVDNAIDEVTPSSPSGENCWEVIPNELQLEYPEEDTGMNHSNACLDQPDLLCNLSEKVDGLTEESSLVAPLGNEDSVTQIESCRDIPRKEPRHACNTYSTNAVVKKKAAGKKPQQGSCSIAKFFNNYHNSQSSLEQKNLTNAQGSPSASSSYLANNHVEMPPEDVDKNSINCMPQRSQAWSYNIDEIDPSIIDELPPEIQDEFRTWLRPRKRPHVVKRGSNITQYFRPDKSR >CAK8562643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:520012134:520012720:1 gene:gene-LATHSAT_LOCUS16123 transcript:rna-LATHSAT_LOCUS16123 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSSYHSSVATLKPCYIEQDNGLASLKNMDVSCHANSFVTKSMNMITMGYSYSNKTSFSNMNVSSPRSGGRFYDTNFLEACSLCNKPLGHNKDIFMYRGDTPFCSEECRQEQIEIDEMKEKNMNLASSMKALRNKEQRKSNSSKAQGQDYSFLTGTVIAA >CAK8562644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:520012137:520012720:1 gene:gene-LATHSAT_LOCUS16123 transcript:rna-LATHSAT_LOCUS16123-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYHSSVATLKPCYIEQDNGLASLKNMDVSCHANSFVTKSMNMITMGYSYSNKTSFSNMNVSSPRSGGRFYDTNFLEACSLCNKPLGHNKDIFMYRGDTPFCSEECRQEQIEIDEMKEKNMNLASSMKALRNKEQRKSNSSKAQGQDYSFLTGTVIAA >CAK8579232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686370404:686373015:1 gene:gene-LATHSAT_LOCUS31195 transcript:rna-LATHSAT_LOCUS31195 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCEDEKTSSGGFCYNPQIQGFVSDPEMFNLTTGMEMIGFSKHLHHQQQQEQHSTDTNMWKTNFSGGKLSQQHVGPSPSYHHQDFTVSETTSNDHQNLNMLHHHQHHHHQDSSSSSQWQQVDDSSLRCVFPYEGNERPSQGLSLSLSSTNPSSLVLQSFELRNTHPIQDQGYQGHFLLKNSKFLLPAQQLLNEFCSLETTKQNDLASQKQKSQKFNNKQNFEQEHLHNATTSTTSSIKHSLTSVEFVELQKRKTKLLSMLEEVDRRYKNYCNQMKSVVSTFEGVAGNGAAKVYSALALKAMSRHFRCLKDGIMEQIERTRKAMGEKDPIAPGTTKGETPRLKILDRVLRQQRAFQQINIMETHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEEKEQQRNTTTSEGGNNINHEEENLNVLQDQSPTQQPHEDQKPRLLRIDSECVSSIINNDHKLAADAFGSVDIDFSSYNTHHSSDHMVGGYPSGESFHHGGGGGGVSLTLGLQQHGGNGVSLAFPSTTQSPMFYTREQIEEPVQYSLLDGEGTNIMPYRNLMGTQLLHDLA >CAK8572405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:534517913:534519922:1 gene:gene-LATHSAT_LOCUS24976 transcript:rna-LATHSAT_LOCUS24976 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQVAESRMQKGEYVEALKFAIKAKKLYPDVENIAQILAVCEVHSAALNKLSRAEMDWYGILQTEKLSDEAVIRKQYRKLALLLHPDKNKFAGAEAAFKLIGEANGVLNDQSKRILHDMKVRAHLQEMKVKANLKAAVPNTSSHHSNGNVFAANQVPNATKHRKNVSSNVPCEPNLKLQTFWTACNHCNTRFQFYIYVKNRALVCQNCKKSFIAFGMIPQTTSSYVPSGASKAVPTQVPPNPGSTSKDGKPLGGGSQDTFVRSTPSMKTCATGVGKDNKDEKSKDGYVPVSKPKESQASKNVGSKRVRQPEPDSKERFNTRNNDENQDPSRLNVRRSSRQKQHVSYVENREDESYDVTSKKPRKNESFNNDEVEEKNVSEETILRNTSKDEPSHVQGDKVLESDHDPRRFKEQNFSPLNSDIPSSPEIIYCPETEFNDFDKNKAEDSFAVNQLWAIYDTSDAMPRFYALVKKVASPFNLHIIWLEADPDEDEEVDWYNADLPIACGKFKLANSQKTTDLGMFSHQIQCIKGNGKKSFLVYPKKGETWAVFSNWDINWSSNPENFLKREIAYVEIESDFSENVGIEVSLLGKVKGFTSLFEKSGKNGEKTFCILPKEIYRFSHQIPSYKMTGDEREGVPRGCFELDPAALPANVFEASEE >CAK8533145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:584233973:584234899:1 gene:gene-LATHSAT_LOCUS2792 transcript:rna-LATHSAT_LOCUS2792 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHVWRVGACSTNSSLHNQNNIFNGIMLRFRPIAPKPVTGTSSTSGELTPAKQSITTPLPGKRTKRKYVRIRRNNGYIKKNGNKPPEKVVETAENMDSVAAVVTLQLMPEKAEEDTPISGDSWCKNVDLNLRVEKIQILENLNSNPNSKPDLETQNAGGILDLISTSEKAVVESWITVESVTGTCMSEESERVLNCADVEKMKILETDTCPCFVTDSYGRVLWVNDAYKRMVVNDEIKEIDVVVWLKVKESVAWCYSYPAFTCGVRLQYTWRNEKCLKMVPCDVWRLQCGGFAWRLDVKAALSLGL >CAK8576462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481013367:481016233:-1 gene:gene-LATHSAT_LOCUS28648 transcript:rna-LATHSAT_LOCUS28648 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYSNQYLPRTYFLKVPTFHPFFKFNPFPYTNYASNRTHALSFTINCNLKKVGKKDLLSQKIVLSEAPPPPLTQDNDVPSTSKEKKGSNGLVKKLSKRVLQILSNLPLAIGEMFTIAFLMGLGTFIDQGETPEFYFQNYPEDHPVLGFFTWRWIFFLGFDHMYSAPIFLGMLVLLAASLMACTYTTQLPLIKVSKRWSFMHSAEVIRKQEFSESLPRASIQDVGTILMGAGYEVFLKGPTLYAFRGLAGRLAPVGVHIALLLIMAGGTLSALGSFKGSVNVPQGLNFVVGDVLGPIGFLSTPTDAFNTEVHVNRFSMDYYESGEVSQFRTDLSLLNMDGKEVMRKTISVNDPLRYEGITIYQTDWSISTLQVLKDNEGPFNLAMAPLKINGDKKLYGTFLPFGDVNSPNVKGISMLARDLQSIVLYDTEGKFAGVRRPNSNLPINIDGSEIVIVDAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQDGTTVVIGGKTNRAKLEFPDEMNLLLDKIPEIVESSSLSKQADSIGGTG >CAK8576463.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481013367:481016230:-1 gene:gene-LATHSAT_LOCUS28648 transcript:rna-LATHSAT_LOCUS28648-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSNQYLPRTYFLKVPTFHPFFKFNPFPYTNYASNRTHALSFTINCNLKKVGKKDLLSQKIVLSEAPPPPLTQDNDVPSTSKEKKGSNGLVKKLSKRVLQILSNLPLAIGEMFTIAFLMGLGTFIDQGETPEFYFQNYPEDHPVLGFFTWRWIFFLGFDHMYSAPIFLGMLVLLAASLMACTYTTQLPLIKVSKRWSFMHSAEVIRKQEFSESLPRASIQDVGTILMGAGYEVFLKGPTLYAFRGLAGRLAPVGVHIALLLIMAGGTLSALGSFKGSVNVPQGLNFVVGDVLGPIGFLSTPTDAFNTEVHVNRFSMDYYESGEVSQFRTDLSLLNMDGKEVMRKTISVNDPLRYEGITIYQTDWSISTLQVLKDNEGPFNLAMAPLKINGDKKLYGTFLPFGDVNSPNVKGISMLARDLQSIVLYDTEGKFAGVRRPNSNLPINIDGSEIVIVDAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQDGTTVVIGGKTNRAKLEFPDEMNLLLDKIPEIVESSSLSKQADSIGGTG >CAK8560774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:41423163:41423750:-1 gene:gene-LATHSAT_LOCUS14424 transcript:rna-LATHSAT_LOCUS14424 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQEKQRSERRKNGLEETICSAKIRENIADPARADLYNPRAGRISTANSLTLPVLRYLRLSAQYVRLYRNGIYAPHWNIDANSLLYVIRGEGRVRIVNCQGDAVFDNKVRKGQLVVVPQNFVVAEQAGEEEGLEYVVFKTNDRAAVSHVQQVFRATPEEVLANSFGLRQRQFTELKRSGNRSPLVHPQSESQSH >CAK8543885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:646855434:646856869:-1 gene:gene-LATHSAT_LOCUS12609 transcript:rna-LATHSAT_LOCUS12609 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDEIQKKNDALPAVVLKLDLHCDGCVKKIKRAVLRFDGVEDVKADTAGNKLTVIGKVDPTKVRDKLAEKIKKNVEIVLSQPKKDAAVDKPPPAKNKHEEEEEKKKPEEKKVEEKPPKQSVQNTVVLKIRLHCDACIQKIEKIILKIKGVESVNTDGGKDLVTIKGTIDAKEIVPYLTEKLKRDVEVIQPKKDDDNKKNKEKDGGKEKKEEGGGGEKKDGGKAKVEVNKMEHYGYGQQPPMYWYDGYEPGQSSSSNRVEVQQGQNYNYANQGGYGYNYNYMNMNQNHHQQGYDYSYGNQGEGGYMVEPQQPPFYLHPNHPPPQMFSDENPNACSMM >CAK8569022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663695705:663699108:1 gene:gene-LATHSAT_LOCUS21920 transcript:rna-LATHSAT_LOCUS21920 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLCKDLRRENSEEDKWKKMGSLKKRAINASCKLRHSLKKRRSSKSGSRHNSLSIEDVRQVEELHAVDAFRQALISDNLLPSTLDDYHMLLRFLKARKFDIEKANLMWANMIQWRKDYGTDTIIEDFDFKELQEVHKYYPHGYHGVDKEGRPIYIERLGKVDPNRLMQVTTMERYLRYHVQTFEKAFSVKFPACSIAAKRHIDSSITILDVQGVGFKNFTKSARELIIQLQKIDSDYYPETLCQMFIINAGPGFKLLWNTVKTFLDPKTTSKIHVLGNKFHNKLLEIIDASELPEFLGGSCTCEDRGCCMRSDKGPWQDPNILKMVLSGKVQCCKQIVTLSSDEGRVIECDKTSYPTLNGYTSTGESRSEAEDITSPKAYGNSMNPTLTPVKDETRIAEKVVCSSSFPVYDECVPMVDKTVDVVSKEKQATPQNSSGSKENLLVVTMTGITGFVFAFLAFARSIAFRVTKGVKKEELATGLTEKDLSSSSTIKRLTELEEKVEKLESKLNVMPPEKEELLNAAVCRVDAVEAELIAAKKALFEALIRQEELLAYIDRHEKSKFKCW >CAK8569023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:663695705:663699108:1 gene:gene-LATHSAT_LOCUS21920 transcript:rna-LATHSAT_LOCUS21920-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLCKDLRRENSEEDKWKKMGSLKKRAINASCKLRHSLKKRRSSKSGSRHNSLSIEDVRQVEELHAVDAFRQALISDNLLPSTLDDYHMLLRFLKARKFDIEKANLMWANMIQWRKDYGTDTIIEDFDFKELQEVHKYYPHGYHGVDKEGRPIYIERLGKVDPNRLMQVTTMERYLRYHVQTFEKAFSVKFPACSIAAKRHIDSSITILDVQGVGFKNFTKSARELIIQLQKIDSDYYPETLCQMFIINAGPGFKLLWNTVKTFLDPKTTSKIHVLGNKFHNKLLEIIDASELPEFLGGSCTCEDRGCCMRSDKGPWQDPNILKISGYTSTGESRSEAEDITSPKAYGNSMNPTLTPVKDETRIAEKVVCSSSFPVYDECVPMVDKTVDVVSKEKQATPQNSSGSKENLLVVTMTGITGFVFAFLAFARSIAFRVTKGVKKEELATGLTEKDLSSSSTIKRLTELEEKVEKLESKLNVMPPEKEELLNAAVCRVDAVEAELIAAKKALFEALIRQEELLAYIDRHEKSKFKCW >CAK8568845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:646219911:646224634:1 gene:gene-LATHSAT_LOCUS21760 transcript:rna-LATHSAT_LOCUS21760 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYIEIDSITIDLASSIGKRDVGKCEHFSIREYVSEVRKKDWKLCWPFPIDETEKQPSFPPLDVPKHRCPNSQKENAAKDIPKDNQANYNCCITGCRPDTNCSNAALKPCIQKDPMASIIVRRDIDLNTKLSGFDGCLPINIEKEKNVGVEPSRRIDLEIGLEDNLNRQVENVPSPKIYPGFAQEHTTKIGCESNEVSYVQFSDKLTYTDKNSAEICNGGTSSVDKQCQKELVATAVEADNKCDHPTGPPIESFACNQEVPAGSTDNMIEDDFQDNHSEKSMAVSRRRPRKVRLMTDLLRENAESKTEKTAIQESPIYGTSNNSAASQAHSNFPGKVDFQGDLTLTNLGQSRKRKIVLDEVRSMESMHFQRDGFEAQNLEGNAKTTVMLFNKKSNSKGVLAGTGSQVAEKGNWSKSEPERSHIVGKKKNKRNQVVDNYLIPEQQGQRRQNEDTVYTTDKAYGSKTVSSRLTPSVFTKNGMDNFPIHALRIENEFNPSKEKGKMLQTDEELNSFSCHRNDMLVKDSFPYSGIKIRSNVPADVPIPSVQGVMNGKGLEEGLHLSLNNMSEHGYNKKCIHQIENRLPFSLPFQESTSRVPNLNRKDSETNVFGGPSIPFRHTTNTISGKGNHYEEITGARNTGKTVEAAEQLGINKTYNEQAAEVPEQGTLDDIPMEIVELMAKNQYERCLPDVENRCSIFEKSSISRNAQMTSGTAVYGKGKMNLLKEGQKEKSKGRPKKNNMVTRGENVKPCKRKPNHYFSPFNGSNLGVNNPYPPQPSFGFEVPQSQKKLSNEFQFSPMVSNQLGSARNIKFNGNLEERTPSSATLQALGGCSLHKNILQQDNEASRIWASLASNRTSLGYDVSQKVASQPSNNNMDMNSLRSGAVHTQNLRRDIDLNYTNINTTGQEKHSSRNTGAGVFSRVNGEYPFPCKHNGIEPHQNLRGSLDLYSNETIPAMHLLSLMDAGMQSRTPFNVGVNAQMLNRPSYPGDCNTKMEISASKANGTLKRQSSDYYNRSYLSDKPHGCLIGSQTFGASSSAHHGKKFTKDAGSNAQNSNGQNSTKFGKKEKMRSSNAPLQSRFLKQCNLSYNETKTSQQHRLEVHGTHTSVPLKITPGISCTVNRNPAEFTIPETGNVYMIRGEDLKFFNTIPQNKHFFPIPCGHKQQRSLKGTKMKEHSQH >CAK8565975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:364063893:364066344:1 gene:gene-LATHSAT_LOCUS19148 transcript:rna-LATHSAT_LOCUS19148 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQGFTPTLKLGFVFSSIISPKQRHPLVFPSSKYGFSLKFNDGSFKFQTPLIKGTKSVELDRFVTSDDEEEKEEMGDGFLEAIEELERMTREPSDVLEEMNDRLSARELQLVLVYFSQDGRDSWCALEVFDWLRKENRVDKETMELMVSIMCGWVKKLIQEQRGVGDVVDLLVDMDCVGLRPGFSMIEKVISLYWDMGEKEGAALFVEEVLRRGVSWNEDDPQGYKGGPTGYLAWKMMTEGDYKGAVRLVIRFREAGLKPEIYSYLVAMTAIVKELNEFAKALRKLKSFARAGLVTEFDQEDVELAENYQSELLADGARLSKWVIQDDTPSSLHGVIHERLLAMYICAGRGIEAENELWEMKLVGKEADVGLYDIVLAICASQKETAAIARLMTRLDFASLPQKKKSMSWLLRGYIKGGHFNEAAETVMKMVELGIYPEYLDRVAVVQGLRKRIHQYGNLETYIKLCKSLSEANLIGPCLVYLYTRKYKLWVVKMV >CAK8560070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6355950:6356790:1 gene:gene-LATHSAT_LOCUS13783 transcript:rna-LATHSAT_LOCUS13783 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIIYLTNHPHFLKKAKEFSLEEVSSMKHPVELLKEVKKVSFTAIVHVFMGSCNHNVVKKIESLFEDLMNGLNSLPINVPGFTFHKALKAQEKIVKILEPVVSERRMKIKNGQHMGEKKDFMDILLDMKDVNGRKMKDGDISDLLIGLLAVGHESTATGIMWALRFSS >CAK8563551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615613013:615615326:1 gene:gene-LATHSAT_LOCUS16949 transcript:rna-LATHSAT_LOCUS16949 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEAMNQFDESMKKQIAVILKVMLLNKSLKEDNIPCEIDEGLFLGSIGSAGNKVGLKNVNVTHVLTVAGKIAPAHPGDFVYKVIDVADKEYTNLTQYFDGCFEFIDEAKKNGGCVLVHCYAGRSRSVTIIVAYLMKYRGMSLSEALQHVKNKRPKAAPNRGFIRQLEEFEKSLQGENCISIKFN >CAK8563552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:615613034:615615326:1 gene:gene-LATHSAT_LOCUS16949 transcript:rna-LATHSAT_LOCUS16949-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFDESMKKQIAVILKVMLLNKSLKEDNIPCEIDEGLFLGSIGSAGNKVGLKNVNVTHVLTVAGKIAPAHPGDFVYKVIDVADKEYTNLTQYFDGCFEFIDEAKKNGGCVLVHCYAGRSRSVTIIVAYLMKYRGMSLSEALQHVKNKRPKAAPNRGFIRQLEEFEKSLQGENCISIKFN >CAK8564402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672438123:672440159:-1 gene:gene-LATHSAT_LOCUS17711 transcript:rna-LATHSAT_LOCUS17711 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLWQLGQSITRRLANGGDKKAVARRCFATESELKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRQNGSLFDVSHMCGLSLKGKDVVSFLEKLVIADVAALAHGTGTLTVFTNEKGGAIDDSVITKVTDDHLYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRVLDINGSQCFLTRTGYTGEDGFEISVPSEHGVELAKALLEKSEGKIRLTGLGARDSLRLEAGLCLYGNDLEQHITPIEAGLTWAIGKRRRAEGGFLGADVILKQLADGPSIRRVGFISSGPPPRSHSEIQDEGGNNIGEVTSGGFSPCLKKNIAIGYVKSGLHKAGTKVKIVIRGKQNEGVVTKMPFVPTKYYKPS >CAK8573707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631904797:631906696:1 gene:gene-LATHSAT_LOCUS26116 transcript:rna-LATHSAT_LOCUS26116 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENSDNDGGRINLSNLAPVAPDQSGDGLPYAPENFPNPGDIWRWKAGRRISSNGNFRDRHLYLPRRLAASYRGGFKSKLAVERYVKEFFPDANVVDFFASFSWSIPSGLPGNMSPVPADGLLHQLELKEQPESDSDIGGCKAGNKTCTSLILDQEKENSPLAPCDICCVESKFCRECCCILCYKTVDSAYGGYSYIMCKVNLGGNICGHVCHLECALRSYSAGTVGGTIGLDAEYFCWRCDGRTELIPHANKLLQTCEEATDTDDVDVKEKILKLGICLLRGSEKAAANELLSRITSAILKLKHGTNTEDILNVDAKITANSSGSSGYGKAAMETTDDESPLKHLNVQKGTKSSRYQSELSKLDADFDKAMEDLEKSQKFEYKLAEESLHTHKEYLLNISQQLDNEKSELAGQSSTSGSSVLLQTIERKNEQLRQERKKFEEMKKIADGFGSTSKEILEKHFGL >CAK8571776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471805068:471807348:-1 gene:gene-LATHSAT_LOCUS24408 transcript:rna-LATHSAT_LOCUS24408 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGQKGTVNPSAMLASLLSKRAKLHEELRSIEKQVYDMETSYLQDPGQCGNVLKGFEGFLSSSKNTAFLKRSRKFQPEDRLFSLSSVSSPAAEELAAGRDDGRSDFGPGRSKGGTIYANGQGKPKKGRGAPRDAKRVRVSSEQDFDYEDEPEMTL >CAK8575364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:95237675:95238580:-1 gene:gene-LATHSAT_LOCUS27634 transcript:rna-LATHSAT_LOCUS27634 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTQFATYFTTKIHHHSFSPILTIRSEKQSEPSDSIPKSSGVGFGPSKVKSKRKQKGQRERASIIRRNPVEKPALVSKQEPVQQEQGAYEKAFVLAWLGFGSVILVEGLALAASGIFPEEWDKIFVKYVYPSYTPTVFLFVAGAIAFGVVKYKQNEKLMERK >CAK8533808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661469171:661474522:1 gene:gene-LATHSAT_LOCUS3404 transcript:rna-LATHSAT_LOCUS3404 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAISLTHHLSFLFPHTYSRFTTSSRLFHQLSITKSPFPLRFLSTTPTPYPLQYELIINRPDLPKPNRHPRTAKPPNSPEPNQPDSLHDWAHDKLSTEPGSSELDKAKRKYYNKRRKRMYGSDSDEDNRRNEEQFVELKREVVELRSLHRREEELYFYDAFAYPWEKDKHYRMVYQLEKKFFPHQCLDKAFLQPGQSNSNSNSVSNLNTNMNANVRVRNKRVGCFAGENEGDKVGDGDNKLVFFEENVKGEQEREKGENKDHSEKKVEEFFKGLKKDVEVVEPFFSSRRTGLPPVWDSPHGTVLLINKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKSTKLVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKSALSFCGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFDIERSLDDRQNLIFRVTCSKGTYIRSLCADFGKALGSCAHLTALRRDSIGQYLADDAWEFQELEESITKTYL >CAK8532204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:220666779:220667198:-1 gene:gene-LATHSAT_LOCUS1935 transcript:rna-LATHSAT_LOCUS1935 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNSIRSTLNFSILLIAVIAFEVGDAVTVVIKNDIWPFHTELTVHCKSKNDDLGFHTLKFGETYMFSFTPLVFPPTGNTLFFCSFTWPGRPYRHYLDVYDQTKDACGTCNWKISQTGGCKSDNQGPETCQDWKSIEI >CAK8537768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:429512700:429516693:-1 gene:gene-LATHSAT_LOCUS7023 transcript:rna-LATHSAT_LOCUS7023 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHPNAFSSMLRSRSIPYSHLPQPLLTLTSSFPSSSATASSETRIRHSSLNPKSSFNSLSLFSNNHSLQTMTISNCFSRSGSTNAIQVSESDYVVDSLVVVSFYKFADFPDHAVLRVPLKQLCQELRVSGGIILAPEGINGSLCGTRQSVEKVLAFIQSDERLKGLRRIESPVSPEEEAIHHGHNDGHSSSSPLAAGEDVPFRWDHVRVKVKKEIVTLGMPTISPIERVGKYVGPNEWNSLISDPDTVVIDVRNNYETRIGKFKGAVDPCTTSFREFPSWVEERFELMRTDAEYPKVDVNHSDQSAEKETESMKQQLPRIAMYCTGGIRCEKASSLLLSQGFKEVYHLEGGILKYLEEIPEKQSLWEGECFVFDKRVSVEHGLAQGNFKLCYGCKQPVSDADMESPEYEYGVSCPYCFAQKSEEEKERARARQKQFQRWGIIGGPDKGRRPKQEPDVASSNHNQLSKSI >CAK8530115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1570422:1571907:-1 gene:gene-LATHSAT_LOCUS8 transcript:rna-LATHSAT_LOCUS8 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRGGEESGDKERDPKYSGLVRLAQIVTLLAVFAGGVVLGLTTTSHVHYLDNNNNYLRFISQEPSSGVFNRVGDSFQNNDSNNNNCNCNCTFIEPPPPSYDTEKEDMLEAFLHPSSITHTFTDDQLFWRASLVPKQEHYPYARVPKLAFMFLTRGPLPLMPLWERFFQGHYNLFNIYIHAPPGYVLNVSDSSPFYGRNIPSQAVSWGTVTLADAERRLLANALLDFSNERFILLSESCIPVHNFPTVYRYLVDSAHSFVESYDEPTRYGRGRYNRNMLPDIQLRHWRKGSQWFELHRALAVYIISDTRYYDLFRKYCKPACYPDEHYIPTFLNMFHGRLNSNRTVTWVDWSMLGPHPATYGRDNITVSFIQAIRNNGSLCRYNSDMTSICYLFARKIDPSALEPLLNLSSEVMNF >CAK8542126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:475489149:475490725:1 gene:gene-LATHSAT_LOCUS10994 transcript:rna-LATHSAT_LOCUS10994 gene_biotype:protein_coding transcript_biotype:protein_coding MENENLGYGRGKVIDELLRGRELANQLRNILNESGDIDDSNGSTAPFAEHLLKEVLMTFTNSLLFLNNTQTSEDVQLTKSEDSLESNCKSTSIVKERRGCYKRRKVSQTWEKESEDLVEDGHQWRKYGQKTILNTKFPRNYYRCTHKIEQGCKATKQVQKIQEDPPLHKTTYYGHHTCRILQSPEIIVDSSLSPSHHSSMFLSFDNSFPTPAKQDCPFLSSTSSSISSLKKECKEEIVHAPPPSSSANDYYLSGLTFDDSEKNVTLSSTLDSHQLGVHIPDIMYDDVLNWPLS >CAK8544190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671214747:671215430:1 gene:gene-LATHSAT_LOCUS12890 transcript:rna-LATHSAT_LOCUS12890-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVESMNVVFKGTRNLPITALVRATYYRLESLFAERGGKWSAVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNLDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8544189.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671214657:671215430:1 gene:gene-LATHSAT_LOCUS12890 transcript:rna-LATHSAT_LOCUS12890 gene_biotype:protein_coding transcript_biotype:protein_coding MANADALRWIDSIPSEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITALVRATYYRLESLFAERGGKWSAVLNSGQTFTDNCLKVMKEETTKSSTHQVRIFDYRNNVFSVQETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVPCSHVIAACSNVRHDAYALLSDVYRVTNLFGVYSASFPVMPCDEYWPVYEGDQICHNPRMRRNKKGRPVSTRITTEMDNLDKLERKCSMCRQTGHNRTRCPNVGTSSR >CAK8563419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:601774227:601774656:1 gene:gene-LATHSAT_LOCUS16834 transcript:rna-LATHSAT_LOCUS16834 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKLHNIRLQTSITLILIILFLTLFASSLGSQNLKKDHGNKDGKKLVLGSRPPRCVNKCLSCKPCIAVLVISPHHKVGHIHKAILEGEKDEGYYLLSWKCKCGNKLFQP >CAK8563952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:641971729:641977334:1 gene:gene-LATHSAT_LOCUS17306 transcript:rna-LATHSAT_LOCUS17306 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPNGFLPTSGEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVTKYDKEAILASDFGLKQNRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVAKDLHDNSWAFRHIYRGQPKRHLLTTGWSVFISTKRLFAGDSVLFIRDEKQQLLLGLRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIYYNPRASPSEFVIPLAKYNKAMYAQVSLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQIGWDESTAGERPSRVSIWDVEPVVTPFYICPPPFFRQKFPRQPGMPDDESDVENAFKRAMPWLGDEFGMKDASSSGFPGLSLVQWMSMQQNNQFSGGQSGCFPPMLSSNTLHGNLSTDDPSKLLSFQTPLLSAPNHQFNKPNLPNQINQLQQSPTSWPQQQQQQQQQLQQQQQLQQQQQQQLQQQQQQLQSLSQIPMNQFQQQRQQQLPESQNLTLLQQQMTQQLGQQPQKHPQSSQQAIMNNGVIASNQISNQFAQQPVTYAQLQQQQQLLPGNIPLQQSIQSASKNTFPMTSLPQDSQFQQQIDQQASLLQRQQQQTQLQQSPLQVLQQSQQQRVTPNLPVAQISQQNTSEQQLQLQFLHKLQQQQQQFLSTSSPLLQSQFLQQNTHQQSQQLPQLPISQHHPQQLGNNAFSTEKLLNSNNLSSSSLMQSQQLSVNQTLNTQKPLTITRVPSTLTDGEAPSCSTSPSTNNCQISQPNSLKRNQQVPATIGGILVAEPASNLIQDLQSKSDMHIKHEFLNVKGSDQLKYKGITTDQLEASSGTSYCMDPGNVQQSLPLSNFCMEGDVQSNPRNNLTFDSNLDGLMSDTMLSRGYDSQKDLQNLLSNYEGAPRDIETELSTADISSQSFGLPDTLFKPSCSNDVGINDTSGVLNNGLRANQTPRMRTYTKVQKRGSVGRCIDVTRYKGYDELRYDLARMFGIEGQLEDPQRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVTIPNQASSGADSGNAWRGQYDDNSAASFNR >CAK8573747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634694057:634695091:1 gene:gene-LATHSAT_LOCUS26155 transcript:rna-LATHSAT_LOCUS26155 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLNLSPFALLTLTTIILSLCFATESNEDRTSELLSIQSRSSSGIIHLNDQSIARFITSVKTPRPYSILIFFDAAQLRDKSELRLTELHKEFSIVASSFITNNANHSSLQKLFFCEIEFKESQLTFSQFGVNALPHIRLVGPNHGLKDSEHMDQGDYSRLAESMAEFIESKTKLSVGTIHRPPLLSRNKIILIAVGFLIWLSYFVKKVLTGETLLHDPRVWLAGSVFVYFFSVSGAMHNIIRKMPMFLVDRNDPSKLVFFYQGSGMQLGAEGFAVGFLYTLVGLLLAFMSHGLVKIKSVKVQRVVMIFALLVCFLAVKQVVLLDNWKTGYGIHGYWPSNWNF >CAK8540352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554066425:554066625:1 gene:gene-LATHSAT_LOCUS9363 transcript:rna-LATHSAT_LOCUS9363 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSSLWRSSIFLLMLIMLYSCSAIDVTYDSNALIINGQRRLIFSGAIHYPRSTVEMWPDLIQKA >CAK8572354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531549586:531551259:1 gene:gene-LATHSAT_LOCUS24928 transcript:rna-LATHSAT_LOCUS24928 gene_biotype:protein_coding transcript_biotype:protein_coding MGMISKAIIFSLSILMILPNTTYSKHQMPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8572355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:531549667:531551259:1 gene:gene-LATHSAT_LOCUS24928 transcript:rna-LATHSAT_LOCUS24928-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKIIHSAKYVSEKFEIGPGEVVNKALYDIEFPKGHIGVKSFDVDLIDEQGNSIPLYETYFHHWFAVKYIITKEKKMPGDPNDQTGGPIYIRNDGTCNGGILPLQWGLGSESRGTVSNLPHPFAVELGNPANITEGWEERWLFSLMVIDTRGTKDRKSCSECRCDQFNLPKNFFNETRDIHNKPLSPEYKGGVFCCHNGFHCKLEEGFQAPVRKIALRYKITWVDWDQEQIPVRFYVLDSTDQVKTNGSKTIHDCLAEYTILKNNSSDSFHVQKASIPIEKGGYLVYGTAHMHTGVVNATLYGQDGRTLCTSIPRYGTGTKAGNEKGYVIEMSVCYPKEGSIKIKDGEIVTVESRYKNEYITGAMGHMYFYLADRLPHTS >CAK8576820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:516612425:516628847:1 gene:gene-LATHSAT_LOCUS28985 transcript:rna-LATHSAT_LOCUS28985 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDQKLEEDHQNAINHSDNDIDDNGTLNDVEDDEGEEENASENEEEGEGEKDTVDEDQFRFCDGVNPLDFVNDNNSGVQLYQKLKELEYEATASKKRKATQQCPIEDGISGVSPADMMELMNFGQGRRSRKRSKKRGRQKGSKKKIDENISRMLGDANIHYANRRYEMAIAVLREVVKLEPNLPESYHTLGLVYSALGETEKEMGFYMIAAHLTPKDSSHWKKLFVWSIEQGDIGQANYCISKAIKADPKDSILRSHHAMLYAESQDYQKAAEVYEEIYQLWHENIDALKAAAKFYQKCGQVERSICILEEHLKSKPDGVNASVVDLLGAILMEIKAHDRALQYIEQFQVVRKELPLNLKVKAGICHLHLGNMEMAQVFFNDLKPENANKHVELITEVADSLMGFGHYNSALDYFKMIEGNSKNENGLLYLKIARCYQSLGERKHAIIFFYKALEILQDDVEARINLASLLVEEGNEIEAISLLSPPKDSDSGEAHSENSNIWWVDVRIKVKLCNIFHIRGMLSEFVDVSFPMVHESLQVATPKQKGNSKRALSRSELVERARVLECPETDNVFLPFRPVVPSSDLLKASRAKKLLQKKAIEKERKKAEAVAYGVDWLSDDSDDEPQVPNTEPPLCNFYKDDEYHQLIIDLCNALASLRKYGDALEIINRTLRLAHTFLSAQKIEKLRSLGVQMAYKTTDPKQGFNCVKRIVQQNAQSSAAWNCYYKVISRLEKRDTRHDKFIRRMQGKFVDCVPPILISAHQFTIYSHHQDAARKYLEAYKLLPENPLVNLCVGTALINLALGFRLQNKHQCLVQGLAFLYNNVRICENSQESLFNIARAFHHVGFVTLAAMYYEKVIAFIERDYPIPKLQNENIDVIENEKPGYCNLRREAAYNLHLIYKRSGAVDLARQVLKDYCSV >CAK8538224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:471855034:471856876:1 gene:gene-LATHSAT_LOCUS7447 transcript:rna-LATHSAT_LOCUS7447 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGQTQVQPQVHAQVQGIEEAVLDDIIRRLTEVRLSRPGKQVQLSEAEIKQLCIASRDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPKSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDSFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRILPRPVAIPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVAEFLTMHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKTKFVMSNKM >CAK8570910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:165099570:165100178:1 gene:gene-LATHSAT_LOCUS23619 transcript:rna-LATHSAT_LOCUS23619 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSQEWKLSLTILVLVLLSCTPYSSAVSSKTNKNVKTNVFMSPKIELSPGSVSNKLYFDVDFPRGHISLKSFNAELVDDAGNSIPLSQTYLHHWIFLRYHQPKNVTHDNQSGITFVRNSGFCQENVYGQYFGLGSETRRTNTYIPDPYGIEVGNPAEIPKGYAEKWMFNIHAIDTRVWKIKWGALSVSVICLMLQKMKMV >CAK8533956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674965647:674969362:1 gene:gene-LATHSAT_LOCUS3538 transcript:rna-LATHSAT_LOCUS3538 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSIRPSLSSFNKLPSFSSRNLSQRLSLFHLRNGVRQPPRNFGLKASGLLRRDSRCMRLMSSGSMSPSATRENALDWVKQDKRRMLHVVYRVGDLDKSIKFYTECLGMKVLRKRDMTEERYTNAFLGYGPEDAHFAIELSYNYGIETYDIGTGFGHFGVALDDIPRVVDIVRAKGGIITREPGPIKGGNSTIAVIEDPDGYKLELLERAPSPEPLCKVMLRVGDLDRSIKFYEKAVGMELLRTQDDPESKCTIAIMGYGPEEKSTVLELTYNYGVRKYDKGDAYAQIAIGTDDVYKTAEAIKLAGGKITREAGPVPGYRTKITSCVDPDGWKTVFVDNHDFHKEVE >CAK8576507.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486358440:486358913:-1 gene:gene-LATHSAT_LOCUS28689 transcript:rna-LATHSAT_LOCUS28689 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNLKQHSSLAKVIGTMVCIGGALTITLYKGMPLISDVFPNIEIGESGINISGKSDWIVGAFLLATGCFFLSVIYIVQYWIIKDYQEELLVTTICCSFTVILSIVAALIVEGNSKAWILRPDKKLVSIC >CAK8576854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519652746:519655579:-1 gene:gene-LATHSAT_LOCUS29017 transcript:rna-LATHSAT_LOCUS29017 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWLSAILVGAGYLAFGYFIGSHYPHRFFFSNRFSSPKDKDDSLLNHNNSSKQKKNSKPKIKDSLEVEQLAEILEDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKVLYRAPKALNRWEMSAQPKVVVKIESEEDMLALQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDEVTGGLKLL >CAK8571531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:435192726:435194645:1 gene:gene-LATHSAT_LOCUS24183 transcript:rna-LATHSAT_LOCUS24183 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCWKPAVDGDDGDGSGSGSGGRVDGLLWYKDLGNHVYGEFSMAVIQANTSLEDRSDFESGPLSFNHFGPQGTFIGVYDGHGGAEASQFVNDNLFCNLKRLAAENEGLSENVIERAFLATEESFLSLVKKQWLSKPHIASAGTCCLAGIICNGMIYIANSGDSRVVLGRLERARRETSAIQLSTEHNVNLERVRDELRSKHPFDSEIVVLRHNVWRVKGLIQVSRSIGDAYLKNAEFNREPLPAKFRLAETFFKPIMTCEPSISSHKLHPDDQFLIFASDGLWEHLSNQEAVHIVSNNAPNGIARRLVKAALREAAKKREMRLADLQKIEPGTRRHFHDDITVIVVFLNHKMIDNTSHWGSPLSIKGGGSADH >CAK8544304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:677018028:677018762:-1 gene:gene-LATHSAT_LOCUS12996 transcript:rna-LATHSAT_LOCUS12996 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTVEEAFYLCYSLKCLKINVGAESGDSDDDELWHYCKSKKKAFPFFYKAYSHLQMKNWVVRSGAQYGVDFIVYRHHPALVHSEYGVLVLSHDNDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHSDESPLCSKNYVIEEHTISRWSPEQCRERSI >CAK8534025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681856775:681862075:1 gene:gene-LATHSAT_LOCUS3601 transcript:rna-LATHSAT_LOCUS3601 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVDFEVGNDGVAVITMCNPPVNALALPIIRALKYKFDEAARRNDVKAIVLTGKGGRFSGGFDISVMQKVHQTGDITLVPDVSVELVVNSIEDSKKPIVAAVEGLALGGGLELAMGCHARVAAPKAQLGLPELTLGIIPGFGGTQRLPRLVGTSKAVEMMLTSKPITSEEGQKLGLIDAIVSSEELLKVSKLWALEIADKRKPWVRSLHRTDKLGSDAREVLATARQRVKKTAPHLPQQQACVDVIEHGILHGGYSGVLKEAEVFKKLVLSETAKGLIHVFFAQRTISKVPGVTDIGLKPRNVRKAAVIGGGLMGSGIATALILGNIRVILKEINSEYLQKGLKTIEANVRSLVTRKKLTQQKAEGALSLLKGVLDYTEFKDVDMVIEAVIEKISLKQEIFSDLEKICPPHCILASNTSTIDLNVIGEKISSQDRVIGAHFFSPAHIMPLLEIVRTNKTSAQVILDLIAVGKVIQKSPVVVGNCTGFAVNRTFFPYAQGAHLLIHLGVDVFRIDRLITNFGLPMGPLQLQDLAGYGVAVAVGEEFAGAFPDRTFKSPLVDLLIKNGRNGKNNGKGYYIYEKGSKPKPDPSVLPIIEESRRICNLTPNGKPVSVSDREIVEMVLFPIVNEACRVLEEGVVIRASDLDIASVLGMSFPSYRGGIVFWADLVGAKHIYSSLKKWTQLYGNFYKPSRYLEERAAKGIPLSAPASSNPTSKARL >CAK8562471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:498209412:498210922:1 gene:gene-LATHSAT_LOCUS15963 transcript:rna-LATHSAT_LOCUS15963 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPQLQQQSPSFLDALLCEEHNFEDDDSDATVTDSEDPSGAKLLSLPFVLLHNDVFWESDELVSLISKEGETRLCCGNLVGDGSLEEIRVEAVNWISKVCAHYGFSTLTTVLAVNYFDRFITSLKFQKDKPWMTQLTAVACLSLAAKMEETHVPLLLDFQVEESRFVFEAKTIQRMELLVLSTLKWRMHPVTPISFFEHIVRRLGLKSRLHWEFMWRCERVLLHVIADSKVMMSYLPSTLAAATMIHVIKEIEPFNASEYINQLLGLLKISEEQVNQCYKLMLKLLVSGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTVASSVSLSVQPMFKRSRAQDQQMRLPSVNRVSIDVLNSPR >CAK8544593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694341531:694358485:1 gene:gene-LATHSAT_LOCUS13254 transcript:rna-LATHSAT_LOCUS13254 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGKTKRIAIIGVSTFLLVAMVAVAAVSVSLGKKEAIEETNDSHVSSSMKAVKSLCAPTDYKEECEESLTSQAGNTTDPRELIKIAFNITITKISKELENTQLLKDLEKDPRTSDAYDTCKELMHHSIEEFKRSLESFSQFDLNNLDRIFASLKVWLSGAITYQETCLDAFENTTGDAGQKMQEVLKASMHMSSNGLSIINELSRFLTSMKPPSRRLLDESDVDPDVIGHGDFELPEWVEDRVGVRKLLKMTGRKLNAQLVVAKDGSGNCTTINEALTFVPKKNKRPFVIYIKEGVYSEYVEVPRNLTHVVFLGDGAKKSRITGNKNFIDGIGTFRTATVAVLGDFFVALGIGFENTAGAIKHQAVALRVQSDRSIFYKCRMDGYQDTLYAHTMRQFYRDCTISGTIDFVFGDAVAVLQNCTFVVRRPMENQQCIVTAQGRKEKTQPSGLVIQGGSIVSDPAYYPVRFDNKAYLARPWKNFSRTIFMDTYIGDLITPDGYMPWQTLTGITGTETCFYAEYNNRGPGADVSKRVKWTGVKTITSEGVTGFLPSRFFLGDDWIKVTSVPYYPGGATGSSRVPTH >CAK8544594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:694341531:694343389:1 gene:gene-LATHSAT_LOCUS13254 transcript:rna-LATHSAT_LOCUS13254-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGKTKRIAIIGVSTFLLVAMVAVAAVSVSLGKKEAIEETNDSHVSSSMKAVKSLCAPTDYKEECEESLTSQAGNTTDPRELIKIAFNITITKISKELENTQLLKDLEKDPRTSDAYDTCKELMHHSIEEFKRSLESFSQFDLNNLDRIFASLKVWLSGAITYQETCLDAFENTTGDAGQKMQEVLKASMHMSSNGLSIINELSRFLTSMKPPSRRLLDESDVDPDVIGHGDFELPEWVEDRVGVRKLLKMTGRKLNAQLVVAKDGSGNCTTINEALTFVPKKNKRPFVIYIKEGVYSEYVEVPRNLTHVVFLGDGAKKSRITGNKNFIDGIGTFRTATVAVLGDFFVALGIGFENTAGAIKHQAVALRVQSDRSIFYKCRMDGYQDTLYAHTMRQFYRDCTISGTIDFVFGDAVAVLQNCTFVVRRPMENQQCIVTAQGRKEKTQPSGLVIQGGSIVSDPAYYPVRFDNKAYLARPWKNFSRTIFMDTYIGDLITPDGYMPWQTLTGITGTETCFYAEYNNRGPGADVSKRVKWTGVKTITSEGVTGFLPSRFFLGDDWIKVTSVPYYPGGATGSSRVPTH >CAK8540577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11197621:11199630:-1 gene:gene-LATHSAT_LOCUS9570 transcript:rna-LATHSAT_LOCUS9570 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLSASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERFAQGPGEKQPAPNAPPAATATPQPAQAPKKTKK >CAK8542905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:557723028:557726581:1 gene:gene-LATHSAT_LOCUS11703 transcript:rna-LATHSAT_LOCUS11703 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHEKRPVVLPNSKFKGSSSKDLLCEHRDSDDSEDDTSADSQDELESFSDIDDREIDRYLFNEEEKNYKKKIWENTNREYLLEQVAKELAASMPKSRKGMKQRQTQQAKNPEPAQSAAVATCQTVKTKRLSNKVNHDCLAKLFEDEPAGERNPKRVRFDLPSDNQSKVDDDQEDDELGSENYNEDGDKDWYNNENMDDAYYPDEDGYNYYDEDHY >CAK8542568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526262402:526262874:-1 gene:gene-LATHSAT_LOCUS11396 transcript:rna-LATHSAT_LOCUS11396 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFTSQPVLFLLVGNQDLWKCFDLFVPMSKCPFLPPWSVVRSQVCSGVFTFVWNLNSAQMEVTGQVTKQVYGLRHEQGCGMITLI >CAK8534320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716107904:716111304:1 gene:gene-LATHSAT_LOCUS3872 transcript:rna-LATHSAT_LOCUS3872 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTFLLLIAISLLLFSKPSLTIFVSINFGSSKSFIDENNIKWSGDYDYIQNGVPQEVYLGSSSPLSTLRFFSTRKKNCYSIKVPRGEKVLARASFYYGNYDNKFSPPIFDLQFDGNYWASVNTSSYYYVDYEAIYVTKGNFTSICVAQTKDKQFPFISSLEVRSLDPIMYSHVDSNHALILQWRYAFGGNQTIRYPDDIFDRIWTPAYGILLSEVKSEESNIDITTAEDRPPKSALQNAIVSSSTNAYIQFINRLPKDERPVYINAYFSEVMESAFGKRSIQMYIDNKPFLSPIVPPLGSVKEVYVANITASANTTFILQASDSSTFPPILNALEVFTISDAFNAGTDSKDVEGLLELQFAFEVLKDWSGDPCLPYPYNWDWIQCTSDAKPRVIALYLSDYELQGTLPDFSSMTALETIDLQNNTIEGPIPNFLGLLPNLKTLNLSYNRFNGSIPASLVNKNIEIDTKNNCFSGMKCEPLKDSPSKEKAPVSEDEPNIIDSSPLPEFSSGDGSVKNNKLKKLFILAMQALLPMIFFKFI >CAK8530241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9060687:9063829:1 gene:gene-LATHSAT_LOCUS123 transcript:rna-LATHSAT_LOCUS123 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVTAALGIKSYDKVADICDNLMLQVAADGVAFHNDWPYAVHLLSHFYVHDINSARFLWKTIPSSIKESKPEVTAVWKIGQQLWLRNYGGVHEAIRGYEWSQELQGFISAFSELYTKEVFQLLVSAYSTISIEDAALFLGMSKDDATSYVLQQGWTVDSSSGMLTVKKQPVVTEQKLDPRKLQQLTEYVFHLEH >CAK8571388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395989720:395990832:-1 gene:gene-LATHSAT_LOCUS24055 transcript:rna-LATHSAT_LOCUS24055 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLILTCHYNGVFTTNSPDGFSFSNTDTYAFKIHVNFDFFHLKDRMEKKLTRSVSEIFYRHPTLIEDERTIFYLMTPIRNDEDVIAMFRCHTMFDNLHTIELYVRLIDNPETFPTQETQSHCYGYSQTSDDEPTQNNLPFIPNEEVGEASDDDIQEVRMQDIFGDSDDEDNEDIVVTPIRAQPISLYNPPAHMQNICDEHDNTTSVFENATQNHVGDEIEVGMEFDDKEAYVFALQHWHITHSVDYWVYKSDNKRYVIKCKKQDCGFKCRASLRKRSSKWVIGKLSGAHTCSSTSMAQDHRKLSSEMASHSIRELVNTDASLKVKVIIAHILEKYGYIVSYRKAWIAKCKAVESLFGNWETSYNDLP >CAK8574659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:5840079:5842425:1 gene:gene-LATHSAT_LOCUS26984 transcript:rna-LATHSAT_LOCUS26984 gene_biotype:protein_coding transcript_biotype:protein_coding MNYACYHMGSGSRTDRKTLEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSYQLLESLPLPNVKWICPTAPTRSVAILGAFSCTAWFDMGELSEDGPVDWEGLDASAAHIANLVSAEPPDVKIGIGGLSMGAATALYSATCFAMGKYGNGTPYPINLRAVVGLSGWLPGSRSLRSKIEVSHDAKRRAASLPIFMCHGISDDVVLYKYGEKSAQSLSSAGFQYISFKSYDGVGHYTVPREMADVTNWLGSRLGLEGPL >CAK8577586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576355136:576357207:-1 gene:gene-LATHSAT_LOCUS29678 transcript:rna-LATHSAT_LOCUS29678 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLKSLIRAPVSPRFGIGHLSVFPRIYSSSTANVQPQTNLDSLNIAGDITELIGKTPMVYLKSVTKGCVATIAAKLELMEPCCSVKDRIGYSMILDAEKKGLITPGKSTLVEPTGGNTGIGLAYIAATKGYKLILTMPVSMSLERRILMRAFGAELVLTEYSKAMTGAVRKAEEIVKNTPNAFMLQQFDNPSNPKVHYENTGPEIWEDTKGKVDILVSAIGTGGTLSGAGRFLKEQNPNIKVIGVEPLESNILSGGQPRPHIIQGIGAGFVPKNLDKKIMDEIIAISGEESVKTAKTIALQEGLLVGISSGCAATAALQVAKRPENEGKLIVVVFPSFGERYLSTSLFQEVREECEKMEAVP >CAK8537306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:218837186:218838109:-1 gene:gene-LATHSAT_LOCUS6605 transcript:rna-LATHSAT_LOCUS6605 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFWLLTLLSLSCLLGFNAQAPATAPSKLPPTTPTATPPVITQPPTVVASPPISTQPPANVAPKSAQVTSPAPKVSPPSSPIVPPLQPPKTSPVSTPTLPPPLPPPTTLPPQNISPLPVQTPPAPAPVKATPTPAPAPIKQAPAPTPITSPPLPAPTPAVNAPAPAPESPKHKKGRHKHKHRRHHAPAPAPTIIHKSPPAPPTDTKADDDTTPAPAPSLNLNGAPSNHHQGRNIWATAGFAITVFLAITVYSS >CAK8579646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714436123:714437692:-1 gene:gene-LATHSAT_LOCUS31576 transcript:rna-LATHSAT_LOCUS31576 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVIVWNLLPLLVLLFAFYFFFLRRSSMAEKSSKSIYDFTVKNISGNDVSLSEYSGKVLLVVNVASQCGLTQTNYKELNELYEKHKNNGFEILAFPCNQFRSQEPGSSEEIQNVVCTKFKAEFPIFEKVEVNGKNADPLYKFLKDQKGGIFGDGIKWNFTKFLVNKEGKVVERYAPTTSPLKIEKDIAKLLKS >CAK8538707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493461896:493468192:1 gene:gene-LATHSAT_LOCUS7879 transcript:rna-LATHSAT_LOCUS7879 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEVVFIPSPGVGHLVSTLEFAKLLISRDNRLRITILLMNFPHTAETETDVYTNSLPISDSLHVVNLPECSLPPTSDITSSMTTLLEAQKPNVKQAVTNLTTERGENGVLAAFVVDMFCTTMIDVAKEFSVPTLVFYTSSVAFLGLVLHLHTLRERDNVDSDQLLQLAELDVPSFANSVPSKSLPTITLRKEFDSFFMSYANGLKNADGIIVNSFEELESHAVQSFLSHPGFSGLSIYPVGPILNPEPKTEGTIDSVDVIKWLDDQPLFSVVFLCFGSTGCFDEDQVKEIALAIENSGARFMWSLRKPPLKGTMALPSDYPLSDLDSVLPEGFLDRTREIGMVIGWAPQAQILAHRAIGGFVSHCGWNSTLESIYFGVPIATWPLFAEQQSNAFELVFELKMGVEIASDYRVEVNSGPNYFVTADKIERGIRSVLDKDGEFRKKVKVISEKSRKTLLEGGSSYIYLGRLIDYIVNQVSN >CAK8538708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493466753:493468192:1 gene:gene-LATHSAT_LOCUS7879 transcript:rna-LATHSAT_LOCUS7879-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEVVFIPSPGVGHLVSTLEFAKLLINRDNRLHITILIMKYSNTTETDVYTKSLPISDSLNLINLPECSLPPNMDRRSAMIALIEAQKPNVQQAVANLTTERGENGVLSAFVVDMFCTTMIDIAKEFSVPTLVFYTSGVAYLGLVFHLHTLRERDNIDSDQLLQLAELDVPSFANSVPSKSLPTVVLRKEWESAFMNYAEGLKNADGIIVNSFEELESYAVQSFLSHPGFPGLSIYPVGPILNPEPKTEGTIDSVDVIKWLDDQPLFSVVFLCFGSTGCFDEDQVKEIALAIENSGARFMWSLRKPPLKGTMALPSDYPLSDLDSVLPEGFLDRTREIGMVIGWAPQAQILAHRAIGGFVSHCGWNSTLESIYFGVPIATWPLFAEQQSNAFELVFELKMGVEIASDYRVEVNSGPNYFVTADKIERGIRSVLDKDGEFRKKVKVISEKSRKTLLEGGSSYIYLGRLIDYIVNQVSN >CAK8543839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643103956:643109319:1 gene:gene-LATHSAT_LOCUS12567 transcript:rna-LATHSAT_LOCUS12567-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSNGNMNPSSSSSGPTQSQGLKTYFKTPEGRYKLQFEKSHPAGLLQFNHGKTVSLVTLAHLKEKPAPSTPTASSSSFSASSGVRSAAARLLGGSNGSRALSFVGGNGSSKSNGVTGRIGSIGTSSSSSSVANPNFDGKGSYLVFNAGDAILISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLFSGDVYSVSLRQQLQDVGKKIVGAHHYNKDGILNSSRCTCISWVPGGDGAFVVAHADGNLYVYEKNKDGAGDTSFPILKDPTQFSVSHARYSKSNPVARWHICQGSINSISFSADGAYLATVGRDGYLRVFDYAKEHLISGGKSYYGGLLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHSSWVSGVAFDSYWTSPNSNDNGETIMYRFGSVGQDTQLLLWDLEMDEIVVPLRRPPGGSPTFGSPTFSAGSQSSHWDNAVPLGTLQPAPSMRDVPKISPLVAHRVHTEPLSSLVFTQESVLTACREGHIKIWTRPGIAESQPSNTETLLATSLKEKPSLSSKISSSSYKQ >CAK8543838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643103953:643109319:1 gene:gene-LATHSAT_LOCUS12567 transcript:rna-LATHSAT_LOCUS12567 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSSNGNMNPSSSSSGPTQSQGLKTYFKTPEGRYKLQFEKSHPAGLLQFNHGKTVSLVTLAHLKEKPAPSTPTASSSSFSASSGVRSAAARLLGGSNGSRALSFVGGNGSSKSNGVTGRIGSIGTSSSSSSVANPNFDGKGSYLVFNAGDAILISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLFSGDVYSVSLRQQLQDVGKKIVGAHHYNKDGILNSSRCTCISWVPGGDGAFVVAHADGNLYVYEKNKDGAGDTSFPILKDPTQFSVSHARYSKSNPVARWHICQGSINSISFSADGAYLATVGRDGYLRVFDYAKEHLISGGKSYYGGLLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHSSWVSGVAFDSYWTSPNSNDNGETIMYRFGSVGQDTQLLLWDLEMDEIVVPLRRPPGGSPTFGSPTFSAGSQSSHWDNAVPLGTLQPAPSMRDVPKISPLVAHRVHTEPLSSLVFTQESVLTACREGHIKIWTRPGIAESQPSNTETLLATSLKEKPSLSSKISSSSYKQ >CAK8533964.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676322103:676326222:1 gene:gene-LATHSAT_LOCUS3546 transcript:rna-LATHSAT_LOCUS3546 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIISCSIGNASIIPGVAYSTRKNNTLTRLSFSRSSLKQGSSTRRFLFPSFVVNGVFPQNKRICSYRRKSRTSISATETEAPVEVTGLPIADEVSSESPSVEVVKSVDSSPKSDENTSSVKAKRVIPRRKSEMPPVKKEELIPGAEFTGKVVSIRTFGAFVDIGAFSDGLVHISMLSDSYVKDVASVLSVGQEVKVKLIEVNDETKRISLSMRENADTGKRNDAPNTTGRYNPDRRDPSKSGTGKRNDAPNTTERYNPDRRDPSKSGTKKQTKLSVGQELNGTVKNAIRSGTFISLPEGEEGFLPINEESDNPVTKVMGKSSLKDGQEVSVRVLRITRGQATLTMKKEGAVPESGSPYELQKSMGVGTNPFTMAFRKNKDIAKFLDEREKVQSEGKSSATKTEEDVGTSSSVGSSTTVADDESSQGSIINGDAEKETEEASEGDISAVNPIVEEAIQTDITSDVETESPVEATDENVIESGVDQIVAEDEKQSEADNEKEELVAETQTDNEAVEPVPVVTESDITSSAPALQETAENKDNVEAVPENNDNVEAVPENNESDLSPEGSLNKDGTEENNQIPSPESPPTEEVQEEQTPVAAEVEEENNQIPSPESPPTEEVQEKQTPVAAEVEEVAIASETNSTLSSSNEQTEITASDEGSSKATISPTLVKQLRDETGAGMMDCKNALSESEGDIIKAQELLRKKGIASADKKAARATAEGRIGSYIHDSRIGVLVEVNCETDFVSRGEIFKEVVEDIAMQVAACPQVEYIATEDVPEEFVNKEKEIEMQKEDLASKPEQIRSRIVEGRIRKRLEELALLEQPYIKNDKVPIKDWVKQTIATIGENIKVTRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPVTTPVKEEPAAAEVKETEQKKPAVSISASLVKQLREETGAGMMDCKKALAETEGDLEKAQAYLRKKGLSSADKKSGRLAAEGRIGTYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEDIPEAIVKKEKELEMQREDLASKPENIRERIVEGRISKRLGELALLEQPFIKDDSVLVKDLVKQSIAAIGENIKVRRFARFTLGETVEKETTVAA >CAK8571879.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:488650695:488653404:-1 gene:gene-LATHSAT_LOCUS24502 transcript:rna-LATHSAT_LOCUS24502 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFRINCNRNNNNNDFLPTTNNATSRLPESPRIPMEFLSRSWSASAFEVSKALSPQPPPPPSSFCLPSNKPSTISIPEETMSGNQFSFATSATSQLVLERIMSQSAREEVSPLTSGRLSHSSEPLNGGGSLTGTDSPPISPSDEFDDVIKFFRANNSIHALFNGTRAMSSGIGNATPSSGPKTVGRWLKERREKKKEENRSHNAQVHAAISVAAVASAVAAITAATAASSASNKDERMVKTDMAVASAATLIAAQCVEAAEAMGAEREHLAFVVSSAVNVRSHDDITSLTAAAATALRGAATLKARALKEMWNISAVTPLEKSIGIGICGGKANNNSSSSTSDSGGEIINADNFLSACSQELLARGTELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSRHVAGTITKKKKNIVLDVCMNLAAWPGRHLLEDGEKRRYFGLKTESRGLVEFECRNQREYELWTQGVSRLLSIVSHRKNRNGI >CAK8533681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:649217238:649219667:-1 gene:gene-LATHSAT_LOCUS3286 transcript:rna-LATHSAT_LOCUS3286 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFSSSSLHSIRLSSSSFPVSSSSSQSLQFSTFPCNPRLKALSIKKNRCLLVVKAVEDETQQQQEQGVTEIEQQQEPSSTSEQQPVVVPISPSDTLTMRFQAEGILKEAAVPPLTKALEEMEGVKNLKVNVYEGLATLELEKQTTIQATGVASGLVETIQGLGFKLQTLNLSFDDEAELVAVA >CAK8575833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:332814882:332817310:-1 gene:gene-LATHSAT_LOCUS28064 transcript:rna-LATHSAT_LOCUS28064 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTQAGKNGVRKRSKDHHHHNNNNKHNKTAHDQEHEAPTPTARRSSVTSRPLNVVTNPSPGSIFDKYELGKELGRGEFGVTVHCMDLQTGEAFACKKISKTKLRTEIDIQDVRREVQIMKHLPQHPNIVAFREAYEDKDAVYLVMELCEGGELFDRIVAKGHYTERAAANVAKTILEVCKVCHEHGVIHRDLKPENFLFSDASETASLKAIDFGLSTFYVTGDRFNEIVGSPYYMAPEVLRRNYGQEIDIWSTGVILYILLCGVPPFWAETEEAIAQAIIRGNVDFTRDPWPKVSEEAKYLVKRMLDPNPYTRITVQEVLDHTWIQHREHGRNVSLGDHVRMRIKQFSLMNRFKKKVLRVVADNLPDEQIDGLRKLFDMMDKDNDGLLTFEELKDGFSMIGQVIPDPDIQMLIDAADSDGNGNLNCEEFITMSVHLRRIGNDEHLSEAFNFFDKNKSGYVEFDELKDALSDDGSTDDQVVRDILNDVDLDKDGRISFEEFKAMMTTGGDWKMASRQYSRAMLNALSFRMFKDKSAGVVTN >CAK8532356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249393754:249395214:1 gene:gene-LATHSAT_LOCUS2072 transcript:rna-LATHSAT_LOCUS2072 gene_biotype:protein_coding transcript_biotype:protein_coding MIISWNVMGLNKAGKVREISSSLRNLDPAITVLIETRVKKQKVVGIRKKLKMRGSYMDNYAQHDNGRIWIHWDDNSRQVEFVASTDQMIHCKVNDANDNFMFWMTAIYAQNQLHHRKKLWQDIEKICANQTGPWMLIGDFNNVMKIEDRIGGNEVTENEYKDLIEMMSKTELYALDHQGDYFTWSNKQEHNAIYSRIDHMLGNADWMQQNDNTTLTHENPNISDHSMLILNDNMPKTRANRVFKFINCSTDLDHFWDTVSDSWNMPMEGSLMFIVWRKLLRLQPHIKSLSKPLADVTNKILQTREKLTVAQTDLAHSRLNGNKIRQVKEYTAELLDWQEKEESMLKQRVKIEWLRHCDGNNKYFHASIRMRQQFKTMKKIQMEDGSYATDQKGLENAVLEFYTKLMGTRSNDLEAIDTSAMRAGSQLTENQRTMLSEPVTEKEIKDALDGIGNDKAPGLDGFGAYFFKQAWQIVKADVITTINDFF >CAK8560440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19423667:19424302:1 gene:gene-LATHSAT_LOCUS14109 transcript:rna-LATHSAT_LOCUS14109 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHIFLFFFSLTSFYIPHATSSNDFCVANLLLPTTPSGYQCKPEDNVTVNDFVFSGFVAGSTENPFKVGFTSAFVTELPGLNGLGVSAVRADMEINGTVPMHSHPDATELVINFEGEVTVGFISPNKVYLKVLKPGDVMVIPKGLLHFLVNTGATKGTGFAVFSSANPSVQMFDNLLFGNDLSSAIISQTTLLDVSQIKKLKAQFGGSG >CAK8569015.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662919926:662924192:1 gene:gene-LATHSAT_LOCUS21913 transcript:rna-LATHSAT_LOCUS21913 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMFLILVFLVLYVEADDDGFVKTRGVQLMLNETPYYANGFNAYWLMYIASDPSQRNKVTSTFQEAVNHGLNIARTWAFSDGGYKPLQYSPGSYNEEMFQGLDFVMGEARKYGMKVILSLVNNYESFGGKKQYVEWAKSEGQSINSEDDFFTNDVVKGYYKNHIKTVLTRHNNFTGVAYKDDPTIMAWELMNEIRCSSDHSGNSVQGWISEMSSYLKFIDGNHLLEAGLEGFYGQSKTESNPNFQVGTDFIANNQISNIDFATLHSYPDQWLSSSGYEDQLSFLSEWLNEHIQDAQNILHKPLLFAEFGISTKNLGNNSSTLRDKFFNTVYSAVYSSASGGGTAVGGLFWQLLAQGMDSFRDDYEVILAESPSTSTLISQESRKLRRIRKMYAKLHKH >CAK8575413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:113376287:113376970:1 gene:gene-LATHSAT_LOCUS27682 transcript:rna-LATHSAT_LOCUS27682 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVDKLVIFLAKRDGIDKLVKTFQYVSKLANWRVEATHPDISKRFKNWEVAAGLSRKAFRTGRFLTGFNTLRRNPGSTPVLRLLAVLSNSGEMVYFFFDHFLWLSRIGTLDAKLAKKMSFISAFGESFGYVFFIITDFIFLKEGWKAERKLKSSEGKEKSEENEKEIQKIKSDRIMRLMAVAANVADLIIGLAEIEPNPLCNHTLSLGISGLVSAWAGWYRNWPT >CAK8565460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:222234396:222237728:-1 gene:gene-LATHSAT_LOCUS18676 transcript:rna-LATHSAT_LOCUS18676-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVPFAQKFPNADPLALRLLERLLAFDPKDRPTAEEALANPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRKQFAHLEENGKNGPVIPLDRKHVSLPRSTIVHSSSVSQKEQTSIASNKNRPTPEEYNKITRDTEISMPRTIQGPQRIPISKPGKVVGPVVPYEYGNIVKDSYDPRAFIRGSSVLPPQPVPPAYYYQRPLSSTSTQERSATEADKGVVLQAKHGQQCGVNAKMGPDIAINIDTNPFFMTRVGVNKLEKDDQITIETNLLQSKAAQYGGVGAAAGATAHRKIGTVQYGMTRMF >CAK8565459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:222234396:222238922:-1 gene:gene-LATHSAT_LOCUS18676 transcript:rna-LATHSAT_LOCUS18676 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEHKKKNSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVPFAQKFPNADPLALRLLERLLAFDPKDRPTAEEALANPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRKQFAHLEENGKNGPVIPLDRKHVSLPRSTIVHSSSVSQKEQTSIASNKNRPTPEEYNKITRDTEISMPRTIQGPQRIPISKPGKVVGPVVPYEYGNIVKDSYDPRAFIRGSSVLPPQPVPPAYYYQRPLSSTSTQERSATEADKGVVLQAKHGQQCGVNAKMGPDIAINIDTNPFFMTRVGVNKLEKDDQITIETNLLQSKAAQYGGVGAAAGATAHRKIGTVQYGMTRMF >CAK8578660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:644654537:644658034:1 gene:gene-LATHSAT_LOCUS30652 transcript:rna-LATHSAT_LOCUS30652 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSISTTTTTSGHYSALSLPIRTTQINNSKQNPTYFLPTTKFFSSRNPFSCSFSNTQFSKPFLFVVKASSDVGSEASKKEGEEEPYEEYEVEIDQPYGLKFVKGRDGGTYIDAIAPGGSADKAGVFTVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMRMQKRYGKIDTGGEFTEKEIIRAERNSGVVSSKVREIQMQNYLRKKELKERRETDLREGLRLYKNAKYEEALEKFESVLGTKPEPDEAAVASYNVACCYSKLNQIQAALSTLEEALKSGFEDFKRIRTDPDLANARASPEFDPLLKRFDESFINENAINAIKSIFGIFNKK >CAK8560794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:43311267:43315089:-1 gene:gene-LATHSAT_LOCUS14441 transcript:rna-LATHSAT_LOCUS14441 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTKDEASSSSSSSILTSKWTNHVFLSFRGEDTRQDFTGHLFASLEIRGIKTFKDDHDLNRGEVISVELNKAIEESMFAIIILSPNYASSTWCLDELQKIVECSKNFGQAVFPIFYGVEPSDVRHQRGSFHEAFRKHEEKFRKDRNKIKRWRDALREVASYSGWDSKGWNEASLVETIAEHIHKKLIPKLPVCKDNLVGIDSRIEEIYSLLGMGLSDVRFIGIWGMGGIGKTTIARSVYDAIKGEFKVSCFLADIRETISKTNGLVIIQSELLSHLKIRSNDFYNVYDGKKILANSFNNKKVLLVLDDVSELSQLENLAGKQEWFGPGSRVIITSRDKHLLTTHGVHETYKAKGLVKNEALKLFCLKAFKQNQPKEEYLSLCTEVVKYARGLPLALEVLGSHFHGRTLEVWHSALEQMRNVPHSKIHDTLKISYDGLQTMEKDMFLDIACFFKGMYIDEVIEILEDCGYYPGIGIDILIERSLVSFDRGDKKLWMHDLLEEMGKNIVCQESPNDPGKRSRLWSQKDVDQVLTRNKGTDKIQGIAMNLVEPYEARWNIEAFSKLSQLRLLKLCEIKLSDGLNCFPSSLKVLDWRGCPLKTLPLTNNLDEIVNLKLYHSKIEQLWHGTQFLENLKSINLSFSKSLKRSPDFVGVPNLESLVLEGCTSLTEIHPSLLSHKKLILLNLKDCKRLKALPCKIEMSSLKGLSLSGCCEFKHLPEFDESMDNLLKLCLEETAIKKLPSSLGFLVSLVILDLENCKNLTSLPDTISELKSLLILNVSGCSRLRSFPEGLKEIKSLEELLANETAVEELPSSVFYLENLKVISFSGCKGPVSKSVNTFLLPFTELLSSPQEPTGFRLPPKLCLPSLRNLNLSYCNLSEESMPKDFSNLSSLVVLDLTGNNFVRPPSSISKLPKLEYLKLNCCKMLQKFPEFPSSMRLLDASMCASLETSKFNLSMPCSLFASMIQLNSHLPILLKKFLEAHQHGLPKARFDMLITGYEIPSWFTPSKYVSVTNMSVPHNCPPTEWVGLALCFMLVSFDDEPEICNHEVSCYLFGPNGKLFIQSRDLPPMEPYVRHLYILYLTIDECRDRFYEGGDCSEIEFVLKTYCCDSLQVVRCGCRIVSKQDVEDMYNNHY >CAK8541456.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:179647892:179648503:-1 gene:gene-LATHSAT_LOCUS10376 transcript:rna-LATHSAT_LOCUS10376 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFQAINPLSISATDYPRFPFLNSHPTPSTRTQLKPIFLSPFSPKSSKTTYANNIVSAALSSSFNGRPGPPSKGHSFYKELQFDNTTENDFELELELERNPIDEGSSKETDGSIPLDENDDKGSGKRENEMREDDLIRVCDDGEGDEGVDLRKDDKVEKFGGNFRLRKGKQVIRAKQVISIQSALSLGFVSQFWVDTTSVSK >CAK8575893.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:350879207:350880201:-1 gene:gene-LATHSAT_LOCUS28118 transcript:rna-LATHSAT_LOCUS28118 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRCFARKWRTHCLASLFLRHFTSNSTKSSNPIRDVSTPLSLRQTVTAFEYKNTCGGFREYHDGRPRGPLWRGKKLIGKEALFVISGLKRFKDDEDKLPKFIKTHVLRLLKMDLIAVLTELERQQEVSLALMVFKVMQKQDWYKPDIFLYKDLIIALARAKRMDDVLQLWESMKKENLFPDSQAYTEVIRGFLSSGSPADAMNIYEDMKNSPDPPEELPFRILLKGLLPHPLLRNKVKQDFEEIFPDSSIYDPPQEIFGAR >CAK8579502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704357229:704357708:-1 gene:gene-LATHSAT_LOCUS31446 transcript:rna-LATHSAT_LOCUS31446 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSANSHHAQAPVCGCNRSMKMFMSNSVENPKRRFWKCQNSRLIMSGCNLVIWDDDLERNPASDLRSSSGCNCSKMLKDLGSIVKEIDIGEKAKMKTKLEKDKRKATLLKLLLVMSWGSYFLITNGGESHLLMSIFCFLFLVFVTLMYFEIGHCTI >CAK8576660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:502845782:502847508:1 gene:gene-LATHSAT_LOCUS28833 transcript:rna-LATHSAT_LOCUS28833 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLNNGFKMPIIGLGVWRIQGQEIKDLIINSIKIGYRHFDCAADYKNETEVGEALKEAFDTGLVKRDELFITTKLWNSDHGHVVEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTDSALGEDGVLDIDTTISLETTWHAMEGLVSSGLVRSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGAAANTEWFGTVSCLDDQALKGLAEKYKKTAAQIALRWGIQRNTVVIPKTSKLERLKENFQVFDFELSKEDTDLISNMDKKYRTNQPAKFWGVDLYA >CAK8562597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514182353:514185252:-1 gene:gene-LATHSAT_LOCUS16082 transcript:rna-LATHSAT_LOCUS16082 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGIVEEDTQVSSSDNIHPRLDVYVWDMDETLILLNSLLKSSYAEAFNGLKDAQKGLELGKMWENLILQLCDDNFFYDQIENYNKPFLDIMSKYDDGRDLSDYDFNKDELGPPHDDVNKRKLAYRHRVIAQKYLQGLHNILDHETIKVWDDLYGKTDEYTDKWLSSARAFLEECSGEKKDVVSSTAYGNTSTDSTNAKHQRVNVLVTSGSLIPSLVKCLLFHLDSLITHENVYSSWDVGKTQCFRWIKERFNHSNVRFCVIGDGWEECEAAEIMRWPFIKIDPRPGKPHRFPGLTSKTVGHYFSVVYPNNKINEE >CAK8534813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:771014285:771015041:1 gene:gene-LATHSAT_LOCUS4325 transcript:rna-LATHSAT_LOCUS4325 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVILLDYWPSPFGMRLRIALAEKGINYEYKEEDLRNKSPLLLQMNPIHKKIPVLIHSGNPICESLIAVQYIDEVWNDRSPLLPSDPYQRSQARFWADFVDKKIYEIGKNLWTKKGEEQEAAKKGFIEALKLLEQELGDKTYFGGDKLGFVDVALIPFYTWFKVYETFGNLNIEKECPKFIGWAKRCIKIESVSKSIPDQDKVYQFVVEVRKKMGIE >CAK8534138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698203602:698207547:1 gene:gene-LATHSAT_LOCUS3705 transcript:rna-LATHSAT_LOCUS3705 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRKTRTMFEDLVKDGSLKWLLGKKSSFDEEFEEMENSPSAGKNFIRELSPVANLVVRRCSKILKTTSSDLQESFNHEASDSIKIPSRYARNLLEYCCFKALSLRAQMSGHLLDKTFRRLTYDMMLAWEVPAATSQPLINKVDEEVSVGLEAFCRIAPAVPIIANVIICENLFEVLSSSTGGRLLFSVYDKYLSGIEKAIKKMKSNSESSLLSAIRLNRCEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEELRVVSYDKPKRYDLSDDLDQVVKPELTGPWGTRLFDKAVFYSSTVLSEPAVLEFPELKGHARRDYWLAIIREILCAHKFISKYGLKGVARDEAIWKAVLGILRLQAIQDISSLGPIENNSLLMFNLCDQLPGGDLILETLVNMSNSRESDRVNDSKPENEMYSISVLDMVSNLGFVFGTSSNESRIAVGEITVGEMTPLERVVKESKNNYKKVVSAQATVDGVKVDGIDTNLVVMKELLYPLDELRKSLQSLAYWDDPLKSSGFCLFFGYIIWRGWLGYAAALFLVFLSIFMILTKCFNQGRPVTEIKVVAPPPMNTMEQLLAVQNAVSQAEQLIQDGNIFLLKIRGLLFSIFPQATEKMAFGLVSAALVLAFLPCKYVVLLLFLGTFTMYSPPRKASTEKWERRLREWWFSIPAAPVKLERDKEDKKRK >CAK8534137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698203602:698207547:1 gene:gene-LATHSAT_LOCUS3705 transcript:rna-LATHSAT_LOCUS3705-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRKTRTMFEDLVKDGSLKWLLGKKSSFDEEFEEMENSPSAGKNFIRELSPVANLVVRRCSKILKTTSSDLQESFNHEASDSIKIPSRYARNLLEYCCFKALSLRAQMSGHLLDKTFRRLTYDMMLAWEVPAATSQPLINVDEEVSVGLEAFCRIAPAVPIIANVIICENLFEVLSSSTGGRLLFSVYDKYLSGIEKAIKKMKSNSESSLLSAIRLNRCEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEELRVVSYDKPKRYDLSDDLDQVVKPELTGPWGTRLFDKAVFYSSTVLSEPAVLEFPELKGHARRDYWLAIIREILCAHKFISKYGLKGVARDEAIWKAVLGILRLQAIQDISSLGPIENNSLLMFNLCDQLPGGDLILETLVNMSNSRESDRVNDSKPENEMYSISVLDMVSNLGFVFGTSSNESRIAVGEITVGEMTPLERVVKESKNNYKKVVSAQATVDGVKVDGIDTNLVVMKELLYPLDELRKSLQSLAYWDDPLKSSGFCLFFGYIIWRGWLGYAAALFLVFLSIFMILTKCFNQGRPVTEIKVVAPPPMNTMEQLLAVQNAVSQAEQLIQDGNIFLLKIRGLLFSIFPQATEKMAFGLVSAALVLAFLPCKYVVLLLFLGTFTMYSPPRKASTEKWERRLREWWFSIPAAPVKLERDKEDKKRK >CAK8576372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:467763143:467765220:1 gene:gene-LATHSAT_LOCUS28564 transcript:rna-LATHSAT_LOCUS28564 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGALVSDQWLQSQFTQVELRTLKSKYVSQRTLSGRVTVGDLPHVFNKLKAFFELFTDDEIKDVLAESYQNMDDEIDFESFLRAHLNLQARAIAKDGGSKSSSSFLRAATSTIHHAINESEKASYVAHISSYLAEDKLMNHLKKAGYEKQVTNFSSDVKDGEAYAYLLSALAPEVAGPGALTTTDPTERAKMVLEQAERLDCKRHLTPKDIVEGSPNLNLAFVAQIFRHRNGLTID >CAK8566735.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:456985483:456991015:-1 gene:gene-LATHSAT_LOCUS19847 transcript:rna-LATHSAT_LOCUS19847 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSIPKTSTPQDVITIVDISNSPLFSITLDQSRNFLANGHPFLTQVPPNITTSTPSSFLNFKSNKDTIANNTTTTTLQQQGCFVGFNTTEPKSHHVVPLGKLKGIKFTSIFRFKVWWTTHWVGTNGQELQHETQILILDKNNSLGRPYVLLLPILENKFRTSLQPGLNNNIDMCVESGSTSVTGLSFKACLYIHLSNDPYRLVKEAVKVIQTHLGTFKTLEEKTPPSIIEKFGWCTWDAFYLKVHPKGVWEGVEALTDGGCPPGFVIIDDGWQSISHDDDPEEEGMNRTSAGEQMPCRLIKYEENYKFRGYKNGGDKGLGGFVRDLKEEFRSIESVYVWHALCGYWGGVRPKVCGMPEARVVVPKLSPGVEMTMEDLAVDKIVENGVGLVPPNLVQEMYDGLHSHLESAGIDGVKVDVIHLLELLSEEYGGRVELARAYYKALTSSVNKHFKGNGVIASMEHCNDFFLLGTEAISLGRVGDDFWCSDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDCVGNHNFKLLKSLVLPDGSILRCQHYALPTRDCLFEDPLHDGKTMLKIWNLNKYAGVLGLFNCQGGGWCPETRRNKSASEFSHAVTCYASPEDIEWCNGKTPMNIKGVDVFAVYFFKEKKLRLMRCSDRLEVSLEPFSFELMTVSPVKVFSKRLIQFAPIGLVNMLNSGGAVQSLEFDDNASLVKIGVRGCGEMSVFASEKPVCCKIDGVRVEFDYEDKMVRVQILWPSSSTLSLVEFLF >CAK8544001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655208827:655255698:-1 gene:gene-LATHSAT_LOCUS12715 transcript:rna-LATHSAT_LOCUS12715 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGKSIRYASDSEDDHDDEKGTEEPELDLSLEKLNLGPKKKLLIMNLNGFLLHRVHVRDKKGIPKSRTADNKYRYFLLFKRPFSEEFMKFCLERFEVGIWSSAMEHNIDGALSCAIGSSKNKLLFVWDQDKCTDSGFKSLENKQKPLFFKELKEVWSRVNKGGPYSASNTLLIDDKPYKAFLNPPNTAIFTESYDAEDKQDKALDPKGELCKYLKGLAEADDVQSYVKENGFGIPAITSSHPDWSFYTRVRSLSINED >CAK8544002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655208827:655255698:-1 gene:gene-LATHSAT_LOCUS12715 transcript:rna-LATHSAT_LOCUS12715-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGKSIRYASDSEDDHDDEKGTEEPELDLSLEKLNLGPKKKLLIMNLNGFLLHRVHVRDKKGIPKSRTADNKYRYFLLFKRPFSEEFMKFCLERFEVGIWSSAMEHNIDGALSCAIGSSKNKLLFVWDQDKCTDSGFKSLENKQKPLFFKELKKVWSRVNKGGPYSASNTLLIDDKPYKAFLNPPNTAIFTESYDAEDKQDKALDPKGELCKYLKGLAEADDVQSYVKENGFGIPAITSSHPDWSFYTRVRSLSINED >CAK8544003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655254400:655255698:-1 gene:gene-LATHSAT_LOCUS12715 transcript:rna-LATHSAT_LOCUS12715-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGKSIRYASDSEDDHDDEKGTEEPELDLSLEKLNLGPKKKLLIMNLNGFLLHRVHVRDKKGIPKSRTADNKYRYFLLFKRPFSEEFMKFCLERFEVGIWSSAMEHNIDGALSCAIGSSKNKLLFVWDQDKCTDSGFKSLENKQKPLFFKELKKVWSRVNKGGPYSASNTLLIDDKPYKAFLNPPNTAIFTESYDAEDKQDKALDPKGELCKYLKGLAEADDVQSYVKENGFGIPAITSSHPDWSFYTRVRSLSINED >CAK8542849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553637520:553639519:-1 gene:gene-LATHSAT_LOCUS11653 transcript:rna-LATHSAT_LOCUS11653 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPSSLYHYSHSHDTSSFKSFWFFIPSTLALLTSLSILFYVYYTSIIFTIHHQHHNLQPIFHFKTPSSQTLLNNDSEFIKSHSFKLDDHGLQSQKESNLHANAITHGSRKFEENNNLFHDKDIFMEDYKEMNTSFKIYVYPHKKDDPFANVLLPVKSEPSGNYASESYFKKALMKSHFITKDPAQADLFFMPFSIASLRHDRRVGVGGIKDFIRDYVQKINQNYPYWNRTGGADHFYVACHSVGRTAMEKAADVKFNAIQVVCSSSYFLSGYIAHKDACLPQIWPRNDVNSFNLVSSNRKKLAFFAGAVNSPLRRIVVETWKNDSEIFVHHGRLKTPYADELLGSKFCLHVKGYEVNTARVGDSLYYGCVPVIVADYYDLPFVDVLNWKSFSVVVTALDIPFLKKILKGVVSSGEYLKLKRNVLKVREQFQWHSPPLDFDVFYMVMYELWLRRSSIPILLEDS >CAK8564814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11193861:11196387:-1 gene:gene-LATHSAT_LOCUS18079 transcript:rna-LATHSAT_LOCUS18079 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIHNHVALFSFIIIFHLVSSTLGDQNSNNNYIIHMNLSAMPKPFSNQQSWYLATLSSLLDITSNQVTTNNDNLNYISSKKLTYTYTNVMNGFSAILSPLELEALKTIPGYISSIKDFPVKPDTTHSPQFIGLNPISGTWPTTRYGKNVIIGLIDSGIWPESESLKDDDMPNIPSRWKGQCENGTQFDSSLCNKKLIGARFFNKGLLASNPNITISMNSTRDIDGHGTHTSTTAAGSKVEGASYFGYASGSAIGVAPQAHVSMYKVLWEEGAYTSDTIAAIESAITDGVDVLSLSLGFDNAPLYEDPVAIATFAAMEKNIFVSTSAGNRGPVLETLHNGTPWVITVAAGTLDREFHGDLTLGNGAVVTGLSLYPGKFSSEKFPMIFMNSCDDLKKLIKARNKIVVCEDKNRTLGAQTDNLDRAKVVGGVFISNSNEDITYYIQTKFPSIFLNPINGELIKDYIKCNPNNPKTSMTFNTTILGTKPAPSVDSYSSRGPSHSCPFVSKPDITAPGTLILASWPQNVPTTKLQTQSNLFNNFNLLSGTSMSCPHIAGVAALLKEAHPNWSPAAIRSAIMTTSNMLDNTKALIKDIGNDNQPASPLALGSGHVNPNRALDPGLVYDAGKQDYVNLLCALNFTHKNIMAITRSSSNNCSNSSLDLNYPSFIAFFNNATVRESKVVTQEFQRTVTNVGEEPTIYVANITPIEGFDVSVVPNKLVFKEKNEKVTYKLRIQGLRMEENNKVVFGYLSWTDSKHVVRSPIVVTSINSELTPP >CAK8569726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9267830:9269681:-1 gene:gene-LATHSAT_LOCUS22544 transcript:rna-LATHSAT_LOCUS22544 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEYNPPQEYNPPQEYNQPPPAVLAAADIEVPVA >CAK8538629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489482599:489485328:1 gene:gene-LATHSAT_LOCUS7809 transcript:rna-LATHSAT_LOCUS7809 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIDSKSVKYMTDMILDHTIFPFISINTTSSDRDLRFLVLDVCIDMPPKIVSDGIWANRDHGVKPMKSTLPLLELQILTIFAVTQCFHLVLKRLGVPYFVSQIMAGLVLGPSLKISNSWNHFKNRLFPYGSEDVIGVLSIIGYGLFLFLNGVKMDVSMITRTGRKAWTIAFCSFGIPTFLGLVVSYLFLEDWQNYLGEYESKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTSMVMDAFYSIVSGLGTAFISSIKTDSHDVDDGKGLGKALLTVFYYFCFLGVTPLLLRPIMKWFVRNTPEGRPMKKTYAYIVFIMALAVGMLGLTARQSVLAGFLILGLIVPDGPPLGTEMIKQLELFSTWFLCPVFVTSCAMKVDIGVHVDSKLILVWVGIIVLVHLFKMLMTIGICWHCNMPKTDGLCLALMLSCKGVVDFCTNVFLHDALLLSSEALSVMTLAVLVMGTTARIGVKFLYDPSRKYAGYQKRSILNLKPNSELRIVSCIHKPSHITPIKNILEICTPTTSNPLVVHVLHLMELVGRSSPIFISHRLQERLGSGHHTFSEDVIVTFDLFEHDNAGIATANTYTAISPLRFMHDDICYLALDKVASIIILPFHLRWAEDGSIESTDENIRALNTKVLERAPCSVAILVNRGDSSSISINDNMKEIALVFLGGPDDREALCLAKRAIKENTFHLVVYHLVSSSKNDEFSSWNVMLDDAILKDVQGSYGSLDNVTYDRVNIENTSDTTAFISDIANQYDFIIVGRRNGIKSPQTAALEDWTEYPELGVIGDLLASPDTNTKASILVVQQQVTFRP >CAK8543425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607205100:607205546:-1 gene:gene-LATHSAT_LOCUS12182 transcript:rna-LATHSAT_LOCUS12182 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSSNAKAHDEATKISSIEEHVPKENMVTFPTEEGHRIKGSTTIKDDEYIGKHKVETPLDNDETFNSFIRRAKNKIRTVTMSKSNIDREHSYKTAPAAPDQEVNVGDNSYKENYQREQFDDFIQIAKKKMRATSSIRNNSFWKKP >CAK8564249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:662498409:662502343:-1 gene:gene-LATHSAT_LOCUS17572 transcript:rna-LATHSAT_LOCUS17572 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPVDFRFPTTNQTRHCFTRYIEFHRCITVKGDNSGECEKFAKYYRSLCPGEWVEKWNEQRDNGTFPGPL >CAK8539156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505665694:505668495:-1 gene:gene-LATHSAT_LOCUS8276 transcript:rna-LATHSAT_LOCUS8276 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFATSAGGGEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPPFLKKFFPAVYKKTVLEKEINSNYCKYDNQGLQLFTSSLYLAGLTSTFFASYTTRTLGRRLTMLIAGIFFIGGVIFNAAAQNLAMLIVGRILLGCGVGFANQAVPVFLSEIAPSRIRGALNILFQLNVTIGILFANLVNYGTNKIKGGWGWRLSLGLAGIPALLLTVGALLVVDTPNSLIERGRLDEGKAVLKKIRGTDNVEPEFLELVEASRVAKEVKHPFRNLLKRRNRPQLVISIALQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTIVSIYTVDKLGRRMLLLEAGVQMFFSQLVIAILLGIKVTDHSSDLSKGYAIFVVIMVCTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKFGIFLFFSSWVLVMSGFVFFLVPETKNIPIEEMTERVWKQHWFWKKFIEDDYDNVDYAKNKSNGYDSQL >CAK8574031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654792378:654794171:-1 gene:gene-LATHSAT_LOCUS26421 transcript:rna-LATHSAT_LOCUS26421 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCKSFYSYTNCTAYSIFYGPQNSGGNVEVPSSLAQCTVYQVAVRDSPTDDPFDFLSPEIQMKVQLSDDCNKCLRHQRGRCQLDIHGNFHCDQGSRSSLVKILVIGVGVVVIVTLLLAVLKIYYTRWKKQNPTNLVIEVFLEKHGSLQTKRYGYSEIKKVTNSFQNKLGQGGFGSVYKGQLQDGRYVAVKILNELKDSGEEFLNEVASIGRTSHVNIVTLLGFCLEGSKRALVYEFMQNGSLEKFIFEENSHISELQLDCQTLYHIAVGVAQGLEYLHKGCNTRIFHFDIKPHNILLDENFNPKISDFGLAKICTRKESMVSIFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVMEMVGRRKNINTNVAHTSEIYFPHWIYNRLDSNQNLGLRNIRNEIDDEKVRKMTIMALWCIQTNPSTRPDISKVVEMLEGRLELLEIPPEPFLPSPSNSPVHFSNETLESLRMLDA >CAK8573383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607156805:607157867:1 gene:gene-LATHSAT_LOCUS25836 transcript:rna-LATHSAT_LOCUS25836 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGNRSRGLFHHHKKDEDERPTGDDYDSGNYRKTSHNDEFSSGDNESSYNKSTNYSDDNQPSGGGYNKTSYGNENPGGDYETGYNKTSSDGYGGTGSGYTDTNTTTGGGYGGGYGDSDTRTTTGGGYGGSDTRTTTGGGYGDSDTRTGGNYGGDYGDSDTRKTTAGGYGGGGGYGDSDIKTTTGGGYGGGYGDSDTKTTTGGGYGGGYGDDTNRREDDVDYKKEEKHHKKLEHVGEFGAVAAGGFALYEKHKSEKDPERAHRHKIEEEVAAAAAVGSGGFAFHEHHEKKESKEEDEESHGKKKHHFFG >CAK8573384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:607156805:607157867:1 gene:gene-LATHSAT_LOCUS25836 transcript:rna-LATHSAT_LOCUS25836-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGNRSRGLFHHHKKDEDERPTGDDYDSGNYRKTSHNDEFSSGDNESSYNKSTNYSDDNQPSGGGYNKTSYGNENPGGDYETGYNKTSSDGYGGTGSGYTDTNTTTGGGYGGGYGDSDTRTTTGGGYGGGYGDDTNRREDDVDYKKEEKHHKKLEHVGEFGAVAAGGFALYEKHKSEKDPERAHRHKIEEEVAAAAAVGSGGFAFHEHHEKKESKEEDEESHGKKKHHFFG >CAK8570071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23029397:23030365:1 gene:gene-LATHSAT_LOCUS22855 transcript:rna-LATHSAT_LOCUS22855 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFAAAALRDPKLQIPTYHGFRSSSTASSLFRNALSVPSSTRSSSLIRAVSTPEKSETATEKKRSKVEIFKEQSNFIRDPLNEDMSNDAPNLSEAGTQLIKFHDSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKTVMGAIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERFMSAEPPEVVQARNDNSHGTNFTDSLEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTGDHVEPHMCVMHNTAPFCS >CAK8579179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682378259:682379239:1 gene:gene-LATHSAT_LOCUS31145 transcript:rna-LATHSAT_LOCUS31145 gene_biotype:protein_coding transcript_biotype:protein_coding MYSICKYLVETLLEAREAEYRAWAHVQSLKSSLDEHNLELRVKTASESEARSQQNLVAAEAGIADTRHRLDDSKRGMCEMSDVLRTKNEENEAYLSEIETIRQAYDDMQTQNQHLLHQITERDDYNIKLVLEGVRARQKQDSLILEKRLMDQENQQSNVSLNLYNTKAARIEDPSRFCSDQIQKLEDNKLQSSACLENTQRRLSDIRPSSQQVRDSLVELQARITSSRVTCMELQTELEKERFAQKTVEEDLEVARRNLSQLKAQNEDSSVTDKLQQELGEYMEIVKCSICRDRTKEVFRMFNSVNRNNNFNKHNSPTIVFEQTTR >CAK8560156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9076453:9077614:-1 gene:gene-LATHSAT_LOCUS13860 transcript:rna-LATHSAT_LOCUS13860 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFGSSVLMYQKFGNWEGSDNVPYTAYFDKARKGRTGTKMINPNDPEENPDLVLDNSSSEQLPPSKSKPRVDSEDLYGKGSSQATIESHKSLEDGDPKQYADSPARHDNVGSRSSNDSTPRLGVGSADNRRRPSRQSTTGSEYSIERSPLHRQVKAPGKDNPSLKAKNSYDSSHGTPGRSRLRPVNRGDETPEKGAAVPKFGEWNVSNPASAEGYTHIFNKVRAERQGGPGHAPGTPNERPHVIRNQPSNGKVQCCCFAWGRK >CAK8560157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9076453:9077587:-1 gene:gene-LATHSAT_LOCUS13860 transcript:rna-LATHSAT_LOCUS13860-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKFGNWEGSDNVPYTAYFDKARKGRTGTKMINPNDPEENPDLVLDNSSSEQLPPSKSKPRVDSEDLYGKGSSQATIESHKSLEDGDPKQYADSPARHDNVGSRSSNDSTPRLGVGSADNRRRPSRQSTTGSEYSIERSPLHRQVKAPGKDNPSLKAKNSYDSSHGTPGRSRLRPVNRGDETPEKGAAVPKFGEWNVSNPASAEGYTHIFNKVRAERQGGPGHAPGTPNERPHVIRNQPSNGKVQCCCFAWGRK >CAK8567157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:491552901:491555693:-1 gene:gene-LATHSAT_LOCUS20232 transcript:rna-LATHSAT_LOCUS20232 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAVKPLQAPQAYSAAPPYIGSNAPPSIYLGVPPYGSSLFNGSPVPPYEVPFSGGSAAYHYNYGGRLSAGSPYRPLHLAGPAPYASGSMVGNGGIYAMPQLLDRYGLGVPVGPGTMGTRPGFFRDDKSQKKGGDATRDNDWACPKCGNVNFSFRTVCNMRKCNTPKPGSQASKSEKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESDKSPSSSPEQNDQ >CAK8533320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602754999:602755629:1 gene:gene-LATHSAT_LOCUS2960 transcript:rna-LATHSAT_LOCUS2960 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMNYNAGQAQGQAEEKANTIMDKASNVAQSAKESAQEAGQLVKEKAQAAAEAVKNATGMNK >CAK8568748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:638889344:638890519:-1 gene:gene-LATHSAT_LOCUS21679 transcript:rna-LATHSAT_LOCUS21679 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPQFDLIIIGAGVMGSSTAYQAAKRGLKTLLLEQFDFLHQRGSSHGESRTIRSTYPQSHYYPLVVESYKLWEEIQAEVGYDVYFKAQHLDIGHLNDPSFRAVIENCQKHGVNYELLNAEQVAAKYAGKLNIQEGWLGLSTEHGGMLKATKATAMFQTLAHKHGAVLKDNRKVVDIKNNGGEVVVFTENGEKIRGKKVAVTVGSWANKLIKKIRGIDIPIQPIETHLCYWRIKEGHEGKFSIGGDFPTFASYGKIYYYGTPTLEFPGLLKLGVHGGRKCDPDQRPWGPGEMMNELKKWIDTTFSGVIDTTEPVVKQACLYSMTPDEDFVIDFLGGEFKNNVVLGVGFSGHGFKMAPLVGKILTELATDGKTDQADLKYYRIGRFQRTSKI >CAK8544042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659739009:659740318:-1 gene:gene-LATHSAT_LOCUS12752 transcript:rna-LATHSAT_LOCUS12752 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSFLLSFIFLILHLSGQRVVRGEADVQHDHRIQKLFVFGDSYADTGNIEKGFYPPWNVPYGVTFPSEPSGRFSDGRVLTDYIAKYLKVKLPVSYTKVEHLAPHHLKNGMSFAFGGSGVFETINSLLPNMTTQINFFEKSIQEKVFTTSDIRKSAALVSIAGNDYLRYVKDGSIQSLPSFISSVVNQTITNLIRIKELGVKKVIITNLPPMGCLPSETASSSFKQCNETSNSLLVHYHNTLLTKAVTKLNQQINDDSSPFIVLDIYDSFMSVLKNPSTHNIKNELEPCCVGESSKYFCGMVVNNVKKYKVCENPKSAFFWDLGHPTDAGWRAVYTMLRKSNALEQIQDH >CAK8568804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:642341106:642343933:-1 gene:gene-LATHSAT_LOCUS21728 transcript:rna-LATHSAT_LOCUS21728 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIDNEESVARCKERKNLMREAVVARNAFAAGHSGYAMALKNTGAALSDYSHGETHLEEIELHPPPMHTSNTPPPPPPPPPPPHMEENLPPPPPLPPNFSPASLKRAVTLPPVALQHRKPSGGVSIVEKMKENAKIEVEGEREKDGDGLVHAPPSPPGGKAKPPPPPPESKNMAWDYFFMDMNMARASLNEEDEIENEEGEIEDENVVDNGGHVVDEIEPKTPEKVEVDVYNKEDEHIHVHDHEDGVMREAKHIEHSKTAPAEFRRAIKLVPTVTLMQILGSLDDHFLKASETAQEVSKMLEATRLHYHSNFADNRGHIDHSARVMKVITWNRSFKGVSEGAKDDFDSEEYETHATVLDKLLAWEKKLYEEVKQGELMKFEYQRKVSVLNKQKKRGASVDSLGKTKAAVSHLHTRYIVDMQSMDSTVSEVNHIRDAQLYPKLIALVIEMANMWESMFMHHDSQLKIVTDLKSLDISQDQKETTKHHYDRTIQLWNVIQEWQSQFEKLVTQQKHYIQALNSWLKLNLIPIESNLKEKISSPPRAQNPPIQTLLHAWHDYLDKLPDELAKSAISSFAAVIKTIILQQEEEMKLKEKCEEIQKEFLKKNQAFQDWYQKHLQKMGPDEADRGEEGNTNNPVSEKQFVVESLKKRLEEETESHKKLCVQVREKSLQSLKTRLPELFRALSDYTHACSDAYKRLKTIAQSQGDSAA >CAK8575511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:147129334:147130029:-1 gene:gene-LATHSAT_LOCUS27768 transcript:rna-LATHSAT_LOCUS27768 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIDAFLKKLSYGAITIASLTLFSLFLRTLDTCVPQEAPPKPHLRFPKSSCDFTSTRPHLPFAKKNNRIWSSRDWNNKLHSISLIFLPIRDIGLLPNHSKILCISAGASHEVVALQRLGVNDVTGVELFDSSPLVSHADPHNLSFFDGAFDFGFTARFDEALFPARFAAEMERVVRPGGVCFVLVRECGSHEVRDVVRLFRNSRFVTSSNVTLIGIRITSILLRTRKSS >CAK8568773.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639936816:639937355:-1 gene:gene-LATHSAT_LOCUS21698 transcript:rna-LATHSAT_LOCUS21698 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTKWLEKKLYSTVRVNPSIKLTTICEKLHEKYNTSMSRTKAYRARKASLNYVEGTFKENYLRLYDYIQELLRSNPNNTIKLKAQPTAENEQQHESYISKPLFPSFQRLYMCLDVCKRSFKICRPIIGIDRCFLKGHYGGQILRAIGRDPDDQMIPISLVVVEAETKDSWPWFLYFLV >CAK8576272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:441712302:441717716:-1 gene:gene-LATHSAT_LOCUS28474 transcript:rna-LATHSAT_LOCUS28474 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSDSKKCVDVSKVRPGFWREYRNACKTHSEICGGSTSLSRTRPRKNRNVVHVPEPKRTRTSVENIGAADLNGVSSEEDARSEVEDAESSRDRRLKVSTKGKKFKRVYSNFKKFPSKLKDLLSSRVLDGLNVKYVRGIRVRKPGEKDSPGVIKDGGIECYCDICKGEKVVSPAIFEVHAGSANKRPPEYTFLENGNSVRSVMNAFLSSSLDTMEDSVKAVLGDFTMNQSKFCLNCRDLDVVSRLFCISCEALKKPQPSPTRTIEAINSCVSPPPPSPLTPSDPEPAAPQKPLYKGMKHSAASDKRHGKITRKDQHLHKFVFQALANGAHVTYIARGESLLEGKINTESSGILCSCCNKTVSPSTFEAHAGWASRRKPYLHIFADGVSLHELSISLLKKQKISLSADSDGRCSICEQGGHLLCCDGCPRAFHLECVPLESEPRRIWYCKYCCHHVYHSERREERNANANANAQETVRVADIEPLEQIAPRAPLTVNDTEAVEGGCLLCREDDFEQKGFGPRTVIICDQCNKEFHIGCLKEHKIVNLEKLPEEDWFCGWNCLQIRTFLHNLVIRGDLPISDTLLSLIKKKREGKGLETNSGLDVKWRILNWKLLASEEIRPLLSKAVAIFHEQFDPIVDADTGDDFIPSMIYGRSIKDQYFGGMYCALLTVNQEVVCTGVFRLFGREVAELPLVATNAASQGKGYFQALLACIEGLLGELKVKRLFIPAAHEAESLWTGKFGFMALDQDEMNFYTSLYRLMMFNGAALLQKPIPRAAVTECVAEDPFEVFA >CAK8541299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:108572478:108573164:1 gene:gene-LATHSAT_LOCUS10229 transcript:rna-LATHSAT_LOCUS10229 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGHAVSHIFIFVCLLSIFLGTPSLCFKPKKLVNVTSNFSSDSGWASTMATWYGPPEGYGSDGGACGYGKAVGQPPYNSMISAGNPVIYQSGKGCGSCYQVKCTENPACSGNPIRVVITDLCPECNHYFDLSGKAFGSLAKSGQANNLRNAGKISVQYERCP >CAK8564029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646793240:646796897:-1 gene:gene-LATHSAT_LOCUS17374 transcript:rna-LATHSAT_LOCUS17374 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVGNKFRLGRKIGSGSFGEVYLGTNIQTNEEVAIKLENVKTKHPQLLNESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGSSLEELFNFCSQKLSLKTVLMLADQMISRVEFIHAKSFLHGDIKPDNFLMGLGKRANKVFAIDFGLAMKYRDISTHRHIPYRENRNLTGTARYASMNTHLGIEKSRRDDLESLGYVFMYFLRGSLPWQGLKAMTKKQKYEKISEKKVSTPIEALCQDYPTEFASYFHYCRALRFDDKPDYTYLRRIFRDLFIREGFQFDYVFDWTILRYHQSPLATPPARAIVPGTGTSSAMPPAVTNADRHTGAEEAQPPDLISVDSSRRRMSRPVLNTQSSANVLGQSSGSSRRVAVSSSRDAFVGAESDVRTRTAEASPGAAHRTFGGQRGSSIGSSDPQRAIRAVRNASQSNNYESSVRRMEGLRLENDERTHY >CAK8576148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416119402:416120984:-1 gene:gene-LATHSAT_LOCUS28359 transcript:rna-LATHSAT_LOCUS28359 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGASTPSLWAFEEREKLLEFYERVSGARMHASFIRPGGVAQDLPLGLCRDIDSFTQQFASRIDELEEMSTGNRIWKQRLVDIGIVTAQQAKDWGFSGVMLRGRVCWDSRRAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEMRQSLRIIFQCPNKMPSGMIKADDRKLCPPSRCRMKLSMESCVV >CAK8533379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610842546:610845524:-1 gene:gene-LATHSAT_LOCUS3012 transcript:rna-LATHSAT_LOCUS3012 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLINFKTTIITLLYFSISLFPLQAYDPVDRYTLNCGTTGNSTDGERTWTGDTNSKLLLSSQNNNPTTISVPTTPQKPSINKIPYSTARLSHSIFNYSFPVTKGPKFLRLFFYPSAYSNGFNRYDASFTVVSNGFTLVKDFNASLNADVEGVDTLFKEYVINVGDDQRLDLSFIPSGGNSKNYAFINGIEVLSMPDYLYYTPTTDPGFSLVGSTIIPTYSISTTVALETDYRIKVGNSPNIQDTGMLRNWNKNDTDYLRTPTSYDFVSQDLTGRMNITVTPDYMAPKDVYRSSRNLGTNATLNKLLNLTWEFPLDSGFYYLIRLHFCELDPYISTVGNRVFTVYLQGNVAVEQADVMKWTEGKKGVAVQRNYAVSVPKSNNNKKVNFSIQMHPYGDGRISNYSDPFLNGLEIFKISDIGLKNLAGPNPDVVQYPVQEKPKSKSISGTTILGVVLGVVFGVVFVSLVVFFVCRKKRPTKGEKATTTKDSKSSATSKWGPLSFATTKSSTTQPSNLPSDLCRSFSLQDIRAATNNFDELFIVGVGGFGHVYKGYIDNGSTPVAIKRLKPGSQQGENEFINEIDMLSNLRHLHLVSLIGYCNENNEMIIVYDFMARGTLREHLYNTDNPALSWKQRLKISIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWIAKVSDFGLSRIGPTGVTKAHVSTVVKGSVGYLDPEYYKRQHLTEKSDVYSFGVVLFEILCARPPIIRTAEKKQVSLADWGRFCYKSGALGSIVDPSIKWSIAPECLKKFGEIAVSCLLDDGTLRPSMNDVVWMLEFALQLQESAEQRERVVVGGGVVGDDVEGGERRKENDDDDDVFSSSGTNIGNVSDFNKSTEVSVSTMTGSSSGENSYGFNKESVFSEIVDPKAR >CAK8537578.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:403390155:403390380:-1 gene:gene-LATHSAT_LOCUS6863 transcript:rna-LATHSAT_LOCUS6863 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKILEVYMMAPLLSSGTGTVVITSSGRSCLTEYN >CAK8540873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:27488123:27490363:-1 gene:gene-LATHSAT_LOCUS9832 transcript:rna-LATHSAT_LOCUS9832 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVIPPRILRKLRYNTATKPFQPSLTSPTLSPPSILDQKPPLTTTLLPPAVADLNFHDVEKLFTYVSTANLIRSTAVLHATAIEPMVDLGTWLMRSQLMQTDNPLRNLALAATRSTFFDHFCAGEDATTAGQSIRGLNKAGLRGMLVYGVEDAHDNEACDRNLQGFLHTVDVSRSLPPTSVSFVIVKITAICPMSLLERVSDLLRWQKKDPSFKLPWMQDTLPIFSESSPLYHTRKRPEPLTQQEEIDLELANQRFLELCEKCVQANIPLLVDAEHTSVQPAIDYFTYASAIVHNKGEKPTVFGTIQTYLKDAKERLLLTSKAAEKMGIPMGFKLVRGAYMSSERELAADLGFASPIHNSIMDTHKCFNDCTSFMLEKIADGPGGVVLATHNIESGKLAAAKAHELGIGKVNHKMEFAQLYGMSEALSFGLSNAGFQVSKYMPFGPVETVMPYLLRRAEENRGVLAASGFDRQLMRKELVRRLRAAVF >CAK8575662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:239337133:239337561:-1 gene:gene-LATHSAT_LOCUS27905 transcript:rna-LATHSAT_LOCUS27905 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKQKNKVVYGEAGKDFVEALLSFLTMPLDLDEKYLWSRNCKEMLLNPRNSMGGYCEMVKLNIDDTSTNVFMCWDQLCISIFSNQKCSCGNYVLGSIMYNPIAMMTLIALSV >CAK8562298.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468574450:468575026:1 gene:gene-LATHSAT_LOCUS15805 transcript:rna-LATHSAT_LOCUS15805 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISAIEFDKSGDHLATADRGGRVVLFEQTGSKDQHGSRKDLESTDYSNSRHPEFHYKTEFQSHEPEFDYLKSLEIEDKINKIKWCQTANWLINRVSKALDMFGKIQLRNLQMDEFNIQCAFR >CAK8544866.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709583400:709585600:-1 gene:gene-LATHSAT_LOCUS13511 transcript:rna-LATHSAT_LOCUS13511 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFNSQRSKKSNSKREHGAAPPQENNTLITKTPDIKKPKPEETNQVDTSNIQAQNFTFRELAIATKNFRQECLMGEGGFGRVYKGTIPATGQVVAVKQLDRNGIQGSKEFLVEVLMLSLLNHDNLVKLIGYCADGDQRLLVYEFMSGGSLESCLLERKPEEPQLDWYSRMKIASNTAKGLWYLHDKANPSVIYRDLKSSNILLDNDHNAKLSDYGLAKLAGKDKMNIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRRAVDTTKPHDEQNLVSWAQPIFRDPKKYGDLADPKLKYHPDKDLNQVVAIAAMCLQEEAAARPLMSDVVTALSFLSTSPPPEGIPEPLPPPDSTSQKSAATASESESESEGNKNKRPITADSAKYEECEEGSDNECEYYENETKEFYSQSSRKSSTKSKNSNSGSENGRKSGRRKKGADGSLTQKSSKKSAAGDLSQKSSKKSRGQKNGKKSSKAKSHSSDSSSGEESQDESVLLKHGESRQSHNGNGYSFGLTSSDSGEESRGDVHHFDRVSMGASEEGSSHHLQHSSSGGSDDESVNSPR >CAK8562262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463347612:463355925:1 gene:gene-LATHSAT_LOCUS15774 transcript:rna-LATHSAT_LOCUS15774 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFTTTSAAALKDKKWDALIIGGGHNGLTAAAYLARGGLSVAVLERRHIIGGAAVTEELIPGFKFSRCSYLQSLLRPSVINELDLAKHGLKLLKRNPSSFTPCLDGRYLLLGADKDLNYSQISKFSQADAEAYPKYESQLEKFSKFMDLVLDSSPPESLQHKSSLNEQLKNKLQNSVFWASCLRHAASMGQKDMVEFMDLLLSPASKVLNNWFETDVLKATLGTDAVIGSTASIHTPGSGYVLLHHVMGETDGERGVWSYVEGGMGSISKAIASAAVTAGAHVVTNVEVSQLLIKNSSTVDGVILADGTEVHASVVLSNATPYKTFVELVPNNVFPDDYVRAIKLSDYSSATTKINVAVDKLPQFQCCKSNHSHAGPEHVGTIHIGSESMEEIHSASHDAVNGIPSRRPVIEMTIPSILDKTMSPPGMHVINLFVQYTPYKPLDGDWQDHDYRESFAQKCFKLIDEYAPGFSTSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDALFLMRPAKGWSNYKTPLKGLYLCGSGAHPGGGVMGAPGRNAAHSVLQDVRKI >CAK8579334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692241568:692244722:-1 gene:gene-LATHSAT_LOCUS31287 transcript:rna-LATHSAT_LOCUS31287 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKPLTTELVALTEKKMDMTLDDIIKMSKNPKVTKQTRVSNKSKTFMNTFAQDKSLKARRYMESRSSLRQGVLAKRRSSFQGNQFPLATEVARKVVAAPLHYGVANRNKMANWNKPRFQFPVNQRRPASGSFAAKPPPPLQHQQQQHQHHYQQQDVNIKPNNQRPHTLDSLFANMKEQRMKAFSRQNNAVQHNGAGNGRSPWGRGRYGN >CAK8532434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:260718237:260718638:-1 gene:gene-LATHSAT_LOCUS2139 transcript:rna-LATHSAT_LOCUS2139 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGRLIAIKRLSKSSMQGGKEFRNEVLLIAKLQHRNLVTFIGFCLEEQEKIFIYEYVPNKGLDYFLFDAQQTKFLSWPEP >CAK8562084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:439107886:439110814:1 gene:gene-LATHSAT_LOCUS15611 transcript:rna-LATHSAT_LOCUS15611 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCKIIITLIIFVLSHWNYCDAHIFTFTMHHRYSEPVKKWSLSAPSPSHRWPEKGTVEYYAELADRDRFLRGRRLSQFDAGLAFSDGNSTFRISSLGFLHYTTVELGTPGVKFMVALDTGSDLFWVPCDCTRCAATDSSAFSALASDFDLSVYNPNGSSTSKKVTCNNSLCTHRNQCLGTFSNCPYMVSYVSAETSTSGILVEDVLHLTQEDDHHDLVEANVVFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSVLSREGFTADSFSMCFGRDGVGRISFGDKGSLDQNETPFNMNPSHPTYNITVNQVRVGTTLIDVEFTALFDSGTSFTYLVDPTYSRLCESFHSQVEDRRRPSDSRIPFEYCYDMSPDANTSLIPSTSLTMGGGSRFAVYDPIIIISTQSELVYCLAVVKSAELNIIGQNFMTGYRVVFDREKLTLGWKKSDCYDVEDHNNVVPARPHSDTVPPAVAAGLGHYPSPDSSKNSKYKSQQSNASPSMLLNSHTLLLASFGFLISCIWFYLYVL >CAK8531941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:179939987:179940445:-1 gene:gene-LATHSAT_LOCUS1694 transcript:rna-LATHSAT_LOCUS1694 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGFSIIFVMFLFVTVVATLPPPPPDPFVSAPHQYLFPVTNNPSRDLKKSPPKSVIAFSIASGVVLFVISVGVLVYVCLRSSSSPPHVTATGTVVGVPVPLQQLRSMVQSNPA >CAK8541783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:393887383:393888517:1 gene:gene-LATHSAT_LOCUS10678 transcript:rna-LATHSAT_LOCUS10678 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEELELLGSVGSPFVIKVQIALKLKGIEYKYEEEKLGNLSEKLLNYNPVYRMVPVLVHNGKPISESRVILEYIDETWKQNPILPSDPYKRALDRFWSKFIDDKCVVPAAKTIFIPNEKEQEKAREELFEALQFLENELKDKFFGGEEIGFVDIAALFIPLFQEVAEKQLFSSDKFPKLHKWSRDFCNHPVVKEIMPSKDQQYAYFKARAASLAAPSK >CAK8535959.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894095491:894096858:-1 gene:gene-LATHSAT_LOCUS5372 transcript:rna-LATHSAT_LOCUS5372 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMEKPTEYVLCNALSSCAKTVNWHFGVQIHAYMIRSGYEDNLFLSTALVDFYAKCFCIVDARKIFRAMKVHDQVSWTSLIVGFSANKLGREAFLLFKEMLGTRIRPNCFTLTSVVNACVGENGVVDCCPSLHVHVIKRGFDTSNFVISSLVDCYASFGRIDDAVLLFNETSEKDTVIYNTMISGYCQNMYSEDALKLFVEMRGRNMSPTDHTVSSILSACSRLAVLVEGKQVHSLVIKMGFENVFVDSTLIDMYSKGGDIDEARRVLNQTSWGNTVLLTSMIMGYAQCGRGLEALEFFDSLLTEQKLIPDHVCFSAVLTACSHAGFIDKGEEYFNKMRTDYGLSPDIDQYTCLIDLYARNGNLRKARDLMGEMPYVPNCIIWSSILSACKIYGDVELGREAANQLIKMEPCNAAPYLTLAHIYARKGLWNEVFEVRSLMQQRVKGKSAWSWV >CAK8578708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648329079:648333776:-1 gene:gene-LATHSAT_LOCUS30696 transcript:rna-LATHSAT_LOCUS30696 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFNLDAEFHAKEDSPFRHEPKMENTIESSSSPQHKKISLRWDPVEACRPIIGEAPVFYPTSEEFEDTLGYIAKIRPVAEQYGICRIVPPACWTPPCPLNEREIWENAKFPTRIQHVDLLQNREPMRKKSRGKKRKRRRQSRMGTCSRRSGNSCSEANVTSESDDKFGFQSGPDFTLKEFQQYGNSFKDCYFGLIDTKDGRGGDNNHHERRDPSVEEIEGEYWRIIEQPTDEVEVYYGADLETGALGSGFPKTSSFTKSGSDSYAMSGWNLNNFARLPGSALCFEGSDISGVLVPWLYVGMCFSTFCWHVEDHHLYSLNYLHWGDPKVWYGVPGSHASAMEDAMKKHLPDLFEENPNLLNELVTQFSPSILKSEGIPVYRAVQHSGEFVITFPRAYHGGFSCGFNCAEAVNAAPYDWFMHGQNAVEIYSLQCRKTSLSHDKLLFGSAKEAVHALSEVTLDGKENLQCLKWRNACGKDGVLTNAVKTRVMMEKERRDWLPSHLKMLKMNNDFDSVEERECFSCFYDLHLSAVGCKCSPDSYSCLKHFKLFCSCEMDNRFVLVRYTMNELSTLVEALEGEPCAIEAWTTGKIGTAYASVEDGCVQEQDMERIVRKTNNYEGKISLSCAGINEKSNSNVPSSPYSHISSELVHLESRHVTFSTPYADTNGVKDNMSDTKLIMDNEVNEDKRRDNMELGAGGISDLEKEPLSCRTDVRSSGTLDGCKLFGVDLQISSESGQKLNRTFEAGVLDTSNSSVSLINQSSPMRKFSMSVELVNLGSVLYGKHWCSKHAIYPKGFKSRVKFLSVLDPASICTYVSEVIDSGFLGPFFRVTLEEHPNEVFTNTSADKCWEAVIDRLNYEINKRRILGEQEIPPLELLHNINGHKMFGFLSPSIIQSTEAQDPNHKCIEYWNHKQVSFGSSGRVVDDSKLTCGSSNNSLDDLKTKLFGVDLIKQEEDDIGESSDSFEEMKPILEGFLKKGRPDELRAMRKLFSSDAQMTQWRPTLITLIEEIEKDSP >CAK8536731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:11720781:11721698:-1 gene:gene-LATHSAT_LOCUS6065 transcript:rna-LATHSAT_LOCUS6065 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLISNSFKQYTDLKEETHFDDVEAGNRETINLDKFFEDVENIKADMKAIEIFYRKLQEANEESKTVHNAKTMKDLRARMDKDVEQVLKRVKVIKGKLEVLDRSNADNRKIAGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRGRIQMEYKETVERRYFTITGEKANDETIDNLIASGESENFMQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAVLVESQGQHLNNIESHVAHASSFVSRGTEQLQEARVQQKSSRKWTLYAIILGLILVVVILFPLLVSLLPHLFR >CAK8563908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:638483673:638485121:-1 gene:gene-LATHSAT_LOCUS17266 transcript:rna-LATHSAT_LOCUS17266 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDSISNLDINSISDDGSVKNFSAIWPMSTSGLNAKGQFAILYNGSMCVYDGIPAEKVQEIMMMAAATAKSSEMKSGIPFTSLFSSATPSSPQGTSNNVPSPPSVCFPAADKSSICRMQEFPLARRQSLQSFLEKRKIRVRSKAPYSSSSSKGVSNIDNNFNTALVPST >CAK8561110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:96276460:96277710:-1 gene:gene-LATHSAT_LOCUS14729 transcript:rna-LATHSAT_LOCUS14729-3 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGGRIDLRSGFCSSNSIFYSTRQPISLPPNHSLDATTFISSHAHHGHTAFIDASTGRHFTYTQLWRSVEAVTSSLSNMGIKKGDVILVLSPNSIYFPVVCLAVMSLGSIITTTNPLNTTHEIAKQIADSKPILAFTVSPLVSKINEASPTLPIILMDVDGDSSSSSSNSITLEEMIKKGPELRSVKERINQDDTATLLYSSGTTGPSKGVVSSHKNLIAMVQNVLTRFNKQEETFICTVPMFHIYGLGVFATGLLALGSTIVILSKFEIHDMLSSIERYRVNVLPLVPPILVFMLNNADVIKGKYDLSSLHTVLCGGASLSKEVTEGFIDKYPKVVIRQGYGLTESSGIGSSTDSLEESQKYGTAGLLSCSMEAMIIDTDTSQPLTVNQTGELWLRGPTIMKGSYFLFFLISI >CAK8561109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:96272482:96275079:-1 gene:gene-LATHSAT_LOCUS14729 transcript:rna-LATHSAT_LOCUS14729-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGYFNNNEATKWTITSDGWLKTGDICYFDCDGFLFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDEEAGQYPMAYVVRKDGSNISERQIIEFVAGQVAPYKKVRKVAFISSIPKNPSGKILRKDLIKLVTSKL >CAK8561108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:96272482:96277710:-1 gene:gene-LATHSAT_LOCUS14729 transcript:rna-LATHSAT_LOCUS14729 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGGRIDLRSGFCSSNSIFYSTRQPISLPPNHSLDATTFISSHAHHGHTAFIDASTGRHFTYTQLWRSVEAVTSSLSNMGIKKGDVILVLSPNSIYFPVVCLAVMSLGSIITTTNPLNTTHEIAKQIADSKPILAFTVSPLVSKINEASPTLPIILMDVDGDSSSSSSNSITLEEMIKKGPELRSVKERINQDDTATLLYSSGTTGPSKGVVSSHKNLIAMVQNVLTRFNKQEETFICTVPMFHIYGLGVFATGLLALGSTIVILSKFEIHDMLSSIERYRVNVLPLVPPILVFMLNNADVIKGKYDLSSLHTVLCGGASLSKEVTEGFIDKYPKVVIRQGYGLTESSGIGSSTDSLEESQKYGTAGLLSCSMEAMIIDTDTSQPLTVNQTGELWLRGPTIMKGYFNNNEATKWTITSDGWLKTGDICYFDCDGFLFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDEEAGQYPMAYVVRKDGSNISERQIIEFVAGQVAPYKKVRKVAFISSIPKNPSGKILRKDLIKLVTSKL >CAK8575593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:193238923:193239726:1 gene:gene-LATHSAT_LOCUS27844 transcript:rna-LATHSAT_LOCUS27844 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRHCEGVVKEEAATDSFPKKKNLIVTVGTEGSVVNSDQSLSSSSNNNSNSETTLEQGTYVMTLGDANPSDIYEDLYSRQLAVYGRETVRRLFGASVLVFWMQGLGAEIAKNLILAGVKFVTLHDVGTVELWNLSSSFVFSEKDLGKNRALASVGKLQELNNAVVVQSLTSALTKHQLVDFQAVVFTDVSLEKAIEFNDYCHNHQPPIAFIKTEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIVASVTNDNPSLVSFVDDEVA >CAK8561669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:348875611:348880495:-1 gene:gene-LATHSAT_LOCUS15237 transcript:rna-LATHSAT_LOCUS15237 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKPGLRKPVFTKVEQLRPGTSGHTLTVKVVNTKMVMQKGRPDGPQPRQMRIAESLVGDETGMIIFTARNDQVDLLKEGSTIILRNAKIDMFKGSMRLAVDKWGRVEVTEPADFTVKEDNNLSLIEYELVNVVE >CAK8544288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676741144:676744483:-1 gene:gene-LATHSAT_LOCUS12981 transcript:rna-LATHSAT_LOCUS12981 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETIFIFLFLLYFGYADFAPKCTRSSSFVDFESDFIMVQHQLRGHIKIIDDCSFRVSQFDMLSGVDVHWWGALAPDFDNLTTGFIVSDHKLNHTYANSTFVVQLMANITWDMIPVLTVWDVPSASDFGHILVQNLTSTAESPPGSDGEKKVNVSVHTEPTMFDNCKVLSKDFRFRWSLKPKEDIVEIGLEGATGVMNYMAFGWANPNATDAELMVGADVAVTGFKEDGLPFVDDFFITKYSECVKNSEDGTVEGVCPDSIYEGPNRVGLVNDTRLIYGHRSDGVSLVRYRRPLSPVDAKYDHRVDRFANMTVIWALGKIRAPDTVLPYYLPQNHGGLPFESFGHLVLNVSQHVNECNGPLDAADKEDQDIIIADAKVPLVVTTGPALHYPDPPNPAKVIYINKKEAPVLRVERGVPVTFSIQSGHDVALYVTSDPIGGNATLRNLTETIYAGGPEAHGVQASPTELIWAPDRNTPDQVYYHSVYEKKMGWRVEVVDGGLSDMYNNSVVLDDQQVTFFWTLSKDSISIAARGEKKSGYLAIGFGSGMVNSYTYVGWVDDNGVGRVNTYWIDAQDALGIHLTNENLTHVRCKTENEIITLEFTRPLVPSCSRGKRPECNDIIDPTTPLKVIWAMGSTWSNGHLSERNMHTLTSTRPILVQLMRGSAEAEQDLLPVLAVHGFMMFLAWGILLPGGILAARYLKHLKGGGWYKIHVYMQYSGLSIVFLALLFAVAELRGFHVSSTHVKFGIAAIFLACIQPVNAFLRPPRPANGEQASYKRIIWEYLHIIVGRSAIAVGIAALFTGMKHLGDRYALENVHGLSWAMIIWFLVGALSIAYFEYREKQRVRDQIFGRGNWVLGNEEDDSMDLLSPPNTHATNKESQASARLEIQLEPLNRSKK >CAK8544289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676741793:676744483:-1 gene:gene-LATHSAT_LOCUS12981 transcript:rna-LATHSAT_LOCUS12981-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETIFIFLFLLYFGYADFAPKCTRSSSFVDFESDFIMVQHQLRGHIKIIDDCSFRVSQFDMLSGVDVHWWGALAPDFDNLTTGFIVSDHKLNHTYANSTFVVQLMANITWDMIPVLTVWDVPSASDFGHILVQNLTSTAESPPGSDGEKKVNVSVHTEPTMFDNCKVLSKDFRFRWSLKPKEDIVEIGLEGATGVMNYMAFGWANPNATDAELMVGADVAVTGFKEDGLPFVDDFFITKYSECVKNSEDGTVEGVCPDSIYEGPNRVGLVNDTRLIYGHRSDGVSLVRYRRPLSPVDAKYDHRVDRFANMTVIWALGKIRAPDTVLPYYLPQNHGGLPFESFGHLVLNVSQHVNECNGPLDAADKEDQDIIIADAKVPLVVTTGPALHYPDPPNPAKVIYINKKEAPVLRVERGVPVTFSIQSGHDVALYVTSDPIGGNATLRNLTETIYAGGPEAHGVQASPTELIWAPDRNTPDQVYYHSVYEKKMGWRVEVVDGGLSDMYNNSVVLDDQQVTFFWTLSKDSISIAARGEKKSGYLAIGFGSGMVNSYTYVGWVDDNGVGRVNTYWIDAQDALGIHLTNENLTHVRCKTENEIITLEFTRPLVPSCSRGKRPECNDIIDPTTPLKVIWAMGSTWSNGHLSERNMHTLTSTRPILVQLMRGSAEAEQDLLPVLAVHGFMMFLAWGILLPGGILAARYLKHLKGGGWYKIHVYMQYSGLSIVFLALLFAVAELRGFHVSSTHVKFGIAAIFLACIQPVNAFLRPPRPANGEQASYKRIIWEYLHIIVGRSAIAVGIAALFTGMKHLGDRYALENVHGLSWAMIIWFLVGALSIAYFEYREKQRVRDQIFGRGNWVLGNEEDDSMDLLSPPNTHATNKESQASARLEIQLEPLNR >CAK8535946.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:893415257:893419939:-1 gene:gene-LATHSAT_LOCUS5360 transcript:rna-LATHSAT_LOCUS5360 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTPEEEEALHQGVRKHGTGKWKNILRDKEFAEKLCSRSNIDLKDKWRNLSVFPGQNSKDKSRTPKAKPLVIGSAPATPASAPATPASAPDTPINATLQNVAPVSQIQTPIRQPSQIDAAPPQYNSMIFEALSTIEDANGSDLSAIVSFIEQKHSIPQNQNFRRTLGSRLRRLVTQGKLEKVQNCYKIKDTPVETKPAVDSKPPAPKEMNSPAPEASSTSAFDNAMREASETLAYRVADAENKSFLAAEAVKETERYAKFVEENEAMLKFAEELLEKCKLGESFRFA >CAK8543091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:576175775:576178325:-1 gene:gene-LATHSAT_LOCUS11875 transcript:rna-LATHSAT_LOCUS11875 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTNWPWKPLGDFKFVILTPWIAHTIYSFTWTQPDPMYYLVLPFVFIRMLHNQIWISVSRYQTANGKRRIVDKGLDFEQVDRETNWDDQILLTALLFYITYMVFPMASDLPWWRTDGVVLTAILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVAHPFAEILAYFTLFLIPVFTTLFMKKSSIAALYGYILYLDFMNNLGHCNFEFIPKKLLSFFPLFKYLSYTPTFHSLHHTKFRTNYSLFMPIYDYIYGTVDTSTDTMYEKCLKRPKESPDVVHLTHLTSFDSIYQLPLGFSSLASNPQTSKWYLHLMWRFTMFSMLITWFCGRAVVIESNTFNDLKLQCWLIPKFQTQYFSKKHRQTLNSLIENAIMEAELNGAKVISLGLFNEKHFNAHCELYIGRFPELKIKIVDGSSLVAAIVLNNIPKGTSKVLLRGKFNKVVYAIANALCTKNIKVGVLYKDEFDELAKRVIKSKENLCISPINTPKIWLVGDEWDEDEQMEAPEGSLFIPFSHFHPNYMRESCFYHYTPSMITPNTFMNSHSCENWLPRRVMSAWRIAGIIHALEGWNVDEYGDTILDTKKVWEATIRHGFQPLKIYSHKPSVTN >CAK8562041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:430286056:430289771:-1 gene:gene-LATHSAT_LOCUS15576 transcript:rna-LATHSAT_LOCUS15576 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFLITPFPLITNQSLQSSSLFRPTLSAKSVPLSLPNIKKCSFLCKPLAPTMLNSSLLQKASPGSPPSRQLSTCSAQTDSGNTSSLTQNVFGVLHLIVSLGLFVATDKFLKQAFVAAAIKFPSALFGMFCIFSVLIVLDSTVPSAATAVVNFFEPAFMFIQRWLPLFYVPSLVVLPISVRDIPAASGIKIGLIVVGGWLATLCVAGFTAIAVRKAVKTTLIDAEPMGKPSPFSNVEVWTWTGILLTSFVSALFYPTLLGTSARTCLPFLLSATVLGYIVGTGLPSSVKKVFHPIICCALSAVLTAFAFGYFSKLGLDPVLGYYLTNASNNPGAGDILMGFLGSVILSFSFSMFKQRKLVKRHAAEIFTSVIISTIFSLYSTALVGRLVQLEPSLTVSILPRCITVALALSIVSLFDGANPSLTAAVVVVTGLVGANFVQATLDRLRFSDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSVPIVRQSLLAIVG >CAK8572935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572105819:572106262:-1 gene:gene-LATHSAT_LOCUS25437 transcript:rna-LATHSAT_LOCUS25437 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNGNDGSDNSLTYILTFVFGLVFLLLTIVVACVRLRMSQNRSMLNILSSFPLHHQEDSTVEQGVGRHRDKSIEGHTKLLYSQIQKNIGGSSTSSCSICLGDYKESDMLRLLPNCGHLYHDACVDPWLRLHSNCPICRKSLLVST >CAK8562221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:457589650:457591146:1 gene:gene-LATHSAT_LOCUS15737 transcript:rna-LATHSAT_LOCUS15737 gene_biotype:protein_coding transcript_biotype:protein_coding MELHMTGSVEEASYANNSSLQRKVISLTKALRDEAITSLYCNTLPKSLAIADLGCSFGPNTLLVISETIKVVENLCRKFNQKSPEYKVSLNDLPGNDFNNVFKSLETFKKKLADEMETKMGSCYFSGIPGSFYGRIFADKSLHFVHSSYSLHWLSKVPEGVDNKNKGNIYLSTTSPSNVIKAYYKQFQKDFSLFLECRAQEIVEGGRMILTFIGRKNDDPSNKECCYIWDLLTIALKDMVLQGIIDDEKLDTFNIPNYYPSPSEVKLEVLREGSFAIDKLDISEVNWNALDHWEALDIGSEMLKSFKDDGYHMAKCIRAVTEPLLVCDFGDSVIEDIFECYENILTDRMSKQRTKFTNLTLSFTRKP >CAK8560542.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24376188:24379932:-1 gene:gene-LATHSAT_LOCUS14206 transcript:rna-LATHSAT_LOCUS14206 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEASSMENTMNKDLHVTFVEMMYHLLPNPYESQEINHLTLAYFVISSLDILNALQKVDKEAIANWVLSFQVRHGTTFDPNNGQFYGFHGSRSSQFPPDENGVFCHNNSHLASTYCALSILKIVGYDFSNLDSESMSTSMRNLQQPDGSFMPIHTGGETDLRFVYCAAAICFMLGNWNGMDKEKVKSYILKCQSYDGGFGLVPGAESHGGATYCAVASLRLMGFIEDNVLSSCNLSSLIDLPLLLNWILQRQGTDGGFQGRPNKSSDTCYAFWIGAVLRILGGCNFVDNKALRGFLASCQYKYGGFSKFPGEFPDLYHSYYGYAAFSLLEESGLKSLCSELGITDIAAMGV >CAK8570426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:45241534:45243801:1 gene:gene-LATHSAT_LOCUS23174 transcript:rna-LATHSAT_LOCUS23174 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCNSNTITLSFPFPLTTINKLKHTQHRHRRQTLVVAFIHHDSLRVLEWDKLSDLVSSFTTTSLGRHALKDQLWSQNRTYEESLKLLEETNAAVEMHNHGSCRMHFGHIDAMLVQAAIQNARRTIPVTGYEARAVLALLQSSDSLQGDLKATIKQDKDWYIRFMPLSEVIMEFVVNRSLVKVIEEVIDEDGSIKDSASSELKKSRQQVQVLERKVQQLMESLIRNESSETSILEVNNIGGRWCISVDSGQKTSFKGLLLSSSGVGSTVEPLSAVPLNDELQRARGLVAKAEAEVLLTLTKKIQLDVDDIENILNSLVQLDVINARATYGLSFGGSNPHIFRPDRNSSSTAQSLTRNDNSNGLLPNNREWILHLPKAYHPLLLQSHRKNSKKAKEDVATSVAALDKGQPQPVPVDFLVSNKTRVIVIMGPNTGGKMICLKTVGLAAMKGKSGLYVLASESVQIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISNIKLQSTRQSLVLLDEVGAGTNPLEGAALGMSLLESFSQDGCLLTIATTHHGELKTLKYSNEAFENACMEFDEVNLKPTYKVLWGIPGRSNAINIAERLGLPSVVIDTARKLYGSSSAEIDEVITDMEKLKQDYHRRLTEAHYYLIQSRRLHSSLLNTRRKITEHSTSLLLKKHKDVSASAAMARSILQKNVKELGASTKKASQHNKAIKCFSMESLRSMMRTLSKISRGFVLPHQVFLYRLQPSPKLIWKGSLHHLFTT >CAK8544123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:664434450:664438063:-1 gene:gene-LATHSAT_LOCUS12826 transcript:rna-LATHSAT_LOCUS12826 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGAIGDSVNKLKASRNKNDEYADKDEDFVDDSDDEELEPITLGFLEKPKNRWTLSRQFFPSKAGGLPAWLDPLNIPTGKSSLCDFCGDPLHFVLQVYAPVVEKDSTFHRMLFVFMCPSMTCLLRDQHEQWKRNPEKPSRSVKVFRCQLPRINPFYMSECPKYDGNDKPTGSGAALCDWCGTWKGNKLCSSCKQVRYCSEKHQSMSWRAEHKIACQQIKVSSPANGPNKSGTTSLESRKVGNKNTWPEFEIIEDQSECNVDTSKDNTLSNSLISRNRSDDTMNSLMDSFQGDDDKKSWAHFQERIASAPEQVLRYYRNSNAKPIWPILSGRPSKDDIPKCSYCGGSMCCEFQILPQLLYYFGVENETNSLDWASIVVYACEASCEASLPYKHEFPWVQLYSPSATA >CAK8570975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:211874623:211876137:1 gene:gene-LATHSAT_LOCUS23679 transcript:rna-LATHSAT_LOCUS23679 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYQQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMNKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CAK8530707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:42939476:42940171:-1 gene:gene-LATHSAT_LOCUS551 transcript:rna-LATHSAT_LOCUS551 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSLINSVLFNLSIHHLAFYKDPKKVVKDFITIQHRYLWAGNSKNRFVSWISWKLVCKPKEFRCLGIKHVGRFNRAILAKWLWKFHSGGNEIWRKTLTNKYGTLNIKVHTYLDVGSLKTDSLWLKDILSISISEPHDNFCNFMGCSVGDGSGVAFRKSNWIGDTPLKVIFPRLFQACRCKASSLSEMGEWDGELWRWNLLESMDTTVTPPEPDRSDCRMLLEASSVKK >CAK8572232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523440748:523441173:-1 gene:gene-LATHSAT_LOCUS24819 transcript:rna-LATHSAT_LOCUS24819-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETVKAASHRVKAFDLHEYNFIVDETKNHIEGHPMGYYRVEIHKNWCDCEKFQTFRMPCSHVIDACSSVRQDPFLQLSEVYKVMNLFGIYNNNFPVVASEDYWSTYHGDTIYHNENMRRNKKAIPKARELKLKWIQLKK >CAK8572231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523440748:523441401:-1 gene:gene-LATHSAT_LOCUS24819 transcript:rna-LATHSAT_LOCUS24819 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWTRAFDGGCQWGHMTTNLVESLNDVFKGTRNLPVIVLVRTTYYRLGSLFAARGKKWSAVLESGKLFNETCIKYMKEETVKAASHRVKAFDLHEYNFIVDETKNHIEGHPMGYYRVEIHKNWCDCEKFQTFRMPCSHVIDACSSVRQDPFLQLSEVYKVMNLFGIYNNNFPVVASEDYWSTYHGDTIYHNENMRRNKKAIPKARELKLKWIQLKK >CAK8544501.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:689037360:689038640:-1 gene:gene-LATHSAT_LOCUS13174 transcript:rna-LATHSAT_LOCUS13174 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSEEEENSIIELHALLGNRWSQIAAQLPGRTDNEIKNLWNSNLKKKLRQKGIDPNTHQPISELVENNDKEKQVETEKSNKQIQIQKSSVGSNEVNLFETPKDNNCNYPVEMNSKINNNSSSFISSENMVGLGSSSPSYLSFQQVNYGINNIALSPNSNNNNNSNNSSLCFIPSTNGGSTSMSELNSSTMFQSIQNWEFSNNTNNAASKSSNGSSVCNINFIDQDHHQVIEDIKWSEYLNTTPFFLGNNNDNNITLQQHHSTTIYSDDEIKQEMGFIHNNSDIYSNNNKDFQRFSLAFGQTL >CAK8562273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463894767:463895759:-1 gene:gene-LATHSAT_LOCUS15784 transcript:rna-LATHSAT_LOCUS15784 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLHHQPNPDDVAVWSSKTPIIRFTTVEMHQSDRVKLQFRMHQDIPDALVDLGQWHQKRVDAQWSVSDWKEFAKELRIHWKRRRQHVLTDRVVHGARPSLQYMTWFRSVTTYQFFLSQPTYLADPRERDSSSNTQQQFNAQNWPYENPYMSTNTPYQQQHPYMPPTQSQPQPPYHYSPDTSFEPIPSTYSPDTSFDPTPSNYPANYPSSHPLFDYHTPQQPTHIDQPNSMYTFGQPYRPYSTQPSRQSFENMGIGLDYGSTIDSGPPGYWGQMMQNLSDTSEPSQQHPPPQLNTQRPDTPQQPRRRPRRNPHPPQCGTGGRLDRADH >CAK8562274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:463894767:463895063:-1 gene:gene-LATHSAT_LOCUS15784 transcript:rna-LATHSAT_LOCUS15784-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFGQPYRPYSTQPSRQSFENMGIGLDYGSTIDSGPPGYWGQMMQNLSDTSEPSQQHPPPQLNTQRPDTPQQPRRRPRRNPHPPQCGTGGRLDRADH >CAK8572208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:520782357:520782584:1 gene:gene-LATHSAT_LOCUS24798 transcript:rna-LATHSAT_LOCUS24798 gene_biotype:protein_coding transcript_biotype:protein_coding MICIYQNSRGLTNSPSRLSLKNMIMSNKLDLLFIVKPWMSLDKIPQNWFLKLGFKLIVINERFNLMSNMWYFYKC >CAK8579440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699341848:699343640:-1 gene:gene-LATHSAT_LOCUS31387 transcript:rna-LATHSAT_LOCUS31387 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITAILNFIATLSSIPIIASGIWLASKPDNQCIANFRWPIVIIGILVLLVSLSGFIGAYWNKQGLLALYLFTMAVLIAVLLIVLVFAFVVTRPDGSYVVPDRGYKEYSLNGASSWLRKRVTGSGSWQKVKPCLAASDVCVKLAQNYITADQFYSSHISPLQSGCCKPPTVCGYNYVSPIMWTNPVNPMADSDCNLWNNDQNQLCYNCNACKAGLLGNLRKEWRKANIILIVAVVVLIWVYVIACSAFKNAQTEDLFTRYKQGWV >CAK8532385.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:254170020:254180537:1 gene:gene-LATHSAT_LOCUS2096 transcript:rna-LATHSAT_LOCUS2096 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIERMNGRSQSGSDWIMEIKGKVENPVRKKRKNKKPKKREYNGWGSTSLIKFLKSIGRDTSNKISQSDVTEIINDYVMQNNLLNPAKKKRILCDDRLQMLFRKKSIGRFMISGLLESHFLENCGQSDDELLFDSEDDEYACEIPKPAPSERKSQPRKHVVEKPRSCFAAINPSNIKLVYLKRSLVEELLKDLETFETKVLRSFIRIKCDPNDYLQKNSHQLLQITGIKKSSGVDGEIRLQASGFIKDININMLSDDNFSEAECEDLHRRVKDGLLKRPLIVDLEEKVRVLHEDVTKHWLAKELTILQNLIDRANEKGWRRELEGYLQRRDKLKNPEERERLLREIPGVIAEDLESESTTPDVPVKKLKTSFQEFSETTCAKASLATEVPKAVADDSPDEFSDEEKWLFHGIPQVTTGYQDFSESKTLEVPEQKAENDMQGFREATCTKESLATEVSKPVANGFACRATNLYLADQTEQENESPKSILNLSGPSEVPLFNMEINSTALNCISRDTSAVNQWASVPVQQQPAKPTNFAYKDGVSMPAKSNGVKIGDKISQAPTDNQVRPANIQIIELSDDDDDEENEKPSTIKPIYEKPSTGKPVPAPVPAEELQSLIWHYRDPQGRVQGPFSIVALKGWRDAHYFTPDFKIWRADQGQDQSVLLRNILPKFFPFG >CAK8567606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:528484060:528485249:-1 gene:gene-LATHSAT_LOCUS20643 transcript:rna-LATHSAT_LOCUS20643 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFADHEIAQASNWFCNTQPLHEDTDKITEFSPFEGFPEFDWGSEVSYQSNHLYINDFKDLENFNFDFDFNLPLFHENFEVNQIPLNPVFPALEHCNDKAESTGECSFAESSVEIENRFSSFVKKEEEEYDDNQEQSPLPLPLSSCGNSSLIRSKKSSLPYDEIKKHFDVPITLAAKRLNIGLTLLKKRCRELKITRWPHRKLKSLMLLMDNLKEMGLDNEVAMLEKQRKLLENIPGLELNEETKKLRQACFKSNYQKRRLITSHQ >CAK8571539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:436659440:436660926:1 gene:gene-LATHSAT_LOCUS24190 transcript:rna-LATHSAT_LOCUS24190 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKKRRRTEPTEEILPFKNKLKPDSTILEILKELKTSCASSSGTKTLTLDELSLPFHCREVADLSLSSVQSNIESLVLKIAHSILAGNGFAFDVPSRSSANQLYVPELDRIVLKDKSSLRPFANISTVRKTTITARIIQLIHQLCQKGIHVTKRDLFYTDVKLFQDQMQSDTVLDDVSCMLGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVSTRLFLRKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSSHLTTPDIKWLGVRPSDLDKYKIPEQCRLPMTEQDIKTGKDMLEEDFVKKNPGWVEELTLMVKTKQKAEIQALSTFGFQYLSEVYLPLKLQQQDWL >CAK8530744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46458811:46463667:1 gene:gene-LATHSAT_LOCUS587 transcript:rna-LATHSAT_LOCUS587 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYSNWLCILSASLYYFIFTCFVMSTKKNITDEFALLSFKSSITLDPYHVLSNWSISSNSSSFSSCNWVGVTCDEHHGRVNALNLSHMGLQGSISPQLGNLSFLLVLDLQNNSFNGELPRELLHLKRLKLLDLSYNDFVGEIPSRIGELSKLQYLDIGYNKIVGIIPQSISNLSMLEYLSLKLNFIEGTIPHVIGKLHMLRILDVIYNKLSGIIPSTVFNMSSLEYIRLSNNFLSGEIPKGIGDLPQLITLNLQNNLLTGNILMLNNSSLQILALGFNNLSGILPSNVCQGFPNLRFLYLYANDFSGKMPNVWCHCKELEDLELSYNNFDRGPIPVDIGNLTKLQYLYLTSTNLEGEIPVPLFNISSLIQINLDANDLNGTLPDEMCHQLPQLEIFTLYGNRLEGTIPRSIGNCTLLQNLSLHDNFFSGSIPMEIGNLKQLQLLQLGNNRLSGHVPSNVFNISTLQYFRLEENSLSGMLPSNMGLGLPNLQQLHIYRNRFVGKIPNSISNASNLVIIDLSSNEFSGIIPNSFGNLGDLKSLIISGNNLTIDESLEFNFLTSLTSCRYLKLLEISENNLPLKLPKSIGNLSLEHFWADSCGINGKIPLEIGNMSNLVRLSLSRNELNGQIPSTIKELQKLQSLGLDYNKLQGSIIDELCEIRSLSELNLTSNKFFGVLPTCLGNMKSLRKIHIGSNRLNSAIPSSFWNLKDILEVNLSLNALTGNLPSEIKNLRALVLLDLSENQISNNIPTTISLLTTLETLSLANNKLEGLIPTSLGEMVSLSFLDLSQNLITGVIPKSLESLSYLKHLNLSYNRLQGEIPNGGPFKSFTAQSFIHNKALCGNPLLQVPQCKKNRRTKLLIICSSSIIAVLCILVVVCVTILMHKRKKVGNPIEKDLSTLGAPSRISYYELVKATNGFNESNLLGKGGFGSVYQGMLSTGKMVAVKVLDLTLEATSKSFDAECNAMRNLRHRNLVEVITSCSNDDFKSLVMEFMSNGSVEKWLYSENYFLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDEDMVAHVSDFGISKLLDEGHSKTHTETLATFGYAAPEYGSKGVISVKGDVYSYGIMLMEIFTGKKPTNEMFSDKLTLKTWISESMASSIMEVVDCNLVSHEKEMHEILAIALRCCEDSPEERINMKDVTSSLLKIKTSLIQ >CAK8560900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:55869588:55869992:1 gene:gene-LATHSAT_LOCUS14539 transcript:rna-LATHSAT_LOCUS14539 gene_biotype:protein_coding transcript_biotype:protein_coding MPETNFSFSSTNQSGSGIRRRGNRCWCGLESPLMTSWTYDNRRRIFHGCGNFKVMRKKGCNYFQWFDEDMSSRAKDVIRSLKNKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSVMFVFLIVFPLVATHVLK >CAK8572325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529770615:529771359:1 gene:gene-LATHSAT_LOCUS24901 transcript:rna-LATHSAT_LOCUS24901 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQKFDTMSLSSSSSSPSSPIQEEELQHMPLAPLAWNNRRKLSKQLSMCEKPRDMAWERKRRQERRRSSIANECVCDDITDDDLHELKGCIELGFGFNEEDGQKLCNTLPALDLYFAVNRGLSPSPVSTPQSRASSLGARSSSFGSPRSDADSWKICSPGDDPELVKTKLRHWAQAVACSVMQSS >CAK8535145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817257302:817259032:-1 gene:gene-LATHSAT_LOCUS4621 transcript:rna-LATHSAT_LOCUS4621 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCLTYELSELCLGKPPLKSISTSATVAEAIELLRSSGESFVSVWDRDYSECVGKVCMVDVICYLCREESLVCPDSALNASISNLLNKVDGLVVHLESSSSLSEAIDLILEGAQNLVVPIVQTRRGGISRRKQHQKLSTISGHNGVEFCWLTQEDVIRFLLGSIGLFAPLSAQSISDLDIISSDVVAIDYYSPASSVVEAIAKSLAQQTSVAVVDGDGTFIGEISPFTLACCDESVAAAVTTLSAGDLMSYIDYGGPPEGLVSVVKARLKEKNLEKLLQKFTILTSLTGDVSASSSSDEESPTRSLNRSGKYGRSSSYSARFVRKAEAIVCHPKSSLIAVMIQAIAHRVNYLWVIDDDCSLIGIVTFSNILKVFREHTEIM >CAK8575587.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:188559303:188560567:-1 gene:gene-LATHSAT_LOCUS27839 transcript:rna-LATHSAT_LOCUS27839 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKDKTVLLVTHQVDFLHNVDSIMVMHEGRVVQSGKYDELLKAVLDFGALVAAHESSMEILETSDNSNDDSSQSPKLVCIVSKEKESGDEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFGWWGIALVVAMSAGWVLSFLVGDYWLAIATSDGSSIPSFTFIFVYASIVVVACIVLIGRAFMYTYLGLKTSQSFFVGMLQSILRAPMSFFDTTPSGRILSRVSTDILWVDITIPMFTTFVMIAYLSLFSILIVTCQNSWETVFLVIPLVWLYNWHRILK >CAK8575588.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:188559303:188560492:-1 gene:gene-LATHSAT_LOCUS27839 transcript:rna-LATHSAT_LOCUS27839-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHEGRVVQSGKYDELLKAVLDFGALVAAHESSMEILETSDNSNDDSSQSPKLVCIVSKEKESGDEKQSSQDQSKFDDKTAAKLIEDEGRETGHVSLKVYKQYFTEAFGWWGIALVVAMSAGWVLSFLVGDYWLAIATSDGSSIPSFTFIFVYASIVVVACIVLIGRAFMYTYLGLKTSQSFFVGMLQSILRAPMSFFDTTPSGRILSRVSTDILWVDITIPMFTTFVMIAYLSLFSILIVTCQNSWETVFLVIPLVWLYNWHRILK >CAK8535416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:846544195:846547365:1 gene:gene-LATHSAT_LOCUS4881 transcript:rna-LATHSAT_LOCUS4881 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRFQTKKQVVWIYYLWVWWWTTSICVKAENDSLKAGEILDSNSTLCSKQARYCLLFQEIVFGSSDTDSEIKYKYLVVEFNASIDQGPIVWLYARNQPVVTESAVLSLNYSGVLKIEFQYSKEIIIYSSPQPINNTVATMLDTGNFVLEQLHPNGTKTLLWQSFDYPSNVLIPTMKLGVNRKTGHNWSLVSFLNPSMSILDEFSLEWEPKEGELNMKRRGIVYWKSGKLGNNGLFENVPANVQQNYQYVVVSNKDEDSFSFKIKDRNYKKSPMWVVSSEGSLENLEGEIGDADNCYGYNTDRGCQKWDIPSCRKPGEVFQRKLGQPDIDNAVIQDNVSIGYTDCKARCWRNCGCNGFQEMYINGTGCVLYSWNSTQNVDWENENKFYLLKKPAKLSPNHHGKRRWIWISAAIATLLLTICTLILWIVIKKHGYGLKEKKAKRKENEVQELDTSDELYSIKNLEDDFKGHDIKVFSYASILEATMDFSPKNKLGQGGYGPVYKGILATGQQVAVKRLSKTSGQGIIEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDSTKRKLLDWKKRFNIIDGISQALLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQHESIVNTNRIVGTYGYMAPEYAMEGICSTKSDVYSFGVLLLEIVCGRKNNSFYDADRPLNLVGHAWELWNDGEYTQLMDPLLSDSFVSDELKRCIHVGLLCVEQYANDRPTMSDVISMLTNKYEHVTLPKGPAFYVRRDFLQEETTSEVLDTDTYSTTVISSSEVKRQEISLPGIKHC >CAK8563234.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:584540164:584540598:-1 gene:gene-LATHSAT_LOCUS16665 transcript:rna-LATHSAT_LOCUS16665 gene_biotype:protein_coding transcript_biotype:protein_coding MFETFNSVIIGPRQKPIIIMMEEIRGYLMDRCATNRAKIEEYRKFVLPIIKKLLERRKDMSRFFIPRLSGDMIYEVRHTSLSGEKFTVDLRRLECSCRSWMLTGIPCYHVISYM >CAK8536784.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:20213536:20217205:-1 gene:gene-LATHSAT_LOCUS6117 transcript:rna-LATHSAT_LOCUS6117 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGGGLRRVLVLAFCVAGIWSAYIYQGILQETLSTKRFGPDGERFEHLAVLNLAQNVVCLIWSFIMIQVWGGGISGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTIPEYLCTFLVAGGVSTFALLKTSSKTINKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLKARYPKTSAWGIMLGMNLWGTIYNMIYMFAWPSGSGYEAVHFCKQHPEAAWDIFLYCCCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSTKQWGCVSMVFSGLSYQIYLKWQKLKRLQKKKKAT >CAK8565041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31058722:31064360:1 gene:gene-LATHSAT_LOCUS18282 transcript:rna-LATHSAT_LOCUS18282 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASNLGGKIEKAEVLSAVDKYEKYHVHYGGQEEERKANYSDMVNKYYDLVTSFYEFGWGESFHFAQRWVGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREISRFSSASVTGLNNNEYQITRGKELNRRTGVDKTCNFVKADFMKMPFEDNSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDSFDPNNQEHQKIKAEIEIGDGLPDIRLTTKCLEALKQAGFEVIWEKDLAVDSPVAWYVPLDKSYFSLSSFRLTAVGRLFTKNMVKVLEFVGLAPRGSQRVQDFLEKAADGLVEGGKREIFTPMYFFLARKPHSDSN >CAK8574479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:455448:455792:1 gene:gene-LATHSAT_LOCUS26823 transcript:rna-LATHSAT_LOCUS26823 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWNEKKERYFVGKVYQFLKKDEPDVGWKHMFSNSIARSRALFVMWMACHRRLVTRGRLKKLGLTTDESCNFCNKEETINHLLFDCPSFKNGWQQILACLGIQHVPCEWREEL >CAK8561042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:80358988:80361666:-1 gene:gene-LATHSAT_LOCUS14668 transcript:rna-LATHSAT_LOCUS14668 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEKKHIRIKFSLPNQNNFLSSLSSSSMMPILLVFLLLSFFSSPSLALPSSASFVPKDNFLIDCGAENPPTLPDGRQFKSDPQANSFLKATDDYKVSVNNVDVPLPVYLNARIFIQEAKYSFHLVQSGFHWVRLHFYPIKNSVFDLQKATFSVNTDTFVLLHSFNVNNTDKPILKEYLINVTEPQFTLSFIPLKNSAAFINAIEVVSAPDNLMFDRGAGLFPVADVTGLTEYAFQPVYRLNNGGPLITSSNDTLGRTWESDEHYLTNKNLAKFVSVPSNVVKFPQDTPTISPLTAPQSVYSSATEMGDAGVNLPNFNVSWKFDVDTSFSYLIRLHFCDIVSQGLNQLYFNVYINGKSAISNLDLSAITGALATPYYKDIVVNATLMTQGLSVQVGPANADGGNANAMLNGIEVLKISNTVNSLDGEFGVDGRRVGGSNRGTVAAVGFAMMFGAFVGLGAMVIKWHKRPQDWQKRNSFSSWLLPLHAGDTSFMSSKNSMGKSNVFSSSMGLGRIFSFHEIQEATKNFDAKNIIGVGGFGNVYLGVIDEGTQVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSMIGYCDENDEMILVYEYMPNGHLRDHLYGKNMPALSWKQRLEICIGSARGLHYLHTGTAQGIIHRDVKTTNILLDENFTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPQLPREQVNLADWAMQWKRKGLIDKIIDPLLVGSINPESMKKFAEAAEKCLADHGVDRPSMGDVLWNLEYALQLQEAFTQGKADDENENKAVSPAAVVVPTSPTPAPPTTPPTIPEGTPNTPSPTIPHQEANNNNNNGAAEARGIDDHSGTAMFAQFSNLNGR >CAK8543790.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640018458:640019333:-1 gene:gene-LATHSAT_LOCUS12526 transcript:rna-LATHSAT_LOCUS12526 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHADDDAASWLTLSLPSSSRVSAAAALSYEEQDMVNASSWLTLSLPPPPQPLPFEYRPLTPSLSPPNAMTRSNSEVMESPQTIQPPFPWAKEQDMVNALTLSLPPPQPQQLTPSLSPSNAITRSNSKVLESRQTIQPQFPWATLKPATIHTINHLLYDLNINTISGTLGCKLCKFQQTDVRFDLLEKFEKVANFIEEKKSEMFERAPDAWMKPVLPNCRNCGPKSKMRPLIGKNEEINWLFLFLGEMIGCCNLVHLKYFCQHANIHRSGAKDRLLYQTYLSLYKQLQPH >CAK8540214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545468038:545473222:-1 gene:gene-LATHSAT_LOCUS9240 transcript:rna-LATHSAT_LOCUS9240-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQSGIGISKILFIAGAGYTGTILIKNGKLSDIIGELQSLVKGMEKYGDQNDDDGDMSDVIAAQVRRLANEVRQIASNRSITVLNGGGQSSNLSSLVVPATVLGAAGYGYMKFKGLSFSDLMYVTKRNMEAAVADLTKKLQHASDVIADAKKHLTQRIQNLDDKMRKQNEKTRLIQDGVSDVRKTVTNIHDDMSDMKLKVAQLDGRLNKVGENQELANMGLDYIIGFIQANTRRVPHSLPGTISHEQPKLPWRSPGMLTLPGITYPGTPNLMGLKDIAESLSSLDRSASDTIGLDRIEQQRKPLLRCTSTKC >CAK8540213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545468038:545473222:-1 gene:gene-LATHSAT_LOCUS9240 transcript:rna-LATHSAT_LOCUS9240-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQSGIGISKILFIAGAGYTGTILIKNGKLSDIIGELQSLVKGMEKYGDQNDDDGDMSDVIAAQVRRLANEVRQIASNRSITVLNGGGQSNLSSLVVPATVLGAAGYGYMKFKGLSFSDLMYVTKRNMEAAVADLTKKLQHASDVIADAKKHLTQRIQNLDDKMRKQNEKTRLIQDGVSDVRKTVTNIHDDMSDMKLKVAQLDGRLNKVGENQELANMGLDYIIGFIQANTRRVPHSLPGTISHEQPKLPWRSPGMLTLPGITYPGTPNLMGLKDIAESLSSLDRSASDTIGLDRIEQQRKPLLRCTSTKC >CAK8540212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545467996:545473222:-1 gene:gene-LATHSAT_LOCUS9240 transcript:rna-LATHSAT_LOCUS9240 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQSGIGISKILFIAGAGYTGTILIKNGKLSDIIGELQSLVKGMEKYGDQNDDDGDMSDVIAAQVRRLANEVRQIASNRSITVLNGGGQSNLSSLVVPATVLGAAGYGYMKFKGLSFSDLMYVTKRNMEAAVADLTKKLQHASDVIADAKKHLTQRIQNLDDKMRKQNEKTRLIQDGVSDVRKTVTNIHDDMSDMKLKVAQLDGRLNKVGENQELANMGLDYIIGFIQANTRRVPHSLPGTISHEQPKLPWRSPGMLTLPGITYPGTPNLMGLKDIAESLSSLDRSASDTIGLDRIEQQRKPLLRCTSTKC >CAK8567237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496857252:496858896:1 gene:gene-LATHSAT_LOCUS20306 transcript:rna-LATHSAT_LOCUS20306 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLTFILLLLLLLLVPSFIQSSPLHQDPELVVQQVQKSINDSRRNLAFLSCGSGNPIDDCWRCDKNWEKNRKSLADCAIGFGKHAIGGRDGKIYVVTDPGDHPVKPKPGTLRFGVIQEEPLWIIFKRDMVIKLKQELMMNSFKTIDGRGTNVHIAGGPCITIQFVTNIIIHGINVHDCKRGGNAYVRDSPTHFGFRTLSDGDGISIFGGSHIWVDHCSLSNCRDGLIDVIHGSTAVTISNNFMTHHNKVMLLGHSDSFTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWRMYAIGGSANPTINSQGNRFLASNDNTFKEVTKRENAGQSQWKNWNWRSSGDLMLNGAFFRASGAGSSSSYARASSLAAKPSSVVASITASAGTLNCKKGSRC >CAK8543513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613756988:613761040:1 gene:gene-LATHSAT_LOCUS12267 transcript:rna-LATHSAT_LOCUS12267 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKNPCVFFDVSVDGDPVERIVIELFSSVVPKTAENFRALCTGEKGIGESTGKPLHYKGTGFHRIIKGFMAQGGDFSRGNGTGGESIYGGKFADENFKLKHDGPGVLSMANSGPNTNGSQFFIIFKRQPHLDGKHVVFGKVTEGIEILKKMEQLGTSDGKPTSPIKIVDCGEVSKAKSQHAVEKEKGKKKKSVKSLSSDDSSDADKKISRKRKISSKDRRKRRRRYSSSDSGSDNNSSDSESNSSSDSESDSDSSSSDSSSSSYGKHQKRKRNKRRHGKKRSIGRKQKRSQHSRRRSKHKSKKSSDSSSDTESDSSSASDSSSGDRKADRRVSGRKIQADKAKNNQEIGKPSSSRPLQSQTIPELVVDPKVGKPVDKQSHEEGELSPENGEYMNNGHDTQAEFSKPANHRAYSDDSDHNRDVSPGRSPAKNSRELNRGRALSASPDKKSSEPAAAASKHGQGISKSPSPNGKRVKKGRGFTERYAFVRRYRTPSPERSPRAYRYGDRNVRRNFDRNTSYRSYSERSPPRRFRSPPRGNRPRYQSRRSRSRSISRSPVRGRYRDNGRGRSPKRSLSPEDRRPPISDKLKSRLGARGNQQSPDRQKSKSNSRSNGISRSRSPDITPPKLYNKRTSISRSRSRSSSASGQKGLVSYGDASPDSGAR >CAK8578100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607238356:607240329:1 gene:gene-LATHSAT_LOCUS30141 transcript:rna-LATHSAT_LOCUS30141 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFSVEIIESAKPIVLMIVVQSIYAVVNIMLKMVANDGSSLSVLIVYRFVFSTAFTVPFVFFFERKSVQNLTAKVLFQAFLCGLFGGSLQQNLYIKSLALVSATYTITMLNLIPAITYVLAVSLRMEKPNLGTPAGKAKLMGTLSGIGGAMILTLYEGKRLFNLSLHIDLLQNVTSTTHHSPAGSHVWGLMLALGTAISFSLWFITQSKMSQNFPWHYSIAALTSIMGAIQSFIYAICTERDWSQWKLDWNLRLLTAASAGILASGVCFVLLAWCVGMKGPLYVSAFNPLMLVLVAFISSFVLNEYITVGSLTGAVLIVCGLYMLLWGKSKEARKNDNMKEIASAIDTVKCDSFHIANNSDLSCIQKEHDMKIATIAPEVSLNVNNNTLGEIQKSNI >CAK8560746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39347820:39348257:-1 gene:gene-LATHSAT_LOCUS14397 transcript:rna-LATHSAT_LOCUS14397 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDNTRLNEGIGGGLDEEDDGDGNDYIEFEDEDIDKI >CAK8565967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:362215041:362215679:1 gene:gene-LATHSAT_LOCUS19140 transcript:rna-LATHSAT_LOCUS19140 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKESCRSELRIAIRQLSDRCLYSATKWASEQLVGIEQDPSKFTPSYTRFQRGSSSIRRKFRTHEVTATPPAGVSYVVTPVMEEDALVDTDFYLLAKSYFDCREYKRAAHVLRDQIGRKSLFLRCYALYLAGEKRKEEEMIELEGPLGKSNAGNQELVSLEKELSTLRKNGTIDPFCLYLYGIILKQKGNENLARTVLVESVNSYPWNWNA >CAK8577957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599000506:599017019:-1 gene:gene-LATHSAT_LOCUS30013 transcript:rna-LATHSAT_LOCUS30013 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALMVFSATNKLFEYASSSMQEVIERRNGCSENHRSMDHHPSTDQFQVESESSDKLRKNLEDKSRELRQMNGEDLQELTVQELQKLETTLRRSLSSVSKMKDEMFMQGIDTLKRKEVELIQENERLKHVVPDLIIGQRQQSLESVISGSSYFLEDDGSDTSLKLGLTLHN >CAK8543999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:655044648:655046297:-1 gene:gene-LATHSAT_LOCUS12713 transcript:rna-LATHSAT_LOCUS12713 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEMVSGSWLNSLWPVSRKNVLDDKAVVGILALEVASLMLKITNLWRSLSDWEVMSLREGIVNSVGIKMLVSEEDDYLMELVLNDILDNFRFLAQSVVRFGKRCTDPVYHRFEHFVRNPVQNYIQWSGWEYKWKKMERKVKKMEKMVASTTQYCQELEVLAEVEQTFRRMQANPELHRVKLVEFQKKVACRRQEVRNLRDMSPWNRSYEYVVRLLVKSLFSILERIILVFGNNQIPALQQENDSQDVNANNFLRSQSFSVFMHSSIYPSENDLYGFNNLGSVGRRRYFLSDKSKKTKDHKKALHPSDKPGKHKRSESKQLGNIGPFKSCMSVTSNSPVIQSCVQQTNGYGGSMRLPDSHTKHVDKTKTAENSSLPIRIRIYSKLRINNTSKPGSLTLGDAALALHYANMIVLIEKMASSPHSVDLKARDNLYKMLPTSIRIVTRAKLKCHMTNKSSSIHDAELAAKWSTALMQTLEWLAPLAHNTISWHSERNYEKEHATVKANVLLVQTLFYANQAKAEAAMVDLLVGLNYVFKIETKVGMRDRCEC >CAK8579504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:704462736:704466694:1 gene:gene-LATHSAT_LOCUS31448 transcript:rna-LATHSAT_LOCUS31448 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLKSFRDGESVLDLSPRSCVGGGVEDVYGEDRATEDQLVTPWTFSVASGYSLMRDPQYNKGLAFTEKERDAHYLRGLLPPVVSTQQLQEKKLMHNIRQYEVPLQKYMAMMDLQERNERLFYKLLIDNVEELLPIVYTPVVGEACQKYGSIFKRPQGLYISLKEKGKVLEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYSALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLRQRRATGKEYYDLLHEFMSAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLPEHTFLFLGAGEAGTGIAELIALEMSKQTKAPIEESRKKIWLVDSKGLIVSSRVDSLQHFKKPWAHEHEPVSTLIEAVKMIKPTVLIGSSGVGKTFTKEVVEAMTANNKIPLILALSNPTSQSECTAEEAYQWSEGRAIFASGSPFDPVEYKGKVYYSGQANNAYIFPGFGLGLVMSGAIRVHDDMLLAASEALAKQVTEENYKKGLTYPPFSDIRKISANIAANVAAKAYELGLATHLPRPQDLVKYAESCMYSPLYRNYR >CAK8536821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24154678:24158337:1 gene:gene-LATHSAT_LOCUS6152 transcript:rna-LATHSAT_LOCUS6152-2 gene_biotype:protein_coding transcript_biotype:protein_coding MESINRSLDEFYSPHARELLDELSEHFTITDPSISGHPIVFASHAFLKMTGFTRDEVVGRSGAMFQGPATCRRAVMEIREAVREEREVPLLRKPRVCGSGGGFSQVNEFAFRCCRKEVCSDSLAELSRVSSANRVLEHDVAELESEEPREASGDERRSAATAMDNIFSVLTHYSELTGKFVCRKRCSVPDVGLLSSSLIISLGRIKQSFVLTNPHLPDMPIVYASDTFMKLTGYARDEVLGRNCRFLGGSDTDASTLHLIRESVKTEQPCTVRILNYRKDKSSFWNLLHISPVRDASGKVAYFVGVQIEDDYKNDDFRRCWRPDMKQLSVVGVVKVAVRSLSMSAGSSRLQ >CAK8536822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24154678:24158337:1 gene:gene-LATHSAT_LOCUS6152 transcript:rna-LATHSAT_LOCUS6152 gene_biotype:protein_coding transcript_biotype:protein_coding MESINRSLDEFYSPHARELLDELSEHFTITDPSISGHPIVFASHAFLKMTGFTRDEVVGRSGAMFQGPATCRRAVMEIREAVREEREVQVVLVNYRKNGTPFWVLLNVCPVFSANRGAVVHFVAVQVPLLRKPRVCGSGGGFSQVNEFAFRCCRKEVCSDSLAELSRVSSANRVLEHDVAELESEEPREASGDERRSAATAMDNIFSVLTHYSELTGKFVCRKRCSVPDVGLLSSSLIISLGRIKQSFVLTNPHLPDMPIVYASDTFMKLTGYARDEVLGRNCRFLGGSDTDASTLHLIRESVKTEQPCTVRILNYRKDKSSFWNLLHISPVRDASGKVAYFVGVQIEDDYKNDDFRRCWRPDMKQLSVVGVVKVAVRSLSMSAGSSRLQ >CAK8563350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:594576715:594579017:-1 gene:gene-LATHSAT_LOCUS16771 transcript:rna-LATHSAT_LOCUS16771 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDDSSIYVGGLPYDATEDTIRTVFNLYGAILDVKIINDQRTVGKCYCFVTFTNPRSAIDAINDMNGRSISGRVVKVNGVKTRGGGGGGGRSNFGRERERYYHHNDERNGDWDRGRDREIRDQDYDRGSGRDHMREQNYDHGNEKDGYRSRGGDWTRHGDRSRDRERSRDRDRSRDHDRGRDRRVEHVQDYDDQARERMLDDDWNRDDDRAENQQENSRVHGGDVDRDHNLDVDTDRKMDRVSDPDKSFDEPKREPARRNIDLNVTNENSPSDSTDDHYDEAEDELEQSMQELDKLKKEVSKLDGRVEERRLNVTELQKHSKKLEDAVITAKKQSLYRQMQLTKLHECFLQVQDSTERLKTSEKEFQALVDSVMFESDGDGSLGQLTNGTLDGK >CAK8576140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:414569843:414587331:-1 gene:gene-LATHSAT_LOCUS28352 transcript:rna-LATHSAT_LOCUS28352 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTYKLPSMDLMRSEKMTFVQLIIPAESAHRAITYLGQLGLLQFRDLNAEKSPFQRTFVNQVKRCAEMSRKLRFLMDQINKAGIMSPSSVLQSDTYLEDIEVHLAEHEHEIIEMNSNSEKLQQSYNELLEFKSVLQKACSFLVSNHGHAVSEERELEENVYLNEDIIETPFLFEQEMMPDPSKSNQSGLRFISGIICKSKVLRFERMLFRATRGNMLFNKAPADEQIMDPISTEMVEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITQEVSSRLADLEATLDAGIRHRNKALSSVGGHLEKWMDMVRREKAVYDTLNMLNFDVTKKCLVAEGWCPIFARTQIQEALQRATFDSNSQVGVIFHSMDALESPPTYFRTNSFTNPYQEIVDAYGVARYQEANPAVYTTIIFPFLFAVMFGDWGHGICLLLGALVLIAHESKLGNQRLGSFMEMLYGGRYVLLLMSLFSMYCGLIYNEFFSVPFHIFGASAYKCQDISCRDAHTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIMLSYFNARFFGSSLDIRYQFVPQMIFLNSLFGYLSLLIVVKWCSGSQADLYHIMIYMFLSPLDNLGENELFRGQRPLQVLLLLLALIAVPWMLFPKPFILKKLHNERFQGRNYGVLNTSEVDLEVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLVGLVVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFAALTEDEN >CAK8540180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543259454:543260072:-1 gene:gene-LATHSAT_LOCUS9210 transcript:rna-LATHSAT_LOCUS9210 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGAAGRKSMSTVAKVVAEIVSVGKTKHRKATSELGTYMGIPHHSRSEIASIISKFIKLYSFRSPGIKKDKLWEQNLQTLLRGRNSVGFPEIAKVLSPEFCQGAINIKDTNMDSSTDNTKGNSSQKKGEKK >CAK8542086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:471599631:471600269:-1 gene:gene-LATHSAT_LOCUS10957 transcript:rna-LATHSAT_LOCUS10957 gene_biotype:protein_coding transcript_biotype:protein_coding MESISFVKMCVLWNGNKGEYFETKKGLRQGYLISPYVFVLCIDKLSHMIIEQVEEGRCEGAKAGKSSPTVSCLMFADDLILFGRVVENQITCIMEVLDKYYGESGQRISYDKSRILFSKSTDVYTRRKIIQFYGIKEAKKFGYYLGVPLTGKFPKAKDYQYLVDPAKTKLSSWRGKQLSFAGRLTLAKSVLKEIPTYTMMSNMIPNTFFKNI >CAK8542765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544647036:544647584:-1 gene:gene-LATHSAT_LOCUS11574 transcript:rna-LATHSAT_LOCUS11574 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYGQMYTNNFMKGIEKEVIESNSYNIMQFDSQRFCFLIQKIVNHNYGRPTTHFNVDLSNHTCECGRFQTFHVPCSHAIAACSSIGQDYFMHIVDVFKVVNVIKVYEESFLRVPIETTWPQYEGDTLCHNDNIQRKNKGRPNSSRIRAEMDNIEKEKKRCDICREITHMRKKCPNKVGPSS >CAK8542999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564850162:564853318:1 gene:gene-LATHSAT_LOCUS11790 transcript:rna-LATHSAT_LOCUS11790 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQPQQEHHCQVTTLGTHNPLLPGPQSDDDDDGGCAYSRAVLVVDMVWNLSFVLVAAAVILSTFGERPSTPLRLWLFGYALECVLHVAFVYSEYRRDSFSHTPYSIAKKLEPMNTLASSVWWVFGFYWIVVGGQPLLEDSPRLYWLTVVFLAFDVFFIIFCIGMACIVFFALFCIIPIIALAYALRIREGASEDDIRSLPMYRFSQSNVMVMVDDNNNNQLVKARIGSYNQSHISELSLHPDDSECCICLSTYVDGTELYRLPCTHHFHCGCISRWLRTKATCPLCKFNIRRADTLV >CAK8538039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459794200:459797310:1 gene:gene-LATHSAT_LOCUS7280 transcript:rna-LATHSAT_LOCUS7280 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPITRSELTELLTKPSLNGIPLLVLGNKIDKSEALSKQALVDQLGLESLTDREVCCYMISCKDSVNIDVVIDWLIKHSKTAK >CAK8560741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39082781:39086796:-1 gene:gene-LATHSAT_LOCUS14393 transcript:rna-LATHSAT_LOCUS14393 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEDGKSIKTERPSSPVTTDETNQSNQSNVHVYPDWAAMQAYYGQRVNIPPYFNSAVASGHPPHPYMWGPPQPMMHPYGPPYAPFYSHGGVYSHPAVAIGTNSHGQGNPSSPVAGTPASIETPTKSPGNSDRGLIKKLKGFDGLAMSIGNGNVAEHGAENRLSRSVDTEGSSDGSDGNTTRTNGTRKRSRDETPTTTDGEGKTVMQNSPVYKEIAASKMMVPVTPTSVAEKFVGPVLSSCMTTALELRNPSNVPTGAPQPCGVLPPEPWMQNERELKRERRKQSNRESARRSRLRKQAEAEELARRVDALTAENLALKSEINEFAENSEKLKIENAILKEKLKNTQLEQTEEIILNSIDKRATPVSTENLLSRVNNSNSGDRTAEEENGFCENKPNSGAKLHQLLDANPRANAVAAS >CAK8531491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:115252127:115256438:-1 gene:gene-LATHSAT_LOCUS1279 transcript:rna-LATHSAT_LOCUS1279 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGVSETRNPDAYWIEGADLNSRFASPSFEQSGALFNEGAPEFVDQSMYYPAATNYGYYGTGFEPPGEWEDQQRIFGIEGPDVQYTGGQNENFPYVYYSYGYAQSPYNPYNPYIPGAVIGVDDSFGGEQSYYTLPHYQDPASSPSYVPLVQPDNFPYNSANSLFGASASVNRPDGRGLKHKFNSAYGNFSRNSSQLLSNQTSSLARVSEGPRANDGRKHASVSGSRFLNMASSAVHQDRSSDASVQPVSAISNGNAISHRNQLKVAASSESSHFASNPNGQSAVGKLRPKVDIFKVSNDGNGSADVLGEQNRGPRTSRSKLQLSVKAYTTKVGGCNEQGNIIIYTDQYNKEDFPLNYDNAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLGNAYEDAKKLSAEKAGVCPIFLFFSVNASGQFCGVAEMIGSVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNAHFKHIILENNENKPVTNSRDTQEIMYFKGLEMLKIFKSHTLKTSLLDDFMYYENRQKIMQDEKAKLLIRSVESPLFVQALEAPQTLVVGKPPSKYEKNLKIKDDSDNSNQMSISSSEQNIHNSDVPNSKSVNEQAKKIAVHEDISSILKIGSVTITPKQVEAKQSGIGNREQIDVLTVGSMPIKVNGFAGSSGFLKVGSIQLNPKALQP >CAK8563297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:590791500:590792710:1 gene:gene-LATHSAT_LOCUS16722 transcript:rna-LATHSAT_LOCUS16722 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMAMVITATAILIIFLLFSPSSVAILLNKLQLPTPVTGPESLAFDRDGGGPYVSSSDGRIFKYIGPNDGFKEYAYTSPNRNRTFCDGLADFSTVQAICGRPIGLGFNHRTGDLYVTDAYLGLVKIGPNGGGNVTLLPWEQANGTIYANGLDVDPDTGIVYYTISSTNFQLKDFQTLINSADNSGSFLRYDPKTNQTTVLLSNLALPAGVAVSKDGSFVLVSEYLANRIQRVWLKGPKANSSEIFMLTAGRPDNIKRNSLGQFWISVNSFLGPPSSLRCTTLPSGVRVNKNGLVLQVVSLTDEYGGEPASEVQEYNGKLYGGSLLANYAAVFTPLEFFTLSL >CAK8569594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6339776:6358533:-1 gene:gene-LATHSAT_LOCUS22430 transcript:rna-LATHSAT_LOCUS22430 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLFTKRLLEGAKTTPSLQQTIVSPNTSKTNFHREYLSSPDSINRGVFRRFLPRRSVHHSDTAKLPEYLSLPVGEKLREKLNGINNITGTGDRLNLGDLSFPKFTAQETVTGSEITVEDAKKILRASQMEKVKAKLRDIPENTICYSEFLRICFESSQNHDQGTEFAKILDESGNVIVLGNIVFLRPEQVAKSIESLLHQSIASPNDPRRKELENMEKQKAIIDDKAKAQVQTELYCGLGFLAIQTLGFMRLTFWELSWDVMEPICFFVTSLHFAMAYMFFIRTSTEPTFQGYFHRRFTVKQERLMKKYNFNAHRYSELCKACYPSNHGGAKAESFSPPINNAAGETVLRALYH >CAK8569596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6339776:6342454:-1 gene:gene-LATHSAT_LOCUS22430 transcript:rna-LATHSAT_LOCUS22430-3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLFTKRLLEGAKTTPSLQQTIVSPNTSKTNFHREYLSSPDSINRGVFRRFLPRRSVHHSDTAKLPEYLSLPVGEKLREKLNGINNITGTGDRLNLGDLSFPKFTAKETVTGSEITVEDAKKILRASQMEKVKAKLRDIPENTICYSEFLRICFESSQNHDQGTEFAKILDESGNVIVLGNIVFLRPEQVAKSIESLLHQSIASPNDPRRKELENMEKQKAIIDDKAKAQVQTELYCGLGFLAIQTLGFMRLTFWELSWDVMEPICFFVTSLHFAMAYMFFIRTSTEPTFQGYFHRRFTVKQERLMKKYNFNAHRYSELCKACYPSNHGGAKAESFSPPINNAAGETVLRALYH >CAK8569595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6339776:6358533:-1 gene:gene-LATHSAT_LOCUS22430 transcript:rna-LATHSAT_LOCUS22430-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLFTKRLLEGAKTTPSLQQTIVSPNTSKTNFHREYLSSPDSINRGVFRRFLPRRSVHHSDTAKLPEYLSLPVGEKLREKLNGINNITGTGDRLNLGDLSFPKFTAQETVTGSEITVEDAKKILRASQMEKVKAKLRDIPENTICYSEFLRVCFESSQNHDQGTEFAKILDESGNVIVLGNIVFLRPEQVAKSIESLLHQSIASPNDPRRKELENMEKQKAIIDDKAKAQVQTELYCGLGFLAIQTLGFMRLTFWELSWDVMEPICFFVTSLHFAMAYMFFIRTSTEPTFQGYFHRRFTVKQERLMKKYNFNAHRYSELCKACYPSNHGGAKAESFSPPINNAAGETVLRALYH >CAK8571770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:471399200:471400858:1 gene:gene-LATHSAT_LOCUS24403 transcript:rna-LATHSAT_LOCUS24403 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFLSLLSLSLLLFASACLATRSEFDRLNQCQLDNINALEPDHRVESEAGLTETWNPNNPELKCAGVSLIRRTIDPNGLHLPSFSPSPQLIFIIQGKGVLGLSVPGCPETYEEPRSTQSRQESRQQQGDSHQKIRRFRKGDIIAIPSGIPYWTYNHGDEPLVAISLLDTSNIANQLDSTPRVFYLGGNPDTEFPETQEGRRSGQHQQEEESEEQNEGNSVLSGFSSEFLAQTFNIEEDTAKRLRSPRDKRSQIVRVEGGLRIINPRGKEEEEKEQSHSQREEEEDEEEEEEEKQRSERRKNGLEETICSAKIRENIADAARADLYNPRAGRISTANSLTLPVLRHLRLSAEYVRLYRNGIYAPHWNINANSLLYVIRGEGRVRIVNCQGDAVFDNKVRKGQLVVVPQNFVVAEQAGEEEGLEYVVFKTNDRAAVSHVQQVFKATPAEVLANAFGLRQRQVAELKRSGNRSPLVHPQSQSQSH >CAK8532267.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:234554131:234555309:-1 gene:gene-LATHSAT_LOCUS1994 transcript:rna-LATHSAT_LOCUS1994 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKSTNFDIAEKVRNHVPNDLTMSIISKLPLKSLVRFRCIQKSWSLLFENPHFMNMYRVNFASNNNFSYDDDSCVTLEFKLSYYGFRGTLFSRYGEKFENKVKLDWPPLFQEDNRCVNILGSFVDETICIYTGILVPKTAFWNLSTKELKVLPPSPIESQPSHYKFVFSLMGFGYDLVRDDYKVIQNATEWIRCSIEDTESNESIWELYSLKTNSWRKLDVNIPLGSVTLGATVHTSGVCHWWDKNEDCLVSFDLGCEVFYKTPLPLHVDGNFYYEPKDKRFMALNGSISFITTYAANDGSTTSTFHISILGEYGVKESWTKLFIIESLPSYVDRPIGAGSKGEIFFKTKYQKLVQFDLNTQKIEKLPIKDLLHTFQIFLYKKIFLFIKD >CAK8539937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531756585:531757823:1 gene:gene-LATHSAT_LOCUS8987 transcript:rna-LATHSAT_LOCUS8987 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFRWSMIVTLTFLSLSPFLFGKGNGPVHIEASNSVSAFVQNAIYSNRITIFSKSYCPYCLRSKRLFAELNEKPFVVELDLRDDGYEIQGVLLDLIGRRTVPQVFVYGKHIGGSDDLSAAVQSGELQKLLKAS >CAK8577394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560137265:560137943:1 gene:gene-LATHSAT_LOCUS29507 transcript:rna-LATHSAT_LOCUS29507 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGLIRIRIKKGTNLIPRDSRTSDPYVLVTMAQQTLKTAVVKDNCHPEWNEELTLYIQDKDLHTNTPIQLTACDKDTFSADDKMGEADIDIKPYLHCVKMGLTDVPEGHVVKMVQPDRTNCLAEESRCIWRNGKLVQEMSLRLRNVKSGEIFVEIEWIDVTDSKGLSEVEL >CAK8568414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:604664257:604666167:1 gene:gene-LATHSAT_LOCUS21372 transcript:rna-LATHSAT_LOCUS21372 gene_biotype:protein_coding transcript_biotype:protein_coding MGICNVPTLLFGVCFILWFILELQVEARASSSSLDREIEAKLKLLNKPAVKSIKSEDGDIIDCVHIYKQPAFDHPALKNHTIKPIPSFLLDFEPSSVRGTPNASSQVFQLWQKSGSCPKDTVPIRRIQKKDLLRASSLERFGQNPKAYSTNKKNNSTIHGVTDDYVNLQNRSESHLSAFGFNFIGASANINIWNPNVEKKGDFTTAQIYLKASNGDFFESIEAGWMVHPELYGDFNSRLFAAWTRDSYHTTGCFDLTCKGFVHVGSSTALGATVGPYSSQFNQQYEINIGIFWDVDGNWWLKIKNNIVIGYWPAELLGNLKHSATIVQWGGQVYSDKVKKNPPHTSTQMGSGESAEDRFGFACYMSNVRIKDYSKYLKYPQLITTHADEPYCYNNINDVQYGKDPVFYFGGPGRNPPYCP >CAK8576837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517808284:517812748:1 gene:gene-LATHSAT_LOCUS29000 transcript:rna-LATHSAT_LOCUS29000 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQFTFTDSATLCRPLLSQSPSKSVVHSRTRSFVVKASSELSRTRRQFIAETTAISVLVPSQLVKAEEALSEWERIYLPIDPGVVLLDIAFVPEDPNHGFLLGTRQTLLETKDGGNTWAPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAELPGDMVYIKAIDDKGAEMVTDEGAIYVTANRGYNWKAAVQESVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYMTWEPGQAYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGITEEFEEVPVQSRGFGILDVGYRSTDEAWAAGGSGVLLRTNNGGKSWIRDKAADNIAANLYAVKFIDDKKGFVLGNDGVLLRYLG >CAK8532292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:240244677:240250176:1 gene:gene-LATHSAT_LOCUS2016 transcript:rna-LATHSAT_LOCUS2016 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLPAGAAVENNSGEGNKAGNSIGSGSNVFVRRIMQTIMLFVGFAVLWMFVYNNSASPFGFPATISHYFNGISAQEYYDPNLKNILKNASMKDKTVILTTLNDAWAEPGSIFDQFLESFQVGGNQTKKLLDHLVVVTWDQKAHSRCVDLHKYCYQLQTDGDNFTDEAFFMTPTYLHMMWRRIEFLGTVLQLGYSFVFTDTDIMWLRDPFPLFYKEADFQIACDFFNGNSYDLHNFPNGGFTYVRSNPRTIWFYKFWYKSRESYPTKHDQDVLNKIKMHPLITVKKLKIRFLSTGYFGGFCQSSKDFSKVITMHANCCVGLENKVQDLKIVLKDWKNYMALDQNLRKNSHPSWNVPKTCRGSFERSKEKRKKNKGKL >CAK8541679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:350854765:350856024:-1 gene:gene-LATHSAT_LOCUS10581 transcript:rna-LATHSAT_LOCUS10581-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDINIIEWSKKRKLQGFQLDLLRPKHKCWVESVSSEDESMTDDSPILVSANNDAVNSRMDAAHVDDRSEPESVKDSNSFMEDTDTSMSVNEEAKLEADCVNTYLCVNRLSYSEDETFIDSKYNPSYDDPDTKPMENSEEHLSEAHLLGYSDNVKDINDDQYVDKEFEDFLFSNGVNPDKYVLSSKVLLLNQEAESSTREPTIDQEFEEYFSALMI >CAK8541680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:350854875:350856487:-1 gene:gene-LATHSAT_LOCUS10581 transcript:rna-LATHSAT_LOCUS10581-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPRKETISQVNSFSRFQVDEMHDINIIEWSKKRKLQGFQLDLLRPKHKCWVESVSSEDESMTDDSPILVSANNDAVNSRMDAAHVDDRSEPESVKDSNSFMEDTDTSMSVNEEAKLEADCVNTYLCVNRLSYSEDETFIDSKYNPSYDDPDTKPMENSEEHLSEAHLLGYSDNVKDINDDQYVDKEFEDFLFSNGVNPDKYVLSSKVLLLNQGMHYSVPVTLQICDKDRIMTQLVKIVYFGQ >CAK8541678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:350854765:350856487:-1 gene:gene-LATHSAT_LOCUS10581 transcript:rna-LATHSAT_LOCUS10581 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPRKETISQVNRFQVDEMHDINIIEWSKKRKLQGFQLDLLRPKHKCWVESVSSEDESMTDDSPILVSANNDAVNSRMDAAHVDDRSEPESVKDSNSFMEDTDTSMSVNEEAKLEADCVNTYLCVNRLSYSEDETFIDSKYNPSYDDPDTKPMENSEEHLSEAHLLGYSDNVKDINDDQYVDKEFEDFLFSNGVNPDKYVLSSKVLLLNQEAESSTREPTIDQEFEEYFSALMI >CAK8569615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6843267:6843833:-1 gene:gene-LATHSAT_LOCUS22447 transcript:rna-LATHSAT_LOCUS22447 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVISIGTQLPKENEWVPNCDDELKPKIGQVFDTLEEGGEFYKKYAHHVRFSVRSSSETKDKNGVKKYFVCSKQGYLPMKTNEMEQSESSIKARRRSLTR >CAK8569027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:664203802:664204968:1 gene:gene-LATHSAT_LOCUS21924 transcript:rna-LATHSAT_LOCUS21924 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSASNVQVCNHIPDDIVFSILSKLSLKSLNRFESVCKPWSLLFDNSNFMSMYRNYFISKDHSFYDDISLLLYFKEDDALYSLSGDRFENIVKLDLPRVSLRDGRDSYSFHILGPISFNDTLFLQYYNNRGKKKFVVWKPTTTEFRTISAETNNFSNIWSNQYQVGYDHVNDDYKMIRRTHCPPKTNQGVSTFWEIYSLNNNSWGKIDDDFPNSYVCGEEVYVDGVSHWWGTVKARIHLVSFDFSKESFLTTPMPSYADDVFDFRSTRTRILTLLNGSVAFIVSYEEINTFHISVLGDLGVKESWTKLFIVGPLPCLRLPIGIGKKGNILIRKKDNELAWFDISSGTIDEIGVTTKSRGKILFYKESFLPDGGIYSKFSSCFHYR >CAK8536658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:6047581:6055847:-1 gene:gene-LATHSAT_LOCUS5998 transcript:rna-LATHSAT_LOCUS5998 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINTALCVLFLTLYSVLRKQPSNYEVYLPRLLVEGISKRRSHFNLERLIPSPGWVAKAWNLSEEELYSLAGLDGLVFMRIITFSVKVFTFAGVIGIFVLLPVNCWGNQLQDFDGSNFTNSSLDVFTISNVYSGSNWLWVHFCAVYVVTGFICFLLFNEYKHISSRRISYFYSSKPQPHQFTILVNSIPASSGSISDSVDSFFKELYPSAYLSHVVVRRTNKILSLLNDANNMYKRVAQLRPDPTKRKIRHGALSRLFGKRSNHIERYEKKLEEIEENLRLKQSEASLAGEARAAFVFFRTRFAAEAAFHLQQSVNPTQWITELAPEPRDVYWPFFSESFIRRWISKLVVVLVSIVFTILFLVPVVFVQGLTNLSQLKALLPFLTSLLTIKFVSQIITGYLPSLILQLFLLVVPPAMEFLSTIQGYISRSEIERSATTKVLWFTIWNVFFATAFSGSILSMMSTILDPKVIPGTLAVVVPAQASFFITYVVTSGWTSVSSELFRIIPYILNLITRLFTTPNDEFELPYMPYHKDIPRVLFFGLLGITYFFQAPLILPFVLAYFCLAYVIYRNQLMNVYAPRYETAGKFWPTVHNSTIFSLVLMHIIAVGIFALKKLSMASTMTLPLPVLTLLFNEYCRKRFFPIFVGYSAESLIKKDREDLNDPTMAEFYNNLIDAYKDPALVPVQYSSNSDSLSSPLISSA >CAK8569077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669103991:669104308:-1 gene:gene-LATHSAT_LOCUS21968 transcript:rna-LATHSAT_LOCUS21968 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKSTKLPQTQLIKQILKRCSSLTKKQQQQSYDDPRLHVPKGHFVVYVGENRSRYIVPISFLTRPEFQNLLHQAEEEFGFDHDMGLTIPCDQDAFESLTSMLR >CAK8532235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:227966528:227968302:1 gene:gene-LATHSAT_LOCUS1965 transcript:rna-LATHSAT_LOCUS1965 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNGNSMIMWFFFAIMFGLGNFHASAELQRFRQTPRNEGSLSFLVLGDWGRKGDYNQSDVAFQLGYVGEKLDIDFVVSTGDNFYDNGLTSDRDPNFEESFSKIYTAKSLQKPWYAVLGNHDYRGDAEAQLSPFLRQIDSRWLCLRSYIVESELVEIFFIDTTPFIQDYFISPEHNYDWRGVNNPPKAYITNLLKDVAMALRESKAKWKIAVGHHAIKSIGHHGDTRELGSLLLPVLQANKVDFYVNGHDHCLEHISDTASPMQFLVSGAGSKAWRGDVQKTNREDVNFFYDGQGFMSVQLTETDATIVFYDVNGKVLHKAMYSKELHSVGTINKLHSVI >CAK8531035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:72058426:72059593:-1 gene:gene-LATHSAT_LOCUS852 transcript:rna-LATHSAT_LOCUS852 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGQERGSKKELKVAGHGLKLAQRVPLQLPREMERWISRSGLASLQRTSLSKIDTNLVSAFTERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWSPEDITEALAVEWVVDYLGVSQRVAQQQVCECRGSYYKLEWLYDLFVEHRAVSRWHYATRAYLLMLVGSTIFADKTFTLVETRYLSLFIDFDGLSGYSWGAAALATLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWIPAHNVGLPRAMRWSYSILIDFGNTISMAFIKARSLSVKIT >CAK8579182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:682422549:682423352:1 gene:gene-LATHSAT_LOCUS31148 transcript:rna-LATHSAT_LOCUS31148 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIIREVWAYNLEYEFNLIRRAIHQNHFIISMDTAFPGVIHSPKIDHRRLQPSDYYRYLKANVDDLKLIQVGLTLSDSKGNLSDFGSNNTYIWEFNFSDFDINHDLCNQDSVDMLRRQGINFERNICHGVDSMRFADLMLSSILVESIIWVTFSSAYDFGYLAKILTRMNLPNRLEEFLNIIEVLFGKSVYDMKHMMKFCNSLYGGLEQVTTILNVNRVVGKSHQAASDSLLTWHAFLNMMKTHFKDDEGRKHAGVLFGLQIAA >CAK8541517.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:214548693:214550848:-1 gene:gene-LATHSAT_LOCUS10433 transcript:rna-LATHSAT_LOCUS10433 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFWDKRISQEVNGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKQSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRISTATKPTVTA >CAK8576494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:483357437:483357958:-1 gene:gene-LATHSAT_LOCUS28677 transcript:rna-LATHSAT_LOCUS28677 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNFFIRNSLVGFCLRILNSTVVIGLYYGFLTTAYIGPSYLFLIQARVMEKGSETEIAATSGFLTGRVMMFISIYYAPLHLALNRPHTLTFLTLPYLFCNHVYQNNKHYYSNDWGWAYYREDSGDNNPNSIRNFRTYKVFFNNLFFSIIKPLSFPKFNLNKINEHLSVSIQQ >CAK8534077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691264802:691266638:1 gene:gene-LATHSAT_LOCUS3647 transcript:rna-LATHSAT_LOCUS3647 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGKPLLATNTNNVGTDVFQAGNISWKQFSVNTSPKPLLVFAPTVEGTYPVILFCHGYAISNSYYSNLLGHISSHGFIVVAPQLFTLNLPMLGVCEVKFAGKVADWIAKGLQPKLNENIQQNVKAKLDTLVLAGHSKGGKTVFALALEHAKTNPNFSALIGIDPVAGPSKCKITRTLPHILTGQARSFNLNMPVMVIGTGLGPEPSNCSPKACAPEGVNHEEFFRESKPPCAHFVTKDYGHMDMLDEDTQGLRGRLLKCMCKNGVGPKDLMIRTLGGLVVAFLKDFLYNQKKDFQAILDDPNLAPAKLEKPVFYP >CAK8576707.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508312545:508313225:-1 gene:gene-LATHSAT_LOCUS28880 transcript:rna-LATHSAT_LOCUS28880 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLEEDIDDGSEVDMSSAIDDLWKRFRSLDVVWNRALKSKVFELVFPTTTSLCPPSEKIKPKGGVKKKGKKSVGSYIDDVVNVVSDGNCGFRVIASFHGYGEDGWPMVCRDLGLEIIHNEKSSLYANLFVDRLVEVRESLMIEAFYTSTTT >CAK8531894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:172765521:172807108:-1 gene:gene-LATHSAT_LOCUS1654 transcript:rna-LATHSAT_LOCUS1654 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDEEYKHYWETNMFLQTEELDSGSWGLDETFSGYYDSSSPDGAASSKNIVSERNRRNKLNQRLFALRAVVPNISKMDKASIIRDAIEYIKHLHEQEKILEAEIMELQSGMPNNTINPNYDFDQELPVMLRSKKKRTDQLYDSVSSTNSPIELLELRVTYMGENTTVVSLTCSKRTDTMVKLCQVFESLNLKIITANITCFSGTLLKTLFIQANEDDKDLLEIKIQTAIASLNDPLSPMSV >CAK8564431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:673990686:673994582:-1 gene:gene-LATHSAT_LOCUS17737 transcript:rna-LATHSAT_LOCUS17737 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVLWLHGLGDSGPANEPIKTLFTSPQFRNTKWSFPSAPHVPVTCNYGSVMPSWFDIHEIPVTADSPMDETGLLKAVQKVHATIDKEIAAGTNPNNVFICGFSQGGTLTLASVLLYPKTLGGGAVFSGWVPFNSSITEKITPEAKQTPILWSHGLVDKTVLFEAGQAGPPFLEKLGVSCEFKAYPGLAHSINNEELRDLESWIKARLQSSS >CAK8576619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498287784:498289295:1 gene:gene-LATHSAT_LOCUS28793 transcript:rna-LATHSAT_LOCUS28793 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGQYTDRINARRGIRQGDPLSPFLFVIIMEYLSRGDHVSVEILYSTLNKFLDSTSLKINPSKSRVYFGNVPASVKCGILHLTSYKEGSFPFRYLGIQLTSKRLAVIHYMSLLDILLSRITHWSSRLLSYAGSLQLIKSVLYVITTYWMQCIWFPKTVINKINAICRSFLWSGGNNISRKSPVAWENVCKPHVQGGLNVMNLEVWNSMFVIKLVWNIYAKSDDLWVRWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMGKFHGRKVYQSLLPITTNVPWAKLILHNRARPRAIITLWTICHGKLATKSRLFKFGMINNNKCAFCNEEETIDHIFFCCVELKQIWSGILQWLGIQHTPKRWQEEMQWALSNYAGKGWQSDLVRLALTETLHEIWLYRNEVCFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPHIARFILP >CAK8566683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:448733780:448738724:1 gene:gene-LATHSAT_LOCUS19795 transcript:rna-LATHSAT_LOCUS19795 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFPFELQSETFKILSSNDDTAMETLFSHLYNPQQQQNRSQALTFLQCCKHHHPDLLVIKLFFLLTSSPEIPTRTNAARALIFVRPNELWHKLRPQAQSRLQTHFINYLTEETSVHVLRLASTILSQTVSVIYKSQQHWNEILDFLLSSVNSNDEKLREFSLLVFSSLTNDCRLSLSNSLHDRVRVLHSSFLAGLTSRNPDVQVASFTAVVNLICLFSDNQLFHELLRAMMVAVFALLHGFERSYFKSAFAELVKLVSAEPVLLKPYMSDMVLDALQIAENCGVTEETRRLAFELVLAMAKLKESEQVLASLPHEMVVRLFIVPMKTLVLYVKEDGNGNSNDDGNHNGADRGTEDEREKGTDPENENVDDAYKFGIKCLKKLCIAFGGTKVVTVTHELLKNYYLDSTDWKMRQAGITLLTEIAKEFSDQMVLEDKFLEEIVIRILKLFQDSHVQVRLAAFTLMEMPIIFVESAQIRYHHRFVHAFSIALSDGDNKVKEQAASAMLYFLQNTLPESLLLYQNVDTVINRMLSSIQDKGNAKQRRIVLLAFNLVAQSCHEVAHKYFANYLPILLEACRDENFDVKEEAARGIRICAEFGTPNFKPFINMILSELRILLKDRIASNSNSSENATYDVAVSALGRICEFHRDSIDGSTAVPAWLSFLPLKDDLAEAKIMHEQLCLMVARLDKDLLGAGNQNLAKIFAVFLKVIEKGDRLATTQTIDQMNSLLRQLAQNIPPNMFETILLSLSAQQRELLLPFLSSF >CAK8566657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:446264761:446265919:-1 gene:gene-LATHSAT_LOCUS19773 transcript:rna-LATHSAT_LOCUS19773 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKTFLSLLFFSIFILHSLSFSTDTFIYGGCAQQTFTSTSPYEYNLNSLLTSLVNSATYTSYNNFTIVASNPNDVVYGLYQCRGDLSMPDCASCVSRAVSRAGDICSVTRGGVVQLEGCYVKYDNIKFLGVEDKTVVLKKCGSSIGYDPSTRDAVLAGMVGSGGYFRVGGSGQVKGMVQCIGDLSFLECQDCVSEAIQRLRSDCPAADYGDMFLAKCYARYSNGGAGGAHAYSKSHGKSDNDSEKTFAIIIGLLAAVAILIIFLAFLRRICEGHHGK >CAK8531534.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:121974531:121975580:1 gene:gene-LATHSAT_LOCUS1318 transcript:rna-LATHSAT_LOCUS1318 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYISIVVLIASLIASSTANSEILLSDVNLLEFPLNLEYLEAEFFLFGSTGHGLDKIAPELAEGGPPPIGAKLAKFNDTVIRDIIYQFALQEVGHLRAIKNTVIGFPRPLLDLSQSSFAKVIDKAFGRPLRPSFDPYANDINYLIASYLIPYVGLTGYVGANPLLQNASSRQLVAGLLGVESGQDAVIRGLLYERHAWKVMPYGVTVAEFTDRISKLRNILGNEGVKDEGLDDTFSSGSILAGDKYSLAYSRTPKEILRIVYGSGNETVPGGFYPKGGNGHIARSYLQ >CAK8568550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615618248:615620425:-1 gene:gene-LATHSAT_LOCUS21497 transcript:rna-LATHSAT_LOCUS21497 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTVITVLLTLFSLNKLCESNSSCKAWLVQSIPTDMPHLSHVPGVLSTGDVLRWLAANSTRRLDVIAQYWQLLASPNDPRTGDYGYTREQMREFGAHQGAAVYQALDDAADRNVSIRLLSHSGIYPTFNLEPSKLAFGRPNVKNVTLLMKDWWGSGIVHAKVWISDNKDVYIGSANNDWKSLTQVKEVGIYLAGCHGIAKKVEVYFNNLWKLASLNSSAYTKTVMDQQWQVERKVPCWSHFIKPKERCKSPLPRYVKSCHVSGYPILSDPYMFEASTQTPGSNYSTKLPQVNYLSFAPPELSFGSYQSDEQAWIDTIKSVGTGETVRINTMDWLGQSQYTDQTIFWSSLSSAISEVVFSKNATVKILVAYWAHFINSTDDYLKYLHYTNTLCSSSKYNKCSGKVEIKYYVVPGFNKTGPAFQNGTSTGNIYPDFTRVNHGKYVASNVRAHIGTSNLVWDYFYTTAGVSFGTYNTAIVSQLKEIFDADWNSPYAVPIPELERESIFLLSNR >CAK8543810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641089901:641092545:-1 gene:gene-LATHSAT_LOCUS12542 transcript:rna-LATHSAT_LOCUS12542 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARVSASEMSQRKSPATPRTARQLKTPNSGSNSASSSPNPIRKMPKDMSPKVNERRLSHSPISEKKRPSKVQELESQIAKLQEDLKSAKDQLSTSESWKRKAEEEIEEAKKQILSLSKELEESHQQFSELSASDEARLQELSKISQDRDRAWQSELEAVQKQHSMDSSALASAINEIQKLKSQLERACESESTQNNNAEIQDLRMDLSEAISIMEKLKNEASDCKDSESRALEVIGKMQMQLETVNKTVETLRSDGVKAAEAYRSLTLELEQSRTQAKSLEELVRKLETDSTNENRLYHENEEINELKGELFSAKSEVGQLKSALEVAEIRYQEEYIQSTLQIRSAYEQLERTKSESCQREAELYEELRKARADIEELNTSLKEKESQLLIMSAENKGLDENKLADREFKLAEELKKLDTDIVEWKEKLLDRETELKNVTEENSMLKMEIKELEKNKVTDEAVASVETARAAEQEALTKLSYVMEEADKSNRRVARVTEQLDASQAANSELEAELRRLKVQSDQWRKAAEAAASILSTTGNNGKRVGKNGSLDSSFNSISSKTMNSPYLEDTDDESPKKKNTNMLKKIGVLWRKNHH >CAK8576801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515877707:515879831:1 gene:gene-LATHSAT_LOCUS28968 transcript:rna-LATHSAT_LOCUS28968 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAPLRPKRKKIWVDYFVKFRWVLVIFVVLPISFTLYFLTYLGDVRSEWKSFKTRQKEHDENVQKVVKRLKKRNPSKDGLVCTARKPWVAVGMRNVDYKRARHFEVDLSPFRNILDIDKERMVARVEPLVNMGQITRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHKYGLFSDTVVAFEIILADGSLVKATKDNEYSDLFYAIPWSQGTLGLLVAAEVKLIPIKEYMKLTYKPVVGNLKDIAQAYADSFAPRDGDQDNDEKVPDFVETMIYSPTRAVCMTGRYASKEEAKKKGNKINNVGWWYKTWFYQHAETALKKGLFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLFGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVDREMEIYPIWLCPHKLFKLPVKTMIYPEAGFELQRRQGDTQNAQMYTDVGVYYAPGPVFRGEVFDGAEAVRKMESWMIENHCFQPQYAVSELNEKNFWRMFDAGLYEHCRRKYGAVGTFMSVYYKCKKGRKTEKEVREAEQAHLDTAFAEVDQPAD >CAK8543490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611217768:611223852:1 gene:gene-LATHSAT_LOCUS12245 transcript:rna-LATHSAT_LOCUS12245 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRSDSNKKYSWWWDSHISPKNSKWLQENLTDMDVKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPVSADDSHAVSSMEIGQPHTPDTTRHSHAFSDSDELQKGDSTHESDIAISRKGLKQLNDLFMTGESVSHIKFAEGRARRGLNFHDTQETNGVNNGSHENNILSDSDRMTRTETEILALKISLAKLESEKEDGLIQYQQSLERLSNLESEVSRAREISQGLDDRASKAEAEVQTLKETLDELQSERENNLLQYQQCLDKICTLEKNISSAQKDIGEFNERATRAETEAESLKADLARLVAQKEAVLFQYNQTLETLSKWEEKLIQADENARRINEQASIAKNEIEEMRLEIGKLTKEKEDAALRYQECLEIISSLEHKLSCAQEEVHELNCKINDGAQKLHVSEQKRVLLETSNHTLQSELQSLAQKTGSQSEELSEKQKELGRLWTCIQEERMRFIEAETAFQTLQNVHSQSQEELESLAAELHNKVEILETMESHKQALEDEVHKAKEENKVLNDLKLSSSFSMNNLQDEMLNLREIIKKLELEVGLRVDERNALQQEIYCLKEELKDVNKRYESTVEEVRSTGLDPQCFSLSVKVLQNENSKLKETSEADKVENAALNKKLEIMEKLLEKNADLENSLSVLNAELQSIRVMVKVLEETRESLMAEKSTLAAEKATLFYQLQTTAEKLEKLSKKYHILENSLFDVNAELEGLRLKSVILEETCLSLDHEKSSLASEKETVDSQLNMTRQTLKILEKQHSELELQHLELKAERESALQKVEDLLVSLYAEREEHSRVVQLNEGHLVEKEFQIHILQEDANYQKKESEEELDRNLRTQIEIFILHECIRESEKNNFSLLVERQRFLEASNMSDRLISKLENDNVQKQVDANLLSEKINMLRIALLQVLKTLDNNSEHLPEDNVKEDQKLLNNILDKLQEKENSFVEVFRENQQMAIENSVLVTFLGQLELKAENISTEREALDGELRIRSKQYLALQEEVQKMLEMNQALKLTISRGEEKMEVMTTENLMALAELSNELDKLVLVNTNLEERLKVVMGKLEDVQMENSYLKESFVVSNTELKLIESVNDKLNCQIRNDKELLSQKENEILEAAEMLSALQEEKKALQKLVVDLKSKYEEATMLLEDQGSQILKLSSDKDHQIEEHEFLCEMNKKLEKVMRHLHQELRESKLMEKKLSYEIEQWESQAATFYTGMQISTVNETFFEGKVRELADACENLEYRCSSNVMESGKLKESVDKLEDENKKLRVQLAAYVPAVSGLNDCITSLEMQTLGQSKPNDFKESKVKKFVNIKYAEGDPRKSEDQNATETDALPDFQEMQKRVNAIEMAIRQMNESFKPKDEMREIQVLKSGISWRQGNAQANKHSIQMDEAKEHQYGAVYGHKTRKSLQDIPVTEIEVLPKDIMLDHTSECSSYGISRRGAHKSDEQMLELWETADQDGIIGVTVGKAQDTAPIGYQQRRLSKESKKKYPSVESLIEKELSVDKLEISRSQSRPLLLEGNKRKVLERLDSDAQKLMNLEITVQDLINKMDIIEKNTAGKGVEYDDVKGQLEAAQEAITKLFDANRRLLKNVEEGTPSFSGKSTTMSDESGSVGSKRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDDKEGKGKAMIDDQNPRVLLRDYLYGGPKKSYYKRKKKASFCACIQPPTRGD >CAK8572387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533727273:533727842:-1 gene:gene-LATHSAT_LOCUS24958 transcript:rna-LATHSAT_LOCUS24958 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSISYQQGIKEFVDFAFKGAKENDVVICPCKHYDFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTNQNMVEDTIIFEDPIHNMINDAFVVDMYHANEIPSASNLEIGQEDYVRLSATQERNEAKEYYELTREGEQPLYEGCRRYSRLSFLVKLYHIKCLCGLSEKAMKMILE >CAK8536402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938143214:938144531:-1 gene:gene-LATHSAT_LOCUS5774 transcript:rna-LATHSAT_LOCUS5774 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMKSGFFLFMSILFLTSSLSYGYKFNVGGKDGWAVKPSPWYSPWAHKNRFQINDTLYFKYNKGSDSVLVVNNQDYNSCNTNNPILKMDGGNSIFKFEKSGPFFFISGNVENCQKGEKLIVVVLSPNHHRKQHGGPSSSPTPADSPAENTHSPNSTVDNTPANSPAPSGSTMLSGSIGVCVGLALVLGSFVF >CAK8543184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585708335:585710728:-1 gene:gene-LATHSAT_LOCUS11959 transcript:rna-LATHSAT_LOCUS11959 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTRLHLFARPLLSSSLLYPLRQNGIRTILTRIGTRNFSLSSTAEASGLECDEIFDDVKAAEKNNALCLAVSQLASEFSKESMLSLRKFFGVRYANVVSTGSLKLDLALGVGGLPKGRIVEIYGREAAGKTTLALQIIKEAQKLGGYCAYLDVESALDLSLVESMGVNTKNLLISNPDCAENLLSMVDTLTKSGAVDVIVVDSVAALVPKCELDQLGVATSHDLHSRMMTQALRKINYSLSRSQTLLVFVNQVRFSPKLFGGRGPMEEVTCGGNALRFYAAVRLRLSRTGLIKTEDKVEGITVCCQVVKNKLAPAAMKKAELGIKFGRGFCQESEVFDLACEHGLIMKDKGNYFIEGEALSSREAAEVFLVKNKKICDKLVMDMKRLYL >CAK8543185.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585708335:585710728:-1 gene:gene-LATHSAT_LOCUS11959 transcript:rna-LATHSAT_LOCUS11959-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTRLHLFARPLLSSSLLYPLRQNGIRTILTRIGTRNFSLSSTVSAEASGLECDEIFDDVKAAEKNNALCLAVSQLASEFSKESMLSLRKFFGVRYANVVSTGSLKLDLALGVGGLPKGRIVEIYGREAAGKTTLALQIIKEAQKLGGYCAYLDVESALDLSLVESMGVNTKNLLISNPDCAENLLSMVDTLTKSGAVDVIVVDSVAALVPKCELDQLGVATSHDLHSRMMTQALRKINYSLSRSQTLLVFVNQVRFSPKLFGGRGPMEEVTCGGNALRFYAAVRLRLSRTGLIKTEDKVEGITVCCQVVKNKLAPAAMKKAELGIKFGRGFCQESEVFDLACEHGLIMKDKGNYFIEGEALSSREAAEVFLVKNKKICDKLVMDMKRLYL >CAK8537699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:421495173:421496543:-1 gene:gene-LATHSAT_LOCUS6963 transcript:rna-LATHSAT_LOCUS6963 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPELIFIPSPGIGHLASSLQFAKLLIKTNTNLFITVLCINLPNTPISDSYIKSVLNSQPQIHLIHLPQVESPPNELLISPPCYIKTLMHNLTPHVKSTIQTILSSSSNHVVGLVLDIFCLSMIDVGNEFGIPSYLFLTSNAGFLGFMLSFRNRRIDDVFNDSESELLIPGFTNLVPSSVLPNSAYSKGGYEVYYNLSKRFNDTKGIIVNTFSDLEQYSIDALSNHDEKIPPIYAVGPLLDLKGNPSAKLDQAQRDVILKWLDEQPNKSVVFLCFGSMGVSFVPSQVREIALGLKNSGVRFLWALKSPPEGFLEWMKLEGKGMICEWAPQVEILSHKAIGGFVSHCGWNSILESLWFGVPILTWPIYAEQQLNAFRMVKEWGLAVELRVDYRKGSKDVVMAEEIEKGLKSLMDRDNIVQKKVQEMKEMARNAVVNGGSSFISVEKLFGNMIGSN >CAK8530818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:52481742:52482887:-1 gene:gene-LATHSAT_LOCUS657 transcript:rna-LATHSAT_LOCUS657 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTLINSVLANLPIHYLAFFKAPIKVVKDIIAIQRRFLWAGNSSKSSIPWVSWNTVCKSKEDGGLGIKHMGRFNCALLAKWLWRFQTGDNEIWRNTLTFRYGNLSIKTQTYSVVVSSKSDSLWMKDIMNNASLNPNANFCKYTTCSVGEGSEAAFWLSVWIGEVPLQVRFNDLYQSCSLKLGSVRDMGYWEDGQWNWNLRNSLLDSDNPPEPDWSDCCKLLENTSVNPGTTDKWRWSLHESQSFKVSSFYSVLYPSVSDQDVGSDCASLIESIWKTDIPAKVQTFSWRLALDRLPTRSNLLKRRVLESDQDLDCVFCSNSIEDVSHLFFSCYKSNQVWNSSLRGRCQVNRVNSL >CAK8578797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655135024:655137022:-1 gene:gene-LATHSAT_LOCUS30781 transcript:rna-LATHSAT_LOCUS30781 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLIKQNKSSDSFFPGGLFLDSTTLPTSFVTSIPSSSSSNSSTVSCFSWGIRKSAGTEILRVQGAINGSCVFASLSLSINGTDADHSHSHSHRYTRESNEVSEQHQKKVEEGVYQENENENEKESILVNGSGAVNMTKHLWAGAFSAMVSRTFVAPLERLKLEYIVRGEQKSLVELIQTIAVSQGLKGFWKGNFVNILRTAPFKAINFYAYDTYKTKLVRMLGNDESSNFERFVAGAAAGITATLLCLPMDTIRTVMVAPGGEALGGVIGVFRYMIKTEGFFSLYKGLVPSIISMAPSGAVYYGVYDILKSAYLHSPEGMKRIQYMKEEAQELNALAQLELGTVRTLLYGAIAGCCSEAATYPFEVVRRQLQLQVQATRLNALATCVKIVEQGGVPALYAGLTPSLLQVLPSAAISYFVYEFMKIVLKVEST >CAK8539069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502838044:502842296:1 gene:gene-LATHSAT_LOCUS8202 transcript:rna-LATHSAT_LOCUS8202 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLQYALGLRVQHHHHRFLLLLADEPISLTRSPSLFPHSRSIKNHAIFTLSNPPTRPRFYAVPRSNNQNTDHNEEEEASSSSSSSEQLEWIRNSGIISSCLVGLLTGVAVVLFNNTVHEIRDLSWDGIPDRGASWLREAPIQETWKRVILVPAFGGLIVSLLNLLSNSNFNFNSRPFLKAIAASVTLGTGNSLGPEGPSVDIGNSIAKWIASTPFFTSARILPLLAAGSAAGLAAGFNAAVAGCFFAVESVLWPSDSNSSLSLTNSTSTVILSAVIASVISEIGLGSQPAFQVPDYDFRSPAELPLYLLLGVICGLVSLTLSWSTSYMFTIFDNLHNATGMPKASFPILGGLSVGLIALLYPEILYWGFENVDILLESRPFVKGLSTDLLLQLVAVKIVATSLCRASGLVGGYYAPSLFIGGATGMAYGKLIALAVSQSNSVIDLSVLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSLISSVQTKRGDERDRGKLKLQNSNSSSLPEISSRSSIKLSTGNTYAEGVSYFSNSCQVESSSRVEDNNDETVTLVLEAMKTRYVTVSMCTPLAKVIDLMLAEKQSCAVIVDTDDTLIGLLTLRDIQEYGQSAKAGSKNSKEILVSELCVLNGGICSAPCTATSDMKLHDAQMIMKEHGVNQIPVVRNINERSYPVGLLDLNSISLTYSVLATRQSLS >CAK8562541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:507536744:507537017:-1 gene:gene-LATHSAT_LOCUS16031 transcript:rna-LATHSAT_LOCUS16031 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGRRKFLSFPLVIGAVIIGVVSGKSIFGPPLDEYWKKKRQEEAAAAAAAAKENDSIKT >CAK8540530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10111878:10119576:-1 gene:gene-LATHSAT_LOCUS9528 transcript:rna-LATHSAT_LOCUS9528 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKRKSPEEPTTTATTSQVQYDCLHDVSYPHGYIHASSSTSSDSSQTKEPAKKFPFTLDPFQSQAINCLENSESVMVSAHTSAGKTVVALYAIAMSLRDGQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWIIFDEVHYMRDRERGVVWEESIVMSPKNARFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPSGSEGLYLVVDEKGKFREDSFHKALNALVPAADDDRKKENAKWQKGLVLGKAAEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNGDTEKDNIEKIFWCAMDMLSDDDKKLPQVLNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLTSGEYIQMSGRAGRRGIDDRGVCILMIDEKMEPSTAKSMVKGAADSLNSAFHLSYNMILNQMRCEDGDPENLLRNSFFQFQADRAIPDLEKQIKAFEEERESIVIEEEDSLKDYYNLLEQLRSLNKDVRDIVLSPRYCLPFLQPGRLVSIQCTSSDEELSPIFVEDQLTWGLIINFERIKGVSEDDVNIKPEDASYKVDVLTKCVARKDKLGKKSVEIVPLKEHGEPIVVSIPISQINKITGLRVYIPKDLLPLEARENTLKKIKETLSRFEDIGLPRLDPEEDMKIQSSSYKKASRRIEALERLFEKHEIAKSPLIKQKLKVFQRKQELTAKIKSIKKTLRSSTTLAFKDELKARKRVLRRLGYATSDNVVDLKGKVACEISSADELTLTELMFNGVFKDIKVEEMISLLSCFVWREKINDAAKPREELDMLYSQLQDTARRVAQLQLECKVQIDVDTFVKSFRPDIMEVVYAWAKGSKFYEIMEITKVFEGSLIRSIRRLEEVLQQLIEAAKSIGETELEAKFEEAVSKIKRDIVFAASLYL >CAK8568539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614754216:614756912:-1 gene:gene-LATHSAT_LOCUS21486 transcript:rna-LATHSAT_LOCUS21486 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKVITWLVFLIFLWSLVSFVVEGTESLLSPKGVNYEVASLMSMKSKMNDGLHVMDGWDINSVEPCTWNMVACSSEGYVISLEMASAGLFGVISSGIGNLSHLRTLFLQNNQLSGPIPVEIGNLPELQTLDLSGNLLTGNIPSSLGSLTHLNFRRLSKNKLSGQIPQPVANLTGLSFLDLSFNNLSGPTPKILAKGYSISGNNFLCTSSSQLCMRGSKPVNNTRSSQTVNSHRHTLISVIIGISCTFVISVMLLVYWLHWYKSRILYSSYVEQDCEFGIGHLKRFSFRELQVATGNFTSKNIVGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPLMPNGSVADRLRESFRGKPCLDWNRRTRIAIGAVRRLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGTVVCYD >CAK8569316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:693571645:693572339:1 gene:gene-LATHSAT_LOCUS22179 transcript:rna-LATHSAT_LOCUS22179 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVRDPNMSFDSVSNYLLHLAFNDNEYGDSRRLWCRFKITMLKIYSEIVTGVDSPESSSLGATKFHMLRDRCQILLQVHDELVLEVDPSVVENTAILLHTSMENAVSLLEFLKLFSWRKLSR >CAK8532624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:332798715:332799447:-1 gene:gene-LATHSAT_LOCUS2311 transcript:rna-LATHSAT_LOCUS2311 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLETSIVPADLIGSACPEKILEFEEKKLLRKKQNVQKRRPKKKETTPSQVELGLKLQNLLLDDNLEDNTNHDASDSIERILEETTSMVDAVLNTEKSMSSHDDDDIENIGWTPNISNISSMNGNECVSSTDKSVVIDLLSPTPFKPSNNSKFNSSSEQNIEVVNLSDSEKEVSPEHKQKAKELRLFLASIRNEIH >CAK8534806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:770156229:770157668:1 gene:gene-LATHSAT_LOCUS4318 transcript:rna-LATHSAT_LOCUS4318 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTFLFPPPDSDLDLSFTSTTTDRTLTSSSARTSLARTSSLALSFNDRLSTASVAAGDTVSSAIIRRPHRSSDPNWTAIKAATNLSSDGRLHLRHLKLLRHLGSGDLGRVFLCRLRDYDGANFALKVVDKDLLTLKKSTHAETEAEILHALDHPFLPTLYARIDVSHYSCLLIDYCPGGDLHSLLRKQPGNHLTLSAARFFAAEILVALEYLHALGVVYRDLKPENVLLREDGHVMLSDFDLCFKADVAPTFEFSTNHKPHVDPTHGCFSYNRSKSRESVTAEFVAEPTTAFSRSCVGTHEYLAPELVSGNGHGNGVDWWAFGVFIYELLYGTTPFKGCSKENTLRNIASNKDVKFHVAENEEVGMVEARDLIEKLLVKDPRRRLGCARGATDIKRHPFFDGIKWPLIRTYKAPEVKGLLRRKKSESSLSHVSTKRKRGWWKRLGCVLRNQGARFDLLSNYGNNNHYYCYVNNSKVR >CAK8541180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:84202635:84204077:1 gene:gene-LATHSAT_LOCUS10119 transcript:rna-LATHSAT_LOCUS10119 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIHIALVTIPAFSHQASIIQFSKNLIHHHNHFHITIIFPTIDSPLPTTLTLLKSLPSSITYTFLPPINKLNLPQNLSPAVQIQLAVSQSMPSFHTTISSLSSSSSTTKTPLVALVSDPFANESLLVAKQFNLLSYIYFPPSAMTLSLFIHFPKLHQQISSEFRDYNEAIQLPGCVPIHGIDLPEHFQDRSSLAYELILQRCKRFNLADGFLVNSFMKMEEETMKALEEQHKDSVFLVGPITQNGSNNESKGSDSDLECFKWLKKQSTNSVLYVSFGSGGTLSQEQVNELAFGLELSGQNFLWVLRAPSDSSNEAYLGAKNDDDPLSFLPKGFLERTKEQGLVVPNWTPQTQVLSHGSVGGFLTHCGWNSVLESIVLGVPMIVWPLFAEQKMNAILLCDEVKIAMRLKFCEDGLVKRDEIAKVVKELMLDGRENEIRERIEVLKDAAIDALKEDGSSTKAIFQFGNRLERHVRDIYHV >CAK8560484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21892796:21899129:1 gene:gene-LATHSAT_LOCUS14152 transcript:rna-LATHSAT_LOCUS14152 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGSRFRPKLLPSSLQPSQDDQSHNSRAPSDLVEAGENIAGIPNSSISPETVSLAEREASFTLNLFPDGYSIGKPSENGAANQSFPKLLLPYDRSSETLFLAIESGHLPGDILDDIPAKYVDGALICEVRDYRRFSSEKGAGMVSADSSPTIDKVCLKMSLENIVKDIPSITDKSWTYGDLMEVESKILKALKPSLHLDPTPKLDRLCESPVPTKLNLQRKRLRNIPEFTVTSSNKTHGKKVCIDRVQENSNSRLGDPGITTSNATGQQTLENQAMQNLNSSIAMAMRSKNIMPDSSIPGFSMMSQQSRYPMTVGTPRSLQEHGSISGINSSGASPAAQDVMTSYADNPNASVSLHAKREYLDGQSSPLSNIAKRMRSAPTGVDAMQQQQLGSHVDALQGSDMNWQNTLLQQQAMARGIQYNSSGIQNFPQQVFEGGLNQETGAIQFTSGQQGMRLVAKEEQFEMERIDGAGINRNKSELEMDASNLDPQQLRLQQKLPHHAFMRPNFPQTTWNSLGQQIEKEAKKEDQFQKRKQVQSPRLSSGTLPHSPLSSKSGEFSNGSVGPSFGPSSMNTAPGALQKDKAAMASLSSAVGAPSLTSSANDSTQRQQQAQLAAKRRSNSLPKTPAMSGVASPASVSTGVPFNTNSPSVGTSAFSEQGLQTIVDRFSKIDAVTARHQLHFKTKKPDQPIKKQNTYSPQRVAAHLANATNNEGLIDESSSLSKSLIGGSMNACKMRVLSFIWNERVVQGNATALVPRFRTRLIMAEKPSDGTVALHYGDIDESDFIAAEDHLPTLPNTHFADLLVDQFCSQIEHEGYAKEDDRIQLRPNCVNLPLSSQSSLPPNEMQQYGEPNLSQSCNEMAKLASGSNASLSMSQNLVANTRMLPPGNAQALHMSQGLLSGVSMAQRPQQLDSQQQAVQQQQLQQNQQSLIQQQNPQFQRSLLSANQLSHLNGVGQNSNMPLTNHLLNKASPLQFQMLQQQQQQHQNQQMQRKMMGLGPAIGMSSYRNSLVGLSPIGNAMGIGAARGMGGTGISAPMAPIAGMGNMGQNPMNLGQTSNITNSIGQQFRPADILSKIKMVQNRESMSGARQMHPNSANLSVLSQSLNRNTMSSLQRAMGPMGPPKLMPPVNLYMNRQQQQQYQQSQQQQQQHQPQQPQMQLQHPQQHHLQQQLQQQLQQQQQQETTSQLHAVVSPPQVGSPSTMGVPPLSQQSLQQASPQQMNQRTPMSPQQMSSGAIHGMSTGNPEACPASPQLSSQTLGSVGSITNSSMDMQGVNKSNSANNNNNGQ >CAK8540331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:552977733:552978371:-1 gene:gene-LATHSAT_LOCUS9345 transcript:rna-LATHSAT_LOCUS9345 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIQPCDAGIIRAFKMHYRRRFYHKILEGYEVGQSDPEKINVLDAINLTILAWMIDVRKETITNYFRHCKIRSASDVARNLDESTIDEETQDLETMINQCGYRNKMDIDNLMNYPGENEACLKVQSLEDIVGTIIENNAEGDREDDTVSLEPVTRKEALMASNTLHNFMIQYKNTTLKLLDAIRKVRDGLQIDLNFKGKQITIESYFNRV >CAK8560031.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5425973:5428914:1 gene:gene-LATHSAT_LOCUS13749 transcript:rna-LATHSAT_LOCUS13749 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRTAIDSSFWDLNISSPQTLDGWAKHVSGDPIPLDNSVSSRVFRHQQLSELTSYVPLTIVPSLAPSPRKECGSFSLQSLLLDLTGKHWWLAVTGQFRPRKLIIDIKNEISNAVKFNLSTVKSVAKHFIDKSLYSYGLNSQFAISPSTSVLFALEGHGEKERRRKKVVVVHEFPDHDLTVEAAWPQLFVDHKGRYWDVPESVSIDLSSLVSSSGLRYRFGIHKNGGNPQAFDTTDSDSPLSLLPGLCAKASVTCNKIKYLWKNIEIGIDEDFDEDLTPYDVRLKEPHAAISGIIGSSCASWLWNGKKSSSITSGEDQAVTKRKQRSRFNADLFGSVCFTFQHGRFTKEYRDLTRVDARLDISSASGLAKKIFNGFKSSSDNINDQPSASPRLNLIFQQQVAGPIVFRADSRIAIESFTRKHGVFVEDFICSLNYSLESLESGKIVAWYSPKRKEGMVELRLYEF >CAK8537320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:261474426:261481867:-1 gene:gene-LATHSAT_LOCUS6617 transcript:rna-LATHSAT_LOCUS6617 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFKTAQPNSQLYCHQSFLLRGDDINRNQMRFSDLGDFHHSSPVFQQQDALDLTSTCMFNSVKSNNLVIGASDMHYGTTINTNVGSAEIASNGAGCSDAGQQQQQLMYNKGIASLPLGNSHVENWADSGMADNSQQTDDNSTDIDIDTDDKDLSNRGKAHSKDQTKFRAEDHKTLRRLAQNREAARKSRLRKKAYVQQLESSRVKLVQLEQELQRARQQGIFIANSGDQGHSTVGNGALAFDLEYGHWVDEHQRLLNDLRSALNSQMGDNELHLLVDGVMAHYNELFRLKKIGAKADIFHMLYGLWKTPVERFFIWHGAFRSSELLKIVKSHLEPLTENQMVGICNLQQSTQQAEDALSQGMEVLKQSLLEILSSTSSVASTGSGNVADYMGQMAFAMNKLASLEEFLHQADLLKQETLQQLQRILTTRQTARALLVRNDYISRLRALSSLWLARPRE >CAK8537591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407146637:407147616:-1 gene:gene-LATHSAT_LOCUS6872 transcript:rna-LATHSAT_LOCUS6872 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDWRTGTNRSTSDRDTSPAPIRFPPDNFKHSLTLFSKSFSSFPRSTCSLSVSRQYLALDGIYRPIWAAFPNNPTRRQRLVVRQGPSTTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSYGGLIPVRSPLLRESLLGSFPPLIDMLKFSGRALNIMASGATCIQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVILYNVSKQSPHGNRLRCHADALRAKLKFLDAFSAGV >CAK8537592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:407147143:407147616:-1 gene:gene-LATHSAT_LOCUS6872 transcript:rna-LATHSAT_LOCUS6872-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDWRTGTNRSTSDRDTSPAPIRFPPDNFKHSLTLFSKSFSSFPRSTCSLSVSRQYLALDGIYRPIWAAFPNNPTRRQRLVVRQGPSTTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSYGGLIPVRSPLLRESLLGSFPPLIDMLKFSG >CAK8536325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:931718599:931718880:1 gene:gene-LATHSAT_LOCUS5704 transcript:rna-LATHSAT_LOCUS5704 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNLVFKKNDKNIMVVKCVDGCPFHIRFSMRITNQYWQLVSLNDRHGCHRTSKNRQAKTDWLGRQFVYTIRHTPEIKTKGLIAEAIKKGG >CAK8560591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27043238:27053812:-1 gene:gene-LATHSAT_LOCUS14252 transcript:rna-LATHSAT_LOCUS14252 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLRSFLPDDTDADFHVTHHRTVDEILNDCDSSSSSSSPSPPSSPSHHLPLPPNNKPTQFPQPVPVSISRVKPDKPPRPFSSLFGRVTPNAKPGAALAAAAAASRSVPTPHAAAIISRRKGSHSVRTESDDSDKGSELGEKFESVERKIESSESVSSSVGDDAEVAAIEVNGEDGVDIQVKNDSPVVSEGKSDLDECSVSPSLNDVENGHDHDHDQGLNLNSEPFDVDDDGFGEKTSLDYKGVEETEEEIVNGVSVSGGSVGGIENKVSGGGDDGGGGSGDDDGSSIGDVFELVEETLEELESLNLKKKKSESVKKPLDLAEELEKKNASTGLHLEEGAAAQPMRLEGVRRGSITLGYFDVDADNAITRAISSQTFRRDHGSAQVLVVHANFIAVGMTKGLIVLVPSKYSIHHADNTDGKMLMLGIQGDRSHAPVTSMSFNQQGDLLLAGYGDGHVTLWDTQKGVVVRVITGEHTAPVVHAFFLGQDPQNTRQFKAVTGDCKGLVLLHHISVVVLINRFNFKTQCLLDGQRTGLVLSASPLLLDEFGGGASSYSQGNTTVSTSSISSMVGGVVGGDAGWKLFNEASSLAEEGVVVFVTHQTALVVRLSPSLEVYAQLSRPNGIREGSMPYTAWKHMPQTCSSPENMSAEAVERVSLLAIAWERKVQVARLVKSELKVYGEWSLDSAAIGLAWLDDQMLVVLTSTGQLNLFAKDGTVIHQTSFGVIHQTNFGVDGVGGEELLSYHTHFINIYGNPEKAYHNSIAVRGTSIYVLGPTHLVVSRLLPWKERILVLRKAGDWMGALNMAMTLYDGHAHGVVDLPRTLDAIHEAIMPFLEELLTSYVDEVFSYISVAFCNQIGKPDQSNDSNSRSNSVHSEIKEQYTRVGGVAVEFCCHIKRTDILFDKIFSKFTDVHVQQRETFLELLEPYILKDMLGSLPPEIMQELVEYYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYSALVYLFNKGLDDFRAPLEELFAVLQNSEKENAKALGYRMLIYLKYCFIGLGFPPGRGTIPPTRLPSLRKELVEFLLEDSSAMRSRTASASVSKQPYLNLYLLLELDTEATLDVLRCALMEDKISNSSSSSLDSADKPIEEENKENNVTETENILVQNTVDALIQITDMNVVPSDTTSSSGDDGLTKEWPSKDKGCLFEFIAYYVARQRAKVSKDMLCRILEYLTLDNHFLANVSSHSSTRKNREKQVLALLEVVPESDWDVPFLLDLCERAKYYQVCGLIHSIRHEYVAALDSYMKDVDEPVHAFSFINKAFSQLIGNDHAAIRSAVLSRIPELVELSREGAFHIVTRHFTDESSHIITKLQSHRRSLFLYLKTLIELHLFGTLDLSNLTKGVITNPPNGKQDKDLPQGIHDYLENISDFPKYMRENPSYVPDDLIELYLELLCQYERGSVLKFLEMFDSYRVEQCLRLCQEYGIVDAAAFLLERVGDVGSALSLTLSDLNDKFVELDAAVEAVVLNHPKLDSSHMEVFNTVLRTEEVNVMYDLLHACIGLCQRNTPRLNPEESEAHWFKLLDSFSDPLMESYVEERAYERKNYFGVLTGSADPQLDKDTNKSSWKISKSQNGDLLRKLLSQFIKEIVEGMIGYVHLPAIMSKLLSDNGSQEFGYFKLTILGMLGTYGFEKRILDAAKSLIEDDTFYTMSLLKKGASHGFAPKSSVCCICNCLLTKNSVTTGIRIFNCGHAIHLHCEASEVESTSKGSSSGCPVCLPNQKPQKSRNKSIVTENGLVNRFSSRRQNPQYGSTIHHHDNDLSENTYGGQQQISRFEILSSLQKNQRFMQFENLPPLRLAPPAVYHEKVSKVAHYQTGESSNSSAIIEKNSRHKQNRELRVRGSSIRFPLKSSFFGKEKTNKR >CAK8532272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:235358681:235362872:1 gene:gene-LATHSAT_LOCUS1999 transcript:rna-LATHSAT_LOCUS1999 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMTHPIGSLVGTPAPIESTVGEAPATVNAAAVWKTPSTNLRCKASRSDVKGDGLSPPMSPCRSPVLGGNRPDLNVACQAFTMEAETAVEKEHVSGARSKKGRGSGVPVYVMMPLDSVSMGNGVNRKKAVNAAMAALKSAGVEGIMMDVWWGLVEREGPGEYNWGGYNELMEMAKKHGLKVQAVMSFHQCGGNVGDSVTIPLPKWAVEEIDKDPDLAYTDQWGRRNYEYISLGCDTLPVLKGRTPVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCYDKYMLSSLKAAAEAANKRDWGSTGPTDAGQYNNWPEDTNFFRKEGGGWDSEYGEFFLTWYSQMLLDHGERILTSTKSIFDNTGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRKRDGYLPIAQMLARHGAVFNFTCIEMRDHEQPQDALCAPEKLVNQVALATQKAQVHLAGENALPRYDEHAHKQILKASQLNVEGNLDDTEMCAFTYLRMNQQLFQPDNWRKFVAFVKKMKEGKSTDKCWEQVEREAEHFVHVTQPLVREAVAMMH >CAK8574869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12815491:12816500:-1 gene:gene-LATHSAT_LOCUS27168 transcript:rna-LATHSAT_LOCUS27168 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHQFHHKNQRQQPQPQQQQNLHLLHQNINNTVNVFDVSDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISNHMKEKGYHRSAEQCKCKWKNLVTRYKGCETMETEMLKQQFPFYNELQAIFTARMQRMLWAEAEDGPKKKNATRLSTDDEEDDGNEESEEISQKGRTRSRKKRKAKIVNESGNGSSSRSFHQNLKEILDEFMRQQVQVEAQWMEAFEARENERRLREMEWRQQMEMLENERLLMEERWREREEQRRIREEARAEKRDALLIALLNKLERQ >CAK8570217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:29545453:29547964:1 gene:gene-LATHSAT_LOCUS22989 transcript:rna-LATHSAT_LOCUS22989 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNTNLTLLCTILLITISTVAISASDQSLPGCKNSCGDIKIPYPFGISSSSIPNQGPCFLEPKFNLTCENNTKLIWGDVHVLNISILQGQLELLCFVSGYCNSNINNQPTLDTASFSISRKENKFITIGCDNYGFIDSNYDQETYTTGCLTRCNGNRRRIENGTCSGIGCCQVDIPPMMRNISVNVFEFPNSTESFGCSHSFVVKNGFYNFSVSDLDNFTYEKLPLILDWSVGSKNCKASKDEDDYACKQNSDCDDEGIDFGYQCKCKKGYEGNPYHPDGCKDIDECKTSNNTCLSDEHCRNEDGFYECFCPHGQSGNGTLEGGCRRRDVITKVAIGASAGLIVLFVAISSLYLTCQKRKLIKLKQKFFQQNGGFILQQQLSTREDTSQSAQIFTEQELKKATNNYDESLIIGRGGYGTVFKGILSDNKVVAVKKSKIIDENQIEQFINEVVVLSQINHRNVVKLLGCCLETEVPSLVYEFVSNGTLFDFMHSTKGKENNPTWKTRLRIAAETAGALSYLHSSASIPIIHRDVKSTNILLDENYTAKVSDFGASRLVPIDQTEIATMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELVTGDKPISFNRPEEKISLAMHFLSCLKQDRIFEAIEVGVMNDDNKKEIMEVAILAARCLRLRGDERPSMKEVAMELDGIRLMEKHPWNDTEQDFEESQRLLHEASCSIYNEGENGDSYNVGYTVGYDSLKDQPLIALDDGR >CAK8535636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:871108313:871108912:1 gene:gene-LATHSAT_LOCUS5078 transcript:rna-LATHSAT_LOCUS5078 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTNLEPSLVYEYGLILGHNELEEEDMFYFNHEFLQSMGISIAKHRLEILKLAIKDKRKFPHTVAKFVAVIKRTKKYLANYVKSLTHTEESALVVVPKTRSSGGLGRRWKSGIMKRNKKYVVAKKEKLFLTNGVVPVPALSGDLDGFASPVVYHFQKEQKIDGSSDGGADGYRSAAVEEIKWDTMFKDLKPN >CAK8530235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8868032:8868490:1 gene:gene-LATHSAT_LOCUS118 transcript:rna-LATHSAT_LOCUS118 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEAHINIEWCNQSTSIKYLFKYINKGSDRISAVIVPNNTGTDGNIDEIKQYLDCRYVSPSETCWRIFSYSIYGRKLTVERLFFHMEGENSVYYKDFEHIRTLLLKPSVIESMFTSWFVANTRYEEAKLLTYSHFVSKFVYDKKKKVLETA >CAK8570444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:46462972:46467126:1 gene:gene-LATHSAT_LOCUS23191 transcript:rna-LATHSAT_LOCUS23191 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKTIELYGFPTHVNVSDVKRFVEQYTGEGSVFAMKVRVGKGRRVRAYAVIQFTTARHAAYMMSLPSRNSSALVYGGSDLKVREMERDIDPKPRANEDSMDDVKLYFGCQISEERLSVLWRKVDVSVAFGIGMRKWRFTMCHGYKKFKLELSYENIWKIELRQPRGKTVKYLLIQLIGAPRIFELYVPTSTNVYDDPLKNYCMDYPDDQWIRKIDFTPCSSIGQSSVLCLELPSNRDLPNFKENFAHYEESEGQYTLESGLSFSCNPDIVPMVVPPPRIQIPFDILFKVNSLVQHGCLSGSELNSDFYRLVDPIKINVEYIEHALERMYFSKNFCYEPARWLKDQYRMYLSSNNPPRSPSISLDNGLVYVRRVQITPCKVYFSGPEINVSNRVLRHFHEHIDNFLRVSFVDEELDKLYSATLSTRISEIGKTEIYYRILSILRNGIDIGGRKFEFLAFSSSQLRENSLWMFARTTTGVTAESIREWMGDFSRIKNVAKYAARLGQSFGSSTETLSVSRYEIEFIPDLKVKDTDYVFSDGIGKISLEFARKVAKKCGYDSMPSAFQIRYGGYKGVVAVDPTSYWKLSLRESMHKYDSDNDKLDVLACSKFQPCYLNRQLITLLSTLNVKDSVFEKRQKEAVDQLNTILTDSTKAHEVLDLMSSGEVTNILKEMLICGYKPNVEPFLSMMLQTFRASKLLELRQKTRIFIPKGRAMMGVLDETKTLEYGQVFVQYSNRFSTLSHVVKGKVVVAKNPCLHPGDVRVLEAVDVPDLHHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDSELIPPQMTVPMEYDSAQPKVLDHDVEIEEVEEYFANYLVNDSLGIIANAHTVHADREPKKAMSDLCLELAKLFSVAVDFPKTGIPAEIPRELFAKEYPDFMEKHDKTTYKSENVIGKLFREIQGISTRDGSITSFTLELAKKSYDPDMEFDGFMDYVDDAFYHKSNYDYKLGNLMDYYGIKTESEILSGNIMKMSKSFTKRRDADAITMAVRSLRKEARSWFNDEVDSGSGNAYAKASAWYYVTYHHSYHGLYNEGMKRDHFLSFPWCVYNLLVQIKKGKARMRMHSSMEQSFSRGLRLV >CAK8571370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:392596540:392598261:-1 gene:gene-LATHSAT_LOCUS24037 transcript:rna-LATHSAT_LOCUS24037 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESCIRLLYTCRNVFHIRQVHANVLINGTFNDLILANKLLYFYVQHKAISDAHHLFDEMTTRDPTTWSVMVGGFAKLGDYSNCYTTFREILRSGVTPDNYSLPFVIRTCRDRKDFQMGQMIHGVVLKHGLQLDHFVCATLVDMYAKCMVIEDARKLFDVMLSKDLVSWTVMIGAYADCDAYESLVLFDRMREEGFVPDKIAMVTVVNACAKLGAMHRARFVNEYICTNGLSLDVILGTAMIDMYAKCGCVESAREVFDRMKEKNVISWSAMIAAYGYHGKGKEALDLFHMMLSCGILPNRITFVSVLYACSHAGLTEEGIRFFDSMWEDYAVRPDVKHYTCLVDLLGRAGRLDEAVKLIEKMTVEKDERLWSALLGACRIHGNMELAEKAANSLLELQPQNPGHYVLLSNIYAKAGKFEKVAEFRDMMTQKKLKKVPGWTWIEVDNKTYQFGVGDRSHPQSNDIYEMLMSVIKKLEIAGYVPDTEFVLQDVEEEVKKEMLYTHSEKLAISFGLIAIPKDDPIRISKNLRVCGDCHTFCKMVSDIMRRSIIVRDANRFHHFNEGACSCGDYW >CAK8538890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498977258:498979397:-1 gene:gene-LATHSAT_LOCUS8039 transcript:rna-LATHSAT_LOCUS8039 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTNVNPDSSDKDSEVFAETDPTGRYGRYTELLGCGAVKKVYRGFDQEEGIEVAWNQVKLRNFCDEPAMVKRLYSEVRLLRSLTNENIIELYSVWTDDERGTLNFITEVCTSGNLREYRKKHRHVSIKALKKWSRQILNGLYYLHTHEPCIIHRDLNCSNVFVNGNVGQVKIGDLGLAAVVGTNHIAHTILGTPEFMAPELYDEDYTELVDIYSFGMCLLEMVTLEIPYSECDNVAKIYKKVSSGIRPAALNKVTDSEVKDFIEKCLAQPRVRPSAEELLKDPFFDELVDDDDDDENAGYADSF >CAK8561381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:180294196:180294498:1 gene:gene-LATHSAT_LOCUS14981 transcript:rna-LATHSAT_LOCUS14981 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIKYFTFSMIISILGQSFASLVSMVAAAESAIGLAIFVITFRVRRTIAVEFINSIQG >CAK8532500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:271462712:271462918:1 gene:gene-LATHSAT_LOCUS2199 transcript:rna-LATHSAT_LOCUS2199 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSFHSTWRKWILECLKSSRVSVLVNGIPTKELTVGRGLKQGDPLSPFLFLLVAEGFNLLMKRAGS >CAK8540843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25308618:25308947:-1 gene:gene-LATHSAT_LOCUS9809 transcript:rna-LATHSAT_LOCUS9809 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSTLSSNSTMKASSSEAEVIHYLFGGLAVIFGVITLSLLIISCYFWKQFLSFASSNDEEKSSNMHVMDTDQVVSEAEIVVIMPGETNPTYFAKPDSSISHPDHQDL >CAK8543829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641900370:641901284:-1 gene:gene-LATHSAT_LOCUS12560 transcript:rna-LATHSAT_LOCUS12560 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRYSLSIIEEMDKLWFHQIILFSQPTATPFVAKHVEKHVPISESSSSSSSILSLIPLLDEETSTDESLSSEKQVSIISLPIQDGSTENKEEMKEILNQMSDLDCSHLSCSSLPTTRNRHGNSRKKDKLQKTMSCKTHGELELDEVKGFMDLGFIFKKEYISPRMISVVPGLQRLCSFQNNKQKHSVSQIIMNDAIENHDDIVVEVYEDEKRDITRPYLSEAWLIKKPDSPLLSMKVPKTCSSDNMKKHLRFWAKTVASEVKQE >CAK8532647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:342685946:342701686:-1 gene:gene-LATHSAT_LOCUS2330 transcript:rna-LATHSAT_LOCUS2330 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLSPVGRRVSVETLAGKTLAIDVSIWMVQFIKAMRDEKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGGTPALKRRTVIARRRQRDVSQAKVRKTAEKLLLNHLKALRLKELADDIKNQKLKQKSGTKSQEKSNQMDYVGNDLGKSHTKELDEIPAAMSVAKEDRNLSQTKISTRCNQEELDEMLAASIAAEENEIRSREGGTSTVSNSSDADEETILPTANDEVDLAVLAALPHSMQLNILAQLKGKKTGPLKQVDNQTRHEVGDCGKGKGIVFNGTDQVGCSSRCDVNATSSSDNQKRIDEMLAACIDMEENAKLVNNVSNSLGGSTNKEKGGNYDEDEDEEMILPAMHSEFDPAVLASLPPSMQLDLLVQMRERLMAENRQKYQKVKKDPSKFSELQIQSYLKTVAFRREINEVQKAAVGGGVGGIQTSQIASEANREYIFSSSFSGDKQGLASTRLERNVEDTQQMERGTHPSQNFLNNIAAGNVSNISAGLVCGEPSEPVDESIETYLDEWGRVRVSRSRAMGMRMTRDIQRNLDLMKEIEHDRTPTNKVNNIDTVPNTENSPSKCGNQLSGKTREVNIDLAGDNVQNEELMLGKNTSIEISFEYDCKDELASGGDDIFASLVGGISMEHFHADDTVVKTPCGSDSDCDWEEGIVQGKNTISPGYNKVELKSSVSGEYNNSESEEEWEGDCNGTKSTLFCPSEPGMLASKGQLEEESDLQEAIRRSLESTRDGKLKCVPSLDEHSSAYEKKLDPNLEHGDNNEAVGVKNENMTRNDPETFHQLKSSVTFKSKNSDTLINEPSKQDGHDKSENSISDGNDTMMDEVPNLIVAEELLDNHNHGDVSKVDPLGVTEKEKKKSNNDSEALSNSSDNRKAAILLMEPSLKGAKEDRDLELKLPSLNNDGNLSMERTSNLYQESMISPGNFPVQLDEDRLNEEMEILDREYMNLEKEQKKFERNAESVDSELFTECQELLQMFGLPYIVAPMEAEAQCAYLELSKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMEDIEKELGLTREKLIRMALLLGSDYTEGVSGIGIVNAIEVVTAFPEEDGLQKFRQWVESPDPTILGRLDTKSVSKKGSKLEEKESPDYIQERKQIFMDKHRNVSKNWHIPSSFPSETVISAYLSPEVDKSTEPFAWGKPDQLVLRKMCWEKFGWSSQKADELLLPVLKQYNKHATQLRLEAFYSFNEKFAKIRSKRINKALKGITGKPPSEGTEEEEGVAGRKKSKRKESMERKNDRDIVAKQHTKKKKINDVSTSTPGASEVEKLQPCMQTEEEQHDGKELARNKSGSGRGRGKGVGIKRSREKKGRYQSEAETSSSSSDIENHEPGVHIDGPTVTEAVRRSKRSRKPVNYSFEDIEVEDAVDSFDQKNQNCLRGEGLQEKSSCIDDARVDSFSRGIESNAIEIPVKDNLPANYLEPESDAGAATPNTRPSDDCLEMGGGFCADDSEMDNNHDAIDDMNTTISPPCSKFLGETEKTATSQIQDGETCHKLDTVPNDHLNAGTRVLTAENAHHNTESSDIAFSAMPFLRKKKKGMNLS >CAK8536832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:25883488:25884876:1 gene:gene-LATHSAT_LOCUS6162 transcript:rna-LATHSAT_LOCUS6162 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDSPYFSRHDFKRRRPLPEPAEEERPTTRPTPPSAVVVMGLPLDCSVLDLKSRFEIYGSISRIRIDRDTVGYITYRNKDSAEAAIAAGHDPSFGVTVNSKKVQVLWATDPLAMWREGVGKNKDSVSMSKLVRADVPLRRHGRSNKLASTIENTKSNNDGSGSSVLEVPSRGREIVAYDDIM >CAK8530473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23436382:23438114:1 gene:gene-LATHSAT_LOCUS335 transcript:rna-LATHSAT_LOCUS335 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMARFKGLMVSLPFGFLLLLCSSFIILPGVTGWSKEGHEMTCLIAQALLKPEASEAIHHLLPPSVNGNLSALCVWPDQIRHWYKYKWTSPLHFIDTPDKKCGFQYSRDCHEDMCVAGAIKNFTSQLSHYKEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDKGGNTINLRWYRHKSNLHHVWDREIILTALKDYYDKDVTLLLQDIEKNYTNGIWSEDVASWEHCNDISLCVNNWAKESIQIACKWGYEGVKSGTTLAEEYFDSRMPFVMKRIAQGGIRLAMILNQVFGDSQEGFVVAT >CAK8530474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23436391:23438114:1 gene:gene-LATHSAT_LOCUS335 transcript:rna-LATHSAT_LOCUS335-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFKGLMVSLPFGFLLLLCSSFIILPGVTGWSKEGHEMTCLIAQALLKPEASEAIHHLLPPSVNGNLSALCVWPDQIRHWYKYKWTSPLHFIDTPDKKCGFQYSRDCHEDMCVAGAIKNFTSQLSHYKEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDKGGNTINLRWYRHKSNLHHVWDREIILTALKDYYDKDVTLLLQDIEKNYTNGIWSEDVASWEHCNDISLCVNNWAKESIQIACKWGYEGVKSGTTLAEEYFDSRMPFVMKRIAQGGIRLAMILNQVFGDSQEGFVVAT >CAK8542853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:553834815:553837910:-1 gene:gene-LATHSAT_LOCUS11656 transcript:rna-LATHSAT_LOCUS11656 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNVTYSFITNTDQTTQVCFNKIISHTSTLWIDNALEQILPAFVLQFALTIAVNRALLFLAESCNLPRIVPNVFTGFLFGPSALGKWKYLFENIFPYNSMLSLETMGALTLVYYAFLLGLELDLKPITKLYYNKKATVVALAGLVFSLPVGIGLYYLLITDMGRKSLSRLDSDKNMRGAIFWGLTLSCSSEFPEVAKILSDLKLLLTENGQLALTSSLINDLFSWTLLLLVLTQLYYASLLSLFVTLVLVLVCFYAISPFAKWLVKKFGNGDREFVETEVVFLLHVVLIIGLVFDGLGAHSITGAFFLGVIIPKGSLNNAVQDKTFDFVSVFMMPLFFLIIGERTNIQDLVLDTHWITVVIVIVLAFLVKLVCVFAVSCIYQMPYMEGLTLAFLMNTKGTMPLIILYTAMDRLELESQPFVVMLLACWLMTAISGPVLAMITKTLTTGKVLGIQRKNMQGTRPDSSLRVLACVHSKHDANAIIDLLKASSPSVRSPIQVLAVELIKMTNRPTSSLIIKEAKKPSFRSNSSKLENLKRDTGDNLGSFDNLSQAIFADKLRVISQYNSMHKDIINLCTRRHVNLIITTLYKQPTYDGLGAGTATARAINIINRDHANRDEKKIVLENLIKEAPCCLAVFVNRGFGGEENSSEEKRIAMFYIGGVDDREALSYAWRMSRNMEVQLTVVRLVWDNPEDGFDEVDREYLKAFAHQTTDTPTVRYLEKTVKNEKETVKLLNRIGNKGFDLYIIGRGHGRKMSLAQTVDPVLDEPVLGPLGDTLSDLNSASKTSILIFQRYAERYAEVQGGGGSGKHDVSATPVQFFDGVVDSQQEMFHPPTTLGHNIDV >CAK8566052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374073142:374074641:1 gene:gene-LATHSAT_LOCUS19220 transcript:rna-LATHSAT_LOCUS19220 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFASRSIFFSHSTKLLIFPFKPSSSHLPFFLPLRFTRTTCTLQHQQHRQPQTTRSVIGILEERGLLDSITNDSLRSISSNTINAPLKVYCGFDPTAESLHLGNLLGLIVLSWFRRSGHNVVALIGGATARVGDPSGKSLERPELDVETLERNTVGIENIIRTILGRAKNPNFEDLNVNGNGNDSSVVVLNNYDWWKEFSLLDFLKRVGKYARVGSMMAKESVRKRLESEQGMSYTEFTYQLLQGYDFLHLFQNEGVNVQIGGSDQWGNITAGTELIRKILQVEGATATYGLTFPLLLKSDGTKFGKSEDGAIWLSPSLLSPYKFYQYFFSVPDADVIRFLKILTFLDIDEIVKLEEETKKPGYVPNTAQRRLAEEVTRFVHGEDGLREALRATEALKPGSETKLDWKTIEGIAEDVPSCSLAYDEVLNQSLVDLSVSSGLFDSKSAVRRLLKQGGLYLNNSRVDSENKRIEAADIVDGKVLLLSAGKKNKVLVRIA >CAK8563132.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:576581612:576583650:-1 gene:gene-LATHSAT_LOCUS16571 transcript:rna-LATHSAT_LOCUS16571 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVKNTQSSHSPPELEHAEAIPVNGDEASLKEAGGWRSVKYIIGNESFEKLASMSLISNLTVYLLRNYNLSGLFVINTVQIWNGSSNIASVIGAFISDAYLGRFRTLLYGCIASLMGILVMTLTAGIDGLRPHACKESDRPNCEHAHDWQLGILFIGLGFLSIGAGGIRPCNIAFGADQFDSKTEKGRGELESFFNWWYFTFTAALVIALTGVVYIQTNVSWALGFAIPTVCLAFSITIFLIGRKTYICKEPQGSVFTDMVKVILAACRKRKIRIVDRTLYDPVSRVSDSESVRLVQTNRFKFLDRAAIIADPSELDDEGVAKNDWRLCTLQQVEHLKCLLGILPVWVTGICCFIVMDQQNTFGVLQVIQTDRSIGSHFKVPPGWMNLVSMIALSFWIYIYECIYIPVMKRIKKRPTKRMSMGVRIRIGIVLSVLCMLVAGIVEKVRRDSALKHGSFYSSMSFGLLLPQFALSGLNEAFAVIAIMEYFTLQMPESMRTVAGAVFFLSLSIANYIGSLIVNIVHRATSHKGKTPWLGGRDLNSNRLDSYYYLIASLGVLNFIYFHFFASRYLISSKKTEKGEVELNAALKGESHEQDDEEKVLDIAGNR >CAK8577273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:551106873:551107718:1 gene:gene-LATHSAT_LOCUS29394 transcript:rna-LATHSAT_LOCUS29394 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIWDILCESKRIIYTQPRHYLTLSLIFLLPLYFLSLVSQLILKHLQQQQPPTSPTAIISFSILSFLISSIFTSCAFISLTDSVYRSFFNEPIKLKEAFKSISTSFFPLLATDIIFFTIFFLGTFLFGFLIAVVSFLITYLGGVDLQAHSYLVVVSLMLVLLPLAIYLAINLSLVKAIVVVESVWGFEPLRRSWKLVKGMKWLIFSIFFLFASLQWILVWITGFSWVLKLVISPILIMLSLYNITVLTVLYIYCKEKHGELGDGEFGKEKDEASLSLIP >CAK8576867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521375622:521376902:-1 gene:gene-LATHSAT_LOCUS29030 transcript:rna-LATHSAT_LOCUS29030 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGIVRTVTKHVTPGKATILALGKAFPHQLVMQEYLVDGYFRDTNCDNPELKQKLARLCKTTTVKTRYVVMSEEILKKYPELAVEGATTVKQRLEICNKAVTQMAIEASQACIKNWGGSLSDITHVVYVSSSEARLPGGDLYLSSSLGLSPKVQRIMLYFAGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIIGSDPIFETEKPLFELHTSAQEFIPDTEKKIDGKLTEEGISFTLARDLPLIIEDSVEGFCDSLMDVGGLEKKEYNKLFWAVHPGGPAILNRMEKRLELLPEKLNASRKALRDYGNASSNTIVYVLEYMIEEGNKIRKEGGGDPEWGLILAFGPGITFEGILARNLCA >CAK8560002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:4815062:4816082:1 gene:gene-LATHSAT_LOCUS13725 transcript:rna-LATHSAT_LOCUS13725 gene_biotype:protein_coding transcript_biotype:protein_coding MMKREMHFVHTLLLLIISPLVCVNSEHFVLIHGGSHGAWCWYKVATMLKSAGHHVTTVELSASGINPIQVQQIHSISTYYEPLLTFMESLPPKETVILVGHSLGGVSTSVAMEKFPQKISVAVFVTAYVLSQNLTYPTILQEQARRNISLMDTQFFFFDGPNKPATARLIGPKFMASRMYQLSPSKDLTLALSLVRPVPIYKDVELLKKETAVTNDRNGRVPKIFIISKSDDLVTEDFQKWMIETSGPFAEVNVIEDSDHMVMFSKPNMLTSILLNMSQTLMCMD >CAK8566467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:426365649:426366670:-1 gene:gene-LATHSAT_LOCUS19596 transcript:rna-LATHSAT_LOCUS19596 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLNPIITFSSTTKLETKYHDASRYPKVTQIMPSLVTSRTRMFVKEKRFGRCFSVANSDQLSANASNKDPGSAANDQLPSTNPPVGVDSESQTPEGSNGSLGSAASPNSKSVITRSSQKLRERIKAARVLNLSKEPKPKASKSDMGSSVLEAFRESDKGKKKRRSGLPEAPGNLFDDSKRGMPKSGLTFDFPGGSDLFFIIFSFVFISTVMFGTTFIVWKLGAIHFNDS >CAK8561600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:314264468:314265331:-1 gene:gene-LATHSAT_LOCUS15177 transcript:rna-LATHSAT_LOCUS15177 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFDIPQAEEMNDDFDLVEDKVGEERQIGDRGLKKKLLKEGEGWDTPEVGDEVQVHYTGTLVDGTKFDSSLDRDSPFSFTLGLGKVIQGWDEGIKTMKKGEKALFTIPPELAYGESGSPPTIPPNATLQFEIELLSWTSVKDICKDGVLFKGILSEGEKWENPKDPDEVLVKYEVRLEDGKVVAKSDGAEFTVSEGHYCPAFSKAVKTMKKGEKVILLVKPQYGFCEKGKPAHGDEGAIPPNASLQITLELVSWKTVSDVTSDKKVIKKILKEGEGYYRPIQGLR >CAK8574023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654545296:654548054:1 gene:gene-LATHSAT_LOCUS26414 transcript:rna-LATHSAT_LOCUS26414 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYEFMVLLFSHLVPRLVLAGGYENMYQGECPASFNCGYLGNISFPFTTTQRQDCGLLPINNCHDAMKPKSIQFRDKGKWFEVGIVNPPEFHGGNATCSCVFRDHNLYQLLQDKSCDAFKNSYTLPPTSHFVSLHIVTHATLFRCNRSLHVNPPTYMHNYTNCRLYDFYYQPYNSAADNASQSAFKACTKALLPAKDFADANDPFTFVTADIITQVNVTEECANCHYNQRGCCQLNSNGRFHCANAMVLKRKGLTRNVKLGIGLGIGILGILLILLLFLQLYKRKHAISVGHFQSSNSYSDSSINPRREIGSKHFGVPLFSYDELRKATNNFDHTKELGDGGFGTVYFGKLPDGREVAVKRLYEHNYRRVEQFMNEVNILTTLRHKNLVSLYGCTSRHSRELLLVYEYISNGTIASHLHGELAKPNLLPWSIRIKIAIETATALTYLHASGIIHRDVKTSNILLDDNFRVKVADFGLSRLFPEDATHVSTAPQGTPGYLDPEYHQFYQLTSKSDVYSFGVVLIELISSKPAVDINRSREEINLSTLATKKIQESAIDELVDPSLGFHSDDEVNSMIVSVAELAFQCLQRDKELRPSMEEVLDELRRIESGRDVVEVVEEGDVDGVGSSHGNVNPPPASPEWDEVGLLRNVKNMKHPSSPNTVTDKWESISTTPNASA >CAK8535868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887507660:887509975:1 gene:gene-LATHSAT_LOCUS5288 transcript:rna-LATHSAT_LOCUS5288 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMAAQWVHQPCYLPRASTNKLGTHHHSSQNHITPILFVSQTPKLSNDPRGRRLTKPLAFAATETQYEQPSIRPLIHLLKSCEQNGSLRQANCIHGHVLKSGFSDRDNLMCLNHIAHVYSKCMDYDSARKVFDGMSKRNVFSWTVMIVASNQHGYYSYALKLFCMMLEHGLLLDGFAFSAVLQSCVGLDSFKLGEMVHAQIVVRGFPTHAFVGTSLLNLYAKLGKCERSVKVFNNMTEINDVSWNAMISGFTSNGLYLQAFNCFLNMIEVGISPNKTTFLCVSKAVGLLDDITKCSEVHRHGSNWGLDCNASVGTALINMYSKCGFLSDARVFFDSKFMSCLVSAPWNAMITGYSHAGCHLEALKMFSIMRENGVKPDIYTFCCVFNSIAALKCLKPLKETHAVALKCGFNVREISVSNALADAYVKCESFEAGEKVFYRMEKKDIISWTTMVTAYCQYSEWGKALATFSQMRNEGSAPNRFTFSSVITACGALCLLEYGQQIHALICKASLDTDSCIESALIDMYSKCGNLIEANNIFERISNPDTVTWTAIISTYAQHGHVEDALQLFRKMEQSAVKVNAVTLLCILFACSHGGMVEDGLRIFNQMKGTYGVVPEMEHYACVVDLLGRVGRLDEAVEFINRMPIEPDEMIWQTLLGACRIHGNAELGETAAQKILSTQPEHSSTYVLLSNTYMESGLLEDGVNLRDVMKERGIRKEPGHSWISVRGEVHKFYARDQQHPQKDKIYTMLEELTRNIKYMHYEPELSIIF >CAK8535869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:887507669:887509975:1 gene:gene-LATHSAT_LOCUS5288 transcript:rna-LATHSAT_LOCUS5288-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQWVHQPCYLPRASTNKLGTHHHSSQNHITPILFVSQTPKLSNDPRGRRLTKPLAFAATETQYEQPSIRPLIHLLKSCEQNGSLRQANCIHGHVLKSGFSDRDNLMCLNHIAHVYSKCMDYDSARKVFDGMSKRNVFSWTVMIVASNQHGYYSYALKLFCMMLEHGLLLDGFAFSAVLQSCVGLDSFKLGEMVHAQIVVRGFPTHAFVGTSLLNLYAKLGKCERSVKVFNNMTEINDVSWNAMISGFTSNGLYLQAFNCFLNMIEVGISPNKTTFLCVSKAVGLLDDITKCSEVHRHGSNWGLDCNASVGTALINMYSKCGFLSDARVFFDSKFMSCLVSAPWNAMITGYSHAGCHLEALKMFSIMRENGVKPDIYTFCCVFNSIAALKCLKPLKETHAVALKCGFNVREISVSNALADAYVKCESFEAGEKVFYRMEKKDIISWTTMVTAYCQYSEWGKALATFSQMRNEGSAPNRFTFSSVITACGALCLLEYGQQIHALICKASLDTDSCIESALIDMYSKCGNLIEANNIFERISNPDTVTWTAIISTYAQHGHVEDALQLFRKMEQSAVKVNAVTLLCILFACSHGGMVEDGLRIFNQMKGTYGVVPEMEHYACVVDLLGRVGRLDEAVEFINRMPIEPDEMIWQTLLGACRIHGNAELGETAAQKILSTQPEHSSTYVLLSNTYMESGLLEDGVNLRDVMKERGIRKEPGHSWISVRGEVHKFYARDQQHPQKDKIYTMLEELTRNIKYMHYEPELSIIF >CAK8533780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:659660712:659661939:1 gene:gene-LATHSAT_LOCUS3378 transcript:rna-LATHSAT_LOCUS3378 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKSKLVGIVEDEGKEVQNKKEIHIVRTDEFGRILTPKEAFRIISHKFHGKKAYEAISRRVEIEANEEFRYIIIVCGENEGSSSSYEDTLSCSQRPC >CAK8541889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:422595001:422595916:1 gene:gene-LATHSAT_LOCUS10778 transcript:rna-LATHSAT_LOCUS10778 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTKATFNFYHGEIRRTNIEASNWIDNILREKWARAFDGGKRWGDMTSNLAEAINSVLKATRNLPITALVWSTYYRMSLLFGKRGHKWTKMLATGKVFTDGCNKGMADEVAKANTQNVMQFDLERFCFMVQEKINQNDGRSTGTFSVDLRNRLCDCKKFQAFHLPCFHVIETFSSIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEDFTLCHDDSMRRNKKGRPTSSRIRTKMGDAKKEKRRCGICREIGHMRRKCPNVAGLSNRPSR >CAK8538308.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476081449:476084108:1 gene:gene-LATHSAT_LOCUS7521 transcript:rna-LATHSAT_LOCUS7521-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIVTYNKYKSFLFFIKKYKLTELVPPRDLQEVFSKFAGGGSYMSSEQLHRFLVEHQGEESFTLSDTEKVIERILQLRRTHMETVHVDQNREREITLDDIFRFLLLDDFNGPYKNEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSEEPIIKALKRGVRVIELDLWPTSSKDDIKVDHGWTLTNPVSPIKCLESIKKYGFVASQYPVIITIEDHLTEELRAKFAEMATQIFGEMLYYPQAECLNLTEFPSPESLKNRVIISTKPPQERFESNRIKDNGNYPVLDGSSDSSEDESSGQESPDSTNEVEIKSANGRDRDEGETSSCECDQRLFQECSPDYKSLITIHNKKLKGSLKDKLKTDGELRRLSWSETTLEKASESHGTDIIRFTQKNILRVYPRATRVKSSNFKPYLGWMYGAQMVAFNMQGLGKSLWLMQGMFRANGGCGYVKKPEILMQKLHYDHEFDPTRIMPVKKTLKVGIVGMPCDITKKKTKVMMDNWFPVWNEEFEFPLTVPEIALLQIQVKDKDPTGKDDFAGQTCLPVSELRSGFRSVPLYNEKGEQFKSVKLLMSFQFE >CAK8538307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:476081449:476084108:1 gene:gene-LATHSAT_LOCUS7521 transcript:rna-LATHSAT_LOCUS7521 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIVTYNKYKSFLFFIKKYKLTELVPPRDLQEVFSKFAGGGSYMSSEQLHRFLVEHQGEESFTLSDTEKVIERILQLRRTHMETVHVDQNREREITLDDIFRFLLLDDFNGPYKNEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSEEPIIKALKRGVRVIELDLWPTSSKDDIKVDHGWTLTNPVSPIKCLESIKKYGFVASQYPVIITIEDHLTEELRAKFAEMATQIFGEMLYYPQAECLNLTEFPSPESLKNRVIISTKPPQERFESNRIKDNGNYPVLDGSSDSSEDESSGQESPDSTNEVEIKSANGRDRDEGETSSCECDQRLFQECSPDYKSLITIHNKKLKGSLKDKLKTDGELRRLSWSETTLEKASESHGTDIIRFTQKNILRVYPRATRVKSSNFKPYLGWMYGAQMVAFNMQGLGKSLWLMQGMFRANGGCGYVKKPEILMQKLHYDHEFDPTRIMPVKKTLKVKLYMGHGWSLDFSPTHFDMFSPPDFYTEVGIVGMPCDITKKKTKVMMDNWFPVWNEEFEFPLTVPEIALLQIQVKDKDPTGKDDFAGQTCLPVSELRSGFRSVPLYNEKGEQFKSVKLLMSFQFE >CAK8575528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:152041876:152042384:1 gene:gene-LATHSAT_LOCUS27785 transcript:rna-LATHSAT_LOCUS27785 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSQYTHSFESILPLLSLSNLSNIPPPALKVVKQRSELLGSYLLNTGVGEVINEEVHGSQAEALVVRRQTPLQFLVIDQTASILICHLEARHDARISSRRECRWQQCFIP >CAK8568108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:574110407:574119074:1 gene:gene-LATHSAT_LOCUS21103 transcript:rna-LATHSAT_LOCUS21103 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPCSSSAARSSTKGKSSNDLNIGITLLNDDLLHNILTRLPALSFASASCVSKSWNSICSRIISRPKLSSALSLNPSFREAVKEVVNKVLSEPIRPHFAIVNVGCGFDANKILRLIQRKLGFNIPIIVTVNKGIIGRDAVTNEFKEVKWGALFSGIGDDEYARHINEGIVLTIGYLPGLKVEAIPLIRPPKTPQEPCVDNFIMDIKEYSASVSGRQFPVGVILFGEASTDIKLVLEKLDYAMPIDTVIVGDERGCSVFRCGNDSRNACFSKGFIEAVALVFAQDRNRSSGNIRFHVAFSNGVSPVGGKYKAASVRTNSTDISTWLTAKREGHQELLDGESILHDINTLLENHIEPPELYIGVTKNRKVSIGAEKPTPRTCIAYHGVVGGDEEYLYVDGMGIKTGDIFRFYHSDPNAALASLTEVRDALNNMKLEKNSRSLKGDGDNVTNVFGGILFACYGRGDSFFGRPNADSSPFLENFPGVPFAGIFCCGEMVRPCTTLIGQSPDAKPISCFLHVYSSVYLVMSYDPPSVGH >CAK8562314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:471163174:471164937:-1 gene:gene-LATHSAT_LOCUS15821 transcript:rna-LATHSAT_LOCUS15821 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNFIPSHTLFLALLFFSAKGTNFSRPTNFPLSCDTYVAYFARFPNFLTLTTISDIFDTTPQSIARASNIKDESMILVPGQLLLIPITCGCSGNGSYSFANISHLIKQGESYYYLSTISYQNLTNWITVEDSNPNLNPYLLTVGTKIVIPLFCRCPSKGIESLITYVWQPNDNLTLVASKFGASKHDIITANANNFGQNFTAATNLPVFIPVKSLPAISQLHYSSSGRKKNNHFPIVIFIGMCLGCTILILLSLLVYVYCLRKRKACENKYAPSVEITDKLISEVSNYVSKPKVYLVGAIMEATMNFNEQYRLGKSVYKAKIDGHVLAVKHVKEEITVTEELTILQKVNHANLVKLIGVSSGYVGSHFLVYEYAENGSLYNWLFSEFSIASSSVASLTWNQRLNIAIDVAIGLQYMHEHTEPSIVHRDITSSNILLDSNFKAKISNFSVARTTKNPMITKVDVFAYGVVLLELLTGKKFLSENREVNMLWKDIKGVFDIEERTEERVRRWMDPKLGSFFNVVEALSLFSLAVNCIEEQSLLRPTMGEVVLSLSLLTQHSPTLLERSCTYGSDVEVITGMVTSFIAR >CAK8531770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:149578835:149579164:1 gene:gene-LATHSAT_LOCUS1536 transcript:rna-LATHSAT_LOCUS1536 gene_biotype:protein_coding transcript_biotype:protein_coding MACDATFPSTITCITLFFSLLLAFHFIMAVQEHTLTPSTKDDRSNNMVATSTNERNTQNFKTNIPDLQKGHPKGRKHSRASRPSFQWKNKIFNDSEHEVPSGPNPISNR >CAK8544976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715472643:715473317:1 gene:gene-LATHSAT_LOCUS13613 transcript:rna-LATHSAT_LOCUS13613 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQITQNQQHHHLPPDKLQMNNKPISASPYSTSSPKRAFATFITIFLILIGITLLVLWLVYRPHKPHFTVVGVAVYGLNTTSPPLLSATLQFNVLIKNPNKRVSVYYDRFSAFVSYRNQAITPQVMLPPLFLEKHSQVSLSPVLGGTAVPVSVEISNSLMMDEGYGVVGLKLVFLGRLRWKAGAIRTAHYGFYGKCDVLIGLKRGFVGQVPLLGTPPCYIDI >CAK8572491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:540816062:540817910:1 gene:gene-LATHSAT_LOCUS25052 transcript:rna-LATHSAT_LOCUS25052 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGDAQKGRRIAIIGVSTLLLVAMVVAVTIGASRNEYGADNDIEDSRRNHVSSTMRAVQSICQPTDYKKECVETLTAEAQAGNVTDPKELIKIAFNVAMKKIGEQIKATDLIHEVEKDPRSKDALETCKQLMDLSIGEFTRAIDGIDQFNLNDIDRILMNLKVWLNGAVTYMDTCVDGFENTTTDAGKKMKEILTSSMHMSSNALAIISDFADTFDNWNTSNLFGQRRLLDSDTPSWVSEHRMLFDAKTSSFKRKPNVTVALDGTGDVKTINEALLKVPEKSEKPFIIYIKEGVYNEYVEVNKKMTYVVFVGDGGQKSRITGNKNFIDGVNTYKTASVAIQGDHFTAINMGFENSAGAHKHQAVALRVQGDKSIFFNCSMDGYQDTLYVHTMRQFYRDCTISGTIDFIFGNALSVFQNCTFVVRKPMSNQQCIVTAQGRKERFQPSAIVIQGGSIVSDPEFYPVRFDHKAYLARPWKNFSRTIFMDTFIDDLIHPDGYMPWQTPEGFSGMDTCFYAEYHNYGPGSDKSKRVHWAGIWNLNSKAAHWFAPSKFFHGKDWIEETGVPFFSSIPKHHRHKKTVLKW >CAK8543794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:640210384:640212407:1 gene:gene-LATHSAT_LOCUS12529 transcript:rna-LATHSAT_LOCUS12529 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTTLLSNSLHINQITIHNRLHVSPTVSLNQKLPIYSKNPLKLSTTTTTTTIPFASGSAGVESPLLSEDTSSSLDLVKVFDLEGNGIPISDLWKDRKAVVAFARHFGCVLCRKRADYLASKKDIMDASGVALVLIGPGNIDQAKSFAEQTKFKGEIYADPAQSSYEALRFVSGVLTTFTPKAGLKIIELYMEGYRQDWKLSFEKDTVSRGGWQQGGIIVAGPGKGNISYIHKDKEAGDDPEIEDILKACCS >CAK8566454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424812658:424813281:1 gene:gene-LATHSAT_LOCUS19583 transcript:rna-LATHSAT_LOCUS19583-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKWSQMVHQFPGRTDNELKNFWNSRNRKYKKSYKQPFVEFLESMNSDVELNENKRESYDSQKEDNDISNMLVDQSSDGKNNEKIIMRGSTNHSNNVCQEEEPTLPRVEFNHQDIMHGKYLDQVNDDKNINNLKQLSTLVDDSNMLYNNEESATLGDR >CAK8566453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:424812376:424813281:1 gene:gene-LATHSAT_LOCUS19583 transcript:rna-LATHSAT_LOCUS19583 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLQQEKGNHGNDTKCIVLKKGKWLPEEDALLREYVTKYGAEKWDKVREKTKLARDGKSCRFRWLNCLNPSLKKRPFSKEERDTIFNLYAIMGPKWSQMVHQFPGRTDNELKNFWNSRNRKYKKSYKQPFVEFLESMNSDVELNENKRESYDSQKEDNDISNMLVDQSSDGKNNEKIIMRGSTNHSNNVCQEEEPTLPRVEFNHQDIMHGKYLDQVNDDKNINNLKQLSTLVDDSNMLYNNEESATLGDR >CAK8564077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650000416:650004871:-1 gene:gene-LATHSAT_LOCUS17417 transcript:rna-LATHSAT_LOCUS17417 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISEDTGEETPLQVRLNGLATFIGIAGLAVAIVVLVVLLARYFSGHTKNPDGSVQFMAGKTSVGDAINRVVKIATIAVTIVVVAVSEGLPLAVTLTLAYSMKKMMADKALLRRLSACETMGSSTTICSDKTGTLTMNQMSVVEACVGGKKIIPPNDESQISPILYSLLIEGAAQNTNGSVFVPESGNGVEVSGSPTEKAILHWGFQLGMDFEAARSESSIIHVFPFNSDKKRGGVALKTSDSEVCIHWKGAAEIVLACCTRYFDTNDQLVDIDEEKMTVLRNVIEDMATDSLRCVAIAYRLLDETENIPTSEEELAHWTLPEDDLVLLAIVGLKDPCRPGVKDAVHLCQKAGVKVKMVTGDNVKTAKAIAVECGILDSLANATEPVIIEGKTFRALSDKEREEIVEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVKWGRSVYANIQKFIQFQLTINIAALVINVIASFSTGDVPLNPVQLLWVNLIMDTLGALALATESPTDHLMDQLPVGRRDPLVTNIMWRNLLIQAMYQVSVLLILNFEGRNILSLRQEINTYAVKVKNTIIFNAFVLCQVFNEFNARKPDEFNIFKGVTKNYLFIGIVGLTIVIQIVIIEFLGNFTKTVKLNWKQWLICVVIGFISWPLAVVGKLIPVPETPMNNCFRRLRRGRTKRKMES >CAK8563122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:575135169:575136518:1 gene:gene-LATHSAT_LOCUS16563 transcript:rna-LATHSAT_LOCUS16563 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTETRRSDGENPTYETGKCPGESCNSDDGEGTKVLRKEWVWIEGLIKAWNFDEKIMNKATDNLGVKKML >CAK8567187.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:493161742:493164799:1 gene:gene-LATHSAT_LOCUS20260 transcript:rna-LATHSAT_LOCUS20260 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMDVSEVEESFFAASDAKLHGEMCRCLSAIYCKILSLFPALEAAKPRSKSGIQALCSLHVALEKAKNVLQHCSESSKLYLAITGDSVLVKFEKAKCALVDSLKLVEDIVSQSIGYQIDEIVNEIAGIVFALDPSEKQVGDDLIALLQQDRKFSDSNDSSELECFHMAATRLGITSSRAALTERRALKKLIERARGEEDKRKESIIAYLLHLMRKYSKIFRNEFSDDNDSQPCSPTVHKPLVNGVPGSHYQAFDRQISKLGSFNFNPNNKKSGQMPLPPEELRCPISLQLMSDPVIIASGQTYERACIEKWFNDGHSTCPKTQQKLAHLSLTPNYCVKGLVASWCEQNGIPVPEGPPESLDFNYWRLALSDSDSTNSRSVNSVNSCKLKGVKVVPLEENVILEQTERNVTESLPAQEEEDGEKYLSYVKLLTKGNNWKRKSKIVERLRLLLRDDEEARIFMGANGFVEALFQFLQSAVREGNAVAQENGAMALFNLAVNNNRNKELMISAGILSLLEEMISNTNSYGCATALYLNLSCLEEAKQMVGTSQAVQFLIQMLQTKTDVQCKLDALHALYNISTVPANIPNLLSSGIISGLQSILVGQADCTWTEKCIAVLVNLAISQAGREEMVLNPELISTLASILDTGESLEQEQAVSCLLILCNRSEKCCDMVLQEGAIPALVSISVNGTSRGREKAQKLLMLFREQRQRDHSPAKTQLFSPEAGDLSMPPQETKPLSKSISRRRVGKALSFLWKSKSYSVYQC >CAK8530188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4813482:4814206:-1 gene:gene-LATHSAT_LOCUS76 transcript:rna-LATHSAT_LOCUS76 gene_biotype:protein_coding transcript_biotype:protein_coding MISILSAVVALFLAQPAHPLDYYAYAQQWPNGACMNPAKKCNAQLPTTFTIHGLWPSNIVKPHPDSCAKSFNSSLINSLVPQLSNVWPNIEKGNTNVRFWGYEWNKHGSCSPFSQYNYFNHAISLYNQNNLMSMLAAQNILPNGTSHPPQDFINAIQLDVHVQPLLVCVNRNYLAEIHLCFDAAASIHINCPRPSSPTCSNSVIF >CAK8569521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:3914869:3915495:-1 gene:gene-LATHSAT_LOCUS22364 transcript:rna-LATHSAT_LOCUS22364 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSLDIREGDSLVKRKRINQIIHRGWVDIFMIQESKLVVVDACIIRSFWNNGNVGWSFVSSKGKFGGIITLWKEDLFEVICNFKREGCLGLKLLWKGSNYYVINVYSPCSLPLRSLLWEKLLVFKDKYLDGEWIMRGDFNLIINNRKRKGRASSRNNSNMLEFSNFIVQIVLVDLPCKGNKYIWFSSNKNSMSRLDSFLLSNSLID >CAK8576953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527722281:527723947:1 gene:gene-LATHSAT_LOCUS29107 transcript:rna-LATHSAT_LOCUS29107 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKWMIVIPTSLFLLTFLILLKLTQRKQSNHKNLPPSPPSLPLIGHLHLIKQPLQRCLHSLTDTYGHVFFLQFGARNVLVVSSPSAVEECLSNNDITFANRSVTLAGKYLNYNNTTVAMSSYGELWRKLRRLTTMELFSTNRVAMFVKVREEEVKLLIKQIFEGCKGETMSKVDLKTKTLEVSFNTMLRVISGKRYYGEDDDVALEGKEFQVLINEYIELLGSGNLNDYFPILKWIDFQGMKKKMVKVMKKMDSFLQKLVDEKRRNWSNDQKNMTLIDVMLDLQQKEPEFYTEEIVKGVILIMLVAGSETSARTMEWAFSLLLNHPEKMNKVREEINTCIHQDHLMNESEASKLKYLQNVITETLRLYPVTPLLIPHESSNDCNVCGFDIPKGTILLVNLWTLHRDPNLWVDPTKFVPERFEERELDSEAYYNMIPFGAGRRACPGSVLAKRFMANAMASLIQCFEWERIGNEELDMTEGIGITMPKFEPLVALCRPRQDMIKVLSNI >CAK8574439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681829666:681836120:1 gene:gene-LATHSAT_LOCUS26786 transcript:rna-LATHSAT_LOCUS26786 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSRSSPFPPAAILILSFFAAANAVDNNASCNHETQLIKVKNWIDGKEGEMVNGMTARFGSLLPEDAAQSIRTPLVSSVPADCCSLSTAKVSGSVAVCVRGTCDYTTKATIAQSGGAKGVLMINEQLVEMNCPSATMEKIDISIPVVEVTASLAENLKNSLSSGKKVEVLLYAPVRPVIDFSVGCLWLMSVGTVICAALWADFTATDQADENDFSPKGSSTAEKGAGDSENDIVNIDARGAVIFVITASTFLVLLFFFMSSWFIYVLIFLFCIGGIEGMHNCIVSLTLKKHPNYGEKTVNVPLFGKTSFFSLAVLLFCTAFAVIWAITRRESFSWFGQDVLGIGLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFISPVIFQKSVMITVARGDKAGGEAIPMLLRFPRPSDPWGGYDMIGFGDILFPGLLVSFTRRYDKINNKGVFDGYFLWLVIGYGFGLFFTYLGLYMMNGHGQPALLYLVPCTLGVAVILGCIRGELKDIWNYDEDSGSSKEPPEV >CAK8566528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433264554:433266863:-1 gene:gene-LATHSAT_LOCUS19652 transcript:rna-LATHSAT_LOCUS19652 gene_biotype:protein_coding transcript_biotype:protein_coding METGIACYSRGAFIPTVSSKHSLPSITPSSSIRSLKSSSLFGDSLRVSSKSTLKFSRTKATSFITKSEIGDSLEEFLSKATPDKGLIRLMVCMGEALRTISFKVKTASCGGTQCVNSFGDEQLAVDMLANNLLFEALKHSHFCKFACSEEIPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLLGVTGREQVAAAMGVLGPRTTYVLALKDFPGTHEFLLLDEGKWQHVKETHEIGEGKLFSPGNLRATFDNANYAKLVDYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLIENAGGYSSDGYKSVLDKVVENIDDRTQVAYGSKNEIIRFEETLYGSSRLKGGVPVGASA >CAK8566364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414099626:414100522:1 gene:gene-LATHSAT_LOCUS19498 transcript:rna-LATHSAT_LOCUS19498 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSIASNSIDSFNGIDSVDSKITLSKIVVDVVMEHGVTLDEEDEIACIYCEHYVTTRGVYQFLHHLAGTETKPCDGVSEEVKNEMMEILTINMGLKPISVASSPSGSFYGDVSVDSSKNVMDSSKSVIDVILEHSVLLDEGLLQRCRYCDDYLATHGGYHFLCHLAGTENDVKACEGIAEEVKKEVLDIFHAFRESNTKGCVSVGEKRKCNEVAVESSREVSKRRKRDGSQAAVKNEVKKSLREETYRAIASFFYNNGIPLDAVKSDEFKCMCDLVSRHGLGFELPSFDDIKGKL >CAK8568888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:651623428:651627966:1 gene:gene-LATHSAT_LOCUS21797 transcript:rna-LATHSAT_LOCUS21797 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDSGGGSADKAPEAEEEGSTPPLPEKVQVGGSPLYRLDRKLGKGGFGQVYVGRRLGAGSGAIEVALKFEHRSSKGCNYGPPHEWQVYNTLGGSHGVPRVHYKGRQGDYYIMVMDILGPSLWDVWNNNSHTMSTEMVACIAIEAISILEKMHCKGYVHGDVKPENFLLGPPGTLDEKKLFLVDLGLATRWRDSSTGLHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAFTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPEALCCFCPAPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGAQKLVCQVGQKRGRFTMEEDDNEQPKKRVRMGTPATQWISVYNARRPMKQRYHYNVADERLSQHIEKGNEDGLFISSVASCASLWALIMDAGTGFTAQVYELSSSFLHKEWIMEQWDNNYYISAIAGACNGSSLVVMSKGTQYMQQSYKVSDSFPFKWINKKWKEGFFVTAMATAGTRWAIVMSRGVGFSDQVVELDFLYPSEGIHRRWENNYRITATAATPDQAAFILSVPRRKPTDETQETLRTSDFPSTHVKEKWAKNLYIASISYGRTVS >CAK8541065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:55477075:55477625:1 gene:gene-LATHSAT_LOCUS10016 transcript:rna-LATHSAT_LOCUS10016 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGRCAACKNQRRRCPPDCIFSPYFPPNDPQRFEFVHRIYGASNVGKKLQQLPHFVRGEAANNLYLEAKCRIHDPVYGCVGIISKLYQQIHETEIELAKIQTQIAFHKLQNPHFEAEKSNLNFLPPQSNNMEQFQWPGQATNWFN >CAK8530953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66191123:66192724:1 gene:gene-LATHSAT_LOCUS778 transcript:rna-LATHSAT_LOCUS778 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGTSMSLLSNKSMVSCTRISGPNSVSLSIKGRNFPSITFQPRGLRFQVTCAAKPETVQKVCDIVKKQLALADGTAVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEESAQSITTVQEAADMIDKLLETKA >CAK8563502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:610997782:611000927:1 gene:gene-LATHSAT_LOCUS16902 transcript:rna-LATHSAT_LOCUS16902 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDDEEKDGDLEDEIDVMNLGVDASINWKTVLPNAEKPSKLDDNSDNGSDSDVLQTPPGSDAEGDTTKFPIFREPTKLEVGMMFKDKQQIKDAIKEYAMENKKNLVFKKNDKKRMVVEYVDGCPFHIRFSMRTTNQYWQLVSLTGCHGCHRTTKNRQAKADWLGRQFVYTIRHIPEIKTKGLIAEAIKKWGVNLSKDQAYRAKKKAMELIQGVGREQFTHLRSYGEELLKSNPNSNVKIKCADSDGGPVFERIYVCLEACKTAFATTCMPLIGLDACFLKGDFRGQLIGDVGKDGNNKIYPIAYAVVEDETKDSWKWFLNLLFEDLQSIQDNKYGFISDQQKGLVPTILETSQHVEHRLCVKHLYGNWRKKYPGIFMKETLWRAARATTIPAWERAMNHMKELNVNAWKDMMDVRAACWTRSHFKTDTQCDLQVNNMCEAFNHAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYTGVKSPSIQKVLEKTKRAAEGWIATWHADDDFAIFGVSNGVETYAVSLLQQKYGCRKWDLSGIPCCHAIACIWYNKKEPEEYVSSFYRKSTVLDTYSHIIMPTNGPRLWPVNVANPIIPPVMRRSIGRPKKNRNKANDEPRIRNTLPRTLQTVKCKKCGSFGHNKRTCKGKRAAERAIPKGGNKKSKKIKTVTSRARKREKLL >CAK8579643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:714311379:714313644:-1 gene:gene-LATHSAT_LOCUS31573 transcript:rna-LATHSAT_LOCUS31573 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARDKEDEEEVQNQNQKGGKRLKCMNMMKNEQENQVVDEEDESELPLKPEFFCYPTTPTSFVVSDALESDFPIIYVNKVFEISTGYRAHEALGRNCRFLQYRDPRAQRRHPLVDPVVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNRLRLTPIHDDDGIVTHVIGIQIYSEANIDLNHVSYPVYRETCIQDFDKNSKYSPKSGRLLYSPQQHQRREMCGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGKEVTGTLELMTKKLGWGRLSRELTTLEAVCWKKVTVGGAVEPSRCNFSTCAAGNRLVLFGGEGVDMQPMDDTFVLNLDAKNPAWERVIVKASPPGRWGHTLSCLNSSWLVVFGGCGRQGLLNDVFVLDLDAQQPTWKEVFGGAPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLRDTYLLDLTIDNPTWREIPTLWTPPSRLGHSLSVYGRTRILMFGGLAKSGHLRLRSGDAYTIDLEAEQPQWRQLECSSFTGLANQNAVVPPPRLDHVAVSMPCGRVIIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWVLNELHELCLASRQDSDM >CAK8539221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506921565:506921939:-1 gene:gene-LATHSAT_LOCUS8335 transcript:rna-LATHSAT_LOCUS8335-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWRQ >CAK8539220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506920695:506921939:-1 gene:gene-LATHSAT_LOCUS8335 transcript:rna-LATHSAT_LOCUS8335 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVPKVAFQIPGEDEATWVDLYHQLFYSRLLFLGDEIESELANQVAGMMIYLSLENKNKDLYLFINSPGGEVMSGMAIFDTMQVVEAQVHTICMGLAASMASLILAGGGITKRLAFPHAWVMIHQPHSAPYEGPSGECMLEADEMVILRETITAIYSQRTRKPVWQISKDLERDHFMSPEEAQAYGIIDSVSDSLPTEAIFDSGADSFF >CAK8578023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:602452670:602454312:1 gene:gene-LATHSAT_LOCUS30070 transcript:rna-LATHSAT_LOCUS30070 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQQRCNHCGILLFIPLEVQAFKCSMCNGITHVQSTGPMNQAYNSLNHIAGLFRGFMNTITTSSAINSNSGNYGTNHFGYYLLPQPQRPSYPLNPPSPYGSKRAVLCGICYHGRSYRLKGSINDVKYMKYFLIKEFGFPSDSILMLTDDKEERNPLKIPTKYNIQMALRWLIEGSKSGDSLVFHYSGHGTQEVNMNEIDHSYRYNNAISPVDHELRGKILNDEINGTIVRPLPFGAKLHAIIDACHSGNVLDLSFVCKMNREGYYTWEDHRSSINYKDTKGGVAICISACEDGQVSVDTSALSGKEVTGALTYSFIQTVQNEPGLTYGHMLSTMRSTIHGTKTGIVTLNGPIASLLNRLLGLRIKQEAQLSSSELFDMYKKQFIL >CAK8564533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679989801:679997558:1 gene:gene-LATHSAT_LOCUS17832 transcript:rna-LATHSAT_LOCUS17832 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPPKRPRANADADADADAAEVNHQLVIYEDPPDLSQEPSVSEHMLCTYQCRQMVKSDFLDALSKAENQVRDYQSQLEALNDNFQKVDTERKKFVDQVLYTEQELAAAKGREKALQDQLLKEVTESQERLRKHIQLNSELQVKLQNETNLRMKAESHAASAEEKATSLEGRLGRLSESIERDKKRVQEDHSQLESDSKFSISRITANLEQMECRANNAEREAKLLKEQLDHLKDQFNECLHQKIEAEKKLATFSSQEGSSTDSSVLVKQLQQELQHYESEVREARKLKSNHEGIELLKEKLLEEKSRCERAQSELSKLQDVESNMKKLEDQISSWRSMIKDIPGISCFEDMPAKFAALQKEAIYSTQKEGEGTSRLKQLEVALDAAEIGKQNAETEAVLAKEKAEVLKSEIKQIELTLAVVTEERNKLRILAKLKNGEAGDEPSSANPIQELESSLTKKDEYIKELESTLNELRVVNSRQHEEIKILNDKLHNEARRIKSLERESDRLRSEISLLEAKLGHGDFSSASTKVLRMVNTLTVDNEAKQTIEALQNELQKTKEKLKAVEELKSQSGETGKLVDSYISDKILKLKEQIATLEKREERYKTVFADRISVFRRACCEIFGYKIVMDEHQRPNGIPVTRFTLQSIYAQNDDEKLEFEYESGNTNILVNHYTSQPDVSRQVDIFIRKMNSIPAFTANITVESFNRRTLS >CAK8563836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633833954:633836283:-1 gene:gene-LATHSAT_LOCUS17205 transcript:rna-LATHSAT_LOCUS17205 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLFFILKFLSFFLLTTTSSLFIKQSQINAETYQSDRITDLPEQPSTPSVSHFSGYINVNEDHGRALFYWFFEAQSQPSNKPLLLWLNGGPGCSSIGYGAAVEIGPLLVDKNGEGLLFNPYSWSQEANLLFVESPVGVGFSYTNTSSDLTILEDHFVAEDTYNFLVNWLQRFPQYNSKDFFIAGESYAGHYIPQLAELIFDRNKDRNTYSFINLKGFIVGNPETENFYDSKGLLEFAWSHAVISDEHYEKAKQVCDFKQSQWSNECNQAINELYHYYSEIDIYNIYAPACLLNTTSSVTNNVDYRFQRMRIFGGYDPCYSSYAEEYFNRVDVQSSLHANTKTENSTTSWKVCNASILRTYNFSVFSVLPIYTKLIKDSDLKIWIYSGDADGRIPVMGTRYCIEALELPLKSTWRPWYHNNQVGGRIVEYEGLTYVTIRGAGHLVPLNKPTEALSLIHSFLTGEHLPTTR >CAK8543170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:584979828:584981582:1 gene:gene-LATHSAT_LOCUS11946 transcript:rna-LATHSAT_LOCUS11946 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSWMTANRLSFEYEQGVMEFLELAESNAKKNLAPPKSDAEKSLHLLFLCPCVRCANHEPKLNKKEIMDHLICHGICQSCTQWIWHGEVVAKSNVSQRDNVSAEMDDRLEDMMRDIGQDSFKKAHVYDTLCSDKDKPLYPGCTNFTRLSSVLKLFNLKANNGWTDKSFTELLELLTQMLPEGNVMPNRYYEAKKILCPMGLEYEKIHACPNDCILYRKEYVNYNHCLKCKASRYKKKAGDSSDDEAVKKVRPSKVVWYLPIISRFKRLFTNANDAKNLRWHAEERKCDGKIRHVADSLQWKKIDVLFPKFGKESRNLRLGLSTDGMNPFGNLSTNHTCWPVLLMIYNLSPRLCMKRKYIMLSMMISGPKQPGNDIDVYLSPLIDALKVLWEEGVDVFDVYSGEQFNMRAMLFCTINDFPAYGNLSGYKVKGHRACPICEKDTRYHQLVKEKKTVYLGHRKFLDRYHPYRRLRKAFNGEQEHGVAPKPLTGEEVYQRQQGINVVFGKYQKQSTVKNIWKKRSIFFNLPYWSSLDVRHCIDMMHVEKNICDSLIGTLLNIQGKKKDGYNACLDLSLMGIREELTT >CAK8571884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:489524424:489529983:-1 gene:gene-LATHSAT_LOCUS24507 transcript:rna-LATHSAT_LOCUS24507 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSQADSRRMYSWWWDSHISPKNSKWLQENITDMDFKVKQMIKLIEEDADSFARRAEMYYKKRPELMKMVEESYRAYRALAERYDHATGVIRQAHRTMSEAFPNQVPMMITDDMETEPRTPETRHPSHAFLDSDELEKDAHVIKRNGAHSEEPYSPLNNTGLRQLNNLSIPREHAKFAEGRARRGLNFLETEEETSELKNGSQNTKAQVSSESGRVAKAEAEISALKEALAKLEEEKEAGLLQYQKSLEKLSNLELEVSSAQEYYQKLDERASKSDTEVQSLKEAVIKLQIEKETYHLQYQECLEKITDLERSVSSAEKDAEEFNERATKAETEVESLKQDLVRVEAEKEAALAEYKQCLETLSKLEKRLKESEENARRINEQATIANKEIEALKLEVTKLSEEKEDNALRYQQCLEIISSLEHKLSCAEEEVRRLNSKIDDEVEKLHSSEQKCLLLETSNHALQSELQSLEQKMGSQSEELNEKQQELSKLWNRVQEERRHFIEAETAFQTLQHLHSQSQEELRSFAANLHSKVELLRNMESCKQALEDEVHRVNEENQILNEVKISSSLSIKTLQDEILNLKDTIEKLEQEVELRLDERNALQQEIYCLKEELNGVNKKHEAMMEEVRSADLDPQCFGSSVKKLQDENSKLNETCEAEKDEKIALLVKLETMEKLLEKNSVLENSISELNSELDSLRGKVNVLEETCQTLLAEKSTLAADKDTLFSQLQAATEKLEKLSENNNLLENSLFDVNAELDGLRVKSKVLQDTCQLLEHEKSSIFSEKESLVSELNTTHRMLNDLEKKHGELELMYSELKGERASALQKVEELLVSLYSQREEHCRVLKLNEDEVANKELQIHTMQEDAKCRKEEYNEELDKAIHTQIEIFILQKFIQDLEKKNFSLLVESQRLLEASKMSDRMISKLETESIQKQDDVNSLAEKLKILRIGLLQVVKTLGINGDHYFFEDLLDEDETLLNHIHGKLKERQESFDTMFNENHHMVVQNSVLVSFLEQLKMKVENLEIEKGALDDESRIQSKKFMELQIEFQKILEMNREFKLTIIKGEERIEGMTAEMNNLHKELSDMEKSHKNLQEENCTIFEEKNSLMGRFKNQAEEKGNLEKEICVMFHEILVQSNISVVYQNIIFEKLRELEQLGEELDKLGSKNNNLEERLKIMAHKLENEEKENSHLKELFVKSNVELSLVESVNDQLNCQIRNEKEMLCQKEIVLLEAAKTFHALQTEKKELQRTVEDLKIRYDDAKEKLEEQANQNFKLSSDKDHQNEELGALYEANQNLEFLMKRLHHELEETKMREKTLNYEVREGINEIEQWETQATALYAELQISAVNETLFEGKACELADTCEDLERINNSKEMESEQMKELVSKLEGENARLCGQLAAYVPAISALSDCVTSLEAQTLGHAKHHDYEKPEVKNSVNHEYTENGQQIDEDQVVRKPDPLLEFQNLQRRINEISVAVNSLNGSFIRENEMREVQEAKENSRPDNPVTEIEVLPKDIMLDHISESSSYGISRRGTHEPDDHMLELWETSDKTPKMAAEPVEDHHQRGMAKNPYNKHPSGDSLLEKELSVDKLEISRRLSRPREEGNKSKVLERLDSDAQKLSNLQITIQDLMKKVETTEKSTKRKGAEYDTVKGQLEAAQETVTKLFDANRKLMKNVEDGALSSAGQAAGESDEIGSVSSRRRVSEQAQRGSEKIGQIQLEVQRLQFLLLKLNDGKEIKEKTGMADQSPRARLRDYLYGGTRTNHQKKKKTSFCACVRPPTKGD >CAK8571663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457990469:457990891:-1 gene:gene-LATHSAT_LOCUS24304 transcript:rna-LATHSAT_LOCUS24304 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCDFQIKTNGPQAFLLKEKIRSKYYGKVKKILNHQKRRCHVKEMGIRINDFPRGSDELELVSRFCYNNGKIPIDVANVSLLHCRAIYLGMIEEFFNNNLLQQTQTFLKGIH >CAK8566998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478767409:478772015:1 gene:gene-LATHSAT_LOCUS20091 transcript:rna-LATHSAT_LOCUS20091 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTSPTNFFLLLILLVSLFHTPTFALKKKSYVVYLGSHSHDSELSSVEFNRVTDSHYEFLGSFLESSDAAKESIFYSYTRHINGFAATLEEQVAAQIAKHPNVLSVFENNGRKLHTTRSWGFMGLEDDYGVITSNSIWNKARFGEGVIIANLDTGVWPESKSFSDQGFGPIPSKWRGICEKGSDLAFHCNRKLIGARYFNKGYASRLSTPLNSSSNTPRDNEGHGSHTLSTAGGNMVPGVSVFGQGYGTAKGGSPKARVASYKVCWPPVNGDECFDADILAAFDMAIHDRVDVLSLSLGGSASTFFNDSVAIGSFHAAKKGIVVVCSAGNSGPNEATVENLAPWYITVGASTMDREFPSYVVLGNNLTLKGESLSATRLEHKFYPIIKSTDAKLASATNEDAVLCQNGTLDPNKVKGKIVLCLRGINARVDKGEQALQAGAVGMVLANDIITGNEIIADPHVLPASHINFSDGLKVFNYVNSSKSPVAYIAHPTTKLHTKPAPFMAAFSSKGPNTMVPEILKPDITAPGVSVIAAYTEAEGPTNQVFDYRRIKFNSISGTSMSCPHISGIAGLLKALYPSWSSAAIKSAIMTTATTLDNEAEPLLNASFIQATPFSYGAGHVQPNKAMDPGLVYDTTMNDYFNLLCSLGYNETQRSIFSNATYYCHKNFSLLNLNYPSITVPNLSGSVTVKRTLKNVGAPATYIVHVQNPNGITVSVKPSILEFKHVGEEKRFVVRLKVKKGKASKSYVFGKMTWSDGKHYVKSPLVVKAI >CAK8532248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231086420:231086735:-1 gene:gene-LATHSAT_LOCUS1978 transcript:rna-LATHSAT_LOCUS1978 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSKRNQVWK >CAK8578835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657608880:657610276:1 gene:gene-LATHSAT_LOCUS30818 transcript:rna-LATHSAT_LOCUS30818 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSLSSGVTVSNRFPFSCIWKPNGKSYSGPNKVSCSARKEKSPLVGFGVGIVAAFVMGLTALDADATRIEYYATVAEPMCELNYAKSGLGYCDVIEGFGDEAPLGELINIHYTARFADGIVFDSSYKRGRPLTMRIGVGKVIRGLDQGILGGEGVPPMRIGGKRNLRIPPLLAYGPEPAGCFSGDCNIPGNATLLYDIKFVGIYSGNR >CAK8536257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922735084:922735935:-1 gene:gene-LATHSAT_LOCUS5644 transcript:rna-LATHSAT_LOCUS5644 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFSFSFFLLMFSSLYSPNIAATAPAASPKHAPAPKAASPTSTKPLVPTLPDSPDTSDSTPDDITKILKKAKTFTILTRLLKTTEIMSSINSQLITGKTGGLTILAPDDSAFSNLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGSKAPASAPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGTEGTTLKAIGAAFVAVAMFGNCI >CAK8536258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922735084:922735896:-1 gene:gene-LATHSAT_LOCUS5644 transcript:rna-LATHSAT_LOCUS5644-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLYSPNIAATAPAASPKHAPAPKAASPTSTKPLVPTLPDSPDTSDSTPDDITKILKKAKTFTILTRLLKTTEIMSSINSQLITGKTGGLTILAPDDSAFSNLKAGFLNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKRPLNFESLGNSVNISTGVVNATVTGVVYQDNKLAIYRLDKVLLPLDFFGSKAPASAPVAAKTPKADKGKSSSAEDEDETTTQDQKSSGAVSLIGTEGTTLKAIGAAFVAVAMFGNCI >CAK8544995.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:717077109:717079797:-1 gene:gene-LATHSAT_LOCUS13631 transcript:rna-LATHSAT_LOCUS13631 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIEITNAHLLRAPLPYYYHVKHSQFSASHWNVFRKRNFNVPRPRLPFLIQAVAATIVQPISNDTIVEPKSKDIETAAIIVEPKSNETEIGGHNHQPDPGIMLDEEPVIKESERLRRLRISKSNKGKAPWNKGRKHPPETLRKIKERTLIAMQNPKVKMKLVNLGRTQSADSRHRISLGVRKLWDKRRRMKILQDTCCSQWQNLIAQASRQGFLGQEELQWNSYETLDAQLKQESLRNAELRKLMTRESSSKRGPKSPEQRKKIAASITAKWANPDYREKVLSAMAKRHLSTGKISTQKKPRPRPYSAQTPKTKRPITKKDSDNSTLVKSSSKIVKPIKLRKIKSPAYKDPLVNSKLEMIKNIRAQRVSVDTRQTQAIQQARLLIAEAEKAAKALEVAAATSPIARSSLIETRKLIAEAIQSLESIDTQKTIQSLESIDTQKTDDCNVPSEVNKENESTFEVGNHSQMTRVVNRHTTLSSSVYKLSEDFGYLSLGMPVNNGDPELHLTNGCAFLPFSLNSQINQYRSSNQEKETEQYESSENESQAEDETLSRSESPTEDETQSRSRSSIVTKKWVRGRLVEVSEEQQ >CAK8537815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438255041:438255544:-1 gene:gene-LATHSAT_LOCUS7069 transcript:rna-LATHSAT_LOCUS7069 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHFDASASASDPVGYLGGPYDTSLLVKYEQHVAYYIWFGEERGSKKELKVAGHGLKLTQKVPLQLPRKMESWISRFGLASLQRISLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVF >CAK8571100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:295941668:295946063:-1 gene:gene-LATHSAT_LOCUS23793 transcript:rna-LATHSAT_LOCUS23793 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQLEALCERLYNSQDSVERAHAESTLKCFSSNADYISQCQYVLDNASSPYALMLASSSLLKQVTEQSLPLQLRIDIRNYLLNYLASKGPELEPFVLGSLIQLLSRITKFGWLDDERFREVVKEATDFLSQTQYHYAIGLKILNQIVSEINQHTVGLHATRQRRIAISFRDQSLLLIFQISLTSLFKLKADVGSKLQELSLMLSLSCLSFDFMGTSYDESSDEIGTNQVPLTWKPVLEDPSTLQIFFDFYTMNQPFSKEALECLLRLASTRRSLFSDDTARLKFLSQLMLGTKEILQTGIGLADHDNYHAFCRLLGRFKVNYQVSQMLLLILPVIVDMVSWFFS >CAK8577618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578553823:578554901:1 gene:gene-LATHSAT_LOCUS29708 transcript:rna-LATHSAT_LOCUS29708 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKTKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCGICQESFSTTITALSEAIDIYSEWIDECERVNAVEDDGT >CAK8534372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:720872280:720872957:-1 gene:gene-LATHSAT_LOCUS3922 transcript:rna-LATHSAT_LOCUS3922 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGNEDGNAFDGLVVIFEGEMVELTIDLGTGNGEALGNSTGFVSYPHKIGDVEINFQRKRFWHLKK >CAK8576286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:443787910:443789576:-1 gene:gene-LATHSAT_LOCUS28488 transcript:rna-LATHSAT_LOCUS28488 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLLCSQLKDAREVSSGTLQNSFTLGPILFQRAWLQGILVSSDGGGPFFLDDGTGVIELSLSGEFRQRQLKAGMYVMVVGGYFLRAAGEPSVIKVHKIVDLSSSPDREAMWYLEVIEAYKLFYQPLMDEFN >CAK8530434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21112667:21113680:-1 gene:gene-LATHSAT_LOCUS300 transcript:rna-LATHSAT_LOCUS300-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFQRAKVVRLRSHHDKYLLADDDQEGVHQDRLGCCRNAKWTVEIIEHANLIRLKSIFGKYLTASNMPFLLGAKGKKVVQTLPSRLNSSLEWEPIREGDHIRLRTRYGQYLRANGGLPPWRNSITHDIPHRSKTTNWVLWEVDLVEIRPPPPKQIEDSIPSVAEHVVRPTDSSTDRSLSHSPSPPLSPDVDSDNDNPFALIDLRSSIPIESSDVEDMGSPVKEGRIIFYNMGDENGDVPEGCEEKFFTFKGSSVIELKEKLQEEIERDDIIVCSRNPLNAKVYPLRLQLPPNNVDLHVIVVPSSFVAN >CAK8530435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:21112667:21113680:-1 gene:gene-LATHSAT_LOCUS300 transcript:rna-LATHSAT_LOCUS300 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFQRAKVVRLRSHHDKYLLADDDQEGVHQDRLGCCRNAKWTVEIIEHANLIRLKSIFGKYLTASNMPFLLGAKGKKVVQTLPSRLNSSLEWEPIREGDHIRLRTRYGQYLRANGGLPPWRNSITHDIPHRSKTTNWVLWEVDLVEIRPPPPKQIEDVVRPTDSSTDRSLSHSPSPPLSPDVDSDNDNPFALIDLRSSIPIESSDVEDMGSPVKEGRIIFYNMGDENGDVPEGCEEKFFTFKGSSVIELKEKLQEEIERDDIIVCSRNPLNAKVYPLRLQLPPNNVDLHVIVVPSSFVAN >CAK8574912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15076318:15077436:1 gene:gene-LATHSAT_LOCUS27211 transcript:rna-LATHSAT_LOCUS27211 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNNPTSSNNLNLNVNENDGVVGNWYSSIVHQVSIYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFLCGWLKLVEHDKLDLMTMWRFLPAAVIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAVGESVFLHRPWPSLKTWASLGTIFAGSVLYVATDYQFTFAAYMWAVAYLVSMTIDFVYIKHVVTTIELNTWGLVLYNNIEALMLFPLELLIMGELKKIKHEISDESDWHSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVMINLVIWDKHSTWIGTLGLLICMLGGVLYQQSTSKPKDVKQVTAQENEEEQLKLLEMQGNSETNITDIEDNKSREGN >CAK8533354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607133029:607134098:-1 gene:gene-LATHSAT_LOCUS2990 transcript:rna-LATHSAT_LOCUS2990 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLTILFLTLTFGINLSEAKHVNKKVHPSPVVVGNVFCDTCFQQTFSQKSRFISGASVAVECKLGKTKQRFYKEVKTNEQGKFKVKLPFLVKKNVKRIKGCNFKLLSSNEPNCAIASTSTSFSLTLKKKLQDEHIFSAGFFSFKPIKKPKFCDQNKDSIQNPKKNEVEDFFFFPPNPLNPPLVPNPFQPPLIPNPFTPPPLIPNPFQPPSPPLIPNPFQPPSPPPFLPNPFQPPPSSPPPLIPNPFQPPPSSPPPFSLFPPIVVPGITPSPPPPPPPKSILPFPFPPLFPPPHSPGSPPTSSTKSSP >CAK8543470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610150884:610151816:-1 gene:gene-LATHSAT_LOCUS12226 transcript:rna-LATHSAT_LOCUS12226 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFPSCFGENGVQVADSSSSSSSSSSTRGAQNVVTCIYQCKLRGRSCLITVSWTKNLMGQGLSVGIEELGNHCLCKVDIKPWLFSKRKGCKNLEVESSKIAILWDLSYAKFGSGPEPLEGYYLVVLFNKKMVLLLGDLKKEACKKIDCDNNVDAYNSDAVFIAKREHVFGKRFYSAKAQFCDKGKIHDVRIECDTVIGSTDDPCLVIRIDSKIVMQVKQLKWKFRGNQTILVDSFPVEVFWDVHNWLFGNVAGNAVFMFQTCVSAEKLWSGQSVSDPSVMMSWAYSQQFRDSQLQGLGFSLTLYAWKNE >CAK8561622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:325187941:325188492:1 gene:gene-LATHSAT_LOCUS15197 transcript:rna-LATHSAT_LOCUS15197 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKLERLMNFYPTEHNHDIKSSRVALETDNFMPLILAVKTENYHQSLESFTGSVIIFNTQNVDKEMIVSKRSSYQVILVLEKRGIRVVECDLDLPVDIILSSAVCLAWYDSTTLCKKATSATEESSSLTLCIDNIATDVLPFLGFYFCGCFLVFEVEFNFLSTIMEYSDGLYAATDKAPKI >CAK8571265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:357766591:357773347:1 gene:gene-LATHSAT_LOCUS23943 transcript:rna-LATHSAT_LOCUS23943 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNNTMGISRTISDQLVESVAAALKSPQSSDHSTNSMVEGSGGLSRKSSRRITSASPGRGGKNTHIRKTRSAQMKIDVDELSSGAALSRASSASLGLSFSFTGFTMPPDQIADTKPFSDDDMIPEDIEAGIRTKTKFQTEPTLPINLKFNDVTYKVVLRGMTSSVEKDILNGISGSVNPGEVLALMGPSGSGKTSLLNLLGGRISHPTIGGSITYNEESYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKSFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSILFLDEPTSGLDSTTALKIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMNYFQSIGCSPLISMNPAEFLLDLANGNINDVSVPSELEDKVQMGNAEVEKYNGKPSPAVVHEYLVEAYESQVAETEKKKTVVSLPLDEALKAKASSPKRQWGASWDEQFSILFWRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDVKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFFTILTVFLCIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQKVPIFISWIRYMSFNYHTYKLLLKVQYEHFTPIVNGVKIDSGLTEVAALIAMVFGYRFLAYLSLRRMKLQ >CAK8539300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508639636:508642852:-1 gene:gene-LATHSAT_LOCUS8409 transcript:rna-LATHSAT_LOCUS8409 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGITNTSSPTYLNSSRFLSPSQFSNSSFQPQNAASIMPNNKSVLVTGGAGYIGTHTVLQLLLAGFKTVVVDNFDNSSPVAIHRVKELAAQFGNNLNFHKVDLRDRAALEQIFASTKFDAVIHFAGMKAVGESVQKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLTIEEICRDVHRADPDWKIILLRYFNPVGAHPSGYIGEDPRGIPNNLMPFIQQVAVGRRPALTVFGNDYNTVDGTGVRDYIHVVDLADGHIAALLKLEEAGIGCEVYNLGTGKGTSVLEMVRAFEQASGKKIPLVKAGRRPGDAEIVYASTAKAERELKWKAKYGVDEMCRDQWNWASKNPYGYGSPDATD >CAK8563213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583289337:583290965:-1 gene:gene-LATHSAT_LOCUS16646 transcript:rna-LATHSAT_LOCUS16646 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPHRSGGDSDGGIGDKRPRHFDTKTKGICWTKADTVPGRHPERWRKDAAGNIVCKRFFNCLGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYQIDSDKLKGYSCDINFTDKELDIIEMAVYGDVIRPGNQCRCRTVDEMLGKFKAKAKDNADACKLP >CAK8543569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:620229129:620229566:1 gene:gene-LATHSAT_LOCUS12317 transcript:rna-LATHSAT_LOCUS12317 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIKKGELPKQIKYRGVRIRPWGKFAAEIRDSTRHGARVWLGTFNTAKEAARAYDRAAFDMRGSSAILNFPHEHFSCHVAYNYSKPSSLPSSSSSTSTSSSSSSSSKVKLEGGEQVIEFEYLDEKLLEELLDCENTINHNWFN >CAK8575887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:349548694:349550948:1 gene:gene-LATHSAT_LOCUS28112 transcript:rna-LATHSAT_LOCUS28112 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSTQVFKDSNDGNTEATRQEIKAAIAKAVELRALHAALVRGNSSTNAKFPSPSSVPRSVSQFSAHDYPVFTPSYEDDPIVLYNQNHMKGLAISESWDGESGNSIETIEKPSSRKGILLPCGYTNSESHICPADDTKSVTGSCANHITVLQTSPTRRNSLEDYKSVSSCNKCNPAIITSELESARNSKSSNVVVSVAESHSSFHSETKSKGVISWLFPRLKRKHKNENSPNRAESEDVSQVLKDIGIKSIEALKKELMEANGNRDVALMEVSEMRTSLGELRQKMEYLESYCEELKKALKQSMQMQAKDSEQVKLSNLHLRGKSIDGNVENSMPVNEEVMIEGFLQIVSESRLSVKQFCKTLINNIEENDNSMTEKLNSLLQPYKLSLNSKYSKAVLYHFEAFINQSLYQDFENCAFQQNGCPKFIDQHEDCKAKFLSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCITSTLNCTRPWPEKLLQAFFVTAKCMWLLHLLAFSFNPPLGVLRVEENRNFDPRYMEDMCSRSQGPSKVKIMVMPGFYVKDRVLRCKVLCRYKSTP >CAK8562989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564627065:564628036:1 gene:gene-LATHSAT_LOCUS16443 transcript:rna-LATHSAT_LOCUS16443 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVLSSPPQTQLHNSITTQTCWHHLQSKPKTTRINFPFKLKCQQQSNSSLKPKTQDDGIPTEDIKTLAKFKSRHNYIRVLEVSRKADHPFRGSRLLLLDNPGNIHSISYLFKTLTNTYFDVFATIPPIIPQGPIAILGFGAGSTARILVNLYPDIVVHGWELDPSVIEVAREYFNLSKIERENKQRLFVYVGDALKASLEGGFSGILVDLFSEGSLLPELQEAATWEKLKRSLKKGGRIMVNVGGSCVEAEDKVRDGHVVMEETLKAMRMVFGEKLFVLRLGNRGDDSSLALTGNFPEMDAWKNKLPSSLRCYAGLWKPYSG >CAK8542880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:555489851:555490420:-1 gene:gene-LATHSAT_LOCUS11681 transcript:rna-LATHSAT_LOCUS11681 gene_biotype:protein_coding transcript_biotype:protein_coding MECFRYYRSWMYDRTLPGRRGLTPTFEEGVNGFITWAFAQECCRREGGVRCPCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLQSNVYAETNNTHASSSRSHMEFDEQFNLIDDMVGNAFGVNVTYDEPRDFDGEELPNEEAQRFYQLLKEMNTPLFEGSTDSKLSMCMRLLAVKSNWNVS >CAK8574786.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9442214:9444841:-1 gene:gene-LATHSAT_LOCUS27095 transcript:rna-LATHSAT_LOCUS27095 gene_biotype:protein_coding transcript_biotype:protein_coding MENNAKDLIFSTKNQKGSFSFTFLAFLLFLSSTIEPILGDLWDGVVVTQSDFQSLQAIKTELIDSKGVLKTWNDSGLGACSGNWVGIKCLKGEVIAIQLPWKSLSGRISEKIGQLQSLRKLSLHDNHLAGSIPFSLGFLPNLRGVYLFNNKLSGSIPPSIANCPMLQAFDVSHNSLIGRIPLGLTNSTRIFRVNLSYNKLSGPIPSEVGDFSRLRVLDFSNNEINGSLPLSFSNLSSLVSLNLENNNLGGEIPDSFMKLRNLSSFNVSHNNLSGHVPLILSKRFNASSFEGNFGLCGYISSKPCRSSPPPSPPPPHNLPSQSPEESPLEKHQRKLSTKDIILIVAGVLLLILLLLCCFLLCCLVRKRASSSRKSGKAAKAAASARSLEKSGGGEVAAGGEAGGKLVHFDGPFVFTADDLLCATAEIMGKSAYGTAYKATLEDGNQVAVKRLREKTTKGHKEFEGEVALLGKIRHPNLLALRAYYLGPKGEKLLVFDYMSRGSLASFLHARGPEIIVEWPTRMKIAIGITNGLSCLHNQENIVHGNLTSSNILLDEQTNPHITDFGLSRLMTTSANTNIIATAGSLGYNAPELSKTKKPTTKTDVYSLGVILLELLTGKPPGEPTNGMDLPQWVASIVKEEWTNEVFDLELMRDAPTIGDELLNTLKLALHCVDPSPSARPEVKQVLQQLEEIKPELVEVEVDEEGAKVQTNE >CAK8571004.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:257354122:257354634:-1 gene:gene-LATHSAT_LOCUS23705 transcript:rna-LATHSAT_LOCUS23705-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGQPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSKVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8571003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:257354122:257355987:-1 gene:gene-LATHSAT_LOCUS23705 transcript:rna-LATHSAT_LOCUS23705 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLQCSGEDTSSDYFYNPSQQIESVLKVGNQYRTKEECMKAIRKFHMDNFVDFYINRNDSKRYVVVCRNASCKFRLAASYRKRSDCWEIGSMDPPHSCTTNINRQDHGKLSSQLISQEILHLVGADPSVKVITIISHVVARFNYTPSYRKTWIGRIKAVEHVYGNWEHSYHQLPQYLLALQKYVPDTVVILESLPAYTPEGTCVAGSRIFSRLFWAFQPCIKGFAFCKPVIQVDGTWLYGKYKGTLLMAVAQDGNNNIFLIAFALVEGETGEAWSFFLGNLKTHVAPQPNLCLISDIHAYIVSAYNNPANGWHNPPSVHVFCIRHIAQNFMRDIKDINLRKKVVNAAYALNQPSFMYYREEIRLSSAEALRWVDNIPVEKWTRSFDGGCRWGHMTTNLVESLNGVFKGTRNLPITALVRATYYRLGSLFAARGKKWSAVLQSGQIYSESSMKYMRDETSKAASHRVRPFDRHDYSFIVDETMDHNEGQPMGHYRVELHKNWCDCGKFQTFRMPCSHVIAACSTARQDPFLQLSKVYKVVNLFGIYSSSFPVVASEDYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTAEKMERLCGICRLPGHTRKNCPNVGTSSR >CAK8533102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577916600:577919087:1 gene:gene-LATHSAT_LOCUS2751 transcript:rna-LATHSAT_LOCUS2751 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVRATLKKSNSSVCAFQRSNGFVARFFSTEAENPPQGSTPTSPPFLQTNNSGLTYGRLLGVHKHALKTDIINFLEGSNLTMEDVKMEYTRSFNPISMMLQFPTYSAYDNAIRIIGRKGRLYKLDRIDRSQWDIVTPYDGRTILIQGLPRNAQFADLEQIISDFEYDSSSINIFLRAGEGTEPIKMATVRFHSRTQASNAFIAKNGTYCLNNRISIHVLQ >CAK8536936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:40959229:40964202:1 gene:gene-LATHSAT_LOCUS6260 transcript:rna-LATHSAT_LOCUS6260 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPFLVLLILTLFSPLGDSLKVPFRVNDLLPVLPPGISWPVLNNFHSAVDLLPSFVGSVTPYNGSIEWKGACFFDNQAKLEFTNGDRNDSGLGGAILYLKTEEAHSWTCMDLYVFATPYRVTWDYYFSAREHTLKLDSWEEPAELEYVKQHGISVFLMPAGMLGTLLSLVDVLPLFSNTAWGQKSNLEFLKKHMGATFEKRIQPWRATIDPADVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNLWVGESGHENEKGEEIIVVIPWHEWWESALKDDSNPQIALLPLHPELRAKFNSTAAWEYARIMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVTSVMSMWTRMQPAYAANMWNEALNKRLGTEDLDLHDILLETEKRGITFDELLAIPEQDDWEYSDGKSTTCVAFILSMYKEAGIFGSISSSIQVTEFTIRDAYMLRIFEDNQTRLPRWCQNENDRLPFCQILGEYRMEFPGYNTLDPYANMNEHCPSLPPTYDRPSQC >CAK8567351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:507683182:507684057:1 gene:gene-LATHSAT_LOCUS20415 transcript:rna-LATHSAT_LOCUS20415 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSKTNKRCICISSFHPFFLFILVNFITSTARNDDTFTPSCSGVYETTPNSVFQRNLKTLLSYLSSNATANKEFYNATVIDKNNSSNTVYGLFMCNGDVPAHLCGQCVTNATSYNLSSYQGTDCSFSKEVTIMNDDCMVRYSNNSFFSKVDLSILSTSCSPVKVSNPAIFEHSVSEALNRVADEAANSLIGIKKYATKEVTTTEFQTFYFQARCTPYLSPQDCRKCLNTTITNLVQSCRLENNEIRIGSSDTFSCYIRNDVYPFYRPSNATTPQELIPASATFDVNGNF >CAK8533942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:673983176:673984843:1 gene:gene-LATHSAT_LOCUS3527 transcript:rna-LATHSAT_LOCUS3527 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNARSKIETKREEDIKEKEVEDGQESDVDPMEVKKRKRDGKAEQLELEQVKEMKKLENVLFGSLYSPLEFGKGGDDEVEAGSAAKASELFFTDRSADSVVSVYQEDADLSDEEDDEALKRKPVWVDEEEEKVTVNIAKVNRLRKLRKEEDEDLISGSEYVSRLRAQHVKLNRGTDWAQLDSGGKMDGSSDDELTDDDNKAAVVSQGYEDVDDILRTNEDLVVKSSSKLLPGHIEYSRLVDANIQDPSNGPINSVQFHRNGQLLLAAGLDRKLRFFQIDGKRNTKVQSIFLEDCPIRKASFLPDGSQVILSGRRKFFYSLDLVKARVDKIGPLLGREEKSLEDFEVSPDSKTIAFVGNEGYILLVSTKSKQLIGTLKMNGTVRTLAFTEDGQKLLSSGGDGHVYHWDLRTRTCIHKGVDEGCINSTALCINPGGTHFAAGSDSGVVNIYNREEFLGGKKKPIKVIDNLNTTVDFMRFNHDSQILAICSGMKKSSLKLIHIPSYTVFSNWPPPNANLHYPRCLDFSPGGGFMAVGNASGKVLLYKLHHYQHA >CAK8532504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:272441609:272443297:1 gene:gene-LATHSAT_LOCUS2202 transcript:rna-LATHSAT_LOCUS2202-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLTLFFVIVNGFILGVYGLSMNYYLLSCPFVDSVVKNNVNTALQDDPTLAAGLVRMHFHDCFLEGCDGSVLIDSTKENTAEKDSPANLSLRGYKVIDDIKQELEKQCPGVVSCADILAMAARDAVFFAGGPVYNIPKGRKDGTRSKIEDTFNLPPPFFNASQLIRMFGQHGFSPKDMVALSGAHTLGAARCISFKERLTQLDQTLDSEFAKTLSKTCNIGDNAKQPFDATCNDFDNSYFNSLVSNNGVLTSDQTLYNSPQTRNIVNMYAMNEALFFLDFQQAMVKMSLLDVKEGSKGEVRKNCHKIN >CAK8532503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:272441564:272443297:1 gene:gene-LATHSAT_LOCUS2202 transcript:rna-LATHSAT_LOCUS2202 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNPSFALFEYHLTMANLLTLFFVIVNGFILGVYGLSMNYYLLSCPFVDSVVKNNVNTALQDDPTLAAGLVRMHFHDCFLEGCDGSVLIDSTKENTAEKDSPANLSLRGYKVIDDIKQELEKQCPGVVSCADILAMAARDAVFFAGGPVYNIPKGRKDGTRSKIEDTFNLPPPFFNASQLIRMFGQHGFSPKDMVALSGAHTLGAARCISFKERLTQLDQTLDSEFAKTLSKTCNIGDNAKQPFDATCNDFDNSYFNSLVSNNGVLTSDQTLYNSPQTRNIVNMYAMNEALFFLDFQQAMVKMSLLDVKEGSKGEVRKNCHKIN >CAK8560227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10887461:10888339:-1 gene:gene-LATHSAT_LOCUS13919 transcript:rna-LATHSAT_LOCUS13919 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVCDVRDSFGSLSRRSFDVKLIGHHHRGKSHGSVQDLHDQPLVIQNSCWASLLPELLFDVIRRLEESENTWPSREHVVACASVCQSWRNMCKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSNLTYHLFLCLSPTWPNGKYTKGAKYGKQFEKYFNPDISKAYRNIDMDTRTINQIIANHFYRQGLFDVGDHFLSAVGEPEPAAIMKSPFLEMYQILQAMQNQNLEPALNWAVTNSDKLAQSGSDIVLKLHSMQFVKILQNGGSRDEALHYARTHPFSFC >CAK8578350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622815362:622815640:1 gene:gene-LATHSAT_LOCUS30367 transcript:rna-LATHSAT_LOCUS30367 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIAKFFMEVAPPQYVTVMRRRTSKVMETITEDDREISFYDSVISPPKSSLAISASSPAASACSTSTNISVNTRYFLKEVHRTLSSLNH >CAK8542835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552366206:552366745:-1 gene:gene-LATHSAT_LOCUS11639 transcript:rna-LATHSAT_LOCUS11639 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKFLIPIKLILFSILFFITINIANGQAQPNQSTLVFYLQDVEKGPKATVSPVIGINGKVWSYNTFGTIFVVDDPVTLSPNPFSTQIGKAQGTITVTSQDGANVNIVLSIVFNNMQYADSTLEIQGTSRQRDNLRELGVVSGTGRFRFAKGFAVFETLSYDPTYSQSVIRLTITLAIP >CAK8569380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:697667968:697668799:1 gene:gene-LATHSAT_LOCUS22237 transcript:rna-LATHSAT_LOCUS22237 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQLFHTALLPSLRPCCRCNNKAQGLRRGWVLTSTSSTNTKKSVGGSRIIKCMANPKRVKMVAKQIRREISQMLITDNVLLYAILPEAALGADSYLSSVTTVTDVEITSDLQLVKVYISVFGDERGKQVAMAGLKSKAKYVRSQLGKRMKLRLTPEIRFIEDDSMERGSRVIDILDQIKKEESEKTQNPSEKEDDAEQSSSSANEDDDDDDDWDDEGIIYVE >CAK8537126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:103996559:104002331:1 gene:gene-LATHSAT_LOCUS6440 transcript:rna-LATHSAT_LOCUS6440 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVHILVNDFVNQLKKRKIEGSQATAKQTAELLRSVISQQRLPQTNQATALINAVRVVGEKLIAANPVELAVGNIARRVLHIIREEDLSLATAAIAGLELSAVSDDEDDVDRDDYPVLSAAAVAAAARSTLRPPSLQTLLEDAPITAAVPHTSSSGGDSEGKSRSVDKSSRGRKLKHDVIEAVNELIQDISTCYEQIAEQAVEHIHQNEVILTLGSSKTVLEFLCAAKEKKRSFKVFVAEGAPRYQGHLLAKELAARGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGGGSLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVKRRPATGI >CAK8564392.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671711916:671713095:-1 gene:gene-LATHSAT_LOCUS17702 transcript:rna-LATHSAT_LOCUS17702-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIKSCSSYSALPFFQRRGIPPSSSPFNVTGTFIPQCLNGNATFTLTPKRLSSKFSKNERLSIPRSTTSSSSNSTDDDTSSKTKKTPFGYSRKDVILIGLGVTFLGIGLKSGLEYVGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELEVLLQEIEEEKSRKL >CAK8564391.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:671711916:671723841:-1 gene:gene-LATHSAT_LOCUS17702 transcript:rna-LATHSAT_LOCUS17702 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIKSCSSYFALPFFQRRGLPPSSSPFNVIGTFIPQCLNGNATFTLTPKRLSSKFSKNERLSIPRSTTSSSSNSTDDDTSSKTKKTPFGYSRKDVILIGLGVTFLGIGLKSGLEYVGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELEVLLQEIEEEKSRKL >CAK8538380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480191920:480192492:-1 gene:gene-LATHSAT_LOCUS7591 transcript:rna-LATHSAT_LOCUS7591 gene_biotype:protein_coding transcript_biotype:protein_coding MINDSVNNLSIEKSFRIKQDDDRFFSRLKSKEASSANTSSRILYYGETSIAIPFVWEEQPGTPKHPLSQISILPLTPPPSFYSKKSNTNKKRKSKINVFSCILPRLVGSRKTTHSHASSTSTSTSSTLSQSSSSSSSLYSYSMRDNNHGSFSSSCSSTIVSSLLKQKGSNRIRGCYPIGKIKNATISHGG >CAK8562334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475065402:475066209:-1 gene:gene-LATHSAT_LOCUS15839 transcript:rna-LATHSAT_LOCUS15839 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILMQYLATKAEPVVANLIDSDLNELKFAAKKLFNHAAMLGGKGVAMSLLRWIASFAAIYLLVLDRTNWRSNMLTSLLVPYIFFSFPGSLFHFFRGEVGKWIAFIAVVLRLFFNKHFPEWMEMPGSMILLVVVSPDLFSLKFRSNWIGVAIDLLIGCYLLQEHVRATGGFKNSFTQRHGISNTLGIIFLMVYPIWALFIH >CAK8535380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845654594:845657726:-1 gene:gene-LATHSAT_LOCUS4845 transcript:rna-LATHSAT_LOCUS4845 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYSRYNSQSYAPSAPELPPPSNHTPPNTTYTQTSPPSSTYNNYPHGYSYGQAPPSGYPPLTSYVSSSSGYSNFPPGTNPDVIRSFQMVDRDRSGFIDDRELQQALSSSFHNFNLRTIRLLIFLFKHPNESLRIGPKEFTELWSCLGHWRGIFERYDKDRSGKIDPLELRDALYGIGYAVPASVLQLLLSKYSDGSSRRVELGFDSFVECGMIIKGLTDKFKVKDKRYSGSATLSYDEFMSMVIPFLVSYD >CAK8536343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:932545622:932546005:1 gene:gene-LATHSAT_LOCUS5720 transcript:rna-LATHSAT_LOCUS5720 gene_biotype:protein_coding transcript_biotype:protein_coding MISCFKTNPNRTANTPNYEACYAPVIYLVNEDTLWEKIEYVNLQPPPIKRQPGRLKKKMTRDALENIRDDTQLKRANFGIKCSRCHMMGQNKATCNLHAPTQTTQLEPSQPGPSQPEPSHLVPSQTI >CAK8567071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:484776926:484777126:-1 gene:gene-LATHSAT_LOCUS20158 transcript:rna-LATHSAT_LOCUS20158 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINWNCRGLGNPRAVRALIRLTHIEKRGGDNFICLGDMNNITSDSEKRGGASRSTIQFAWGTKL >CAK8570639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:78568863:78574714:1 gene:gene-LATHSAT_LOCUS23375 transcript:rna-LATHSAT_LOCUS23375 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSRKEEKVFRTMENDNVVFRNGNPVLVAQPLTVVRALTSNGGARVLATPPNLNPNSDVFEPCKTPMNKVNDEPQEDVKPSVEQRSMLLFDDFLKATNAQVATVDESMKSMEVESIIQKNTESADVDVVNETQESLKAGLVYESVKSMEVEEPIRTEEEAVSINVIGETQESVIADPITETTQIRSNEEAYKEKQIDSDELEVLKVVKRKEAAVNDEVRVLKAVKKDVVEEKKILNLEDGEFPVEPGWSLLGRKIEVATSTARGLKRLVDNEIVYFNFPDPNTSYKFQWIVRVSTKRSGVVGRLPMEWAKSVMPLVQTGNVKVRGRCIATPYKLEMMQEIMLLVSFYVHQSVFLESVDTSWRLEACGHINSAAYPLLTLLHMLEIEPYRKADFTPEEMKARKRILKLDSDEASVLPVNKRRKGISEPLPEPNENEQALSESALNKLVGAAEVFDLQEKEAPKPLMCSLKPYQSQALYWMTEIEKGADDENADRNLHPCWSAYNICNGKTIYVNIFTGEAAKKFPQATQRARGGILADAMGLGKTVMTIALILSNPGRVKSEDSNAESLYDSIFTTKRRNINNVEGGTLIVCPMALLGQWKDELETHSKSGSISIFVHYGGGRTDNVDLLLEYDVILTTYGVLSASYKSDRENSIYHRVQWFRVVLDEAHHIKAHKSQVAQATIALSSHCRWCLTGTPLQNSLEDLFSLLSFLRVEPWCSWQWWTKLIQKPYEQGDQRALKLVKGILRTLMLRRTKETKDKEGRPILVLPPTDIQLIECEQSESERDFYDALFLKSKVQFEQYVAQGKVLNYYANILDLLTQLRRCCNHPFLVMSGSDPAKYADLSRLARKFLNSHSESSDMCCESDTQQNAKLNKLASRFLQNSISSSHSIQSHGYIDEVLGHIQKGETVECSICLESPEDPVFTPCAHQFCRECLFNCWGTSMGGKCPICRQSLKKNDLIILPSESPFKVDTETNLTESSKVSRLFDFLEHIQKYSDEKSIVFSQWTSFFDLLENPLRRREIGFLRFDGKLTQKQREKVLKEFNNTKEKRVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRRVTVRRFIVKNTVEDRLQQVQAKKQKMISGALTDDEVRTSRIQDLKMLFS >CAK8562642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:519523231:519526607:1 gene:gene-LATHSAT_LOCUS16122 transcript:rna-LATHSAT_LOCUS16122-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKGLIDVALGGNDDRDQDNDDDRQSRDERSRSSWAEVVSGDQDSDHRPHTQAKQEEWKSEGSRISNRPNNYKKEEVESWQNDSNRQRPNKSDEDKNDGWQTVGKPSRQRHKVPKDNWNSYKLPSDEQQYSNEVEVGARVEPSEDELVDLSRACEKLWELDLNRLVPGKDYEIDCGDGKKVYQKDDMAQGSLFTWVSDDVFRKPTYARFLSLLDNYNPHQGSKEVVTSEEKQEQASFIEEISRTAPIKYLHKFLASKGITSGSSQDFKRLLTSLWFDLYSRCGTSGSSSAFEHVFVGEIKQSSEVSGFHNWLQFYHEEANGRVDYQGYIFPRRRGETPDSETQLLTIQFEWNGVLKSVSSTFVGVSPEFEIALYTLCFFAGQEDNHIQLGPYAVNIKCYHLGNQIGSVFPIADS >CAK8562641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:519523231:519526607:1 gene:gene-LATHSAT_LOCUS16122 transcript:rna-LATHSAT_LOCUS16122 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKGLIDVALGGNDDRDQDNDDDRQSRDERSRSSWAEVVSGDQDSDHRPHTQAKQEEWKSEGSRISNRPNNYKKEEVESWQNDSNRQRPNKEQEWESETFNSSNRPNKSDEDKNDGWQTVGKPSRQRHKVPKDNWNSYKLPSDEQQYSNEVEVGARVEPSEDELVDLSRACEKLWELDLNRLVPGKDYEIDCGDGKKVYQKDDMAQGSLFTWVSDDVFRKPTYARFLSLLDNYNPHQGSKEVVTSEEKQEQASFIEEISRTAPIKYLHKFLASKGITSGSSQDFKRLLTSLWFDLYSRCGTSGSSSAFEHVFVGEIKQSSEVSGFHNWLQFYHEEANGRVDYQGYIFPRRRGETPDSETQLLTIQFEWNGVLKSVSSTFVGVSPEFEIALYTLCFFAGQEDNHIQLGPYAVNIKCYHLGNQIGSVFPIADS >CAK8544322.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678546417:678547294:1 gene:gene-LATHSAT_LOCUS13012 transcript:rna-LATHSAT_LOCUS13012 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTVSNLFKSHDIPVVDADVVAREALKKGSGGWKKVVAAFGEEILLDNGEVNRPRLGQIVFADPDKRQFLNRLLAPYISSGIFWEVGKLWLKGYKVIVLDVPLLFEAKIDKFTTPIIVVWVDPETQIQRLLARDKSSEEDGRNRINAQMPLDDKKSKANIVIDNTGSLDDLNEQFQKVLVRVTGPLTWCEFWRSRQGVSIILASLSSGVVLCAKAFNNNTS >CAK8568484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610710639:610716129:-1 gene:gene-LATHSAT_LOCUS21437 transcript:rna-LATHSAT_LOCUS21437-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFVSFTGLYSGYLRRCFTGAGLFSQKIDVDTETTLHVWGPTNQATQKPSLVLIHGFGPMAIWQWRQQVQFLAPHFNLYVPDLIFFGESTTRSKERSEKFQAESVGKLLEKLGVKKCHVAGTSYGGIVAYNMAKMLGEEKIEKVVICSSGVNMTKNHNVKLLERAGVEKIEDLMLPSSPQNLRKLMKLAVAKKIPYVPDFFLNDFLNKLYSENRKEKMELLGGLSLGKIDTSNISPLQQQEVLIIWGEDDKIFPVKMAHELKGIISKNARIELMKEASHVPQTEKPEEFNNIILKFLHSSS >CAK8568483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:610710639:610716129:-1 gene:gene-LATHSAT_LOCUS21437 transcript:rna-LATHSAT_LOCUS21437 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFVSFTGLYSGYLRRCFTGAGLFSQKIDVDTETTLHVWGPTNQATQKPSLVLIHGFGPMAIWQWRQQVQFLAPHFNLYVPDLIFFGESTTRSKERSEKFQAESVGKLLEKLGVKKCHVAGTSYGGIVAYNMAKMLGEEKIEKVVICSSGVNMTKNHNVKLLERAGVEKIEDLMLPSSPQNLRKLMKLAVAKKIPYVPDFFLNDFLNKLYSENRKEKMELLGGLSLGKIDTSNISPLQQEVLIIWGEDDKIFPVKMAHELKGIISKNARIELMKEASHVPQTEKPEEFNNIILKFLHSSS >CAK8576855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519871436:519871954:-1 gene:gene-LATHSAT_LOCUS29018 transcript:rna-LATHSAT_LOCUS29018 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMTVGGQPAGRITFELFADVTPRTAENFRALCTGEKGVGRSGKPLHFKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVIEGLNVVKEIEKVGSGSGKTSKPVVIANSGQLS >CAK8532489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270199323:270199982:1 gene:gene-LATHSAT_LOCUS2188 transcript:rna-LATHSAT_LOCUS2188 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVSSLTFSFLIFIFITNISLTFSLDSVEQVLDVNGNPIFPGGKYYILPAIRGPMGGGLRLAKTGNSECEVTVLQDYNEVINGVPVKFSIPRISPGIIFTSTPIEIEFTKKPNCAESSKWLIFVDDVIQKACVGIGGPENYPDFKTLSGTFNIEKHESGFGYRLGYCVQDSPTCLEIGRSDGNEDEGGSRLNLTHQIAYAVVFVDADSYEAGIIKSVV >CAK8572523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:543436486:543446551:-1 gene:gene-LATHSAT_LOCUS25081 transcript:rna-LATHSAT_LOCUS25081 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLISSSRIHRLNPAAIPVLRPAADSASPFLRVLSSLSGLSRNHRYLGGRLFFCSDSSDGVDHVVDAEVKAAEDTSSKASAIVSTYPRPEDYLTVLALPLQHRPLFPGFYMPIFVKDPKVLAALQESRERQAPYAGAFLLKDEPDTDPNVVSSSDTEKNVYDLKGKELFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKDKSYNKEDEIIKATSFEVISTLRDVLKTSSLWRDHVQTYSKHIGDFTYARLADFGAAISGANKLQCQQVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALTGKFRERIEPKREKCPPHILQVIDEELTKLQLLEASSSEFSVTRNYLDWLTALPWGEYSDENFDVTGAQKILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTSNPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVVAIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGETIDAALETGPNTTPKENPVLIESSDSEHIDEDPNDVDELQTNLPADESTDFEVAKEREDDKEIEAKAIEKVLVDKSNLDSYVGKPVFHAERIYDQTPTGVVMGLAWTAMGGSTLYIETTFVEEGDGKGALHVTGQLGDVMKESAQIAHTVARSILHEKEPENPFFANSKLHLHVPAGATPKDGPSAGCTMTTSLLSLALKKPVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSDVKTIIFPSANRRDFDELAPNVKEGLDVHFVDDYLQIFDLAFGDEKSHNTEN >CAK8543932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:650425744:650426673:1 gene:gene-LATHSAT_LOCUS12654 transcript:rna-LATHSAT_LOCUS12654 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMCPPPEKIKTKGGIKKKDKKPVGYDVYRDPSYHEYVDQASQSSQMQSQPSQTSKKLKLSQSSQKKSQPSQALKKLKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLQGLGEDGWSMIRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGSQPPHKWLTLPDMGYMIANRYNVVLVYLGIECWTFFPMTTSFSQNAAIYCIGFVNGNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFAPRLQHWQQLTPILPTHYTL >CAK8561223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:122865648:122867639:1 gene:gene-LATHSAT_LOCUS14832 transcript:rna-LATHSAT_LOCUS14832 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGKLITELGIKTPADKFYKLFASELHEVQNLSERIHEGKLHEGEDWHHSDTVKHWTIVIDGEVHTCNESIEEVDDENKKITFKLFGGDIDNFTVFKVILEVINKADGTAAAAVRWTVDYVKNNEEVDTPNGWTDYLSKTTRDIDGHLLKGERVAL >CAK8544625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696289153:696289755:-1 gene:gene-LATHSAT_LOCUS13283 transcript:rna-LATHSAT_LOCUS13283 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVVASQDLWIWDAFFGVAGPNNDINVLNQSNVFNDVLQGRDLEIHYTINRTEYNQGYYLSDVIYLEWTMFVKSILMPQGDKRIFFAQHQENCLQSRFTIIRNPTRPLNLDTLQRIMNTCIILHNMIVEDERATYGGNFDFSYDHLSNDAIILPNDSNIDFQEFLLRRFDVRDKQIYRRLQQDLIEYIWQRYGHENNNN >CAK8533858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665530391:665530975:1 gene:gene-LATHSAT_LOCUS3449 transcript:rna-LATHSAT_LOCUS3449 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLIYTHATFLHESGICVKTIYNIGVCVRGIGKDEISNDYYGIITKILEFEWPSQITKKLVLFYCDWCDPSRHRIRIHRQYKIVEVCKGIKYSKFNPFIFPKVTRVYYSPYPGRLRDKVDWLVAIKTKPRGVIDDRHTLEVTFQVQESQVNATIEDDPIDHLQDDEEVSLLMIQENEDKEDSNDDIEDEDED >CAK8571974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499521097:499524460:-1 gene:gene-LATHSAT_LOCUS24585 transcript:rna-LATHSAT_LOCUS24585 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTKRLYHSLSTATTTTTTTTVQDPILKDTDTLCESLFNQLNAKFHEFFSALPLVTHVPETEFLPSPDSQLWPLVEHLSLILRCSLVALTLPYSDQNFFINKLRCILRILKSFIFVDVTQLHDGTTVLLCRNFLSDGQMELSDSCRPFLCAVLQVFADELLRHRSLRGYLMMVDSVSSDSEKTFVCQFNPGNIVVVLEVISAHFIISVSNKKAFENFISGLCLHWNGDFRFHELGLASAVALLVDPVVYSAPKMFQTHVISLVSEVIDSGLSSENLTLDMNYYVTAFQLSVSLYSMHVSSLQMDSFCIELSCAYNRTMFVRGHPTFESCIQEGTRNKLSQVFSKSYSSLDSADLLTEYIKYMKQRQYIFVDSCRDKAASILDYIIHQAFSHDAAGDVLHVKKNTSAEDIYLLASILKLMSVSLLQAIKCLSNSGDSSCLKTTRSSSVHDEYDSLISIINPFQQFKFCLPIQTLLQNMMKNQQTNYQVSKSTMFAHFSGLLSLSFYNGYDVLAKGCISVIMALLSMFIFEEGDLVDLGSFRGPPLHSCSSEILLHENGKGARSKQIIHKIAAEFHKIRTYNLRSNSVVADGSEKTYNGEKFLDCMLHKKEPDYVELADFHDCSKGKDYSRWLNNRKKYRNWKLQKKLKSKKIKKDRVWKALRFRKLESLKRWKIGQILLHR >CAK8574590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3096630:3101227:1 gene:gene-LATHSAT_LOCUS26920 transcript:rna-LATHSAT_LOCUS26920 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGTKKPKVSASVVAPPRKINVQKFAESRAPELHSLQCTVENRLSNDYKSQRNKRRRTTSFNDQIARKGHRRKRQKLGIVDKVDAESVLNKESLMQLPRRVRRRYELKSNPESGLCTSGDGTKRLRTHVWHAKRFSMTKLWGYHLPLGLHGRGKGSRALLRKLKQGVLVHDASYYSAVQLEGPEDSLVSVLRMVLVPTPIEARHPINHSDSVISSITYGTAMLHQVGAPVSQAIAPVTYMWRPTFQENITDLGVSDEKIIPDVDLCEKSERLDCSSSRHLWVWIHAAAFEEGYDNLKLACQKEMEKRGISISCSSLEGKLAKLELMGSGTFRLLQKILHPVRSISENQWQENHVSHNTKSSVLINEEHFSSLAMLSLNVKDPRDLPVKKTVVQTEPISSKALSDAQEISCEELADLGGMLGKNNDSTSLSWSEFRDSQSDIDDLWYATTKGLKPPVEDSVLSMEKHRERMVKFCLDDRNVGEANSSEGSCSRSCPILLLKNDMKELTMGWSVILPLSWVKAFWIPLVTNGAHAIGLREKQWIASDSGIPFFPQDFPDCKAYSCFMAAKAAECNQKEELRPPSVRTLRVPIPPPWGIVHTTFNKEISSMETLDLSAAEDLTNANCLSKSCPGNFKISNFDSENSFDGTVARTGCMLTTLLNETKTGRLLLFPYAADGKARISKFIKGELTLDTSHRRSVIYDHKLCFLRVLLHPFKEGFFEEGAVICAPRPSDISLWTSSSVKSEAGLKLSESAMRSYFKENSSGKWGMQIPDDSIGRESHRWPIGFVTTACVQGSKRLVAEGFCEAVLLSDLRAEQWKEMPLKQRRMEIFVLVRNLRSVAYRLALASIVLEYQENDIDFL >CAK8574039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655000627:655001069:1 gene:gene-LATHSAT_LOCUS26424 transcript:rna-LATHSAT_LOCUS26424-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVGPQPPHKWLSLPDMGYMIANRYNVVLVCLGIECSTFFPMTTSFSSNVAIYCIGFVNGNHWVHVNMKEGFPLPPVTLDWKNFRSPAATSWMLGFARRLQHWQQLTPILPTHYTL >CAK8574038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:655000525:655001069:1 gene:gene-LATHSAT_LOCUS26424 transcript:rna-LATHSAT_LOCUS26424 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRDLGLEIIHNERSSLYANLFTDQLEVVRESLMIEEVGPQPPHKWLSLPDMGYMIANRYNVVLVCLGIECSTFFPMTTSFSSNVAIYCIGFVNGNHWVHVNMKEGFPLPPVTLDWKNFRSPAATSWMLGFARRLQHWQQLTPILPTHYTL >CAK8530967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67102417:67104631:1 gene:gene-LATHSAT_LOCUS790 transcript:rna-LATHSAT_LOCUS790 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKPMLMYFTGKTLGNQTFCSISDPSKSYSTWNPEFRRSKLLTVQHGWFLWENIISKSISTLFLWNPYNLNKIVLQPLEHNGADFGNCILSSPPSNNQTCSLYLFAKHSPSIFYYQLGDKQWTKVCFYNEIVRVLAMQRKAPLDESMSYFDNPVYCNGSFYAGMMILSDYIMVVIQNLQPNGFTINCTHDRMIKQLPTGFEQLISRLIACNNELFRIEIFYDLGKVTAVCVFKFDCFQRAWEKVKSIKDKVFFISSLDPSFVCQAINQETEGGRIYIALGTSNFVYIYNIQDNCIVTRQPFSNLSSKRLSYSRWVMPNTWMADSFKQEIGEFHQSICDVEHLKDGENEAHNGFLLSLDAVEVIAKHINNVLDYLNFRATNKFFRLAAPQIQWRSSSSMSRFDDRFTCPLLVFSEDAVFTFVNPKHGLKYKYMINFRQCWNFNSEICCSKDGWLLLGALKNSKGFQGFFNPFTKQVIPLPFWDIEIKNTRCVGMSHSPTSNECVVVELDKIFFFSPITTAFVHLLGDKFSGHFTFEDCKFPVCNVSPVFHNGSFYFLSVRGKLAVLQVTRENYSWKELQEPQTPCSSYFNSFLVECDGNLLAVFESTIAKGGIQVFKLNESTMTWIKLQNLKNHMIFVGKTSFSAVATVPGMENKIYFSRFYEHSLVFYSLQTNNYHTFQHDQVVNFHHMRQHLNGSWIQPRWH >CAK8570073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23186557:23188050:1 gene:gene-LATHSAT_LOCUS22857 transcript:rna-LATHSAT_LOCUS22857 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLETPRGSGTNGYIQSNKFFLKPRTSKVAENMKGFEADQGTAGVTRKANKEILEHDRKRQIQLKLVILEDKLIDQGYTESEIAEKLEEARINLEAAADENDGSSNLDKLSDTQTHQIAARKEKQMETLKAALGIASSEPGELNADGNDEEIGNERGVSVPDAKHISEHSFLDRDFSRKKQPEEVLKDENTKKKSVEDTKHHRKGGTLKKKHRDDSSDSDAQRNVKAKKKQETSLYGVDSHKKTNHTIRKEEELNRQSRSAKLRIIHKL >CAK8569433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624527:630277:1 gene:gene-LATHSAT_LOCUS22284 transcript:rna-LATHSAT_LOCUS22284 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKVKYQLKNEDWKPSPITALATSIDGSRVAASREDGSLELWLVSPNTIGWHCQLTIHGDPNRIVSSLVWCPGGEKGFPHGRLFSSNIDGSVSFWDLCHLKQTMVLESNGVSIWQMAVAMSKGDDGETNGVHIENGFSKRFLNGFDEHEDSESDEDSDSPEVLKQSILEGPRVAVGFDDGCVRIYTISDSNEFIYLKSLTRVSGRVLSVAWSADAKFIFSGSSDGIIRIWNAKSGLEAHRIQARLGGDSGHELCIWSLLYLRSGTLVSGDSSGSVQFWDCQQGATSQEPITRHKGDVHALAAAPNHSMVFSAGSDGKVVLYKLSSSTMEKENWVYVDYKKGHSHDVRALTVAVPISQEDTLPDERIKRARHEVKPDDSSYHKWAHSGQPMLISAGDDTKLYAYAVKEFTGFKPHCICPVPQRTPLQVALNTSFNQSPMLLLQSSHWIEIRLLHLKSVCRTGDYAKAESVGRFKIKASRKIICSALANTGVFFAYSDNEKPILYKVERSEAGKITWSFGKRKLPERLPTAHSMIFSHDSSWLIVAGLDRRIYVVDANSSDLVHTFTPFRESQDENDGLSTAEPPITKLCTSSDRQWLAAVNCFGDIYVFNLETLRQHWFISRLDGASVTAAGFPPQNNNVLIVTTSSNRVYAFDVEARQLGEWSVRNTFVLPKRFHEFPGEVIGLSFPPSSTSSSVMVYSSRAMCLIDFGLPVNQDEGEMLHTRDSVVKSLPNINGKKKTKFRKNFENFEVLPLEKDRVLYLSHISNSLFFMIEKPWSDVVNSLEVQPVHRHIYGA >CAK8569434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624527:630277:1 gene:gene-LATHSAT_LOCUS22284 transcript:rna-LATHSAT_LOCUS22284-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKVKYQLKNEDWKPSPITALATSIDGSRVAASREDGSLELWLVSPNTIGWHCQLTIHGDPNRIVSSLVWCPGGEKGFPHGRLFSSNIDGSVSFWDLCHLKQTMVLESNGVSIWQMAVAMSKGDDGETNGVHIENGFSKRFLNGFDEHEDSESDEDSDSPEVLKQSILEGPRVAVGFDDGCVRIYTISDSNEFIYLKSLTRVSGRVLSVAWSADAKFIFSGSSDGIIRIWNAKSGLEAHRIQARLGGDSGHELCIWSLLYLRSGTLVSGDSSGSVQFWDCQQGATSQEPITRHKGDVHALAAAPNHSMVFSAGSDGKVVLYKLSSSTMEKENWVYVDYKKGHSHDVRALTVAVPISQEDTLPDERIKRARHEVKPDDSSYHKWAHSGQPMLISAGDDTKLYAYAVKEFTGFKPHCICPVPQRTPLQVALNTSFNQSPMLLLQSSHWIEIRLLHLKSVCRTGDYAKAESVGRFKIKASRKIICSALANTGVFFAYSDNEKPILYKVERSEAGKITWSFGKRKLPERLPTAHSMIFSHDSSWLIVAGLDRRIYVVDANSSDLVHTFTPFRESQDENDGLSTAEPPITKLCTSSDRQWLAAVNCFGDIYVFNLETLRQHWFISRLDGASVTAAGFPPQNNNVLIVTTSSNRVYAFDVEARQLGEWSVRNTFVLPKRFHEFPGEVIGLSFPPSSTSSSVMVYSSRAMCLIDFGLPVNQDEGEMLHTRDSVVKSLPNINVNSLEVQPVHRHIYGA >CAK8535019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804048715:804053652:1 gene:gene-LATHSAT_LOCUS4505 transcript:rna-LATHSAT_LOCUS4505 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >CAK8560034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5499884:5501433:1 gene:gene-LATHSAT_LOCUS13751 transcript:rna-LATHSAT_LOCUS13751 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFSFFVLPFLFSLLLPPFVFSHTHGNPASEIVDIINKNRTDQKLPNMNDTPGLGCMALQYIELCKGNCTDNNVVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCQKKYLEPSLAFSRILIKDKKSLSLLRNKSHSEVGVGLVGVHKGPFFWCVLFGNGKANTTFVLENRGAGIKQKQGCYSGSNTPCSEGEKHDVPFYNFWFTFYVFILMFKLL >CAK8571562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:440232128:440232499:-1 gene:gene-LATHSAT_LOCUS24210 transcript:rna-LATHSAT_LOCUS24210 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRKLSQATGGNKEDNGGHYGGGCRVGGGHRDGSGRGNYGGRGDGMLDETSMVTNRSDNGDNNGNRNNIVYGDIYSNIGDNDQEGSSYSLKINIPKMNGNNYNELAQIVCLVLYNKKKLGF >CAK8570743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:106632341:106636944:1 gene:gene-LATHSAT_LOCUS23473 transcript:rna-LATHSAT_LOCUS23473 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIDKIQGSDPNRVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGTTADLTLEIANKMQNIICVETMMHLTCTNMPVDKIDHALETIKSNGIQNVLALRGDPPHGQEKFVQTEGGFACARDLVKHIKSKYGDYFGITVAGYPEAHPDVIGNDGLAPPEGYQNDLAYLKSKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKIPAEIMAALEPIKDNEEAVKAYGIHLGIEMCKKIMAHGIKTVHLYTLNMEKSALAILMGLGLIEESKISRSLPWRRPTNVFRIKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSGNPSYGALTDYQFLRPRAKDKKLIEEWAVPLKSVEDIYERFRMFCLGKLRTNPWSELDGLQPETKIINEHLEKINTKGFLTINSQPAVNGEKSDSPTVGWGAPGGYVYQKAYLEFFCSEEKLDALIEKCKDRPFLTYMAVNKKGVWKSNVGQTDVNAVTWGVFSAKEISQPTVVDPVSFHSWKDEAFESWYRGWASLYPEADASRKLVEEVGSSYFLVSLVDNDYVNGDIFGVFADF >CAK8539634.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518902268:518906812:-1 gene:gene-LATHSAT_LOCUS8712 transcript:rna-LATHSAT_LOCUS8712 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWNQFGVIETIYEEEREFSSTSSSSLSPSFSSSPPSLHSTINAWSVDSGCETDVLIRVEGTCFRLHKERLISKSSYLKRHLKGLSDFTLSPPLNITSETFATVAEFCYGRKIQLTSRNVAAVITAAELLGMREGREGEVCLRDVGESYFQRIVCADGFTILRSCLSLFPEAETTAALGSRCIEAVIWENDAGDDVDESVLDVVVEMEPRDFQMVCYSLNGRLLNHDVLYKLVDLYLKENKHGKQITDEQKTEICNSIDCTKLSPRTLVECVQNPTMPLRFIVRAILVEHLTTRRSITEAATTTTAVCNAQQQTEVQSTSLREFLQRDATRRQTEQIKEAMDATYSRIQSLERELSGMKKFLQDHQAEEEREKEKEKEHMNNVLNSERSASFHFVPIDENSKIQRGGRGSVSSSGFVLDNMIKKNNEMVRYKYNVNSSDGSCHDRNVTPKSVTSFRHRFITGLKNTFKISNSSSNLKDH >CAK8578309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619238842:619241490:1 gene:gene-LATHSAT_LOCUS30331 transcript:rna-LATHSAT_LOCUS30331 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLLRNASLFTRNLLHHHSSSTAALAVSSPSRFRLFSSDEKPSPPPSIKDTAPVEIEDVDNKELKSRIDKYLKGDEQMLPSIMEAVMQRKLSGKHEDTDDELMEELSMKPIDDVDDQDFESDFEDLHETDEEIDDLYNARDVVMKRMVKDQYFNMDDKKWDDIVEDGVKHGFLMDAKECEEILEDMLSWDKLLPDEIKQKVETKFNELGEMCERGELEPEAAYEQFKKFEDELVMEYLNTAEKEAAMQSVDTSVPDKKKDSDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLSVTVKELGLSKYQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLLSLIEEAGKANKLVDDARTSYVKERLRGNPAFMERLHAKSLRLRESNQVPA >CAK8577768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:587186255:587189201:-1 gene:gene-LATHSAT_LOCUS29842 transcript:rna-LATHSAT_LOCUS29842 gene_biotype:protein_coding transcript_biotype:protein_coding MDINLISNMKSLYSLLLLLFVLSSFKPSVSSRSHRHYLGRKLRSSGDNGTDLVTNLPGQPHVDFQHFAGYVTVNETNGRSLFYWFYEAMTKPEDKPLVLWLNGGPGCSSVGYGATQEIGPFLVDNNNDGQGLKFNNFSWNKEANMLFLESPVGVGFSYSNTTTDYQQLGDDFTANDAYNFLHNWFLKFPSYRTKTFYIAGESYAGKYVPELAELIHDRNKDPSLYIDLKGILLGNPETSDAEDWMGLVDYAWSHAVISDETHKTIKRSCDFNSSDPWKNEDCDQAVDEVLKQYNEIDIYSLYTSVCFATTAGSNGRSMQTSTKRSSKMMPRMMGGYDPCLDDYAKAFYNRPDVQKALHASDGHNLKNWTICNNKIFNDWADSKPSVIPIYKKLISAGLRIWVYSGDTDGRVPVLSTRYSLSTLALPVTKPWRPWYHENEVSGWYEEYEGLTFATFRGAGHAVPCFKPSNSLAFFTSFLHGESPPSTK >CAK8568700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:633085249:633094664:-1 gene:gene-LATHSAT_LOCUS21632 transcript:rna-LATHSAT_LOCUS21632 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFFGIKHHSFLKAYNTSSNHLELLKRRSLLQKTKNWCTVYAKHRHPIRQDFSFCCQNVNLLRLHHVSVSGSRLKCTKKSSFSSLFFAPLWKKRIFLIRVCVYSVVISGLFLLIWFGSNKVKGYVEAKLLPSVCLVISERIQREFRIGKVRRISPLSLTLESCSFGPHKEEFSCGEVPIVKLRLHPFASLMRGKVVVDAVLSHPSVLIVQKKDYSWLGIPESEGGIKRNLSAEDGIDHRTRTRRLAQEEAAVRSERERDDAAREAAKIGYFVSESSHGNDLKETQVHSRGGTDSNSFFCMSEGKHDHHCVDKGVDYDMKHAELEKPFRVKFPGSSGLKFLSRVIKRYGKHKFKRKSRRSDISESGVAVKKRILECSASAARAYFCGRSQGKSEEPSSSSGCFHSMNLDDKHLIKNDTDKIPELVASGDDDKEIGAENEVDVLQPEGLTETLPVMLDSVHFRGATVMLLAYGDNEVREMENVNGHVKFRNHYNHINIHLNGNCKPWRSDVIGKDGGWLSANVFIDITEQKWHTNLKIDNLYVPLFERILEIPITWSKGRASGELHLCVSKGETFPNIHGQLDVTGLNFQLLDAPSCFSNVSASLCFRVQRIFLHNACGWFGSIPLEASGDFGIHPEEGELHIKCEVPGVEVNALMKTFNMKSFSFPLAGSVTALFNCQGPLDNPIFVGTGMVSRTSPSLHVDTPATVASEALDKSKEAGAVAAFDRVPFSYVSANFSFNTDNCVADLYGIRVSLVDGGEIQGAGTAWICPEGEEDETAIDVNFSGNLAIENILLRYIPNYRSLMPLKLGVIHGETKILGSLSRPTLDVKWTAPSAEGSFSDARGDIIISHDFISVHSASAAFDLYMKAQTSSSDDFSLTSEELCAPKVIPFTVYKIEFDLNMHEFEFFRLVTTYTLDFPRPLLLKASGRVKFQGKLLEKGSSDCLVGEVSICGLKLNQLLLAPQLSGFLRVSPECIKLDASGRPDESLEVEFIRPLQSGDEDDLKSRQLLFISFQKGKLGASVNIQQSLSASLEVQNFPLDELELASLRGVIQRAEIKANLQKRRGHGILSVLQPKFIGVLGKSLDVAARWSGDVITIEKVIFEQSNSHYELQGEYVLPGNRSCNAVDGKEDSFLKSRVSRNLSRVISSMGRWRMKLDVCRAEIAEILPLARLLFRSMDPTVLSRSKDFFIQSLQSVGLYSTSTQQLLELIRGHHDPSHDIVLEDSNLPDLFDLRGRWHGSVGASGGGDGDTLAKFDIHGEDWELGDYKTQCILAVGAYSNDNGLRVKNFLIQNDNATIHADGNLLGPKTNLHFAVLNFPVSLVPNVVQLVESIATDSVHSLEPLLAPVKGILHMEGDLRGSLTKPECDVQIRLLDGSVGGIDLERAEVVASLTSTSRFLFNAKFKPIIQNGHVLIHGNIPVTFAQNNTLQQDEEIDQSEATLFPDWVRRKNRGTAADADNKNTFRDRNEEVWNSQLAKSLEGLYSQNLDVGEVRVNADIKDGGMMLITALSPYADWLHGNADVMLEVSGTVDQPLLNGYAIFHRASISSPVFRKPLTNFGGTVQIKSNRLSIISLESRLSGKGKLLVKGNLPLRTSEETLDDKIELKCDVLEVHAENILSGQVDSQLQITGSILQPVISGNIKLSRGEVYLPHDGGSGDSQALASNQSALSAGSNSQEFASRYISQYFGSRSASLTTKTSQSSSSANVDKDMEKTLIKPSIETRLSDLKLVLGPELKIVYPLILIFTVSGELELNGLAHPKYITPRGILVFENGEVELLATQVRLKREHLNIAKFEPEYGLDPMLDLALVGSQFKYKIQGRASNWQGSLEKDTLSPIEDVRKFESQLAGSNLKGNDQFALEKLATATLEKLMPRIEGNGQFGNAKWRIMYSPQIPSLVSSGATVDTSKFLDGNLTFGTDFEVQLGKRLQARVTRQMKDSEMATQWTLSYQLTNRLHLRLQSSPLLCIFFEYYATTEV >CAK8540000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535039009:535040096:-1 gene:gene-LATHSAT_LOCUS9043 transcript:rna-LATHSAT_LOCUS9043 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTTRFTNTIPLSRKFEDSEKATSKSKAQQQQDRCALMDMTNDSPIVGLANGGNLDTPSAKQKASRVKNTPGSGEALLRGQAKTLMQMVEEEALDVSGNSTDGLPSITSSIVHQQQSQVVNMEEDEKYEIAKSLDFSEKSQVSEECNSEVSYQDVTQGSCVGSCSVEDDASIWSMQVNESNNDEDDVEEEIAEEEDDEEDYYDVDDEEEYEGDLGLDELCEGLNKVNVNDKVVSKFAGKHTRFVYNSDDEIVKKEDVESVDGGDDESNVLCLKGLPTPKGKHVRFSEEEEEGKSDV >CAK8567204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494231022:494233208:-1 gene:gene-LATHSAT_LOCUS20275 transcript:rna-LATHSAT_LOCUS20275 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSYFPLRWESTRQQWWYASPIDLAASNGHYDLVIELLHLDTNLLIKLTSLQRIRRLETLWDDDESQFHGVAKCRSHVARKLMLECGTGKRNSLVKAGYGGWLLYTAASAGDVGFVTELLRREASLVFGEGEYGVTDILYAAARSKDCEVFKIVFDYATLKNSGREFDLDEVFKIDMMNRAVHAAARGGNWEILNEFLESVHDILAYRDSHGCTVLHTAAATGQAEVVRKLLESFDIIDLTDDHGNTALHVACYRGYLPVVEILIDASPSLALLVNHHGDTLLHLAVAGFKSPGFCRLDRHFELVQKLVSEKIVKIRDIVNLKNNDGRTALHVSVIDNIQVEVVELLMSLPSIDLNICDVDGLTPVDLLKQRSQSTSSDFLIKRLISAGGMNRELISNTSESSCSTVQTLQKIQVSVNGANPGTLFRIPDAEIFLYTGIENASDANYVQTSPESYSCSSELSNNSDGANSPYNHKSSSVNNTARRLKFLLRWRRRKDRKAAFSDLEDDDSLDPFSSSRNLDDFSIPLRQRYSQSCPLRTHSIRSFLPSPSSKMNFTTGLIQGVIQLNPPHVTLPLHSTPNLFQELSVVSLSSINKQKNLDIIGPSCSNRSIKDNSTLQLNYKQDSFNKKLMNPYLSFGAQGLMNIEDSNICESNQSYKCFSSFVA >CAK8530263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10765714:10767296:1 gene:gene-LATHSAT_LOCUS142 transcript:rna-LATHSAT_LOCUS142-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKGQLQIGFYSNTCPLAESIVHDVVREAALSDPTLPAGLLRLHFHDCFVEGCDGSILIDNVKEPEKDASVHLGLRGFDLIEKAKVKLEESCPGVVSCADIVALAAREAIFMTNGPWYKVPTGRRDGFVSEKSLADDMPEVSDSIQQLKTKFLNKGLTDKDLVLLSAAHTIGTTACFFMTKRLYNFSSSGGGSDPAINPRFLPELQAKCPQAGDVNDRLAMDITTETRFDKNILNNIRQGFAVLESDARLIDDARTKAVIESYLGPFTPIFGPSFEYDFVQSMVKMGQIGVKTGSDGNIRRVCSKLN >CAK8530262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:10765672:10767296:1 gene:gene-LATHSAT_LOCUS142 transcript:rna-LATHSAT_LOCUS142 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVFLCLLFSFLMDGSKGQLQIGFYSNTCPLAESIVHDVVREAALSDPTLPAGLLRLHFHDCFVEGCDGSILIDNVKEPEKDASVHLGLRGFDLIEKAKVKLEESCPGVVSCADIVALAAREAIFMTNGPWYKVPTGRRDGFVSEKSLADDMPEVSDSIQQLKTKFLNKGLTDKDLVLLSAAHTIGTTACFFMTKRLYNFSSSGGGSDPAINPRFLPELQAKCPQAGDVNDRLAMDITTETRFDKNILNNIRQGFAVLESDARLIDDARTKAVIESYLGPFTPIFGPSFEYDFVQSMVKMGQIGVKTGSDGNIRRVCSKLN >CAK8534719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:758588259:758588642:-1 gene:gene-LATHSAT_LOCUS4239 transcript:rna-LATHSAT_LOCUS4239 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKYKEYNPFIMAHNVRQVYYIPYLSIQPSKRGWCVLIKSNPVGYIKFDGVMEDGVAYQDDEISPVNEVIEIEEITSLGDTVVVGQQVDTTILLSANHVDEEEEESGDSEDNNIISDEDNDDYEDE >CAK8534151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:699465492:699470654:1 gene:gene-LATHSAT_LOCUS3718 transcript:rna-LATHSAT_LOCUS3718 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKRESLPPAISSDDYPSKRRRHSMFEISASNHGVSSSSRKWVFSSENCSDCSDTIVVVSYNILGVENASNHMDLYSNIPRRFLEWGRRKRLILDEINSYNASILCFQEVDHFDDLDDLFQNNGFKSAYKARTGEANDGCAIFWKDKLFSLLYQEDIEFQKFGLRNNVAQLCVLEVNNDSPESDTSKLSEEQSTRKNRFVIGNIHVLFNPNRGDIKLGQVRLLIDKAYKLSQEWGNIPVILAGDLNSVPQSAIYKFLSSSKLDVQLHDRRNMSGQLEIRPNHRYFRSKIGDDASISMSVSRQMLYKWSAEELILATGAKGVTRLQHQLKLRSAYSGIPGKLRTRDDIGEPLATSYHSKFMGTVDYIWHSEELIPVRVLETLPIDILRRTRGLPNENWGSDHLAVVCEFAFAKSAESSDSSDLP >CAK8539760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524313617:524317101:-1 gene:gene-LATHSAT_LOCUS8828 transcript:rna-LATHSAT_LOCUS8828 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSKPSPNPTHSRKFNTNANETNSVTVNVTSEPEKNSVNGGNPNETEIGKRSPFFPFYSPSPAHQFFSKMSPAGKFFKRPFPPPSPAKHIKSLLARRHGSVKPNETSIPEGGEEETVVALDKNFGFSRHFRSRYDVGDEVGRGHFGYTCAAKLKKGDLKGQQVAVKVIPKAKMTSAIAIEDVRREVKILKALNGHKNLVRFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYPEEDAKAILRQILNAAAFCHLQGVVHRDLKPENFLFASKDENSELKAIDFGLSDFVKLDERLNDIVGSAYYVAPEVLHRAYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRTVLKADPSFDEPPWPSLSDEARDFVKRLLNKDPRKRMSAAQALSHPWIKNHDDTKVPLDILIFKLMKAYMRSSSLRKAALRALSKTLTVDELIYLKEQFALLEPNKNSTISLENIKTAFTVNATDAMKELRIADFLASLNALQYRRMDFDEFCAATLSVHQHEALDHWEKRARSAFDLFEKDGNKAIVIDELASELGLGPSIPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSRSLAKAQ >CAK8536827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:24835764:24841106:-1 gene:gene-LATHSAT_LOCUS6157 transcript:rna-LATHSAT_LOCUS6157 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVEAPQRWEGYVDWRNKPALRGRHGGMLAASFVLVVEILENLAFLANASNLVLYLKEYMHMSPSKSANNVTNFMGTSFLLALLGGFLSDSFLTTYHVYLISALIELLGLIILTIQAHSPSLKPTKCNGSTQCEEVNGGKSALLFCGLYLVALGVGGIKGSLPVHGGEQFDESTPNGRKQRSTFFNYFVFCLSCGALIAVTFVVWVEDNKGWEWGFAISTVTMFLSIPVFLAGSTTYRNKVPSGSPLTTILKVLIAASLNTFTNKNSSNAVANMSSSPTNPTTQTKQSQQQIQTTKPTTTTSQTPTQTLKFLNKAFTNKPIHTSLTCTLQQLEDVKIILKILPIFACTIMLNSCLAQLSTFSVEQAATMDTKLFSTFKVPPASLPVFPVLFLMILAPIYDHIIIPYARKVTKSETGITHLQRIGIGLILSILAMAIAAIVEIKRRKISSHFNNLATKKSPLPISFLWIGFQYLFLGSADLFTLAGLLEFFFSEAPSSMRSLATSLSWASLAMGYYLSSVIVSIVNSVTGNGDHKPWLSGSNLDNYHLERFYWLMCVLSGLNFLHYMYWAARYKYRGRGNGGE >CAK8541063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:55015594:55017243:1 gene:gene-LATHSAT_LOCUS10014 transcript:rna-LATHSAT_LOCUS10014 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFLLVFTIFLSSLIYCIIFRPLLNRHKKLPPSPLFKLPIIGHMHMLSPILHKSFDSLSHKYGPLFSLNLGSVVVVVASTPYLAKQILQIKEHAFHSRVHSAAIKRLTYDSSLAFAPYGDYWRFIKKLATSELLGARSVNNFQQLRLLETHTLLKLFAEKAKNYETVNVAQELLKLTNKVISKMMLGEAEEARDVVRDVTQIFGEFNASDFIWWLKKLDLQGFGKRIEKLFIKVDTFVERIISKREEMRNNKEKVKNKGDEGVAVRDFLDILLDCAEDENCEVKIERIHLKGLVMDLFTAGIDTTAIATEWVLAELMNNPSLLEKAREEIEKVVGKNRLVDESDCINLPYLQAIMKETFRLHPPVPMITRRCVTTCNMENYVIPENSLVIVNNWSIGRNPEYWDRPLEFNPERFLGNSNEVVDVKGLSFQILPFGSGRRMCPSVGYAMQVLPALFGAIIQCFDFHVVGCNGEIMKGDDIVIDGNERPGLTAPRANDLVCVPVERIGYGGLLQNLGC >CAK8578978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665775944:665776927:-1 gene:gene-LATHSAT_LOCUS30955 transcript:rna-LATHSAT_LOCUS30955 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQFYRSWMYDRTYPGRRGLKPYFKEGVVAFLTYAFAQECCRSEGRVRYPCLKCGCRNIISDPNEVKRHLKKDGFRPNYWVWYSNGEILPEMNREASSSQTRIGVEIGRETSSSQSHLQDHEQFNIIDDMVGDALGVNVTYDEPQDFDADELPNEEAQKFYQLLKEINIPLFEGSSDSMLSMCVRLLAAKSNWNIPDQCLEFFAKMMLDVTPVKENMPKSYYDAKRMVSKLGLKVKKIYCCIGGCMLFYDNEFGTNDWGLEECKFCQSPRYLVHSKGVDQTQKRVAAKSMFYLPIIPRLQRMFASIHSASQMTWHHTNSNSSGMM >CAK8538980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500869518:500871821:1 gene:gene-LATHSAT_LOCUS8123 transcript:rna-LATHSAT_LOCUS8123 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKVDFSLKVISPNIGANNITGNGGITTESDLVEINLFLFVKIVRARNLFVHGHYNFAPYVEVKAGDFQGTTLCFRGNSDPGWNQVFALDQDKCETEEISSVEIVLKDDALRQYEYMGRISLDISDISTRFPTDSALAPQWHVLEDQCGRRCMGELMMSCWIGTQADESFHEAWHLQVGVVQIGSHNIVNTCSRIYIMPRIWCLRFNLIQVEGLMLEGDDDPSETSDIFIHATLGSWTFNTKLAKSNNGDAMWNEKDILFAVAEPLNQILVLSVEQGTFARHKRLGKCGFPVKNAAMIFDGSSPSTETIDVIQNNEFVGKLSMRICLDGGYHMFDEDPRYSSDVNPTCDLLWRPTIGVFELGILNATGLPAMKPHGRTDAYCVAKYGSKWVRSRTVVNSLSPKWNEQYSWGVYDPCTFVTISVFDNSQLHDGNIATEAMDIRIGRVRISLSEMETGRIYIYSYPLVELQPSGPKKMGELQLAFKFTCTDMLNVYKMYTLPMLPAQHTSDPLSPIQFYALRKQTIMLVSSHMSKAEPPLRREVVDYMLDSREVVWSMRRCRADFKRINAFVSWFVGICTQFDEICKWKNPILTIIICLVLYILIIHPRHLLPAMFSCLILHTLLQLRKKPKTLSHLNLHLSHVHTSSLDELEEEFDPMPSKFDDIILRHRYDRLRVAAGRHVMQMGEFATRIERLQSLLSWHDSIATMFVMILCLIAGIVTLAVPFKAIVFVWLLHLLRHPILRSPFPAFYENWLRRMPSKLDSMI >CAK8541143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:73123477:73131179:1 gene:gene-LATHSAT_LOCUS10087 transcript:rna-LATHSAT_LOCUS10087-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRLSGGYCGNAVETGCVSDGSGTSGRMDTDNTVSEEDNRISRRKCIGFDSGLRGDFGVPIQVVPLSKLSALQRKDLVNQFRSDLEQLHLFQKRNGVILPSSSDNMINRNNGLNRPQKENSRKPSISGSVSVNKLKSLDQSQKPRGGSRGSSGKFETPGRTSLPGTANAALMKDCELLLKRLMNHQHGWVFNAPVDVVKLNLPDYFSVIKHPMDLGTVQSKIATGSYTDPLEFAADVRLTFSNAMKYNPRGNDVHIMADALNKYFELRWKSIQKKIPRKGSLPLPMKRETCEDVKTTRPAHPSKKRKIASLPAQPEIVPLPPAQPEVIPPVQLQVIPHAKQIMSDQEKLNIGRELESLQGEIPAHILDFLKEHNSNDKECEDEIEIDIEDLSDDTLFKLRKLLDDSLLEKQKNKVKVEVCEIELFNDSGPSNSSLQAFKGDDPADEEVDICGIDSPVSSHPPVVIEKDTTYQTSKCSSSGSSDTDSSGSSDSESDDASTRPDDLLKVQENMGTASQMDLETTSTHTSAIIQSVNGLDKLEDKSQQKPNSCDSHCFQDGECGPTERHVSPDKLYRAALLKSRFADTILKAREKTLTQGVKGDPEKLRRDKEKLEMERRKEKARLQAEAKAAEEARKRAEEAAAADAKRKRELEREAARQALLQMEKTVEINEHSHFLEDLEMLRAVPGTQLPSCIDVTSPDQSEDGMGSFTFGGCNPLEQLGLYMKVDEEEEEGDPLCVPKTVNDVEEGEID >CAK8541146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:73123477:73131179:1 gene:gene-LATHSAT_LOCUS10087 transcript:rna-LATHSAT_LOCUS10087 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRLSGGYCGNAVETGCVSDGSGTSGRMDTDNTVSEEDNRISRRKCIGFDSGLRGDFGVPIQVVPLSKLSALQRKDLVNQFRSDLEQLHLFQKRNGVILPSSSDNMINRNNGLNRPQKENSRKPSISGSVPGNALKPLIENSRKPSMSSSASGNALKPLIETSRKPSKSGSVSVNKLKSLDQSQKPRGGSRGSSGKFETPGRTSLPGTANAALMKDCELLLKRLMNHQHGWVFNAPVDVVKLNLPDYFSVIKHPMDLGTVQSKIATGSYTDPLEFAADVRLTFSNAMKYNPRGNDVHIMADALNKYFELRWKSIQKKIPRKGSLPLPMKRETCEDVKTTRPAHPSKKRKIASLPAQPEIVPLPPAQPEVIPPVQLQVIPHAKQIMSDQEKLNIGRELESLQGEIPAHILDFLKEHNSNDKECEDEIEIDIEDLSDDTLFKLRKLLDDSLLEKQKNKVKVEVCEIELFNDSGPSNSSLQAFKGDDPADEEVDICGIDSPVSSHPPVVIEKDTTYQTSKCSSSGSSDTDSSGSSDSESDDASTRPDDLLKVQENMGTASQMDLETTSTHTSAIIQSVNGLDKLEDKSQQKPNSCDSHCFQDGECGPTERHVSPDKLYRAALLKSRFADTILKAREKTLTQGVKGDPEKLRRDKEKLEMERRKEKARLQAEAKAAEEARKRAEEAAAADAKRKRELEREAARQALLQMEKTVEINEHSHFLEDLEMLRAVPGTQLPSCIDVTSPDQSEDGMGSFTFGGCNPLEQLGLYMKVDEEEEEGDPLCVPKTVNDVEEGEID >CAK8541145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:73123477:73131179:1 gene:gene-LATHSAT_LOCUS10087 transcript:rna-LATHSAT_LOCUS10087-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRLSGGYCGNAVETGCVSDGSGTSGRMDTDNTVSEEDNRISRRKCIGFDSGLRGDFGVPIQVVPLSKLSALQRKDLVNQFRSDLEQLHLFQKRNGVILPSSSDNMINRNNGLNRPQKENSRKPSISGSVPGNALKPLIENSRKPSMSSSASGNALKPLIETSRKPSKSGSVSVNKLKSLDQSQKPRGGSRGSSGKFETPGRTSLPGTANAALMKDCELLLKRLMNHQHGWVFNAPVDVVKLNLPDYFSVIKHPMDLGTVQSKIATGSYTDPLEFAADVRLTFSNAMKYNPRGNDVHIMADALNKYFELRWKSIQKKIPRKGSLPLPMKRETCEDVKTTRPAHPSKKRKIASLPAQPEIVPLPPAQPEVIPPVQLQVIPHAKQIMSDQEKLNIGRELESLQGEIPAHILDFLKEHNSNDKECEDEIEIDIEDLSDDTLFKLRKLLDDSLLEKQKNKVKVEVCEIELFNDSGPSNSSLQAFKGDDPADEEVDICGIDSPVSSHPPVVIEKDTTYQTSKCSSSGSSDTDSSGSSDSESDDASTRPDDLLKVQENMGTASQMDLETTSTHTSAIILNGLDKLEDKSQQKPNSCDSHCFQDGECGPTERHVSPDKLYRAALLKSRFADTILKAREKTLTQGVKGDPEKLRRDKEKLEMERRKEKARLQAEAKAAEEARKRAEEAAAADAKRKRELEREAARQALLQMEKTVEINEHSHFLEDLEMLRAVPGTQLPSCIDVTSPDQSEDGMGSFTFGGCNPLEQLGLYMKVDEEEEEGDPLCVPKTVNDVEEGEID >CAK8541144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:73123477:73131179:1 gene:gene-LATHSAT_LOCUS10087 transcript:rna-LATHSAT_LOCUS10087-4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRLSGGYCGNAVETGCVSDGSGTSGRMDTDNTVSEEDNRISRRKCIGFDSGLRGDFGVPIQVVPLSKLSALQRKDLVNQFRSDLEQLHLFQKRNGVILPSSSDNMINRNNGLNRPQKENSRKPSISGSVSVNKLKSLDQSQKPRGGSRGSSGKFETPGRTSLPGTANAALMKDCELLLKRLMNHQHGWVFNAPVDVVKLNLPDYFSVIKHPMDLGTVQSKIATGSYTDPLEFAADVRLTFSNAMKYNPRGNDVHIMADALNKYFELRWKSIQKKIPRKGSLPLPMKRETCEDVKTTRPAHPSKKRKIASLPAQPEIVPLPPAQPEVIPPVQLQVIPHAKQIMSDQEKLNIGRELESLQGEIPAHILDFLKEHNSNDKECEDEIEIDIEDLSDDTLFKLRKLLDDSLLEKQKNKVKVEVCEIELFNDSGPSNSSLQAFKGDDPADEEVDICGIDSPVSSHPPVVIEKDTTYQTSKCSSSGSSDTDSSGSSDSESDDASTRPDDLLKVQENMGTASQMDLETTSTHTSAIILNGLDKLEDKSQQKPNSCDSHCFQDGECGPTERHVSPDKLYRAALLKSRFADTILKAREKTLTQGVKGDPEKLRRDKEKLEMERRKEKARLQAEAKAAEEARKRAEEAAAADAKRKRELEREAARQALLQMEKTVEINEHSHFLEDLEMLRAVPGTQLPSCIDVTSPDQSEDGMGSFTFGGCNPLEQLGLYMKVDEEEEEGDPLCVPKTVNDVEEGEID >CAK8571420.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404332418:404334351:1 gene:gene-LATHSAT_LOCUS24086 transcript:rna-LATHSAT_LOCUS24086-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNDEKNSPKLDASVPVPMECLLMNNTVPPFLSKTFDLVDEPCLNPIISWSCNGASFVVWDPLEFARIILPRHFKHNNFSSFVRQLNTYGFRKIDTDKWEFFNEGFQKGKKHLLKNIQRRRPSQSQQVGNYVGSSSNAGKFGAEVEIERLKKERSVLMQEVVDLQQQQRKTARHAGNVNQRLQSAEQRQKQMVSFLAKLFQNPDFLARLKQKKEQKDIESPRVRRKFVKQHQNEELSPVSIEYAPRHLSLDLAKETSAETIIGEGSSSFGLDEQFFKGKNVISPNEEAITENFEGFQEFQPLGTESIIKQEDIWGPSCGNEMWGNPMNFGVITGEMSETDMWDIGFGSLGIDKWPDDESPIDEIPKDY >CAK8571419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:404332247:404334351:1 gene:gene-LATHSAT_LOCUS24086 transcript:rna-LATHSAT_LOCUS24086 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQFSLCNLQHHHGPSSLPPPSNYYYHIHHLPPPFHPFNIHLHHFTFFTTNVFSATMNSNDEKNSPKLDASVPVPMECLLMNNTVPPFLSKTFDLVDEPCLNPIISWSCNGASFVVWDPLEFARIILPRHFKHNNFSSFVRQLNTYGFRKIDTDKWEFFNEGFQKGKKHLLKNIQRRRPSQSQQVGNYVGSSSNAGKFGAEVEIERLKKERSVLMQEVVDLQQQQRKTARHAGNVNQRLQSAEQRQKQMVSFLAKLFQNPDFLARLKQKKEQKDIESPRVRRKFVKQHQNEELSPVSIEYAPRHLSLDLAKETSAETIIGEGSSSFGLDEQFFKGKNVISPNEEAITENFEGFQEFQPLGTESIIKQEDIWGPSCGNEMWGNPMNFGVITGEMSETDMWDIGFGSLGIDKWPDDESPIDEIPKDY >CAK8572292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527143770:527146514:-1 gene:gene-LATHSAT_LOCUS24874 transcript:rna-LATHSAT_LOCUS24874 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLHETVKVVETMVTRKVDLRSDTVTKPTESMRAAMASAEVDDDVLGYDPTALLLEIEMAKLMGKEAALFVPSGTMGNLIAVLVHCEVRGSEVILGDTCHINIYENGGISTIGGVHSRQLKNNDDGTIDIDLIESSIRDPRGELLFPSTRLICLENSHANSGGRCLTVEYTDRVGEVAKKHGVMLHIDGARLFNASVALGVPMDRLVEAADSVSVCLSKGIGAPVGSVIVGSKEFIAKAKRLRKTLGGGMRQIGILCAAALVALKENIGKLESDHKKTRVLADGLNEIKGIRINPGSVETNIIFIHIADGSRTTTEKIFKYLEERGIHVMHDKPSRLRVVLHHQISASDVQYTLSCFQQAVQIENGN >CAK8572293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527143770:527146247:-1 gene:gene-LATHSAT_LOCUS24874 transcript:rna-LATHSAT_LOCUS24874-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLVETMVTRKVDLRSDTVTKPTESMRAAMASAEVDDDVLGYDPTALLLEIEMAKLMGKEAALFVPSGTMGNLIAVLVHCEVRGSEVILGDTCHINIYENGGISTIGGVHSRQLKNNDDGTIDIDLIESSIRDPRGELLFPSTRLICLENSHANSGGRCLTVEYTDRVGEVAKKHGVMLHIDGARLFNASVALGVPMDRLVEAADSVSVCLSKGIGAPVGSVIVGSKEFIAKAKRLRKTLGGGMRQIGILCAAALVALKENIGKLESDHKKTRVLADGLNEIKGIRINPGSVETNIIFIHIADGSRTTTEKIFKYLEERGIHVMHDKPSRLRVVLHHQISASDVQYTLSCFQQAVQIENGN >CAK8572294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527143770:527146049:-1 gene:gene-LATHSAT_LOCUS24874 transcript:rna-LATHSAT_LOCUS24874-3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRKVDLRSDTVTKPTESMRAAMASAEVDDDVLGYDPTALLLEIEMAKLMGKEAALFVPSGTMGNLIAVLVHCEVRGSEVILGDTCHINIYENGGISTIGGVHSRQLKNNDDGTIDIDLIESSIRDPRGELLFPSTRLICLENSHANSGGRCLTVEYTDRVGEVAKKHGVMLHIDGARLFNASVALGVPMDRLVEAADSVSVCLSKGIGAPVGSVIVGSKEFIAKAKRLRKTLGGGMRQIGILCAAALVALKENIGKLESDHKKTRVLADGLNEIKGIRINPGSVETNIIFIHIADGSRTTTEKIFKYLEERGIHVMHDKPSRLRVVLHHQISASDVQYTLSCFQQAVQIENGN >CAK8534926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:790940499:790940987:-1 gene:gene-LATHSAT_LOCUS4423 transcript:rna-LATHSAT_LOCUS4423 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSEKCGVSVAISLADMHFCESNKIQFKYFQLEPAPQFKDQPRSPYRLFMESFSKGKEMESNIEVERLGFQMWQTMSNQEKLPFVSHAKLLDYGHRQALKRELVRL >CAK8572625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551796530:551799237:-1 gene:gene-LATHSAT_LOCUS25168 transcript:rna-LATHSAT_LOCUS25168 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKQEKPRRKNYRKRNPTEEHDQLPQSQSNNDSDDEHERRLALEEIKLLQKQRERKSGIPANLTLQQSNPGLSGGLAAKAVEKNDGGGGDGGDKDDLVLQDTFAQETAVMDEDPNMVKYIEQELAKKRGRNIDEEDQVENELKRAEDELYTIPDHLKVKKRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKLLQEKRLMVGRAKSDFSIPSSYSADYFQRGRDYAEKLRREHPELYKERSLQDDSSASKQNDTGSDAAGAIQRQAATDQFMLERFKKRERHRVRR >CAK8579394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695532544:695533038:1 gene:gene-LATHSAT_LOCUS31343 transcript:rna-LATHSAT_LOCUS31343 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTLINSVLANLAIYYLTFFKAPHKVVKDIIAIQRRILWAGNSSKTFIPWVSWNSVCKSKEDGGLSIKHVGRFNTALLAKWLWRFQTGGNEIWRKTLTNRYGNLCIKTQTYLDVDSSKTDSLWMKDIMTNASLNSHANFCKFTACSVDEGNDVAFWQSVWI >CAK8578765.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:652051270:652052034:-1 gene:gene-LATHSAT_LOCUS30751 transcript:rna-LATHSAT_LOCUS30751 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLYHCNDSPNPSPQQQPSNQTDHYINNIHTLHMALIAIACFVTMVMVLLTFTMFLRRHNHEVNRDRRNMPILFDVHGYRDSPTSDNVNYDDDDDERILDHPVWFIRTIGLQQSVIDSITVLKYRKNEGVVDGTECSVCLGEFQENESLRILPKCGHAFHIRCIDTWLRSHQNCPLCRAPVIDAAAAEVSVPVTVTDSDQVGSNVSPESLNQGEIQNFDNVGEFQVVRIDNDDDRDSVGVSSSERSYKNNTA >CAK8532398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:256270630:256272032:1 gene:gene-LATHSAT_LOCUS2108 transcript:rna-LATHSAT_LOCUS2108 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDTFYISHGSPTLSIDESIEARKFLQSWKKDVFGEKPNSILIISGHWDTTVPTVNVIQTTNDTIYDFYGFPKPMYQLKYPAPGAPHLAKRVKELLINSGVNRVEEDKKRGLDHGAWVPLMLMYPEADIPVCQLSVQSDMDGTHHYSLGKALAPLKDEGVLIIGSGSAVHNLGTLNPRGGVAPWALEFDNWLKDALLDGRYEDVNHYEKKAPHARKAHPHPDHFYPLHVAIGAAGENSKAKLIHRSIEMGSLSYASYQFTSDSS >CAK8530974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67369748:67373249:1 gene:gene-LATHSAT_LOCUS797 transcript:rna-LATHSAT_LOCUS797-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNKDTSNCMDDGSGSSESSESGGYGEGTSYLVHEIAQITKLRSSPNENLSHVVPGRMRRLPASTVRMLVGREGNYSGRGKFSAADGCHMLSRYLPTKGPWIVDRMGSRAYVSQFSDDGSLFIAGFQGSHIRIYDVEKDWKVKKDISAINLRWTITDTSLSPDRQYLVYASMSPIIHIVNAGSATTESVANVTEIHYGLDFDSNNDGVEFGIFSIKFSTDGRELVAGTSDSSICVYDLGADKLSLRIPAHLSDVNTVCFADESGHLIYSGSDDSFCKVWDRRCFVSKGQPAGVLMGHLEGITFINSRGDGRYLISNGKDQTTKLWDIRKMSSNAMNLGLGDDEWDYRWMDYPRYARNLRHPQDQSLATYQGHSVLRTLVRCYFSPSYSTGQKYIYTGSSDASVYIYDLVSGEQVAKLDHHEAPVRDCSWHPYYPMLISSAWDGDIVRWEFPGNGEPTAPPPTRRSSGVRIRGMYYM >CAK8530975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67369748:67373249:1 gene:gene-LATHSAT_LOCUS797 transcript:rna-LATHSAT_LOCUS797 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNKDTSNCMDDGSGSSESSESGGYGEGTSYLVHEIAQITKLRSSPNENLSHVVPGRMRRLPASTVRMLVGREGNYSGRGKFSAADGCHMLSRYLPTKGPWIVDRMGSRAYVSQFSDDGSLFIAGFQGSHIRIYDVEKDWKVKKDISAINLRWTITDTSLSPDRQYLVYASMSPIIHIVNAGSATTESVANVTEIHYGLDFDSNNDGVEFGIFSIKFSTDGRELVAGTSDSSICVYDLGADKLSLRIPAHLSDVNTVCFADESGHLIYSGSDDSFCKVWDRRCFVSKGQPAGVLMGHLEGITFINSRGDGRYLISNGKDQTTKLWDIRKMSSNAMNSLGLGDDEWDYRWMDYPRYARNLRHPQDQSLATYQGHSVLRTLVRCYFSPSYSTGQKYIYTGSSDASVYIYDLVSGEQVAKLDHHEAPVRDCSWHPYYPMLISSAWDGDIVRWEFPGNGEPTAPPPTRRSSGVRIRGMYYM >CAK8540951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:34922653:34929625:1 gene:gene-LATHSAT_LOCUS9905 transcript:rna-LATHSAT_LOCUS9905 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVLTSHFNHFRTTANSFLSQYEPLVLILVPLFTLFIANVVRSFFEIFREKGVKATLLGFFMNFIKLIPGVKSYIDAEKQKVVDKLQSDGKSKRDGWKTELPSTGLGTSVLENMKEEKKNDAVWQGKCSGTVYIGGSESSEHFSVINEACSMFAHTNPLHLDVFQSVVRFEAEVVAMTAALLGSKEKASGGQICGNMTSGGTESILLAVKSSRDYMKSKKGITRPEMIIPESGHSAYDKAAQYFNIKLWRVPVNKNFQADVKAIRRYINKNTILIVGSSPGFPHGIIDPIEELGQLASSFGICFHVDLCLGGFVLPFARELGYDIPPCDFSVKGVTSISVDVHKYGLAPKGTSVVLYRNHEIRKNQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMMSLGKEGYLKHTKAIMEGSKRLQKGIEEISELFIIGRPDMTIVAFGSKVLDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHVPIVDDFIRDLKESVETVKQNPGPISGGLAPIYGAAGKMPDRGTVQELLVDYMDGTC >CAK8579759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721270040:721275468:-1 gene:gene-LATHSAT_LOCUS31679 transcript:rna-LATHSAT_LOCUS31679 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRSMRSQSKLIAFVPTMGYLHQGHLSLITEAHKHANVVVVSIYVNPGQFSPNEDLSTYPSDFEGDVQKLLSVSGGVEVVFNPKNLYDYGESDGGDGGDGGGGGGEVVSCVEKSGLGHESWVRVEKLEKGLCGKSRPVFFRGVATIVAKLFNIVEPDVAVFGKKDYQQWKIIQRMVRDLDFSIKVIGCEITRENDGLAMSSRNVHLSSEEREKALSINKSLSKAKSAAEDGQIQCEKLRNLVIQCITDAGGRIDYAEIVDQQSLEKVELIKSPVVFCVAALFGKVVISVLMKLVGGCPSLADQLNVDAFL >CAK8579760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721270555:721275468:-1 gene:gene-LATHSAT_LOCUS31679 transcript:rna-LATHSAT_LOCUS31679-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRSMRSQSKLIAFVPTMGYLHQGHLSLITEAHKHANVVVVSIYVNPGQFSPNEDLSTYPSDFEGDVQKLLSVSGGVEVVFNPKNLYDYGESDGGDGGDGGGGGGEVVSCVEKSGLGHESWVRVEKLEKGLCGKSRPVFFRGVATIVAKLFNIVEPDVAVFGKKDYQQWKIIQRMVRDLDFSIKVIGCEITRENDGLAMSSRNVHLSSEEREKALSINKSLSKAKSAAEDGQIQCEKLRNLVIQCITDAGGRIDYAEIVDQQSLEKVELIKSPVVFCVAALFGKLF >CAK8579761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:721273692:721275468:-1 gene:gene-LATHSAT_LOCUS31679 transcript:rna-LATHSAT_LOCUS31679-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQPLIIRDKNQMRNWSRSMRSQSKLIAFVPTMGYLHQGHLSLITEAHKHANVVVVSIYVNPGQFSPNEDLSTYPSDFEGDVQKLLSVSGGVEVVFNPKNLYDYGESDGGDGGDGGGGGGEVVSCVEKSGLGHESWVRVEKLEKGLCGKSRPVFFRGVATIVAKLFNIVEPDVAVFGKKDYQQWKIIQRMVRDLDFSIKVIGCEITRENDGLAMSSRNVHLSSEEREKALSINKSLSKAKSAAEDGQIQCEKLRNLVIQCITDAGGRIDYAEIVDQQSLEKVELIKSPVVFCVAALFGKVRLIDNMEINL >CAK8560435.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19148620:19150629:1 gene:gene-LATHSAT_LOCUS14104 transcript:rna-LATHSAT_LOCUS14104 gene_biotype:protein_coding transcript_biotype:protein_coding MDKECTKENPASKEYQNGLDSFLDYAYTKGKPRGKEILCPCANCYNSNWFTRNEVRNHLISFGFQKGYDVWVRHGEKKPKLGDLNDNHMNQEEDQIDDIDGLLHERFRDVVQEENDVNVSLNGDAKKFYNIVEEAKQDLYPGCKNFSKLSFTNRLYLLKCLYGWRNVSFDALLELLREAKPSLNIPDTFNKTKGMIRDFGLDYKKIDACPNDCMIYWKDHENDTSCHVYGTPRWNEDVKGNDHVEKNHKSHKVPSKVLRHFPLIPRLQRLFMCSKTASSLRWHDEERSKDGKLRHPEDAEAWKEFDKCHSEFADEPRNIRLGLASDEFNPLRTMNLFYSTWPVVLIPYNFPPWWCMKAEYSMLSLLIPGPLSPGNNIDVYLQPLIEELKVLWDLGVETYDASLSQTFQMRAALLWTISDFPGYAMLSGWSTKGKLACPCCNYNTNSIYLNYSKKVCYMDHRVFLPEDHKYSSNARNFNGSIEDRPPPELLTWEQISNKLKYVNNSFGRLQKKSNNGPWKKKTIFFELPYWKHNNLRHNLDVMHIEKNIFDSIIGTLLDIPGKTKDHKNVRLDLKEMGIRKKLHPNEVDQGKKSVFAKACFSMTTKEKTTFCSVLKNAKIPDGCASNISRCTFHVALLASSGCEMYNAKPGCTSINPSLFIFSLFVSEGD >CAK8574639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4563958:4565877:-1 gene:gene-LATHSAT_LOCUS26966 transcript:rna-LATHSAT_LOCUS26966 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNLPPPFFFSSFSTLTCFPTSSFSLSIPSHPPFNPLPSSSTSLRRLRCRAAMPDPPPPHNSEQPPGNDSTQLQNVGESLSKFQDRVQIFFAVLFWMSLFFWASAWDGRNRPNKGSRFRK >CAK8567869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:552030829:552032219:-1 gene:gene-LATHSAT_LOCUS20886 transcript:rna-LATHSAT_LOCUS20886 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTESLMKILTERGYMFTTSAEREIVRDIKEKLAYVAVDYEQELETAKSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >CAK8534122.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:696839206:696843021:1 gene:gene-LATHSAT_LOCUS3690 transcript:rna-LATHSAT_LOCUS3690 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSATVQDLLQKLASPDMIDYIKCSKLNLLKLTLFQTSLLTIHSVLQDAEHKYFFNPKLKLWMDELSDAISLAEDLIDEIGYYSLKCKVEITQPECDFEFNYKLNLICPRLQHFVQQIDIFGLQSVSTTCVSRTMHSNSNSVVNATVIVGRKHDKEKLMNMLMLHNGMGYTVNNNIGVVAVLGVGGVGKSTLAQLLYDDKQVNEHFDLKTWVRVSEDFDIGRVIKALLASVEPLTFQGQDDLDSLRLRLKEKLVRRRFLFVLDDLWNIGYDEWHELATVLFNGKSGSRVIITTRQERVAEVVHTFPIHSLEPLSHEECWSLISKHAFGSESYGCNECPDLEAIGRKIATKCQGLPLAAKTLGGLLSSKVDAKEWAAVMTNNIWNIPNQNILPSLLMSYQYLPSHLKRCFVYCSIFPKGYPFDRKQLILLWMAEGFLEHSPCEKVLEEVGDDYFDELLSRSFIQQLNGDDKRKKFVMHDLVYDLAVVIGGKICCRLEYGASISKNVHHFSYIQERYDTYKKLEICYKFECLRSFFPLQVQRGWSYLARKVVDELLPTFRSLRVLSLSHYDNFTMLPDSISFLSRLRFLDLSNTKIERLPNSTCKLYYLQTLNLASCPRLTELPEDIGKLINLRHLNISETNITKMPMEIVELGNLQTLTVAFVVGQQGDGLSVRELGKFPNLRGKLSIHNLHNVMDVKEACDANLRRKELIEELELYWGEHTNDSPRENVVLDVLLPSISLKKLSISSYGGTRFPSWLGDSLFSNMVYLCISNCKYCVTLPPLGQLPSLKDLIIEGMSILETIGPEFYGMSGGDSNSPFQPFPSLEHLKLSSMSNWKEWLPFGGSNFPFPRLKTLKLDNCPKLMGHLPCHLSSIEEITIICCDHLLATPPTLHWLSSVKSLYVHSREPTDCSLFESDCACLLQRVVIEQFKMMLSLPKMFTSTTWLQHLELDFIPSLSSFPDDGLPTSLQSLSITNCENLAFLPLETWSKYTSLVTLKLEGSCNALTSFPLNGFPVLQRLSIRGCRNLQCFFISEMYTCYPSTLQSFEVCNCDALRSLPQQMETFTALESLRLNLRLLPCYEGACLPPNLRLVSIDSLRTKTFATGWGLQNLNAVSDLYIGSDGIVNTLLKEQLLPISLLSLTINILKRKSLPENGLQHLSSLTNLTFHSCLRLESLPEDMFPSSLKSLVFVFCPKLKSLPDRLPSSLETLELDVCQRIESLPKELPTYLKQLRISQCRLLTAKYENQKGEHWSNIAHIPNIKINDELTI >CAK8544950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714240919:714242061:1 gene:gene-LATHSAT_LOCUS13589 transcript:rna-LATHSAT_LOCUS13589 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPVRPWFRLTSIRPVPAPAPAPAPAPAPAPESRPIMPLPAFRTASAPPSPHNQTQPQEKKTEQPPSSPPHRILAPNSYSSSSSLPSSPNHKPTQYSSSSSPEKTKTNNSRVPIPTQSPKTIKQNVLTPTHSPKAKFIASPPSPLTLPPSQFKTHEERSKIPMEAEPKAVLVQKTVDVPTPWHNGNNELHQEAHNHSNSSHHHGNKHSGNREFHRETQNHHNSSHHGTKHATVKERETKERKFSDSEDSGMRVITIAGENRGAYMELVQSQKKHEPNYLHKKGNLKSNSIKVDGGESENSGAEEGKIINKKDKNQKGRTKSSFPMAAYMNSNVQCVNNSLLFHASCSHHDPGVRLSLSKKPFGEGYHVKEHVDGRNN >CAK8541440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:170564425:170564919:1 gene:gene-LATHSAT_LOCUS10360 transcript:rna-LATHSAT_LOCUS10360 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAHFVNRNWELEKKVVSFTHCPPPHTGFNLAEKLINLLKEWGIEKKIFTITLDNASNNDVMVNILKKHLLSGLGLIAEGTYFHVRCGTHILNLIVHDGLKVIDGSLDKIRLCVRYVRGSEARKIKFASCLEQLSNVTSKQVRQDLPTRWNSTYLMLETAIG >CAK8571305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:368442977:368445150:-1 gene:gene-LATHSAT_LOCUS23979 transcript:rna-LATHSAT_LOCUS23979 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAFRQLFLVVIVVISSLHSSSKHANAYPLSTQSRWIIDDSTHSRVKLVCGNWAGHLQPMIPEGLDRRPIKELVRELVKNNFNCVRLTYAIYMWTRHGNRIVKDTLNDLDLPEVVDGISKNNPSVLNMTHIEAFDAVVVEFSSQKVNVLLDNHVSEAKWCCDDDDENGFFHDRHFDPQEWIRGLTLAAKHFHKHHAIVAMSLRNELHGPRQNQRDWYEYMSKGALAIHKTNPKVLVVISGLNYDTELQFLKKKPINIDLGKKMVFETHLYSWSGIGTLKLKGIWTKQPLNRICGNIIEGLDERAGFLMTGENAVPLIFTEFGFDQTGSTTEDNRFLTCLQTYLVGRDMDWGLWAFHGGYYLREDKVHLDESFGVLDSTWHKLRYSNFTDKFQLLQRKNQDPTSKNCNEYMMYHPLTGQCAQVNENNEIEIGNCENQKRWSYNGSQIFLSDSIKCLSASGEGLPVSVSDDCKSKNSSWKTASLSKLHLATIDKSGKELCLHKDSNSSVVVSSKCICIHDDSLCLDDPMSQWFQLVAANV >CAK8534636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:751524755:751526114:1 gene:gene-LATHSAT_LOCUS4167 transcript:rna-LATHSAT_LOCUS4167 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTALLIGCNYPGTKAQLNGCVNDVWRMHECLIHYYGFLDEDITVLIDTDSSYTQPTGKNIRSAMSRLLRSAQPGDVLFVHYSGHGTRLPAETGDDDDTTGYDECIVPTDMNLITDDDFREFVAKVPRGCKITIVSDSCHSGGLIELAKEQIGESTHEGAPISSPGFKNFLHRTVEDTLESRDIDEEHGGLHHENQNYVKNRSLPLSTLIDILKERTGEDDVEIGKIRPTLFHIFGEDVSPKVKSFIKFFLNKIHQGDGESGGHSGILGMVKNITQGLLNYKLNDSEEEYEDPDNHTPEEYATSTKRNTIESGILLSGCQTDQTSADASPGGNPKEAYGAFSNAIQAIIAETGGAVTNRQLVLKARKKLHRQGYTQKPGLYCSDDNVSAPFVC >CAK8563628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621105704:621106597:1 gene:gene-LATHSAT_LOCUS17020 transcript:rna-LATHSAT_LOCUS17020 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKSKLDDVAPGNTIPKSQKPIVDDSKVVKENGAETETVQKKAVDNNNVDNENVEKVVADKSDVVAVDSNETTKVQQEDNGLEKKNEAVVVENKTPEIVAADEKDEEKSTTENQKEVEALVNEVEEETKAKKQEETLVKEDEKETKATQQEETLVKEDEKETKATEQEETLVKEVEEETKDTNQEDNLTKKEETKETVKEESVANEEKPNETNASTSEVEEKDVKVDEKEQ >CAK8561657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:341139518:341143476:-1 gene:gene-LATHSAT_LOCUS15227 transcript:rna-LATHSAT_LOCUS15227 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRIEEGSVRLLGSSSGGSSESRWVDGSEVDWDEAPPWSTKSHGSDGREGYGSIRRRLVKKPKRVDSFDVEAMEISATHDQHSKDLSLWPTIALAFQTLGVVYGDMGTSPLYVFADVFSKVPINSDDDILGALSLVMYTITLIPLAKYVFIVLKANDNGEGGTFALYSLICRYADVNLLPNRQQADELISSFKLKLPTPELKRALKIKETLERTSILKNVLLVLVLIGTSMIIGDGILTPAISVMSAISGLQGQINGFGTSEVVSISILVLVALFSIQRFGTAKVGFMFAPVLALWFFSLGSIGLYNMLRYDITVVRALNPAYIYYFFKNNGKTAWSALGGCVLCITGAEAMFADLGHFTVPSIQIAFTFVVFPCLLLAYMGQAAFLMKNPASYSSVFYKSVPGIAEVGVMMVSTTLVTLVMLLIWQTNLFLAFCFLLVFGSVELIYMSSVLSKIFEGGWLPLAFATFFLSVMYTWNYGSVLKYRREVREKISMDLMLDLSSNLGTVRVPGIGLLYNELVQGIPSIFLQFLLSLPALHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDSRKEDHRAFEQLLIESLEKFLRKEALEAALEDNLNNDLDSVSVDTRNSDLTPGTATEELRIPLMHGQNLEETGTSASRDASSTVLPSSYMSLDDDPSLEYELSALREAMDSGFTYLLGHGDVRAKKDSFFVKKLMINYFYAFLRKNCRGGTANMKVPHTNIIQVGMTYMV >CAK8561656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:341139518:341143476:-1 gene:gene-LATHSAT_LOCUS15227 transcript:rna-LATHSAT_LOCUS15227-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRIEEGSVRLLGSSSGGSSESRWVDGSEVDWDEAPPWSTKSHGSDGREGYGSIRRRLVKKPKRVDSFDVEAMEISATHDQHSKDLSLWPTIALAFQTLGVVYGDMGTSPLYVFADVFSKVPINSDDDILGALSLVMYTITLIPLAKYVFIVLKANDNGEGGTFALYSLICRYADVNLLPNRQQADELISSFKLKLPTPELKRALKIKETLERTSILKNVLLVLVLIGTSMIIGDGILTPAISVMSAISGLQGQINGFGTSEVVSISILVLVALFSIQRFGTAKVGFMFAPVLALWFFSLGSIGLYNMLRYDITVVRALNPAYIYYFFKNNGKTAWSALGGCVLCITGAEAMFADLGHFTVPSIQIAFTFVVFPCLLLAYMGQAAFLMKNPASYSSVFYKSVPESLFWPVFVIATLGAMIASQAMISATFSCVKQSMALGCFPRLKIIHTSKKFMGQIYIPVINWFLMIMCIVVVSIFKSTTDIANAYGIAEVGVMMVSTTLVTLVMLLIWQTNLFLAFCFLLVFGSVELIYMSSVLSKIFEGGWLPLAFATFFLSVMYTWNYGSVLKYRREVREKISMDLMLDLSSNLGTVRVPGIGLLYNELVQGIPSIFLQFLLSLPALHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDSRKEDHRAFEQLLIESLEKFLRKEALEAALEDNLNNDLDSVSVDTRNSDLTPGTATEELRIPLMHGQNLEETGTSASRDASSTVLPSSYMSLDDDPSLEYELSALREAMDSGFTYLLGHGDVRAKKDSFFVKKLMINYFYAFLRKNCRGGTANMKVPHTNIIQVGMTYMV >CAK8574904.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14660227:14661252:1 gene:gene-LATHSAT_LOCUS27203 transcript:rna-LATHSAT_LOCUS27203 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRELRYMPRESQWWRDLMSIGDSIEEGSFLSQVSCKIGNRRFVSFWHASWLGHEPLRVIFQNLYNASVMKGGSVMDMGNFVGEVWMWNLHLGNLDLIGNLLEKYTDLLNLLMEVRLRLGVEDCFDCNGCNSRSFIVNSCYFALQNTGAISDLEIDLKTILDLIWKTKVPLKAHIFCWRLALDRLPTRSNLVSRGIISNVHVIVCVLCFTVMEDNNHLFLSCPHTRLIWRKISEWVGIEWIEGDNVGDHLLSWFLKMMRFNSKSTTCNIWITTCWTIWWTCNQIIFNYAFGNTFDIALRSIHTSWWWLDFWSTTKNKCSYYDWYKSPTDFLQLEILVGIF >CAK8565173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:56762529:56763212:1 gene:gene-LATHSAT_LOCUS18408 transcript:rna-LATHSAT_LOCUS18408 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLQNTSRLQRFIREVAIQYNLCNEPWIKYNISIVADKGLKKPLYTSARLKKGEVLYLETHSRRYELCFAGEEMVKAIQATQLHNPDIKNSPNHQLHSTNGNKSDSDNVVVDVFRWSPCKKVLPKRLIAHSCHPPAS >CAK8571073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:275639236:275640189:-1 gene:gene-LATHSAT_LOCUS23767 transcript:rna-LATHSAT_LOCUS23767 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDIFCASQASTAICLSMEQASCSSSNAIQLGGRVIDRHNPIINDSRRSTSKTISAPCSSSQPPIDPKKKSFFTSSKSNGARVYEQKKKKSTEDNVVAEHVNKSSKPVDSILKRRLVKLPADSNSITPHGSTRSLLGDRAMSKVVNKTDEVFGGQDEANPASKPDQVVVLRVSLHCKGCEGKLRKHISKMQGVSSFNIDFAAKKVTVVGNVTPSSVLESISKVKNAQFWPAIGSEINERKV >CAK8532286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:239773723:239774040:1 gene:gene-LATHSAT_LOCUS2011 transcript:rna-LATHSAT_LOCUS2011 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRDNKEIDRSIVMLDENNPREKAFRMARGMLKNNTFQDLKLQLISERSMNDRVYNCPTISEVAALIVGDIDSA >CAK8564453.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:675381733:675383046:-1 gene:gene-LATHSAT_LOCUS17758 transcript:rna-LATHSAT_LOCUS17758 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGDMLRLTLALCVLTCVFLTCSAQTCKNQTFSNNKVFTTCRDLPQLTSYLHWSYDQASGKLEIAFRHGGITSTNTWVAWAINPSNTLDPAMLGAQALVAIPQSNGSPKAYTSSITSTSTSLQEGSISYPTSGLSATYQNNEVTIFATLTLPNGTTSLVHVWQDGFLSSSGSTPQEHSHESSHQNSKEVLDLVSGTSQTVSGIGSRQRRRNTHGVLNAISWGILMPTGAVIARYLKVFKSADPAWFYLHITCQVSAYIIGLSGFGTGLKLGHESEGITYDTHRAIAIVLVTFATLQVFALFLRPNKDHKFRLYWNIYHHVIGYATISLSIVNVFKGFEALGDSVGDRYKNWKHAYIGIIGALGGIAVFLEAYTWMICLKRKQVENKTSNGVNGANGYGPYGSSTL >CAK8577591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576552736:576554603:1 gene:gene-LATHSAT_LOCUS29683 transcript:rna-LATHSAT_LOCUS29683 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKSVQPLQFQTLTGLRQLAETHRYKVWLLDQFGVLHDGKQPYPGAISTLENIAKTGGKMVIISNSSRRSSVTIEKVKSLGFDASLFLGAITSGELTHQCLQRRDDPWFASLGRSCIHFTWSGRGAISLEGLDLQVVENVEEAEFILAHGTEALGDANGNARSMNLEGLEKILELCAAKRIPMVVANPDYVTVEAKNLRVMPGTLADKYEKLGGEVKWMGKPHEIIYNSAMAMAGTDVSDCIAVGDSLHHDIKGANAAGIQSILITGGIHATELGLHGFGEVADSSSVQSLSTKYNAFPSYVLPAFTW >CAK8564496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678164506:678165441:1 gene:gene-LATHSAT_LOCUS17797 transcript:rna-LATHSAT_LOCUS17797 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNNYGTTGISEIQEEQDIINHQHYLGRIDHEIEEQDSIYVAVGKSDTSMEALSWTLNNLATHSTMLYLIHVFPEIKHIPHPLGVGMIARNQVSAEQVEIYMEQERNKRRQLLHKFIQSCSLSKVKVDTILIESDFVAKAILDLIPILHISNLVIGANKSHMRKSRSKKGNGVADQVVQNTPESCKVRIISEGKEVNDEQRMMMMMTPSPKKIATTNSENSDNTKENDSVLCVCFKPKFK >CAK8572566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:547050021:547052198:1 gene:gene-LATHSAT_LOCUS25119 transcript:rna-LATHSAT_LOCUS25119 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSMAIKLQSLTHHTRFLKWVYLSSSTSSIQRISQTIEIVTPNYPSDFDQNINFLRNKLAPDNLIQVLNRTSDLNSAVKIFKWASIQKSFHHTSNTYFEIILKLGLAGNVVEMEDFCHNMVKNRFPGAELALVSLIHTFVKHCRIKEALIVLANMNLGGYKPGVEVFNALLCALVQQESRDFQNALFVYKEMVKAGVLPTVDTLNYLLEILFTIDRIDLALDQFRRMNNKGCSPNSKTFEILVKGLIENGRVDEAVAVLEQMLELECQTDMSFYSCTIPLFCRENKVEEGMKLFRRMKDSDLVPDSFVYEALIQCLCKNLQMDSAVYLINEMIGSGIQPNENAFVHMINCYCKLGKIDEAIVFVEDKQVNETAPFNALLEGCCDAGKILVANVLLETMSGRYVADCLSWNILIRWLCENEETEKAYELLGRMIKFSIVPDYATYSALVIGNSRLRKYDEAMELFRRISARCWSLDIASYSELVDGLCEINHSQHAIEVFHYMSTKQCSLHSFSFNKLIKCVCDSGQVNIAVKLWQLAYYCGISYCNVAQTTLLYELSKLDNAKNLFAFLSQMLIVGGILDKEAYCILIHGMIKQNLVKECVLFFNMMVNDGLIPDPDELFDQLSFIANHSRLSMISSAIEKISDSKKLSSAVYNLLITGLWKEGEEHEAKRLLDMMLKKGWLPDTTTHKLLIGSDVREGISQGTLKFDDSDSVSDILAEGLGDL >CAK8534484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:732821223:732823752:-1 gene:gene-LATHSAT_LOCUS4027 transcript:rna-LATHSAT_LOCUS4027 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTANDVGKERADLYKGRVTPYVIIACIVAAIGGSLFGYDIGISGGVTSMDDFLEEFFPEVYMQKKHALENNYCKYDSQSLAAFTSSLYVAGLVASLFASPITRKYGRRISIVAGGVSFLIGSILNAAAVNLAMLIFGRVMLGIGIGFGNQAIPLYLSEMAPTHLRGGLNMMFQVATTLGIFAANMVNFGTQNIRPWGWRLSLGLAAVPALLMMIGGIFLPDTPNSLIERGLKEEGRKLLEKIRGTAEVDAEFQDMVEASELANSIKHPFRNILEKRYRPELVMAIVMPTSQILTGINSILFYAPVLFQSMGFGSHASLYSSALTGGVLACSTFVSIATVDRLGRRVLLISGGIQMIICQTIVAIILGIKFGENQAMSRGYSILVVIVICLFVVAFGWSWGPLGWTIPSEIFPLEIRSAGQSITVAVNLLFTFIIAQAFLALLCALKFGIFLFFACWIVIMSVFVMLFLPETKGIPIEEMAYMWQKHWFWKLILPQNEL >CAK8533030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:567958645:567961891:1 gene:gene-LATHSAT_LOCUS2682 transcript:rna-LATHSAT_LOCUS2682 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLYSKVLLVLVTIFVSTSYTNQLHLQSSHTHTLLRIKRLLNFPSILSTWNSNTDFCNTDSKASLTVVCYEETITQLHIVGETTAKLLPKNFSVDSFFATLSRIPSLKVLTLVSLGLWGPLPGKIASLSSLEIVNMSSNFLYGTVPQELPLLSNLQALVLDNNMFDGHLPDWLDSFPSLTVLNLKQNLFNGSLPDSLSSLTNMRVLSLSGNRFNGSVPDLSRLVNLQVLELDDNGFGPNFPRICNKLVTCSLRNNKFRSSLPDNMSSYYQLERFDISGNAFVGPIQLELFSLPSITYLNISRNKLSGMLFENLSCNSVLEEVDLSSNLLSGKLPKCLVSNSNDRSFLYGGNCFEETNRNQNQHEQSFCHTEAIAVGIVPNERKKHKRVSKTVLSFGIVGGVFGGFALLALIFSIIRRKNGKSMMMTKNSKTKLISENAASGYTSKLITDAGYVSKTMNFGSVGLPPYRAFSLEEIMAATNNFDTTSFMGGEGSQGQMNRGQLKEGSLVAIRSVKLTKSYKTKDFMQHIEMISKFRHHHLVSVLGHCFEHYLDDSNVRRIFIVFEYVPNGSLKSWISDRHYRKSLTWTQRVAAATGVAKGIQFLHTEIVPGVYSNNIKITDVLLDQNFVAKISSYNMPLLSKSPSFNKSVKSEDKSDIYDFGVILMELILGRTIKSRNVETLKDLLQASIISDDEARRSIIDSSIRNACLDQSLKTMMEICVRCLVKEMEDRPSIEDVLWNLQFASQVQDAWRGGESLSSDSSPLSPLPSRRMNFH >CAK8577193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:544106926:544107315:1 gene:gene-LATHSAT_LOCUS29322 transcript:rna-LATHSAT_LOCUS29322 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGKETVHTLASLLLVFLGGMYIVLFLMGKGDHIHSHNQPMKKMAVAGLILVPALSPCTITLPVFLAVGNSSSMMVVAIIVLLFSTISVMTSLVALSFYGASQLKFHWVERWRACIEGCFPYERV >CAK8570058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22624570:22629565:1 gene:gene-LATHSAT_LOCUS22843 transcript:rna-LATHSAT_LOCUS22843 gene_biotype:protein_coding transcript_biotype:protein_coding MERPASFNDSHHIIEIAGSLEASTSSSSRDRHFNGADESHREERISGVRLAVGQPSVSTSGVLNGSNSRSSSLVRRGDARRSRSPVHSGLWISIELVLLVSQIVASVVVLSLSRNEHPRTPLFQWIVGYASGCVATLPLLYWRYYNHNHMREQDSAQTRQTSPRVSDPSGTFLSISRNNGGDDGQAAAASSRSNQTSLLMNRRMKILVEYFKISLDCFFAVWFVVGNVWIFGGRSSVDEAPNLYRLCIVFLAFSCIGYAMPFILCSTICCCLPCIISILGVREDLTQNRGATSESINALPVYKFKMKKNKRSSENNSGNIEGGIVAAGTAKERVISGEDAVCCICLAKYENNDELRELPCSHLFHKDCVDKWLKINALCPLCKSEVGENPTGLGSGEDATQTTG >CAK8540037.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536645061:536646863:1 gene:gene-LATHSAT_LOCUS9076 transcript:rna-LATHSAT_LOCUS9076 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKITLKSSDGEAFEVDEAVALESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEFCKKHVDAAAADDKPSEDELKAWDADFVKVDQVTLFDLILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRRTFNIKNDFTPEEEEEVRRENQWAFE >CAK8536394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:937463293:937464702:-1 gene:gene-LATHSAT_LOCUS5768 transcript:rna-LATHSAT_LOCUS5768 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRPPKNTVPPPTTSPLRSPPKQQSELRSHQVSSSRITEEEILEIETLDERPGKPNQEEMEIATQSNDALLDAVPKKPETGKSMHEGASEEGRKLWVDVLKDNRNPTKGRAMKFIAPQVVNGKSEVVIEEDDIISEVKFWESSLILYAMGVDLSMNAVKNFMTKNWNFVQLPDMYYNDEGYFILRFKSFKDRDEVLLRGPYMIRNIPLLIREWRPGFKVKDELLRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILVEMDITKELPQIITIGDNEGEKIQQAIEYEWRPLFCSKCQKVGHSCDKPKVTQQWKPKPAPQHTGNVKTVMDTTVERIPRTVGNNNTAGNKIIIAISPDVINTVKGNTLAEISTDMVRKATEPPPENEGNLIEQVEAVMEKWIEVIRSGKDRGKQLVNPNSVNKIVCDNGFETLEISKDLIESQSTGQ >CAK8577903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596532659:596541990:-1 gene:gene-LATHSAT_LOCUS29966 transcript:rna-LATHSAT_LOCUS29966 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVLTESKPQDHQLPLILDVFTASSHGDFQKLRTFVERDGASVSAPDVNGYYALQWASLNNFHDIAHYLIQHGGDVNAKDNMQQTALHWAAVRGSIAAADVLLENGARIEAADMNGYRAVHVAAQYGQTAFLNHVVVKYHADFDVPDNDGRSPLHWAAYKGYGDTIRLLLFRDASQGRQDKDGCTPLHWAALRGHSEACAVLVHAGTKEELMVKDNAGFTPVQLAYDKGHRHVAPFLSNQQRAHNSHWRGKLYCGMVTDIGYAPILLCIIVSLSILFINSVIAAPNLKKITAVVGFWSWIALSSSVGSLIMFYRCSRRDPGYIKRPGDAGTLSDSEDPFLNIELNSSSVWMGNWSQLCPTCKIIRPIRSKHCPTCKRCVEQFDHHCPWISNCVGKRNKRDFFILICLGTITSSVSAAVAVHRIWTSTPALPALPAGETWIHQVLVRNPGLVAFLVMDAVVVVAATTLTVTQASMIARNVTTNELANSLRYDYLRGPDGRFRNPYNHGWWKNCVDFLFLGHTDDDDIAWPPLQQVAAT >CAK8543110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579725035:579725679:1 gene:gene-LATHSAT_LOCUS11893 transcript:rna-LATHSAT_LOCUS11893 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKKSVPPPPFSPVVSTPVTQSNSRSHNVSKNLNTAEIGGIKDLGETSDAREDGSIKEKRKEVDLEKPNQTATPEEGRKLWADVLKDNRNPAKGCAMKFIPPQVVDGKIEVLIEEDDVSSEVKFWESSLVLYAMGADLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELL >CAK8570669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:84612714:84614770:1 gene:gene-LATHSAT_LOCUS23405 transcript:rna-LATHSAT_LOCUS23405 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSLSTLPSSFLLPNRLLSFSARLPSQASPSSSSRFTTVRCRVNRAGNSTYSPLNSGNSNLSERPPTDMAPLFPGCDYEHWLIVMDKPGGAGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNQLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRHQDRPRYNDRTRYVRRKENTR >CAK8562967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562565830:562568367:1 gene:gene-LATHSAT_LOCUS16426 transcript:rna-LATHSAT_LOCUS16426 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGPRIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKAYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8575317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:76924729:76927716:-1 gene:gene-LATHSAT_LOCUS27590 transcript:rna-LATHSAT_LOCUS27590 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLMQAVQYDSYGGGASGLKHVEVPVPTPKDDEVLLKLEATGINPVDWKIQKGALRAMLLPGKFPHIPCTDVSGEVVEVGQQVKDFKAGDKVLAKLSTQYGGGLAEFAVASESLTVARPSEVSAADAAGLPVAGVTAHDALTEIGGIKLDGSDPKNVLITAASGGVGLYAVQLAKLGSNHVTATCGARNIDLVKGLGADEVLDYKTPEGVSLKSPSGKKYDAVINCASGIRWSTFDPNLAKNGVVVDLTPSPRSMFTFAMKKLMFSKKRLVPFVVSIKAGGLKHLAQLVKDGKLKTIIDSKFPLSKAEDAWAKSIDGHATGKIIVEL >CAK8563827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:633087353:633087874:-1 gene:gene-LATHSAT_LOCUS17197 transcript:rna-LATHSAT_LOCUS17197 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLMLFVLILCITLVVTHSRVIQPSDANTIQQTCSKTPNSALCIKFLNADPKSSTANVNGLALIMVNVIKSKANIAVNKINQLNGSIPPTQKGALKSCADKYNAILVADVPQATEALQKGDPKFAVDGANDAAIEANGCENGFSGKSPLTAENNVVRDASAITSAICRLLL >CAK8541747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:381133524:381134191:1 gene:gene-LATHSAT_LOCUS10643 transcript:rna-LATHSAT_LOCUS10643 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMKLLCLVGFVVLVAGIHGVESAGECGKGTTPDNEAFKLAPCANAASDEDANVSQSCCAQVKKLGQNPACLCAVMLSNVAKMSGVDPKIAVTIPKRCNIATRPIGYKCGPYTLP >CAK8568352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:598113955:598114482:1 gene:gene-LATHSAT_LOCUS21315 transcript:rna-LATHSAT_LOCUS21315 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRVFTLSQISQHKSNKNCWLVINGRVLDVTKFLEEHPGGEEVILEVAGKDATEEFDAIGHSKAAQSLVMKYQVGVVEGAMVEKVDDDNDDVVEKESESKEMSAFVIKDDTSSKTVTFLEFFVPVIFACVYFGYKVIIVGDSVVY >CAK8560910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:56964439:56966386:-1 gene:gene-LATHSAT_LOCUS14549 transcript:rna-LATHSAT_LOCUS14549 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKSQSVNRRKYNGPAGGVKVLVVDANSACRVIVSKMLLSLGYDVVTATLASDALSLIWDKKNEINLVLVEAQLPDMEIYKFMEKVKESANLPCFIMTAYDDDIPSITRALSTGAKLCFRKPVLIFDLQELWRFAVWNRIETIFSEEESSYAWHQSEMITVNKCLKSQSSMNKAEQSLQYANRKKIQESRDEDMTLLPKKRRRTWTDDLHRKFLDAVETAGIDARPKVIFELMDVEGLTKESVANYLQKYRQSMKLRASAMAQHVNGYVSLTKKRGKGPLYFENQVVTNNPSILRNGMPEQVSDPIALYASLESSNYQESPFKQNKLWPPLKQQRVEKQLDFRTLLAFDMNDQFYPALPIALLPPEKDEKIDEIFYSKQLFTDEDLNMWLSTIPDNMDAAVAAAAFV >CAK8534321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:716174363:716177357:1 gene:gene-LATHSAT_LOCUS3873 transcript:rna-LATHSAT_LOCUS3873 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRATLNLTATVLPSSSRPSKPSVFVGRSTVSLFRSNLPFSGKLNTSVPVSKHGHGRKLSVGSRMGFVVRAASSSAESSGSSSNIAPLKLESPIGQFLSQILVCHPHLMSAAVERQLEIFQTDRDGDEQKEKPSASGTDLVLYRRIAEVKEKERRKAIEEILYTLVVQKFMDADISLIPSLEPHPSGQVDSWSSEDGKLEQFHSPEAYEMIQSHLALLLGNRLGDSTSVAQISKLRVGQVYAASVMYGYFLKRVVQRFQLEKTIKILPDEAEGNTISRSVEDKTRIAGFEVPSQFTPHPEVPAWSDSGIGSGAFGQEITVSRLRSYMMSFDIETLQRYATIRSKESVNIIENHTEALFGRPEIAITPEGKINSSKDEKIKISIGGLKRLVLEAVTFGTFLWDVESYVDSRYHFVKS >CAK8572777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:562347718:562348269:-1 gene:gene-LATHSAT_LOCUS25304 transcript:rna-LATHSAT_LOCUS25304 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWQRVRRMNDRKLRSNIWDSFIAKGGSRKSGVEGIQSFYISEFPNNVRAKKLFKLFSYFGKVTEVVIPPKRNKYGKRFGFTKFADVEDGRVLAIKLDNIFVDKVKIHANIPRFSRLEKESRGKDHLVIKRTINLDRTDIGNNNLDRTDIEKKGSKEVIRGNITYAEVIFNDRNRNYRDQPK >CAK8538836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497523845:497524390:-1 gene:gene-LATHSAT_LOCUS7992 transcript:rna-LATHSAT_LOCUS7992 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVDKSQVIILSFLAFLVVITHFLPSSIRPGYLYLIFNIIIIALAAQSGLLSAFSEPSEDKKHHVYVSSKHKHTMQELEEKDASTINNENFVSEEQKVKKPKVVEKSASEKKIVYVDNKVKKCASMPSLFYIEDGEEDEEVEVEDEICGVNGQELFAKAEAFIGNFYKQLKMQREESCAS >CAK8579141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679308842:679309324:-1 gene:gene-LATHSAT_LOCUS31109 transcript:rna-LATHSAT_LOCUS31109 gene_biotype:protein_coding transcript_biotype:protein_coding MTVILELTLISTEGLNNYTSCLNPTVRPFITLTKFPPTTTTTPTTAWDNNMFRIPLDPTFFSEASSCLYLQLFIKRRIMGQVQLGWCFIPASDIGLLTLDSVRYLSYRLRGRDGSRRHVIINISVRLNLMSLSPDMDTCHTVIGIPVTAIRELNFHVRRF >CAK8537624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:410252578:410255671:-1 gene:gene-LATHSAT_LOCUS6895 transcript:rna-LATHSAT_LOCUS6895 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILSDPEPVRVKRKTLQTVLEQCQRALELINNASDQDDGDVSDSNDESLPSTPPDQEADQLCDLLKSRLECPDFLEKLECAQASVYQNTVEEGSSWDLVSENDLWEGVDSEEEDYVLVRQDDIVEGIACFMAAYLLSLKKTKDLTPNQLQDALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVILTAATKAFWTSCQVISKLI >CAK8573752.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634902624:634903535:1 gene:gene-LATHSAT_LOCUS26160 transcript:rna-LATHSAT_LOCUS26160 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLPKFSLLLWILLSSYAILLINYPVKCGDDEKDSLYQGINKYRASLNLKSLTKNKNADCLADKIADQFKNQPCTNTTGANTVPGTEPQFSNYPNLLAKCHLAISDTKDGTVMPACVPGLASSLVLANFTKSLYSENLNDTQFTGIGIGSEDNWIVVVLTTDTPTGNFAPYSSGARNLISKVGMIYSSMLLLAANIFLL >CAK8575488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:134974173:134974640:-1 gene:gene-LATHSAT_LOCUS27747 transcript:rna-LATHSAT_LOCUS27747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEKKIEKSDPIYRNRVVNRLINHIMKHGKKLLAYRIFYRAMKRIQQKTEKNPLSVLREAILQVTPKIVVKARRVSGSTHQVPIEIKKPQGRALAIRWLVAASRKRPGRNMAFKFSSELVDAVKGRGDAIRKKEEIQKMAEANRTRAPFR >CAK8574902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14443219:14443842:1 gene:gene-LATHSAT_LOCUS27201 transcript:rna-LATHSAT_LOCUS27201 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTWNVRGINKQARHREISSYISTFSVPICALLETKVKQNNADKIRKSFGPNWKFEDNYEHNSNGRICILWKHNKVNIKVLKTNVQFIHVEVYALDQTHMQTIIVTYAFNQLEHKRRLWKDIELLSNNTSSPWIVMGDFNNVASCQERIGGKEVTEAKFRDLIDMLQMDDLFEATTKGPHFTWTNKHTTGVIYSTIDRVLGNTTWY >CAK8564602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2069540:2069941:-1 gene:gene-LATHSAT_LOCUS17892 transcript:rna-LATHSAT_LOCUS17892 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNFSFSSTNQSGSGIRRGNRCWCELESPLMTSWTYDNPGRRFHGCGTFKVMRKKECNYFQWVDEDMSSRAKDVIRSLKDKNEELMDVIKDIKKNEDLLKMKIRFMYYFVGLSVMFVFLLLFALVATHVLK >CAK8576338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:463336328:463337833:-1 gene:gene-LATHSAT_LOCUS28533 transcript:rna-LATHSAT_LOCUS28533 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDSLSLQSTFTFLFFSFTFLFSIFSLLIYISRIKPWCNCNTCKTYLSMTWSQYFINLCDYYTHLLQTSPTGTIQVHVLGNTITANPENVEYILKTNFNNYPKGKQFSTILGDLLGRGIFNVDGDSWKFQRKMASLELGSVAIRSYAMELVTEEIKTRLIPFIASKTGQNDDAFIDMQDILRRFSFDNICKFSFGFDPCCLVPSLPVSKLADAFDLSSKLSAERAVNASPLIWKMKRFFNVGSEKKLKEAIKVVNDMVKEMIKQKREIEIGVDLRKDLLSRFMGSLNSNEDQYLRDIVVSFLLAGRDTVASTLTGFFMLLSKNPDVEEKIRIELDRIMNPVQEVATFEQTREMHYLNGAIHESMRLFPPVQFDSKFALEDDVLPDGTFIKKGSRVTYHPYAMGRMESIWGPDFMEFKPERWLREGVFIQKCPFKYPVFQAGVRVCLGKELAIVEMKAVVAALVRRFDVRVVGPNPHPRFEPGLTATFRGGLPVKFYERR >CAK8542952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562166708:562168379:-1 gene:gene-LATHSAT_LOCUS11747 transcript:rna-LATHSAT_LOCUS11747 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAILALTLLLIALASKTIRDWHAEKYPSLPKKKLLPPGPPRWPIVGNLLQLGKLPHRDLASLCDKYGPLVYLKLGNVDAITTNDPDIIREILLSQDEVFASRPRTLAAVHLAYGCGDVALAPLGPHWKRMRRICMEHLLTTKRLESFSKHRQEEAQHLIKDVLAMAESEKEINLREVLGGFSMNNVTRMLLGKQYFGSKSAGPQEALEFMHITHELFWLLGVIYLGDYLPVWRWIDPHGCEKKMREVEKRVDDFHSKIIEEHRKARENKKEIGKFDEDLDFVDVLLSLPGEDGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKHPHVLHKIQQELDAVVGPNRMVMESDLPYLNYLRCVVRETFRMHPAGPFLIPHESLRPTIINGYYIPAKTRVFINTHGLGRNTKIWDNVEEFRPERHFLENGSRVEISHGGDFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWEPPKGLNHQDIDTQEVYGMTMPKVHPLIAVAKPRLARHMYD >CAK8567097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:486557483:486558427:1 gene:gene-LATHSAT_LOCUS20181 transcript:rna-LATHSAT_LOCUS20181 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGNLLRQGATQSSHAPVSSMLNYLRHMSSSKLFIGGLSYGVDDQSLKDAFASYGEVIEARVITDRDTGRSRGFGFVNFTSDESATSALSAMDGQDLNGRNIRVSYANDRPSAPRGGGGGYGGGYGDGFSNRGGGGGGGGGW >CAK8534652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752701476:752703632:1 gene:gene-LATHSAT_LOCUS4180 transcript:rna-LATHSAT_LOCUS4180 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPTKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDGMRASALELQRNTASSSAMIGRNMNEMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASTTTNLKGVVIGPQGIPEMGLLKEFGTPLSFSSFQDLDLFGSGGGGDQLDLQQNMEKQTLDHHGFIQINDNLCLGKKRPNPNTNPYNGNGKSPLMWSDDLRLQDLGPASSCLDDPFKGDQIQIQIAPPTSLDRGNDIETIDIYDTKPLLQGEILGEKKFDASMNTKLERPSPRRGQQLHAERMNNPMISTGIMTQGRGSPFG >CAK8534653.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:752701476:752703632:1 gene:gene-LATHSAT_LOCUS4180 transcript:rna-LATHSAT_LOCUS4180-2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPTKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDASALELQRNTASSSAMIGRNMNEMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASTTTNLKGVVIGPQGIPEMGLLKEFGTPLSFSSFQDLDLFGSGGGGDQLDLQQNMEKQTLDHHGFIQINDNLCLGKKRPNPNTNPYNGNGKSPLMWSDDLRLQDLGPASSCLDDPFKGDQIQIQIAPPTSLDRGNDIETIDIYDTKPLLQGEILGEKKFDASMNTKLERPSPRRGQQLHAERMNNPMISTGIMTQGRGSPFG >CAK8572721.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558194388:558198231:-1 gene:gene-LATHSAT_LOCUS25251 transcript:rna-LATHSAT_LOCUS25251 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPSSFIILLAFGALIVLATLTPCWYVMVTHIQKRANLHSKNFMSHLQSEIEYSVELLQPLKFSSAKLSTLLSSTVYSPNITFSDINTKVAPLLFQALKTIPHLTQISFIGTQGFVFTHYNDGDQVLAMYSNSSRVNASNKTIYYIQPVNGDTGEIFGEALISNNTIDIKTSLINSTNDTRGEFAWLGTKLNNVSELLFVNSVRINKIGVISLGFSAKTITDYVTRFVDRQGTKSYLATKDGNVIAKWNQSIGLKILNDSVLLQSLNANGGIIRNEGGVSCKDQVYDSSLKIQDTQYFVHCYPIDIIGIESVYVMAVPKNEIFGFDPRYKEKGLILLIVMMVLIFIAISSLVFMNIGVMRREMHLCASLIKQMEATEQAERKNTNKSLAFASASHDLRAYLAGLIGLIEMSSKLVQSNTDTDLNLKSRSELETNFKQMDNCAQDLLGLLNSILDTSKIEAGKMQLEEEEFDLSYLVEDVVDLYYPMAMKKGVELVLDSCNGSVIRYSRVKGDRRKLKQVLCNLLSNAVKFTDEGHITVRAWAQKSTLQKSIIKSNQSSVMKPLSWMFIKKGDKEIEDIEAVSSIQQDACFMDFVFEVDDTGKGIPKENYRSVFENYVQVKENAVGQVGTGLGLGIVQSLVRLMHGDIEIMEKEVGKKGTCFKFNVLLALCENETVTYGLREGFEYGSTSGNGNQVPEKRFIHNVSSGSSIRSLSPKLQICPSSSPKTEPSRVILYIRDEERRRTSHMFIESLGIKVKIVKNRKHLIDTLKKIKKQNGHLISDQSSSESSELGSRCTSYNSSYSRASTKIPLRALDGAEYVSSMFKMTNNGAALSFVLIIIDANAGPFPKLCNIVSKFKKDLLNPCKVVWLEKPYESIINFKTINRDDIVISKPFHGTRLFQVIKLLPEFGGNWKSSSSNKALRELRSQVSSHDESVYREKKSYVDQSEIQECAKDSNNSKPLSGKKFMVVDDSPMLRKIAMATLSSLGVTSIDQCENGKEALKQVEQGLKNDFPNPPYDYILMDCQMPEMDGFEATQKIREMEKEYGVHISIIALSAEIDKLTTETGMDFHITKPIKKEHLLKAITYIENSEI >CAK8562258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462907514:462910420:1 gene:gene-LATHSAT_LOCUS15770 transcript:rna-LATHSAT_LOCUS15770 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNLLKEERLSGASLLILANKQDIKGALAPEEIAKVLNLEIMDKSRHWMIVGCSAFTGEGLLEGFDWLVQDIASRIYVLD >CAK8538387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480608970:480609428:1 gene:gene-LATHSAT_LOCUS7596 transcript:rna-LATHSAT_LOCUS7596 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKCFIFAFFLCALIFITVVAIEPSKDDEKQTGVFENSKIKLGVNTDRRLREGGHGGKRRKRHHNADLQFWINGGGWGGSLNIGGVQDGAENIGLGGQEERRNEVSSIGEENKDLEEKKKLPYKN >CAK8535111.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814816980:814818709:-1 gene:gene-LATHSAT_LOCUS4588 transcript:rna-LATHSAT_LOCUS4588 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVKSTKVGLEDSQEQIHKIRITLSSKHVQNLEKVCADLVRGAKDKHLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >CAK8531655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:135330628:135332247:-1 gene:gene-LATHSAT_LOCUS1430 transcript:rna-LATHSAT_LOCUS1430 gene_biotype:protein_coding transcript_biotype:protein_coding MNINAFTSLNIQLQPFLPLAPRAFPQRATLSFSSSPLISFPKPRQRLSLSAMSSSPTTFTELSDESHFESLLSPDDHISICGFGSLLSERSARSTFPNLLNFRIAKLNHFRRVFAHVAPVFFERGIAKPETMEISSLSVEPCEGETLVVTVFEITKSEIPDFIKREVEFRFLAVLPETLDGKSYDFSAVLCARYSDEEFFNIRCKGNKEILFQQYGRWNIDKIWRDDVFPCRAYLRHCVLAAKNLGDTAYNNFLDHTYLANRKTTIREYLATAGSGIMEEEPPESLKIRYGG >CAK8571710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:464408145:464411486:-1 gene:gene-LATHSAT_LOCUS24347 transcript:rna-LATHSAT_LOCUS24347 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMEKGSEPLTETEKADLEAIAAIKESSAFELKEKGNQYVKMGKKHYSDAIDCYTRAINQKALGDSETSILFSNRALVNLLLGNFRRALNDANDALKLLPSNIKAIYRAAKASFSLNLLDEAQDYCQMGLDLDPNNEDLKKLDNQIGIKILENEKHEAEVSKAVADAKELVSAMENRGLKIGKAVYRELTGLKKPVLDKSNILHWPVLLLYAEVMSSDFIEDFCETDMFSDHLDMVFAEDQPLSWDVENNYKREFIELYYDAGAGPRLSKEKLLRFLLEGTAAASNGEGIGDEEKDAVEDLKQNTGSPKWIKVNERRTLHDVLKESTFIIPEIPVFYVVSKKSSFYSKFKDGKWAPPSV >CAK8533120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580604130:580605144:1 gene:gene-LATHSAT_LOCUS2768 transcript:rna-LATHSAT_LOCUS2768 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8562824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:543432809:543436783:1 gene:gene-LATHSAT_LOCUS16289 transcript:rna-LATHSAT_LOCUS16289 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTRMVKGILLLHLSPTPPSSFFRLTRDLSRPFFLPSLQLKPSHPATAIRCASSASDSGNKVSSRLSQVQQLIQEAEHRSLSADNNGPIPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVRNAYWLSEWVREKILQMEKNRINKDGELVVSSTKTRTQKGNIDDALAKLQEIIDAASYVPPPPSEEQKKKIAKLAAIGEHKRLKSKKVQSDKKAQRRNKSSWD >CAK8539239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507427289:507428542:1 gene:gene-LATHSAT_LOCUS8352 transcript:rna-LATHSAT_LOCUS8352 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFRITNSEHKTQLKEKFQRMCDKSMIKKRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKNARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALVIGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIGKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMRATREVLSEFGNMSSACVLFILDEMRKKSMQDGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >CAK8543450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608448279:608448494:1 gene:gene-LATHSAT_LOCUS12206 transcript:rna-LATHSAT_LOCUS12206-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKEVVVVAGALAFVWLAIELAFKPFLSQTRDSIDKSDPTRDPDDAPAPDAAAAPPPETVSGDATQDDR >CAK8543449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:608448261:608448494:1 gene:gene-LATHSAT_LOCUS12206 transcript:rna-LATHSAT_LOCUS12206 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKKMGKAKEVVVVAGALAFVWLAIELAFKPFLSQTRDSIDKSDPTRDPDDAPAPDAAAAPPPETVSGDATQDDR >CAK8565896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:347950445:347954881:-1 gene:gene-LATHSAT_LOCUS19072 transcript:rna-LATHSAT_LOCUS19072 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKALLFSFSPPTPSSSPQSRTRTNHSPSPSPFPFHLLSRRKQVGKVWADVKSEKHLPEPSSKYQDLSLHKKVARALDIGDDVEDEETSTSTSSPWWEVFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEYNWNPTTVGLVQSSFFWGYLLTQIAGGIWADTVGGKQVLGFGVVWWSIATILTPVAAKLGLPFLLVARAFMGVGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQYGWPSVFYSFGSLGTVWFCIWLSKAHSSPLDDPEMRPEEKKLIATNGLSKDPVKEIPWRLILSKPPVWALIVCHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAISANFGGWIADTLVTRGVSVTTVRKIMQTVGFLGPAFFLTQLSHINSPVMAVLCMTCSQGTDAFSQSGLYSNHQDIAPRYSGILLGLSNTAGVLAGVLGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKIID >CAK8576691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:506401679:506402951:1 gene:gene-LATHSAT_LOCUS28864 transcript:rna-LATHSAT_LOCUS28864 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKVPDVVLNSGKKMPAIGYGTATPPPPIALIDAIDIGYRHFDTASLYNTEELLGQAVSKALEEGLIKSRDKLFITSKLWCTDAHHDLVLPALKTTLKKLGLEYVDLYLIHWPVRLKQDVKGLNFKGEDLIPFDIKGTWEAMEECHRLGLAKSIGVSNFGIKKLSILLENAKISPAVNQVEMNPSWNQGELIEFCKMKGIHVSAWSPLGAYKDPWGSAAVMDNPILHEIAEAKKKSVAQIVLRWIYQQGATPIVKSFNKERMKQNIEIFDWELNQEELDRINQIPQRRTLNAEIFISENGPYKSMEELLDD >CAK8536173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:914188729:914192907:-1 gene:gene-LATHSAT_LOCUS5566 transcript:rna-LATHSAT_LOCUS5566 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKVSRLDSECSPLSKETMSSGDEEDVQRRNSVNESDEDDDEFDDADSGAGSDDFDLLELGETGGEFCQIGNQTCSIPLELYDLSGLEDILSVDVWNDCLSEEERFELAKYLPDMDQENFVQTLKELFTGCNFQFGSPVKKLFDMLKGGLCEPRVALYREGLNFVQKRQHYHLLRKHHNNMISNLCQIRDAWLNCRGYSIEERLRVLNIMTSQKSLMCEKMEDLEADSSDEQSGEGMWSRKNKEKKNAQKLGQFPFHGVGSGLEFHPREQSVVMEQEKSSKQNPRGILKLAASKTHSANPTGHSSSVYHGLDMNPRLNGSAFARSQHIKSTGYDVGSIHRMRDPLWNEDSENMSFGLDVHRDRNSFRGSLMDKSSAPRVGKRHDFLRGDEIEGGNLVGLSMSSKTDLHGYSRNPNQFSDMQLSTANPPSKRGSHDFPRKGKYAENVQQFVGSVQAKSRSRGSKTSHKVDMIDSPYHDDVFCNITPTQEFGTDSVLKYDDWNPKSNKRKADRESPDLSYTAYRSSSPQVSDRLLSSDFRTKSSQEKIRGNFVQNGVKDMKSLRASHILLRSEETESDSSEQLDDDEDDNPLLQRKFTYPVGTVAGSLTKSLKSHLDSKKAKFGKTDMKAHVIAQSKKKGSFAEQGNMHGAENYLSKNAKQKSKILNGNSLRNPAGKFIEENYPSGSDMLNGGHDDWRQLYKSKNERMRGEPVERFDVSSSIAYAAEHKIKGRTGLDHSILRSKYLHDYGNDEEESLENRLLGGDENGVGHGRFWRKGQKNVAYKDEHNERSDAPLLGCNSTLKKRKMKHGAADSFGRDEDANLLLSNPPKTDDLPSFSMKKKSKKKTGADMVVSEMENSELLVTDTVTADMELEIMPQKKPFILITPTIHTGFSFSIMHLLSAVRMAMLSPPAEESLEAGKPIEQQNKTQEGSLNGVISSDKVAVNGEASDQLNMPSLTVQVIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKVLAIYEKSTRSWSWTGPVLQNSSNRGTIEEVASPEAWGLPHKMLVKLVDSFANWLKCGQDTLQQIGRLPAPPLELMQVNLDEKERFRDLRAQKSLHTIKPSSEEVRAYFRKEELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSTGTRADVCTLIRDSQYIVEDVSDAQINQVVSGALDRLHYERDPCVQFDGERKLWAYLHREREEEDFEDDGTSSTKKWKRQKKDATDQSDQAPVTVACNGTEEQNGYDLCSDLNVDPPCIEDDKGAVQLMSNNTRLNAEDRVDVNPASVEGNVCEDNSMAWETLDLNPTRELCQENSTNEDFGDESFGRERPVGLLSASLL >CAK8535341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:840727396:840728688:-1 gene:gene-LATHSAT_LOCUS4807 transcript:rna-LATHSAT_LOCUS4807 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSPPPSSNVLNFNHIITRPHRLLNRVAPTRIIVKCAYGLEHGSYGVGASRADWQSSCAILSSNVFSQEKPSTEINGGKSDHLAAINGHKTNVTDLQLVPIGNPGGELSKPLPPKPLTISDLAPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGIRKEYLTRVISHPQALSQCEHTLTKLGLNVAREAVDDTAGAAEFIATNSLRDTAAIASARAAELYGLQILADGIQDDPSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYLFYVDFEASMAEIRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSSRGD >CAK8531150.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84705962:84707111:-1 gene:gene-LATHSAT_LOCUS960 transcript:rna-LATHSAT_LOCUS960 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSLCCAVLVLVLGGLPFSIEAQLSPSFYSKTCPNVSSIVTQILTNVSKTDPRMLASLVRLHFHDCFVQGCDASVLLNNTATIVSEQQAFPNNNSLRGLDVVNQIKTAIEKACPNTVSCADILALSAQASSVLAQGPSWTVPLGRRDSLTANRTLANQNLPAPFNTLVQLKAAFTAQGLNTTDLVALSGAHTFGRAHCSLFVSRLYNFSNTGKPDPSLNTTYLTQLRTICPNGGPGTNLTNFDPTTSDKFDKNYYSNLQVKNGLLQSDQELFSTSGADTISIVNKFSANQSAFFESFKAAIIKMGNIGVLTGSQGEIRKQCNFVNSKSAELDIDIIESIDSSEEDGIVSSI >CAK8568444.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606640018:606647609:1 gene:gene-LATHSAT_LOCUS21400 transcript:rna-LATHSAT_LOCUS21400 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLEGENGEEEVLGSSLTMEKVAAAKKFIEDHYKAQMKNIQDRKERRCILEKKLASSDVPTEERLNLIKDLERKETEYMRLKRHKICVNDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLKRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLSENVARFYMAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCITLPTLHENQTMDDETLAEPMDIDSCVSDANNRKSWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDPHLTLEAKDLIYRLMCDVDHRLGTRGANEIKAHPWFKGVDWDRLYEMDAAFKPQVNGELDTQNFMKFDEVEPPDAARAGSGSSRKMLLTPKDLNFVGYTYKNFDAVKEGLRQSLSESMQDYASKRTADETGLQMLASSGDPMLP >CAK8572257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525082820:525084379:-1 gene:gene-LATHSAT_LOCUS24842 transcript:rna-LATHSAT_LOCUS24842 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSCSANDLAPLLTTTANATAVAHFLCTQFNTISKKLIDTTYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGFAFAFGAPSNGFIGRHFFGLSDYPSHYGDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSSDGWASSTRTDGDVLFGSGVIDFAGSGVVHMVGGIAGLWGAFIEGPRIGRFDRTGRSVALKGHSASLVVLGSFLLWFGWYGFNPGSFLTIVKNYGDNGRDYYGQWSAIGRTGVTTTLAGCTAALTTLFSKRLLDGHWNVIDVCNGLLGGFAAITSGCSVVEPWAAIVCGFVAAWVLIGFNKLAVKVKYDDPLEAAQLHGGCGAWGVLFTGLFAKGEYVEEIYGVGRPYGLLMGGGGKLFAAQVVEVVVVCAWVTVTMAPLFYGLNTMKLLRVSRDEESAGMDLTRHGGFAYAYNDEGDDESIRKLGFMMGRIEPAAGSVSSPGDGHDRSTMPNTTPPV >CAK8535173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:820693832:820694435:1 gene:gene-LATHSAT_LOCUS4648 transcript:rna-LATHSAT_LOCUS4648 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNKSKKKKNKKNVVDAPSVKPIPSSPPPHVSPPPPHVSPPPHVPPKKTIADQRLYNEMKGEAFAVASSAFEKHDANERIAEEIRTVFNSRYGPTWHCIVGTSFASSVACDDADHFYLRFSPKDIILFKCDIVDRRMRSVSSTASIT >CAK8567997.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562001534:562003181:-1 gene:gene-LATHSAT_LOCUS21000 transcript:rna-LATHSAT_LOCUS21000 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSRSPPPYRRRHSRSRSPSPSHRRNRRRRRHRSRTSSSPSPPPRSRTPSLKHKKHHPPTLNNKSNKAPSEEDLELLEEDAARRIEEAVQRNVEERLKSEEVKLEIERRIAEGLKKKFDDVEIQLQKEKQEMLAEARRKDAQAQKEREELDKMLEENRRRVEESQRREALELQRKEEERQRELEMIRRQKEESARRKKLEEEEHAYQNNSMGKNKSRANSYGL >CAK8544495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688485712:688486203:1 gene:gene-LATHSAT_LOCUS13168 transcript:rna-LATHSAT_LOCUS13168 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDEEISNGNRDGYQRGGSDGDDDEDEEEDRKKKKNRARVDFSFGKRLVLRQFTKAKNQIRRVRSRKALLSSSRNVNVSSRGKVVIVHGDDIDSSNGAATRNGFGCKFCFSRPSVLENPDGSPTSDPNDPKFTHAMLKTLIEKNDFYSKECNPHIESLID >CAK8576489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482958407:482958718:-1 gene:gene-LATHSAT_LOCUS28672 transcript:rna-LATHSAT_LOCUS28672 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAPKLVNGVVEIEIEQEDIETEVQFWDNALILYVVGEDLSMNTVKNFMQKMWNFVKIPDLYYHDEGYFLLRFNSHEDRDVVMMKDPYTIRNMHMIEGMKA >CAK8544109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:663292409:663293675:1 gene:gene-LATHSAT_LOCUS12813 transcript:rna-LATHSAT_LOCUS12813 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRRIVGYNSSVSPFLLTSRRAISFKLFIGGLSFHTTEKTLSEAFSNYGQVIEAKVVTDRVSEKSKGYGFVTFASQDEAENAIAEMNEKALNGRVVFVDYAKPDTKRSMGMPIARGPPEVLNKQEIIDSPHDM >CAK8578606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640958166:640958465:1 gene:gene-LATHSAT_LOCUS30602 transcript:rna-LATHSAT_LOCUS30602 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSISNSNSLFFFFLFLFLFVFQPFHTVIAEDGSSRRPFSTSKRPILLNCGELVLKSKCSQNSKCSWCSSQVLDDTCFSKSEALRLPHQVFSCGPTRL >CAK8543496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:611571690:611574227:1 gene:gene-LATHSAT_LOCUS12251 transcript:rna-LATHSAT_LOCUS12251 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTLVTMHYNGSVFTDENIGFSFNGTDTVMFKLHRSSDIRHLKDRIEKKVNRSVQDITYRQPIRNGVDDDVFYVMMQIDTDSAVKSMFQCHYTMPQLKTIELYVRLEDEAYPTQSSYSHQYGVSQTTDDEITQNNEPFIRNEEVGEYSDDELDDVRFEDLFGDDDDDGHDELMQSEVIYAQPINLYNPPVHMSNICMESSQPIYIFENEKPNHNGEKLEVGLVFENKEECVLFLQHWHISNNLDYAVYKSDSVRYIIKCTNPQCVFKCRAAVRKKSTLWEIATIKGSHTCTTTSMSQDHRKLDSEIISHSIRELVNSDASLKVKVIQAHIAEKYGYRISYRKAWIAKIKAVEALYGNWETSYNDLPQWLLVLKTYLPGTVIQLETLPIITDDGTQLGDRRKFHRLFWAFEPCIRGFSFCKPIVQIDGTWLYGKYKGTLLMAVAQDGNGNIFPIAFALVEGETKDGWSFFLKNLRMHVTPQANLCLISDRHPSIKSAYDDPENGWQFPPSSHVYCIRHIAQNFMREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTKLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHTMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8563713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626533185:626535012:-1 gene:gene-LATHSAT_LOCUS17092 transcript:rna-LATHSAT_LOCUS17092 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAIENKQHDQKKKFAVLGVSSILLIAMVAAVAVGISNGGEASDILGGGDGETKITQSQKNVQVLCDSAEYKRTCENSLAKTSTETADMKELIKAALNATAEEFIKQINNSTLYEELATNNMTKQAMDICNEVLGYAVDDVHQSIHTLDRFDLTKMNEYAYDLKVWLAGTLSHQQTCLDGFENTTTEAGQTMARVLNASLELSHNALDIINGVSGFMKSLNLTSFTSAVNRKLLSEDGFPTWVGQNQRKLLVAPESIKPNVVVAQDGTGQFKTLTEALQLVPNKNKTPFVIHVKAGVYNEYVSLDKHKTHVIIVGDGATKTKFTGNKNYVDGVQTYNTATFGVNAAHFMAINVGFENSAGAEKHQAVALRVTADQAVFYNCQMDGFQDTLYTQSKRQFYRDCTISGTIDFVFGDAVGVFQNCKLIVRKPSEDQQCMVTAGGRTKIDSPSGLVFQNCHFTGEPDVLTLDPKIAYLGRPWRNFSKVVIMDSDIDDIFVPEGYMPWMGSLYKETCTYYEYNNKGLGAKTDLRVKWPGVKVLTANDAADYYPGKFFELANSTLRDAWIVYSGIPYSVGPLTAA >CAK8560487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:21952905:21953870:1 gene:gene-LATHSAT_LOCUS14155 transcript:rna-LATHSAT_LOCUS14155 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLSRVSKSRRVLKIVYDDPDATDSSSDERNNISVDKKTMRKRVVYEIAFPNIQSDQRVDNGLNKNNKCGTPKHEVNKNNKSCGTQNEAEQPSCKYKGVRMRQWGRYSAEIRNPNTGKRNWLGTFDNAEEASKAYEAKRHEFETTYKNGLRKGKRNKRLKTVSFAEPLRKGKRNKRLKTVSFAEPLIASLNDSSKRETSNISISSPELVNNVEVSRDKTNSLEKTDIVQELNAITSVNTSLQLESNWLTLDESELDLVAGDDHGCLDDLSDIDIPFDFDFFGTQFAVYHGIEQPHKRSSVKELPKISKQIKESHNKRGQ >CAK8576179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:418916925:418918594:1 gene:gene-LATHSAT_LOCUS28386 transcript:rna-LATHSAT_LOCUS28386 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIEGSPGSSMHGVTGREQTFLSSVASPMVPTDTTAKFPLPVDSEHKSKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADVGNAGVASVSGSIFSRLMMGAVCDLLGPRYGCAFLIMLTAPTVFCMSFVEDAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLGALQKKGNVAKDKFTKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANLVARPFGGYASDVAARLFGMRGRLWTLWILQTLGGIFCIWLGRANTLPIAVLSMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSSSKFSTSTGLSLMGAMIVACTLPVSLVHFPQWGGMFFPASKEISEEHYYTSEWNEDEVQKGLHQSSLRFAENSRSERGKRVASAATPPNTTPGH >CAK8564138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653803391:653808175:1 gene:gene-LATHSAT_LOCUS17468 transcript:rna-LATHSAT_LOCUS17468 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSSMKFSPLDLMTAIIKGKFNPSNDSSQAPASIIFENREFVMILTTSIAVLIGCVVVLIWRRSNSNKSKQIEVPKLVIKKLPELDVDDGKKKVTVFFGTQTGTAEGFAKAIAEEAKARYEKAKFRVVDMDDYAADDDEYLEKLKKETMALFFLATYGDGEPTDNAARFYKWFTEEYEGEEDSFKNLSYGVFGLGNRQYEHFNKVAKVVDDKLLEQGGKRLVPVGLGDDDQCIEDDFTAWKEELWTALDQLLRDEDDTTVATPYTASVSEYRVVIYDPLDATFDEKKRHNANGRAVVDAQHPVRANVAVRRELHTPASDRSCTHLEFDISGTGVAYETGDHVGVYCENLSDTVEEAERILGLSPDTYFSIHTDDEDGKPLGGSSLPPPFPPCTLRTALTKYADLLSSPKKSALVALAAHASDPSEADRLRHLASPAGKDEYAEWVISSQRSLLEVMAEFSSAKPPIGVFFAAVAPRLQPRYYSISSSPRMAPSRIHVTCALVHDKMPTGRIHKGVCSTWMKNSVPLEKSQDCSWAPIFVRQSNFRLPADNKVPVIMIGPGTGLAPFRGFLQERLALKEDGAELGPSVLFFGCRNRQVDYIYEDELNHFVNGGALSELIVAFSRDGPTKEYVQHKMMEKASDIWNMISQGAYVYVCGDAKGMARDVHRTLHTILQEQGSLDSSKTESMVKNLQMTGRYLRDVW >CAK8574364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:677502006:677502590:1 gene:gene-LATHSAT_LOCUS26718 transcript:rna-LATHSAT_LOCUS26718 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLASASVQLSNPFPPSSSYKPLIRRNFLLFTTRANEPQTPPSDSDPSKPDSEITATGADFDNRINQLRLRSRRGAGKKAEARKSRKLNKATSGSAPGSGVYLPPVPLKEPVSGGLKVELGFSKFSERLNGRVAILGLTALLLVELATGKSVINYHSPGTVLIQFYFMAALTAIYVKFQKEKISIWPDSSSTN >CAK8569826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:12927922:12932961:-1 gene:gene-LATHSAT_LOCUS22635 transcript:rna-LATHSAT_LOCUS22635 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQYDTNPPSLKRKYDEPPHSRPTGFSDGPPPPSYNNVPPPATTEVELMKQRAQEVAARLLSGSDIAKRTKFDVVTPTPYESTDFKSQYSAPSSIPSYTHQGSSKKIEIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPNRLVELTGTSDAIATAEKLINEVLAEAESGGNGLVTRRMTGQGGADEFSMMIPNNKVGLIIGKGGETIKSMQATTGARIQVIPLHLPPGDTSAERTLKIEGTSEQIDSAKQLVDSILSGENRLRNPSMSGGYSQQGYQARPPSSWVPPSAPMQQPGYGYVQPGAYSGPSPQYNMPQQQYAGYPHSSGGYSTNWDQSAATTQQPSHAGYDYYNQQSQQQQNPGGPAAPADGTAYNYSQPPSSGYNQPGQGYAQDGYGAYQQPPQSGYGQPPYDQQQGYGSAPSYGSAQEGQTANYGSQGDSSQVPPVQPSQQGYGSNQQPSPNSANYPQQGAAQPGYGVPPTSQAAYGNQPPAQSGYGPAQPQKPSSTPPAYGQSQSPNAAGGYGQPGYPPSQPPPAYSQPETGSQRAPSSGYGGAVQPGYGPPSYGAPAGSQPGYGQAPPSYSSGSYGAGYPQAPAYSADGNVGGNARGGSYDGAPAQAAQQASVAKSPKS >CAK8576169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416288664:416289215:-1 gene:gene-LATHSAT_LOCUS28377 transcript:rna-LATHSAT_LOCUS28377-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGAAESTLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPGGDWMGRGARALDNLRTAIGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8576168.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:416288664:416289581:-1 gene:gene-LATHSAT_LOCUS28377 transcript:rna-LATHSAT_LOCUS28377 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKFTSALVMSEFAPICLSFVISLLVSSIFLGLPRLFHRFYSFLIIIPLLGGFYSLCMKLDSIDFLNSLLFKIGLSLGSRVLSYALFKLGLAGGLAWVFFFVLRALFSVDGGVSIGNGMMPHGAAESTLFTYTSDLVEDSGSSGRSRSTSTVNQPLPGEQAMPPALPVMQEAANQAAPVPYPYPHDEIIGGDSVESIQRRLLGGSPSPSAHLIQMARIQAEDLFEVKVDICQVMAGLHPGGDWMGRGARALDNLRTAIGEESLSKLLRMREDLQTAGLQSATFRQLADRVPFRADADQHSAT >CAK8532247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:230111615:230112100:-1 gene:gene-LATHSAT_LOCUS1977 transcript:rna-LATHSAT_LOCUS1977 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEILCPCTVCCNDSWEVRDVVFDHLCGKGFVKGYTEWIYHGEDESLKDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDAKKFYKLLDDAKQELYPGCENFSSLSFTIRIYLLKCLHG >CAK8531758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:147673784:147674983:1 gene:gene-LATHSAT_LOCUS1524 transcript:rna-LATHSAT_LOCUS1524 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSVKNDIDDIESTDQESGEETTHLDLTDSSINNKTMLHHLHADQKSTINKRYIPLLVINYLFLFVGSLSSSLLSKYYFIHKGSSRWVSTWVQSSGFPLLIIPILSPYYLFQSTKRKPFSDFNPKMLTLSVSIGVMLGVNNLFFSWGNSYLPVSTSALLLSSNLVFNLVLSVIIVKQKVTFTNLNCVMLLTLSSILLALDSSHEKPNGLTKKEYFIGFFCTIGAGLLFALYLPVMEKVYKEVYCYEMVMEMQVIMEFAATVLATAGMAWDGGFYEMKRESEEVFDKGSRVYWVTVMGNVVTWQFCFMGTAGMVFLTSSLTGGICMTALLSMNVLGGVMVFRDEFGGIKAVSTVLCMWGFCSYVYGMYVKMLEEKGRMRNQNELATEMIYIGNHQDVTD >CAK8572126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:512855954:512857608:-1 gene:gene-LATHSAT_LOCUS24725 transcript:rna-LATHSAT_LOCUS24725 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNMKETELCLGLPGGGGGEVETPRAAGKRGFSETVDLMLNIQTKEDLNENLKNVSKEKSLLKDPAKPPAKTQVVGWPPVRSYRKNMMAQKVNNSEENEKTTSSTTAGAFVKVSMDGAPYLRKVDLTMYKTYKDLSDALAKMFSSFTTGNYGAQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >CAK8568543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615114184:615116001:-1 gene:gene-LATHSAT_LOCUS21490 transcript:rna-LATHSAT_LOCUS21490 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRSNLSVFSVVLLRFRQNPVTVNHCDIVQKPPVNGSFNDFDYLTHGTLLAPPKFGFLVRFFSTESSTKSLEMSTNAPALGLDKALSDEDDDDNDDDNDDCDKNSSRIHLMEDDFVQDVKTIMDIIHEPGSGPHEIKQKLEDCSVKASSELVVEVLSRIRNDWEAAFTFFLWAGKQPEYAHSVREYHSMISILGKMRRFDTAWALIEEMRGKRTGQSLVTSQTLLIMIRKYCAVHDVGRAINTFYAFKRFNIQVGLYEFQGLLSALCRYKNVQDAEHLLFCNKNVFPPDTKSFNIILNGWCNLIVSTRNAERIWEEMSKRGIKHDVVSYASIISCYSKSSKLYRVLQLFEQMKKKNITPDRKVYNAVIFSLAKNRLVKEAINLIGTMEDNNVTPDAITYNSLIKPLCKNRKIDEAKEIFDEMLKRHISPSIRTFHAFFRILRVKEEVFELLDKMKEHGCNRTIETYIMLIRKFCRWRQLDEVNKIWNAMREDGIGHDRSSYIVLIHGLFLNCKVEEAYKYYIEMQEKGFLPEPKTENMLQAWLSGKQVTEGQVTDMGHNQLEHGSLKKNIKPIPSKFDKEKAFLREPETRSVTREGGFSFWEK >CAK8541865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:419119990:419120457:1 gene:gene-LATHSAT_LOCUS10756 transcript:rna-LATHSAT_LOCUS10756 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKKIEKSDPIYRNRVVNKLINHIMKHGKKLLAYRIPYRAMKRTQQKTEKNSLSVLREAILQVTPKIVVKARHVSGSTHQVPIEIKKPQGRALVIRWLVAASRKCPGRNMAFIFSSELMDAVKGRGDAIRKKEDIQKMAEANRTRAPFR >CAK8561798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:385924900:385926326:-1 gene:gene-LATHSAT_LOCUS15354 transcript:rna-LATHSAT_LOCUS15354 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSNVIELCKSPEERKSEAEQELQDEESFSYAIHLSTSIVLPMALQSATELGVFDVLLKAGHDAQLSADEIASRLSCINPDAPKMLDRILALLASHSILKCLVLQDEQKLGSFRRLYTMAPVARFFARDSDGVSLGPLLSLIVDKVFLASWPELKNAIREGGVPFDRVYGTHAFEYPSLDSRFNQVFNTAMINHTKLVMKKILECYKGFDNVKTLVDVGGGLGVNINLVTSKYPCIKGINFDLPHVVQHAPSYPGVEHVGGDMFESVPNGGSIFMKWILHDWSDEHCLKLLKNCYDAIPDDGKVIVLETLLSITPENGYASRYTSQFDVLMMTQHLGGKERTKEEFEDLATRAGFSGIRYECCVRNFWVMEFFK >CAK8542862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:554278775:554279406:-1 gene:gene-LATHSAT_LOCUS11664 transcript:rna-LATHSAT_LOCUS11664 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRALFLFALIATIFSTIAVAKDFVVGDESGWTLGVDYQAWAANKVFRLGDTLTFKYVAGKDSVVRVNGSDFQSCSVPWAAPVLGSGHDTVVLTTYGRRWYISGFANHCKNGQKLVITVVPSQQLPWSPVPSPSASPVPAPEAAPPSNAPWTATVPRRSMLPKKLFKMIHTNLIAV >CAK8573261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598647655:598651304:1 gene:gene-LATHSAT_LOCUS25731 transcript:rna-LATHSAT_LOCUS25731 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRILTALKDEESGMELEDDSPAAKKPKSERFPLNSWEFAVAVAVFFVFSTGLVCIYLSMPAATSANLKLPRTLSDLRALKDRFSTYANENPVQFIVGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGIMLVVFNATAGASSCFFLSKLIGRPIVTWLWPEKLRFFQSEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPFHIFFFATLIGLIPASYITVRAGLALGDLKSVKDLYDFKTLSVLFLIGFVSILPTVLKRKRVYE >CAK8573896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:644971655:644975023:-1 gene:gene-LATHSAT_LOCUS26291 transcript:rna-LATHSAT_LOCUS26291 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSEFQPLNENTLIEYIKSIPALSSKLANNFSDITVKEVGDGNLNFVFIISNSSGSLVVKQALPYVRCIGESWPMTKERAYFESLALKEEGKLCPEHVPEVYHFDRVMSLIGMRYLEPPHIILRKGLIAGVEYPFLAQHISDFMANTLFFTSLLFRSTTEHKRDVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPDLDRDAEAVREDNLLKLEVAELKSKFCERAQALIHGDLHTGSVMVTPESTQVIDPEFAFYGPMGFDIGAYLGNLILAYFSQDGHADQANDRKGYKEWILKSIEDTWNLFHDKFTGLWEKHRNAAGEAYLPAIYNNPEVQLLVQKKYMTDLFHDSLGFGAAKMIRRIVGVAHIEDLESIADAGKRATCERRALNLAKMILKERRKFKSISEIVSAIQQF >CAK8566826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465681187:465681481:1 gene:gene-LATHSAT_LOCUS19931 transcript:rna-LATHSAT_LOCUS19931 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDANPCFYLQGYRNALDHMQEKDFIWRPYIQYPMPNLRDSQIWSAITFLICFYTVEMHQTDRVKLKFGFE >CAK8540859.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:25997032:26000898:-1 gene:gene-LATHSAT_LOCUS9821 transcript:rna-LATHSAT_LOCUS9821 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLSPNAAPVALSPSSSVVVLSPPPSPLPGSSQLNQTSNSPPPSSPSDPSALPPSDPSAPPPSPPQTVPVTPPPSPPVSPPPSPPVSPPPSTTPPPSSPPPSPRSSPPPPVVTSPPPAPVVTSPPPAPATTSPPPPVNTAPPPDETSPSPPSLSPPPPATNSPPPKSSKPPPSQTISPSQPPPPPPDKVSKPPSANPPPAPHAKPPKRTPSPPSPDASPPSVSQPPPSHDASPPSVSQSPPSPSTVPPPSPLPSTLTSGPAAPPAPLPRLPTNETVPGGSPIVPLPLRPSVDGSNNIATNNRPSHSGGLNTGAAVTIGVVVSLIVLGLLGLAVCFVQKKKKGKASRSDYAAPSPFTSSHNSGTLFLKPYPPANLNGSGNGSEFVYSPPEPSGVNNSRSWLTYEELIQATNGFSEQNLLGEGGFGCVYKGLLDGREVAVKQLKIGGGQGEREFRAEVETISRVHHRHLVSLVGYCISEHQRLLVYDFVPNDTLHYHLHDEKAPILNWPIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDHNFEALVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYATSGKLTDKSDVYSFGVVLLELITGRKPVDASQPIGDESLVEWARPLLIEALDTEDFETLVDPRLEKNYNRNEMFRMIEAAAACVRHSSVKRPRMSQVMRAFDSMAEFSDLNNGMKPGQSSVFDSAQQSAQIKMFRRMAFGSQDSSSFFNDSQSSYGSRNQDSTTTLSQNKSRPWNVRDDPL >CAK8530825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53618600:53619562:-1 gene:gene-LATHSAT_LOCUS662 transcript:rna-LATHSAT_LOCUS662 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRNKALRKTVVNMGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTRLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDNFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8530826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:53618600:53619517:-1 gene:gene-LATHSAT_LOCUS662 transcript:rna-LATHSAT_LOCUS662-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGELRRTDRAALEWIDNIPRAKWSRAFDGGQRWGHMTTNLAESMNSVLKATRNLPITALVKSTYYRLGSLFGKRGHDWTRLLASGQTFTKNCIKGMADEAIKSSSHNVIQFDRERFCFMVAECINQRDGRPLGTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRQDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDNFEKEKRRCGICREIGHMRRKCPNVAGPSNRPV >CAK8541555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:243766063:243768558:-1 gene:gene-LATHSAT_LOCUS10468 transcript:rna-LATHSAT_LOCUS10468 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKPKTGDVAAVIMEAPSHTTAVGVRTRAKTLALQKSPTSSPQHTDSSSFLQLRSRRLWKVPPPPQPRRENDSVGSLRLRECSIKTFSCMHSVENLGNGNFCAEEENFDFTMEGSFGENFLEIEGLDRSTRENTPCSLIRDSSAIHTPGSTTRQRTHRIFHEHIQRNIPTAYEMDEFFAFAEKQQQAIFMEKYNFDVVNDVPLPGRYEWVPVLH >CAK8565491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:242232502:242234583:-1 gene:gene-LATHSAT_LOCUS18705 transcript:rna-LATHSAT_LOCUS18705 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGVLLWVNCGLKENAIPLMGLGGRDVRIQKRCSGISFASFSSAVVEPTRSSEERVYEVVLKQAALVKEQRRIVKKRGLNLDTKPIEGDFTNGDLLSSAYDRCGDVCAEYAKTFYLGTQLMTEERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWEQRLTDVFEGRPYDMYDAALSDTVTKYPVDIQPFKDMIEGMRLDLRKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPQSKASTESIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAKAGLSDDDIFRGRVTDKWRNFMKGQIKRARMFFDEAEKGVSELSSASRWPVWASLLLYRQILDSIEANDYNNFTKRAYVGKAKKLLSLPVAFGIAFFGPQKLTKETTR >CAK8565492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:242232502:242234580:-1 gene:gene-LATHSAT_LOCUS18705 transcript:rna-LATHSAT_LOCUS18705-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLLWVNCGLKENAIPLMGLGGRDVRIQKRCSGISFASFSSAVVEPTRSSEERVYEVVLKQAALVKEQRRIVKKRGLNLDTKPIEGDFTNGDLLSSAYDRCGDVCAEYAKTFYLGTQLMTEERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWEQRLTDVFEGRPYDMYDAALSDTVTKYPVDIQPFKDMIEGMRLDLRKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPQSKASTESIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAKAGLSDDDIFRGRVTDKWRNFMKGQIKRARMFFDEAEKGVSELSSASRWPVWASLLLYRQILDSIEANDYNNFTKRAYVGKAKKLLSLPVAFGIAFFGPQKLTKETTR >CAK8565657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300525310:300527286:-1 gene:gene-LATHSAT_LOCUS18848 transcript:rna-LATHSAT_LOCUS18848 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQRMKKAVTDNPKKLANLIDLVNLPSTLRDFVGQSQTSRLSCFMRVWSYIKTNNLQDPNNKNVVNCDEKLKGILLGKPQVELAELPALIKLHFPKEPK >CAK8578621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641896904:641899316:1 gene:gene-LATHSAT_LOCUS30617 transcript:rna-LATHSAT_LOCUS30617 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEELVDGKVDWRGRTAVRHKHGGMKVSLLVLAAFAFENMATLSLAVNLVSYFTGIMHYELSDAANMVTNYAGVSYMFSIVVAVLADTLIGRYKSVLLSGSIECLGLALLAIQAHSRSLKPAICNLYDKNAVCEKIKGNHEAFLLVGLYLLAFGSAGLKASLPPHGADQFDERNPKEARQMSSFFNGLLLALCVGGSVSLTFNVWIQDRKGWDWGFGISTIAIVFGTITFAFGLPLYRIQVAQRTNSLIEIFQVYIAAIRNRNLPLPADSEQLYEIEKDKEAAMEIEFLPHRDIFRFLDKAAIERRSNDVQSEKQEIPSQWKLCRVTQVENAKIILSMIPIFCCTIIMTLCLAQLQTFSVQQGFTMKTKIAKHFNIPPASLPIIPVAFLLILIPFYDQICVPLLRKLTGIPTGVTHLQRIGVGLVLSSISMAIAAIIEVKRKNVARDNNMLDAIPGVQPLPLSIFWLSFQYFVFGIADMFTYVGLLEFFYSEAPKGLKATSTCFLWCSMAIGYFLSSIMVQLVNRATKNITASGGWLAGNNINRNHLNLFYLLLSLMSLLNFFVYLVVSKRYKYRPQSPEVRGVDSQ >CAK8576956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528060493:528061820:1 gene:gene-LATHSAT_LOCUS29110 transcript:rna-LATHSAT_LOCUS29110 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVAVCCVVGFLGLLSAATAFAAEATRIRGYQIQFISPNQCMYPRSPALPLGLTAALSLMISQILVNLSTGCICCRRNLRIPDASWTVALACFVLSWFTYLIAFLLLLTGAALNDQRGEESLYFGTYYCYVVKPGVFAGGAMLSLASVAFGIIYYITIMEGKNASNAFGNSSNPNQGNIAMGQPQIPTQTSQNPVFVHEDTYIRRQFT >CAK8544329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678826121:678826483:1 gene:gene-LATHSAT_LOCUS13018 transcript:rna-LATHSAT_LOCUS13018 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASFLLPAFYLFMVSISIFATTTSSATDEHRLRWEATTTTPTCQGSIEECIEENEFGMDIESHRRILATTKYISYRALQRNTVPCSHKGASYYNCKPGAEANPYTRGCATITRCRNSS >CAK8530708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:43010416:43010826:-1 gene:gene-LATHSAT_LOCUS552 transcript:rna-LATHSAT_LOCUS552 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKACSVVAKGHEEGIKLATSILNEFGLPPGLLPLVDVIKVTFVRSTGYMTILQKKKVEHKFELIGKLVSYDTEINGYLLNNKIKKLKGVKAKEVMLWPPVYEIRVDEASTGKIHFKGFAGIVKTFPVEAFATEN >CAK8571889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490444720:490445053:1 gene:gene-LATHSAT_LOCUS24512 transcript:rna-LATHSAT_LOCUS24512 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEFGPQPPHKWLTLPDMGYVIVNRYNVVLVYLGVECWTFFPMTISFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTVD >CAK8561623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:325558432:325562954:1 gene:gene-LATHSAT_LOCUS15198 transcript:rna-LATHSAT_LOCUS15198 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTRSALKLHLQTSPTRYQFFFSRFNNALRNPNSPSSPFNFKANRFFSVTLPRQPQTQPFVGSVVSSTSSEDFKGRDTFFAEENVSWSSLGLSDTLSGALSSIGLKTPSLVQASSIPPVLSGKDVVIAAETGSGKTYSYLLPLIDKLRDAHDQSLDVVSDKEVYPPAGKILLVLCPNVQLCEQVVKMANSLCSDNDGTIVNAASICGRQGWPIREPDIIVTTPAALLNHVDVDRRRRMEFMRGVKYVVFDEADMLLCGSFQNKVIRLIQLLCYDEKLLSQSKTPVTEFSMKLEKSSLPSDDALDLEGEDELPPEAISDEDDDKEDIIIINDEAESLKKTRREWRRVRKHYERSKQYVFVAATLPVNGKKTAGAILKHMFPDAEWVSGNYLHRHNPRLKQRWIEVSVDTQVKELIKAVNHGLESEDLDSDGGICRTMVFANTVEAAEAVAKILNYTGLECLRYHKNCTLEERAQTLVDFHEKGGVLVCTDAAARGVDIPNVLHVIQADFATSAVDFLHRIGRTARAGKNGLVTSMYTESNRELVQAVCQAGELGLPVETAFSRKRSFRNKLKKKANADKVRDSAAIEESVTA >CAK8540621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:12573568:12575439:-1 gene:gene-LATHSAT_LOCUS9607 transcript:rna-LATHSAT_LOCUS9607 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVNNIVVCLLLFCVHVAVAFASSSESNWLSHGGDLSNRRYASMERKINPITAPRLKLKWKFFAGQDITATPTIYDGVVYFPSWNGNIYAIRQIDGSVVWKKNLKELTGLNATVFIFNANGTVSRVSPSVAGDLLILGIYGPAVIAGLNRTNGELVWLTKLENHYRSFVTMSGTYYNGSYYVGVSSLEEQVAIDKCCTFRGSFAKLDAKTGAILWQTYMLPDNKGKRDQYAGAAIWGSSPSIDVYRKHVYIATGNLYSAPQNILDCQERQNNQTTPVETDECIEPENHSNSMLALDLDSGKIKWFKQLGGLDVWFIACNNASTPNCPPQGPLPDSDFGEAPMMLTTYVNGTKKDVVVAVQKSGFAWALDRDNGTLIWFKQAGPSGTGGGGIWGASTDEKRVYTNSANSNKDNFQLLPSNMNTTTGGWVAMDPRNGEILWSTANPGNSTVSGPVSVANEVLFGGSTDLSGHIYAINARNGKILWSYATGGSVYGGMSISNGCVYSGHGYNVSLGVFSNYTGGTSLFAFCV >CAK8535383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845728987:845731079:-1 gene:gene-LATHSAT_LOCUS4848 transcript:rna-LATHSAT_LOCUS4848 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGRKVVVSALQFACTDDVSNNVATAERLVRDARKLGANIILIQELFEGYYFCQAQREDFFQRAKPYKDHPTIIRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKFYFNPGDTGFKVFQTKYAKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPHDQSIDSRDHWKRVMQGHAGANLVPLVASNRIGNEIIETEHGKSEIKFYGNSFIAGPTGEIVSIADDKEEAVLIAEFDLDKIKSTRHCWGVFRDRRPDLYKVLLTLDGNNPVRR >CAK8570181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:27860283:27865082:1 gene:gene-LATHSAT_LOCUS22956 transcript:rna-LATHSAT_LOCUS22956 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSKRVLLTSNADDISHGIAFHLAKQGCRLVLMGNATSLECVARKITDSVTGSVSVHVVELDFENDDESVFHHAVDKACKILGKLDAFVNCYSYEGKVQDHLELAESEYKKIVRINFMAPWFLLKAVGQRMRNFNTGGSIVFLTSIMGSERGLYPGAAAYASALAGVQQLVRASAMEIGKYQIRVNAIARGLHLDDEFPLSVGRERAEKLVKEAAPLERWLDVKNDLASTVIYLISDGSCYMTGTTIFVDGAQSITRPRMRSFM >CAK8573413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:610867726:610868351:1 gene:gene-LATHSAT_LOCUS25860 transcript:rna-LATHSAT_LOCUS25860 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEIHDDKIKQKAMKAVSGLSGIESVSMDMKDKKLTLIGDIDPVKVVAKLRKFCHAEIISVGPAKEEKKEEPKKKEDDKKDSTKEIVIDPFIFYGTHAYYNHQMKPQYSPYYGAVSVEEDPNSCVII >CAK8570776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:112305184:112306813:1 gene:gene-LATHSAT_LOCUS23500 transcript:rna-LATHSAT_LOCUS23500-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRLKTVEFLLKSSHDLNVSAIVKYSAFSFFADRFIPSLPPYIERANSLNWLLKPVTESTLQLFVLISLWISSKIHDSRPLSVASLKSLADKSIKEQHFTTRNFLEAEVLFMQVLSFEIGTTNIAFSLLQELWIQIKGVAKVGELIRFEVCMDIMDLLYEKEETSYFYRTPHSLAASILVVSYLMTVPKQKWEFPVIAWVTLATSCKEEDIMKMVTEILKHVIEPS >CAK8570777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:112305184:112306813:1 gene:gene-LATHSAT_LOCUS23500 transcript:rna-LATHSAT_LOCUS23500 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRLKTVEFLLKSSHDLNVSAIVKYSAFSFFADRFIPSLPPYIERANSLNWLLKPVTESTLQLFVLISLWISSKIHDSRPLSVASLKSLADKSIKEQHFTTRNFLEAEVLFMQVLSFEIGTTNIAFSLLQELWIQIKGVAKVGELIRFEVCMDIMDLLYEKEETSYFYRTPHSLAASILVVSYLMTVPKQKWEFPVIAWGKKVNLVTLATSCKEEDIMKMVTEILKHVIEPS >CAK8533673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:648677496:648678331:-1 gene:gene-LATHSAT_LOCUS3278 transcript:rna-LATHSAT_LOCUS3278 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTEAFPDLGKHCQLLDCHQLDFLPFTCDGCKQVFCVEHRSYRSHECPKPDHNSRRVVVCEECSMSMEITDNVGENEEAVLKKHRSSGKCDPTKKKKPICPVKRCKEILTFSNTSTCKTCNIKVCLKHRFSADHACRRGDSASSLTSGAGNGSWKNRFMAALASRSNGQDCGKKAGSRSTTNSPPSTPSVKAY >CAK8541797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:398069069:398069761:1 gene:gene-LATHSAT_LOCUS10692 transcript:rna-LATHSAT_LOCUS10692 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQEQAAFREELDSIKGKIDQILEAIIIARREEEQREAADVVNNNGQVQVSTPRLSAPVPNPYGMPMNFATAVEGTTSQPSPSPGVTVGATLQAQPTVAQIPVPHNEDNMVDQYDDVQNYHAAIPIASLVAAQDSEAIKMCRDLAEKLRVMEGNNSNPLSALEMCLVPDVVIPPKFKVPEFSKYKGLSCPNIHLKMYYRKMAAYARDEKLMIHCFQGIYLIFFPFQI >CAK8568450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:606696487:606702692:-1 gene:gene-LATHSAT_LOCUS21406 transcript:rna-LATHSAT_LOCUS21406 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGKPRLPKFALPKRYDIRLKPDLVECRFSGSVAVNLDIITATYFIVLNAAELTLGNDAVSFTNRDSSKVFKPSKVELFEDDEILVLEFPEEIAVGLGVLNIQFEGILNDRMKGFCRSKYEHNGDKRNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSDLVALSNMPVAEEKTDNNLKTVSYQESPIMSTYLVAFVVGLFDYVEDHTPDGVKVRVYCQDGKANQAKFALDFAVKTLGLYKDYFDIPYTLPKLDMIAIPDFAAGAMENYGLVTYQETCLLFDDQHSSVSNKQWVAIVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWLSYLAADDLFPEWKVWSQFLHECEAGFRLDGLAGSHPIEVEINHVREIDEIFDSISYKKGASVIRMLQSYLGAESFQRSLASYIKRHACSNAKTEDLWAALEEGSGEPVNKLMTTWTKQQGYPVVSVKVNNQNLEFDQSQFLSSGAQGEGQWIVPITLCFGSYDVRKNFLFQTKSETRDVKELLGSPIAEDNTSWIKLNVDQAGFYRVKYDELLAAKLRYAIEKQLLSPSDRFGVLDDTYALCMARKESLTSLLNLMAAYREEDDYTVLSNLISISQKVQRIAADAVPDLLDYFNQFSINVLQYSAERLGWDPKPEESHDDALLRGEILTSLAKFGHDLSLDEASKRFQAFLEDRNTPLLAPDIRRAVYVAVMKQATKSNRSGYESLLKVYRETDLSEEKTRILGSLSSSSDPDLILEVLNFMLSSEVKTQDATCGLGVNREGRDVAWAWLKENWEHISKTFGSGFVITRFVSATVSPFASLEKAKEVEEFFDSHPMPAIVRTLKQSLERVNINANWVQSVKNEKGLADVIKELAYRQY >CAK8560244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11605218:11605769:-1 gene:gene-LATHSAT_LOCUS13934 transcript:rna-LATHSAT_LOCUS13934 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPIITKRVWNMIRVALFMLRKGISKGKLMMDLNMMLKRRGKLAGKAITNLMFPHHHGSSTSRDYEFSCSNTPNYKFVLNNKRQHRNNHFFACAHAPLTQDDDIVTVNAVKTMLENMVNNHEAMVEASPALPGFGRTPKARQLRVTDSPFPADDTDTVAEVDMAAEAFIKRFYLQLRKQD >CAK8544046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659896590:659897279:1 gene:gene-LATHSAT_LOCUS12756 transcript:rna-LATHSAT_LOCUS12756 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFSEQTKGQKFPVNASDQKMLEIVMDRYEKELMHPIQNLVSGELARAMLIQVQKLKLDIETAMLELNQILRANEINFAVLAALPAFFVSLLLIMLIRGWFKRDTKAEGRGRIARIQRRLLVAKAEGMLVDRSYLAGIEKVTKVEQEIAEDRFRKWACRYCPDARHMNIGSEIQLRQLLFGGTVNRKNSSLSLPTERIFKIPNVDGVIEEGKKAPKKFRDMKVKSLT >CAK8570120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:24427760:24430288:-1 gene:gene-LATHSAT_LOCUS22899 transcript:rna-LATHSAT_LOCUS22899 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKPYFYIFTLFMLSTYFVPIFGDTNGQILIRFKSFLSNNNALNNWVDESNLCNWSGLLCTNNIFHGLRLENMGLGGKIDVDTLLELTNLVSFSVMNNSFEGPMPEFKKLVKLRGLFLSNNKFSGEILDNGFEGMVNLKRVFLAGNEFNGHIPFSLTSLTRLLDLDLHANSFGGNIPVFQQNFFRFFDLSNNQLEGQIPISLSNEPLASFSGNKGLCGKPLNPCNISPTKSILHPNSAPSTEGNTNKHKKLLRVLIIVLAIVLLASIVALLFIQSRRRRRSVKQLNPILGLQQNSQKTQSFKETQSIDLAGDFSKGENGELNFVREDRGSFDLQDLLRASAEVLGSGSFGTTYKAMILNGPTVVVKRFRHMNNVGKNEFFEHMKKLGSLNHPNLLPLAAFYYKKEEKFLVYDFGENGSLASHLHGRNGIVLNWPTRLKIIKGVARGLSHLYKEFPKQNLPHGHLKSSNVILNNSFEPLLTEYGLIPLTNKNHAQQFMASYKSPEVTHFDRPNEKTDVWCLGILILELLTGKFPSNYLRHGKGGESSDLATWVNSVVREEWTGEVFDKDIMGTRNGEGEMLKLLRIGMFCCEWSVERRLDWKSALAKIEELKEKDSEDESFSYVSEGDLYSRGVTDEEFSFSVVTDSHVDKIGNVTE >CAK8569903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14975832:14977771:1 gene:gene-LATHSAT_LOCUS22705 transcript:rna-LATHSAT_LOCUS22705 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKITWKSMILILNCYKSKYPLEESKKQVLKQGSFQRLCLSDISNSSSTQAIEDISVSFAGSKLHAFTLEELKEATHGFSWSNMLGEGGFGPVYKGFVDDKVRQGLKAQTVAVKCLDLDGLQGHREWLAEIIFLGQLRHHHLVKLIGYCCEDEHRLLVYEYMPRGSLESQLFRRYAAAMPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGGETHVTTRVMGTHGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRRVVDKSLESKRGKSLVEWARPMLRDPKKLDRIIDRRLEGQFPMKGALKVAMLAFKCLSHHPNPRPCMSDVVKILETLQDFDDVFVGPFVYVAVSENGDKDQI >CAK8578155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610236051:610236780:1 gene:gene-LATHSAT_LOCUS30193 transcript:rna-LATHSAT_LOCUS30193 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSKFFSSLKQVEKRIKLENKSTKTTESQVQESNVLSTLGSPLFLQPSCSQTCPTQESSEPPQQFLSASQGFSLTHQNPTQTTPSDGFTIANEDVDEIEELMMLLGMSEEQRGGFDFEGDDGDCDSCHCEGGFHSKIVGVEGPKCKKEVLRLDGWIKHFLNGDGDDGVEKKEPLRLAHLLLGKAAFVSEGGFGGLEFPSTIQEFLHTDSPSN >CAK8540934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:33315973:33316497:1 gene:gene-LATHSAT_LOCUS9890 transcript:rna-LATHSAT_LOCUS9890 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSNFDLALCCEIVNTDIVFFEQKLNEEASQVANDAVGSIRTVASFCSEEKVMELYKQKCEGPIKTGIRRGIVSGFGFGVSFLVLYAFYACSFYAGARLVEDGKSSFSDVFRVFFALSMAAIELSQSGSLVPDLTKAKSAAASIFM >CAK8573061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:580470250:580470624:-1 gene:gene-LATHSAT_LOCUS25552 transcript:rna-LATHSAT_LOCUS25552 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEILAALISTPTTDANSALRSSISTHAPTNDQVMNDNINEDFEFEDEEM >CAK8571555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:439957620:439961397:1 gene:gene-LATHSAT_LOCUS24205 transcript:rna-LATHSAT_LOCUS24205 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSETDGNDDGDRRLSLIDISSADDSLIVNPFHHQHSEIFCTSNLKEEFDDAATKINEWEREPRPNVIENPKCNLRQSLAWDTAFFTSPGVLEPEELSSMIVGVEKGNKRSSLLPGIQEDVKGSCESITTFTNKNSLTQESVEDDLFQDVRASIQNFIRMSDVARLNREVLPQFCPGGSSKAVGMASCKKVPSSKSPSAGMQSTGKLPKKNPISSHLSQKPVARREETCISKQQKTLGKSSPRSTISSNRSSISDLHVKSKKDRANDKNSGKVNSVMNTTVVKGSQVNGLKAITLSKSSSTKAKSTICSSSSRNLSCNISKSPSNSLKKKVDAGNKKPTSSSSVVRTPSRNPPRDKIGSTNSSLSGLVSVTKLSSSISPARSISDGSSESSSPSTSITKVRSNSSRTTFHSSSSKKHLPGNNARHVLNSQKSQTGSCLEGKETRNAGSISQSVRGATAAKMVPTPSPKKPLGLRQPSPKIGFFEGVKPSVRNLRGEMQPRSTASQSKASPFIGQNKEKLGKLKSPRAIISTENKVFNDQQALHQVTLNKSQDDGIETSTASQHVESSAVVPMEFQNQMHCKTDAGNLDANNTMVKGHLIGANDLKPGFTQEKSCYDDQVDCLSRQVELMDINLKTQT >CAK8537274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:194455808:194471486:1 gene:gene-LATHSAT_LOCUS6573 transcript:rna-LATHSAT_LOCUS6573-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHCNSLFLHKISHSITTHSFNPLLSFPGFIPNLSTSVSTTSTVFNPKISRLACNVSADNAPVSNFSVTDSYKLTYLEGNSWLWNVGGANILVDPILVGNLDFGIPWLYDASKKFLKNFQLSDLPEIDCLLITQSLDDHCHLKTLNPFSLKFPNTRVIATPNAKSLLDTLFRNVTYIEPGQSFEIETKDGSKIKIKATAGPVLGPPWQRPENGYLVTSPQVQLSLYYEPHCVYNPSFIEKERADIVITPVVKQLLPKFTLVSGQEDAVKLAKLLQAKFVVVMKNGDLDSKGPLASIIQSEGTIESFKDLNSGKIIGSAKESGGLYYLTLNLHHNYL >CAK8537273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:194455808:194479663:1 gene:gene-LATHSAT_LOCUS6573 transcript:rna-LATHSAT_LOCUS6573 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHCNSLFLHKISHSITTHSFNPLLSFPGFIPNLSTSVSTTSTVFNPKISRLACNVSADNAPVSNFSVTDSYKLTYLEGNSWLWNVGGANILVDPILVGNLDFGIPWLYDASKKFLKNFQLSDLPEIDCLLITQSLDDHCHLKTLNPFSLKFPNTRVIATPNAKSLLDTLFRNVTYIEPGQSFEIETKDGSKIKIKATAGPVLGPPWQRPENGYLVTSPQVQLSLYYEPHCVYNPSFIEKERADIVITPVVKQLLPKFTLVSGQEDAVKLAKLLQAKFVVVMKNGDLDSKGPLASIIQSEGTIESFKELLSKELPGAKVVEPTPGIPVEIPAN >CAK8542706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:539101796:539102692:-1 gene:gene-LATHSAT_LOCUS11520 transcript:rna-LATHSAT_LOCUS11520 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNKTQSPDENPFASSSSSSIPATSQNWGTHMMGAPAIPSSHPDNKKAALQTDTQPPQQPQVQYYQQDHPYVQHTPVEKPSNSPMESILHMFDSWSKKAEATANNIWHNLRTGPSVSSAAMGKMNLTVKAISEGGFESLYKQIFTTYPNENLKKTFACYLSTTTGPVAGTLYLSNIHLAFCSDRPLSFTAPSGQETWSYYKVMVPLGKIGTVNPVIMKENQSEKYIQIVTVDGHDFWFMGFVNYDKAMKNLSEGVSHFVVPGVAVPSPTSS >CAK8536560.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:954458455:954458810:-1 gene:gene-LATHSAT_LOCUS5918 transcript:rna-LATHSAT_LOCUS5918 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKILLGTPHPSSLHLSLSPATTNSNEKLRPYPSSSSLVLSREPNILGSEQHCLLPLLSRRNQNDNAALEPSRHNSSATTCF >CAK8535097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813995551:813997648:-1 gene:gene-LATHSAT_LOCUS4576 transcript:rna-LATHSAT_LOCUS4576 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGALREQFTHLRSYGEELLKSNPNSTVKIKCADSDGGLVFERIYVCLEACKAAFATTCRPLIGLDACFLKGDFGGQLIGVVGKDGNNKIYPNAYAIMEAETKDSWKWFLNSLLEDLQSIKDNKYVFISDQQKELVPAILETSQHVKHRLCVKHLYGNWRKKYPGIFMKEVLWRAARATKIPAWERAMNHMKELNVNAWKDMMDVPAACWTHSHFKTDTQCDFQVNNMCGAFNRAILEYRDKPIISLLEGIKHYITVRISAQKEKLSRYTGVTSPNIQKVLEKTKRAAEGWIATWHADDDFAIFGVSNGVETYAVNLLQQKCGCRKWDLSGIPCCHAIACIWYNKKEPEEYVSSFYRKSTVLATYNHIIMPTNGPQLWPVNVANPISPPVMRRSIGRPKKNRNKENDEPRIRNTLPRTLQTVKCKKCGSFSHNKRTCKGKRAAEREIPKGATKNLKKGDKSGKEAGQTVIDRGSQAPLPIQE >CAK8566297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:401101740:401103781:1 gene:gene-LATHSAT_LOCUS19436 transcript:rna-LATHSAT_LOCUS19436 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSNQFLLGCCSCSSSSSFPSKSLQQPKFARFPSRPFSASNTILGFPTPLSSLHRTSLFASSQINNETKEIQFDEHQKTLPSLVVLIEAYKKAFLTGDEKIASEFEERIQLKENEKNKLVQKLSTLSVDKVSVKEQYLRLQADFDNFRKKCDKERVGIQSDAKQGFIKKLLLMADHFERVKQQIEVATEKEKKIDVSYQSIYKQFVETLRSHHVSVVATVGKPFNPLLHEAIAREESEVFKEGIIIKESRRGFVLKDKVLRPAFVKVSLGPGNKKSSVAPAKPVEQPSTAARIDER >CAK8569413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:700278972:700279457:-1 gene:gene-LATHSAT_LOCUS22268 transcript:rna-LATHSAT_LOCUS22268 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAATGFKLKGNFDRKTKDILVMGFTGQLKGWWGDLLSLEDKTQIDTAVKVDSNEVVCVTTLLYAITKFFEGELLKFQSRVGDQLLNLYCPIMSDYWWYRYIFLSNLCLRSDGSTNYWKERFISRLPRLFAEKVKSNIKQNFNGDIPYPALTMGELFTIL >CAK8531334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:101425346:101425660:1 gene:gene-LATHSAT_LOCUS1134 transcript:rna-LATHSAT_LOCUS1134 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTRQISSQQQRARRTENERKRRQNMNIEQRENYLSIRRDIYRQQKEQDKQAQISRTMNSRRRVLFQNFTNMRSPISHFQGTHDNEADLSRITHVNDVALGW >CAK8566335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:409370408:409373997:-1 gene:gene-LATHSAT_LOCUS19470 transcript:rna-LATHSAT_LOCUS19470 gene_biotype:protein_coding transcript_biotype:protein_coding MTGISVTGIITYTLTPTISSFTRFSPTFFTSNFRLRAASSSSSSTTFLDTNPTLDSVVVEKEVTRSSNPLACPVCYNSLNWTTHHALSIDTILGCSLQCRTCQKAYVGNQTHLDLTAISSAKNYGESMPASTELFRTPLISFLYERGWRQTFSVLGGFPGPEKEFEMMKGFLKPILGGNIIDASCGSGLFSRLFAKSGLFSMVVALDYSENMLRQCYEFVQQEDNFPKENFILVRADIARLPFVSSSVDAVHAGAALHCWPSPSSAVAEISRVLRPGGVFVATTYILDGPFSAVPFLTTFRRSVKQVSGSCIFLSERELEALCKACGLVGFKCIRNGPFVMISAAKPK >CAK8533812.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:661922473:661923128:-1 gene:gene-LATHSAT_LOCUS3408 transcript:rna-LATHSAT_LOCUS3408 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKSLSVGSNKKGIDRVRYLLKRFLVGSSSVVSLRYTVFVIDECHMLTSKAWLGFLKFLEEPPQHVVFIFITSDIDNVPRTIQSRCQKYLFNKIKDGDIATRLKKLSTQENLDVDTDALDLIAMNANGSLRDAETMLEQLSLLGKRITTSHVNELVGVVSDEKLLELLIV >CAK8532300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:242981725:242984249:-1 gene:gene-LATHSAT_LOCUS2024 transcript:rna-LATHSAT_LOCUS2024 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSKELHAKHTKILEGLLKLPDNRECADCWTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPDQVSFMQFMGNVKSNKHWEAKLPPDFDRNGYGIEKFIRAKYVEKRWASPGKLQSAPKSAEIFFEIKELPAAAAKNGIKKNRRLSLEESILVNHMAQIRPPIPKSYEGPLLDIPMKISPPLLKRPSASLDFDNAIGNSNGTIDLFNLLSIHDDKQSTSTTPASWTTFDCKITVLVSHPLNPSPIFLYTLGNKNSRRIIIAGEYFCRDKFNAVIAYLAFTWLIYVYRRRRVNCVCS >CAK8542582.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:527392342:527392725:-1 gene:gene-LATHSAT_LOCUS11410 transcript:rna-LATHSAT_LOCUS11410 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFRELLKKYGKVAIGVHCSVSAASVTGLYVAIRNNVDVEAILEKFHLGAASPEENANPNSDTDSSAVPVKSRTAQMAASAGGAFTLALLCNKALIPVRVPITIALTPPIARFLARRNILKTGV >CAK8562821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:542907245:542911614:1 gene:gene-LATHSAT_LOCUS16286 transcript:rna-LATHSAT_LOCUS16286 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSGFPFTQNMLPFGFPFGPGMNPFVFHEHQASALEPNNRRGPIIQQLDSDDKYEDETKEKKENPKKNRRPRSTEPTMEHPYDKLEGKKIRHLQGRNVFNNFNATELQPQTHSFSFQSSTVSYGSPSGTYYTSSKTRRTGSDRVTLEESKKADSSTRQASHRISIGLHDKISFLVAILYLLVWF >CAK8544802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:706355734:706359041:1 gene:gene-LATHSAT_LOCUS13452 transcript:rna-LATHSAT_LOCUS13452 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVFVHKTWDKWASINIGSGLPLKAALLINYDPTGPSRLLSTVAEQEGIKVNIIETRHFVDFVRQSKLQTEIFNIGSNQYLVTSIHENWYSARCINTSKPAGEGAIVMQTAAYILVALYEGSIGPASRAMGAADQLAAQLGRKNL >CAK8561889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404909919:404912366:1 gene:gene-LATHSAT_LOCUS15439 transcript:rna-LATHSAT_LOCUS15439 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRHSRVRLLRPSLITLQRPILKLALTFTIIILILLSLRIPNSSHLNSISHRNAIRSEDSASERWVEIISWEPRAFLYHHFLTKEECEHLINIAKPNMHKSAVADSKTGKKLNSSVRTSSGTFIARGYDKIVKRIEKRIADFTFIPVEHGENLNVLHYEVGQKYDPHFDYFRDKYNTRNGGNRIATMLMYLSDVEEGGETLFPAAEVDFSSVPWWNELSDCGKKGLSIKPKMGNAILFWGMKPDSTLDPSSLHGACPVIKGDKWSCAKWMRMGKYRI >CAK8564640.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4239933:4240900:1 gene:gene-LATHSAT_LOCUS17924 transcript:rna-LATHSAT_LOCUS17924-2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKDRNLRKKIINMGYTLNQPTFHYYRSEIGMANANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHLPITALVRATYYRLGSLFAERGLKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVSCSYVIAACSNVRHDAYALLSDVYRVINLFGAYSNSFPVMPYDEYWPVYEGDQICHNPRMRRNKKGRPVSTHITTEMDNFDKLERKCSMCHQTGHNRTRCPNVGTSNR >CAK8564639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:4238673:4240900:1 gene:gene-LATHSAT_LOCUS17924 transcript:rna-LATHSAT_LOCUS17924 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNHERFGFQHIELYVTFAQCEETQISRVINPSIIPQEAVEEEDGEEENEAQVDDLFSTLFEEGNIVNEVNRDEQYIPVENVFTPPTHMTTLPLNVKTTSFDWPQTLHIPMEGDMEVGNQFKNKANCVAAIKQYHMKHCVDYKVTDSDKKRYIICCKNDSCKFRLLASYRKRSDLWEIGIMNPPHSCSTTLFNQDHQKLTSQLMSQKLMPFVDKDPSIKVSVCISKIVSEYNFTPSYRKTWIARNKAIEQVYGNWESSYSELPHFLLALKKFVPGTALEIETLPMYTADGTIVEGKHIFHRLFWAFQPCIRGFSYCKPILQIDITWLYGKYKGTLLIAVAQDGNNNIFPVAFAVGGGETAEGWGFFLRNLRRHVAPQPGLCLISDRHASIESAYNNVENGWHDPPSVHVYCIRHIAQNFMREIKDRNLRKKIINMGYTLNQPTFHYYRSEIGMANANALRWIDNIPVEKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRHLPITALVRATYYRLGSLFAERGLKWSAVLNSGETFTDNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAFRVSCSYVIAACSNVRHDAYALLSDVYRVINLFGAYSNSFPVMPYDEYWPVYEGDQICHNPRMRRNKKGRPVSTHITTEMDNFDKLERKCSMCHQTGHNRTRCPNVGTSNR >CAK8571396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:397093767:397094111:-1 gene:gene-LATHSAT_LOCUS24063 transcript:rna-LATHSAT_LOCUS24063 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEEQREVSKELGFMEEDDEDLFEIDLDAVNFIIPQPYNYWQNNYFSSTGEVLLANCLLPISHISSAIPACNNAVSFVGNNNVFLVTYPKPFLGDFGFIDEKMKAKFHFQFQT >CAK8544979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715673531:715678188:-1 gene:gene-LATHSAT_LOCUS13616 transcript:rna-LATHSAT_LOCUS13616 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQELQDEESFSYAVQLCNSVVLSMALHSATELGVFQVLQNSDSDSPLSADDIASRLSCTNPEASKMLDRILALLASHSILNCSVVPDQKDLGSFNRLYSITPVAKFFAPNSDGVSLAPLIALHQDKIFLQSWSQLKDSIREGGIPFNRVHGTNAFEYPSLDSRFNQVFNTAMINHSTIIMKKVLECYRGFEEVKRLVDVGGGLGISINLITSKYPHIKGINFDLPHVIEHAPSYPGVEHVGGDMFESVPKADAIFMKWILHDWSDEQCLKLLKNCYGAIPDDGKVIVLETVMSIIPENNAAWKFAAQSDVLMMTQNPGGKERTEQEFMDLANGAGFRGIRYECYVNTFWVMEFFK >CAK8561321.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:149172960:149179888:1 gene:gene-LATHSAT_LOCUS14923 transcript:rna-LATHSAT_LOCUS14923 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQSRFLNQIPYNSMEPRNEHGSQSVMQGYLDTMYANRTQSDVKPVLNYSIQTGEEFALEFMRDRLNLKSPAFTNLPHSNSTTNGILGITHAGFGNGSAEFNSQTTWLRGSAPKTFLNQETAQFGSYAASNTSSRMIKCLCSFGGRILPRPSDGKLRYVGGQTRILRLRKDISWPDLRRRTLLIYNLLHVLKYQLPGEDLDALVSVSSDEDLQNMMEEYNLIEDREPPQKLRIFLFSITDLEDAQFTLSSIGDDSEVQYVVAVNGMDLGSRNTSTPLGVSTSNNDIHTFNRKTIERDTSNVSVESIGAKTAPLTNKSDTPLPTQSSQQGLPTSIRVRNAPLTNKYDTPLATKSSQQALPTSIGVRNAPLTAKSDTPLATQSSHQVLLTSSNAYETDQLTYGDQMAQSAEISRQYPVHNPVVGETPISMAPHLLNNQPGILNEYHPPSGVQVQKSELSTLQVKTVSGNSSKQGSDHGDVLSLEIPPPSPFQPIDDGYLKSNGPEASAAVTMPEGHLPSLPCPKKVQHQDCKDASSTPSSSFAPNYVDSHSNAMDLSSLHPPPVPKRVYYSERTPREQVEVLNRSSKSDDTHSSQFDVSDLLSDTKPEDPVSESGDNLHDGNLLDPDDKSSISAKPFPEDNHTIDNGFAGHQMNKLLLDTNSEIKSNLSEHMDHELKQVLLSNEGIKDVETKDNHIKPLFDEAETKYGNSDLPAVHHVSSVERLDVIASNLPEIDWGEAYGKESNDNPVVQELPASLAGNITKGVSQDFPPPNVSKQIPGDILIDIDDRFPRELLSDMYSKAILEEDPSNLHPLSTDGVGYSVNMQNHEPKSWSYFGKLAQGLDNVSLIDQDHLGFSYHVTPLTTDRVPLDCEDSNLNFGEENQDLHRSIETETHALKSNYDQSQFTDAKSMQFEYEDDKFETKNCNLSPLDPSSGGFDISSVQVIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTAEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLQKDRCLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLITGGVRGTLPWMAPELLDGCSSKVSEKVDVFSFGIVLWEILTGEEPYANMLYGAIIGGIVTDKLRPTIPSNCDLEWRTLMEQCWAKDPVVRPSFTEIASRLRIMSEAASQTKPQGNKPSK >CAK8570593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:64430972:64431939:-1 gene:gene-LATHSAT_LOCUS23330 transcript:rna-LATHSAT_LOCUS23330 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISATGCVGSSFYGSWGSSIVGEDYTMLAKSVSSQVRIGRGCKPVRLQPMMKNVNEGKGVFAPLVIVTRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGEWLGFLA >CAK8574096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662085836:662087389:1 gene:gene-LATHSAT_LOCUS26473 transcript:rna-LATHSAT_LOCUS26473 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDFLLHLLPQTFVVVLWIAVTPSLYHVHVNAFQKNQLNRCDFSNGKWVVDDPYYPLYDASSDCPFIVQGFDCLRNGRIDQDYLRYRWKPFDCDIPRFDGIKFLETYRGKKITFVGDSISENMWHSLACMLHIAVPKSNYTLTRITKHLSMFSFPEYEVSIMWVKDGYLVDKVRDIKKRRILKLDSINSGNLWHGDVLIFNTYHWWFHRGKTRTIFQLGNKIMENMDNMEAFKIGLTTWSNWTDSNIDSSKTRVIFQGIAAAHAGENYCMGKTEPEEGRKPSYPGVKIVKNVLSKMKNDVYWLDITLQTQLRIDGHPSIYTGLGTFYEDCSHWCLAGAPDTWNEILYAVLLGV >CAK8542429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:512690433:512692050:1 gene:gene-LATHSAT_LOCUS11269 transcript:rna-LATHSAT_LOCUS11269 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYISELPDDVLSYILTRISMKDLLKTSVLSKRWCELWTLRKDLHFDIFNVFGETEEELVQTGYVIDVPDGPTMKTLANLNTTRDEFVKRVDQFVNNFHGTKIASFFVNFYLNSDQSTIIDRWITFAIAREVEIIDLLFLGLPYARSDRRKFYKFPFDLLLETNTSTLKHLSLKGCLICNPTNYDFRPLKNLRFLSLNTVKLDEIFIEKLLFNCGFLEELHLISCHFQASMPKIISSSLLNLKIINVYIVPNMGIVDIDLTLLDCPKLNSLDYLGDGLGTMSINTPMLKYINFPINFPIRDEEQLNTFSLCATFLQLETMRVDITSTVIASLNINQPFKHLKELNLILLLNFDIPMNVNYDLLWILNLLQASPLLQKLSAMFTYPEFLEKQKDIRDIEIFHDEIKVIEFRGFLGNWFEIEFVINVLKYVEKLEQIVLTPCWKEDDSMEEWISDPVCFQSARERISEKLQGEQVLRPEKLVLL >CAK8541285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104335619:104335930:-1 gene:gene-LATHSAT_LOCUS10215 transcript:rna-LATHSAT_LOCUS10215 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGKGIKGFGKGGAKRHMMILRDNIWGITKSTIHRLARRGGVKRISGLIYEETMLFLKVFLTKIIHDAASYTNHARRKIVTDMDVVYTLKRQGRTLYGFED >CAK8579708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717910758:717911957:1 gene:gene-LATHSAT_LOCUS31634 transcript:rna-LATHSAT_LOCUS31634 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAPINRNAFTFPYANKWSVSGMNYQRCLRHSIIQYCNLIDHLGTDDFVWMPYEGLDHQPNPDDVVVWTSTTLIIRFTTVEMHQIDRVKLQFRMHPSLQYMTWFRSVTTSQPFLSQPTYLADPSEHDSSSNTQQQLNAQNRPYENPYMPTNTPYQQHPYMPPAQSQPQPPYHYSPDTSFEPTPSTYSPHNSFDPTLSNSNYPSTYSPDNSFDPTPSNTPQQPTHLDQPNSMYTFGQPYRPYSTQPPRQSFENMGIRLDYGSAIDNGPPGYWSQMMQNLSDTLGPSQQHPPPQLDTQRPDTPQQPGRRYRRNAHPPQCGTDGRLEEPTIILFFLL >CAK8572609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551351993:551352343:-1 gene:gene-LATHSAT_LOCUS25159 transcript:rna-LATHSAT_LOCUS25159 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGMNPLFRSESFGYYNYFPDQNYTMMEKRQLFLRSYQFCRKKSLTERIKGSLIRAKKVVWLKLRHARGLRKLVFFPRFKCGFYYRRRRFFSQLLNTSNSYSHKRKTDSSTCLW >CAK8563856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635394925:635396390:1 gene:gene-LATHSAT_LOCUS17222 transcript:rna-LATHSAT_LOCUS17222 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDDGISRSIVEASPAHYIMKIQSFSLLTKNSIERYESGIFEAGGLKWKLVLYPSGNKSKNVKDHISLYLVLEESSSLYPGWEIYVNFKLFLFDHNNDNYLVVQDAAKKERRYHRMKLEWGFDQFIPLKDFNLGSKGYLVDDICAFGAEVFICRERNTGKGESLTMMKDALPYKHVWEIKDFSKLDSECCDSEPFNVGNFKWQIKLYPKGRGIELGKHLALYLTLVNPTALSPGSKIYTQSTLRIFDQKHGKHQIGKDINWFSASSHEHGFLRFLLISNFISQYSGFLVKDTCYVDVEVTVLGVVDVLS >CAK8563857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635395142:635396390:1 gene:gene-LATHSAT_LOCUS17222 transcript:rna-LATHSAT_LOCUS17222-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSFSLLTKNSIERYESGIFEAGGLKWKLVLYPSGNKSKNVKDHISLYLVLEESSSLYPGWEIYVNFKLFLFDHNNDNYLVVQDAAKKERRYHRMKLEWGFDQFIPLKDFNLGSKGYLVDDICAFGAEVFICRERNTGKGESLTMMKDALPYKHVWEIKDFSKLDSECCDSEPFNVGNFKWQIKLYPKGRGIELGKHLALYLTLVNPTALSPGSKIYTQSTLRIFDQKHGKHQIGKDINWFSASSHEHGFLRFLLISNFISQYSGFLVKDTCYVDVEVTVLGVVDVLS >CAK8536029.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:900664892:900667388:1 gene:gene-LATHSAT_LOCUS5431 transcript:rna-LATHSAT_LOCUS5431 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNVMGNTIPEPNNEMEFESHEAAYSFYKEYAKSVGFGTAKLSSRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPDGKWYVFSFVKEHNHELLPDQAHFFRSHRNSDPLSNDVRMRRKKNSTAGGKLFSAYQNVDCLERFMNRQNDKGRSLVLETGNAQLLLELFMHMQEENPKFFYAIDLNEEHRLRNVFWVDSKGLEDFGYFADVVSFDTTYFTSKYKIPLVLFIGVNHHVQPTLLGCALIADETIYTFAWLLHTWFIAMGERAPQVFLTDQNDAIKAAVAAVFPGTRHCFCLWHVLEKIPKHLELLGAWHDSFMEKFNKCIFKSWTEDQFERRWWKLVDRFKLRDVKWVQSLYDDRACWVPTFMRDVSFAGLSTGSRSESLNSLFDKYVQVDTSLREFIEQYRLILEDRYEEEAKANFDAWHETPELKSPSPFEKQLLLVYTHEIYQKFQFEVLGASACHLKKENDGVIATYNVKDFENDQNYMVEWNTSNSDICCSCHLFEYKGYLCRHAIVVLQMSGVFNIPTKYILKRWTNAALSRHLIGEKLEDVQSKVRRFNDLCRRAIILGEEGSLSQESYHMALGAITEALKQCANLNNSVENAALASTHVGCNVVEEYQSVSTSTDKVSDPKMNTGKKPARTGVAGRSLGSVENIEGNKGKVPQLGVVSGNDGFQRMEATDLRSHNVVPMQFHSMVPAAMFHNVPSPFHNASSTHLHDNHLPP >CAK8576487.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482900564:482900770:-1 gene:gene-LATHSAT_LOCUS28670 transcript:rna-LATHSAT_LOCUS28670 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGRGWPKSKVPPSSENHTKPEVQGEMPSTKTKSDDDVFKNNEKSKIGQSETITEDVKSMPKERKL >CAK8563638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:621602376:621603352:1 gene:gene-LATHSAT_LOCUS17029 transcript:rna-LATHSAT_LOCUS17029 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHFHYQQAFFNYMQNYQNPNPQNSQIPSVLNNSNMFPRPQMNSNSMKFTTQVPPFSTQVPPFSTQDSIVGVDQKAESFWLRIDASYNQYHGQSREKSEGQLKCRWHRINGMVQKFVRCYKNVVKGKKSGTSELDIMSDAHAFFAQDQGTTFNLEYAWRLLKDEAKWCIVGESIGSSSKIKNTSASRASSENPDTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNATQDARNKRAECMERLAQCKEDEIELKVIQIMTKDTSTISDSQRDIHEKYCNKMKKKYGM >CAK8562180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451336552:451337841:-1 gene:gene-LATHSAT_LOCUS15699 transcript:rna-LATHSAT_LOCUS15699 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPSHIAKMFMDYMNDDMDEELVRLFMEEEASSSRRPRRQRRNIERNREEGHERLFKDYFSETPVYTNEQFRRRYRMHKHVFLRIVEALGQHDEYFRMMVDATGRASLSPLQKCTVVIRMLAYGTSADSVDDYLRIGETTTLKCVDKFTRGVINIFGAQYLRRPNAEDIERLMQMGEARGFPGMLGSIDCMHWEWKNCPVALKGQYVRGDHGKPTVMLEAVASQDLWIWHAFFGVAGSNNDINVLNQSNVFNDVLQGRAPEVHYTINRTEYNKGYYLSDGIYPEWATFVKSISMPQGDKRKLFAQHQEGARKDIERAFGVLQSRFAIICNPARSWHLDTLQRIMNTCIILHNMIVEDECATYGGKFDFSYDHLSNDATILSNDSNIDFQEFMRRRFDIRDKQVHQHLQQDLIEHIWQRYGHENNNN >CAK8544639.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:696832735:696837220:-1 gene:gene-LATHSAT_LOCUS13297 transcript:rna-LATHSAT_LOCUS13297 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQENHPQNHHETWSETESIGSRSTRSTRVGFSGSLSGPLSGPLVSNNKKKSSKKSARFSDDVEDFVEITLDVRDDTVSVQNIRGGDSETALLASRLEKRPSTLSVKLKQVSQELKRMTSSKKFDRVDRAKSGAARALKGLKFMTKTVGSDRGWSQVEKRFDELEVDGKLPKTRFSQCIGMNESKDFAGELFDALSRRRGITSASITKDELREFWEQITDQSFDSRLQTFFDMVDKNADGRISEDEVREIITLSASANKLSKLQERAEEYAALIMEELDPDNLGFIELHNLEMLLLQAPAQSTHMHTDSRVLSQMLSQKLVPTKEHNPIKRGFRSLNYFVEDNWKRIWIVALWMAICAALFTWKFIQYKNRAVFHVMGYCVTTAKGAAETLKFNMALILLPVCRNTITWLRTKTKLGVAVPFDDNINFHKVIAFGIAIGVGLHAISHLTCDFPRLLHATDAEYIPMKPFFGDVRPNNYWWFVKGTEGWTGIVIIVLMAIAFTLAQPWFRRNQLKLPKALKKLTGFNAFWYSHHLFVIVYALLILHGYKLYLSKKWYKKTTWMYLAIPMIIYACERLLRAFRSGNKSVKILKVAVYPGNVLALHVSKPQGFKYHSGQYIFVNCSDVSPFQWHPFSITSAPGDDYVSVHIRTLGDWTSQLKAVFAKACQPASGDQSGLLRADVLQGNNIPRMPKLLIDGPYGAPAQDYKDYEVILLVGLGIGATPLISILKDVLNNMKQQKDIEQGVVESGVKNNKRKPFATNRAYFYWVTREQGSFEWFKGVMDEIADYDKDGLIELHNYCTSVYEEGDARSALITMLQSLHHAKSGVDIVSGTRVKTHFARPNWRTVFKHTALKHPGKRVGVFYCGAAGLVGQLKSLSLDFSRKTNTKFEFHKENF >CAK8575108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:30905709:30905945:1 gene:gene-LATHSAT_LOCUS27397 transcript:rna-LATHSAT_LOCUS27397 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDCTTNYKGRKMQQLPASSAKKEDSAYSSSNKTTSYKEVAVNNPP >CAK8530313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14108031:14112459:1 gene:gene-LATHSAT_LOCUS188 transcript:rna-LATHSAT_LOCUS188 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIQRVLEFYSGIGGMRYSLMKAQVNAQVIEAFEINNIANDVYQHNFSHRPYQGNIQSLTAVDLDKYGADVWLLSPPCQPYTRQGLQKDTGDARAFSFLQILELIPFLLHPPSMLFVENVVGFEISDTHAKLIEILEKTNFITQEFILSPLQFGIPYSRPRYFCLAKRKPSSFGNEFLNRQLIQSPKPLFEPFNADSKEDDLSLEDRHNLLQSCQPIEKFLVLKNPSNDTDVESAASTTGVSNDISRTSGEDNEHEYDSLDKYYVHPSLLERWGSAMDVVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPMKRDKTSLKEQRLRYFTPREVANLHSFPEDFKFPEHISLKQRYALLGNSLSISVVAPLLHYLFTEA >CAK8567215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495476609:495477848:-1 gene:gene-LATHSAT_LOCUS20285 transcript:rna-LATHSAT_LOCUS20285 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLDTETRWNSTWLMLSIAYHYREFWPRYAEENDAFLTFLPDTNDWEDVHDVGKFLEVFADITSIISGTSYPIANLFLDELYKVKVLLDKPSSISNNPQLQVLANEMKLKYDKYCSKSNRLISIGAVLNPRYKMIFIKCVYPFLYPNPTQATAYEQELTTNLKSLFQLYQDTYGTNDENVPVSETPKVGSSSGLGKEEF >CAK8533556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:636146038:636146611:1 gene:gene-LATHSAT_LOCUS3176 transcript:rna-LATHSAT_LOCUS3176 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPRMRRYVSGLRKLIRINQGPKMLLRPSSKVFGSSDGFEGDTGDAFDAHQWKCVRIMSGTFLLPYTHIWLEIGWKIVQRKIC >CAK8537023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:62352351:62355973:-1 gene:gene-LATHSAT_LOCUS6343 transcript:rna-LATHSAT_LOCUS6343 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPENTLHSTDSPLKRPREDGVNGVSVTLSMEPQPNGLSSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQDVMVFQSATYGKVLILDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSIEKIDICEIDKMVVDVSKEFFPEIAVGFADPRVTLNIGDGVAFLKAAPEGSYDAVIVDSSDPIGPAQELFEKPFFELVARALRPGGVVCTQAESIWLHMHIIEDIVGNCRQVFKGSINYAWTTVPTYPSGMIGFMLCSTEGPSVDFKHPVNPIDENDNQQAARPLKFYNREIHSAAFCLPSFAKRAIASKEN >CAK8540222.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:545908450:545909838:1 gene:gene-LATHSAT_LOCUS9248 transcript:rna-LATHSAT_LOCUS9248 gene_biotype:protein_coding transcript_biotype:protein_coding MSHILQETPATKSSKFEVNFIMKTVVKALNLSPKPFSVTTLSNLDLLSGRFPVTYLYFYTKPTLGNFESFIDTLKTSLAQTLNHYYPFSGQIVQNHKTNEPEIICDNKGSLLIEAQANIPLKNLDFYNLNETLTEKIVSVEPDFLSQIQVTEFTCGGISVAFTFDHALGDATSFGKFISSWCEIAQSKPLSCIPNHTRNLRARSPPIYQPYLDQIFTKCTMEEIQNMSMSNNISLKRLYHISASSISTLQRLCSVNGIKRTKIEAFSAYVWKIMINTIDQKLHKKCKMGWLVDGRDRLNGSNSIKNISMSNYIGNVLSLAFGEARIQEVKENSLSEIGEIVHDAISKVSNEEHFLDLIDWIEYHRPGLMLAKAVLGQDDGAVLVVSSGQRFPVSEVDFGFGSPLLGTVYSCIERVGVGYMNQRQSGKGDGSWTVSAILWPEFVDALKDDPIFQPMNASHLQL >CAK8572079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507723060:507725679:-1 gene:gene-LATHSAT_LOCUS24683 transcript:rna-LATHSAT_LOCUS24683 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSSMRRKLRKMMKCVCSRDRLSVDEMNYSSESLATRDYSATASGYSSRPGENETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVIPRMKMSISRRCESNNKRGSQNDSVPSMSLHAVSLLLEAVFLKAKSLKTLGRFQEAAQSCKTILDTIESALPEGWPENFVSDCKLQETVNCAVELLPELWNLAGSPHNVVLSFRKALLYHWNLGNEATARIQKEFALFLLYSGYEAESPPLRSQLDGSFVPRNNIEEAVLLLWILLREKSKDPSIIHHLSFALSVCSEFMILAQEVEELLPETMETRGRYYTLSLCYYSEAENMVALDLLRNFLNNRENSVNSDCIEELLLASKICADNKVCVEDGIKFSSKAISQMNGKCNQMEAIANCLQGVLLSVKSKSVSSESEKVLIQSQAFRALKASERIMEDSDPNPYIVHHLCLEYAENRKLRVAYGHAKKLIKLQGGSSISGYILLARILSAQKKFFDAEIVIDAALEQSGKWEQGELLRTKAKIQIAQGRLKNAIETYTFLLAVLQVQNKNLGTANKDMKCKRNRDRRLEMEVWHDLTNVYTALSQWHDAEICLAKSEAIDPYSATRWHSTGILYETRGLHQEALKSYRKALDFDPNHVLSLISTACVLRKLGGQSSCSIVRSLLIEALRLDRTNSSAWYNLGLVYKDDLGTSALEAAECFEAAVFLDESCPVEPFR >CAK8531797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:152431431:152431997:1 gene:gene-LATHSAT_LOCUS1563 transcript:rna-LATHSAT_LOCUS1563 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVRPRSKFTRIHEVKINIVGPVHVYNSITRVELETKPFHGLVTFMFFVLLAFLQISYPNNPTAFQVHPKTMLVSIASFLLYCMGYWINLKFATRLDTFMEVFASLSIVSLVLMFFPDNWAFPGLIIVYTLWFISVLVMIIGLRPQMRRRLRQLLPGHVLVMIIGLRPQMRRGLRQLLPATSMDLN >CAK8541393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:149360840:149362093:-1 gene:gene-LATHSAT_LOCUS10318 transcript:rna-LATHSAT_LOCUS10318 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQPTKSHRRRQSNTPKTPPTLPDEIVTDILSRLTVKHLMQMKCVSKSWNTLITDPLFTKMHFNRSVLNPFFSLISNNLSPRDGDCSFVTVPVNRLLENRYITVPKNPYFRLRDKECRDVVGSCNGLICLVGYSLNEWTKFKRVWFRFWNPATRSISEKLGYFSYYDKHERKSCKFGFGYDNSTDTYKVVMLRSGCESDPQSKVSVKAFSLGNNVWRNIKSFAAVPLQLVMDPRENDGVPRENDGVHLSGTINWLAYCNDDNLRKNNPIEKYVIISLDLGTETNTQFLLPEGFEKESYVGPSVCVLKDFLCFCHDLDLTEFVIWRMTEFGNEKSWAQFLKFSYHSVRMNYEFGLPLLKLMPLHLSENGDTVVLANNRQDRVILYNRRNNRARKTILNTKICWPSLKDYVESLVSTS >CAK8537207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:135439754:135440248:1 gene:gene-LATHSAT_LOCUS6513 transcript:rna-LATHSAT_LOCUS6513 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTAERAVAATMGLLKENAAEGEGGESVGVEAGPPAGEVGVGAGGEAEEAGPPAGVGAGGEEAGEDAGAGVAGVGAAAGGVAAGGVAVGGVAVGGVAGGGVAGAGDFGEGVAVGGVVGAADGACAKQEVASRPKKRKTCSAAKEAILWFLGAERYFGDGKIV >CAK8579667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715229739:715230344:1 gene:gene-LATHSAT_LOCUS31595 transcript:rna-LATHSAT_LOCUS31595 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGYPSFTDLLQLKVHIHHFDSLSHIQCEIINVPAIVFKKLFSQEGWDYLRTQLSEVSFLTSNIIDHFIGHIIYEVQQSYNIDTTDEKDNSEPNVFNLNLEMEIHGEPEIEYYDEEIGMVPASKEAIERMKTTCDLNDTKLRDICCSICMDEFDDVDETSKICRMPCSHVFHEQCIVKWLQTSNTCPLCRYSMPTALDS >CAK8562985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:564066783:564067829:1 gene:gene-LATHSAT_LOCUS16440 transcript:rna-LATHSAT_LOCUS16440 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTVKFSLLFVLWFWNFNFLPLSQGKDDYPYIKKASSFSSPSISDTSLNKAYDYIVVGGGGAGCPLAATLSQNFSVLLLERGGVPFTNPNVTFLENFHITLADLSSTSASQYFVSTDGVFNARGRVLGGGTSINAGFYTRASSRFISKVGWDAKLVNESYPWVEKQIVHRPKFSPFQRAVRDSLIDTGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAVGVIFNDENGKQHEAMLGNGMRSEVILSSGAIGSPQMLLLSGIGPKAELENLNISVVLDNRFVGKGMIDNPMNALYVPSKRPVWHASSDASG >CAK8562715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:530965136:530966847:-1 gene:gene-LATHSAT_LOCUS16189 transcript:rna-LATHSAT_LOCUS16189 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTVVKYGIIGVGMMGREHLINLYHLRNQAVAVVAIADPHLPSQQLAIDLAHSFSWPLKVFSDHKELLDSGLCDVLVVSTPNMTHYSILMDIINHSKPHHVLVEKPLCTTVSHCKQVVCAAQKRPDILVQVGLEYRYMPPVAKLIEIVNGGSLGHVKMVSIREHRFPFLVKVNDWNRFNVNSGGTLVEKCCHFFDLMRLFVGANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESVVRFGTREAGRDGVQSLKAEDSRIKYDGLHHGSSYLEHLNFLSAIRGEGEKGPAVNLEDGLISVAIGVAAQVSIENGRFVTIQEVMDGLQV >CAK8578947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663247575:663248219:1 gene:gene-LATHSAT_LOCUS30925 transcript:rna-LATHSAT_LOCUS30925 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQLLHELLVESHPRRLLFQGTTDNQPLNNHNSTVSYFGVREFDSNVVMILAVLLCALISSLVLNSIIRCALRFSNEAINNNQASQQLANKGIKKKALKKFPTLNYSTELKLPGLDAECVICLSEFAKGERVRILPKCNHGFHVRCIDKWLKEHSSCPKCRQCLLQTCRKVGESQVQPIVLPVPEIIIRIQPLDYEAVERNYREERR >CAK8566585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438903997:438909133:1 gene:gene-LATHSAT_LOCUS19706 transcript:rna-LATHSAT_LOCUS19706 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLKMQMPAGLDGIEDQSEQCGAGYPNKTTIACMINAEIGSVLAVMRRNVRWGVHYMSDDDQSEHFLVQSLKTLRRQIFSWQKQWHSINPALYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVVDTMDLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASVILSNQHICTIVNTCFRIVHQAGTKGELLQRIARYTMHELVRCIFSHLQEIDIAENLSINGTTTSEQETCELNNEQVSASRQFENGSLNSAYDGQPSSASLASSTAYIGTETVMAENSATASSDKESDLQELQLMTEPYGIPCMVEIFHFLCSLLNVVEHMGMNPRSNTLTFDEDVPLFALTLINSAIELGGPSFRHHPRLLSLIQDEVFHILMQFGLSMSPLVLSLVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQSKYGASYQQQEVLMEALVDLCRQKTFMVEMYINFDCDITCSNVFEDIANLLSKSAFPVNNPLSSMHILALDGLIAVMQGMADRIGNGFVSSEHSPVNFEEYIPFWLEQCENFGDPNDWVPFVRRRKYFKRRLMIGADHFNRDAKKGLEFLQGTHLLPDKLDPQSVACFLRFTAGLDKNVMGDFLGNHDELCVQVLPEFARTFDFQDLTLDTALRIFLETFRLPGESQKIHRVLEAFSERYYEQSPDILANKDAALVLSYSIIMLNTDQHNVQVKKKMTEADFIRNNRLINGGNDLPREFLSEIYHSICKNEIRTTPESGFGSPGLSPSRWISLIHKSKTTAPYIVSDCQAYLDYDMFVILSGPTIAAVSVVFDNAENEEVYQTCMDGFLAIAKISSHYHLENVLDDLIVCLCKFFTILDPLSVEESVLAFGDDTKARMVTETVFTIATRYGDYIRTGWRNILDCILRLHKLGLLPTQLASDAVDESELSTKTGHGKLHLRSLSSRFQSISTPKKSSGLLSRFTQLLSLATDEPRAIPTEEQLAAHQQAVQTIQNCHIDNIFTESKFLQAESLLQLVRSLINAGAQSQKRNKRSQNEDTSVFCLELLVTLTLNNRDRIGLIWKGVYEHISNIVKSTVMPCALVEKAVFGLLRICHRLLPYKENMADELLKSLQLILKLDALVADAYYEQITREVSRLVKANASRIRSHLGWRTITSLLSVTARHLEASEIGFDTLSYIMSDGTRLLPANYILCIDAARQFAESRVGLVDRSIVSLDLMAGSFSCLQKWTNDAKQAAKEEETDKMLQDIGEMWLRLVQGLTKVCLDQREEVRNHALLSLQKCMTEAVETHLPCDLWLQCFDQVIFTLLDDLLDISQTRSQKDYSDIEETLILALKLLFKVFLRLLQELSHLEAFCKLWVDVLSHAEKYAKVKIGGRRSEKLQLLVPELLKNAMVVMNSESILVDRSGSGDNRLWELTWLHTKSIAPSLQSEVFQEHDSEQLLQKQIETIEGLEQDAYVFVPSNETVGQDGSGIG >CAK8541611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:300816055:300818839:1 gene:gene-LATHSAT_LOCUS10519 transcript:rna-LATHSAT_LOCUS10519 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISEVPLQICDKDQIWVDTSDVFSTTQKFNTREEVIRWIKEIGIRNKVTVIITRSDIKTGKRGRSNKLIFGCDKGGKHRDIVSGTLSATKKCECPFRIRSIPAADGSGWKIDVKCGVHNHDLPDRLEGHAFVGRLTADQKQHVIDLTKRKVPPRHILLSLQEQDPDNVTRITQIYKQKSTIVKNIRGSRSEIQHLFKLIEEAGYVYWSRKRDDSEVIRDIFWAHPDSVKLLNIFPTVLVMDSTYKTNKYRQPLFEIVGMTSTELTFAVAFAYIESEQTENFCWVLDKLKQLFVKKELCPQVILTDRDLALMKAIEIVFPRSINMLCRYHINKNVGAKRKQYVASDMQKKIDELWTEVVWASDEVEYDQTLKQLEQACVDCNEFIDYVKDTWLTPHRQRFVEAWINRLLHFGNTTTNRVESAHWKLKQMLGNSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYGLPCACELTGYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSKQFILQFPNHIRSYIDDVVNVVSDGNCGFRVIASLHGFGEDGWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIDEVGPQPPHKWLTLPDMGYVITNRYNVVLVCLGIECWTFFPMTTSFSPNVAIYCIGFVNRNHWVQVNMKEGFPLPPVTIDWKKFRSPAATSWMLGFAGRLQHWQQLTPILPAHYTL >CAK8538851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497914937:497915287:1 gene:gene-LATHSAT_LOCUS8006 transcript:rna-LATHSAT_LOCUS8006 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAFNSVIVESKAKPLVTMLEEIRGYIMEKWETNRVRFSQLSDGGVFPNIKKKLKKRNTYTNYQIVRMSSEFIFEVRHIKNQVDVFFLSI >CAK8566215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391769273:391771151:-1 gene:gene-LATHSAT_LOCUS19368 transcript:rna-LATHSAT_LOCUS19368 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSISRRTRLLPYLRAFRNSPEFRGQSIIPEGSCYNIANPCSGFQRDFSCKIRLSRFKQELSTLSYHHCYSSSAFASHNVGRLCSMPGFRNFSSHASTEQKSRKMLIYLTGLVFGMVGMSYAAVPLYRRFCQATGYGGTITRRESVEEKIERHDRNQTATSREIVVQFNADIADGMPWKFVPTQREVRVKPGESALAFYTAENKSSTPITGVSTYNVTPMKAGVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEIEDDPKMDGINNIILSYTFFKVSEE >CAK8560072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6362512:6365693:1 gene:gene-LATHSAT_LOCUS13785 transcript:rna-LATHSAT_LOCUS13785 gene_biotype:protein_coding transcript_biotype:protein_coding MQLETIFHMQEMTNTEYLDDQDAEEPDDFVISLTAQITRRDEEMAPFISNAKRNYIFGGICGVAANVSVKVLWQLKSINLFGVQQICRNSIALEQCVSRTAEMLDDALGLMGLKDHEGNRFLHPPCAEYICARKCAAPYVIQNLTSVPLLYHVYNGHINPNDIYDPDLSHAKYVQPGSASTIYMDENDEQQHYSPYLSSERLNEQRPSGLAHRYITVQLEGTYMLSDPISMDLVGLTCFNANFSKSYNENDDDGRMNTAPTFVVPVVLDVSALRYSKSIRIYSTVVLLNATSAPLELRFDIPFGVSPMIFDPIHPGQQ >CAK8574940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16353352:16353582:-1 gene:gene-LATHSAT_LOCUS27237 transcript:rna-LATHSAT_LOCUS27237 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERHTYGGNFDYSYDNVDINNSTTETFSDPHSNLATRLQRRASIQEKQVHRKLQGDLVEYIWERFGHEDDEI >CAK8562505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:502429240:502433087:-1 gene:gene-LATHSAT_LOCUS15997 transcript:rna-LATHSAT_LOCUS15997 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSTFTLSPKPHSLSFSSSISPNPIQFPTKTPNPTTPPKTLRITATTINSPQQLPPNSPQRLLKELEEFKTKKPTSPNKKTPPRTSILKPPLQNKKLAKRILNSPQLTLNSFPVLSSCLPQAPLGNADTAWMELHLMEVKQALGYPLESFEEMLGGEDSPGKQFDTLLYLAFQHPSCRKVRNVRCAQSRLFFLGQYVLELAFVEFFLQRYPREGPGPMRERIFGLIGKHRLPKWIKAASLHNLIFPNVDMDRLVRKEREQIVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPDAEECQPRLRRQLEDIDHVSAEFEGKLSWQDMVAYKPPADALFAHPRLFRACIPPGMHRFRGNVWDYDSRPQVMQILGYPIEMTDRIPEITEARNIELGLGLQLCFLHPSNYKYDHPRFCFERLEYLGQKIQDLVMAERLLMKHLDAPGFWIQERHRRILMNRYCGRYLRAKQLHRFIIYPEKVRNSYERSHKLRNAASESVQQALHGLSYAVYGKREVRRLMFEFFDVEQVQPKRNIYVKR >CAK8570740.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:105996677:106000634:1 gene:gene-LATHSAT_LOCUS23470 transcript:rna-LATHSAT_LOCUS23470 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSTCFFCGRIPSKSKLASSSSFGSFCLLTRNNVNTIMCKTSMKTHNRVIQSVTNSNETKLPSSTKRRRRRRRNGVAVEEQPRQQQREIEIPIPSVYVHENTGDPIGWKEVGKSVVSWIRESMKAMAFDIASAELVEDLEFSEMKQRMGPGLTFVIQAQPYLNAIPMPLGLEVMCLKACTHYPTLFDHFQRELRDVLQDMQSKSLFEDWRETQSWKLLKELANSAQHRAVARKITPPKTVQGVLGMDIERVKAIQKRIDEFTNNMSELLNIERDVELEFTQEELDAVPRPDDASDPSKPIEFLVSHSQPQQELCDTICNLNAISTSTGLGGMHLVLFKIEGNHRLPPTTLSPGEMVCVRTCDSKGAVTTSCMQGVVDNLGDDGYSITVSLELRHGDSSFSKLVGKNVRIDRIQGLADTLTYERNCEALMMLQKNGLRKKNPSISVIATLFGDAEDAAWLEKNNLVDWEEEKINGVLGSESFDKSQQRAIALGLNKKRPLLVIQGPPGTGKTGLLKQLIASAVEQGERVLVTAPTNAAVDNMVEKLSNVGITIVRVGNPARISKTVASKSLGEIVNGKLASFREEYERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKSLKKKEKLMINEVLSSAQVVLATNTGAADPFIRKLDAFDLVVIDEAGQAIEPSCWIPILQAKRCILAGDQCQLAPVIFSRKALEGGLGISLLERAATLHEGILTTSLTTQYRMNDAIASWASKEMYGGLLKSSKTVFSHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSLYNEGEADIVLQHVFSLIYAGVSPKAIVVQSPYVAQVQLLRDMLDGVPEAAGTEVSTIDSYQGREADAVILSMVRSNTLGAVGFLGDSRRINVAITRARKHLAVVCDSSTICHNTFLARLMRHIRHFGRVKHVEPDSFGGGLGLGMNPILPFVD >CAK8532460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266841512:266842345:-1 gene:gene-LATHSAT_LOCUS2163 transcript:rna-LATHSAT_LOCUS2163 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGGRGLTPNFEEGVKGFITWAFAQECCQREGGVRCPCLKCECRRIISDPEEVERHLRRKGFIKNYWVWTYNGEQLPSNVHTETTNTDTSSSRSHMKFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEKAQRFYQLLKEMNTPLFEGSADSKLSMCVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPTSYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEALEECKFCKSPRYQVVVKPLTVNKNV >CAK8575472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:131775885:131776454:-1 gene:gene-LATHSAT_LOCUS27732 transcript:rna-LATHSAT_LOCUS27732 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSNFLLIFFAFSLITLSIQARESNTLFIRNSCSSTTYPRLCYASLVKHVNFIQSNRVLLTSTALNVTLASAKSTSAFMSTLSRSRGLKPREAAAIEDCVEVLSDSLEELRRSIGEMSRLRASNFEPTISDVQTWVSAALTDQSTCTDGLQEINAKDNIQTIVRGKIVQVAQLTSNALALINKLAN >CAK8537026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:63682758:63689259:-1 gene:gene-LATHSAT_LOCUS6346 transcript:rna-LATHSAT_LOCUS6346 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSTVAVPVSSNDSVSSERKFLKHIRDNVHGNIFLEPIYLKFVDTEQFQRLRDLKQLGLCHMVYPGAVHSRFEHSLGVYWLAGKAVDIIKKFQGPELGIEKFDVYAVKLAGLLHDVGHGPFSHTFERGFLPLVLNGSTWSHEEMSEKMIDYIVDHHNIDLDPQLLKKAKEMITSSSDHPTPQRENQFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQPERLMETMQVMDDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMVIDALVKANPYLPIASSIHQPSYFWKLDDSILKTIESSSQQELKESRDLILRIHRRDLYQFCNEFSVPKERLENFKSITPQDIVCSQTNGSNLKEDDVVVSNVKIDLTRGTKNPLERIKFFQDYESNEKFPIQNERISHLLPAFYEDIIVRVYSKKPELVEAVSKAFENYQLKTFGRNAQVHETPEKKKRLKYKTYPTGFEHVDIY >CAK8537027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:63683038:63689259:-1 gene:gene-LATHSAT_LOCUS6346 transcript:rna-LATHSAT_LOCUS6346-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSTVAVPVSSNDSVSSERKFLKHIRDNVHGNIFLEPIYLKFVDTEQFQRLRDLKQLGLCHMVYPGAVHSRFEHSLGVYWLAGKAVDIIKKFQGPELGIEKFDVYAVKLAGLLHDVGHGPFSHTFERGFLPLVLNGSTWSHEEMSEKMIDYIVDHHNIDLDPQLLKKAKEMITSSSDHPTPQRENQFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQPERLMETMQVMDDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMVIDALVKANPYLPIASSIHQPSYFWKLDDSILKTIESSSQQELKESRDLILRIHRRDLYQFCNEFSVPKERLENFKSITPQDIVCSQTNGSNLKEDDVVVSNVKIDLTRGTKNPLERTTRVMKNFPSKTSESVICCQHFTKTS >CAK8542603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:529502223:529505570:1 gene:gene-LATHSAT_LOCUS11428 transcript:rna-LATHSAT_LOCUS11428 gene_biotype:protein_coding transcript_biotype:protein_coding MVACWKILFMILWILLTVFFPQQVAGFPKITSLTSIMVNDQAGIEDSEANALLKWKDSFENNSQALLSTWTKATSPCKWKGIQCDKSKSISSINLTNYGIKGKLQTFSFSSFPNLLELNIYNNFFYGTIPPQIGNMSKINVLNLSTNPLEGSIPKEVWTMRSLKGLDFSACELSGDIPNSITNLTNLSYLDLMDNKFSNGYIPREIGKLNHLEHLSFSGCNRIGSIPREIGMLTKLGFMDLSHNSLSGSIPETIGNLTNLNKLYLSNNTLSGTIPVSMWNMSYLSIIFLSNNKLSGSIPSSVQNLVNLNELSFQSNNFFGPIPSSIGNLTKLMRLYLFTNHFSGSIPTSIGNMISMVFLSLLGNNLSGTIPATIGNLTGLKALELANNKLHGSIPQSLYNFTNLVSFTLDENDFIGHLPPQVCSGGFLRYFTAFRNHFTGPVPTSLKNCSSIERIRFEGNQIEGDIAQDFGVYPYLKYIDLSDNKFYGHIAPNWGKCPNLLTFMISNNNISGGIPLTLLEANKLGKLHLASNHLTGKLPKELGYLKSLLEVKISNNHLSGNIPSEIGLLENLVDFNVGGNMLNGTIPKEVVKLPLLRNLNLSTNKIEGRIPSEFSLSQPLESLDLSGNLLSGTIPSVLGELKQLQKLNLSCNNLSGNIPSSFENSMSSLTYVNISNNHLEGRIPNNKAFLEAPIESLQNNKGLCGNHTGLELCPTNHSQKSHKIIRLILFIILGALVLVFCGAGISMYILYQRARKTKTKDKDSNEAQADEVFSIWSRDGKMRFENIIRATNNFDDDFLIGVGGEGSVYKAKLSADEVVAVKKLHSRTDGERPNSKGFENEIQALTEIRHRNIIKLCGYCQHSRFSFLVYKFLEGGTLTQMLNNETKATAFDWEKRVNVVRGVANALSYMHHDCIPPLVHRDISSKNVLLDSSYEAQLSDFGTSKFLKSGSSSWTTFVGTFGYAAPEFAQTMEVTEKCDVYSFGVLCFEILLGKHPADFISSLFSSSTAAMTYNLLLIDVLDNRPPQPTNSIVGDVILITRLAFSCLSENPSSRPTMDYVSKELMMRKSQSPLVDQFPHIRLGQLH >CAK8563884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:636854233:636855523:1 gene:gene-LATHSAT_LOCUS17246 transcript:rna-LATHSAT_LOCUS17246 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGTVFRQLTCFSGAGSNANRRGVLPRRDVGRVRMSMGIDFRDESHLQYYQELKNRGPVKSNKKKLKLLKRLSENVSSFPQLGFAFDPNQPNLFDHLQQNLITDGGEELLRGLEKIRAEKKELKKKMKQEKKKAKLESSKKKTCDKSESSSSSSSESSDSDCDKVVDMNTFRGVGVDVATKPVDGLQLQTIIDVEASTPHHHVMDLCSTNDASVVGFKKKSNVIIPAAQKRIEVCMGNKCKKSGAAALLQEFEKVVGVEGVGSVVGCKCMGKCKSGPNVRIQNSVDHGMVLGVDDSVEVPSNPLCIGVGLEDVDTIVARFLGENHNGMSMAA >CAK8562929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557894016:557902579:-1 gene:gene-LATHSAT_LOCUS16389 transcript:rna-LATHSAT_LOCUS16389 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCEEVFPWLKSLPLAPEYRPSLAEFQDPIAYIFKIEKEASKYGICKIIPPVSSSSKKTVIANMNRSQPRFTTRQQQIGFCPRRPQPVRRPVWHSGHHYSFREFEAKAKSFEKSYFRKGKKLAQFSPLEVEAMYWKATADKPFSVEYANDMPGSAFGEVNDGGFGGDGFLTVGETAWNMRGVSRANGSLLKFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHMGASKTWYGVPREAAVAFEDVVRVHGYGGEINPLVTFAILGEKTTVMSPEVFVQAGVPCCRLVQNAGEFVVTFPRAYHTGFNHGFNCGEAANIATPEWLTVAKDAAIRRASINYPPMVSHFQLLYDLGLAMCSRIPEDISVGPRSSRLKDKKKGVGQTVIKELFAQDVLQNNDLLHALGKGSSVVLLPRSSSDFSVYSKLRLGSQQLKVNSDISLSLCNSEGTNSSKKFISDDLVFNRNHGIKKVKGFSSVKEKFATLCERNRVCSFSANGDICTSSSKTLQRDSEKDACQGDGLSDQRLFSCVTCGILSFSCVAIVQPKEPAARYLMSADCSFFNDRIVGSGVTRNNLTAAHEDANILKQSSTYTGWTKQNAGNDLYDVPIESAEQQTQIADLSYMEASNTEKGNTALALLAIAYGNSSDSEEDDQSDSDIAADGDDLNTMNHPLESKTQERPCLPSQFQDCHASPVNSINNYESYMHKKIERIMSPCDYSIHSEDYDTTSGVSFKNTREGLHSTLNCSEDTHTEMPLSGKTVIPIVNKNVSSAPPSDEDASRMHVFCLEHAAEAEQQLRPIGGAHILLLCHPDYPKIEAEAKFVAEETGISYKWKNTVYRQANREDKERIQSALDSEEAISGNSDWAVKLGINLFYSANLSRSPLYSKQMPYNSVLYYAFGCSSPVSSPVEPSVCQRRTSRQKKIVAGKWCGKVWMSNQVHPLLAKRELEDVENEKSIQMWPLLDEKSERSERIRKSNTTNRKSGRKWKVAVESEGDWEGSFSEGGWLSDNSIEDKCNQSQRRILASKRTRHIEGHGTASDGDYSPLQHHNMLKSKHTKCMGSDAVSDDLRDGNTRRQPWRNTTSKEAKSIDSDMVSDDTMDYDSDWPQKEELSDTQYAVSEDSLGVGSLQRHRKTPKSKYDQFTVEDVISDDQTEVYIQKQKRGICKSRLPKYLSEEDAVISDNQLEHCMLKQQKRSPKSWQDNYPVEEDIISDEEPECHSRNYQRRIPKGKQVEPIVGEDITCDDQLECCFQKPRRSMRRSKKNKYSDEENMDDSAESDSHVLHKTPKRKQAKFTNENDINSDDQMEDDCHQQHMRPLRRKQTKSQTLQQMKQANSPCVTSKPSLAKRGAQLLIKSKAPQKMKQQPLAWSNQSVNSKDETSQIEDNEARRPPSTRIRKRVQKIQDESEGKAKEKETNKKKLKNVITAKVSAGRAKVKDEEGEYQCDIEGCTMSFYSKQELMHHKKNICPVKGCGKKFFSHKYLVHHRRVHEDDRPLKCPWKGCKMAFKWSWARTEHIRVHTGARPYVCAEPGCEQTFRFVSDFSRHKRKTGHSVKKTRK >CAK8573897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:645035455:645038940:1 gene:gene-LATHSAT_LOCUS26292 transcript:rna-LATHSAT_LOCUS26292 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAEARKAPRPGKGGFEGHGLTEEEARVRAIAEIVNSMVDLSHKGHKVDLNALKSAACRKYGLSRAPKLVEMIAALPDSEREVLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQTRGRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEHSATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFESPKFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIIARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHQIKPEEVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIIRELHVYGTAVPVHGRDSDKLQHQGYGTLLMEEAERIAWKEHRSTKIGVISGVGTRHYYRKLGYELEGPYMVKYLL >CAK8535654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872530355:872537975:-1 gene:gene-LATHSAT_LOCUS5090 transcript:rna-LATHSAT_LOCUS5090 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISLSLLPPPSTTHSCFCAAGIHFRSHSSTNNFLSIHSPSPFPSTFSNSNSKFSSRRKRFHTVFAASSDYYATLGVPKSATVKDIKAAYRRLARQYHPDVNKEPGATDKFKEISNAYEVLSDDKKRALYDQYGEAGVKSSVGGGSSAYATNPFDLFETFFGPNMGGFAGMDPTGFGTRRRSTVTKGEDIRYDFSLEFSEAIFGAEKEFELFHLETCEVCTGTGAKLGSKMRVCSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISENCRKCSGAGRIRVKKNMKVKVPPGVSSGSILRVTGEGDAGPRGGPPGDLYVYLDVLEIPGIQRDDINLRSTISITYLDAILGSVVKVKTVEGTSELQIPAGTQPGDVLVLAKKGVPKLNRPSIRGDHLFTVKVTIPKRISSKEREVLEELASLGGTSSHSRSRPRTQSSTGSKEAPAAQRAESPTATVTEEPKKSEEDDDLWNKLKNMAGSVANGALKWFKDNL >CAK8573238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596204612:596206033:-1 gene:gene-LATHSAT_LOCUS25710 transcript:rna-LATHSAT_LOCUS25710 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEEDELLNLSLSVNRERKKKGKIITRENTNNHNHHNRNNTFSMICTNRNSYEGYEGKIFRLLQMREQMLRKTTINVEDSNGLPLIHLLLTTATSVDENNFDSSLENLTDLYQTVSLTGDSVQRVVAYFTDGLTAKLLTKKSPFYEMLMEEPTIDEEFLAFTDLYRVSPYYQFAHFTANQAILECFENEEERNNRSIHVIDFDVSYGFQWPSLIQSLSEKATSANRISLRITGFGKNLKELQETESRLISFSKSFVNIVFEFQGLLRGSRIINLRKKKNETVAVNLVSYLNKLTCLLKISDTLGFVQSLNPSIVVIVEQEGSKNPSRTFLSRFTDTLHYFAAMFDSLDDCLPLESIERLRIEKKLFGKEIKTMLNNYDIDDGGGGVDCAKYEKMETWKVRMENHAFVGMKMSSKCLIQAKLLLKMRTHYCPLQFEEEGGGGFRVSERDDGKAISLGWQNRFLLTVSAWKSL >CAK8572601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:550633575:550637069:-1 gene:gene-LATHSAT_LOCUS25152 transcript:rna-LATHSAT_LOCUS25152 gene_biotype:protein_coding transcript_biotype:protein_coding MINQRFSNWKKSQKKCFDPLLFIYRTERSVNKDPNTDKYKWSKGSKNFQEHLDHFISEENSRFQVVFDRLHMNAYSIDWSEVIDKKNFSKSLCLFLSKLLPFFSNSVPFFLVSFGSMPVHRSQIHMDELKRMNDALGNQLLESMGLQTVHLKKKKALLLDDYYTSQKSKLLINQIANQDNWLNPVKCFHRSSLISSFYKVNRLRFLNNQDFDCKKRFSFYGERSCNYDFLYEQFLNVLFSRKKIFSFGDGKKKHVFLDRDTISPSELEVSNILNNFPQSGDDGYDLYKSFHFPTRGPRAIADISGTPLTEEEIVNFERTYCNRLSAINLSDPKWKKLHTYLDFDLNIDLLDTDLRDTLYTLYSYTLYSEEFLPSEKRKKKPLKKFLKKGQMYRNFQRKSSFSTVLSKLKPLYPYIIPSLLTTTGQKNLLLLFIHTFSDLFVILKKSPKWKKMLSILPNLIHGAKAILRKKLGIGQRNRISEIWSKCLHKSLVEVYEKDLLHTNNESPFISTHLRSLNIEEFLFSILLLLLITGYLVHTHLSFVSRFSNELQTEFEQVKSLMIPSYIIELKKLLDRYGTSEQKYFWLQYIFRMALKQFENFREERRGSPSGVPRGEGFNLMKFIRILPNPINRIAFLKNTRHLSHTSKEIYSLIKKRKNMNSDCISDDADISDGSDISDPLEIDLSDPSDTDYRYDPFADTSDISDPLEIDLSDLSDTDYPYYMDGSDISDPLEMDLSDPSDTDYPYYIDGSDISDPLEIDLSDLSDTEEDLYGIYDLDEIYYLDGSDRSDPLETLETSDLDEIYYPDGSDRSDPLETLETSDPDYINDPDGSDRSDPLEISDTSDTDICQKDSDDNSKMDMLAKMNQYSHLWVLCETCSSLNFKQFLVAKLSICEYCGEHLKMRSSDRIELLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFAGKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFVPLTKNLED >CAK8530753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:47133802:47133978:-1 gene:gene-LATHSAT_LOCUS596 transcript:rna-LATHSAT_LOCUS596 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAEVLEEYTALLSRVVEQVFRTAPVPRRVRFLILHNLPFVSSQNRRIQIQSH >CAK8542378.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506873085:506873713:1 gene:gene-LATHSAT_LOCUS11221 transcript:rna-LATHSAT_LOCUS11221 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPANPKKTAPPPIVKLNNAFKLAEVWVKSMSGSVDDESTNVNTAGRPHKLGLGAKVPRQSNVGLSNDPVERKLHAKLGADKRKAANVAKESSPFVSDDEDDEDESRTNAFAKRKAAVPLTPPILGNKKRKLHTNIKPF >CAK8567688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:536650702:536651408:1 gene:gene-LATHSAT_LOCUS20717 transcript:rna-LATHSAT_LOCUS20717 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIGMANADALRWLDNIPSEKWTRAFDGGRRWGHMTTNLVESLNVAFKGMRNFPITALVSATYYRLGSLFAERGAKWSAVLNFGQTFTYNCLKVMKQETTKSITHQVKIFDYTNNVFSVKKTMDHSEGKHMGHYKVNLLNGFCDCGKFNHIASLAHMLSLHVRMCATMLMLFCSTFIGLQTCLGFIVQAF >CAK8542736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541719449:541725121:-1 gene:gene-LATHSAT_LOCUS11547 transcript:rna-LATHSAT_LOCUS11547 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHKQVPSARPKILYLLCGAAFFSFLLFSIQSSFFTGSFYSDRNSESIRVLFQFQSKVQQCVANRGLGLTAEIIDHCTLVLKYPEGTNSTWYNQQFKKFEPLEYTYDVCEAILLWEQYRNMTTVLTREYLDVRPGGWLDYAPLRIAQLGAKNCYNKTLCEEQLNILLPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDGHDAVFRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVPILNGSDNEVLIIKSLTHREINAVIKTIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSDPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSKRRQDWSDVPGREMISQAHTAAWRLRKSSAGKAGSLGQFGNCKVWGNVDPDKSGPISGSPDMSDVRKNSNYKKWEVMALKSLRKEAQVHYNQMEGVSQYKMDGNKLDDLVCVRHSLKSDV >CAK8531383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:106680620:106685449:1 gene:gene-LATHSAT_LOCUS1179 transcript:rna-LATHSAT_LOCUS1179 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVKRRHVSSRLSCKVFILFSVSVFCVVVLVTAALCTLHSNSTKPSIRISQAFFHGPPKIAFLFLVRRNVPLDFLWGAFFQNGDVSNFSIYVHSAPGFVLDESTSRSHFFYGRQLSNSIQVLWGESSMIQAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYVYNYIMGSPRSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWVTLVRNHAEVIVDDEVIFSVFKSYCKRRPPIDIRKGKLNLKLQKQHNCIPDEHYVQTLLALHGLEGELERRTVTYTLWNQSATKTDNKGWHPITFSYANAGPRKIKEIKDISHVYYETEYRTEWCHSNSTSVPCFLFARKFSRGAAMRLLSEGVIDHFELSALLGNE >CAK8539856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529459205:529473866:1 gene:gene-LATHSAT_LOCUS8916 transcript:rna-LATHSAT_LOCUS8916 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDIEAPIKATSRVSRFAPKASKLKPKTEPVLVPKSEPPSFASTKVEPREIDLTAKPNGVETTAPTNGTVKIDNESKSEAKLDSMDVEMAEAEVQEDSTHANPMEVDEEEDTVVREIDVYFSPSINGGTKLYVMQFPLRPSWRPYEFDERCEEVRLKPESSEVEVDLSVDLESSNIDSDFSNKLNYTKETLSTTWKPPPASGCAVGLLMGDKLHLHPVHAVVQLRPSRHYLNSGGSEKKNAATSKKQNKPMNSSTEQKSDEEQCWVPLKYHGCKSDISSRYFQQMVAQESSPINFEMNTYDYIATLCPGVSSNTLAKGPSKRFLLSLPVEKRLETLLIEGPPLHRFSAIKHFAPEYSDDELLSFLQQHAQLLRGYWIPKGRLLYPNGGLESLARNYVIVMFHKSLKYLAEDLRRLGKLANLVKPFLSQFASEKLDLKDKASYWKFKELPNESFIKEFPNIVKQQEEVFKILEQEVSAVVDNSGKHRQNRSAVTNSGVTANSALVTSTNPDPLGTILGDLPSREMAMSSETRHALPVALKKLFQTHKVCSFQLIFQELRGLALAQTMLPKGGSKIAVDAAHSLDVPQDELKAVLADVACDINGCYVLKSSQDEPFRDVVIEMLRGVGPNGKLKKAEIMEAAKKKLGREIPNGEYSKAINELCVSKNSHWVLKSGDGSKQ >CAK8561228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:124407348:124408119:-1 gene:gene-LATHSAT_LOCUS14837 transcript:rna-LATHSAT_LOCUS14837 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYGHQMEHAYSTRSLSAASEMGSSFVLESGFYITSFSTTIFIAGFATLGLLLITLLVSMAMMLQSCQNNSVGILELKNVKDDYIYCKVHSLHAKFNHLEEHNVPEICKDLAVQYIKGGQYARDLDLTKSVIEEYFNGVRPSQDGFDVVLIDIDGIFPLSPLTDNLESRYLAIS >CAK8570531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56560864:56561667:1 gene:gene-LATHSAT_LOCUS23271 transcript:rna-LATHSAT_LOCUS23271 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKDHASTSSSSSYHSFSILNGGDLASCSSGSKRKCPLQETNNSSSLSDLSSYPNETNVCLHLSLYFCDCIQIAKKQKLAHQETNVDAVVLVGTSSDPWKIKKQKLVHQETNADAAVTDTVGTSFHSSISSDAAVTDTVGTSFHSSISSDDPWKIKKVLTTSDLENNSRLLLKKEVARKWVVPFVDKDKAEKDGVQLSVFDVDTQTLRSLVFKIWPSNNSHVFNNTWIKEFVDKRNLKAGDEIGFKWDQDNKRFDFSVLHRGVNN >CAK8535238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828804340:828804795:1 gene:gene-LATHSAT_LOCUS4711 transcript:rna-LATHSAT_LOCUS4711 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEMELQEIVNGLINTQMYNSPRISIALIFITVGIGFKLSPAPSHQWTSDVYEGVWFVREIPNDFSISF >CAK8540921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:32122619:32127853:1 gene:gene-LATHSAT_LOCUS9878 transcript:rna-LATHSAT_LOCUS9878 gene_biotype:protein_coding transcript_biotype:protein_coding MNILTATISLLFTFLLTISTVAANHGVFNVKYKFADDQQRSLSVLKAHDYRRQMSLITGVDLPLGGTGRPDSVGLYYAKIGIGTPSKDYYLQVDTGTDMMWVNCIQCKECPTRSNLGMDLTLYNIKESSSGKLVPCDQEVCKEINGGLLSGCAGNTNDSCPYLEIYGDGSSTAGYFVKDVVLFDQVSGDLKTDSANGSVIFGCGARQSGDLSYSNEEALDGILGFGKANYSMISQLSSSGKVKKKFAHCLNGVNGGGIFAIGHVVQPKVNTTPLLPDQPHYSVNMTAVQIGHTFLNLSTDASEQRDKKGTIIDSGTTLAYLPDGIYQPLVYKIISQQPDLKVQTLHDEYTCFQYSGSVDDGFPNVTFYFENGLSLKVYPHDYLFLSEGFWCIGWQNSGMQSRDSKNMTLLGDLVLSNKLVFYDLENQVIGWTEYNCSSSIKVRDERTGTVHLVGSHLISSSYSQNTNLVTILFPIVLLLMLIC >CAK8543312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:599664341:599666730:1 gene:gene-LATHSAT_LOCUS12077 transcript:rna-LATHSAT_LOCUS12077 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNLGMLHYVLDHVYGAFMHRTKISTPFFSRGWGGTKLDMLEKMINQLFPDLVAQNWPPVQIQPVWKTVWETRTACLREGVFRTPCQEQLLGALPPESHIARVAFLMPKSVPTHRMSCVVHLAGTGDHTFERRLRLGAPLVKENIATMVLESPFYGQRRPMLQRGAKLLCVSDLLLLGRATIEEARCLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHGTAWEALRDDLATEKVALTLEEVRERMRNVLSLTDVTRFPVPKNPDAVILVSATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIVDGLDRLPWKESPL >CAK8569849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13609398:13613319:-1 gene:gene-LATHSAT_LOCUS22658 transcript:rna-LATHSAT_LOCUS22658 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDIPEDANEHCPGPQSDSAGKSDACEGCPNQEICATAPKGPDPDMVAIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPHPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPNNVDGAIIVTTPQQVSLIDVKKEVNFCKKVGVKVLGVVENMSGLSQPIANLKFMKITDNGEMKDVTEWISEYMKEKAPEMLDLIACSEVFDSSGGGASKMCKEMEVPFLGKVPLDPQLCKAAEEGRSCFADKNCVVSAPALQKIIDKLMETSGLSMTVSNGV >CAK8566212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391590743:391593772:1 gene:gene-LATHSAT_LOCUS19365 transcript:rna-LATHSAT_LOCUS19365 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASESDVSVHSTFASRYVRTSLPRFRMPEESIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLEETEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKLEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWAIWRSKDDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQMIRLGFEGYKNVMENCRDNMIVLKEGLEKMGRFNIVSKDDGVPLVAFTLKDHTSFDEFQISDLLRRFGWIVPAYSMPPDAQHITVLRVVIREDFSRTLAERLVADIEKVLHELDALAARIKMISRSSNVTVLEVVKNNGEVVTAKKSDLETQREITAVWKKFVLERKKLNDKMNGVC >CAK8577731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585568140:585570700:1 gene:gene-LATHSAT_LOCUS29811 transcript:rna-LATHSAT_LOCUS29811 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCFGASTIKKKRTPPNTPNEIDGYPLDNILHFSEKDLRMATDNYHPSKKIGRGGFGTVYQGTLKNGRQVAVKSLSASSKQGVREFLTEIKTISHVKHPNLVELVGCCVQEPNRTLVYEYVENNSLDRALLGNTNTNIKLDWGKRSNICIGTAKGLKFLHEEVVPRIVHRDIKASNILLDKDFNPKIGDFGLAKLFPDDITHISTRIAGTTGYLAPEYAMGGQLTLKADVYSFGVLILEIISGQNSAKTNWGGSNKFLLEWAWQLHEEGKLLELVDPDMVEYPKEEVIRYMKVAFFCTQAAASRRPMMSQVVDMLSKNIKLNEKQLTAPGFFQASGESSLKKSSFESTSHQFSSVPASFTQVSPR >CAK8563922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:639347424:639348023:1 gene:gene-LATHSAT_LOCUS17280 transcript:rna-LATHSAT_LOCUS17280 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQLNNSTCSKTQLHSSSQLSFLSSTRTRTLPRHYHSTFAPLHRAQHARISCSVAPNQVQVPAAQTQDPKGKPDCYGVFCLTYDLKAEEETKSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSKRSIQALEGVALELEDSLFPLLREVVISIDPYEVFQDAEWALLIGAKPRGPGMERAALLDI >CAK8565419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:184435791:184437475:1 gene:gene-LATHSAT_LOCUS18637 transcript:rna-LATHSAT_LOCUS18637 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRPPCCDKIGIKKGPWTPEEDIVLVSYIQEHGPGNWRSVPNGTGLMRCSKSCRLRWTNYLRPGIKRGNFNDHEEKMIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLNKNQIGNDNNNNEEEGNSSSSQVKGQWERRLQTDIHTAKQALCAALSLDKSSTPLLPENETTTQFPYASNTENIAKLLEKWMKKPQKNSDDMVATTGSSSSEGAKSNVARTQKDQPTFDSLLSFNSFNSDCSQSVVEESNNLTTDSCFYQCENKQYHETQAPLMFLEKWLFDDGNPQCNEDIMNISLQENTSGMF >CAK8544472.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:687340924:687342437:1 gene:gene-LATHSAT_LOCUS13148 transcript:rna-LATHSAT_LOCUS13148 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSSSYVDFQSSQTKNNTHKFTYLTPFKKPRVETSSQIETTKLQQKQYLGGCEYQKPEKVNFSNFSIPAVFLKSTHQEKESKATASTKFQQQKHLTELLPTLDEHSEAAASHDSAFGIGVKRKASDINVYNEPSSSSVCSLEASNDPNFGFRDHEDNYDSPYFSDNEEETSENMFEEKPARERNTVKRCYKNAKSHNLTERKRRDKINEKIRILKELIPNCNKMDKASMLDDAIDYLKTLKLQLQIMTMGRGLCMPFNHLMMLPPAHYMNMNAQHLMGFRPQVQFPIPQMSNSVTDNNNNIRVQMFGFSNQLPPPMSIHNAPFTLPINGNSSTAPTSFGNKNQPSKKCG >CAK8531563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:125238882:125239982:1 gene:gene-LATHSAT_LOCUS1346 transcript:rna-LATHSAT_LOCUS1346 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRLGVAMDFSPCSIKALQWMVDNSLKEGDTIFLIVINPEEYEHGEMQLWADTGSPITPLAEFSDPTLSKKYGINPSQEVFKISKTVMEQNKVDVLVKIYWGDAREKLCESIEHIPLDSLTMGNRGLGTLRRAIMGSVSNYVVNNATCPVTVVKSSDQNH >CAK8537813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:437915807:437916007:1 gene:gene-LATHSAT_LOCUS7067 transcript:rna-LATHSAT_LOCUS7067 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYKWELGTYFSKKNDFKEAITSYEVQSGRDLRFSKNDKQRVRVICKEGCDYNAYCGKLPYEDSW >CAK8538612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489297510:489298505:1 gene:gene-LATHSAT_LOCUS7793 transcript:rna-LATHSAT_LOCUS7793 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATHWCYECSRPIVLEGRDIVCPYCDDGFVQELDEMRGIAPQNTLPSRSGEFHQMPDLFDAIHAFVGNRGSENRFGLMDAVDNFMRHRMAGMHPNFDVRGRSGSSVPVPEQSWGVYSSGPFLVFHGQVPGLNLPNGSSRGGPRRGDFGDYFMGSGLEELIEQLTMNDRRGPPPAARSSIDAMPTIRITQAHLRSDSHCPVCKEKFELGSEAREMPCDHIYHSDCIIPWLVQHNSCPVCRVELPPQGQVSSRGGSNRGWGGRNGGNNGGNGSSSNRENDRQNNGRRNPFSFLWPFRSSSSNNNQYSEGSTSSTNADQNNGTSYHGWHDN >CAK8567750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:541354511:541358073:-1 gene:gene-LATHSAT_LOCUS20773 transcript:rna-LATHSAT_LOCUS20773 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCRKLGDPTVTVEADNSSIFLSKNHPIPHLNSPTSVRVRIKATSLNFANYLQILGKYQEKPPLPFIPGSDFSGIVDSVGPKVTNFRVGDPVCSFAALGSYAQYIVVDQNELFRVPEGCDLVAAGALAVAFGTSHVALAHRAQLKSGQVLLVLGAAGGVGLAAVQIGKACGAIVIAVARGAEKVQLLKSLGVDHVVDLGNENVIESVKEFLKVRRLKGVDVLYDPVGGKLTKESLKVLKWGAHILIIGFASGEIPVIPANIALVKNWTIHGLYWGSYKIHRPAVLEDSVKELLSWLAKGLISIHISHSYSLSEANLAFSAIKERKVIGKVMLVFDEKTTRSKL >CAK8544541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:691416357:691418039:1 gene:gene-LATHSAT_LOCUS13210 transcript:rna-LATHSAT_LOCUS13210 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATFDLGAVPFNPDGWGPVESTADDSNVPFAPFSRSDKLGRIADWTRNFNNPTRSKNPADSAFDFTSDASFPSFADDDSSFRLVDGKPPPRPKFGPKWRFQRQLQLPQRRDEEVEAKKREAEKERARRDRHYQNRSNPSNHRRELFKSSVDIQPEWNMHDQIPFSAFTKLSFNVSEPEDLLLCGAVESYDRSHDRIAPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDAILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAHSLSVEASYINQNFSQQVLIRDGKKVTFDEPNPFANEGEEVASVGYRYRRWKLDNDMYLVARCEVHSVVDVNNQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRIHPRDHFNHVILAVVGYKPKDFAAQINLNPGNMWGIVKSIVDMCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPIPEEEQVQPHADGADDADGVEAAAASTNDGEDKKVEDQA >CAK8540486.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:8983875:8984186:-1 gene:gene-LATHSAT_LOCUS9488 transcript:rna-LATHSAT_LOCUS9488 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTNLGIHESKVKPVLKLELVKLYEGNWELIEADNYTALVDAIFDVEDKLQEDNQVYPISCINTYLFYLWVYSMKFTLISHAQCLVIVII >CAK8543411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606464249:606465537:1 gene:gene-LATHSAT_LOCUS12168 transcript:rna-LATHSAT_LOCUS12168 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTNQLNPSESRSVVPLNTYVLISNFKLAYNLLRRADGTFNRDLAEFLDRKVPANAIPVEGVFSFDHVDRNSGLFNRVYLPSSENESQWGVVDLEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTLCRRLVSVCKAAVVSVNYRRSPEHRFPCCYEDGWNALKWVKSRRWLQSGKEKEKKVNVYMAGDSSGGNIVHHVAVKASEEKIEILGNILLHPLFGGEKRTDSEIRLDCKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPKGVKNLKGLEKFPKSLVCVAGLDLLQDWQLAYVEGLRSFGQEVKLLYLKEATIGFYFLPNNDHFYCLMDEIKNFVNPNC >CAK8578232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:613653999:613656092:-1 gene:gene-LATHSAT_LOCUS30262 transcript:rna-LATHSAT_LOCUS30262 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSAGYLVLSLVLVIEAATCSTFFTREKKLSEFNHKLDQTSSNPSQTNTSSKRVLYPSEYGADPTGSEESSDAILKAVEDAFRLQKGLELVAGVKDLGGVVIDLGGGDYKISKPIIFAPGGGNVVVKGGTLRASDTFPGDRHLVELCSSNSNKLNTVESMQTGNLSDIKTENNGIYYEDITFRDILFDSTYRGGGIYIVDSARIRINNCFFLHFTTEGILVQKGHETFISSCFLGQHSTVGGDHGEKGYSGVAIDLASNDNAVTDVAIFSAAIGIVLRGQANILSGVHCYNKAAAFGGIGILVKLAGNSLTRIDNCYMDYTGIVMEDPVQVHVTNALFLGDANILLKSVKGQILGLNIVDNMFNGDPNKKVPIVNLDGQFSNVEQVVIDRNNVNGMGLRSTVGKLTVSGNSTKWEADFSSVLVFPNRISHVQYSFYAQGEPKFVAHSVTNVSDNVVVVESDKEAKGSVFFRVEQ >CAK8539654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519997032:520001048:1 gene:gene-LATHSAT_LOCUS8732 transcript:rna-LATHSAT_LOCUS8732 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSFSLSPKPSSSSPTNFSFSSSFSPFPIQILIKNSKPHNHSHQTLRVLAVSIDSTKEFPKNNPQQLLKELAERKKITSPKSKSPSRRFILKPPLDDKKLAERFLNSPQLSLKSFPLLSSCLPSSRFSNADKLWIDEYLLEAKQALGYSLEPSEMLEDDNPAKQFDTLLYLAFQHPSCERTKARHVKSGHSRLGFLGQFVLELALAEFFLQKYPRETPGPMRERVFGLIGKENLPKWIKAASLQNLIFPFDNMDRMVRRDREGSVKSVFWALFGAIYLCFGLPEVYRVLFEVFGMDPDDEDCLPKLRRQLEDVDYVSAEFESKLNWQDIVAYKPPADALFSHPRLFRACVPPGMHRFRGNIWDYDSRPQVMRTLGYPLEMTDRIPEITEARNVELGLGLQLCFLHPSKFKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGLWLQEKHRRILMNKYCGRYLRAKHLHKFIIYDDKVQDAYERNRRRRNPATTAVNQAIHGLSYLVYGKRDVRRLMFEFFDFEQVQPKEV >CAK8531345.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:103039602:103040561:1 gene:gene-LATHSAT_LOCUS1145 transcript:rna-LATHSAT_LOCUS1145 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQNPQTRTNCNSPEFEFWMLRNPSFPQPNLLTADELFVDGVLLPLHLIPVTNNSDPPNPDPLVKDPDPEPESSQPESSPAITESSTTTTFSSSKRWKDIFRKGEKKTTENNNTEQKEKENKKEKKKERKNKSGSTSAELNINIWPFSRSRSAGNTGTRPKLFPGAPVTRKVNSAPCSRSNSTGESKSRKWPSSPGRAGVHVGRSSPVWQVRRGISKNSEQQTLNAEKASKTESAATRRSKVVSNGGGKTRVLSLNVPMCIGYRHHLSCRSDESGSVGVSGGAVTNGGGECHNDEGSGGNIFNLRNLFTKKSIVTSH >CAK8571210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:338234934:338236070:1 gene:gene-LATHSAT_LOCUS23894 transcript:rna-LATHSAT_LOCUS23894 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWKRATGVLKDRCSIWAAKLSPYGACRNPDLETVVIKATSHDEHRMDYKNVQKVFQWLRTSPLYIKPILCVLSTRMQRTSNWVVALKGLMLIHGIFCFDLPMVQKMGRLPFDLSQFSDGHLSYEKGWIFNTFIRSYFAYLDYRSMNSKIKAKKLQNKKGKECEELTLVEELKNLEELQKTIDMLMQVKPRSDVNMNVALILEAMDCVIDEIVEVYGKFSKEINRVLLRVCDIGGKEEASICFDIARKVKSQGEKLAMHFEFCKEIGVLNNCVCPKIVEIDEEEIEELKKIMNDGEEKAIVVRDDNSKKVEINGLMTVVTDHWEVFLDDVIVEVEKEHDSDGTLSIVDPNNPFVDETFSIVPYKPVQHYDLPDLISL >CAK8561492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:246124353:246125969:1 gene:gene-LATHSAT_LOCUS15079 transcript:rna-LATHSAT_LOCUS15079 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLVLKEDWCPVYVVTTEAKPIYTDKIDGHFIWDVDPTRCDPDCDCWMHDDDIDRDIILPKTKKKGRCKPSPPPQRRFDPDNGPWVGIHGKNKPLCIYEEGLKILKREGLLPPDDPNLLTWSPTDHCKPLHPPAVVQPIPCFMYSTTTSEYERQFLALERKMDTVTGRTSKPFIHLSEVQPDGKLKPLTQAEEVLNWKYDNMVSQNEILQNLDKKVDKIAEKIDETDEDLKVLSQKMQKHYRSLKAQLSQLDRYLRQMLEERAFGKTFDQKEREIRSLQSQVKEIGDFLRASHERKPKPVENSFLDPPTFPTYFKRTERTSPFYPAYDSSQSDQVKYIPTAYIPRSSRTTTTSTSKTKGKAACLSASSSDSQDIPETPPSKIQKEEEIPNKSFQAMAITTNHESPQKDHYESSKQEGDESFSDDDNNSDPESSSNETPRFFSTKSGSEDNYFPRLFMTIVKEEESFFEEESPKETLVPERTKPNGGPWFTFDDIPPSRWRKRLLEFGAWLDTQMMKTSADSYKIIEEFCCRMTGTKK >CAK8577651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581240012:581248788:1 gene:gene-LATHSAT_LOCUS29739 transcript:rna-LATHSAT_LOCUS29739 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGSSEGESDRKRGGGSDENNNHGESNSKIVVYNEGQSKPKRQMKTPFQLETLEKAYALDNYPSEPVRVELSEKLGLTDRQLQMWFCHRRLKDKKESAAPKKEAVAPKKGPVSRKPAAEPLLDSPVDDLRLGSDHGNGYGSGSGSGSSPYTRFEPRIATPVSVVYYESPQAEMELRAIACVEDQLGEPLREDGPILGIEFDQLPPDAFGAPIAVPEHQKRPRLAYDSKIYGRHDVKTNKAMARTFPEYQYSPNQSGARSDAFGQFSQSHLNVPKEGPSKKPQSVLGNERLPRIHGIKGHSSRVSQQDKQGSPFQSPSQDNDVAPSRELYTSTGKVGMNSHLTDSQTVGPENTYASPSGQSLQSNAMHIDRKRKGDDVKITKDVEANDMRIRKELEKQENLRRKNEERVKKEMERQDRERRKEEERLMRERLREEERTKREEKRESERREKYLLRENLKAERMRQKEELRKEKDEERRKAALEKATARKIAKESMELIEDEQLEMMELAASSKGLSSIIRLDFDTLQNIESFRGSLCLFPPKSVKLRKPFAIQPWINSEENIGNLLMVWRFLITFADVLELWPFTLDEFVQAFHDYDSRLLGEIHVSLLKVIMKDIEDVARTPSSGLGMNQNGAANSGGGHPEIVEGAYAWGFDIRNWHRHLNQLTWPEIFRQLALSAGYGPQFKKSSIPCSRANNKNEGRSCEDVVSALRNGSAAVNAVAKMQERGLLGPRRSRHRLTPGTVKFAAFHVLSLEDGDGLNVIELAEKIQKSGLRDLTTSKTPEASISVALTRDAKLFERVAPSTYCVRAAFRKDPADAESILSEARKKIQIFENGFLAEEDADDVEREESESEVDEDPEVDDLVNPSSVHKTSELFNDFSSNGKENQGHDGKLKEEFDKDLPCFPDNGSKNAGYPSAISGQPVACENISAGNLGKDNMEIDESKSGESWIQGLTEGEYSDLSVEERLNALVVLVGVANEGNSIRVVLEDRLEAANALKKQMLAEAHIDKTRGKDDNVNKSDFPSINGIKVETQLACAAVESNPSPLLDTNICNNNNEDSPSKAENKRLALVGQSLSEKPSSGQDLCIGLDNPQTMLSAQYSKRSRSQLKSYISHIAEEMYIYRSLPLGQDRRHNRYWQFVASASCNDPGSGRIFVEYHDGKWRLIDSEEAFDTLLNSLDSRGIRESHLHLMLLKIENAFKENVQKNAKCAKIGNTNEIRVKNEADETDSSPDHHTSSDSPSSTLCGLSSDTSETSSSFRIELGKSESDKKAALGRYQDLQQWMWKECYNPSILCASKYGKKRCKPQVDICDVCLNLYCLEDSHCNHCHLTFPSNDGLTVSKHAIQCGDKLAKDICILESPLPLRTRLLKALLAFIEVSVPPEAFQSIWTEDIRRLWGVKLSRSSSAEELLQILTLFERALKRDFLSSPFATIGDLLGMNTISETAALTSMDLKSVTVLPWVPRTTSAVSLRLFELDTSITYVKLEKPEPYQEKEARCIKLPSRYASVKSTKAAEPSYMDCDEFMKVKSAPMKIVGSSKKRARVNHDKGRAKKFSNRTSDSQRDNDRLNFKVTENLSQRIKSQGQGSQGLTGGRGRRTVRKRREENRVVDDLLLRDRAAINSPNFSREPSRIRDEDWSDEKASPIPREAAEMSSSSEEDEYDDNAQAMESDDNVEAVEYGQGNWEIGFNGTPNRWNRDLVGMSDGEQHLEAFEDDNDNGIEGNEEEEEDEEEEEEEEEEEGSEADVIMSDGSDGMANRVVNEESSDSSDSEDYSD >CAK8577652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:581240012:581248788:1 gene:gene-LATHSAT_LOCUS29739 transcript:rna-LATHSAT_LOCUS29739-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGSSEGESDRKRGGGSDENNNHGESNSKIVVYNEGQSKPKRQMKTPFQLETLEKAYALDNYPSEPVRVELSEKLGLTDRQLQMWFCHRRLKDKKESAAPKKEAVAPKKGPVSRKPAAEPLLDSPVDDLRLGSDHGNGYGSGSGSGSSPYTRFEPRIATPVSVVYYESPQAEMELRAIACVEDQLGEPLREDGPILGIEFDQLPPDAFGAPIVPEHQKRPRLAYDSKIYGRHDVKTNKAMARTFPEYQYSPNQSGARSDAFGQFSQSHLNVPKEGPSKKPQSVLGNERLPRIHGIKGHSSRVSQQDKQGSPFQSPSQDNDVAPSRELYTSTGKVGMNSHLTDSQTVGPENTYASPSGQSLQSNAMHIDRKRKGDDVKITKDVEANDMRIRKELEKQENLRRKNEERVKKEMERQDRERRKEEERLMRERLREEERTKREEKRESERREKYLLRENLKAERMRQKEELRKEKDEERRKAALEKATARKIAKESMELIEDEQLEMMELAASSKGLSSIIRLDFDTLQNIESFRGSLCLFPPKSVKLRKPFAIQPWINSEENIGNLLMVWRFLITFADVLELWPFTLDEFVQAFHDYDSRLLGEIHVSLLKVIMKDIEDVARTPSSGLGMNQNGAANSGGGHPEIVEGAYAWGFDIRNWHRHLNQLTWPEIFRQLALSAGYGPQFKKSSIPCSRANNKNEGRSCEDVVSALRNGSAAVNAVAKMQERGLLGPRRSRHRLTPGTVKFAAFHVLSLEDGDGLNVIELAEKIQKSGLRDLTTSKTPEASISVALTRDAKLFERVAPSTYCVRAAFRKDPADAESILSEARKKIQIFENGFLAEEDADDVEREESESEVDEDPEVDDLVNPSSVHKTSELFNDFSSNGKENQGHDGKLKEEFDKDLPCFPDNGSKNAGYPSAISGQPVACENISAGNLGKDNMEIDESKSGESWIQGLTEGEYSDLSVEERLNALVVLVGVANEGNSIRVVLEDRLEAANALKKQMLAEAHIDKTRGKDDNVNKSDFPSINGIKVETQLACAAVESNPSPLLDTNICNNNNEDSPSKAENKRLALVGQSLSEKPSSGQDLCIGLDNPQTMLSAQYSKRSRSQLKSYISHIAEEMYIYRSLPLGQDRRHNRYWQFVASASCNDPGSGRIFVEYHDGKWRLIDSEEAFDTLLNSLDSRGIRESHLHLMLLKIENAFKENVQKNAKCAKIGNTNEIRVKNEADETDSSPDHHTSSDSPSSTLCGLSSDTSETSSSFRIELGKSESDKKAALGRYQDLQQWMWKECYNPSILCASKYGKKRCKPQVDICDVCLNLYCLEDSHCNHCHLTFPSNDGLTVSKHAIQCGDKLAKDICILESPLPLRTRLLKALLAFIEVSVPPEAFQSIWTEDIRRLWGVKLSRSSSAEELLQILTLFERALKRDFLSSPFATIGDLLGMNTISETAALTSMDLKSVTVLPWVPRTTSAVSLRLFELDTSITYVKLEKPEPYQEKEARCIKLPSRYASVKSTKAAEPSYMDCDEFMKVKSAPMKIVGSSKKRARVNHDKGRAKKFSNRTSDSQRDNDRLNFKVTENLSQRIKSQGQGSQGLTGGRGRRTVRKRREENRVVDDLLLRDRAAINSPNFSREPSRIRDEDWSDEKASPIPREAAEMSSSSEEDEYDDNAQAMESDDNVEAVEYGQGNWEIGFNGTPNRWNRDLVGMSDGEQHLEAFEDDNDNGIEGNEEEEEDEEEEEEEEEEEGSEADVIMSDGSDGMANRVVNEESSDSSDSEDYSD >CAK8573573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:621383979:621391395:-1 gene:gene-LATHSAT_LOCUS26006 transcript:rna-LATHSAT_LOCUS26006 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDIKKDQAPPAPEDPEKKKKKDEKAREKQLKKEKFLQKQAQQQQAQQTANASKKSEKKAVKRGPEDENPADYIDPETTLGDKKQMSRQLAKQYNPTAVEKSWYEWWDKSKYFVADASSTKPPFVIVFPPPNVTGALHIGHALTAAIEDTMIRWRRMSGYNALWVPGMDHAGIATQVVVEKKIMREKQLTRHDLGREKFVEEVWEWKNKYGGTILQQLRQLGASLDWSRECFTMDDKRSKGVTEAFVKLYKQGLVYRDLRLVNWDCVLRTAISDIEVDYIDIKERTLLKVPGYDKPVEFGVLTKFAYTLEGNLGEVVVATTRIETMLGDTAIAVHPADERYSQFHGKYAVHPFNGRKLPIICDAILVDPKFGTGVVKITPAHDPNDFEVGKRHNLEFINVFTDDGKINSNGGSEFFNMPRFKAREAITEALQKKGLYRGSENNEMRLGVCSRSNDVIEPMIKPQWYVNCSDIAKEALHAVTDEENKRVEIFPKQYVADWKRWLENIRDWCISRQLWWGHQIPAWYVTLEDDTLQELGAYHDHWIVARNEEEARDEANQKYNGKKFNLTRDPDVLDTWFSSGLFPLTVLGWPDDSDDLKAFYPTSVLETGHDILFFWVARMVMLGMKLGGDVPFSRIYLHPMIRDAHGRKMSKSLGNVIDPIEVINGISLEGLHKRLEGGNLDPKELAIAIEGQKKDFPNGIDECGADALRFSLVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLGDDYIPPATLSPDALPFSCQWILSVLNKTILKITKSLESYEFSQATTAVYSWWQYQLCDVFIEVIKPYFSGNDPKFTSERRLAQDTLWFCLDNGLRLLHPFMPFVTEELWQRLPSPRECKRAESIMIADYPSAIEGWNNEKVESEMYLIESTVKSLRSLAKERRDRRPAFVLCRTQAVTDIISSHQLEITTLANLSSLTVITESEAVPSGYATAVVNESISVYLELQGINSAEAELGRKKKIEELNKQIERLEKIMNAPGYEEKVSLQIRSKNDEKLVSLKERLLLEETAGLNL >CAK8530593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33048814:33049449:-1 gene:gene-LATHSAT_LOCUS446 transcript:rna-LATHSAT_LOCUS446 gene_biotype:protein_coding transcript_biotype:protein_coding MDINLEINDDSANNDEQKQPLLRNAEVPEAERNFVQKAISSTFQSTAHLANLLPTGTVLAFQLLSPIFTNIGNCDSVSKSMTSVLVTLCGASCFLLNFTDSFRDSKGNICYGFATFKGLWVIDGSTKLPPQVSEKYRIRFIDFMHALMSILVFAAIALFDQNVVNCFFPEPSNEIQEILTALPVAIGVFCSMLFVAFPTERHGIGFPLSTS >CAK8564211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:657718477:657726201:1 gene:gene-LATHSAT_LOCUS17534 transcript:rna-LATHSAT_LOCUS17534 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTEPSSSRSVFLGVDVGTGSARAGLFDEEGKLLGSSSSPIQIWKDGAFVEQSSTDIWLAVCAAVKAACSKAEVAPTEVKALGFAATCSLVAVDSDSSPVSVSPSGDSRRNVIVWMDHRAVDQAERINKSNSPVLEYCGGGVSPEMQPPKLLWVKENLQESWLIVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQYVNDKDSQGMEACGWDDEFWEEIGLGDLLEGHHAKIGRSVAFPGHPLGSGLTPAAAKELGLVPGIPVGTSLIDAHAGGVGVIESVLPSEAEEHDEEAICSRMVLVCGTSTCHMAVSRSKLFIPGIWGPYWSAMVPEYWLTEGGQSATGALLDHIIENHAGSMLLANQAASQKISVFELLNKLLETIMIEQNQSFLGALTEDLHVLPDFHGNRSPIADPKAKGVIYGLTLDTSDKQLALLYLATVQGIAYGTRHIVEHSNAHGHQINTLLACGGLSKNPVFIQEHADIIGCPIILPRESESVLLGAAILGAVATKKYSSLREAMKALNAAGQVIHPSNDPKVKKYHEIKYRIFRGLYEQQLSNRSMMAQALA >CAK8579659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715056783:715057582:-1 gene:gene-LATHSAT_LOCUS31589 transcript:rna-LATHSAT_LOCUS31589 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDCLFFLSLVSTLLEEFDVYPVLFSRMSRPMEEEPVGKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLKNPK >CAK8579660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715056783:715057498:-1 gene:gene-LATHSAT_LOCUS31589 transcript:rna-LATHSAT_LOCUS31589-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEEPVGKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLKNPK >CAK8540829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:24155103:24155492:-1 gene:gene-LATHSAT_LOCUS9796 transcript:rna-LATHSAT_LOCUS9796 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKIKLIEEAIAEDRNNKVKEQSSKQMSMENLKNFFQRKYPNETEDEIMVRILDHMKNKFFSTFSTKASKDEDSSMKTSSSMGSMDSHNFDGLAGVGQADEPAAEDLWDAMISSMKDKRKEKN >CAK8541412.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:156611616:156612121:-1 gene:gene-LATHSAT_LOCUS10333 transcript:rna-LATHSAT_LOCUS10333 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQREKDRERAQSRVGGSKGKPGKSDGLTPEQRRERDAKALQEKTAKKAAQDAGGNSAGGSKK >CAK8535860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:886502361:886503089:-1 gene:gene-LATHSAT_LOCUS5280 transcript:rna-LATHSAT_LOCUS5280 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNSRRQVAAMKQSLFDQGLLDEQFIQLEELQDDANPNFVEEIVTLYYRDSSRLISNLEQTLERNPLDFNKLDTIMHQFKGSSSSIGAKKVKAESTLIREYCRTGNAEGCRKSYQQMKKEYVALRKKLENYFQLARQAGPLERACRPK >CAK8562347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:476223127:476224623:1 gene:gene-LATHSAT_LOCUS15850 transcript:rna-LATHSAT_LOCUS15850 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKCYSNILVLILFLLMNISFHENVIAQKNGLGASFIFGDSLVDAGNNNYLSTLSKANVPPNGIDFKANGGNPTGRFTNGRTIGDLVGEELGQANYAIPFLAPNATGKSILYGVNYASGGGGILNATGRIFVNRIGMDVQIDYFTITRKQINKLLGESKAREFIMKKSIFSITVGSNDFLNNYLLPVLSIGARISQTPDAFIDDMISHFRAQLTRLYKMDARKFVIGNVGPIGCIPYQRTINQLNEDECVDLANKLAIQYNARLKDLIAELNDNLPGATFVLANVYDLVMELIKNYDKYGFTTSSTACCGNGGQLAGIIPCGPTSSICNDRYKHVFWDQYHPSEASNIIIAKQLLDGDKRYISPLNLRQLRDL >CAK8530977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:67399371:67400465:-1 gene:gene-LATHSAT_LOCUS799 transcript:rna-LATHSAT_LOCUS799 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGQMRRQWMEYIKSLFVEGFLDGQFLQLQQLQDENNPEFVFEVVSLFFDDSERILKDLSFALEQQSVDFKKVDAHVHQFKGSSASIGAQGVKNACIAFRNFCEEQNIDACRRCLQQVKQEYFVVKNKLETLLRLEQQIVAAGGSIPTMELSF >CAK8573165.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:590155211:590156230:1 gene:gene-LATHSAT_LOCUS25643 transcript:rna-LATHSAT_LOCUS25643 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPLFPTNNSYESKKLSENTKTTYQCDGKHQKEDSNTYLVIGQSIQVDAKFSNSRHEFFPAATTGDMKGAQLRDGEAPNAVPSHSKLHQLLLEERIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFPLPVKPAPYASSEAWIKILEISSIKKSIGDENSCSSPYEPESEPHQFPEEVGEANGDLVMVKEQISVGVRDVKVSDETKLEINEQKKIEGNLVRYNSDDVTSEVDNYMDALTTMESENNNSFSGNEDEHVELQAHFSDSQSTGKSFMSDVNIIEHCG >CAK8531962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:184853734:184854345:1 gene:gene-LATHSAT_LOCUS1711 transcript:rna-LATHSAT_LOCUS1711 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLSRLGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPVQDGGKRMGINFDRVKYWLSVGAQPSNPVERLLFRAGLLPPPPTVAMARKGGARDTRPVDALTGRVIDQQKPPNSNNDETSVTENP >CAK8565772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330384964:330388655:1 gene:gene-LATHSAT_LOCUS18956 transcript:rna-LATHSAT_LOCUS18956-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVESSGGAHEMESQLISQPQRQKGGLITMPFIIANEALARMASIGILPNMIVYLMGTYKLPLGKATQILILSSAATNFMPVVGAFLADSYLGRFLVVGLGSTVSFLGMAMLWLTTMIHPAKDGKSATLGEMEVLLSALGLMSIGNGGLSCSLAFGADQVNTKDNLNNNHNFLEIFFSWYYAFTLISIIIGLTGIVYIQDHHGWKIGFGVPAILMLLSTLLFFLASPLYVKIHRRTNFFTGFSQVVYASYKNRNIPLPSKNTTQCYHHYPDSDCAVPTDKLRFFNKACVIKNHEQDKACDGSAINPWSLCTVDQVEELKSIVRVIPLWSTGIMMSLNIGGSFGLLQAKSLDRHITSHFEVPAGSFSVILVGAVFIWIVIYDRVLLPFASKIRGKPVSISAKKRMGIGLFFYFLYLVNAATFETIRRKKAINDDTDGVLKMSAMWLAPQLCLAGIAEAFNLIGQNEFYYSEFPRTMSSVAVSLPGLGMAAGNLVSSFVFSTIENVSSRGGKKGWICDDINEGRFDKYYWVIAGVSALNVVYYLVCSWAYGPTVEELTKD >CAK8565771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:330384904:330388655:1 gene:gene-LATHSAT_LOCUS18956 transcript:rna-LATHSAT_LOCUS18956 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLPLDNEGPDNNDTNRKMDKEVESSGGAHEMESQLISQPQRQKGGLITMPFIIANEALARMASIGILPNMIVYLMGTYKLPLGKATQILILSSAATNFMPVVGAFLADSYLGRFLVVGLGSTVSFLGMAMLWLTTMIHPAKDGKSATLGEMEVLLSALGLMSIGNGGLSCSLAFGADQVNTKDNLNNNHNFLEIFFSWYYAFTLISIIIGLTGIVYIQDHHGWKIGFGVPAILMLLSTLLFFLASPLYVKIHRRTNFFTGFSQVVYASYKNRNIPLPSKNTTQCYHHYPDSDCAVPTDKLRFFNKACVIKNHEQDKACDGSAINPWSLCTVDQVEELKSIVRVIPLWSTGIMMSLNIGGSFGLLQAKSLDRHITSHFEVPAGSFSVILVGAVFIWIVIYDRVLLPFASKIRGKPVSISAKKRMGIGLFFYFLYLVNAATFETIRRKKAINDDTDGVLKMSAMWLAPQLCLAGIAEAFNLIGQNEFYYSEFPRTMSSVAVSLPGLGMAAGNLVSSFVFSTIENVSSRGGKKGWICDDINEGRFDKYYWVIAGVSALNVVYYLVCSWAYGPTVEELTKD >CAK8544393.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681794299:681797537:1 gene:gene-LATHSAT_LOCUS13079 transcript:rna-LATHSAT_LOCUS13079 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYLQTRNSGIVPFITALTATATLRANDHASQSHLTAVRRLNNLSIFSGLSFRFRKSLNSRLIENSPGNNGFGVDDAVMAENVVVAETEGEGKNVNWIFKVFELNSVWRGEQESGDDDDDDDECDSCSVDEDEDEVWFDRELFSRMLRRVTLVEARMYAHMCQLGNLAYSIPNIKSGNHLKRCGVQFVTSSIEKREMAATADKNLASAAIQKEETSEKDTGEKNEEKNDELMINASAACEIAVVEGSVEASSRVIDTVNVINTDVGSLTATTDSKTPVIVADEDVKQAFADDLNSTSSTPCEWYICDDDQSSTRYFVIQGSESLESWQANLLFEPVQFEGFDVLVHRGIYEAAKVTYQQMLPEVHAHLNSQGSRATFRFTGHSLGGSLALLVNLMLLIRKEVPMSSLLPVVTFGSPAIMCGGDHLLEKLGLPRSHVQAIAMHRDIVPRAFSCKYPNHVTELLKAVNASFRCHPCLNNQKLIYAPMGELLILQPDEKFSPSHDFLPSGSGLYLLSGPLSESSDTENQIQAAQLFFLNSPHPLEILSDRSAYGYGGTIQRDHDMNSYLKSIRTVIRQELSQIRTAARRELRRKVRWPLVARLVSDADIVGGRSMVRVRIIQDQPPFSGIIQKPLKRFSRLVASQHMQLFVMFLFPARLLLLETCNMTSIS >CAK8565241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:79164512:79165828:-1 gene:gene-LATHSAT_LOCUS18470 transcript:rna-LATHSAT_LOCUS18470 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQEVIKHVCRICCKSFPCGRSLGGHMRSHDHVKTNDHHHGDDDDDDDDDESFVVASASTVKKEEGCSEGYYGLRENPKKTWRVLTDSTSDDQEETFDVVLDKLCKECGRGFQSWKALFGHMKCHSSSKNNGFEMDEQESNTDAAAVLPNRKRRSKRRLKTRYLNSNSSSSVVAANSVSEEEEHVQKEVAMSLMMLSRDVRSWCGLNSIAESSDNDDNKGKSLEIFDEDSKGNDGLKMKKTRVSVIENGKDKKNKVKIEVDSDSAFLVEVRSKPGTSSTSKVKYTSMKEKFYDCETKVSSEVEIGAKKSRKRGKFECATCNRVFHSYQALGGHRASHKRSKGCFASKVDQNSDNEHIAELEMEVDMKINVPDEGRILESEGMAVEEVSEIRNFLDLNLPADASIVDERNGHSEIYTPWWLDGSNFKQEAMVGLLSN >CAK8533884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667496177:667501473:1 gene:gene-LATHSAT_LOCUS3472 transcript:rna-LATHSAT_LOCUS3472 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSFKPAKCKTALKLAVSRIKLLRNKREAQVKQLKRELAKLLENGQDQTARIRVEHVVREEKTMAAYELVEIYCELMAARLPMIESQKNCPIDLKEAVSSVIFATPRCSDIPELADVKKHMASKYGKEFVSAAVELRPDCGVNRLLVEKLSAKAPDGPTKIKILTAIAEEHNIKWEPKSFGDNDTKASQDLLVGPSTPEKSAYVEPFQVHVPPPVHDEKGPPNSGGPSQLTPMHDTYTNSYEQSANAAARKASGNNSTTSDMPNIEIKSSGDGSQKMDIRDSYSENRSSVPTGRQNWNMEFKDAASAAQAAAESADRATMAAKAAAEFSNRENINRQYSSGLHSSPRRGSRGEAPNAYAFHDDKYLSSGSVNSTMPKNSSGMHNEQDNLFGMGNEDYRNINQNVVKHAQTASIIGGGVGDDKPFTHGSQIADTYHHNNSFKQESNDLYETSITKQASRANEDFGTEHHSDDDMYTENNYDFVHAKTDIQSGHSSSSHLFIPSDDHNDNLNSGDWTIGNKAAQDLFSTEVNTPTNTMKLSSFNDTSVVFDDSESDNDDYNFDADKKYNGSGSGLFSSPSSKYQVDPLENTNSWSHGQNTSVKETNSGTQSLFSEKLMMSEVSFENEDPLPATFDDSDDPGSDIETDLVKSRVSRAFDEENSVLDQIANHGTLGSSSGKVKNLDTDRSPRSSPSSVGSDHVEEHSLKKVDATNMSRKNYGYDDSPTSELSSTERNSTLGLGSKEDIYSPQPPNNFDDTETLENSHIESGTELNYGTLKGGFRNKGFTRLPYIKKTSDDVSASMGDISSQNRRLPTVRTSTSFDAPVQDKYTTENRGNRNAGSKAHNRSSDSGSYDLATDSQEMTRTHTPRIQNEQSEAKKKSSSRTPIPYFDSDDSESEAERHKQNVANVARPVSRVSRRTSASPKTGTGLSSNHAPLSEAPVTPGSRLGWKSSRVSDESSESRGGSKPGLADSEASKPISQPNRSLEEEVVNSSSKVQPSLPSTDVQDSDTPSKQKVDHVHPKLPDYDSFAAHFMSLKKGRP >CAK8530567.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31405227:31405430:1 gene:gene-LATHSAT_LOCUS424 transcript:rna-LATHSAT_LOCUS424 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDHRKLDSAIISHNIKELVNSDASLKVNVIQAHIAEKYGYMISYKKAWIAKIKAIKSLYENWETS >CAK8575897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351482302:351483831:-1 gene:gene-LATHSAT_LOCUS28122 transcript:rna-LATHSAT_LOCUS28122 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNTSSSRSKKWSLKGMTALVTGGSKGIGYDIVEQLAELGATVHTCARNEAELNECLHQWTIKGYKVTGSVCDVSSRPQREELITRVSSQFNGKLSILVNNVGTNIQKQTLDITEEDFSFLLNTNLESAFHISQLVHPLLKASESASIVFISSVGGVASLNIGTIYSATKAAMIQLTKNLACEWAKDNIRTNCVAPGPIRTPLGEEHLKDEKVFNGFTSRTPLGRIGEPDEVSSLVAFLCLPAASFITGQTICIDGGLTVNCLLI >CAK8543926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:649816736:649828646:1 gene:gene-LATHSAT_LOCUS12648 transcript:rna-LATHSAT_LOCUS12648 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSTSLVSQLSIGLRPHHPSPKFDSLSSQSLSSTNSFDPNIRLSVSSAKPSRTVIAMAGSGKFFVGGNWKCNGTKDSISKLIADLNNAKLEPDVDVVVAPPFVYIDQVKTSLTDRIEVSGQNSWVGKGGAFTGEISVEQLKDLGCKWVILGHSERRHVIGEKDEFIGKKAAYALSEGLGVIACIGELLEEREAGKTFDVCFQQLKAYADAVPSWDNIVIAYEPVWAIGTGKVASPEQAQEVHIALRDWLKNNVSAEVASKTRIIYGGSVNGGNSAELAKKEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >CAK8578381.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:625607223:625610505:1 gene:gene-LATHSAT_LOCUS30398 transcript:rna-LATHSAT_LOCUS30398 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGRYHESEFKDHESNLEVNRRRFSNSKEYDRVRNGGHDDVRSGSRDSRDNIRMRQKVVRERETAVNGSYRSASSRSDSGSSGSLGPRRCGLSAKTIDREPGELSSESGSDGATESEAGLKDCDVAVFEGNRSRSPPPQERKRKFSPIVWDQDDKEVKGSSKVRVSTTTVTALPPLPQLPHLSKSAVLSPNASSGEVQMRSVRNRETGDLELPAEAQVTVPSPSRLQSLSPKQTLGNDQEAEQPEGEDYVPTRNISSSRWAAGDNSPVDEGEILNDEEMPKRKRRLTPEFLETRVRNKLLSPNESKIGSFEGARAKSSDSEERSVIHGRTSSGDGHPGIESEKDDYMDIDVRGTKSDASISHSDTESEDDDDRQETPEPPAQPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEVVALKKVKMEKEKEGFPLTSLREINVLLSFHHPYIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGFLKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRLRRMYKSPDPLEEQRRKELLQQGDLGTGGIFG >CAK8541001.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:40810041:40810268:-1 gene:gene-LATHSAT_LOCUS9954 transcript:rna-LATHSAT_LOCUS9954 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLDDVSCLLHLPIRGVFWSPQDINEGLAIEWVVDYLGGSQRVAQQHVCDCRSSYYKLEWLYDRFVEHIAASR >CAK8531356.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104514299:104519144:1 gene:gene-LATHSAT_LOCUS1153 transcript:rna-LATHSAT_LOCUS1153 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLKRRHVSSRLSCKVFILFSVSVFCVFVLVTAALCTLHSNSTKPSIRISQAFFHGPPKIAFLFLVRRNVPLDFLWGAFFQNGDVSNFSIYVHSAPGFVLDESTSRSHFFYGRQLSNSIQVLWGESSMIQAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYVYNYIMGSPRSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWVTLVRNHAEVIVDDEVIFSVFKSYCKRRPPIDIRKGKLNLKLQKQHNCIPDEHYVQTLLALHGLEGELERRTVTYTLWNQSATKTDNKGWHPITFSYANAGPRKIKEIKDISHVYYETEYRTEWCHSNSTSVPCFLFARKFSRGAAMRLLSEGVIDHFELSALLGNE >CAK8571032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:272323704:272324278:1 gene:gene-LATHSAT_LOCUS23729 transcript:rna-LATHSAT_LOCUS23729 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYVSSLGTYLGHVEGFNFHAFPTLHQLSLVSEQQLRDAGGEEWLHSLRKLDLQDAISQLSKLPGVGPKLSACTALYSLDQHYAIPVDVHVWRIAQKYLLPELAGSKLTLKLCNRVAEAFVTKYGKYVGWAQAVLFIAGLPSQKAILPLHLRATKQPKPAKIENREEESE >CAK8542611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530403788:530406301:-1 gene:gene-LATHSAT_LOCUS11436 transcript:rna-LATHSAT_LOCUS11436 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAEPKVLPRTLPVLVEVSHADTSVVMEASQRLMSGQDVSSVRVVSKARDGSMTRLANIREAVQLSNARIVLARDEMEFDTQSSREPVLVTPTRTLKGNTSLQEHGELLPDVETLKSSTDSFGENGPSSFAGASHPPEPVDTDLMRTVYIPIGRNKPEAGLLYMKGPFLEDLSIHNLTKKPSLAVVLPAESTLEESNDMGKLASPVSGAPALQNNRNSLPCPESEENEFVWDSSLPPSGNASPHSSIDCTSVLRTMSIANSCASTYRSDAFTSDGMISVDKNCDSIKGSVRGDSLESAKTSASRASDSSGLSDDSNWSNITTSANKPHKGNDPRWKAILAVRTRDRILGMSHFKLLRRLGCGDIGSVYLSELSATRCYFAMKVMDKASLAARKKLVRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHNLRQRQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLIRTHDSDASKWAAGGAFCVQPACIEPTSVCIQPVCFMPKLFSQKNKKPRKPKADPGLTTSALPELLAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLIRGLLVKEPQNRLGVKRGATELKQHPFFEGVNWALIRCSTPPEIPRPTETELPAKFEAVDNNTIGVGSNSKKMVDGNTETKSGGGKYLDFEFF >CAK8536510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948543672:948544259:1 gene:gene-LATHSAT_LOCUS5871 transcript:rna-LATHSAT_LOCUS5871 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTFVSYRFNICGKHSNIMKAKRGLRQCDLVSPLLFVMIMEYLHKSIHKLNRIPNFNFHAKCEKHQIINIAFADHLLLFDRGDCKYVELLMEKMRAFSNATGLFMNPCKCKAYFGGVHPEVKNQIICIIGFVVGDLPFRYLDVPLTSRKLAVHNCMGLVDIIIKRIRHGSLKLLSYACRVQLINNTLSAMTSY >CAK8564043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647485910:647486632:-1 gene:gene-LATHSAT_LOCUS17388 transcript:rna-LATHSAT_LOCUS17388 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTFIATWLTPSSLFIIVNLVIGTIALASRFNAPPQNQTNQQQQPHLNRSPSFLQRLRSFNNNNHHNEPESEPEFTHPQLVRKPSLLQRVVSFNFNKHEPQHPQTHYAQPESDSESTQPQLVRKPSFLQRVMSFKTHPVQPESEDISTNQICNEDKTKVEMKKSASKKECSMTSEWEEEDEETVERRRPATAAARSETTTCKEDEAVDAKADDFINRFKKQLRLQRLDSFIRYRNTM >CAK8578733.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:650567257:650568561:1 gene:gene-LATHSAT_LOCUS30719 transcript:rna-LATHSAT_LOCUS30719 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSWLETCSSAICATATHNSTVELLPSQKQQHAFDSSTSFSSALTSDDSTSSTLRSNYSIQTLPSVPSLQKLSPQSLNNFSISYHCLTSLTPHPSRPVTSLALQNNLLYAATENQINVYDRHTCTNLHTFNSEATSSGSTKTIIFSKDMVFTTHQDCKIRVWKNNEHRKLTTLPTVNDRLRRFLLPKNYVTVRRHNKRLWIEHADAVTDLAVSNGVIYSVSWDKTLKIWRVSDLRCVESVKAHEDAVNAVAVSNDGTVYTGSSDRRIRVWAKPVGEKKHVLVATLEKHKSAVNALALNDDGSVLFSGACDRSILVWEREDSANHMVVSGALRGHQNAILCLINVSDLLLSGSADRTVRIWKRAYDGSFCCVGVLDGHQKPVKSLAAIPEYGGDESSTNGVVSVFSGSLDGEIKVWQLSIGSLADQDQDFMIS >CAK8560021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:5052735:5054141:1 gene:gene-LATHSAT_LOCUS13740 transcript:rna-LATHSAT_LOCUS13740 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIHIAVVPGVGYSHLFPLLQFSKLLVHLHPYFHVTCLVPSLESPPSDSETILQTLPSNINCTFLPSVHSKDLPQGIPLEIQLQLTLAHSLPSIHQALKSLSLRTPLVALLVDSFAVKALDLAKEFNMLSYVYFPSAATTLSSYYHLLKLDKVTSCEYRDLPEAIQLPGFVPIHGRDLVDQAQDRSSQTYKLLLERVERIRCVDGIVINSSLEIERGSIEALTEEGSGNPPVYAVGPIIQKGTKDGDDVKGLECLSWLDKQQDSSVLYVSFGSGGTLSQEQIDELAFGLELSDQKFLWVLRAPSSSANSAYLSQKDVDPLQFLPSGFLERTKEKGLVIPSWAPQIQILSHSSVGGFLTHCGWNSTLESMVHGVPLITWPLFAEQRTNAVMLSEGLKVGLRPRLNENGIVERVQISELIKRLMEGEEGEKLRSNMKELKEAANSALKEDGSSTKTLSQLALKWRSLV >CAK8570627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75933649:75933921:1 gene:gene-LATHSAT_LOCUS23363 transcript:rna-LATHSAT_LOCUS23363 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVTTLTLFQIEQAIINVENAKLQQEQTLAAFWEHMPPVEEEVLVKRIQELRDHIRALEEQRRVLIRERELLLIRAASIIRRRPEGNY >CAK8535511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:856309801:856312870:-1 gene:gene-LATHSAT_LOCUS4966 transcript:rna-LATHSAT_LOCUS4966 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGEVVLVPRTFMSQKWMIFLCIGSFCAGMFFTNRMWTIPEPKGLARTTAMEAEQLSLVSEGCNSRILQEKEVKREIKGDFKTQKSIQNLDKTISNLEMELASARATQESLKSGAPVSEDFKINESTRKRRYLMVIGINTAFSSRKRRDSIRATWMPQGEKRKKLEEEKGIIIRFVIGHGATTGGILDRAIEAEDSKHGDFLRLDHVEGYLELSAKTKTYFATAVNLWDADFYIKVDDDVHVNIATLGETLVRHRAKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGETGNKYFRHATGQLYAVSKDLATYISTNKNVLHKYANEDVSLGAWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSHERIKEVHKKCGEGEKALWNASF >CAK8560570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:26436106:26437338:-1 gene:gene-LATHSAT_LOCUS14232 transcript:rna-LATHSAT_LOCUS14232 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGTGHSQIIEIRVDLNDPGRKICGEAPCGFLDAGSISLDSKERSTSMRKLLTAVILCVIFMTVELVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFYRIEILGALVSIQLIWLLAGIIVYEAIDRLITGSKNVDGFIMFLVAAFGLVVNIIMAVLLGHDHGHGHGHGHDHGHGHSHGLTVSTHDLTKDEHHHHEHGHHTHDNHTHHDEENHSKDPYNHTHEDHIHHHTDKGVSEPLLAESKDKSKKKRNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWKIVDLICTLIFSVIVLGTTINMLRNILEVLMESTPREIDATQLERGILDIEEVVAVHELHIWAITVGKILLACHVKINRDADAEVVLDKVVDYIRRVYNISHVTIQIER >CAK8564820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11263643:11265499:1 gene:gene-LATHSAT_LOCUS18085 transcript:rna-LATHSAT_LOCUS18085 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLQSYNYENLNPKVETQNTPESHLLIVHIPDGFTRGDIGAKVEYDLGRVRVFGERSIGSKMMSFNEKYQVPSHCDIGNIRGKFDGKTVTITMPNIPGKVPQQEQKPIEDNNAAKTNDQKDQQNTSQQDPKSDVKSKDEDATPQDAQNATTPQKGPEEISQKDQVQKDDENPTSQDAAKESIAQKGSEEISQKESVPQKGREEISKNESVPQKDREEISQKESLPQKGQEEISQESQGTKVESKEKSHHKTSTPSTPSDETHESMPQKSQDQETPDKAVDTKDTKLQAEDTASSLKDENKENQKLVKEETTMESENLKKTMESENLQAMNDSSPTLEEETKEESKESAKVETFPQMKTYIEKGKEMINDKFGDEKKSFRESTKARIKDMASSTTQAVTNYAKRFNEEDKQKLIYTGATILVVALGVYASYKYRSSRRP >CAK8542047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:460397714:460398250:1 gene:gene-LATHSAT_LOCUS10921 transcript:rna-LATHSAT_LOCUS10921 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVGDLEKYGTYSWGFACLAKLYREICKATVKDVRSMIGCVLLLTSWAFTCIPLFAPVSTVQPSYPYAQRWAQRRMNYDVNPRFHLQGYRNAL >CAK8541296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:108117498:108118230:1 gene:gene-LATHSAT_LOCUS10226 transcript:rna-LATHSAT_LOCUS10226 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTQIDDNDFGKNHIPMLKLLDSSLRLFAVPLSVATIWITVTNKEDNPSYGTLKYSNLSGLKYMVFVSALCACYAIVAAVCSWLRYVVTKAWIFFISDQVVAYLMVTSIAATMEIYYLAYNGAKEDSWSQACSSYGKFCSKVKLALIFHMITFACFFVLAVISAFRAFSVFDPPSVCSQYVHEDRH >CAK8563332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593156210:593157752:1 gene:gene-LATHSAT_LOCUS16756 transcript:rna-LATHSAT_LOCUS16756 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENATHDHYLMKPFFIIILFLNMASCSCGVGVNWGTMATHQLPPNKVVKMLQENGFDKLKIFDADEWVMAALLGTDIEIMLAIPNNMLQELSKNPKAADSWVYENVTSYLYPGGLNIKYIAVGNEPFLKEYNGTYVMYTLPALKNIQTSLNNAGHGSIIKATVPFNADVYYSPDTDMVPSAGDFRLEVRDLTIEIVNFLYLNNAPFTVNIYPFLSLYGNDHFPFDFAFFDGDNRPLMDGNLVYSNVFDANLDTLLYALEKAGYADMKIIIGEVGWPTDGDKNANIQNARRFNMGLLKHCLSGNGTPKRKGIIDIYLFSLIDENAKSTAPGNFERHWGILEFDGKPKYQLDLKGLENDKGLVAVEGVKYLEKQWCILDPDASNLHYLANSIDYACSLSDCTALGYGSSCNGLSLEGNASYAFNMYYQVNNQKDWDCDFSGLAILTDQDPSQKGCQFPVMISLGVCLGCHKGLLGFGGVYIFLVLFLL >CAK8577676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583091130:583091582:-1 gene:gene-LATHSAT_LOCUS29761 transcript:rna-LATHSAT_LOCUS29761 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPSFFGGRRSNVYDPFSLDVWDFPLSASFPQENSAFVSTRVDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDQWHRVERSSGKFMRRFRLPENAKIEEVKASIENGILTVTIPKEEQVKKPDVKSIEISG >CAK8544489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:688273842:688292648:1 gene:gene-LATHSAT_LOCUS13163 transcript:rna-LATHSAT_LOCUS13163 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFRPSTDKIVKIQLHPTHPWMVTADDSDRVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGETESKGKPTEAIRGGSVKQVNFYDDDVRFWQLWHNRSAAAEAPTAVHTSSFSSPAPSTKGRHFLVICCLNKAIFLDMVTMRGRDVPKQELDNKSLHCMEFLYRSGVGDGPLVAFGASDGVIRVLSMITWKLARRYTGGHKGTISCLKSFMAASGEALLVSGASDGLLIIWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELTTVIPPHVLAPNKKLRVYCMVAHTLQPHLVAIGTNIGVVICEFDARSLPPVAPLPTPPDSREHSAVFVIERELKLLSFQLNNSVNPSLGNNSSLSETGRPQGDSFEPLSVKQGKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSVYKVSDWSIVDSGSARLLAWDTCRDRFAILESSLPTRIPIIPKGSSSKRAKEAAAAQAAAAAAAAGSSASVQVRIVLDDGTSNILMRSVGARSEPVIGLHGGALLGVAYRTSRRISPIAATAISTIQSMPLSGYGSSGLSSFSTYDDGSSSHRSPAEAAPQNFQLYSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVSIPYATSAVWHRRQLFVATPTTIEVVFVDAGVTQVDIETKKMKDEQKMRDAQTRAISEHGELALITVEGPQSSTEERIPLRPPMLQVVRLASFQHAPSVPPFLSLPKQSRVDGDDSWTKEAEERRTSEVAVGGGGVSVAVTRFPTEQKRPVGPLVVVGVKDGVLWLIDRYMRAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGLSKRLEFDLAMKSNDLKRALHCLLTMSNSRDIGHDGTGLGLNDILNLTDKKQDVVEGVQGIVKFAKEFLELIDAADATAQGEIAREALKRLAAAGSVKGALQGHELRGLALRLANHGELTRLSGLINNLITLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNQILQREVEPTPSQKTDATAAFLASLEEPKLTSLAEAGKKPPIEILPPGMMSLNAPISIQKKPASAAQNSQPQPGKPLALEAPPTTTAAPDSSAQQPESAPAPAPTPTPTPVSDPPPSDSTPTPEAAPPESTAGETSVDNGVPTPASVSDTNPNINGETVQAEPTSDPAPPEAPSPVAEVSETNTPNPTTAPASGDPFL >CAK8570509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:53102806:53104029:1 gene:gene-LATHSAT_LOCUS23252 transcript:rna-LATHSAT_LOCUS23252 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTVVLAEYTEFSGNFSTIASQCLQKLPSSNNRFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQIPIAYLERVKEEFSKKYGGGKAATATAHSLNKEYGPKLKQQMQYCIDHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIEMLVDKTDNLRSQAQDFRTQGTKMKRKMWVQNMKVKLIAFGIGIAIVLMIFMSICRGFSCLK >CAK8575606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:202987472:202988428:-1 gene:gene-LATHSAT_LOCUS27855 transcript:rna-LATHSAT_LOCUS27855 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIRLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTTPSQLPRPSTSQIREEIEVVENIRLSENRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8562898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:554333635:554342754:1 gene:gene-LATHSAT_LOCUS16360 transcript:rna-LATHSAT_LOCUS16360 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCNSLSLFYGRHRLHPTTTRSSCYSFPSNALPRIDVAKVLHKGSRRIEGPKKSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPVLDSQTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTREKFVAGPFEIEPIRVSHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGKFFDREALEELSKEGVTLMMSDSTNVQSPGRTMSESVVADALLRHISAAKGRVITTQFSSNINRLGSVKAAADLTGRKLVFAGMSLRTYLDAAWKDGKSPIDPSTLVKHIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLTKEDTLLYSAKIIPGNESRVMNMLNRISEIGSTIVMGKKECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIQHTAVIKNGEMLGVSHLRNRKVLSNGFIALGKENLQLKYSDGDKAFGSSSELFIDERLRIALDGIVVVSMEVCRPHSLDSSVENTLKGKITITTRCLWLDNGKLLDTLHKAAHAALSSCPVNSPLTHMEKTVSEILRKMVRKYSGKRPDVIAVAIENPAAVLATEINTRLSGKSHVGEISTFRNVVHRHERENQSTKMQMKGMIDDDNDVEGLLPKEDATTSNRAVSNLSETENGPEDAETKSVKRKRNKWKKEEVKKLIGVRREFEDIFQLVKGNNVFWEEISKNLLAYGINKNPGQCKSMWKSLLQKYEEIKNENIEERWLYLEDMERVMSDIEAPAKI >CAK8578035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:603324777:603325407:-1 gene:gene-LATHSAT_LOCUS30081 transcript:rna-LATHSAT_LOCUS30081 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEKGERWSGAMTNLSEMSSNLESLQKVLLTKAVFVDDDTFAKASLAADQARTIKLLDQRVHTLERELDAAITAAARARSEKRQAEASQKAAELRAHEVTKELENTTKVFELHMEELRAKQEEIAKRNKDIKLLEAIIQTLGGKA >CAK8565682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:304662225:304662548:1 gene:gene-LATHSAT_LOCUS18872 transcript:rna-LATHSAT_LOCUS18872 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRRGLTTNFEEGVKGFITCAFAQECCRREGGVRCPCLKCECRRIISDPEEVERHLKRKGFIKNYWVWTYIGEQLPSNVHVETTNTDASSS >CAK8537489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:372775129:372781307:-1 gene:gene-LATHSAT_LOCUS6778 transcript:rna-LATHSAT_LOCUS6778 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPNNCTICENSNQASICSICVNYKLNEYYTTMKSLKERRDLLYSKLSEVLVRKGKGDDQTNWRVLRHEKLARLREKLHHSQEQVTQGRAKNETMSADLKHIYGVLDSALSMLEKNRVEQLEKFYPNLICTQSLGHGAITSERLHKQSVVIKQICKLFLQRRVVVNIQGKDELYDQICNALLPRALDLKSVPPEDLSVSLGYMVQLLNLIVHNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQHYCSTNGGENSWSDKSSTNFGVASMESDRRPRLDSSGSSSFNYSLASSHTVQTYNDIQQGISLLKKSLACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVRSVFSLKMVSSRTCKQVQQLNKSVWNMNSAISPSTSLESAHCAPTLRIENYMPSSAASFLYPTDSSDRKRECMIEGWDIVEHPTLPPPPSQTEDVEHWTRAMFIDAKRK >CAK8537745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426612544:426613313:1 gene:gene-LATHSAT_LOCUS7006 transcript:rna-LATHSAT_LOCUS7006 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKNRYMVMEVFMNPNRDQSSGDSIIITQFNVSNAIKDSILLNFGECGLAASLGSFQVKYVNPITNVCIIRASREEHEKVWASITMVRSIGNFPVVFNLLDLSGNLQASKTSALKCEKAKFEQYKLMVGDRLSADDTHRMNNHLAKIELLEH >CAK8536516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948702881:948703826:-1 gene:gene-LATHSAT_LOCUS5876 transcript:rna-LATHSAT_LOCUS5876 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCCDKTGLRKGTWTPEEDKKLIAYVTRYGCWNWRQLPKFAGLERCGKSCRLRWLNYLRPDIKRGNFTQQEEDTIIKLHQKLGNRWIVIAANLPGRTDNEIKNHWHTNLKKRFMKNNKSDTDTNKGTGNSKDINSDNPKMEEQNKHGGVLESNSGPNITIPLSSSETIDTPTSTEISYEKYLFDELPLMDEYMDVLNDNFWAEPCIIDNTYVPPSDEATILAMGYEHEYFSLVYDEHLWSHGK >CAK8540713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:16883233:16883643:-1 gene:gene-LATHSAT_LOCUS9691 transcript:rna-LATHSAT_LOCUS9691 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8537106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:98547397:98551638:1 gene:gene-LATHSAT_LOCUS6420 transcript:rna-LATHSAT_LOCUS6420 gene_biotype:protein_coding transcript_biotype:protein_coding MNTERGMNKRVLLLWWYIMVFELCFILGAYSENFSFSRNLSIFDNVESSSANPPVTLNNRNSAQVVISNGIISLTLSNPRGYVKGLSYAGIDNVLDSKNEENDRGYLDISSGKLTQRVHGTNFSVITNKKNIVEVSFLRTWRSSMDASNVPINMDQRYIMRSGDSGFYSYVIFERPKGFPAITIDQIRIVYKLNEARFNYMAISDTRQRKMPSVRDRETGQKLAYPEAVWLKNPSNPEFKGEVDDKYQYSSENQYNQVNGWITADSEKPVGFWIITPSNEFRNGGPVKQDLTSHVGPTCLSMFVSNHYGGNQVEIEFKEGETYKKVFGPIFVYLNSASSNNQFKSLWSDAKQKLLNEVKNWPYDFPQSKDFVPPNQRGTLQGNLKVQDRRNGSQNAKNAYVGLALPGPAGSWQIEGKGYQFWTRSDRNGNFIIKNIVPGVYNLFAWVPGFIGDYKYNGDITIKPGSTIKLNSLVYNPPRNGPTLWEIGIPDRSAAEFFVPNPYPNLINKLYINDPINKFRNYGLWTRYTDLYPKRDLVYKVGVSKYQNDWFFAHVPRIMRNNTYQATTWSVIFELSNVFNGNYTLQLAVASASYAEVQVWFNKMSDDPPYFTTKRIGDDNVIPRHGIHGLYWLFSIQLPSVHLVKGDNTLYLRQSRYGSLFKGVLYDYIRLESPPTTKLNV >CAK8530228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:8574845:8577437:1 gene:gene-LATHSAT_LOCUS111 transcript:rna-LATHSAT_LOCUS111 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNVVDPFPKLEHVYTASGSKFNQLSRNVCGADLNSGKIGDSKVISVSIPWCSPNSSSNAWSNLKWIQKISNKGNGNTNLQVKSDSPKTGLDGNENGVSKDEKLPNCVEVDTAPSKDCKDGECNGEGIPKLHSENNDDEIVDSESDIVFDSDDDDISLDDTDSDTGEKSHEGSKKSKWFWKFFDNLSKLTVDEINSQAMRWHCPACQDGVGAIDWYHGLQPLLNHARTVKARRARLHRAFAETLEEECYRRGAPLVMVGEAYGLWEGLDKKVKDHEIVWPPMVLIMNTKYEMDKNNKWTGMGNQELLDCFSDYAALKARHSYGPHGHRGMSVLIFEASTAGYLEAVRLHKHFKEQGRDKEAWNHCKKLFVPGGKRQLYGYLASKEDLDVFNRHSRGKSKLKFELRSYQEMVESKIKHINEDGQQLGTFKDMIAKEQLKSKVFADTLCKVSDRLRKATEENHVVRERTRKHHQQNKEEMDAQEAFFLDQMQIIHQAISAKEDEFAKLQQAKREVISANGDSSAQKGGNNIMENISSFMKSQDKDKGQFETERDNILKMHEEKQLALKKKQLQEQVELAKELENELTQLMKKHALNQSQE >CAK8574907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14908954:14909469:-1 gene:gene-LATHSAT_LOCUS27206 transcript:rna-LATHSAT_LOCUS27206 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTNVASTSAKKVILKSSDGEIFEIDQKVAMELQTITHMIEDDCADDTGIPLPNVTSKILAKVIEYCKKHVEAAAYSDERPADRPADENTIKTWDAEFVEVDQDTLFDLILAANYLDIKSLLDLTCKTVATMIDGKTPEQIRSTFNIKNDYTKEEEEEVRRENKWAFD >CAK8576506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:486227332:486228479:-1 gene:gene-LATHSAT_LOCUS28688 transcript:rna-LATHSAT_LOCUS28688 gene_biotype:protein_coding transcript_biotype:protein_coding MATMYVVYHGPEVLKSIAQRVHGLASVFALGLKKLGFEVQDLGFFDTVKVKTSNTKAISDAAIKSEINLSVLDGNTITAAFDETTTLEDVDKLFKVFAGGKPVSFTAASLAPEFQNVIPSGLVRESPYLTHPIFNTYQTEHELLRYIHRLQSKDLSLCHNMIPLGSCTMKLNATTEMMPVTWPNFTNFHPFAPTEQSQGDQEMFDNLGDLLCTITGFDSFSLQPNAGAAGEYARLMVIRAYHLV >CAK8560836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:48835583:48837226:1 gene:gene-LATHSAT_LOCUS14481 transcript:rna-LATHSAT_LOCUS14481 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGLKKMLTLAIGEGVSCARATIFGHQLNPTGKKSAHKILRMKMIGEKVAQWYPHDINKDDPLVMARQQQERLSKLEMLKRRGKGPPKKGQGRRAAKRNK >CAK8539731.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523328505:523329701:-1 gene:gene-LATHSAT_LOCUS8802 transcript:rna-LATHSAT_LOCUS8802 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPAHIAGLRRLSARAASSSSSSSSTSFPERNGLFSFSSVVDKVITQLHNSGVEVQHGLSDAEFARAEAEFGFVFPPDLRAILAAGMPVGPGFPDWRSNPARLRLRASLDLPIASISFQIARNAFWSKSWGLRPTEPEKALRVARNALKKAPLLIPIFNHCYIPSNPSLAGNPIFYVDENRIFCCGFDLSDFFQRESLFRSSESDPKTLMKQRSVSETSAGSSTAFSRRSLDGGRMPRWVEFWTEAATDRRRRNSLSSVSSSPERFFDIHHAQSEIPGWVDEYIGKIGSVLRGGGWSEPDITEMVQVSACGFFEGEMVMLDNQAVLDALLLKTDRFSDSLRKAGWSSEEVTEAFGFDFRPEKERKPVKKLSPELVERIEKLAQSVSRS >CAK8541819.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:409066172:409066724:1 gene:gene-LATHSAT_LOCUS10713 transcript:rna-LATHSAT_LOCUS10713 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEHIDVSASTTEPVGYPGGPYDTSLLVIYGHHIARHIWFGEERGLKKKLKVAGHGLKLIQRVPLQLPREMEGWISRSGLTSLQRTSLKKIDTNLVSAFAGRWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVLWSPQDINEGLVIDYLGVS >CAK8561177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:112557485:112580559:1 gene:gene-LATHSAT_LOCUS14789 transcript:rna-LATHSAT_LOCUS14789 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVPSPPPPLPPPPPASAPPSQPSDGGVRVRCAGCRMILTVAPGLTEFACPTCRMPQMLPPELMARVHQTAPSTLPLTPSSQNLPAHGIDPTKIQLPCASCKAILNVPHGLSRFSCPQCKVDLAVDLSKIKQFLPPPPLEEVNEVAVEVERDEDEGGMVGETFTDYRPPKISIGPPHPDPVVETSSLAAVQPPDPTYDPKTKDILESSKALSCLQIETLVYACQRHLQHLPGGARAGFFIGDGAGVGKGRTIAGLIWENWHHGRRKALWISIGSDLKFDARRDLDDAGAACIEVHALNKLPYSKLDSKSVGIMEGVVFLTYNSLIASSEKGRSRLQQLVQWCGPGFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQDRLPEARVVYCSATGASEPRNMGYMVRLGLWGDGTSFSEFREFLGALDRGGVGALELVAMDMKARGMYLCRTLSYEGAEFEVIEAPLEDKMMDMYKKAAEFWAELRVELLSASAFLNDKPNTSQLWRLYWASHQRFFRHMCMSAKVPATVRLAKQALVDEKCVVIGLQSTGEARTEEAVTKYGSELDDFVSGPRELLLKFVEENYPLPEKPELLPGEDGVKELQRKRHSATPDVSLKGRVRKVAKWQPPSDAESDEESESDSDIESNDSDEEFQICEICTTEEERKKMLQCSCCGKLVHSTCLMPPIGDIVPEEWSCHLCKEKTDEYLQARQAYIAELQKRYDAALERRTKILEIIRSLDLPNNPLDDITDQLGGPDKVAEVTGRRGMLVRGPTGKGVTYQARNTKDVTMEMVNMHEKQLFMDGTKFVAIISEAGSAGVSLQADRRAANQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALVIMYKGIMEQDSLPVVPPGCSSEKPNTIQDFIMQAKAALVSVGIVRDTVLGNGKDPGRLSGRIIDSDMHEVGRFLNRLLGLPPDIQNGLFELFVSILDLLVRNARIEGNLDTGIVDLKANVIELQGTPKTVHIDQSTAASTVLFTFILDRGITWESASNMLNEKQKDGLGSAIDGFYESKREWLGKRHFILAFESSASGMYKIVRPPVGESNREMPLSELKSKYRKVSSLEKAQTGWEEEYEVSSKQCMHGPNCKIGGFCTVGRRLQEVNVLGGLILPVWGTIEKALSKQARLSHRRLRVVRIETTVDNKRIVGLLVPNAAVEAVLQGLAWVQEIDD >CAK8539958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:532364247:532365887:-1 gene:gene-LATHSAT_LOCUS9005 transcript:rna-LATHSAT_LOCUS9005 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIYIMSPLRISKIVLAILSFVWISNISTQAQVLFPLKKKFEIYQSLSSQIGERATTNTYDSKLKFINSPSFPSRGSFPNGFLFGAGSSALQIEGAAHEGGRGLGIWDDIIEHKKGVYLDVDKFSKKIEHYKHYKKDVQLLKKLGVNSYRMSISWNRIMPNGTLKGGINQEGVNFYNNLINELLKNGIEPFVTIMHFDYPLALQQKLGGFSNLSIVTHFKDFSELLFKTYGDRVKHWTTINEGEVTAIFQYMHNIDNISVEACPTIGRICKEAYIVLHNFLIAHATASNLYKTKFQEIQGGEIGISLSSGSYYPYSSKPEDVAAAKRLTDFYRGWVLEPVFHGDYPQIMRKLVGNRLPTFTKEDKKMLNGSTDFIGINYYTSYFARHESNRTKIFGDNFDALAMSEVSNIEGKTLGYMDQYGLNFVYPEGLYDFLLYMKKNYQNPKIYITENGIASFKTINPLKDEHRVAYIASHVNATKAAIDAGVNVRGYFAWAAFDTFEFQAGYSRNWGLYHVDFNDSLKRIPTETANWYRKFLTNDCNGPN >CAK8562134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:444742142:444743070:-1 gene:gene-LATHSAT_LOCUS15658 transcript:rna-LATHSAT_LOCUS15658 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKLKTLDDVVRYYQNTYSMLSPANDQLLLR >CAK8534141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698385199:698389894:1 gene:gene-LATHSAT_LOCUS3708 transcript:rna-LATHSAT_LOCUS3708 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFIENIEETSVDFFNYLLQERPKIPFFIPFILIALAIEKWVFSFSTWVPLALAVWATMQYGRYQRKLLVEDLDKKWKRIILNNSPITPLEHCEWLNKLLTEIWPNYFSPKLSSRLKAIVEKRLKLRKPRLLERVELQEFSLGSCPPSLALQGMRWSTIGDQRVMQLGFDWDTNEMSILMLAKLAKPLMGTARIVINALHIKGDLILTPILDGKALLYSFVSAPEVRVGVAFGSGGSQSLPATEWPGVSSWLEKLFTDTLVKTMVEPRRRCFTLPAVDLRKKAVGGIIYVRVISANKLSWSSFKTSRRQQNGTNSCSSSSEDFFDDNDLHTFVEVEIEELTRRTDIRLGSTPRWDTPFNMVMHDNSGTLRFNLYECIPNNVKCDYLGSCEIKLRHVEDDSTIMWAVGPDSGVIAKRAQICGDEVEMVVPFEGANCGELKVSIVVKEWQFSDGTHSLNKLRSNSQPSLNGSSNLQLKTGKKLNITVIEGKDLAAGKDKYGKFDPYIKLQYGKVIQKTKTSHTPNPVWNQAYEFDEIGGGEYLKLKVFTEELFGDENIGSAQVNLEGLVDGSVRDVWIPLERVHSGEIRLKIEAVKADDQEGSTRSGSGNGWIELVLIEGRDLVAADLRGTSDPYVRVNYGNFKKRTKVIYKTLTPQWNQTLEFPDDGSPLILYVKDYNALLPTSSIGECVVEYQRLPPNQMADKWIPLQGVKRGEIHIQITRKVPEMQRRQSLNSEPSLSKLHQIPTQIKQMMIKFRSLIEDGNLEGLSATLSELETLEDTQEGYVAQLETDQIFLFSKIKELGQEIINSSPSLSRRNSESAN >CAK8544755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704757526:704757669:-1 gene:gene-LATHSAT_LOCUS13410 transcript:rna-LATHSAT_LOCUS13410 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDLCLEAKEASVRKVAKLLPLPELLHSIASIRADYISYQQANDA >CAK8535348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842358460:842358795:-1 gene:gene-LATHSAT_LOCUS4814 transcript:rna-LATHSAT_LOCUS4814 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEPPFRPRVKIVEKQKYFQNIPKHTYLKGPLDKVTSVAIPIALAGTSLFLIGRGIYNMSLGIGKKE >CAK8579384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695108593:695111922:1 gene:gene-LATHSAT_LOCUS31335 transcript:rna-LATHSAT_LOCUS31335 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRRSLLLLAVVSIGCLFASSVAKEEGTTKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVSFTDSERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETAEAFLGKTIRDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLATNGDTHLGGEDFDQRVMEYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQIRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDFFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGNFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQVNDKDKLADKLESDEKEKIETAVKEALEWLDENQTVEKEEFEEKLKEVEAVCNPIITAVYQRAGGAPEGGASGEDEDEDSRDEL >CAK8569196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681809936:681812828:-1 gene:gene-LATHSAT_LOCUS22077 transcript:rna-LATHSAT_LOCUS22077 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGIDYCSPPFTMFGSDDYNKKNDFLVAVDDDGDDDKSEGFKNLKPITKGKPPRHVSGLRHSVSSTRLVLAAANLGVDPGVTGSKSSSEDKTDYLPIFRSGSCAERGPKQYMEDEHICIDDLTQHIGSASNIPFPGAFYGVFDGHGGTDAALFVRNNILRLIVEDSQFPTCAGKAITSAFMKADYAFADSSSLDISSGTTALTALVFGRNMIIANAGDCRAVLGRRGRAVEMSIDQKPNCISERLRIEKLGGVVYDGYLNGQLSVSRALGDWHMKGPKGSACPLSAEPELREVCLTEDDEFLIMGCDGLWDVMSNQCAVTMARKELMIHNDPQRCSRELVREALKRNSCDNLTVIVVCFSPDPPPRIEIPPSRVRRSISAESLTLLKGVLEC >CAK8570651.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:82378361:82379668:-1 gene:gene-LATHSAT_LOCUS23387 transcript:rna-LATHSAT_LOCUS23387 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSASASVSKNKEKKCPQKQVKNLKEKVRILQDEIKEMVCEREKESRNYEREIMVFTFKEGDWKQEMKKMREEVKRLRELVKEKEEKIREMEEEGMVEKNCEKEWELMGTKLLIQEMKEERGRRDEAVEKWKQLYLAIKNELDDLIQRTYDGDGVYWKTEENDIQIENLKNELQEKEESMKSLKAKLVCVEKERYKQEREFDLLRQSLRIMNGKKNSIQTKEKKRFKSKLGK >CAK8572025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:502628008:502628304:-1 gene:gene-LATHSAT_LOCUS24634 transcript:rna-LATHSAT_LOCUS24634 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQRNKNNTHNQTSRLQKRAPSSLQINRSLDWNVAIPLLSPLASSPPPQPSHDLSQRHQGTTAEPEKVVVTFKNWQHPAAPFCYEPAPMVPPFVPV >CAK8579540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:706458340:706463092:1 gene:gene-LATHSAT_LOCUS31479 transcript:rna-LATHSAT_LOCUS31479 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKSPSTAMGNRDRDRELLIPVADSGDDTDASRPSSSSTHHTGRETFYKVVRSWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNSQAFKEVAIIQHPRVGEYAFGFITSSVVLQSYSGDEELCCVYVPTNHLYIGDIFLVNTKDVIRPTLSVREGIEIVVSGGMSMPQILSTHDSRRPVEIRRPDRR >CAK8560239.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11522287:11523931:1 gene:gene-LATHSAT_LOCUS13929 transcript:rna-LATHSAT_LOCUS13929 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEHFDASASASASASEPVGYPGGSSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8539985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533951950:533954724:-1 gene:gene-LATHSAT_LOCUS9029 transcript:rna-LATHSAT_LOCUS9029 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >CAK8565691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:307913376:307913762:1 gene:gene-LATHSAT_LOCUS18881 transcript:rna-LATHSAT_LOCUS18881 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVSFVVDQLLPLLREEAKLLRGIHKEFADIKDELESIQAFLKDADKRAETAEGDNVSEGVKIWAKQVREAAFRVENIIDDNLIQARQQARDPKCVALIHKLKTMIPRRRITSEIQGVKSYIRGI >CAK8565237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78498594:78505006:1 gene:gene-LATHSAT_LOCUS18467 transcript:rna-LATHSAT_LOCUS18467 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIAGAFKPPCNISISFADGTTRKQVRVKKGNDQAVMVPLFQSQENIVGEVIVEPASWKKVEHNGVKIELLGQIELYIDRGNFYDFTSLVRELDVPGNLYERKIYPFEFSTVEMPQESYNGINVRLRYILKVTISRNFVNNIVEHVDFVVRNYIPAPSINNSIKMEVGIEDCLHIEFEYSKIKYHLKDVVIGKIYFVLVRIKLKNMELEIRRRESTGSGTNMYVETETLAKFELMDGVPVRGESIPIRLFLSPYELTPTYDNINNKFSVKYFLNLVFVDEEDRRYFKQQEITIYRLLENS >CAK8565238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:78498594:78505006:1 gene:gene-LATHSAT_LOCUS18467 transcript:rna-LATHSAT_LOCUS18467-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIAGAFKPPCNISISFADGTTRKQVRVKKGNDQAVMVPLFQSQENIVGEVIVEPASWKKVEHNGVKIELLGQIELYIDRGNFYDFTSLVRELDVPGNLYERKIYPFEFSTVEMPQESYNGINVRLRYILKVTISRNFVNNIVEHVDFVVRNYIPAPSINNSIKMEVGIEDCLHIEFEYSKIKRRESTGSGTNMYVETETLAKFELMDGVPVRGESIPIRLFLSPYELTPTYDNINNKFSVKYFLNLVFVDEEDRRYFKQQEITIYRLLENS >CAK8571205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:337299535:337301763:-1 gene:gene-LATHSAT_LOCUS23890 transcript:rna-LATHSAT_LOCUS23890 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHFSNKALGCFLLFSFFISFSSTFTYGHSHFLLDPVVIPGGNLLPGNDFGVGDPPAQSPESLIGDSPAPAPEIGSENCDTSFPNERLKHSYIAFQAWKKAIYSDPFNTTANWVGHDVCSYNGVFCSPALDDPTLNVVAGVDINHADIAGHLPEELGLLNDTALFHINSNRFCGIIPDSFENLTMMYEFDISNNRFVGNFPLVVLKWKNLKYFDIRFNDFEGVLPPELFEKELDAIFLNNNRFSSRIPETLGNSTASVVSFANNNFTGCIPKSIGNMVNLNEIVFLGNGLGGCFPQEIGLLGSVTVLDASKNGLVGTLPNLAGLKNVEVIDIAHNKLSGYVSNTICQLPLLKNFTFSYNYFNGEAQTCVPSKDCVVVLDDAKNCLPGRKNQKTSKQCLPVLTKPVDCSKKCGGGKEKSHAPSTPKPTPSNPPKVETPKAQPPQTPPTLPPVEDIPPMRPPVEDIPPTLPPVEDIPPMLPPVKDIPPTVAPVSSPPPPVEHIPPMLPPVEDIPPTVAPVSSPPPPVYSPPPPSPTFSPPPPVNTPPPPVYSPPPPPPVHSPPPPVYSPPSPVYSPPPPPPVHSPPPPVNSPPPPVHSPPPPVYSPPPPVHSPPPPVHSPPPPTQSPPPPVHSPPPPVHSPPPPVHSPPPPVYSPPPPVHSPPPPPVNSPPPPVHSPPPPVHSPPPPVYSPPPPVHSPPPPPVNSPPPPVHSPPPPRKWEDITLPPHIGAEYRSPPPPTIAGY >CAK8533483.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:623225418:623227955:1 gene:gene-LATHSAT_LOCUS3106 transcript:rna-LATHSAT_LOCUS3106 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNRKYECGNDKRKKRKKIEELIQSQAGALDKFLIKEPQVPNESHYVDNTNVEILDSVPIENDNVDSVPIENDNADSVPIDDEVNNDDDNLEEVNDDDDDDDDVDYDIFDPRNWDRLQPKMIDLLVMKGPKRDNSIVRGPRDNWNRRFTTNLYTRALANGEKCDRDWLVYSKELDRVFCFCCKVLKNGIGRGQLANEGYSDWSHVGARIKEHELGMEHVKNMTTWYEYRQRLQKFQTIDKTTQRLIEKEKDHWKNVLKRVISIVKFLAKHNLAFRGSKEKLYEDSNGNFLGLIEMLAEFDPIIQEHVRRVTTQKVHTHYLGHKIQNELISLLGSAIKIEIIRKIKQAKYFSVILDCTPDVSHREQMSLIIRYVDVSSTSISIEESFLGFLNVNDTTGQGLFDVLQNELKELGLDLFDVRGQGYDNGSNMKGKHQGVQKRFLDINPRAFYTPCGCHSLNLTLCDMANSCNKARNFFGVVQRIYTIFANSTKRWQILKDNVKGLTPKSLSSTRWESRVESVKAIRTQMSDFTEALLEVSEHDLDPKIQNEAKSLATNELGDFEFLMAIIIWFEILSAINFVSKLLQEKDMLIDVAMEKIKELISFFEGYRETGFHKALVNAKEIAVELNIAPIFPQRRIIKRKRQFDENLNTPAVELSEEESFRVNYFLYLVDQAVVSLNKRFEQYQEYESIFGFLFTSHKLQSLDDATLKSFCSNFERVLKHNEQSDIDGNEFFEELKLLRQMLPEEIIRPTDILLFSKGLDCFPNTVIAYRILLTIPVTVASAERSFSKLKLLKTYLRSTMSQERLNGLALIAVENDLLETVKYEDLVDEFASKSVRRKALFK >CAK8543144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582913273:582918914:-1 gene:gene-LATHSAT_LOCUS11926 transcript:rna-LATHSAT_LOCUS11926-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKHCRLWWPRQLLSNQESSSSILLGWFVTCSPSSLDIVVAFTCSEVLLSSSTPAIEGIIHDIHGSMPAILQDRSVFSVLGLCITDSTSNSLTAEAKADKKKFSGCGNGSAEGSTSVHRNNNCRSCSFLQLDGSLRKSTQSFIGKSNWVVLMFDSSEQNDVGIYRLPKLHHIHCNGLTLSEYDVHVIVYETPSYGAHHFSLCHFGSTEQAKGPIKNPKWVDGLHKKQKITDLDTVILAINCTSAAKRIFDRHVVPRRSLGQVSLFPMFLVLIGHLFCKFLASFSTMFYIVLQFFQSHFNHESESWLYVTSENVFKKTAWINIRIRCCQILYWPILLQENDHRSQTCVEYAEKAAMHRHSMWSSLAVDILLGNLVGWSLLYHEESICLSGLNFIHWFATFLRSGCVWLMGNPAGFKLNAELAGVLGLLSLNAIQVWSTLWIFVCFIFKYFIRGLSILGILCGFTIPASLIIDMIVLGTLHVSTLHWFISLIYSSQIQALAALWRLFRGRKWNPLRQRLDSFEYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTTINLICILIEVAISVIHATPYIKIFLWLMRPGRFPCGIWLEIFGCQSNHTTSTNQDFTDEINSSKGSLHLKDFNREKSSTLVSILHSNYLSIGKVILPHFRNAFLGVSGSSISTVAYGILIGQRMPYMHGTLLPSPMPWMSLPYKEYWRLCHESVLACFRS >CAK8543145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:582913273:582918914:-1 gene:gene-LATHSAT_LOCUS11926 transcript:rna-LATHSAT_LOCUS11926 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKHCRLWWPRQLLSNQESSSSILLGWFVTCSPSSLDIVVAFTCSEVLLSSSTPAIEGIIHDIHGSMPAILQDRSVFSVLGLCITDSTSNSLTAEAKADKKKFSGCGNGSAEGSTSVHRNNNCRSCSFLQLDGSLRKSTQSFIGKSNWVVLMFDSSEQNDVGIYRLPKLHHIHCNGLTLSEYDVHVIVYETPSYGAHHFSLCHFGSTEQAKGPIKNPKWVDGLHKKQKITDLDTVILAINCTSAAKRIFDRHVVPRRSLGQVSLFPMFLVLIGHLFCKFLASFSTMFYIVLQFFQSHFNHESESWLYVTSENVFKKTAWINIRIRCCQILYWPILLQENDHRSQTCVEYAEKAAMHRHSMWSSLAVDILLGNLVGWSLLYHEESICLSGLNFIHWFATFLRSGCVWLMGNPAGFKLNAELAGVLGLLSLNAIQALAALWRLFRGRKWNPLRQRLDSFEYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTTINLICILIEVAISDFTDEINSSKGSLHLKDFNREKSSTLVSILHSNYLSIGKVILPHFRNAFLGVSGSSISTVAYGILIGQRMPYMHGTLLPSPMPWMSLPYKEYWRLCHESVLACFRS >CAK8534730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:761042882:761043103:1 gene:gene-LATHSAT_LOCUS4249 transcript:rna-LATHSAT_LOCUS4249 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMTAKMITVSLEPVTQKEALMVSKTLHNFMIQYKNTTPELLDAIRKVRDELQVDLNFKGKQTTIESYFNRV >CAK8578170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:610898358:610900212:1 gene:gene-LATHSAT_LOCUS30208 transcript:rna-LATHSAT_LOCUS30208 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTCLPLSSPSSISSLKPNHHNNHLFNATSRKTCFTTRALLSSSKESILKDFHQKTALKIITGLQNFDKDNVASVVTAAEKGGATHVDIACDPELVKLAISLTTCPVCVSSVDPATFPAAVEAGALMVEIGNYDSFYEKGMIFTAEQILSLTKETRRILPSIVLSVTVPHTLSLPDQVKLAELLELEGVDIIQTEGGKCSNPTKSGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGASGVGVGSAVNRLNDVVAMIAEVRSIADSLKTTFQTREVETYRQ >CAK8541606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:297014063:297017623:-1 gene:gene-LATHSAT_LOCUS10514 transcript:rna-LATHSAT_LOCUS10514 gene_biotype:protein_coding transcript_biotype:protein_coding MATMERRKTLTMNWDGLGDDEDDLHFFETYNRLSTAVPDDLASSSDEDDDEFEASRCSFASAVSSFHPAKQRKPELPQASMITPNYDIWMAAPGSITERRRRLLGSMGLDENKENLKVTSIAVGRAVTKKYENNNVEVYASSNSSNSVTDSSSSSKTSKTTPTSSSPNSLQQKPDHSPVPYVLVRSRSEGDIDSLSMAKLRKQEMIGKVSKQRLTRTNTDIGMPSTRARHADINRIIVRDSGESPSRQLPPMSPIVTSCLGGGGVGAFFLIKNLDTGKEFIVNEYGENGAWNRLSDLETGKQLTMEEFESTVGHSEIVKAMMRRRNVGRNDGKKLSSNSHILRSLRLSKRRGASLLKNIKGVASGFVGEREREAIVPQVVEQNKPQGKNKWVKVRQSGKSQKELSALHLCQEFQAHEGCVWTIKFSLDGRYLASAGDDKVIHIWEVQECEVMSMKPEEGNLTPIHPSLMSSMERGVETPLVKKKGKFGSKRGSAIPEYVHVPENVFTFSEKPYCSFHGHLDEVLDLSWSRSQLLLSSSMDKTVRLWDLETKTCLKFFAHNDYVTCVQFNPMDDDYFISGSLDAKVRMWNIPARLVVDWTDIHEMVTAVSYTPDGQGVIVGTQKGSCRTYSIEDYKLAQSNTIELRNKKKSQLKKVTGFQFAPCNPSEVLVTSADSRIRIVDGTEIVHKFKGFKNANSQMAASFSPNGRFIISASEDSQVYVWKHEEHRNSSTGKSRALIVTQSHEHFPCKDVSVAIPWPFMIKGDPPEIPVHQSKKTTKRMLPPLPKKANNNHATESATDSPEHDPSSISRTESGIGDSFANNSKRMLPPLPKKSNNQDKESEIEEDSDAIARTISRTNSGFGDSFSSDPASIRYGDSPSMDAAAAPSSASWSSNYSSYEGSSAIHPSAWGLVIVTAGFGGEIRCYQNFGLPRRMSRQANLFGSPA >CAK8560857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:50958746:50959734:1 gene:gene-LATHSAT_LOCUS14500 transcript:rna-LATHSAT_LOCUS14500 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYVVDLLTLENIPYHKNCFKCSHCKGCLTMSTYSSMDGILYCKTHFEQLFKESGNFSKNFAKSSDKTNDMNRTPSRLSSMFSGTLDKCSTCSKTVYPLEKVTLEGECYHKNCFRCAHAGCPLTHSNYAALDGVLYCRVHFAQLFMEKGNYSHVLKSAHKRNGSSPPTESIEVVEEPSESAEEAPQDPPEETS >CAK8560894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:53735499:53736035:1 gene:gene-LATHSAT_LOCUS14533 transcript:rna-LATHSAT_LOCUS14533 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSRTLEITVISGENIQVTDDAYVVVRGESLDCYTTKTVKDNSSSFLSWDEKFLLDMPLRARSITFEVQCKKFKGVRPIGVARIAVADFLNGSESENCMQVLSYGLRDWEGRKNGVIHFGVRVVVPETRSVTVAEKETVVNGKSYSDRLTGMDYGGKNSNGVVIGIPFWWNYPNII >CAK8539614.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:518288515:518289756:-1 gene:gene-LATHSAT_LOCUS8694 transcript:rna-LATHSAT_LOCUS8694 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLVQNGFDYVRKRKKWTFILLAVGFSSYGCYRAYHAPFIAQKRKNFSKILNSLVSVAEAVSDSADTIGIVTKDVKDFLQSDSDRVPNSLNQISKLSASKQFSDSLVSIVTSVVVGVLRGYQTVNRTDENQSGAGSKIADQVFDKMFTPAGSGFASAVIGSFARNLVIGFYSDANGKFSGESSSSNGNGNSNSDPVVPEWVDVVCGGKCGELIGNLVQVFVSTLVAVYLDKTMHINTYDDLFSGLTNPKNETRVREMLVDVCNGAIESLVKTSHQVFNSSNVDDNSGAVSCFGIEETPSSREASCVESKGDVCDEENKNGWVNKVSSTLAIPSNRKLVLDVTGRVTFETVRSFMEFILQTLCASVRRCAHKVHKAVVEVMRYAAAKFSVIFIICLSLCLHVMDCTWALVPA >CAK8560536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24148322:24153318:-1 gene:gene-LATHSAT_LOCUS14200 transcript:rna-LATHSAT_LOCUS14200 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKELTLLLCLTWALTVLYGEMFAYWLPSLFTCSWPHLKIQTKNDGYQNDYVKVAVIADPQLMDKTSLRLPEKSLALELVKFYTDLNMRRSFFSSILRFKPDVILFLGDYFDGGPYLSDEEWEESLNRFKHIFGLNAQGKYEDKQVYFISGNHDIGYESLHYAKPKVIGRYEETFGIRNYKFTVGEVDFIAVDAQTLDGHPQKHLTSQVWEFVKNISVDDVIRPRVLLTHIPLYRPYDTYCGPDRSSPIINQRIKRAVYDKSNDIIYQNYVFEKSSKYLLDNIKPKLILSGHDHDQCTVTHQSKSGPVKEHTLGTISWQQGNWYPSFMLLSVDNSTLRNTSIPAEPLLTHLCYLPKQLHIYMWYIVLFGFTLLALLFWPTSSTSFWHQCWNLAGRFKQLIASIVSRNETKEKDEDANYEYEMMWDAEGSMHLIKKPLKASTSNSNERSLGERGNVVMRPTARKNTGQEADFSMNMDMASSTGLDPLARIPPRTGKSKTTIIIQRLLRTLRMLTIIAAVNVPLYMMLLFKDWIDK >CAK8566568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:436469427:436476700:-1 gene:gene-LATHSAT_LOCUS19689 transcript:rna-LATHSAT_LOCUS19689 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDNGSLKSSPLTPYEEALEALSSLITKRTRVGDVNMEERFSVLFQYLKMLELEEAISKLKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLDGVEICEEKFLAYFWWCYDRLKEKTDDSIPMPTFFHFLALLAFKIFSEEQVDVCIMEVGLGGKYDATNVVQAPIVCGITSLGYDHMEILGNTLGEIAGEKAGIFKHRIPAFTVTQPDEAMHVLKDKASQLNVPLQVTAPLDVNLLNGLKLGLEGQHQYVNAGLAVALCSTWMNINGHLEETHLKQIQHTLPEQFVKGLATASLQGRAQIVRDEHVNNEISNELFFFLDGAHSPESMEACARWFSLAIKDHNLALFSQKPDPSKFSNEVVNTHGGEAQKSAQILLFNCMSVRDPQILLPHLMRTCADHGVYFKKALFVPGLSLYHKVGSHALTPTDSNIDLSWQFTLQKVWENLMQGNKGKNSSVVSEDLTGMEMSVSNCEHSAVFSSLPLVINWLRDRVQQNQSTRFQVLVTGSIHLVGDVLKLIKK >CAK8532333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247962984:247964480:1 gene:gene-LATHSAT_LOCUS2052 transcript:rna-LATHSAT_LOCUS2052-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEITMSSEIDYDCEDFNSSKRPKMTSKVWEEMQRIQTTEGSKVLCKYCGKLLQDNCGTSHLKRHLVICPKRPKPVGVVTQDSMSPAYLRDSGLSKALMVRPLKVEPQSQVICFSPTPNYGTGAVTIASIDNASNSIVELNHKNSSTLLLPSLESRQNQEELSLDDDVEMKAFYASLDVESSFKSPSQDTTVITESSNTTMPSEETSKALKTLQDLLSKDFSVLLQTKQCGAMKSTLEYLSKMSSVNGISAEMKLLILEVSREFTRWSCDYNNASKKIESASSNITKADKLEESLEANKNEFKEVLSLENELCNQLATLEQRKKELEDQINAIKGNISVFQSAKFKAMKRKREVFEEAKTLKVQRDELREQVPQLKDEREMAKKVQENIQVEWLKLGEKFNKSLNGVNSEYIQVCSSENCI >CAK8532334.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247962984:247964480:1 gene:gene-LATHSAT_LOCUS2052 transcript:rna-LATHSAT_LOCUS2052 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEITMSSEIDYDCEDFNSSKRPKMTSKVWEEMQRIQTTEGSKVLCKYCGKLLQDNCGTSHLKRHLVICPKRPKPVGVVTQDSMSPAYLRGTYSAKDSGLSKALMVRPLKVEPQSQVICFSPTPNYGTGAVTIASIDNASNSIVELNHKNSSTLLLPSLESRQNQEELSLDDDVEMKAFYASLDVESSFKSPSQDTTVITESSNTTMPSEETSKALKTLQDLLSKDFSVLLQTKQCGAMKSTLEYLSKMSSVNGISAEMKLLILEVSREFTRWSCDYNNASKKIESASSNITKADKLEESLEANKNEFKEVLSLENELCNQLATLEQRKKELEDQINAIKGNISVFQSAKFKAMKRKREVFEEAKTLKVQRDELREQVPQLKDEREMAKKVQENIQVEWLKLGEKFNKSLNGVNSEYIQVCSSENCI >CAK8566902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:471210367:471210807:1 gene:gene-LATHSAT_LOCUS19998 transcript:rna-LATHSAT_LOCUS19998 gene_biotype:protein_coding transcript_biotype:protein_coding MERESQKTNHWIVRRAGEYDYEVKHISLNGEKHAVNLSKKECSCRTWILTGMPCCHAMSYMKDQYLQIDDFVPDSYKKELYEVCYSSVIYPVNGEALWTKSNAVDLQPPPIKK >CAK8530445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22044087:22044894:1 gene:gene-LATHSAT_LOCUS309 transcript:rna-LATHSAT_LOCUS309 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVDSVKDINDSKETWRLVVRIMDVWSVVNNKGIEHLEMIVMDSLGDRIQVLIRHDHLLKWKEVIKENKTCIINNGSVYNNDFQWKVCDHSKKFVFLGGTIMKAIELQNIPPKGYFFKDFGEILQGKCKTDRLEDIIGAVSEINHIQSNTPGKKVVVSVVLKDLKGNCIN >CAK8538258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:473802201:473803003:1 gene:gene-LATHSAT_LOCUS7477 transcript:rna-LATHSAT_LOCUS7477 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSKSVIGVFSLEIKAIEIENGKWHGSISGIIGAPIDKVWTIVSQTKNLPEWMPMVERCTALAGDEDEPGYVRLVSGFMFPQQDGERSWIKERLVSKDSSSHSYVYRMEASNVGLDGSVNSLKLVDYGDESTLLQWSFEINPLEDVSENNLVDYLGFLYKSCINKIEGAVEVKKM >CAK8565827.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:339941492:339942872:1 gene:gene-LATHSAT_LOCUS19008 transcript:rna-LATHSAT_LOCUS19008 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKSNLPICFFKIILQTNLQTIKVPNKFTRRHGAGLPNPVIINPPDGTKWKVFWKNINGEIWFQKGWKLFTQNYSLQHGCLVVFKYKEGTSTLDAIILGKHALEIDYDSSCNTLDETDNLVHSDDESIEILNVDDSDNESIEILNVDDSDDESDEILNEWLNKKKTRLKSPLVSPRPCKKVRVEFKKTSVRVTSLNWPRENRAQEVAAKFISSNPFFTILIKPNHLADYQLSVPNLEGVIENKEKNVILQIGESSWNVKLLRVNKDIKGRHLSAGWSLFASENGLQPGDVCVFELINKEDLVFKVHVF >CAK8574076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:657803553:657820385:1 gene:gene-LATHSAT_LOCUS26453 transcript:rna-LATHSAT_LOCUS26453 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGVFSTRCKTCLNLAISRMKLLQNKRDVQLKQMRKEIAQFLQAGQEPIARIRVEHIIREQNIWAAYEILELFCEFVLARVPIIENQKDCPPELREAIASIIFAAPRCSDIPDLLHIKNLFTTKYGKDFISAVSELRPDSGVNRTIIEKLSVNAPSGEVKLKVLTDIAEEYNLAWDSSKTAAEFRKNHEDLLGGTKQVGVEATVSHASSKNSSINSPAYNSDHSIKSTHNKQQYAHVETSIPSNNNSWLNTNEIEQSHKNNNVQVNDAKSETIFQSSDILEKARAAIASANRATVAARAAASLAQSNFGSLKPEGESS >CAK8531926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177146157:177147559:1 gene:gene-LATHSAT_LOCUS1681 transcript:rna-LATHSAT_LOCUS1681 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMIGTSYTVNNKPPIKEIALAVSLLVFGFLSIIIGFVMAYKHVGGDTVHGIFFAILGMLLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >CAK8565164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:53318576:53318773:1 gene:gene-LATHSAT_LOCUS18399 transcript:rna-LATHSAT_LOCUS18399 gene_biotype:protein_coding transcript_biotype:protein_coding MALILSFFNCFGTSSNSSFQVSDYAEKPSQSKSSFSEKSEKKKKLIKGAPIVVYHFPVNSYLSRL >CAK8576845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:519390414:519391976:1 gene:gene-LATHSAT_LOCUS29008 transcript:rna-LATHSAT_LOCUS29008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASMNSDLETPENTTDSSMARTYRAWKGNNVFFLQGRLIFGPDVKYMIRTIFLIVAPVAVFCAFVARNLVDDFPHHFGYSILILVIINTIFVLIALLVTSGRDPGIVPRNWYPPVPESYRESVDIDIEHNRSCNLPQIKEVIINGKIVKAKHCDTCMLYRPPRCSHCSICDNCVERFDHHCSWVGQCIGLRNYRFYYMFVFSTMLLFLYIHGFCWFYIKRIQGSEEISIWKAMIKTPASIVLIVYSFIAVLLVGRLVVFHTYLIGANRTTYENIRYRFDEQVNSYNKGVIENFKEVFLSSIPPSKNNFRSKVSVPKELSKSSRRKGVDLSETFVHISKKIEINVEVFDEIYDRESNWITDDSSNEPVDNSTKIATLAEKLKEKEESDASNIFIYF >CAK8574103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662830525:662832053:1 gene:gene-LATHSAT_LOCUS26480 transcript:rna-LATHSAT_LOCUS26480 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDALLGRNNFRTDKFKPTVNLAISRLAVLKNQRNARLRQARSDVVQLLQLPDHHKRALLRVEHVIKEQNMIDVYDEIEGYLNLLIERIHLIAQERECPDELQEAASGILFAASRCGDFPEIQEIRAILTSRFGKEFASRAIELRNNCKVHPKIITKLSTRMPSLESRMKVLKEIASENNITLQLEEVASTKDEEHVTNEEKKNQHKSEKKEEDNEGKNDELSDSFKGRKKYKDVADAAQAAFESAAYAAAAARAAVELSRFQPHDPHYDDDDDDNSSSPLNGHGHSVKAMSPMEREESNKNAEELEKAKDVSSSNSDGDSVDEEIVLESKMQSTPFIDLEKKPFSVRTRRVQGY >CAK8562596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514021775:514022803:-1 gene:gene-LATHSAT_LOCUS16081 transcript:rna-LATHSAT_LOCUS16081 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPPAPYTPPSANGSQSQLVCSGCRNLLVYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASASTTEQKFST >CAK8565359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:151244444:151244960:1 gene:gene-LATHSAT_LOCUS18580 transcript:rna-LATHSAT_LOCUS18580 gene_biotype:protein_coding transcript_biotype:protein_coding MILASCWFVISQQHCIFQRVGGIILAILMNW >CAK8543668.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:630479754:630481115:1 gene:gene-LATHSAT_LOCUS12412 transcript:rna-LATHSAT_LOCUS12412 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTFMAPLLGRKKTMKKKKRICVKSVQQQQEQSLSEPEFYLPEDCWEHVFSFIINPLDDGSQRIKSLSLVSKQFLSITNRLLFSLRIYHPQLCFLYRFFHRFSNLNSLDLWFSSRDLHPDFALALRDRPLLKSLSIFGIDLKDPNYVTSQYIDSFVSLKGLISLKFHCSQISDDLLYSFARQGLSFKSFALKNCTGYNYPGIYGLLSKCGAIQHLSLKRVDFLNNHHVFQLSLLLPGLISINLSQNDNLTELALFALIKNCHSLNKITMRSIYNIGRESVENSDIIKDFNVNPQLKFLHFFNNFFIKDEILILFALIFPNLQLLDLTFCDQISKKVHQLEVLDLTNTNVDDKTLYAISKSCFGLLKLLLTFCDYVTEKGVIHVVKNCTQLKEIYLILCHKVNVNVIVSTFSSRPSLRVFS >CAK8564327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:668385902:668386984:1 gene:gene-LATHSAT_LOCUS17642 transcript:rna-LATHSAT_LOCUS17642 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKSFQILVQTPDRQICPKSVTGDQTLSDLKHSLFPNSSSFYFTLHGKPIPDDTTFSQSRIAPLSTLLLQSRLLGGGGDGGATGAESRDCYLKMYAEKKPDKVDPNEQRLSKWQNCALSNEPLREPCVIDKLGNIFNKESLVEALLGKKLPKEFGYIKGLKDMIKIKLSSIPGEDDGAKFRCPVAGLEFNGKYRFYALRNCGHVLSSKALKEVKSSACLVCHEEFDEADKIVINGNEEEVEVLRERMEEEKAKVREKKTKKVKNGDNEAVNGLSLEGSKLSGSKHNMDVEKASAKVDRNGKVGSGNKSVNGGAAAAKRFKATDIAPANATEVYASIFTSSRKSDFKETYSCRSLPLGRN >CAK8568767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:639669302:639669796:1 gene:gene-LATHSAT_LOCUS21693 transcript:rna-LATHSAT_LOCUS21693 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPKKPNKIRDIVRLQQVLKKWRRIANASKTNNNSTTSKSIKFLKRTLSMSEREGGGSSAVPKGYLAVCVGEELNRFVIPTEYLTHQAFHVLLREAEEEFGFEQTGVLRIPCEVSVFENILKTVEAKDEFSTQKCSFGIEKMMGYCSSHQLGYYHHPDSPMCR >CAK8566742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:458034222:458036090:-1 gene:gene-LATHSAT_LOCUS19853 transcript:rna-LATHSAT_LOCUS19853 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTNVTESETDPVSLENRPGIFIIGSSTVGKRTLLSRLLSVDSEDAFDSASEVNVHGWTINTKYYTADVAVWMAHLRDGFSVDNVPGFNQMTALVMVFDMNDLSSLTALQGWVSHTDIQNFEILLCIGNKVDLVPDHPVHVEYRRRLLKLEDSSANEFSEYGISEYEGTSLLGSEEPSWDIRKSCLEWCAEHNIEFIEACASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGERISQPSFPEIEEISSEESDYEQEYEVLSDGLDDAWDETEQGWISATSLDAGESSVPQNDPNTACERDNRNKSDKELQPTTSSTEFQNQGDTAVVHSMVNSERDGKLDESECLELEDLEQLMSEIGNMRTGLRLMPDFQRREMAAKLAMKMASLFGGESDEGEI >CAK8579014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667342731:667345631:1 gene:gene-LATHSAT_LOCUS30990 transcript:rna-LATHSAT_LOCUS30990 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVMKISEVNDSPSHVLLISAGASHTVALLSGNVVCSWGRGEDGQLGHGDTDDRLLPTKLSAFDGEDVVSVTCGADYTVARSDSGKNVYSWGWGDFGRLGHGDHSDLFIPHPIRALQGLMIKQIACGDCHCLAVTMESKVLSWGRNQNGELGLGTTEDSLVPQKILAFEGIRIKMVAAGAEHSVAITEDGDLYGWGWGKYGNLGLGDTNDRLIPEKVNIDGDKIGMVACGWRHTISVSSSGGLYTHGWSKYGQLGHGDFKDHLVPRKVQALSDKFISQVSGGWRHSMALTSSGQLFGWGWNKFGQIGIGNNFDCCSPMLVNFPHAQKVVQISCGWRHTVAVTNCANVYSWGRGASGQLGHGDTIDWNVPIIIDAFSADGCGGKRIESSKYPSSGKSFASLSARYAVVPNETALGSQGTISDKGEKFDFDASVPENDVSFDGSPTMM >CAK8566034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:369886188:369886787:-1 gene:gene-LATHSAT_LOCUS19202 transcript:rna-LATHSAT_LOCUS19202 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKINLPRLSLTKSISSIRLAKYGKWFDDCSNDVKVCCSLALLKLQDHYNWKIIDVTITDIEMMRLAHHITIGSECSTALDSYITIVSPCEICSSLKIAGYTLNIICTSKYPVKLFL >CAK8543330.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:600457542:600460120:-1 gene:gene-LATHSAT_LOCUS12094 transcript:rna-LATHSAT_LOCUS12094 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSSEECSLNGLKFGQRIYFEDTSLANSSTANANANGSSSSGSRKGRGGSVQHSQPPRCQVEGCKVDLSDAKAYYSRHKVCSMHSKSPTVTVSGLQQRFCQQCSRFHQLAEFDQGKRSCRRRLAGHNERRRKPPPNSLLTSHFARLSSSVFDNSGGGGSFLMEFAPHPKLSLRNSLPSSGNQTTTLGWPWPGNSESPSDNFFLEGSVGGTSFPGARHPTEQSYTRVTDSSCALSLLSNQTWGSRNTEPSLGLNNMLNFNETPMTQLATSSHGVAMHQLPNTSCYFKDIDPGNLSHEVVPDLGLGHMSQPLDSQIHGDLDLSQQGRRHYTDVEHSRDYESSQWSL >CAK8530925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:63774372:63779980:1 gene:gene-LATHSAT_LOCUS752 transcript:rna-LATHSAT_LOCUS752 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYPRSTEPSRSDPSPEWTGPDPQTGLEEPMWQLGLGDGEDSYPLRPDEADCTYYLRTGFCGFGSRCRFNHPPDRSAVIGAASRTVGEYPERAGQPVCQYYMRTRSCKFGASCKYHHPRQAGGGTDASPVSLNYYGYPLRPGEKECSYFVKTGQCKFGATCKFDHPVPTGVQFPAPSPVPQVSPLHVQVPTPIYPTVQPPSGPSSQQIGLLVARPPLLPGSFVQSPYGPVVLSPTMVPFSGWGPYQATATSPVLPPGNPANVGSTQLYGITQLPSPGNANAYTGPYQPSASSVGPSNRGHNEPSFPARPNQQEYHYYSKPEELPFGPSYRYNQPPDMSAQKANVVLGPAGLPLRPGAAPCTHYTQRGICKFGPTCKFDHPVASLSYSPSASSLTDVPVAPHFAGSSVGTLVPSSSSSELQPELAAGSSRESVPSRISSSASTTTGSVGLNLSTGGPVSQSSTRSSSPLPAANTTSSNVSHTTS >CAK8539081.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:503250403:503250714:-1 gene:gene-LATHSAT_LOCUS8213 transcript:rna-LATHSAT_LOCUS8213 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPKVKAAFRAMTNLGIHESKVKPVLKLELVKLYEGNWELIEADNYTALVDAIFDVEDNLQEDNQVYPISCINTYLFYLWVYSMKFALISHAQCLLIVII >CAK8578088.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605870517:605870675:-1 gene:gene-LATHSAT_LOCUS30129 transcript:rna-LATHSAT_LOCUS30129 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKTRLRNKTEAYFLRDSMTINIEREIATSIDSETIIDDFKLLKNRRALF >CAK8578798.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:655139849:655142622:-1 gene:gene-LATHSAT_LOCUS30782 transcript:rna-LATHSAT_LOCUS30782 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRQERGTDVIIVLVGNKTDLVEKRQVSIEEGDTKSKEFGIMFIETSAKAGFNIKPLFRKIASALPGMETLSSTKQEDMVDVNLKPTVNSSNTEQQGGGCAC >CAK8565344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:141498851:141506440:1 gene:gene-LATHSAT_LOCUS18565 transcript:rna-LATHSAT_LOCUS18565 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMLTTLSQKREVDSIIRDTIDKVLVLRFGRVSDPICLHLDQILSKAARELSKFATVALVDVDSPDIQVYVKYFDITLIPSTVFFFNANHMKMDSGTADHTKWIGSFHKKQDLVDVVETIFRGAMYGKLIVNCPLPPERIPKYQLLYKNV >CAK8563747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629139802:629142955:1 gene:gene-LATHSAT_LOCUS17125 transcript:rna-LATHSAT_LOCUS17125 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNSKGSISAVTTATVSMKSGEGSDPFPAGLRVLVVDDDPTCLKILEKMLRSCYYEVTQCNRAEAALSLLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKHVVMKGVTHGACDYLIKPVRMEALKNIWQHVVRKKKNNDWKDSEQSGSADEGDRHPKASDDADYSSSANESNWRSSRKRRDDEEDGDDRDDSSTLKKPRVVWSVELHQQFVAAVDQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNNSFLSPQEASFGTISSMNGLDLQTLAAAGQLPAQSLATLQAAGLGRSAVKSGLPMPLMDQRNLFSFENPRLRFGEGQQQLLSNNKQTNLLHGVPTNMEPKQLANLHQSAAQSLGNLNMRVPGSSPQGNPLLMQMAQQSQPRGQMLSENTSPRVPRLPNLLGQSTVSNGISDGLLGRNGIASSSRGPSFNSVPQSSLFFNSPMNQSTEMSVTNFPLGSTPGISSITNKGTFQAEVNSGIKGSAGFPSYDIFNELNHQKPRDWGMTNPGLTYDSSQHANPLHGNIDVSPSVLVHQGYSSTPQNGHNRDNSLIGKSPFSLGESSGQGNFQNGVQHFNPIVENSTRVIKSEIVPDASSQTNLFPDHYGQEDLMSVLLKQQEGIVQGENEFDFDGYSLDNIPV >CAK8563748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629139802:629142955:1 gene:gene-LATHSAT_LOCUS17125 transcript:rna-LATHSAT_LOCUS17125-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNSKGSISAVTTATVSMKSGEGSDPFPAGLRVLVVDDDPTCLKILEKMLRSCYYEVTQCNRAEAALSLLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKHVVMKGVTHGACDYLIKPVRMEALKNIWQHVVRKKKNNDWKDSEQSGSADEGDRHPKASDDADYSSSANESNWRSSRKRRDDEEDGDDRDDSSTLKKPRVVWSVELHQQFVAAVDQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNNSFLSPQEASFGTISSMNGLDLQTLAAAGQLPAQSLATLQAAGLGRSAVKSGLPMPLMDQRNLFSFENPRLRFGEGQQQLLSNNKQTNLLHGVPTNMEPKQLANLHQSAAQSLGNLNMRVPGSSPQGNPLLMQMAQQSQPRGQMLSENTSPRVPRLPNLLGQSTVSNGISDGLLGRNGIASSSRGPSFNSVPQSSLFFNSPMNQSTEMSVTNFPLGSTPGISSITNKGTFQAEVNSGIKGSAGFPSYDIFNELNHQKPRDWGMTNPGLTYDSSQHANPLHGNIDVSPSVLVHQGYSSTPQNGHNRDNSLIGKSPFSLGESSGQGNFQNGVQHFNPIVENSTRVIKSEIVPDASSQTNLFPDHYGQEDLMSVLLKQEGIVQGENEFDFDGYSLDNIPV >CAK8560606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:28167443:28169728:-1 gene:gene-LATHSAT_LOCUS14267 transcript:rna-LATHSAT_LOCUS14267 gene_biotype:protein_coding transcript_biotype:protein_coding MASNISLLLLFSFLTTFLHFIIFTFAQSDNYIIHMDLSAMPKTFSNQHSWYHSILSQVTTTNNYLNSSSSKIIYTYTNVMNGFSANLSPQDHESLQNSPGYIFSMPDIPLKLHTTHSPQFLGLNPYKGAWPASEFGKDVIVGVIDTGVWPESESFKDDGMTEIPSKWKGKLCQFNNSDHSSLCNKKLIGARFFNKGFLAKYSNLSTTNLNDARDTTGHGTHTSTTAAGRKVDGASFFGYANGTATGIASMSRVAMYKTIGGDGLATPSDIMAAIDAAMSDGVDVLSISMGAQEVPFYEDTLAIATFAAIEKGIFVSVSAGNEGPLFHTLSNSVPWVTTVAAGTLDREFRGILTLGNGVSLTGLSLYLGNFTATNSPIVLMGSCDNITELNKVKSNIVVCEEKNGTLLSIQINNMIAADVVAGVFISNIPDINDFNNRIPSIIITPINGKIVQSYIKSHNSKNSSSTASVSFKTTGLGVKPSPMVDSYSSRGPSKSCPYVLKPDITAPGSQILASWPTNLPVTNFEDHDLFNKFNILSGTSMACPHVAGVGALLKGAHNNWSPAAIRSAIMTTSYILDNTKEHIKDIGNNKVSTPLALGAGHVDPNRAIDPGLVYDVGVQDYVNLLCALNYTQQNIAVITRSTSNNCSKPSLDLNYPSFIAFSNSKNSSSRIIQQFYRTVTNVGEGQTTYVAKITPIKGFNTSVIPNKLVFNKKNEKISFKLKIEGSIISQNDEVVFGYLTWEDGKHVVRSPIVVTTRNFSL >CAK8539709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522528807:522529070:-1 gene:gene-LATHSAT_LOCUS8782 transcript:rna-LATHSAT_LOCUS8782 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLDFEIISHNIRELVNSGASLKVKVIQAHIAEKYSYRISYRKSWIAKIKVVESLYGNWETSYNDLPQWSLGMKTYLPGTVI >CAK8574215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670352684:670356109:-1 gene:gene-LATHSAT_LOCUS26577 transcript:rna-LATHSAT_LOCUS26577 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRKSFKSLASYNKIYSRKFPDSDSDSDSDSDEGEHIPILSHQEHLRRSSSSEYFSMAGDVVVKIDDDGGEPVQDNKIWRESSYDFWNDTGDNGEESFDFRNKSHQHQQHQKPEDPPSQLIGKFLHKQKASGEMSLDMDLEMMELQNEDRDGKLTPVDESPTMIQRELKVSFEEPAFSGMETPVSAQTFNNVIEGMIDPVRRRYSNSPSVGDCSRPPFPPNHDRRRSRSPAGSDCEVLRCTSNASFERNLSMQRKSALLKTKTKSRLLDPSPDEQPDRRSGRVAKSGQILSGFLGKKGDDEEDDPFMEEDFPDEYKKTQFSLWILLEWLSLILIIGALVTTLSVPLLRDKNLWQLKLWKWEVMILILICGRLVSDWFIRVAVFCIERNFLLRKRVLYFVYGVKKAVQNCVWLGLVLIAWHFLFDKRVQRETKGVFLQYVTKVLVCFLVGTLVWLLKTLIVKVLASSFHVSTYFDRIQESLFNQFVIETLSGPPLVEIRRAEEEEERLADEVQKLQNAGANMPPDLRATAFPSNRSGRLRSGMLQKSPVKSGKFSMPLSKKSDDGGIGNGGGITIDHLHKLNPNNVSAWNMKRLMNMVRHGVLTTLDEHIIDATPDDEHATQIRSENEAKAAAKKIFQNVARRGCRFIYPEDLIRFMREDETVKTLNLFEGASDSGKISKSALKNWVVNAFRERRALALTLNDTKTAVNKLHRMLNFLVAIVILVIWLLILEIATTKFLLFVSSQLVLVAFIFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDTVQMVVEEMNILTTVFLRYDNLKITIPNSVLATKAIQNFYRSPDMGDAIEFCIHIATPLEKVSLMKHRIHSYVDNKKEHWYPSPFIVLKDHEQMNMVRVAIWPTHRMNFQDMGERFIRRSMLIEELMKIFRDLDIQYRLMPLDINVRAMPTTSERVPASWSMVTN >CAK8566537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433705766:433706800:-1 gene:gene-LATHSAT_LOCUS19661 transcript:rna-LATHSAT_LOCUS19661 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSIFVFFSLILFLQPFSSSSSLTISNNTNFDPDTDLFGDAKLLADHFGGSHVKLTHHSSLTAGLLLRHSPVTFTNPTSFSIEFSFSITPDPGDGLILLIIPGDLSSAFPGNGSYGLSPPANSYLGVEFDTSKDENVGDMNANHVGIDVGSLISVAVANVSRSNLVLNSGEKLKAWVDYEAGSQRLEVRLNKLNETKSVNPIVSHTIDLFKIWGNEPVFMGLSSSNDADSVQVVRVYSWKVNVKKASNSLHSEPANPEEHGQEQAVLDAKRKDRPLTFLAGLIFGSVCVVLVTFVILFMWVIFFHKREEESLAKLPEHPSDVRYERIDVAVDKNAEDHEEHH >CAK8574069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:656995878:656996267:1 gene:gene-LATHSAT_LOCUS26448 transcript:rna-LATHSAT_LOCUS26448 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLSNSLNIFFFHQTQTKPTSSFLHHQTITFSRRKTTLKNACSGSQQNPQQQQSHRKKKPFNTNDTDSDGEKRYDPVGFLVKRGISHKAFSQFLRKRQVIWLPFCRIFDWIIWVCFVFALLLESFIL >CAK8572156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515376669:515385648:1 gene:gene-LATHSAT_LOCUS24749 transcript:rna-LATHSAT_LOCUS24749-3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSELVRFSLLVDDTDTNSYKACSIPMRFPSDDPTVPTPTELSWINLLYCGIPSFKKHAESDTSVPDAASKAELFAQRYAKILEDLKKDPASYGNPLDIPLLCRLREEVLKEMGFRDIFKKIKDEENAKAISLFENVVRLNDVIEDEGKRLENLVRGIFAGNIFDLGSAELAEVFSRDGMSFSTTCENLLPRPWIIDDLDTLKMRWSKKSWKKVLIFVDNSGADIILGILPFARELLRRGSQVVLAANDTPSINDVTYSELIEIVSKLKDEEGRLLGVSTSNLLIANSGNGLPVIDLTKVSQELNCLASDVDLVILEGMGRGIETNLHAQFKCDSINIAMVKHPEVAEYLGSRLYDCLIKYKEV >CAK8572154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515376317:515385648:1 gene:gene-LATHSAT_LOCUS24749 transcript:rna-LATHSAT_LOCUS24749 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVKPFITSPTPIQTHRFSPWNIFASSSLLSFHSHAVSCRVNSLSVNQSPHFKTQEEVMESSRSELVRFSLLVDDTDTNSYKACSIPMRFPSDDPTVPTPTELSWINLLYCGIPSFKKHAESDTSVPDAASKAELFAQRYAKILEDLKKDPASYGNPLDIPLLCRLREEVLKEMGFRDIFKKIKDEENAKAISLFENVVRLNDVIEDEGKRLENLVRGIFAGNIFDLGSAELAEVFSRDGMSFSTTCENLLPRPWIIDDLDTLKMRWSKKSWKKVLIFVDNSGADIILGILPFARELLRRGSQVVLAANDTPSINDVTYSELIEIVSKLKDEEGRLLGVSTSNLLIANSGNGLPVIDLTKVSQELNCLASDVDLVILEGMGRGIETNLHAQFKCDSINIAMVKHPEVAEYLGSRLYDCLIKYKEV >CAK8572155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:515376423:515385648:1 gene:gene-LATHSAT_LOCUS24749 transcript:rna-LATHSAT_LOCUS24749-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLAGLILSPSINHHTSKHKPFVNWIVSISEEVMESSRSELVRFSLLVDDTDTNSYKACSIPMRFPSDDPTVPTPTELSWINLLYCGIPSFKKHAESDTSVPDAASKAELFAQRYAKILEDLKKDPASYGNPLDIPLLCRLREEVLKEMGFRDIFKKIKDEENAKAISLFENVVRLNDVIEDEGKRLENLVRGIFAGNIFDLGSAELAEVFSRDGMSFSTTCENLLPRPWIIDDLDTLKMRWSKKSWKKVLIFVDNSGADIILGILPFARELLRRGSQVVLAANDTPSINDVTYSELIEIVSKLKDEEGRLLGVSTSNLLIANSGNGLPVIDLTKVSQELNCLASDVDLVILEGMGRGIETNLHAQFKCDSINIAMVKHPEVAEYLGSRLYDCLIKYKEV >CAK8576271.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:441522394:441523944:-1 gene:gene-LATHSAT_LOCUS28473 transcript:rna-LATHSAT_LOCUS28473 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDNYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQDNQAQQSMLVK >CAK8569157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:677239486:677241816:-1 gene:gene-LATHSAT_LOCUS22041 transcript:rna-LATHSAT_LOCUS22041 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNFSKLDKLPAVSLCRDRSKFIDESLHQSYALADAHVAHMESLRTLGPALVSFFQQPEDYSCEINASISKTSSPLSSLFSSDSDAARVLLHSESETDEAERERESQLCTHHDNVLSSVPDNATFMNYVKPIYDSYSPQPPSNTTAMFSRLKPPSPPPPSNSAWDFLNFFEPYEKYQVPYYDGGDGYNDTNLRVEKEKRNVKTQKSECVKSKGGGDKVCKPENREGKHKKINLKEEKNSSELEECSDFVKVICEAVKEIDILFQTASDSGNKILEMLDVGKLRYHSKTEVSTGLLKIMDVFSQPNPVMNKCLEGSSLRRKTESDCEGVCKDKGLSCGNLCSTLSKLCLWEKKLYQEVKAEEKMRTLREKKCKQLKCMTKKNADAQKIDSVEALIDILVMKMKISFQAVDKISITISKLTEEELWPQIDMFILMFFRMWKDMQECYKLQYQKIVETKALDLSIFNRKPCHANIEAALNLKSELQEWILSFLDWIQAQKSLVKALNNWLVRCLMYEPEEIVDGSTPFDAPPVFVICNKWSKAMDNISEKNVIEAVNGFILRINEPLEKYVLEHQNNSTLDKELERKVKIAERQRQKMQRKVKIVERQEQKKKMVTIAKALKEETNAMLKGDAVNNNADIVDSTSLQSGLKQILFAMEKFSATNASLYEELSQQIKQDDHVLGESNKNH >CAK8537712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:422535047:422537444:-1 gene:gene-LATHSAT_LOCUS6976 transcript:rna-LATHSAT_LOCUS6976 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKDILQRRLNQQESEALSRIQSVPSIRPGDDSSFYEHFVLTGIKVDKVQPGFVSCSFKVPPRLTDSNGNLENGAIATLVDEVGGAVIHEEGLPMNVSVDMSISFLSTAHVNDELEITSRLLGRKGGYSGTIVLLKNKATGELIAEGRHSLFGRHNSKM >CAK8532856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:537673932:537674303:-1 gene:gene-LATHSAT_LOCUS2520 transcript:rna-LATHSAT_LOCUS2520 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVIKEIMYIQRKFLWAGTTDKKSMSWVRWSLVCKLKVVEGLGIKDVAIFNRALLSKWPWRFIYEPNAIWLGILKAKYGNLRIRVLSKDVQRVASLESIWWKDIMGIGDSLQYEGFYNQIS >CAK8565295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:105155873:105157566:-1 gene:gene-LATHSAT_LOCUS18519 transcript:rna-LATHSAT_LOCUS18519 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIISFNVFNSHFHSSCLQSKRFVVNSSSKLPMLPPNSIPFHKSWQVLGGKRKRASLCKVNGLPDIPMMAVLVEQMEGQRDLITEKTIWHLSDQQIKNAYAWYVMFTVWGVLFFGSMKDPYYDSETYRGDGGDGTGNWIYEKQEIMEAEAREALWREELIEEIEQKVGGLKEIEEAAKEELVK >CAK8542137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:477012507:477014808:-1 gene:gene-LATHSAT_LOCUS11004 transcript:rna-LATHSAT_LOCUS11004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVKKSKKKRSDQFAYVKRISHNDHAPSVLPEPQTPTRSLQSAPPSFRTRVKPVQPVNRVTNNRIRALSAPSTLDAADQDALASIEYEEQEESKYRGGGGGGSMKEQRSASPQPLPLPSPQGCSSTLKAIGSFKLGTASGPLHASGPLPLPPTGSLRNFPYEEVAAACHNFSSDRCMSECLSSTIYKAYFGDDPSSSKKFEATVTRLHPSSQGLKEFINEVNTLATLQHPNLCKVLGFHARDSSDHRMLVYERLYHGSLDRLLYGRSDGPSIDWNTRIKIAMCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPEEEISSSSSAVGNLSMETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLADNYRLSLIMDPQLKGRFPSKAARTIADIAQRCLQKEPSDRPTMRTVVEHLKTIQDLKYSCRFPLQEPAPSSGKEMFRSPSLNGIVCPAPRLSFSPSRPSVAPLSVSPPRWSGVPVPPPRACSTLSLEELERQESRKSSSSASRRVSVEGFLVSI >CAK8542500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:520540236:520542817:1 gene:gene-LATHSAT_LOCUS11338 transcript:rna-LATHSAT_LOCUS11338 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLVYHVVGDLTVGKPELVEFHETDTVESAIRAIAESPEGSIPVWKKRSIGVIESSDMRQMRFVGILSSFDIVAFLAKSQCLEDQDKAMKTPVSEVVLSNNSLLRLVDPGTRLIDALDMMKQGVKRLLVPKSIVWKGMSKRFSVIYYGKWLKNPESPSSSSNNLPANLNWNTPTTIRDKYCCLSREDVLRFIIGCLGALAPIPLTTITALGAINPNYSYIESSTPALESSQKVPQDPSAVAVIETTSDGRCKIIGEISAIKLWKCDYLSAAWALANLTAGQFVMGVEDNVTSRPLPDFCVNSRSGGDNDLANGGSRKPKIFSSRSIGFFNNSGNHGFGSRSMYRGRSAPLTCKMTTSLAAVMAQMLSHRATHVWVTEDENDEILVGVVGYGDILGAVTKPPMIFTPAKSSEGILNEIQC >CAK8578566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638781959:638782987:1 gene:gene-LATHSAT_LOCUS30565 transcript:rna-LATHSAT_LOCUS30565-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHVDEGVNEKSSRLGEGVAIDAGAGHSLGGRVSVCDDLGLNEELKERPLEVKGQNENVGGDPGKIDDDLRGFDQGTSYNSSDLVNGEARETCVVIDSSAQVEAVEGDSRKLEAKTKESGLNKLSIKAPKGVSETDKNSCVIDVNCGNGKDFSENLDGETICRICHLASGQPLEETAVGTPNSADDKTGLIMLGCACKDELGIAHSQCAEAWFKIKGNRLCEICGETAKNVPDVINNGFMEEWCESGFIDSGSTSTSHRGLVGCWRGQPFCNFLMACLVIGFVLPWFFRVKMF >CAK8578565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:638781929:638782987:1 gene:gene-LATHSAT_LOCUS30565 transcript:rna-LATHSAT_LOCUS30565 gene_biotype:protein_coding transcript_biotype:protein_coding MDQATSKDSEMLDHVDEGVNEKSSRLGEGVAIDAGAGHSLGGRVSVCDDLGLNEELKERPLEVKGQNENVGGDPGKIDDDLRGFDQGTSYNSSDLVNGEARETCVVIDSSAQVEAVEGDSRKLEAKTKESGLNKLSIKAPKGVSETDKNSCVIDVNCGNGKDFSENLDGETICRICHLASGQPLEETAVGTPNSADDKTGLIMLGCACKDELGIAHSQCAEAWFKIKGNRLCEICGETAKNVPDVINNGFMEEWCESGFIDSGSTSTSHRGLVGCWRGQPFCNFLMACLVIGFVLPWFFRVKMF >CAK8571953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496342880:496344061:-1 gene:gene-LATHSAT_LOCUS24567 transcript:rna-LATHSAT_LOCUS24567 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTKINTFFHYYLNNNLNIHIHSRPISSLKVVWRKDPSLDQAIEHDKRYKQCARVVKEVLNEPGQVIPLRYLEKRRQRMRLKVKIDTFLNQNPFLFDVYYDRIKPKTEPVKFIRVSDRLRRFIDEEKRIFKENEPLVVAKLCKFLMMSKNKVVSADKLLHVKREFGFPNDFLVDLVPRYPEYFRLTGLPGEGKSFLELVDWNPEFAKSVIEKRAEEESRVTGIRVRPNFNVKLPPGFVLKKEMREWIRDWMELDYVSPYEDVSNLEQASREMEKRSVGVFHELLSLSLYKRVPVPILGKFCDEYRFSNAFSSVFTRHSGIFYMSLKGGIETAMLREAYEGDKLIDIDPLLQIKDRFAEVLEEGWRERVEQLRLKQEKIKQDMELVATRVSE >CAK8571954.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496342880:496343620:-1 gene:gene-LATHSAT_LOCUS24567 transcript:rna-LATHSAT_LOCUS24567-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNKVVSADKLLHVKREFGFPNDFLVDLVPRYPEYFRLTGLPGEGKSFLELVDWNPEFAKSVIEKRAEEESRVTGIRVRPNFNVKLPPGFVLKKEMREWIRDWMELDYVSPYEDVSNLEQASREMEKRSVGVFHELLSLSLYKRVPVPILGKFCDEYRFSNAFSSVFTRHSGIFYMSLKGGIETAMLREAYEGDKLIDIDPLLQIKDRFAEVLEEGWRERVEQLRLKQEKIKQDMELVATRVSE >CAK8575395.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:106812651:106815835:1 gene:gene-LATHSAT_LOCUS27664 transcript:rna-LATHSAT_LOCUS27664 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRYEIVEEEPLPFLLPPCHSSSSHFFVTEALPFASFVEESLPFNLDLCLLPSHFHADLVRVDRTASCLQKRVEKQQKLGLEILSDRVAELESRFEQILGGKKKEEKKKSSGGGGGGCDRKYTWTTEIKGAEKNGFDRKYKWIAEIVEEEKKKKKKSDESKLKSAVLKNVKWTAEIKGKGEDSGNTRKYTFAVESGGDDAEKKKKKNVNEKEKVKEEESAKDKKKERKLRIVEIEEPEDHRVVVLRQAYAKRFGAVQNQRGKKKELSPQDAALLIQITFRAYIIRRSKALRALRELAVAKSKLKELRAQFNNFSYRRRIASDPVERQRFSEKVIVLLLTVDAIEGVDLMVRSAKKSMVDELEAMLDVVDPQPTGGSQSFKKRTFDMPDGVIRKEIEEGVAQVVQMLDEAENSSSTYEASHHD >CAK8536868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:30930300:30934857:-1 gene:gene-LATHSAT_LOCUS6195 transcript:rna-LATHSAT_LOCUS6195 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIKLDGCGYDVTTSSQLCISTINSYYQQVLSYGRERRVIMESVTHDNYCVLANTLAAHFIYSSDSSRATSLLHTAESHLEHATLYEKLVFDAVSYLMSGDRDDDVAVELHSKLLKEFPRDLVSLKRAQVLCFYMGRPDLSLSLVNQVLPQNEGESYIYGMLAFPLLELVRMKDAEVAAKRGFEINNQDGWSQHALCHVLQYECRFREAVKFMEECSSSWSSYSSFMLTHNWWHVALCYLEGNAPMQSVLEVYDNYIWKELDKTDAAAPEVYLNAVALFLRICVRDELDFFGDRLEKLADCLAIQANWYLEWHLDVLTVWALAKTGQIAKSEDLLKGLKDRILRMTKKKQQIMQKGMMLAEALFAYGRGDYRHGLEVLGPDFDANDCKIIGASDEQVDVFNEVWYIMLLNSGDAMKAIEVIEKQIKKRGGTAFLWRLLERGYELAKRPEAEIANEKAKVFESAYFN >CAK8532654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:356710808:356711272:1 gene:gene-LATHSAT_LOCUS2337 transcript:rna-LATHSAT_LOCUS2337 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPLPFEDHAIPAVDDEEDYTGGDDTRDELEEETHVDPMNASASANHDGVVLPITRTGVLTLSFEGEVHVFPAVTPQKVQVVLLLLGGRDTQAGMPTDELPFDQSYRGMRDITRRLNLSRRIASLVRFHSKHQTTRSDNNVDITRSLFASYN >CAK8573989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:652611770:652614193:-1 gene:gene-LATHSAT_LOCUS26382 transcript:rna-LATHSAT_LOCUS26382 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSHVVTNGDASLDSGHNRLLQLGYKQELKRDLSVVSNFALSFSIISVLTGITTLYNTGLNYGGPVSMQYGWFLASAFTMLVALSMAEICSAFPTSGGLYYWSAKLAGPKWAPFASWITGWFNIIGLWAGTTSVDFSLAQLIQVIILLSTGGKNGGGYVASKYVVLAFHGGILLLHGMLNSLPITVLSFLGQLGAIWNVLGVFVLMILIPSVATERASTKFVFTHFNADNGDGINSRPYMFLLGLLMSQYTLTGYDASAHMTEETKGADRNGPKGIISAVGISVIVGWGYIIGITYAVTDIPYLLSENNDAGGYAIAEIFYQVFKARYGNGIGGIICLGIVAVAIFFCGMGTITSNSRMAYAFSRDGAMPFSSLWHKVNKQDVPIYAVWLSVIISFCMALTSLGSIVAFEAMVSIAVIDLYIAYALPIFFRVTLARKSFVSGPFNLGRYGIIVGWIAVIWVVIISILFSLPVSYPITIETLNYTPVALGCLIILVVSYWLVSGRHWFKGPITNLQH >CAK8570076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23264057:23264656:-1 gene:gene-LATHSAT_LOCUS22860 transcript:rna-LATHSAT_LOCUS22860 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPEDISEALAVEWAVDYLGVSQRIAQQLVRECMGSYYKLEWLYDRFVEHRAASRWNYATRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDDLSGYNWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQC >CAK8574157.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666490164:666494526:1 gene:gene-LATHSAT_LOCUS26529 transcript:rna-LATHSAT_LOCUS26529 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELSLQEAETIALSILKQVMEEKVTPNNVDIARVAPAYHLYTPSEVEAVISRL >CAK8535424.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847224741:847225088:-1 gene:gene-LATHSAT_LOCUS4888 transcript:rna-LATHSAT_LOCUS4888 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAFYAQDQGTTFNLEYAWRLLKDEAKWGIIEESIGNSSKMTHTSASGASSEIPDTPSSYEFNSSSPMERPMGQKAAKRKGKAKEIPNATQDARNKRAETMERLAQTKEDEI >CAK8562749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:533146848:533149588:-1 gene:gene-LATHSAT_LOCUS16219 transcript:rna-LATHSAT_LOCUS16219 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLAKLERVQTHLLQRISKLEQHSHLPTNSPLTNDPETLSDSDTVSRLSSILRTNAVNDFSFKRVASDYYDWPLEARRDALNAASVHHLCKSIVLVNTQAPSNVVDCSDRNNSKYYVVVVQYSARFNAEAVRSFLYSLNNGTIAKKKFNLRLAPEEISAKLTGYGHNAVTCIGMKTDIPVILDEAIVKLTPDFFWLGGGEVDLKLGIRTSELIRFINPFIISCSSS >CAK8571206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:337448748:337454548:1 gene:gene-LATHSAT_LOCUS23891 transcript:rna-LATHSAT_LOCUS23891 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIMDTIFDLIEEAKLRFLWWSLCIFAISYFLTHTSKSMWMNLPMSILFFAGLRILVNKVEFRWKVQSPKLQTYLSHLEKKQLPLDNERISTSLPPPKWKKKIDSPAVEAALNDFIDLILKDFVINMWYADITSDGEFPEQIRDLIMDAIAEITVRVKEINIVDLLTSDIVDLISDHIDLFRRNQAAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLISGVLATVLRKPEAQVPVIRSIAREIVTCLILQPIMNLASPAYINELIESLLLLLNDGDINWLRCGDQSSNAPTHNHNNASSADWAQMLDAATQRRTEVLMPENLENMWARGRNYGKKSRKNAKAGSYAKAKHSSADNSLFDKHFAHETLVTKPGETLHTVGSDSTLYNVIAAVLESSADNDKNLSFEADHQQVDEATDIRDLGSNKHKLPLRRTESTSVLGAQSHKGGLNVSECHSPDFKKREWFWGKTASEMVIRKEGQGVLKLRCRVMGAYFEKLGATSFAVYSIAVTDGQEKTWFVKRRYRNFERLHRHLKHIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLHDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDAVDDIVRQFKGVSDDLKRKVVTTSSPTVEGSSTSFTLNMDEMESSIPRKSGVDSVLCSDNEEGEKELNHGRENIDREVGEDSAWHSDNELSSKDNSQGVTNHGNGPGNMDLDRKHDVVNEAKVGKDVPTTNGNLVHDNPDDPIGVPPEWTPPNVSVPILNLVDNIFQLKKRGWLRRQVFWISKQILQLVMEDAIDDILLTEIHWLRREETIVQGIRWVQDILWPGGKFFLRVQTPQLFIGGGVIDQKPLQSESGGSNEPKSQTGGYFEEQLEAARRASDVKKLLFDGAPAALVSLIGQKQYKRCASDIYYFSQSSVCVKQLAYAILELLLISVFPELRNVVMSVHENMHVHRPV >CAK8571207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:337448763:337454548:1 gene:gene-LATHSAT_LOCUS23891 transcript:rna-LATHSAT_LOCUS23891-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFDLIEEAKLRFLWWSLCIFAISYFLTHTSKSMWMNLPMSILFFAGLRILVNKVEFRWKVQSPKLQTYLSHLEKKQLPLDNERISTSLPPPKWKKKIDSPAVEAALNDFIDLILKDFVINMWYADITSDGEFPEQIRDLIMDAIAEITVRVKEINIVDLLTSDIVDLISDHIDLFRRNQAAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLISGVLATVLRKPEAQVPVIRSIAREIVTCLILQPIMNLASPAYINELIESLLLLLNDGDINWLRCGDQSSNAPTHNHNNASSADWAQMLDAATQRRTEVLMPENLENMWARGRNYGKKSRKNAKAGSYAKAKHSSADNSLFDKHFAHETLVTKPGETLHTVGSDSTLYNVIAAVLESSADNDKNLSFEADHQQVDEATDIRDLGSNKHKLPLRRTESTSVLGAQSHKGGLNVSECHSPDFKKREWFWGKTASEMVIRKEGQGVLKLRCRVMGAYFEKLGATSFAVYSIAVTDGQEKTWFVKRRYRNFERLHRHLKHIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLHDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDAVDDIVRQFKGVSDDLKRKVVTTSSPTVEGSSTSFTLNMDEMESSIPRKSGVDSVLCSDNEEGEKELNHGRENIDREVGEDSAWHSDNELSSKDNSQGVTNHGNGPGNMDLDRKHDVVNEAKVGKDVPTTNGNLVHDNPDDPIGVPPEWTPPNVSVPILNLVDNIFQLKKRGWLRRQVFWISKQILQLVMEDAIDDILLTEIHWLRREETIVQGIRWVQDILWPGGKFFLRVQTPQLFIGGGVIDQKPLQSESGGSNEPKSQTGGYFEEQLEAARRASDVKKLLFDGAPAALVSLIGQKQYKRCASDIYYFSQSSVCVKQLAYAILELLLISVFPELRNVVMSVHENMHVHRPV >CAK8539527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:515107123:515110973:1 gene:gene-LATHSAT_LOCUS8612 transcript:rna-LATHSAT_LOCUS8612 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCHHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARAETDDFDDQRHSRVKIISLNKKKEMKLLKRKPNHDNVVSGGIASDYNHGFQKAGDEDMSNRSSSGSALSNSGSCAQFGGTDASDLTGPAQSVTWDATVPSKKRTCVGRTNHSSVEEFTKELCSILHEQQSYFSASSEDDLLYENEAPMVSVEIGHGSILIRHPSYVARDEESEASSLSFDNRQYPMSDRYSYSGAVPMHNGSSRTNFSSQGAEKVRNSVFQGMKQEQLKSDKSQLERAQILGNHDSPLSSIDLNDVVNYEEFLGILTNEEQQQLLKFLPVVDTAKLPDSLKIMFESSQFKENLTYFQQLLAEGVFDISLSGAKPEDCMTLIRYALSNLSKSKWAEHYHHIKRCKSRAEKSDTLGSAGIASTNVANMKRMRDSRNQNFPAVKTIMRSPKRMITKSGYEGKLKDSGSCYNPKSLFPLPPDASSNLLDSFNFAEDSSDQDLLLEVPSNNSFPQAELLHPNSSFVAQASTSSSSAYSHLVKH >CAK8570276.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:33555683:33556117:-1 gene:gene-LATHSAT_LOCUS23039 transcript:rna-LATHSAT_LOCUS23039 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFVAVVFLGLIYASLISMVSSQSIAPAPAPTSDGTSIDQAIAYVLMLLALVLTYIMH >CAK8563209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583122243:583123196:1 gene:gene-LATHSAT_LOCUS16643 transcript:rna-LATHSAT_LOCUS16643 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCKILRRSVYGFLQNYHYFTSLIAFLVFPFSASILLSQAFVPSPSSLLPQIYNRLRILFDAAGFPSSSLLFNILNLKVSQTITCSIFSLPFTLTFLLVAKALIIQALKHNHNKSASSPSLTSIVAVYKPIFLTYICNCFLILSANASSFCLMFLAFSFVETLGYSTPGFLLFMSATGAVLFSVILANALVICNMSLALSGMEGHGGYSAILKACILMKGKTSMALFLALPVNVALAAIEALFHFRVVREYNIVGEAKPFVVLEGIFIAYLYSIFIILDTTVSCLFYKRLKTESWIIQDEDNYGYIGDKNFEDLP >CAK8575310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:75367694:75369891:1 gene:gene-LATHSAT_LOCUS27583 transcript:rna-LATHSAT_LOCUS27583 gene_biotype:protein_coding transcript_biotype:protein_coding MENWNEVLLEAETTLVDGAVDYRGQAAVRSKSGYWRSAWFIIAVEVAERVSYYGMGNLISYLTGPLKQTTATAAKNVNVWSGTASLLPLLGAFVADSFLGRYRTIIIASLIYILGLVLLTLSAMLPSLTKSKCQVDTKFILCSQHSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEEHPKEHRARSSFFNWWYFTMIAGATVAITVLTYIQDNYSWVLGFGIPCVLMIIGLLVFLLGTMTYRFNIKDNDSSPFLRIGRVFVAAARNWRTTLSNKTIGDKYDGMLLRQSSEQFSFLNKALITPKGSKEGNTCSLVEVEEAKAILRLVPIWATSLVYGIIFAQLSTFFTKQGKSMERTIFPGFDIPPASLQSVKCIAIILFSPIYDRIFVPTARAITGEPSGITMLQRIGIGIFLSIFTMVTAVFVETKRLETAQEYGLVDDPNAIVPMSIWWLFPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSSFLISMIENLSSKNGDESWFCDNINKAHFDYFYWLLAGLSVVGFTLFLYFAKAYTYNKKGTITHA >CAK8574511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1210277:1211799:-1 gene:gene-LATHSAT_LOCUS26852 transcript:rna-LATHSAT_LOCUS26852 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAKTNVPESFLKKQKRAEEWALAKTQEFEAAKKKRAENRKLIYSRAKQYSQEYEEQEKELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKTRKILQLFRLRQVFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLDRQRTPLTDNSIIEQGLGKHGIICIEDLIHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >CAK8568645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626629175:626629540:-1 gene:gene-LATHSAT_LOCUS21585 transcript:rna-LATHSAT_LOCUS21585 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQTFTCLCVLALTIIVCSNTANRAKDLSKTCSQVVSKVIPCLYFATGKAPKPKKECCDAAKSIKDTNPECLCYIIQQTHKGSPESKSMGIQEDKLLQLPAVCDVKANISDFPSKFSFLF >CAK8541022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44687767:44690103:-1 gene:gene-LATHSAT_LOCUS9975 transcript:rna-LATHSAT_LOCUS9975 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIVANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRISDSSVQSDMKLWPFKVISGPGEKPMIGVNYKGEEKLFAAEEISSMVLIKMREVAEAYLGLTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAARGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDDKISSKLSAGDKKVIEDAIEGAIQWLDANQLAEADEFEDKMKELESVCNPLIAKMYQGGAGGEGPEIDEDGPLPTSASGGPGPKIEEVD >CAK8564114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652492802:652494416:-1 gene:gene-LATHSAT_LOCUS17450 transcript:rna-LATHSAT_LOCUS17450 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSQQSFFIPTPTLLNPKFRNSRKTVVVHCSCSQPQPDNQKQQQQSPTPLSNRKKNENKLGKLALIAVAAGVLTFGSVHDASAAKSGGRIGGQSFRSAAPRNSGPRINNNNSRTNIYVNPRVAPPLVGGYGYGGMPYYGGGYGWSPFSFFAPGPSVAVGIGGGFNSILLFMLLGAGAAVVRRFFGSRNVDDDDDEY >CAK8563436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603590041:603591622:-1 gene:gene-LATHSAT_LOCUS16848 transcript:rna-LATHSAT_LOCUS16848 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSERHQPIRGSIIQQIFRVVTEAHTSVTKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYLNADTLWDRLNDAVNTIIRRDETTETGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLGPRPQQVPSGSPKPVVGNPLNYGKVTTSALAQNPVADSNQHGNHNSKLSCSGNYPYSFPPGHHQPLTMEAKPNMGSVYPLYYGSEVREPQVRRTAGDNTSSDTIFVGRPVIAPVPESSGIGLLENSSYGGRFQHVANRLVQETALGTQESQGRECDLSLRLGQCLQTSSSGKSSSVFEIDGVGLGLSHDGNMYSQLSLQRNREVFLYPRGPGYGTIDSNSRGNVEGEDQNLEAMYRKRKAPLANNEEDGQFCRHLGVPSNRFTGRPGS >CAK8567535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:523051870:523053072:-1 gene:gene-LATHSAT_LOCUS20581 transcript:rna-LATHSAT_LOCUS20581 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKSLMHELLQGLELARKLRIYLNVSSSSSSSINETLEVLIHKIISTFEKALEIVNRKGQVNVAESSPQQQQQQQHPSASGALAMIRMLDSPPLSSSPRSEDSDRDLKDRDHNTPRKRNTLPIRWTKQIRVTPGIAVEGPLDDGYSWRKYGQKDILGATHPRGYYRCTHRNIQGCLATKQVQRSDEDPTIFEITYRGNHTCTIGSTSNVAVQIPTSNPNENQETNVNTNQQLMNLRTGLRVQTENLDFLDQPFAPLIHFSSNHVLESSFAENFNSPATSGISNFSMSSSPSVFPNMASEIIPSATSVANTPTTDLDFPFQQFQFDGENFTFDNSQFFP >CAK8562602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:514769287:514770153:1 gene:gene-LATHSAT_LOCUS16087 transcript:rna-LATHSAT_LOCUS16087 gene_biotype:protein_coding transcript_biotype:protein_coding MASCISNSLSFQGRKTGPNCLFGWNIGRKRVDDKPQIKYHDIDLTFSTSLVSKTFLKGKELKCCYRATIDGFSATNFHQCCDFKGPCVIIGYTNNSFKFGAFNPEGYRSTDDYYDTFDAFLFYWIENKNEPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGVGDLTQAKSRLGLSYAKREDGKESIFGDESRAIIQEVEVFCSPKIASLY >CAK8578953.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663567744:663570423:1 gene:gene-LATHSAT_LOCUS30931 transcript:rna-LATHSAT_LOCUS30931 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGTESSEEGAVHPEPPDPDVLEIDPTCRYIKYKEVIGKGAFKTVYRAFDEVNGIEVAWGQVQIDEVLQSPGDLDRLYSEVHLLKSLRHSNIVRFYNSWIDDKRKTVNMITELFTSGSLKQYRKKHKKVDLKAVKGWARQILMGLNYLHSHNPPIIHRDLKCDNIFINGHQGEVKIGDLGLATLLTQANAKSVIGTPEFIAPEMYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPVALSRVIDPEIKSFIEKCLVPASQRLSAKELLMDPFVQVNGSAKNISFPLPDIVLPKLGASENRGMMSEGPASTRIRAISMDLGDPNELPVITVLDNSTVDASSSPSVEIRRLKGGDTFFLKGDQNDENSVSLVLRIADQKGQARNIHFIFYLDSDTAVSVSKEMVEQLELADQNVKFIAELIDLLLMKLIPEWKPCVAIDHLASANSKWTNASQQSDTKLAKYKGISKHSTEDAGPLTSYGKSAAKENVDNMDICSEMSYASATSDINGKFSMVSFMSAESGFEGGSQSSFTSETGTSSDYKSKILDMGSNSMMSFSNYPLGVSSLSELDDELRVELEMIEQQYQEAIKDLSKRRNVAIEEIKRRMTEKMVS >CAK8540383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:556225137:556227321:-1 gene:gene-LATHSAT_LOCUS9389 transcript:rna-LATHSAT_LOCUS9389 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGWRKAFCTSIPRDREPKITTEKKQQHCDNSSTNPSSRIGSKFVFFSNPSTPKCQSQPSSTGSSTLRCRTSVATSCSVPNSPKLQCSTNPKTPKSFTLSNPSSPKSPSSFSFLKATLRLSKNRCGICMQSVKSGQGTAIYTAECSHTFHFPCISSHVTKQPLHVCPVCGTNWKELPVLAIHNEKKISEGNRDARNFKVYNDDEPLMSPTSLSRFNPIPESENETEDEDDEKIEFQGFNVNPASAVSSSPVIKRSLEVCLMPETAVVAANRNYETYAVVLKMRPNMMPVSYKAARRAPVDIVTVLDVGGAMSGEKLRLLKNAMRLVISSLSPTDRLSIVAFSGGSKRLLPLKRMTGGGQRSARRIVEALAAIDQTREGVPAKNDALKKAAKVLEDRREKNSVACIVVLSDIIDSRAFNASFQNSTLVSSTRLPNLDIPIHLVRFPKEGECTNALPDETLAKCVTGLLSVVAQDVRIQLKIVSRSRPVEIAAVYSLAGRPAPLGSGILKIGDLYAEEERELLLELKVPAVSAGSHHVLTVLSSYRDPLTREILNPVEHAMLIPRPHTVRSSCEKIERLRNLHVTVRAVAESSRLADHSDLSGAHHLLSSARALLLQSSMPVEEYSRWIEAEQADLQKRRPQSQNQTQRNTRAEEKLEPLTPTSAWRAAERLAKVAIMRKSMNRVSDLHGFENARF >CAK8573528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617983167:617995617:1 gene:gene-LATHSAT_LOCUS25965 transcript:rna-LATHSAT_LOCUS25965 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVAAVPFTYVVHVLGVVAAVLVLVWNFHFRGGLAWSSTNKALIFNLHPVLMLIGLIIFGGEAIISYKSLPLKKEVKKLIHLVLHAIALILGIIGICAAFKNHNESGVANLYSLHSWLGIGVISFYGIQWIFGFVVFFYPGGSSEIRRESVPWHVLFGLFVYILALATASLGFLEKLTFLEISGGVAKYGSEALLVNFTAIITILFGTFVVLSAISQAPPAADDYAPISVD >CAK8570401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43136167:43138808:-1 gene:gene-LATHSAT_LOCUS23150 transcript:rna-LATHSAT_LOCUS23150 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLSLCSNQEINNEASKDSGFTKVSAVKWPFFNKVSAHPYLMPFNGSEEDKAKMISSGFVEKSFKHDGQGGIHFSVNPYPVQHDVNRPHDVRMFSVSNQAISVPAGHPFLKNHFATVGQNLNGANVKQPLLAGSPFTAPHSVLPRVGAVAGLVEPCVKPSAPAPQLTMFYAGTVNVFKDITPEQVQAIMLLAGNGLSTASNMAQPEVQAPSSKLASDDDGVPMSPPVNIPPFSAISSPLSVSSHTGPQSGSGSVCSDEFLTAKTSRGPTPTTSASKVETPKVVNATAMFPSAIPQARKASLARFLEKRKERVMSTTPYNLNKKSEDAPSPNSMAANISTTTGTSAPLSKQG >CAK8530809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51592020:51592409:-1 gene:gene-LATHSAT_LOCUS649 transcript:rna-LATHSAT_LOCUS649 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGGSSSSHSVAFRVMRLCRSSFNVDPPLCIDPDDLFVGEDHFDVPSAPSAADLIAPDSNLSYRDRFLLHHFSDSMGLSGLLVLPQPFGAIYLGETF >CAK8531266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94393671:94394165:-1 gene:gene-LATHSAT_LOCUS1070 transcript:rna-LATHSAT_LOCUS1070 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDNESGGGQTGCREQDRFLPIANVSRIMKKALPSNAKISKEAKETLQECVSEFISFITSEASDKCQREKRKTINGEDLLWAMNTLGFEDYVEPLKIYLRKYREMEGEKSAMIGRGFQRDQGRLGQGVGGVYGYGFSSSMMMIEQNMYGAWSGSPSSGRSTR >CAK8544853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709314403:709314935:1 gene:gene-LATHSAT_LOCUS13500 transcript:rna-LATHSAT_LOCUS13500 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSSIKNRHTTLSKFHICCKSGKVVLPEMKTSPPLLHQLLYDRTSKHSKNFQVNIRTYNAMFSFTSPGTKFYTTYSKVVGPPTLRLHGQTCHRIRTMLPEIGEPPQYAQLYIFYTNNEVENRLYCFRDNKKLEKDIITSLK >CAK8544094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:662498740:662499126:1 gene:gene-LATHSAT_LOCUS12800 transcript:rna-LATHSAT_LOCUS12800 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKMVSLKKLIKKVKVVGRVDHDPAHKKLKEYEEKKKAKAKKKKSKKRGVFALYVGEERKRYVVPISYLRHPLFKMLLEKAYNEFGFQERNGLVVPCSVSAFHEIVNAIECKNCKFDMANIFDELI >CAK8541813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:406485577:406486173:-1 gene:gene-LATHSAT_LOCUS10707 transcript:rna-LATHSAT_LOCUS10707 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEREHQSWRIRVTAKAKHFNFRFRFKAATHSSTQTLSFFRFSILLNLPHFLFTISSRPKKQPRPCFITTKFNKFFHKFRPRIKPNIAAKKTNTSSNLSIPMQLQGTVRIGKEYCVRIAMMVASVVCFVASLLFKKFKMGKVMNFTAFFLVVTVAIVYYLFLYFYYNYCNNSYSYWKFMGRFLWNRMSNLKYMRG >CAK8574304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674487819:674488409:1 gene:gene-LATHSAT_LOCUS26660 transcript:rna-LATHSAT_LOCUS26660-3 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLKVSTKTLQWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPEYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYHIKTLNNIQDGSYTIDVVFMPVRNANYSIHRRILCHLRR >CAK8574303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674487322:674487738:1 gene:gene-LATHSAT_LOCUS26660 transcript:rna-LATHSAT_LOCUS26660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKRVIYIQASFNNTTVTVTDVGGRVISWSSTGSCGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIVRSGILLNVIRDVTPIPYNGCRAPKKRRV >CAK8574302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674487322:674488409:1 gene:gene-LATHSAT_LOCUS26660 transcript:rna-LATHSAT_LOCUS26660 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSRKTGRIGSRKHPRKIPKRVIYIQASFNNTTVTVTDVGGRVISWSSTGSCGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIVRSGILLNVIRDVTPIPYNGCRAPKKRRWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREASISFKGPEYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYHIKTLNNIQDGSYTIDVVFMPVRNANYSIHRRILCHLRR >CAK8566559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:435907513:435909933:1 gene:gene-LATHSAT_LOCUS19681 transcript:rna-LATHSAT_LOCUS19681 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDMEKRKEERSEQRNEEKWVYDGSFDYKGRVPLRASTGAWKASLIVIMVEISERMSHYGISMNLITYLTEVIHEDLKTAAKNVNNWYGVTTLMPLIGGFVADAYTGRFYMVQLSSLIYMMGLCLLTMSQYIPSLKLCNTEICHRPRKVHEVVFFLAIYAKSLGTGGFRPCLQSFGADQFDDGHLEEMKKKLSFFNWWNFGLCFAVLISSTVIVYVQDVVNWGVSSLILTSFMAIAVITFWVGKPFYRYRKTEGNPLLPILQVLVAAIRKRGLRCPSNPDLLYQVPISDQSQGRLLSHTRRFRSLDKAAIIEEENVEQEVNPWRLATLTRVEETKLVLNIVPIWITLLASGACGAQGTTFFVRQAAATDLNIGNGFKIPPASLNSVSAIGTLIGVPIYDKVFVPIMRKITGNERGISILCRINIGLILSAMIMVLSALVEVKRLRMIDHETSRTGETELNTMSVYWLIPQNLIAGVGDAFSMVGMQEYFYDEVPDSMRSLGLALYFSVFGIGSFLSTFLIIVVDYVTAKSGKSWIGKDINSSRLDKFYWLLAVISFLNVLVFLFIEKRYIYKKVQMKAMETNGYKSDDDDDSIMENTKV >CAK8565128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:43758833:43759030:-1 gene:gene-LATHSAT_LOCUS18365 transcript:rna-LATHSAT_LOCUS18365 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISTVNIFCFLFVFASIASAQDVSLASAPAPGPDAGAAGSVTSSVAMIGASIVLSILAIFKN >CAK8539131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:504344723:504346309:1 gene:gene-LATHSAT_LOCUS8256 transcript:rna-LATHSAT_LOCUS8256 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSAINNSKNLQMEGNVESNSRLSDLPDEILQKILSNLPTKEAVATSVLSKRMVDQWMNINKIDLNFDESAPEKRQRFIEFIDKLLVVLNISKLKIFSLSFEVGEEFPMVNKWLSVFANSMIEELNLELERVTKPLVLPNHFFTSNKLTKFQLSMRQVMNLPSTIHFENLVTLTLKHVIFPNSHSTSEFFSNLPSMKELSLIDCNWKKVESIFINCPLLQKLFVRDWKDDDNEEEEHDHDVVLQHRNYSYIKIAIMASKLVTFIYDGDLIHDYALFNTTSATDATIEAHEQHNKVLAADYYVYTLLKELFTVKKLSISNFALEALGQTSSFAANLSIFFRLLVLHVISSSPMDLSCQGLQALLRISPILEEIVFELGVMLDESGANAINLLPTCFLTHLKIIKIYNFCGNEEEINAIKYLLNTTLVLDLLYIQYNESHFDSPEGSEKLQRFFDQIDEFPKLSRDCVIEIE >CAK8576056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:394828195:394830771:-1 gene:gene-LATHSAT_LOCUS28273 transcript:rna-LATHSAT_LOCUS28273 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLTVCFTGNNGGRKHDISILITDPLDEGLGHSFCYVRPDPTRLSSSKVHSEETTTFRTISGASVSANTSTPLSTAFMDLYSYGCFDRAAAFESSTSFASLPLQPIPKNLVGTGSGPFSSNFGGGGFPSSGPLERGFMSGPIERGFMSGPIDRGLFSGPIEKENSDQFPRSFSHSGLGFSVRPRTRKEKWIRALQRAISKTLSRGQNSIVTPIKGVAVKEPPEWILAGEKHNENLTVSSLNLSSEGSLEDDDSMGSQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYTFVHKELKGLLWDDGVSSDNLNSKARDSIDDVVGKEDKVFDECSQCVDQEKEGSISKRKKRSKNSKNKYKDAAMKHEENHRRWKCEWDRERLELDRRLKEQLSGDDGDNNSVNHSDVLEALSRALRKTEESYLDVADKMVMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWIGKIRQDLERINEETMHDLESWEDADKSNAIPSLSAFQLTKDHSTNVEEEVIRIRKEHLDDPCAVVNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGNSPYITCLPYLKHHRLGQKDKFLILCSDGLYQYLSNEEAVAEVELFITLQPEGDPAQHLVEEVLFRAAKKAGLDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >CAK8532590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:310985893:310990235:1 gene:gene-LATHSAT_LOCUS2279 transcript:rna-LATHSAT_LOCUS2279 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKDRQIQEWQGYYINYKLMKKRVRQYAQQIQQGTLDRRHVLKDFSRMLDNQIETIVLFLLEQQGLLASRIAKLGEVHNDLQQEPEINKITQLREAYRAVGQDLLNLLYFVEINAIGLRKILKKFDKRLGYRFTDYYVKTRANHPYSQLQQVFKHVGIGAVVGALSRNLHELQDRQGSYLSIYDQPSLPLQDPVVDSINAAVDRLSNSTNFLIFLAQHALILQDDLPTPSEEHVDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSTSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIALFLGNVFYACAYDVHSIWILLIGRLFCGLGSARAVNRRYISDCVPLKIRMPASAGFVSASALGMACGPALAGILQTNFKIYNLTFNQNTLPGWVMAVAWLVYLVWLWISFKEPSHDVEENHTPPNQSNDVENGALEKGLKQPLLITSDDKVDEDIDQDYDDSEEAPEESRLPANSIGSAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVVTTYYFNWSTSTVAVFLACLGLTVLPVNLVVGNYISNMFEDRQILLTSEIMVFIGVLLSFHVIFPYSEPQYICSGLLVFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGFLGQSRLLNVTLLPSLFISVLSIIATCYTFNSLY >CAK8563175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:580342350:580342577:-1 gene:gene-LATHSAT_LOCUS16609 transcript:rna-LATHSAT_LOCUS16609 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVVVVKSNNSSSSRNVVRYGECQKNHAASSGGYAVDGCMEFMASSGDDALICAACGCHRNFHKRKLETAD >CAK8569273.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690133499:690137443:-1 gene:gene-LATHSAT_LOCUS22147 transcript:rna-LATHSAT_LOCUS22147 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFAKPCFEGFSGSDEKRERRSDFENSEDERRTRIGSLKKKAINASTKFKHSLRKKSGRRKSDGRVSSVSIEDIRDVEELQAVDGFRQSLIMDELLPDAFDDYHMMLRFLKARKFDIEKAKHMWADMLQWRKEFGADTIMQDFEFKELNEVVKYYPHGHHGVDREGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVQEFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARDLVMRLQKVDGDNYPETLCQMFIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGTCSCADEGGCLRSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSDGKVIAYAKPRYPMVKGSDTSTAESGSEAEDIASPKARKSYSHLRLTPVREEAKVVGKTSFANNLSGYDEYVPMVDKPVDAEWKRQVSLQRTHTLKGTRPLPALQNTPEGVTARIWVALTAFFLMVFALFRQFACRMTNKLPGISSNEDQRTSEPTRETRNMEVLSPSTQENTEEILLPSLLKRLGELEEKVDTLQSKPSEMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDRQEEAKLRKKKFCW >CAK8541429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:162401389:162401643:1 gene:gene-LATHSAT_LOCUS10349 transcript:rna-LATHSAT_LOCUS10349 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEHNVAKAEEFKVLANEAFKDRKFSHAIDLYTQAIELNSQSAVYYANRAFAHLRLEEYGSAILDATKAIEVDPKYSKGYYR >CAK8574932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16182783:16183376:-1 gene:gene-LATHSAT_LOCUS27230 transcript:rna-LATHSAT_LOCUS27230 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVFGKIGVETPKYEVIKTTQDYEVRKYAPSVAAEVTYDPSQFKGNKDGGFMILANYIGALGNPQNTKPEKIAMTAPVITKDSAEKIAMTAPVVTNERNKMVTMQFILPASYGKAEDAPKPIDERVVIREEGERKYGVVKFGGVASDEVVKEKVEKLKLSLEKDGFKVVGDFLLGRYNPPWTIPMFRTNEVMIPVE >CAK8540380.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:555684167:555688978:-1 gene:gene-LATHSAT_LOCUS9387 transcript:rna-LATHSAT_LOCUS9387 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTSMRGGYEGDEYEDYDDYEDEELEEGEGYEDEEGEEYVVEEPRKPTPEELEYLELRKKLKESIRKKMKKENSISLAESSGRRKQVHYDNYGSFFGPSQPVIAQRVIQESKSLLENRHLVPKPSNSHQINKSTNKGSNGVSKSSAHQQPHRVNEKLVKAEKLKVTRDYSFLLSDDADIPGPSKEPPSRNTSVRSSVGQAAQVPGRSNHSLSNGGKLARSTGDNRRPVAGASHLAPKPQSNYKLSSSSQASKASVDSRRQLGSNNGNGPGRPVGSKGMPSKMPVNTMGSKSVKPGMRNPVNGVQKQPSSKVLSSAPKHHSMDQRKDLREPHKHKILPRPPPRPPVASSRPQVSKPPLKQIPKRPGLHDQRPKSKLGKRRLDEPEDEADVSKMIRSMFNYNPSRFVDDDDVDDMEAGFDEIVKEEKRSSLIAKKEDEEQLRLIQEEEERARKRKMMLKKRRVGE >CAK8579369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:694387587:694388146:-1 gene:gene-LATHSAT_LOCUS31320 transcript:rna-LATHSAT_LOCUS31320 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAINELWKRFRSLDVVGKRALKSRVFELSFPTMTSLCPPPEKIKTKGGVKKKGKKPIGLYIDDVVNVVSDGNCGFRVISSLHRYGEDGWLMVLRDLGLEIIHNER >CAK8578469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:631047519:631048031:-1 gene:gene-LATHSAT_LOCUS30478 transcript:rna-LATHSAT_LOCUS30478 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQQRQYNIHKAFLFCNYILLSASSSCIFLTLSLNLFPSICGFFLILLHAFTIAGAVSASASSSLTTITRWYSAHMVVTVLTAIFQGSVSVLVFTRTEDFLAELKSYVREEDGSVILKLCGGLAGVIFLLEWVVLTLAFFLKCYSDEDGDGGTMRSGTVQSEEELKNWP >CAK8535821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883643186:883644108:1 gene:gene-LATHSAT_LOCUS5241 transcript:rna-LATHSAT_LOCUS5241 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIGITMKLQPGSKVALVGPSGGRKTTIPNLIEKFYDPTKRKILMNGVRLVEIPYRHLHKKYSESGAYTLQLFHRGKHAYGFDGKIDDADIENTAYIMDLIMKGSTILVISHRLSTIKTANNVTVVYDCQIVESGTHDELLDKNCVYIALSMRQLQTKPKTKI >CAK8536226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919264142:919267405:-1 gene:gene-LATHSAT_LOCUS5616 transcript:rna-LATHSAT_LOCUS5616 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPYYRLYKNYLQDPESAPEPPPPIEGAYICFGGSYTTSDVLPSLEEQGVRQLYSKGPNIDFKKELRSLNGELQLHILELADILIERPSQYARRVEEISTVFKNLHHLLNSLRPHQARATLIHILELQIERRKQAVEDIKRRREEARRLLNESLATLDGH >CAK8534309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:714627252:714628211:-1 gene:gene-LATHSAT_LOCUS3862 transcript:rna-LATHSAT_LOCUS3862 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHRRRHPNLRLPEISDHRPRFPVPLPPNIYKQSSSSASCDTFTPADFEKLTVLGHGNGGTVYKVRHKVTSTIYALKVNHNDSDPTTRRRTLTEINILRRATDCPNIVKFHGSFEKPTGDIAILMELMDSGSLETALKTNGTFSESKLATVARDVLNGLAYLHARNIAHRDIKPSNILVNNKNEVKIADFGVSKLMGRTLEACNSYVGTCAYMSPERFDPEVYGGNYNGFSADIWSLGLTLFELYVGHFPFLQSGQRPNWAMLMCAICFSDPPSLPDAASPEFRDFVECCLKKESRERWTAAQLLTHPFLCKEMDSC >CAK8566741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:457993202:457993816:1 gene:gene-LATHSAT_LOCUS19852 transcript:rna-LATHSAT_LOCUS19852 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLRTLQSISSAAPPPDSTASLESDFVVILAALLCALISVVGLTAIARCAWLRRGPVTGASPATAVANKGLKKKVLNSLPKFTYLDDTPVKWIVSSECAICLSEFTAGEEVKVLPQCGHGFHVACVDTWLGSHSSCPSCRAPFAVARCQKCGLYQPTPAGEAPGETEPKTTGDENAEAVVNVNCISEGADRHSHGVYNGFLP >CAK8563958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642169339:642169741:-1 gene:gene-LATHSAT_LOCUS17311 transcript:rna-LATHSAT_LOCUS17311 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANETVKHLAIAKFKDDISQERIDELIKRFANLVNLIPVIKSFHWGRDISVDNMQQGFTHIFESTFDSLEAVAEYVAHPAHVEFANLLLPCLDKTIIVDYKPTFVNL >CAK8564344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:669024675:669027452:1 gene:gene-LATHSAT_LOCUS17659 transcript:rna-LATHSAT_LOCUS17659 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFVVQNVHSLSLLSNSPLSLTPSLFHHQVRFSILPNRRPITRSFPNFNMALSAETLGFEQKRVVIPNKHGEKLAGILHDSGTKQIVILCHGFRSSKESNTIVNLAAALEKAGISSFRFDFSGNGESDGSFQYGYYWREADDLHAVTQHFHGLNRLVTAIVGHSKGAGVVLLYASKYNDVKTVVNISGRYDLKAGIEERLGKNYMERIKEDGFIDVKRPGSSDYRVTLESLLDRLNTNMHEACLQIDTECRVLTVHGSSDTVISVEDAFQFAQILPKHTLHIIEGADHSYTSHQTELASLVVNFIK >CAK8565864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:345337948:345338761:1 gene:gene-LATHSAT_LOCUS19043 transcript:rna-LATHSAT_LOCUS19043 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRPNRSDTHLSPEEEATIEAKTRHHFDEAAPKRHTKPQRSEYASQYVDKNLSDHSQPEMLQFQRLEKDPAEKKLIYNGKEVSEEFVETEYYKDLNSVDKHHHTTGKGFIQVEKSDTSFHIEPDNDSHECHQSSKGNPATNDWVPAPFTEEDSHSDKPNRSDN >CAK8540316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551744447:551746149:-1 gene:gene-LATHSAT_LOCUS9330 transcript:rna-LATHSAT_LOCUS9330 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSTTKDAQDLFHAIWSAYSATPTNLKIIDLYVAFAVFTALLQVVYMALVGTFPFNSFLSGVLSCVGTAVLAVSLRIQVNKENKEFKDLAPERAFADFVLCNLVLHLVIMNFLG >CAK8568306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592499409:592501621:1 gene:gene-LATHSAT_LOCUS21276 transcript:rna-LATHSAT_LOCUS21276-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHIAVIASTVGCVSTILLILICRRWCYIKNHRDSSSEQGNVTRMENSRTRIHHHMPSVHHQIDQVENGWSRFAFTSYKSYTPSPSKRSTLLGSRGAPVGDYKSSEAEISWEVSSESDEFMQKVRLNSGMKKLNQIIQNQNGYSNSVVNSVIRTGLPLPGPVLGNCVFPQESYFEITILYSSIGDEFESVRKSVEGDKAMLIAKGNSEALVHATSGNKNSKVSSVEEMKVDGRDSGGKKSESVMFSLGLTVGGPVVLKVPGSYAGSIGFNSNGSVYLDGMKLVFESEKAEWIGTDKVIGCGFDPMQKKVFFTLDSELMHVIHCQTEEFSTPLYPTLAANIDIMVLVNFGQNAFKYAPANAQRTPNPCLVSPLVNSPAATLGYDDSRELFSMGRIDSQWHNRTTNKGSHNNNNVNNNSTMDFDQESEADLFEIAIDGSGKSTNTTS >CAK8568307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:592499409:592501621:1 gene:gene-LATHSAT_LOCUS21276 transcript:rna-LATHSAT_LOCUS21276 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHIAVIASTVGCVSTILLILICRRWCYIKNHRDSSSEQGNVTRMENSRTRIHHHMPSVHHQIDQGNKNKSSYNNHSLFRSGKRTATSLFSWINNPSMAVDAVENGWSRFAFTSYKSYTPSPSKRSTLLGSRGAPVGDYKSSEAEISWEVSSESDEFMQKVRLNSGMKKLNQIIQNQNGYSNSVVNSVIRTGLPLPGPVLGNCVFPQESYFEITILYSSIGDEFESVRKSVEGDKAMLIAKGNSEALVHATSGNKNSKVSSVEEMKVDGRDSGGKKSESVMFSLGLTVGGPVVLKVPGSYAGSIGFNSNGSVYLDGMKLVFESEKAEWIGTDKVIGCGFDPMQKKVFFTLDSELMHVIHCQTEEFSTPLYPTLAANIDIMVLVNFGQNAFKYAPANAQRTPNPCLVSPLVNSPAATLGYDDSRELFSMGRIDSQWHNRTTNKGSHNNNNVNNNSTMDFDQESEADLFEIAIDGSGKSTNTTS >CAK8572658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553657170:553658525:1 gene:gene-LATHSAT_LOCUS25193 transcript:rna-LATHSAT_LOCUS25193-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNDDPRNGTEQNHRDGKKPKLTHPITEHEIRHEFFHHETAVARINNGSFGSCPRSVLAAQNSWQLRFLRQPDDFYSNTLRKGILDSRIIVKNLINADDVEDISLIDNATTAAAIVLQQIGHRFASGEFRKNDSVIMFHCAYRAVKKSIEAYVTPVGGSVIEVQLPFPVHSDQEIIAEFKKGLERSKINGGRVRLAIIDHITSIPSVVIPVQELIRVCREEEVDQIFVDGAHAIGSLCVDVKEIGADFYVSNLYKWFFSPPSVAFMYCNKKLKDVHHPVVAHEYGKGLPAESAWVGMRDYSPQLVVPSILEFVNRFEGGIEGIMRRNHDLVVKMGIMLKESWGTILGSPPEMCAAMIMVGLPSKLRVTSEDDALRLRFYLRVYHAIEVPVYYQALRNAERDPRDKDGFITGYVRISHQVYNTVEDYHRLKTAIVQILDDGKICSELPKE >CAK8572657.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:553657110:553658525:1 gene:gene-LATHSAT_LOCUS25193 transcript:rna-LATHSAT_LOCUS25193 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHSFPKPKTLNTQFQLPAMDNDNDDPRNGTEQNHRDGKKPKLTHPITEHEIRHEFFHHETAVARINNGSFGSCPRSVLAAQNSWQLRFLRQPDDFYSNTLRKGILDSRIIVKNLINADDVEDISLIDNATTAAAIVLQQIGHRFASGEFRKNDSVIMFHCAYRAVKKSIEAYVTPVGGSVIEVQLPFPVHSDQEIIAEFKKGLERSKINGGRVRLAIIDHITSIPSVVIPVQELIRVCREEEVDQIFVDGAHAIGSLCVDVKEIGADFYVSNLYKWFFSPPSVAFMYCNKKLKDVHHPVVAHEYGKGLPAESAWVGMRDYSPQLVVPSILEFVNRFEGGIEGIMRRNHDLVVKMGIMLKESWGTILGSPPEMCAAMIMVGLPSKLRVTSEDDALRLRFYLRVYHAIEVPVYYQALRNAERDPRDKDGFITGYVRISHQVYNTVEDYHRLKTAIVQILDDGKICSELPKE >CAK8532838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:529056974:529059343:-1 gene:gene-LATHSAT_LOCUS2502 transcript:rna-LATHSAT_LOCUS2502 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYMENDSIHNVKEVEGTDVNKAEGNTLGTSSSRLTKKRGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKTVSELSSFLGTLGRSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKAVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNRPQEVPEEDFRKLLEYWRDDKTLEVSHQNAENVAQLKWRHRMGNKGFAVIREKMRENNEDKEPPTQAEMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFENVFGKQKPGRLRCHGRTTTPTLLKRNEEIAKIKREHAAEIRQFNDKLQEMEEKHRQDKEETDRKIQVLLKTVLNQNTSELNIEALAALISTPATDANSVLRSSTSTHAPTNDQVMNDNINEDFEFEDEET >CAK8572873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569230718:569232046:-1 gene:gene-LATHSAT_LOCUS25385 transcript:rna-LATHSAT_LOCUS25385 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLQRKLRDHEAAMFQQGFLDDQFSQLQKLQDDSSPDFVYEVITLFFADSDKLLSNMSHALAQVPVNFKQIDAHAHQQKGSSASVGAARVTSVCATFRSFCEAQNLEGCRRCLQQLQHEYTQLKTKLHYLFMLQQEIKAFGRSIPTRE >CAK8543776.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639045219:639047492:-1 gene:gene-LATHSAT_LOCUS12513 transcript:rna-LATHSAT_LOCUS12513 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDSPMHAMSSLPPTPPKPKPVSHHDMLPFFNQSMELVPTPLKTRTHHSPTLGELLKRVEDAQNDNNNAQHDHVLDLSSPSSSTTLPPPFFLSFTNLTYSVKLNRKLTCFSFNQDSLPTDQEPETKPNGTKILLNNISGEARDGEIMAVLGASGSGKSTLIDALADRISKESLKGTITLNGDVLESSLQKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAASTVIGDEGHRGVSGGERRRVSIGTDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVMMSVHQPSYRILGLLDRLIFLSHGQTVYSGSPASLPNFFSEFGHPIPENENRTEFALDLIRELEETPGGTKGLVEFNKTWQLKNQPLAVNVVKSGTKLSLKDAISASISRGKLVSGTNGGNVNGNSTASVATFANPFWIEMAVIGKRSLTNSRRMPELFGIRLGAVLVTGGILATIFYHLDNSPKGVQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSIISLPALVFLSFTFAVTTFWSVGLAGGTSGFLFYFLTILASFWAGSSFVTFLSGVVSHVMLGFTVVVAIMAYFLLFSGFFISRDRIPPYWIWFHYLSLVKYPFEGVLQNEFDIKPPRCFVRGIQMFDNTPLGNVPGSLKVELLKSMSRTLGIDITSSTCVVTGEDVLKQQGITQLSKWNCLFITIAWGFFFRFLFYLALLLGSKNKRK >CAK8543777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:639045219:639047474:-1 gene:gene-LATHSAT_LOCUS12513 transcript:rna-LATHSAT_LOCUS12513-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMSSLPPTPPKPKPVSHHDMLPFFNQSMELVPTPLKTRTHHSPTLGELLKRVEDAQNDNNNAQHDHVLDLSSPSSSTTLPPPFFLSFTNLTYSVKLNRKLTCFSFNQDSLPTDQEPETKPNGTKILLNNISGEARDGEIMAVLGASGSGKSTLIDALADRISKESLKGTITLNGDVLESSLQKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAASTVIGDEGHRGVSGGERRRVSIGTDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVMMSVHQPSYRILGLLDRLIFLSHGQTVYSGSPASLPNFFSEFGHPIPENENRTEFALDLIRELEETPGGTKGLVEFNKTWQLKNQPLAVNVVKSGTKLSLKDAISASISRGKLVSGTNGGNVNGNSTASVATFANPFWIEMAVIGKRSLTNSRRMPELFGIRLGAVLVTGGILATIFYHLDNSPKGVQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSIISLPALVFLSFTFAVTTFWSVGLAGGTSGFLFYFLTILASFWAGSSFVTFLSGVVSHVMLGFTVVVAIMAYFLLFSGFFISRDRIPPYWIWFHYLSLVKYPFEGVLQNEFDIKPPRCFVRGIQMFDNTPLGNVPGSLKVELLKSMSRTLGIDITSSTCVVTGEDVLKQQGITQLSKWNCLFITIAWGFFFRFLFYLALLLGSKNKRK >CAK8543054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:572301940:572302297:-1 gene:gene-LATHSAT_LOCUS11841 transcript:rna-LATHSAT_LOCUS11841 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSASSSWSVKDNKAFERALAVYDKDTPDRWYNVAHAVGSKTPEEVKKHYERLVEDVKRIESGKVPFPNYKKNSVSQEEKRMRNLSLH >CAK8534316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:715742626:715742871:-1 gene:gene-LATHSAT_LOCUS3868 transcript:rna-LATHSAT_LOCUS3868 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAHLNAYDPLFSMALGYLISIHRTYCLRQCITSPLSDLTERLLLEERDPPATPQDILCELPPFDEVDIQALAHAVELTR >CAK8576599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496917676:496918173:-1 gene:gene-LATHSAT_LOCUS28774 transcript:rna-LATHSAT_LOCUS28774 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSSTTSSSMQFLILEQVQFLKVNDDSLLQWELVDVVDTEEEMVDDVDGESFISWAVSSPIGDSIEGINHRLLHLDDGFSEEQVRVNHDVVDDDDDDEDDDDDDDLDDELVPWDIGNKLGRQRMRKLGKRVSSKMNNSKRSPYLFVSPGCVRGKHGMGLKHCF >CAK8569638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7101606:7102270:-1 gene:gene-LATHSAT_LOCUS22466 transcript:rna-LATHSAT_LOCUS22466 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLSIYLVFALVVLPYYPILCQDNEWQSLIDQAHEYGVSQETIDGAQNYIGNSSMEEVTEEALRDGSLADWVLEATEDEDNESKPPPVDASTNLPSDVENSPNLAPNFPPNLAPNFSPNSAPSFSPNAGPELAPNNAPFSAPSPAPYAESPKA >CAK8539676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:521335239:521336192:-1 gene:gene-LATHSAT_LOCUS8754 transcript:rna-LATHSAT_LOCUS8754 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAITRKEMDRIKGPWSPEEDEALQKLVEKHGPRNWSIISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTAEEDDTIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSIMIDESPPLKRSVSAGAAIPVSTGIYKNPPSPGSPSGSDVSESSVPIVNSSHIYRPVPTRTVAALPLVETKTTSSSKSNDPPTSLSLSLPGIDSSSEDSNRVTEPVTTAPPPPPPPPPCAIPLVPIMNAPIPMAVPMQAQRGSGVPFNFSAELLTVMQEMIRTEVRSYMAGLEQQNGMCITDDGYRNASVKRMGISRIDS >CAK8532909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:548758204:548762046:1 gene:gene-LATHSAT_LOCUS2571 transcript:rna-LATHSAT_LOCUS2571 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQLLLTRTCCCSFPLQSSSSSILHFPTSLPFRFRRSQTNSSINSSSSPDATSPIPLTKVAATDLSLAVKKKAADVSPELKGSSIFLVGMKSSLKTNLGKQLADVLRYYYFDSDNLVEEAIGGASAAKSFKESDEAGFNDSETEVLKQLSSMGRLVVCAGNGAVQNKTNLALLRHGITLWIDFPLDIVARDVTENQSQFPSFEISTSGSYPEVMDELGAIYDEYKNGYAAADAIISLEKVASRLGYDNLDDITTEDMTLEVLRELEKLTRVKRMMEEAARPF >CAK8531620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131576122:131578912:1 gene:gene-LATHSAT_LOCUS1398 transcript:rna-LATHSAT_LOCUS1398 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVSLVLESSENGTDLSQDDIGTVEETPEETILSRQTSVNLVPFIGQRFVSQEAAYEFYCSFAKQFGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGFPQNKHSEDVGKVQRNRKSSRCGCQAYLRIVKRADFDVPEWRVTGFRNIHNHELLKSNEVRLLPSYCPISPDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDKDNDAIDLIAMCKRLKDENHNFKYDFKIDNNNRLEYIAWSYGSSIQSYEVFGDAVVFDTTYRVEAYDMLLGIWLGVDNNGMICCFSCALLRDENMPSFSWALKAFLGFMKGKAPQTILTDHNMWLKEAIAVEMPETKHAFSIWHILSKFSDWFSLLLGSQYDEWKAEFHRLYNLEMVEDFEEGWRQMVDNYGLHANKHIISLYSLRTFWALPFLRQYFFAGLTSTSQTESVNVFIQRFLSAQSQPERFLEQVADIVDFNDRAGAKQKMQRKTQKVCLKTGSPIESHAATILTPYALSKLQEELVSAPQYATLMVDEGSFQVRHHSQTDGGCKVLWLPCQEHISCSCHLFDFSGILCKHVLRVMSTNNCFHIPDQYLPLRWRNIGSSSLNHFQGASSTDQPQRIPFLESLLSNLLMESVETDERLDVACDQVSLVISRIKTLPRSSHGVNDITFSYASDSLILPEVEDTDEMINGFTIANPHDSMGLGKLKERRVRDGVDLTRKRRQFSAPLCVQYGHDGSDCSIMADDNISEDALGYM >CAK8568880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650272261:650272725:-1 gene:gene-LATHSAT_LOCUS21789 transcript:rna-LATHSAT_LOCUS21789 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRYYRSWMYDRTLPGRLGLTPKFEEGVKGFIAWAFAQECCRREGGVKCPCLKCECKPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAETTNTHTSNSRSHMEFDEQFNLIDEMVGNAFGVNVTYDEPQDFDGEELPNEEAQRFY >CAK8536853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28518611:28519225:1 gene:gene-LATHSAT_LOCUS6180 transcript:rna-LATHSAT_LOCUS6180 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTFNLVHVDRSSEPSHVQTFHSTAKWNAHFDAIKQTDKLMVIDFTAKWCGPCKLMNPTMKDFAAKYTDVEFIKLDVDELMGVSQKFQVYSLPTFLLVKKGNVIDKVVGVRKEELQRMIQKHIN >CAK8567447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:514342353:514347377:-1 gene:gene-LATHSAT_LOCUS20501 transcript:rna-LATHSAT_LOCUS20501 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPGPSTPIGGGPQSLSPSLMRTNSGMLGAQGAPMSLQASFPSLMSPRTQFGNMNILGNMSNMTSMLNQSFSNGVPNHGLTGQGSSQRGGIETGGEAGQLSSVGNGTSFTSSPSSYVQANMANAGSSGQVQGQQFSNPSSNQLLPDHQHSQHHDPQNFQHSQQAMQQFSSLNTQQQQHYQSMRGGIGGMGPVKLEPQVNNDQLGQQQLQSMRNLPPVKLEQQQIQTMRSLAPVKMEPQHCDQPSFLQQQQFLQMSRQSSQAAAAQINLLNHHRLLQFQQHQQQQLLKSMPQQRSQLPQQFQQQNMPVRSPVKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSSRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQISQIGAVAQKYQALTQNATPNISAPELQNNCNMFVASARQLAKALEVPLVNDLGYTKTYVRCLQISEVVNSLKDLINYSRETVTGPMESLAKFPRRTVNSCGVRNQAQEHEDQLKLQQQQQQMMAHNSNGDQNPVQAAGMQIASSNGMVSVNSSVNSASASTTTSTIVGLLHQNSVNSRQNTMNNASSPYGGCSVQIPSPGSSSTVPQAQPNSSPFQSPATPSSSNNPPQTPRSTLTPPNQMNTTNPTANIPLQQQHQYQSSLSGDADPSDAQSSVQKIIQEMMMSSQMNGTGGMAGSGSLGNDLKNVSNILPMSANTGLNNGNGTVNNNPGASSYGTMGFSQSTMPNGMRAAMVNNSIMNGRGGMSSIARDQAMNHQQDLSNQLLSGLGAANSFNNLQFD >CAK8532490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:270202688:270203344:1 gene:gene-LATHSAT_LOCUS2189 transcript:rna-LATHSAT_LOCUS2189 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLYSLTLSFLLFVFITNLSTAFSNDDNEEIHDITGAPVIAGKKYYISASIGGRATGGGLELGRTSLSKCDVTILQTNKTNVFGTSVEFTIIGNNSEKILTDTPLYIQVTKEPNCVATSDWILFYDYDINKRCVGIGGFRNYYAPVYYGSFKILKHGFGYKFGFCPLGSIAYSDIGSYQNSGEGGKRLYLLRGEVNDTFEFVIVPAFLESGIIKSVA >CAK8539734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523499153:523499812:1 gene:gene-LATHSAT_LOCUS8804 transcript:rna-LATHSAT_LOCUS8804 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTHAPSSPPPSFLFHRPPLFRQEFSSPLLKQKSWSPDLYRDEAWLRRKGNWKNRRSKSVTDEDVDELKACIELGFGFESSPEVETDRRLVDTLPALELYHAVNKSYNDSRNPKPVTGTVTTPSSSAASDRDGTPSPHGSPHNAIFGNDDDPQLVKTRLRQWAQVVACAVRQSSS >CAK8535966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894400678:894401391:1 gene:gene-LATHSAT_LOCUS5379 transcript:rna-LATHSAT_LOCUS5379 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQVSAFTQRKRQKVSEPVLTDEERLLFNLIHSRENIGIWTGDMKKETKLPTTVVNKSLKTLISKNMIKEVTTIQNKGRKHYMATEFMPSEEITGGHFYSDGKLDIDYINSLKDVCLKCIFMQKVSTCDGCLEWIKRSGVFNTEVTKKQMEEILQTLVLDDEIMQMISTGQGDFASIPVGKTCYISKSKGGVRGEKKTADLTSFPCFSCQRMSFCTPDGTISPATCVYYQKWLDF >CAK8566153.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:385717023:385718903:-1 gene:gene-LATHSAT_LOCUS19313 transcript:rna-LATHSAT_LOCUS19313 gene_biotype:protein_coding transcript_biotype:protein_coding MWARWRCSSGGFRSLSKRVSSIVNETHGYDEKLHPFGFGSHLLGLRSVVTVTCGGGWGRGKGVECLSVMMNQMKRGLSSSSSTVVGDGNGKEESISFSEAKKLMRLVNVESLKMKLGMEGKEVISYGELLQACESFGIARNHDEAATFAKVLDEAGVVLLFRDKVYLHPDKVVDLIRRAVPLALTSDDDPMRDELKKLQDKKIEIDMLAHKQVRRVLWSGLGFGVFTVGLFFRLTFWEFSWDVMEPIAFFATTTGLVTGYAYFLITSRDPTYQDLMKRLFLSRQRKLCKKHNFDAERFMELQCKCKTHIHSSTVLKNSTGFDVDLDDALHRD >CAK8562459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:496075710:496077464:-1 gene:gene-LATHSAT_LOCUS15954 transcript:rna-LATHSAT_LOCUS15954 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTILAIIFLITLKFLLITTKRFKNLPPGPLSIPIIGNIYQLKHPLHRTLHNLSLKYGQVFSLRFGSRLVVVVSSPSVVHECFTKNDTVLANRPPLLAGKHLAYNYTAVTVAPYGDHWRNVRRIISLEILSTHRLNSFLGIRKDEIKKLMRNLARDSGDSFAKVELKSKFSEMTFNMIMRMISGKRYYGEDCDVGDEEEAKRFREVITEFISVGGSSNPSEFVWIFRLLDFGGYEKRLKRISRRFDGFLQGLVDEHRRKKENGNTMIDHLLNLQESQPEYYTDQIIKGIVLVMILGGTETSATTLEWAMSALLNHPEVLKKAKDEIDTNIGQDRLVEESDISKLPYLQNIIHETFRLHPAFALLAPHFSSKDCIIGGYNVPKGTILLVNAWAIHRDSQLWCDPMQFKPERFEKEGEVDKLIPFGLGRRACPGENLGQRTVSLTLALCIQCFDWKRISVKEIDMTEGKGATTPKLVPLEAMCKARLNVIKKLK >CAK8532455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:266571625:266572393:-1 gene:gene-LATHSAT_LOCUS2159 transcript:rna-LATHSAT_LOCUS2159 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSAENAIKAYLSTLKMDQKAKEPSVAEFISALAAGNNAQLMVVACAAAADSTTLALIAAANQTNGNVVCVVPNHKDLIASKNLLGGAANPVEFMIGKEAQELEVLHKADFVVIDCNLANHEEIVKLLQIGLDSNKKKGVVVVGYNAFRCKGSWRSCGSKTQLLPIGEGLLVTRFGENTSINHKYGSRMRKSVRSRWIVKVDKCTGEEHVYRVRPSQRVI >CAK8541929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:435382923:435386051:-1 gene:gene-LATHSAT_LOCUS10817 transcript:rna-LATHSAT_LOCUS10817 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVPPTGKILSLDVNPNTTTLHTLKHQIENFHGIPISHQRLFLSQSLRLLGDNDSLFISNLGVGSYSTLTLHVPFYGGTQPPAVPKPPRFDFLNSKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAGAAPGVGRGRGKGGDDAAEEDEEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWEDIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLYTLSPDDWQSLEKFESGGYSSKNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASANGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGMTNVDPKGYLTDLNSMKITSDAEISDFKKARLLLKSVIQTNPKHPPGWIAAARLEELADKRQAARQLIQKGCEECPNNEDVWMEACRLANPDDAKAVIAQGVKSIPTSVKLWMQASKLENDDMNRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDNAKKVLNRARERLTKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGVVIDREAWMKEAEAAERAGSVATCQAIIHNTIGVGVEDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWVKAAQLERSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNLEEERKLLNEGLKQFPSFYKLWLMLGQLEERLADTAKQQDQPEKQHAHKMEAKKVYDSGLKSCSSSVPLWLSLANLEEEMSGLSKARAVLTMARKKNPQNPELWLAAVRAELKHGFKKEADILMAKALQECPNSGILWASSIEMAPRPQRKIKSTDALKKCDHDPHVIAAVGRLFWIDRKVDKARNWLNRAVTLAPDIGDFWALCYKFELQHGTEENQKDVLKRCVAAEPKHGEKWQAISKSVENSHQPTESILKKVVIALGKEEKAAEDSKH >CAK8564796.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9676154:9681487:-1 gene:gene-LATHSAT_LOCUS18061 transcript:rna-LATHSAT_LOCUS18061 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPSTVLWWDNWVQEALTTLDSLKVLRSLRPISLRSQKIRVDDDEDDAFEVFDEMQQWDRSSVEVEIGETTFRKWMHDTPSSGEEIVYGTVAGDDEPGVCQEKFKKLILFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTNYHRQLESSLADLKKKEDCLLCPTGFAANMALMTAIGSISSLLAGNSIPSDNEKIAIFSDALNHASIIDGIRLADRQKGVKVYIYRHCDMSHLNMLLSHCSMKRKIVVTDSLFSMDGDFAPMIELAKLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSKTWKLLIQSRGRSFIFSTSTPVPVAAAAHAAVRVAISEKWRRKAIWNRVKDFHLLTGIPVTSHIISLIVGSEDKALQASRHLLQAGFHVTAIRPPTVPPNSCRLRVALSAVHTREDLENLAAALSSCINFQDTRIYDCNGYARL >CAK8577417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562607064:562613765:1 gene:gene-LATHSAT_LOCUS29530 transcript:rna-LATHSAT_LOCUS29530 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRHVADPPNLKKYGVPLYSVAWVPRNTLKSIQNVTADDSSDADPKSPPEASPLDDENYLVLAGGGGESRSGIPNSVLLAHFDVASNSLSDQPVNKLGTNSECPYRMTPHPNGDGVICAMETPMICRWFDWDRNESAEVHKLGLKFSEKVLTQLDNVGLQLALAFSNDGTTLATGGEDGSLRVFKWPSMENILKESNAHSTVKDLHFSSDGKLIVSLGGGGPCKVWDISSEIVLATLTNENRENFSSCRFSQINDTTQVLYIAAKTDKGGSILTWNTQTWERISSKYISRDVISAFNVSADGKFLACGTSEGEIIIVNSTNMHIQTKIKEAHLGIITALAFSPDSRALASVSLDSSARVTIIEEKKNGGLNLWIAVFIILLAIATAYFLKVEGIEKLWLQDY >CAK8543199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:587279506:587280474:-1 gene:gene-LATHSAT_LOCUS11972 transcript:rna-LATHSAT_LOCUS11972 gene_biotype:protein_coding transcript_biotype:protein_coding MAESILIDMSNSLLGKVASYACQEAYLAYGVKDNLQRFKESLTIVRGYLVDAESKKDKSHALREWLKQIQNICFDADDIFDTFELQHKRKQIIKSSGSIRKKVGHFFSKYSPIIFLPGMGHQIKEIRERLDKKAAEGITYGLTSIPEPVMRERETTYPDVNVSSVIGRDDDKDKIIKLLMQPFPQGGNDGDKSMCVIPIVGMGGLGKTTLAKLVFNDDTVDQLFQLKMWVCVSLNFDIKQIIIEIIKAASTSDSKAASAPTTSLIPPENFNNLNIVQLTHLMKQKLSGQIFLLVLDDIWNEDREKWIQLEDLQKLAHQEAKL >CAK8567951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558820940:558823201:-1 gene:gene-LATHSAT_LOCUS20958 transcript:rna-LATHSAT_LOCUS20958 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILALKTQRRKLAQYQQKLDAVIEAEKQAARDLIREKKKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELASKQKSVFESLKAGNEAMKAIQSEINIEDVQKLMDDTAEAKAYQDEINAVLGEQLSAEDEEEILAEFENLETQLAVDDLPEVPTTVSEDINEKLDLPDVPTKAPVATEAKEPIKRKVMEEPLAA >CAK8570028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21609644:21616219:1 gene:gene-LATHSAT_LOCUS22818 transcript:rna-LATHSAT_LOCUS22818 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFPSEPQHNYEQHGHINENGYSQCSLTGIILPSLGATSVYDSNVNDLGHLIISPYNYRYQNWNTFLMIWVLYTAIVCPFEFGFLLTSKGPFAIADNVVNGFFLIDIVLTFFVAYVEKMNYLLVVDKKKIAYRYLRSWFFFDVMSTIPYEVFHRVLPPFLRTYGYFNILRLWRLRRAGALFQRLEKNRKYNYFFVRCLKLICVTLFSTHVAACAFYFLATRPNHTKVTWLSLVSNGSNLTMLDGYVTSMYWSLVTLSSVGYGDIHPVNSDEMCFCILFVIFNFGLGAYLIGNMTNLVVNWSEKTKTYRETVQAASHFARRNRLPERLQEQMYAHFHMKYKTNLEGLEQQEIIDSLPKAIQSSIAHYRFFELIKQVYLFSGVSRDLLYQLVTELKSEFFPPKEDVILQNETPTDFYIVVFGAVDLIVHEKSMDRTIHEAYTGDVFGEIGVLCYRPQIFTVRTKRFSQILRLSRSAFLNLVHNNVEDGAIMMNNFLNYVQKSKFGMLDGVMTEIETLLARGKMDLPISLIFAAHKGDDMMLHELLKKGSDPNEIDNKTGKTALHSAASKGSDHCVVLLLEFGADPNIKDFDGNIPLGEAILSNHESVTKLLVENGADISLTDVGRLACYAVEKKDIKMLKDIAQYGGDVKKSANGTTALHLAVCQGNVEMVKFLVEQGAYIDMQDSFGWTARAYADHQCHEEIQNIFKEIEKDDKVPHVISSMLNDNEGSRIEKCQSESYLPTIPQSGSLPPNQELTWLDNHHRRRVTPFRNSFFSVPSQEKIDSPTLENSRTTTTPTPSVTELPTRVMISCQGKSEYPKRLVFLPKSLQELLHIGAEKFNCSPTIILTEDGAEVEDICLIREGDHLTLA >CAK8574708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7123047:7124355:1 gene:gene-LATHSAT_LOCUS27025 transcript:rna-LATHSAT_LOCUS27025 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLYAIGYIINAGACTTLISALMGGILPQVSHLMKTLGTLSGYLFECNYMPLSDSEHDNCLMTPDSVLEPAGLNQTSSGSGGYGGMDCRTTLACTATTEIIRKKRSGCSAFRPPCRPACSSGTEKPLWKNNGRSPLGWLTFYNKTEILDRRWHILGLGHNSGVDRNEIEQAVVIHYDGIRKPWLDIAMGRYKSYWTKFLNFDHPFLQQCNLQA >CAK8572880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:569648669:569650597:1 gene:gene-LATHSAT_LOCUS25389 transcript:rna-LATHSAT_LOCUS25389 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFFFLFAALLTTFLHPSLSATATCPLNFTLLTILNGAASTPPSSFTLTPCQFVHQALRLVQSDYLRRTDFFLPPLNSSVPCWNSFQSYINQFAPSFNIRSSCGFQTDWISQGCVNVTTKQQFESIVPQSAILNMQSNCNQSIEDNSPCALCTTSLSGLPPLGQSIGNLSDCTGYPSIYAAAFSNQFGPSDPGTAKCLFALDFTSGGSSGNKKKVVVIVVVSVVSALVLLLIIIGVWVYWKFNDKAIGDDKDHGANIGEVGSVSGLETMNHSTTLIRFSVEDIKKATKNFHRDNIIGKGGYGNVYKGLLSDGSEVAFKRFKNCSAAGDSSFTHEVQVIASVRHVNLVALRGYCSATTRLEGYQRIIVCDLMKNGSLHDHLFGSTGVKLTWPARQKIALGTARGLAYLHYGAQPAIIHRDIKANNILLDDKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGRKALQTSDDGQPAALTDWAWSLVRTGKALDVIEDGMPEQGSNQVLEKYVLIAVLCSHPQLYARPTMDQVVKMMETDDELVPSIPGRPIPLVAARLDIERSISSSGGSGQLSSPTGYQSFTLEMGSERYSSSHLREERSSASRILSSDLDE >CAK8563205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582609377:582610621:1 gene:gene-LATHSAT_LOCUS16639 transcript:rna-LATHSAT_LOCUS16639 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHLTAVVAGKSEKVNLRKELHIHDDIAFSILSKLCLKSLKRFECVCKSWSLLFDNPNFMTMYRKVFLTKEDPYYDDASLLIYGKFTHSEVSYLDKPFELCSVSGDRFENRVKLRWPCTSNFNFYVRVNYDIIGSGSVNGILCLRRAFACYYFAKLFMVWNPSTDECKVIPLSFLDDISSVSYSGFGYDSRRDDYKLMCLREEFKQVQGTYSNKHYTWEIYCLRKKCWRELDLTLHHISKNCCEQLYVDGLSHWICESVTQNETYMLSFDWSNECFITTHIDDNFDFHLVPRHLVLLNGSIALILNLPKTTTFHILVLGELGVKESWTKMFTVDAIPFPVYPIGAYPIGAGRNGDMVFRKKDDGRLILFNLTTQTVEELDIKAKGLCKILIHRKNLISFKRKGIPYIFSASAW >CAK8566649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445267384:445268088:-1 gene:gene-LATHSAT_LOCUS19765 transcript:rna-LATHSAT_LOCUS19765-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDSAIEAQRHKELLAGNNSIHSTPKLIMDRDIERHARDVYTRENFYIFQNELWLACVDCGIENKKEQDGIEIFHIYDNGKVNSKLREVVYNLSDHNANCSCKMFQAEGIPCKHIFCVLKGKFLNEIPSKYIVNRWTKFTIRKLFLDIADNVLNKSSNSEKDNNLISDVWDHLLKCLEKAGQDKEKLFLVLNVAVNMEKQLDEFEESSNQTKIGDLQTFIGSNIPEEVKILPP >CAK8566648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:445267384:445268637:-1 gene:gene-LATHSAT_LOCUS19765 transcript:rna-LATHSAT_LOCUS19765 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTPFTGINHHRQSITLGAGFLATEKIDSFIWLFQKFLEAIGGKMPTLLITNQDPAMEVAIKHIFTTSTHRFCMWHIMKKVYEKIGGSMNANEEFNTSLKSCVWGSETPNEFEATWKSIMTKFELENNDWLSHMFDIRDMWIPAYFKDIFLAGILRTTSRLESENSFYGNFLNPNVSLVEFWMRFDSAIEAQRHKELLAGNNSIHSTPKLIMDRDIERHARDVYTRENFYIFQNELWLACVDCGIENKKEQDGIEIFHIYDNGKVNSKLREVVYNLSDHNANCSCKMFQAEGIPCKHIFCVLKGKFLNEIPSKYIVNRWTKFTIRKLFLDIADNVLNKSSNSEKDNNLISDVWDHLLKCLEKAGQDKEKLFLVLNVAVNMEKQLDEFEESSNQTKIGDLQTFIGSNIPEEVKILPP >CAK8564105.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652270507:652280295:-1 gene:gene-LATHSAT_LOCUS17444 transcript:rna-LATHSAT_LOCUS17444-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPTLVVRKPCFDLPTGCPQCLSAYIYLNFAKIPFQLNFHLNHPHSDKIPYFEIGDDYVLYDNENEGIIQCLRKNGGVVDLDSEVSSLPEWITIKAVITTWLHDALVYELWLGSKGSPAYSIYYSDLPWPIGKVLSSKKVRLVKLKHGITDDNAVAKEEEIYERANSAYDALSKLLGEKNYLFGNRPSSLDAIFLAHGLVALQALPESSTLRIKFLEHDNLVRYVQKCKTELIEAGPQIHTDASSSGFRIPSTQKGDKFKSAPRREKTDEEKRFKRKGRYFVAAQLVAVAVFLTLMISFDFAEVEVENVDDQGFQGE >CAK8564106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652270507:652280295:-1 gene:gene-LATHSAT_LOCUS17444 transcript:rna-LATHSAT_LOCUS17444 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPTLVVRKPCFDLPTGCPQCLSAYIYLNFAKIPFQLNFHLNHPHSDKIPYFEIGDDYVLYDNENEGIIQCLRKNGGVVDLDSEVSSLPEWITIKAVITTWLHDALVYELWLGSKGSPAYSIYYSDLPWPIGKVLSSKKVRLVKLKHGITDDNAVAKEEEIYERANSAYDALSKLLGEKNYLFGNRPSSLDAIFLAHGLVALQALPESSTLRIKFLEHDNLVRYVQKCKTELIEAGPQIHTDASSSGFRIPSTQKGDKFKSAPRREKTDEEKRFKRKGRYFVAAQLVAVAVFLTLMISFDFAEVEVENVDDQGFQGE >CAK8564107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:652270507:652274148:-1 gene:gene-LATHSAT_LOCUS17444 transcript:rna-LATHSAT_LOCUS17444-3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPTLVVRKPCFDLPTGCPQCLSAYIYLNFAKIPFQLNFHLNHPHSDKIPYFEIGDDYVLYDNENEGIIQCLRKNGGVVDLDSEVSSLPEWITIKAVITTWLHDALVYELWLGSKGSPAYSIYYSDLPWPIGKVLSSKKVRLVKLKHGITDDNAVAKEEEIYERANSAYDALSKLLGEKNYLFGNRPSSLDAIFLAHGLVALQALPESSTLRIKFLEHDNLVRYVQKCKTELIEAGPQIHTDASSSGFRIPSTQKGDKFKSAPRREKTDEEKRFKRKGRYFVAAQLVAVAVFLTLMISFDFAEVEVENVDDQGFQGE >CAK8578348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:622665951:622666352:1 gene:gene-LATHSAT_LOCUS30365 transcript:rna-LATHSAT_LOCUS30365 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEIEEVELTSSRGSLRIPRNSSLDIKNDNLHYTSLKDIMSDTPTRWSVYEGNAFDSNIAIRNELVKRAASVYLQSAALLATRNQNCIVAFWERVKGQASSWWRLFGENTFRACLSPVLQFLNHIRRFHSLP >CAK8577046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:533711417:533719117:-1 gene:gene-LATHSAT_LOCUS29188 transcript:rna-LATHSAT_LOCUS29188 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDANRAGTGASTSGTSKDEAAAKKKIESEDLSDEDLALKQQLELYVERVQDSEPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYETMAESELKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYAKRQSEEAPIDDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDMLIEHVDKTNFRRTCLYLTSSARYLPGPDDMLVLDIAYLIYLKFEEYPNALQIALFLDNLQYVRQVFTSCADVLRKKQFCYILARHGITFELDEEMVNDDEDREVLQDIINNSKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVASDSSSSGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQLDKYFHSNDNHVIAGALLGVGIVNCSIKNDCDPAMALLGDYIDKEDSSTRIGAIMGLGIAYAGSQNEQLRYKLAPILSDPKASLDVIAFTAIALGLIYVGSCNEEVAQAIIFTLMDRSESELGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLDKGEMHQGPAVLGIAMVAMAEELGVEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDTSLLFCVRIAQGLVHLGKGLLTLNPYHSDRALLSPTALAGLITMLHACLDMKAIVLGKYHYVLYFLVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKDNPDYREE >CAK8537957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:450171471:450175298:-1 gene:gene-LATHSAT_LOCUS7203 transcript:rna-LATHSAT_LOCUS7203 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVCMNRLCKDGSSGEWKKGWSLMSGGFAKLCNKCGLAYENSVFCDIFHRHEAGWRECTNCNKPIHCGCIVSRSLFECLDSGGIGCVSCIKFSHFCPDTEKSNRFTLSTKNNASDRYAERAGKGNLLQLCRIGDVGESSHWPHAQRDGMVSCNGQKSGEVKCRFNKEDRGYLNVMKHSNHLSRLTSSESNRPTWETKNNIDELLSLKISLFQQEQKSHPLLTKQTKNGVTMNLEANKGLISHERVGRPYADLKGKSQLLSRYWPRITNQELEKLSGELKSTIVPLFEKVLSPSDAGRIGRLVLPKACAEAFLPPISQSEGVPLQFQDLKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQSLQLNAGDTVTFSRIEPGEKFVLGFRRASNIDTQDASASAHSNGILTKDTNFSGATKILNSLGSFSDLFKKMKGNVEPNLNGHSEHLRLGNGTSDWLKTPHSKAVMNSDPLQQLVSVSEKKKTRNIGPKTKRLHIRSEDSIELRLTWEEAQDFLCPPPSVKPNLVTIEDQIFEEYDEPPVFGMRTITNTCQSSASEKLSPKEKENLKRTRKISKKRKIGKKSKSFEHDKLSSLEALASAAIFGDNHAELGESSSAGATTKHPRHRPGCSCIVCNQPPSGQAKHKPTCTCIPCETVKRRFNTYMMRRKKNQSESSEAAAFQNYQMNHRDEADTSGSASEHDTSYSSDEESLNEGQLEVIEPNAAGQLDLNCHPNHDDMEMDTYNKPDYD >CAK8543358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:602878130:602879470:1 gene:gene-LATHSAT_LOCUS12120 transcript:rna-LATHSAT_LOCUS12120 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKCILFGIPIPYRIYRVTAETFNNDPFARSMRLDRHYCGEFSMASVQSNKDMEDHSHVDVGLDALFVGIYDGFKGKTAANYIRSHIFQALLRRIMENNNNMTVDILREVIEEIESGFMEFARTSFEHQHQEQIGLVSSGCLICFIWRGTLYLANVGDSRAVLGSEKDIGPFKRLRVKQMVRDHNCDNLDVQKELRTLHPDDNLIYEFSEMYHPNADYHANKDPSWTIKGLIETSRCIGYAYMKKVPFTQRRSFQIPMRERVVSAFTRPVLRSEPEVYSRVLKDNDSFIIFGSSGFWKLISNELAARIVNTSPRENIAKRLAIIAIEKGADKKESKYSDIVELPKGNCASGNWDMVPDRSRPIYHDDITVVVVFFDKRPNGVRPEIKSYTCNDYADLPSEFIYFYNNMNV >CAK8568055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567130126:567130638:-1 gene:gene-LATHSAT_LOCUS21052 transcript:rna-LATHSAT_LOCUS21052 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVRPFYRHDYNFIVDETMDHNEGRPMGHYRVEFHKNWCDCGKFQTFRLPCSHVIVACSSARHDPFLQLSKVYKVMNLFGIYNNSFPVVASEEYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMERLCGICRLPGHTRNNCPNVGTSSR >CAK8541590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:279519513:279523371:1 gene:gene-LATHSAT_LOCUS10500 transcript:rna-LATHSAT_LOCUS10500 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSYFFNLLLFTLLLPLSHSSTNELTTILSWTQTSSKLPTLPFSNINPLDTNPCKWPFITCSLQNLVTEIIIQNVQLALPFPPNISSFSNLQKLVISGANLTGNIPAEIGYCLNLITIDLSSNSLVGNIPSTIRNLKNLQNLILNSNQLTGSIPIQIGDCVNLKTLDIFDNNLTGNLPHELGNLTNLEVIRAGGNKDIVGKIPEELGECKNLTVLGLADTRISGSIPSSLGKLTMLQTISIYSTLISGEIPREIGNCSELVNLFLYENGLSGEIPFEIGKLVKLEKILLWQNGFVGGIPEEIGNCSSLKIVDLSLNFLSGGIPKSLGKLSNLEELMLSNNNISGSIPGSILNLTNLIQLQIDTNEISGLVPVEIGKLTKLTVFFAWQNRLEGRIPSELGDCSSLEALDLSYNELGDSLPSNLFKLQNLTKLLLISNDISGSIPPEIGNCSSLIRLRLVDNRINGEIPREIGFLNNLSFLDLSENRLSGSVPLEIGKCKELQMLNLSNNSLSGDLHSLSSLTMLEVLDVSMNNFSGEIPMSIGQLTSLLRVILCKNSFSGSIPSSLGKCSNIQLLDLSSNFLSGSIPRELFQIEALDIALNLSHNALSGVIPVEISALDKLSVLDISHNNLEGDLMVFSSLENLVSLNISYNKFSGYLPDSKLFHQLAATDLDGNQGLCPNGHDSCFIGSSAMTKMLNGSNSKRSEMIKVAIGFLSSLAVAMAIFGLVTVFRARKMVRDDNDSEFGGGGGDSWPWHFTPFQKVNFRVDQILKCLVESNVIGKGCSGIVYRAEMENGDVIAVKRLWPTTTAATSTARYDHSQSDKLAVNGGIRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGGLLHERSGNCLEWHIRFKIILGAAQGLAYLHHDCAPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSSTLAGSYGYIAPEYGYMMKITEKSDVYSYGIVVLEVLTGKQPIDPTIPGGLHIVDWVRQKRGRVEVLDESLTTRPESEIEEMLQTLGVALLCVTSSPDDRPTMKDVVAMMKEIKQERDECVKVFDQHERNHYNEELVEGMKHSCPATSSSNMNMHLHYSPHISTTLD >CAK8577923.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597536481:597537077:1 gene:gene-LATHSAT_LOCUS29986 transcript:rna-LATHSAT_LOCUS29986 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIFCYARGTILLVLIVPLAQAHFVSFDYPKLLEGLGVGFVCSCMSLIAMLIILLWKKNKGKEEDLTSETTSDLDMDDEFQMSAGPKKMSYYELLNATNNFEETRKLGRGGFCGVYKGYFKDSNSVTAIKRISADSRQGIKQYSAKMKIISQLRHRNLVKLNGWCHKKNELILIYEYMPNGSLDFIFFEEEAFCRGS >CAK8573881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:643973191:643977630:1 gene:gene-LATHSAT_LOCUS26281 transcript:rna-LATHSAT_LOCUS26281 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVDENDLKAASAEIFVDGGRRGIRIHGWLIESRRNSILNSSNVQEWEKKLVTSHLPEMVFGENALILKHLNSGTKIHFNAFDALCGWKQEALPPVEVPAAAKWKFRSKPFEEIILDYDYTFTTPYCGSGVIEIDKDLNGGKISEETGDLRWEDCKEQIDLVALASKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLQFWLRVDGVLIRLRETRMHCVFGGSTNPVVLRECCWRESTFQALSAKGHPFDSAAYSDPNIISQKLPIVKRATQKLVFSS >CAK8575028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22625765:22626745:-1 gene:gene-LATHSAT_LOCUS27321 transcript:rna-LATHSAT_LOCUS27321 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIDELWKSFRSLDIVGKRALKSRVCELAYPTMTSLCPPPEKIKIKGGVKKKGKKPVGYDVYRDPSYHEYVDKASQSSQRQSQPSQASKKLKLSQSSQRQSQPSQKQSQSSKQFISQFPNHIRSYIEDVVNVVSYGNCGFRFIASLHGYSEDGWPIVHRDLGLEIIHKERSSLYDNLFGNRLTEVKEYLMIEAFDPQPLQKWLTLPDMSHLIANRYNVVLVCLGNSCMTFFPMTSSYSPNVSIYCIGFVNQNHWVQKNMKEGFPLPPITLDWNKFRSPTATSWMLGFAGCLQHW >CAK8543404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605807173:605807955:-1 gene:gene-LATHSAT_LOCUS12161 transcript:rna-LATHSAT_LOCUS12161 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPQSAPLQDRVAIVTGSSRGIGKDIALHLASLGAKLVINYTSNSGLADSVAAQINANQATSRAITVRADVSDPDGVKSLFDSAEKAFNSPVHILVNSAGIADGKLPSIANTTDESFDRVMNVNAKGAFLCAREAANRLKRGGGGRIVLLTTSLAAAFKPGYGAYTASKAAVEAMTKILAKELKGTGITANCVAPGPVATELFLEGKTEETVKMIAEGNPFGRIGETKDISPVVGFLATDSSEWINGQIIRVNGGFV >CAK8542002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:450262064:450263667:-1 gene:gene-LATHSAT_LOCUS10879 transcript:rna-LATHSAT_LOCUS10879 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKEEETCGSICSKTSVSMNEDGCEISDANEDDQESKQNNNGGISSSNSTIEENSEKKPSVRPYVRSKFPRLRWTPDLHFRFLHAVQRLGGQERATPKLVLQLMNIKGLSIAHVKSHLQMHRTKKVVDTNQERNVYNLTQIPMLQGYTPNQSSSYRCEYGDASLSMYENMVHMSSINESRADFYGKMIERTNNKTRSIFQVDSSDFREPLPTSKVHEPNENFLSFCGHESLREDLHAQPIVQDFMQNDILPANQVELKKLKRKASDLDLDLDLSLKIHSTNIIDDHDHQKQGSIENHHEVDSNLSLSLYTQSSYDDSKEQEKRVNIGLDLTI >CAK8575844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:335115941:335127076:1 gene:gene-LATHSAT_LOCUS28074 transcript:rna-LATHSAT_LOCUS28074 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQQIYWGPNCLILQKANLHGISSSNRCFQVGLSRPLLTNQKKQKKFKNEYNKIKAMAVCEEAKERKSVEVKATLTVKPAAGGILSKVGLQRALDKITDLMGNSILLELVSSELDPKTKLEKKRIKGYVRLTNRSTREVKYEAEFEVPGSFGEIGAILVENEHRKEIFMREIVLDGFLTGPVKFSCESWVHSKFDNPTKRVFFSNKSYLPSETPEGLKMLREGELISLRGNGQGERQRFDRVYDYDVYNDLGDPDSDTKHKRPVLGGSEHPYPRRCRTGRPRCNTDPLSEKRSSNVYVPRDECFSDVKQLVISESTLESVFKPLFSGLRTSVIDKNLGFPLFSSIDDLFNEGFSLPPQKEKGFLRTVLLRLVRLVNAATIIRFETPATMDKDRFSWFRDEEFGRQTIAGLNPCYIQLVAEWPLKSKLDPNVYGPAESAITTEIIEQQIGGFLTVEEAIKQKKLFILDYYDFFLPLVEEVRKLEGTTLYGSRTLFFLTQDGALRPLAIELARPPMNGKPQWRQVFTPSWHSTEVWLWRLAKTHVLAHDGGFHQLVSHWLRTHCCTEPYIIATNRQLSAMHPIYRLLLPHFRYTMEINALAREKLINVDGIIESSFTPKQLSLLVSSIAYDQYWQFDLQALPNDLIHRGIAVKDPNAPHGLKLAIEDYPYANDGLVLWDAIKSWVTDYVNHYYNTDSRTVESDKELQAWWEEIRTVGHGDKKDEPWWPNLKTNEDLIEILTTIVWITSGHHAAMNFGQYTYAGYFPNRPAIARINMPTEDPSDQELELFYDKPEVTLLKCLPSQIQALTVMTVLDILSTHSPDEEYLGQTIEPAWEEEPMVKAAFEKFKGKLMELEGIIDERNADRNLRNRNGAGILPYELLKPTSEPGVTGKGVPCSISI >CAK8542242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:492671118:492672619:1 gene:gene-LATHSAT_LOCUS11095 transcript:rna-LATHSAT_LOCUS11095 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFNASASASEPVGYPRGPYDTSLLVKYEQHVAYRIWFSEERGSKKELKVAGHWLKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWIVDYLGASQRIVQQQVRECRGSYYKLEWLYDRFVEHRAASRWDYTTRAYLLMLVGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWAAVALVTLYRYLEDASMFSCKQLGGYPTLLQCWIHEYFPTLGKRGENWIPANNVGLPRAMRWSYRQGASKVDDLRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWSDTIVPYMPDRCLRQFRYRQYVPHPPLDSRMAGDIDVDWISYHQSVQNVIRPTTPTTTPYETDDGYLEWYYCVSHMTSPASSCI >CAK8571761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:470031766:470032676:-1 gene:gene-LATHSAT_LOCUS24394 transcript:rna-LATHSAT_LOCUS24394 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQDFMDSCNSDNNCSLTNSTITTNNTTNNINNNNALICSSSPSGSTTTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPDTNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQQQHQQQQQHQQLQQLPMQLPLHLCHHQHQLPPPGATQ >CAK8564597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:1824039:1824848:-1 gene:gene-LATHSAT_LOCUS17887 transcript:rna-LATHSAT_LOCUS17887 gene_biotype:protein_coding transcript_biotype:protein_coding MASITCTTHQPIISNSISQITSKISVSSRFLGIRVKKHGWSSSSITSRIGPSNGSRYSCWFRFGKNGVDAEGAGIYGSQSREDFDRDDVEQYFNYMGMLAVEGTYDKMEALLGQNIHPVDILLLLASTEGDLPKIEELLKAGAKYDVKDADGRTALDRANAEVKDFILNFSVQRA >CAK8574032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654800791:654801694:1 gene:gene-LATHSAT_LOCUS26422 transcript:rna-LATHSAT_LOCUS26422 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLVYEFLFLLFSHLMLLGNGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLLIQNCDDQLKPKIIQFQDKGKLFEVGTVNPLEFRSGHATCTCVFRDRNLYQLMQNKSCQALRNTYIPPPTSHFVSLHLETHATLFMCNRSLHLNPPTYTHTYTNCSLYDFYYQPYNADNASQSAFTACTKVLLPAKDFADADDPFTFVTADIPTQVNITEECLNCHYNQRGRCQLDSNGRFYCAYAMVAKQKGLAWNVKLGIGNVPFPSLS >CAK8574033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654800794:654803019:1 gene:gene-LATHSAT_LOCUS26422 transcript:rna-LATHSAT_LOCUS26422-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYEFLFLLFSHLMLLGNGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLLIQNCDDQLKPKIIQFQDKGKLFEVGTVNPLEFRSGHATCTCVFRDRNLYQLMQNKSCQALRNTYIPPPTSHFVSLHLETHATLFMCNRSLHLNPPTYTHTYTNCSLYDFYYQPYNADNASQSAFTACTKVLLPAKDFADADDPFTFVTADIPTQVNITEECLNCHYNQRGRCQLDSNGRFYCAYAMVAKQKGLAWNVKLGIASVIIGVAVLTLLAYLIRTKNFTPAFLLFKKENSNHHIIEEYLKEHGPLPAAARYSYSDIKKITNSFKTKLGQGGYGSVYKGKLHDERPVAVKILSESKGDGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEYMSHGSLEKFIYEEKNPLQDDRQLDCKTLYHIAVGVARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKICPKKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSKLYFPHWIYKRLELNQDLGLRCIKNEIDEEMVRKMTVVSLSCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHLSSEIL >CAK8574034.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:654800794:654803019:1 gene:gene-LATHSAT_LOCUS26422 transcript:rna-LATHSAT_LOCUS26422-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYEFLFLLFSHLMLLGNGYQEDCPASFSCGYLGNISFPFTTTQRQDCGLLLIQNCDDQLKPKIIQFQDKGKLFEVGTVNPLEFRSGHATCTCVFRDRNLYQLMQNKSCQALRNTYIPPPTSHFVSLHLETHATLFMCNRSLHLNPPTYTHTYTNCSLYDFYYQPYNADNASQSAFTACTKVLLPAKDFADADDPFTFVTADIPTQVNITEECLNCHYNQRGRCQLDSNGRFYCAYAMVAKQKGLAWNVKLGIVLTLLAYLIRTKNFTPAFLLFKKENSNHHIIEEYLKEHGPLPAAARYSYSDIKKITNSFKTKLGQGGYGSVYKGKLHDERPVAVKILSESKGDGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEYMSHGSLEKFIYEEKNPLQDDRQLDCKTLYHIAVGVARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKICPKKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSKLYFPHWIYKRLELNQDLGLRCIKNEIDEEMVRKMTVVSLSCIQTNPSNRPSMHKVVEMLEGSLQVLEMPPKPFLSSPSTSPTHLSSEIL >CAK8573729.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:633282192:633283572:1 gene:gene-LATHSAT_LOCUS26137 transcript:rna-LATHSAT_LOCUS26137 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNKPSSPFDCIIFDLDDTLYPSTTGIGASVKKNIDLFLIEKCGFSPSKASTLRVELFKSHGSTLAGLRALGYDITAEEYHSFVHGRLPYELIKPDIQLRNLLRSIKQRKIIFTNSDRIHALKALDRLGIKDCFEQIICFETINPNLPNSTRPDEFPVVLKPSLDAFKIAIQTANVDPRRTLFLDDSVRNIAAGKEMGLHTVLVGEGVKSKVGDYVVECVNRVALAEVIPGIWGNRVEDGDRKISRTNSELDALLSAYTAVGA >CAK8574985.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:19863824:19865363:-1 gene:gene-LATHSAT_LOCUS27279 transcript:rna-LATHSAT_LOCUS27279 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRIFNHGTHDFISDLPDPILHVIISHLTIDEVLRTSILSKRWTHLWKNVSHFDFDCTRMVNLEKIDSSGKKRVIKIDVMIYDNMVNHILHQHQGNLISGCFKHFRHKNGFGDLKTSVEFLIERKKISSLSLECVSLNRRSIITKDCFKAKIFSNLSSLELTNYKLEDSVLSAFESCERLKILKLKNMFMVDTTINGILQNCYGLEKFSLVESKGFNSIKIENKSLKTLELFRLNVRNIHVRVEELQILVIDSIICPPKDLRIYSENIRTFCSAYNPFDQRTQLHYRQRNKILKTQDILENCSDLFKSRSINIFRKLLTLSIDLDLNNIREVLALSYVLMSCGLLQTLKITIPANEASASNITTNDDDCALPYVKSMFWETREMYYFDFFEKLKFVTLKGFTGKEQEVIFARLLIARAYMMEKMYVICDSTIVDEAKDLLSLPRSSSRLAIILE >CAK8530699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:41855296:41858910:1 gene:gene-LATHSAT_LOCUS544 transcript:rna-LATHSAT_LOCUS544 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPYNSNPFRFFIIFTACLCKYFAVQEKKPAHLNISSNFEIATNNYSPILDKLPNQDDVLKLFQQWKKEHGRVYNDLEEMSKKFATFVSNLKYIVETNAKRDSPNSAFLGLTDFADLSNKEYRETYMTLNTESMDFSNDDDVQDVTCSDPPSTLDWRSSGAVTPVKAQNGCGCCWAFASVAAIEGIVAIKTGNLISLSEQELLDCVPGGSCSGGRTTDAVNWVIGNKGIASRADYPYTASKGDCRASQIQNSPGSGIDSRQPVQRTDRALLCAVAKQPLIVVIYGDSPSFQSYKGGIFKGEDCPADPKNVTHGMVIVGYNSENGEDYWIVKNSRGTTWGIQGYMWIKRDYNKQYGVCGINGFASYPVKN >CAK8560637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:32823577:32824704:1 gene:gene-LATHSAT_LOCUS14297 transcript:rna-LATHSAT_LOCUS14297 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGPRVDGKAINGPSKVTNDVYMESLGVKPTEADKTEADKNRGSVRITWLENLYEILKYNYAPTQKDIILQAKVYILLVIATILFPDKSQNLLHSSWIPFIGDLEKCGTYSWGYACLAKLYREMCKATVKDVRSMSGCVLLLTSWAFTRIPLFAPVSTVQLSYPYSQRWAQRRMNHNANPRFHLQGYRNALGHMQEKDFIWSSYIQYPVSNLRDSQIWSTTTSLICFYIVEMHQTDRVKLQFGFEQQISSPLRCLREHHAMTMRKA >CAK8572199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519664851:519665588:-1 gene:gene-LATHSAT_LOCUS24790 transcript:rna-LATHSAT_LOCUS24790 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCDVPVIAGKFTWFRSDGSAMSRHDRFLLSDGLVQLWNVANQAIGNRDLSAHCPIWAWIELKILGSPSFILKEKLKCLKVRLKIWNKEVFGILDLDVDIAVKSLKKLDTVASLSGFCNSLSTAMEEAISLVWQTMNYRESILRQKSRHHWFREGDVNSRFFHKCMKQRFRHNCISVLHTATSWKKSAEEIKKEVLDHFQTVFSEPVPRRPFL >CAK8559928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1677647:1680521:-1 gene:gene-LATHSAT_LOCUS13660 transcript:rna-LATHSAT_LOCUS13660 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEILDNTILKKTALVFFCSFLFWKIVRYMCGLLKVDKEPVTILVTGQIGYALVPMIARGMMLGPNQPVILHMLETEPGFEALKGVKMELIDAAFPLLKGVVATTDVVEACKDVNIAVMVAGIPRKEGMERKDVMSKNVSIYKAQASALEEHAAADCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVHVSDVKNVIIWGNHSSTQYPDVNHATVAISNGQKTVKELVADDYWLNNEFITTVQQRGGAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQPGLIYSFPVTCDKGEWSIVQGLKIDELSREKLDKTAQELIEEKTLAYSCL >CAK8559927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1677647:1680521:-1 gene:gene-LATHSAT_LOCUS13660 transcript:rna-LATHSAT_LOCUS13660-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEILDNTILKKTALVFFCSFLFWKIVRYMCGLLKVDKEPVTILVTGAAGQIGYALVPMIARGMMLGPNQPVILHMLETEPGFEALKGVKMELIDAAFPLLKGVVATTDVVEACKDVNIAVMVAGIPRKEGMERKDVMSKNVSIYKAQASALEEHAAADCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVHVSDVKNVIIWGNHSSTQYPDVNHATVAISNGQKTVKELVADDYWLNNEFITTVQQRGGAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQPGLIYSFPVTCDKGEWSIVQGLKIDELSREKLDKTAQELIEEKTLAYSCL >CAK8543477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610398085:610398369:-1 gene:gene-LATHSAT_LOCUS12232 transcript:rna-LATHSAT_LOCUS12232 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLLCPLKKIWVRLHSTNKKRRGIYILYKDVKSCPCEDVQVLWSMLVESSKASPSLPSK >CAK8543900.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:648329531:648332488:-1 gene:gene-LATHSAT_LOCUS12623 transcript:rna-LATHSAT_LOCUS12623 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKGVKSFLDFAYTTGRPQGREISCPCAHCANCKWERRHVVRDHLIAVGFVKGYDVWVNHGEDIPSPMKIKEDTKEQENSLDDIGGLLYDTFRNVVEAEESSEAHNEDARKFYKLVNEAKQELYPGCESFSTLSFIIRLYLLKCLHGWSNASFTSFLELLKEAIPELNIPKSFNKTKAMISDLGLDYKKIHACPNDCMLYWKEHENDNSCNICKASRWKEFPQVESESSEHAKYDHKVPAKVLRHFPLIPRFQRLFMCSKTAKEMRWHEEERSKDGKLRHPADGQAWRDFDRLHHDFASEPRNIRLGLSSDGFNPLRTMSLSHSTWPVMMVVYNYPPWLSMKSEYTMLSLLIPGPQSPGNDIDVYLQPLIEELKELWELGVYTYDASKNQTFKIRAAVLWTISDYPGYAMLSGWSTKGKFACACCNHNTESSYLKNSHKMCYMGHRVFLPMSHAWRLNKRSFNGKKELRSAPSLLKGTDILETLKDFQNEFGKTKKKKKDGPWKKKSIFFELPYWAENTLRHNLDVMHIEKNIFDNIIGTLLDIPRKTKDHVNARYDLKYMGIRKKLHPKEIGGGRAEIAKSCFSMSAREKTIFCGVLKDAKLPDGTASNISKCVQVSNKKVFGYKSHDAHFMLHYLLQVAVRGTMPNAMAEPLIHLGSFFRSLCKKVIREQDLNFLEAEIADILCQLEMIFPPSFFDIMVHLPIHLVNEVRLGGPVQFRWMYPTERNLCKLKSYVRNRAHPEGSIAEAYLAEEALTFCSRYLHDNVDTRLNRKSRNYDNSDLCDVDLSDYFSCIGRSLCGKKNGKPFFLDSTTKSQAHRYLLFNCDEVNTFIREHDDIVNSQTKGRRWVKAKTQSHDFSEWFKTRALKDDVSIQLKYFSRGPCDTTKRFSGYLINGYRFHTMKRDARRKTQNSGVTLVSLTPSFASYKDENPRMKAVTY >CAK8542306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:500732081:500732569:1 gene:gene-LATHSAT_LOCUS11153 transcript:rna-LATHSAT_LOCUS11153 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFATLQTLNGSLLRSQFLGQDLHFPLIKTSKRNHRNLTCQPQTCAEFNILKMMGGRGLCNGELGVEKELKRKVDQEETPPPPPPPSSSSNEKVQETSEKFTVEVPEDGFEKEMMGLTGGFPGGEKGLIKFIEENPPRKISQTFSIEERNNQSVVKESKTS >CAK8535801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:882853500:882854159:-1 gene:gene-LATHSAT_LOCUS5224 transcript:rna-LATHSAT_LOCUS5224 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSSSSSLAQNKSSNEDKNEKKSSNIFPCKFCKRIFFTPQSLGGHQNAHKNERAFAKFQKEILKGIESRHYPIPYYTNYTIPFHRFRFGSYNRALGINMESMIHKPIPYYSWTPSRFKSCSSSSTWTPKQEVRDFLFPDGLKNEGLNLNNGNNVAPILRNMLNLENSVEKSSINIAKESSSTEKDSIIVGTSCDNHHTNVNEVSNSESPELDLSLKL >CAK8575730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:289632923:289633987:-1 gene:gene-LATHSAT_LOCUS27968 transcript:rna-LATHSAT_LOCUS27968 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMVGDGDEFYTISDEVCESFDAMGLQENLLRGIYAYGSEKPSAIQQRGIVPFCKGHDVIQPAQSGTGRTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNIEKEEWKLDTLCDLYETLAITQSVIFVDTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSDSSRVLITTDLLACDIDVQQVVLMENF >CAK8542687.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:537570698:537571021:1 gene:gene-LATHSAT_LOCUS11506 transcript:rna-LATHSAT_LOCUS11506 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGACFSPTVFSPLFRRNQPSQVLKLKSCSILSIAPARFAMSVNCVRAMEEKQRRSGWKEYLEQAKEMIEADGGPPRWFSPLECGSQLENSPLMLFLPGQSLFRY >CAK8535461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850171497:850173853:-1 gene:gene-LATHSAT_LOCUS4923 transcript:rna-LATHSAT_LOCUS4923 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNVDYTANFTHTLKTLVKKLWTKLVKVTNFMKEIGQDDPRRFIHSFKVGLALVLIYILHYFRPSFYGFGDNIIWAVLTVVIVLELSVGATLGKGFNRMLATGLGGALGVASNEITTLCGDKGKVVMTSIFVFVIAERVTFMRFSPKLKARYDYGMIIFILTFCLVSLSDVSGHELLEVAYERLLTIIIGSSIAITVCIFICPVWIGKDLHNKIAANIEKVADFLEGFGDEYFNNSENSEEDENDKEFLRRYKRVLSSKNSEETMAVLARWEPRHGRFSFRHPWKQYLKIGNLTRICAYKVEALSVNLQNSKTPYEFRSRIEESCTNISLESGKALKEASLMIKRMSKSSTPNFHVSNAKNAAESLKSVLRANPWEGADHLEIIPASTVASLLIDIVVCVEQICEAVEELASLANFVPIELLHRGTVQPVSDSDGSVHVVTVTE >CAK8536114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908603927:908606970:1 gene:gene-LATHSAT_LOCUS5513 transcript:rna-LATHSAT_LOCUS5513 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGDHQLTTKQPPQPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWIDHPRFELIRHDVTEQLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMTELAENVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVTKKN >CAK8569403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699118288:699118737:1 gene:gene-LATHSAT_LOCUS22259 transcript:rna-LATHSAT_LOCUS22259 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVDPYTTNPGPIDDSVLYDQDKHVSSAVWEGQQERGALRCHEHTSKLDQWTLTPKQIELVDKAGFGYLRSIPAISLDNPLISALVERWRRETNTFHFDCTQIMLAPSPQLPPFLSLTLSSNPFLDYCRYFVAKRSSCGGLIFEKP >CAK8568842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:645574577:645577855:-1 gene:gene-LATHSAT_LOCUS21758 transcript:rna-LATHSAT_LOCUS21758 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAPATPLRALHVLTLFNHTCLLRPLPATVLPPSPNSTLRMLHYKYQTRASQFNRTITARMESPNPETEAKMEETEAEMEENEAIKIESKFRSEFLQMLRKRRAPQVPLTVEIAKPATVFWYESIPGEEDTMRSCPKKDIENFKDLLKEENLHLNIEEGDQGKLPVLILSLKESDKQIKRPAVVILHGSNKCKEYMRPLLEPYASRGYVAISVDSRYHGERAKSANTYREALISAWKTGDTMPFIFDTVWDLIKLADYLTQREDIDPSRIGITGISLGGMHAWFAAAVDTRYAVVAPLIGVQGFRWAIDNDKWHGRVDSLKHVFEVARDDLGKSEIDKEVVEKVWDRIAPGLASQFDSPYSIPSIAPRPLLILNGAEDPRCPPAGLEALRLKVSEMYAKFQCSDNFKFIAEPEIRHQITKLHVKELSDWFDKFLKP >CAK8536167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913682325:913685339:1 gene:gene-LATHSAT_LOCUS5560 transcript:rna-LATHSAT_LOCUS5560 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDLNIPYPETSTKSEKSTVENNRTRLAVKAMELGYTGIAYNRTMKGVMSDKHRCSISPLSLTTLLNIVPFLSSSAKLHRDLLGVQSSTPFRQYTRLTVCVENPLQGNALNDGNPILKTYDLVAVKPLNQTAFDIACERMAVDIISIDFSAKLPFRLKQSMVKMATQRGVVFEVSYSGLITDVQLRRQLISGAKLLIDWTRGRDIIFSSAAPSVNELRGPCDVANLLLLFGLSKQEAKASISNNCRILLANALRRKRFHKEAIRVEVLSSDLASHSKESRHQELLKWDPLSSGEGDILLDDMENSRSISSKAPKTAKAIDFVSVLDSLPSQGYQVQDFIPADDSFSLFSTNKVNFASVAENVNRSTHRLDVCPNQDQSSSQNDITKHHIVRFGNIFEKNIHSGTTDAFHSEVIETETNGAKLELQNSVDSDVQMDDMEKSFITPCKASTVAKTTVDSYNNGNLFPVAENVDQSKPVPNNSTEQPDRLKVSPEQDGRSLFDTVKTHHNVGCDDVFEKNIHNKTIEVFNSKVEIDTQTNGTNHSIQKFIDSDVDCAPFEAKVRDSQSDLCISSNLLGTVKPHENEKLIISLYDPNNIDEKLEVFTPAIGINFPSPVHEKENENNTDVNLNAHFATVSENLPKEDFKAAKHAVLDSNTSTSDAVEVDEMMNMDVPFDSGRSRVKRRTHPLQRTPPLSFPLKRLLNAVPFKKRCKKHKRRTNPK >CAK8577460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:565721550:565722992:-1 gene:gene-LATHSAT_LOCUS29566 transcript:rna-LATHSAT_LOCUS29566 gene_biotype:protein_coding transcript_biotype:protein_coding MNHETAVKVYFFPFLGGGHQIPMIDTARVFAKHGVTSTILTTPSNAVQFQNSITRDQNSNLPITIYTLITPENTQLLEIDMSAGHMTDTSVLLEPVKQLLLQQRPDCIVVDMFHRWAADIIDELKVPMIVFNGNGCFPRCVSENMRKHVVFENLSSDSESFLVPGLPDRVEMTRSQVPPPRRNKSDQFSDRMMQIEEKSLGIVINSFYDLEPLYANYIRNELGKKTWLVGPVSLCNISVEDKKERGKQPTIDEQSCLNWLNSKKPNSVLYISFGSAARLPMKQLKEIAYGLEASDQPFIWVVGKIFNSSKSDDETCSENWVLDGFEKRMKEMDKGLIFRGWAPQLLILEHDAVGGFMTHCGWNSTLEGVCAGVPMITWPLSAEQFINEKLVTDVLRIGVQAGSREWGYWWEERKELVGREKVEFAVKKLMVENEEVEEMRRRVKSIAEKAKRAVEEGGSSYGDIDALIHELKILQIGKDV >CAK8544179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:670908593:670909150:-1 gene:gene-LATHSAT_LOCUS12880 transcript:rna-LATHSAT_LOCUS12880 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIVLRQAASSNRRQPLLQSQSSKTAMIGEVVGSTAAECLAVCCCCPCSLANIFLLAVYKLPAGLYRRLLRKRRRRRIMKAGMSQPKRLNCCCGCCDDVNGVPRIYPMCINDASDIKRLYDGSFEDNNDESAMALEKEMWERFYSAGFWRSSSRREMEAESRTEIVSGKFQIQLIELKQTLNE >CAK8577677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:583117109:583117585:-1 gene:gene-LATHSAT_LOCUS29762 transcript:rna-LATHSAT_LOCUS29762 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFSDGRRSNVYDPFSLDVWDPLKDFPFPSSAFSSSFPRENSAFVSTRVDWKETPEAHVFKADLPGMKKEEVKVEIEDDKVLQISGERNVEKEDKNDQWHRVERGSGKFMRRFRLPENAKMGEVKASMENGVLTVTVPKEQVKKPDVKSIEISS >CAK8541017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:44487441:44488334:1 gene:gene-LATHSAT_LOCUS9970 transcript:rna-LATHSAT_LOCUS9970 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIIFGCLLLALVAEVYYLLWWKKKIIHREIENDYGNGNPLKEIFYMFCWKRPSSSSSMRHTGFTPPELCSSMRTNDNFVHDPQVQTSKEFLFKPYGEDSVEAEYMMQHHLHDDGVLGHPRILFTIVEESKEDLESEDSKSRCENKSGKDSRSLGDLLDVETPYLTPISSPHFFTPTFTPNINISPYNQHGFNPLFESTTDAEFNRLKSSPPPKFKFLQEAEEKLRRKMQDNNNNNSNNKGFNGNEVDDSLVTIVVDKSYEREVNHNHHSYQQQYHSSTSQVLPLAS >CAK8532348.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:248870445:248873931:1 gene:gene-LATHSAT_LOCUS2065 transcript:rna-LATHSAT_LOCUS2065 gene_biotype:protein_coding transcript_biotype:protein_coding MVARFSPFSLKLSQKLHNHQSSCFSKQVAVSCRYGLKRFDLRRPQILSSAFGGSSDGLRVFVVSDLHTDYDENLKWVECLSRVNYKDDVLLVAGDVAETYSMFVVTMSLLRERFEHVFYVPGNHDLWCRREGQNYVDSVEKFNKLLDACKRIGVETNPMVVGALGIIPLFSWYHESFDKEEDITGYRIPSLEMACKDFYACNWPNGLSNGDTSLSLYFDALNDKQMESIKEIQKTCDHIITFSHFVPRQELCPEKRMLFYPKLPKLIGSDPLEDRIRSIHGAQGREDASSCHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGDNWLPFCLYADKKFSDKLNPCFWSDYYSANPRTPHNTKLAPWVARFYKLKKAIDV >CAK8579788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722623158:722623613:-1 gene:gene-LATHSAT_LOCUS31704 transcript:rna-LATHSAT_LOCUS31704 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAFYAQDQGTTFNLKYAWRLLKDEAKWGIIGESIGNSSKMTQTSASGASSEIPDTPSSYEFNSSSPMERLMGQKAAKRKGKAKEIPNATQDARNKRAETMERPSQSKEDEIELKVMQIMMKDTSTMKESQREIHEKYCTKMRKKYGL >CAK8537246.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:176592821:176593258:1 gene:gene-LATHSAT_LOCUS6549 transcript:rna-LATHSAT_LOCUS6549 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQHLRIGSVSPEQISAWAKKILPNGEIVREVTKPYTLHYKTNKPEKGGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEKRGVEFVDSRICRYQMGYIQLACPVTHVWYLKRLPSYIASLLDKPLKELENLVYRDV >CAK8540652.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15233746:15235824:-1 gene:gene-LATHSAT_LOCUS9638 transcript:rna-LATHSAT_LOCUS9638 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLLNFPSPTLFKKPFSIIKTKTIHFTKFTFSSSALALSNFSDTHFTKPSKISSFHPTTFHILQKLYLYQNNPSLALSYFTHLNYLGLSHNIQTYASFIRILCYWNCIRKLDSLFIDIIAHSKQDPSFQINDLFDSLFEGVDVNHDHYLLRAFDGFVKACVSVNMFDEVIVFLLQTRKNVVILPNILSFNFLVNRLVIHDRVDTALFLFDRFKSFGLIFNRYSYAIIIKARCKKGDLENAFGVFDEMKEVGVNPDSYCYVALIEGMCNNHRSDLGYEMLRQFRMTNVVVDVYVYTVIIRGFCNEMKLDLAESVFSEMEKEGLVPDVYIYSALIHGYCKSRNLDKALAIHDNMISKGIETNCVIITCILHCLDEMGEASEVVARFEKLKQSGVFLDGVAYNIVFDALCKLGKVDNAINMREDIIDLDIKHYTTLINGYCLQGKPVEALSLFREMEEKDLKTDVVTYNVLVSGLFKNGLACEAIDLLEYMDSKSVKPNSITHKAIIEGLCSVGKIEEAEAYFISLKDKCVEIYSAMVNGYCEGDLIEKSYERNKAVMLLDTMLKMNTKPSKVACSKIFTALCYTENMKCARSLFSLFVERGFTPDVVVYTIMIHSYCKMRCLQEAYELFQDMKIRGIKPDVIAYTVILDGLLNGGHAKIAIELYTEMCFNGMTPGATLKRCIQKAIKMQFHR >CAK8577145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540425115:540426206:-1 gene:gene-LATHSAT_LOCUS29276 transcript:rna-LATHSAT_LOCUS29276 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNHSIALLVLSLGLLALEVSCRSLGDKPIYERHQEWMSQYGKVYKDTQEMEKRLKIFTENVNYIEASNNAKSYKLGINEFADLTNEEFTISRNKFKGHMCSSITRTASFKYENVSVISSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLTTEAQYPYQGVDGTCSANQASTQAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYDVSSDGTKYWLVKNSWGADWGEQGYIRMQRGIDAVEGLCGIAMQASYPTV >CAK8567415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:513103102:513103838:1 gene:gene-LATHSAT_LOCUS20471 transcript:rna-LATHSAT_LOCUS20471 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCSSMVSTKLSILGWTGGGRRREPKSRTPFFISCQQQSDVKDSEGVKVIEEKEIPQNQRINPKGTEPRPVEPQVNVKNKGMSREYGGQWLSCATRHVRIYAAYIDPETSDFDQSQMDKLSLILDPTDEFVWKAESCNLVYSYFQELVDHYEGAPLNEYTLRLIGSDIEHYIRKLLYDGVIKYNMNARVLNFSMGKPRIMFNNNDIQPEDST >CAK8537962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:451209371:451210465:1 gene:gene-LATHSAT_LOCUS7208 transcript:rna-LATHSAT_LOCUS7208 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGQWLWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSVAKLYNLKKFNVSTNFLVGPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRVSLVVDVGPGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVEEKERITTHANFLPQQISQTCYTQQPAKQSSQPNNYPAEPHPQQDKTC >CAK8532505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:272453284:272459219:1 gene:gene-LATHSAT_LOCUS2203 transcript:rna-LATHSAT_LOCUS2203 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNKAFEKVKMMVGMEVEDEEQQAAALDNESSFAFMDDFNRNCTLTTKQRLYGFAICFATGVTCTLLSMLVFLKPIKFGITFTLGNLLSLGSTAFLIGPKRQVSMMLDPVRIYATAIYIASMIIALFCALYIHNKLLTLLAIILEFGALVWYSLSYIPFARSMVSKIMVSCFDTEF >CAK8565347.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:144242898:144247149:-1 gene:gene-LATHSAT_LOCUS18568 transcript:rna-LATHSAT_LOCUS18568 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYTELSADLSRQRAAASGLDGEQKKQKLSEIKAVLEDADTLIRKMDLEARSLQPSMKATLLAKLREYKTDLNNLKNEVKRITSANVSTTSRDALLELGRVDSLAVSNDEKGRLLMTTERLNQSTDRITNSRKTLLETEELGVSILQDLHQQRQSLLHAHSSLHGVDDNISKSKKILAAMSKRMSRNKWIVGSLMAALVLAIILIIYFKLTH >CAK8531927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177163012:177164930:1 gene:gene-LATHSAT_LOCUS1682 transcript:rna-LATHSAT_LOCUS1682 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARINVTMMTVMIALVISLYWGSASASSVGEFVDKTINSHKIVIFSKTYCPYCKKAKAVFKELNQVPYVVELDERDDGSKIQDVLTNIVGKRTVPQVFINGKHLGGSDDTVEAYESGLLAKLLGIETDDHDDL >CAK8562942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:559241407:559243668:1 gene:gene-LATHSAT_LOCUS16402 transcript:rna-LATHSAT_LOCUS16402 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYWSGSAINKNKGMVENLQRCGIIKSRKVVEVMENIDRGLFVPNGVQPYIDSPFPIGYNATISAPHMHAACLQLLENHLQPGMHALDVGSGTGYLTACFALMVGPNGRAVGVEHIPELISFSIKNIEKSAAAPLLKDGSLSVHDGDGRQGWPEFAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNTFQDLKVVDKNSDGSISIRTETSVRYVPLTSKEAQLKG >CAK8531064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:77229542:77231120:1 gene:gene-LATHSAT_LOCUS880 transcript:rna-LATHSAT_LOCUS880 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVINRLRSSSATKSLSSLLDGIRSYAKVATGTDILSAASNVSLQKARTWDEGVESKFSTTPVKDIFKDKKVVIFGLPGAYTGVCSSKHVPPYKDNIEKFKAKGIDSVICVAINDPYTVNAWAEKIQAKDAIEFYGDFDGSFHKSLELTTDLSGGLLGIRSERWSAYVVDGKVKALNVEESPSDVKVSGAETILGQI >CAK8561260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:130442881:130443090:-1 gene:gene-LATHSAT_LOCUS14869 transcript:rna-LATHSAT_LOCUS14869 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKRFLEESCKPKCVRPLLEYQACIKRINGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTQLK >CAK8576928.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:525615878:525636065:1 gene:gene-LATHSAT_LOCUS29084 transcript:rna-LATHSAT_LOCUS29084 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKDFAFPKQEETILDFWSRIDAFHTQLSLTKDKPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVENEIDKKLGIKKREDVLKLGIGVYNEECRSIVTRYVSEWENVITRTGRWIDFKNDYKTMDLKFMESVWWVFSQLYDKNLVYKGFKVMPYSTGCKTPLSNFEAGQNYKDVSDPEVFMTFPVLDDPHGASFVAWTTTPWTLPSNLALCINANFTYLKVRNKYTGKVYIVAESRLSVLHNPKEKPKEAVANSSVSVPKNAKNKGASSGKADNVLDSFDVLEKFTGASLVGKKYEPLFDYFNELSETAFRVVADNYVTDDSGTGVVHCAAAFGEDDFRVCIENQIISKDKLIVAVDDDGCFTEKITDFSGCYIKQADKDIIEAVKAKGRLFKSGAFTHSYPFCWRSDTPLIYRAVPSWFVRVELLKEKLLENNKQTYWVPDFVKDKRFHNWLENARDWAISRSRFWGTPLPIWISEDEKEIVVIDSVAKLEKLSGVKVSDLHRHNIDHITIQSESGRVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLATALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMDVINDYGADALRLYLINSPVVRAEPLRFKKEGVYGVVRDVFLPWYNAYRFLVQNTKRLEVEGLAPFVLLDQATLQKSSNVLDQWINSATQSLVHFVRQEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYNVLLLSCKVMAPFTPFFTEVLYQNMRKASNGSEESIHYCSFPEEEGKGGERIEQSVSRMMTIIDLARNIRERHNKPLKTPLREMVIVHPDADFLEDINGKLKEYVLEELNIRSLVPCNDTLKYASLRAEPDFSILGKRLGKSMGIVAKEVKAMSQEKILAFEKAGEVIIASHCLKLSDIKVLRDFKRPDGMADNEIDAAGDGDVLVILDLRPDESLFEAGAAREIVNRIQKLRKKIALEPTDTVEVYFQSLDDDTSISQRVLHSQESYIREAIGSPLLQFSLMPAHAVIIGEESFHGISSMSFAITLARPSLMFNEKAILSLFSGDSKFALNLQTYLLSRDHSNLKSEFQDRHGKKIVDSIEQQPAVEVVLGEHVFLTVGDYHAAAKSV >CAK8543401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:605722212:605727811:-1 gene:gene-LATHSAT_LOCUS12158 transcript:rna-LATHSAT_LOCUS12158 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLSLMASHIYPSPLAFPTSHIKDCQTFAPSSFAKPDMLNYQYPFLKASPFVEPMKTQNKWFDDNQFVNVDSSAQWSPVSIDAQESCSNAVLLGFGIVEQCTKQDTVSNLLKSGTAESRTDGANISLLLDLMKLQLSAINEPQQPFSSDSSSLLYLNDKFNIKKPLLYFLQDSALTSKVTVHLDGQITFMGAEIQMKDLLSVVAESYLSKSLHKGEKHSMLVPHFSRVNINEVEVQSHSSAMKMQSTLIAPLRSPEKVKLKPSKKKNKKINRERDIYKNYSHACESLLSLMVNKKQHRKTIIPSLKKSGPELPELLMQISAGIAGTGLAVLLSVMCKLACGRVLVSASSFFNTGLGFGLVWLSWAVSKLRATIYSISKNAGKSGLKEEVMIQKLDKRIRDIYFGSAALLVVAVLSLA >CAK8544409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:682810850:682813373:1 gene:gene-LATHSAT_LOCUS13093 transcript:rna-LATHSAT_LOCUS13093 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSFSLKPTRLLLFTFTLFSSIFFLSFFTLSLTKSTTTTTPSPITLVPNLQPLTLRSFTQNNFTRANISTLIDNRLSRSFNRSKSEAVEVEDGSVAKSVGFGEMRGNGTMVEVVGLVGRSEVSVFEKVEVRKMVEGCDLSKGYWVFDERYPLYGRDSCPFVDEGFDCEGNGRLDRNFTKWRWQPQDCDLPRFNATKMLELIRGKRLVFVGDSINRNQWESMLCMLLSAVKDPKRVFETRGRKITKEKGNYSFRFLDYQCTVEYYVSHFLVHESKARVGQKRRPTLRIDAIDHGSSRWRGADVLVFNTAHWWSHYKTKAGIYYYQEGTMVHPRLNVSTAFGKALTTWASWVDKHINSKKTQVFFRTSAPSHFREGNWNSGGHCREATHPLTETLNTSYPEKNIIVEEIIKRMKTPVKLLNITSLSEFRIDGHPSIYGRKTQSSRIQDCSHWCLPGVPDTWNEMLFFHLQSRLE >CAK8541375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:141906030:141907972:1 gene:gene-LATHSAT_LOCUS10300 transcript:rna-LATHSAT_LOCUS10300 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTLLDKPRKSLPKSFWLILSLAAIISSSALIASHLNKPISFFHLSSAPNLCEHAVDTESCLTHVSEVVQGQTLANTKDQIFSTLLSLLTKSTTNIQKAIDMVDAIKRRINGPREETALKDCEQLMELSIDRVWDSMLTLTKNNIDSQQDAHTWLSSVLTNHATCLDGLEGTSRAVMESDLQNLISRARSSLAVFLAVFPQKGHDQFVDETLNGEFPSWITSKDRRLLESSVGDIKANVVVAADGSGKFKTVAEAVASAPDNGKTTYVIYVKKGTYKENIEIGAKKTNVMLVGDGMDATIITGNLNFIDGTTTFKSATVAAVGDSFIAQDIWFQNSAGAAKHQAVALRVGSDKSVINRCRIDAFQDTLYAHSNRQFYRDSVITGTIDFIFGNAAVVFQKCKLVARKPMSNQNNMFTAQGREDPGQNTGTSIQQCDLTPSADLKPVVGSIKTFLGRPWKKFSRTVVLQSFLDSHIDPTGWAEWDAASKDFLQTLYYGEYMNNGPGAGTSKRVNWPGYHVITSAAEASKFTVAQLIQGNVWLKNTGVAFTEGL >CAK8570229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:30384532:30385247:1 gene:gene-LATHSAT_LOCUS22999 transcript:rna-LATHSAT_LOCUS22999 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMMTTLPQFTGLRPQLKPSPVQGLVASQSMTKRRGKGALGVRCDYIGSSTNVIMVASTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGSVGHIIGVGVVLGLKNIGAL >CAK8536855.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:28583493:28584069:-1 gene:gene-LATHSAT_LOCUS6182 transcript:rna-LATHSAT_LOCUS6182 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLFNVVYVDTSSEPSHVQTFHSTAIWNDHFDAVKETDKLMVIDFTAKWCGPCKLMNPTMKDFAAKYSDVEFIKLDVDELMGVSQTFQVYSLPTFLLVKKGNVIDKVVGVRKEELHRMIEKHIT >CAK8538955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500284244:500285257:-1 gene:gene-LATHSAT_LOCUS8100 transcript:rna-LATHSAT_LOCUS8100 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLRFLLHTRVLRIQIGSRLLQGYVFYRVRVRRGGRKRPVLKCIIYGKPTTQGVTQLKFQRSKNSLAEERAGHKLGGLRVLNSYWVNEMLPSK >CAK8539738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:523625846:523626415:1 gene:gene-LATHSAT_LOCUS8808 transcript:rna-LATHSAT_LOCUS8808 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSFQKFYGSFSKKPTSPPKNLAQKNKSFKPRQPAHAETGNEPKKGEMKYVFEKFDKNKDGKISLEEYKAAAKSLDKGVSDSEAVKAFRAMDSDKDGFIDFKEFMEMFNGEGSNTKEDEIKNAFQVFDINGDGKISAEELSQIFMRLGESCSLGACKKMVKGVDGDGDGFINLNEFTRMMMNGKKLA >CAK8541857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:418212488:418213003:1 gene:gene-LATHSAT_LOCUS10748 transcript:rna-LATHSAT_LOCUS10748 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFRVDLRNRLCDCGKFQTFHLPCSHVIAACSSIRQDYTIHIPEVFTVLNVFKVYKESFLGLPHEENWQKYEGFTLCHDDSMRRNKKGRPTSSRIRTEMDELEKEKRRCGICRDIGHMRRKCPNVAGPSNRPNR >CAK8562853.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:548088723:548094022:1 gene:gene-LATHSAT_LOCUS16317 transcript:rna-LATHSAT_LOCUS16317 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTGNRRNTPPSAISPPPSPSPENLRHVERMINSNHYTSPSRTIYSDRFIPSRSASKFALFDINTTTEGRDDSSSAYTTLLRTALFGPDAAGVAGPITPEKRDSPSMTLPSRNIFRYKTETRQSMHSLSPFMSDDVVPGVNQIPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDCVCSVGWAQRGTHLAVGTNNGKVQIWDAARCKKIRSMEGHRLRVGALAWSSSLLSSGGRDKNIYQRDIRTQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGALSLGRTTIR >CAK8562139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:445844119:445845586:1 gene:gene-LATHSAT_LOCUS15663 transcript:rna-LATHSAT_LOCUS15663 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTARRVTRSQAIAMSASNPINSIPISRKIEDSEKSMSKSRQRQGQVQHQPDRCALIDISNDSPIVGLANGEIETPLSSIAKQRGSRMKKTPGSGEALLRGQVKTLLQKVEEEAEISKLTMDGRPFLQFVNSPMHLLAPTPANTPQIPNINNTVPGFTEFSPSSVVQEHLIPQVVNDGAVGKNEENIESEKSVVSPVLLDFSDKSEVTEDSKMGSTEDDGDSIWSIQVNASTHDDDDDEDEEITEVEYDDVEDDYYEDVDDAEEYDDGGLLLDELCEGLSKIGVNEKVVPKFAGKHTRFVYGSDDDDEIVEEVVEDFGGCDSEVLHLKGLPTPKGKHIRFEGEEDN >CAK8533317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:602344484:602344792:-1 gene:gene-LATHSAT_LOCUS2957 transcript:rna-LATHSAT_LOCUS2957 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVFDIADSLLGKLASYVCEEASRAYGVYEDLQGIKDTLSIVKGLVLDAEEKKNQQHALREWMRQIQNICSDAENVFDGFELEHKKKQVLEASSSTRLK >CAK8540890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29623843:29624957:1 gene:gene-LATHSAT_LOCUS9849 transcript:rna-LATHSAT_LOCUS9849 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMNTQTLILNSTSPLTSLSLTRIITIYAPNSVNKVVGVGTRRRRKWNMAVSKPVTTTTRFDDNNVVDSASTVLRNFYEGINRHDVDSVQFLISENCVYEDLVFPSPFVGRKKIIEFFKKFTESTSIDLQFVIDDLSTEDSSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGKRQITYGRDSVESAIKPGDATLVLIKGVTWLLQRFPQLADRF >CAK8540891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:29623852:29624957:1 gene:gene-LATHSAT_LOCUS9849 transcript:rna-LATHSAT_LOCUS9849-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQTLILNSTSPLTSLSLTRIITIYAPNSVNKVVGVGTRRRRKWNMAVSKPVTTTTRFDDNNVVDSASTVLRNFYEGINRHDVDSVQFLISENCVYEDLVFPSPFVGRKKIIEFFKKFTESTSIDLQFVIDDLSTEDSSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGKRQITYGRDSVESAIKPGDATLVLIKGVTWLLQRFPQLADRF >CAK8539213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506834363:506835764:-1 gene:gene-LATHSAT_LOCUS8330 transcript:rna-LATHSAT_LOCUS8330 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTPMNDESGLKKGPWSPEEDKILVDHIQKHGHGSWRALPKLAGLNRCGKSCRLRWNNYLRPDIRRGKFSDEEENLIINLHSLLGNKWAAIATHLPGRTDNEIKNFWNTHLKKKLMQMGLDPVTHRPRTDHLDLLTNLQQIILNAANIVTNCDINNVLRLQQYSQLPQCLMNDLLGFNHNNIQNFYDGSNIGFSSQIIHPNHLQNFQAPLQQFLPQECDYFQNFDEQIGVTNVSSTISPSNSLPKLVSVPQHSIINKVDEKNMINEKDASYNISSSNFEAWGDFMNEDADAYWKDFIE >CAK8563398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:600240359:600240851:-1 gene:gene-LATHSAT_LOCUS16815 transcript:rna-LATHSAT_LOCUS16815 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALMEMDDYNERQVVPDTLSFARSYQLEALDRAIRENTIVYLETGSGKTLIAIMLLRSYAYYLRKPSPYIAVFLVPKVVLVSQVCCHFYDGFCIFEIHYD >CAK8571869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:486631001:486631863:-1 gene:gene-LATHSAT_LOCUS24492 transcript:rna-LATHSAT_LOCUS24492 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTLTKDQIAEFYEAFCLIDKDSDGFITVDEFITIIKSLEGNLTKEEIQEIISKANAYGNGRVNFEEFLHIIEIKMKEYLSEELKDAFKVFDSDNDGYISATELRHVMMKLGERLTDEEVEQMIREADMDGDGRVSYEEFVKFMMLN >CAK8564950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:18424424:18426283:1 gene:gene-LATHSAT_LOCUS18199 transcript:rna-LATHSAT_LOCUS18199 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVFWVISLISLQFLLANCYSTKSLVPALYVFGDSTVDAGNNNNLNTVAKANTFPYGIDFNNCSTGRFSNGKTFADLIAIKLGLPMPPPYIGVSATDRYQIASGINYASGSCGILNSTRNGECLSLEKQIEYFTSTVMNDLPRNFQSKTKLKKYLSKSIFLLSTGSNDYLLNYFKQVGKNKMVNPEEFTDYLIDQLGSNIKKIYDLGGRKFVIIGIGPIGCIPGLVIRKPHTQDCNELINQVVKLFTNKLPRKLQELKLKAKLSGSIFTILDSFNLFMKIQNSPENFGLKNIWDSCVGEGGKPCGNRKEYYFYDFAHSTEAVNEIFADKCFGGRGVCFPMNIEKLVHAH >CAK8576621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498363050:498363376:-1 gene:gene-LATHSAT_LOCUS28795 transcript:rna-LATHSAT_LOCUS28795 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMAITWGMETALKIKVNKMLIQSDASNMVDCINGSKDSAVINPIADDCRMFFRNFSFCSVMFISKHQNILAHNLVRVCKALGSSTWLGECPLLDFQGSFVSSLGS >CAK8562563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510167437:510168476:1 gene:gene-LATHSAT_LOCUS16051 transcript:rna-LATHSAT_LOCUS16051 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNNQDAGNNNSNLLLLGLALTLTKQDTPPSNNNNNVAKPYSSSNNNYEAEPSLTLALSSYYEEPLDFSTQTNSPLHSVVSSFSSGRVKRERDVSSEEIEVTEIERVSSRISDEEEDGVTTARKKLRLTKDQSAMLEESFKQHSTLNPKQKQALARELNLTARQVEVWFQNRRARTKLKQIEVDCEFLKKCCETLTDENRRLQKEVQELKALKQAQPLYMPMPAATLTMCPTCERLGGGVNGGSSNKGNFQWLLNLTFTIPSLILLQHVDLLL >CAK8534230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:708212654:708219162:1 gene:gene-LATHSAT_LOCUS3790 transcript:rna-LATHSAT_LOCUS3790 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTDECEQDNNNLNATDDDDSDDDSDDDGLKEDMAAVARACKFKVDEIPSEEDPLLASVDEIPYEKDPLLASGDAIVPFTGTVDSDSDVQSDLECLNRVKSLCLHIDSIPQLPPMAAASDDDEDDMETVRAIFKRFSAYDKGGWEAWSEEDQTTSLIAEKDVAESSISDRLDAGETCPDSQCLDNDTNLLIDTCDFDVTCEKNAGEMCPDSKCLDNDTNLLIDTCGFDDTSEKDAGEMCPDSKCLDNDTNLLIDTCSFDETYEKDAGLASQILNKSSRFPPSAQAFVDALSKNRALQQFLRSKLIEIEAKIEVNNKLREKVKILKDFQVSCNRRTGSALSMKKDPRVQLISSKKSFATKKSKNNNKKVSAMRYGPDENSRVANYKMVLERFPLSLDRKKWSNKEREGLSKGIKQQFQETVYQISVDRMGSECSPSDIIDSFKDLEITPVRIRAFLPKVKWDPLASMHVTGRTGAECESRWLNCEDPLINHGSWTGEEDRSLLIIVQETGIRNWFDIAASLATNRTPFQCLARYQRSLNSSMINSEWTEEEDVQLCSAVAYFGESNWQSVASVLERRTGTQCSNRWKKSICPVRKGSFTPEEDERLTVAVALFGRKWNQIAKYVPGRIQSQCRERYLNSLDPSLKWGGWTEEEDLRLEAAITKYGYCWSKVAEDVPPRTDSQCRKRWKVICPEQVPLLQEARKRQRSLLARNFVDRESERPALTLNDFIPLQMLVPPSDVDAEKLQKKRKRKSRGICKEEKSKKHGKETELCTVEARGADPKKERPKRHAKKKSFYPEDVEDIVPKKEKPKRHSKKARICPEEVQYITAYNDRVKTSGGGVPFSAPSEVPKKMRSKRGPKKAQLKEVDNIACSDEVKTFAKKSESQDEDNITLACFLRNKSKKKLSQCTKNASHGLSSSRKKTVSKQVENQFPSGEQDRLSLSCGIGGTKDLLMQTEDDSRRQVRKPEGTNATRKSEDVHSLYGDGNAIPLKFYVRKKTKKWSQVTEGSHACSPSILIKGSTLLHGNKPTVISNNSEPSMSKVVEQETVLTGGVAEAEQDKTRQGDGKDSTIFGNEVVS >CAK8565053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32080382:32081106:1 gene:gene-LATHSAT_LOCUS18294 transcript:rna-LATHSAT_LOCUS18294 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSYYGLQENYYCFHVCGINMNVSLEDVLFLTNLSITDRAIISESNKDLMAFNRVFSLPAVNKLKLSVLKNICCDLSKNDDDRIKVVLLMIVSCLIVPSGDDQNCKTTYVQFIEKLDEVDSYAWGAALLAFLYQGRKENHLRNKKVDGFVWLIMGFFFYHFKGLHNILNIIVVTAEENRMPNMPLLAYLIKDLFTLGKNRHGKVHHNLGQKFQ >CAK8541071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:58037329:58039759:1 gene:gene-LATHSAT_LOCUS10022 transcript:rna-LATHSAT_LOCUS10022 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAAVELAQRISRTIISTSKNHAKTKHHHYPWTSQIEQTLHNNLNQNLTPSLVSSIIDPFLLHHHSLALGFFNWASQQPGFSHTSSTFHSILKSLSLTPNHSLLSLLKKAQSFHFPIHPSVFRSVIGMHISRKNLHQAFSVFNDVVSLINEIGSPTCNSLLAALSSNNNSNARKVFDEMIVKGVPFSTMGFGVFVWYVCKEGDLGKVMGLLDEVGECGSEINGSVVAVMIVNGLCFAGKVSEAMAVLSELRNRGWKPDFMAYWVVAKGFREMGNVVDEIKVLKMKRKLGVAPRSSDYKEIIFELVSEKRICEAKMIGEVIVGGNFVVEDDVFNVLIESVSDVDPIGAIVFFNYVVERERFLSVSSLNRLSWNLCRVGKVDELLEVFRVLDCRNYFKDVEGYNVMLLWLCEARRVKEGYAVLQEMKKKGLNPDVSSYNYVMEACCKEDLLRPARKLWDEMFASGCCGNLKTYNILIHKFSEEGQIEEAEMLFNRMLDKGVEPDRASYTFLLQGLCQEDRLEEAFDLYNKSIKQDIIIARDILSSFILSLNKKGHLTAASKLLCSLSQNIGHAESHVVLLKCLADAREIPIAIEHLRWVQDNSPAMLQDICTGLLASLSVSKCPEPILQFLQRMQGVL >CAK8573608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:624838563:624840275:-1 gene:gene-LATHSAT_LOCUS26038 transcript:rna-LATHSAT_LOCUS26038 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPPPHPPPPQTKNKQIPTVITGCSFFFALLHCLRNEKRTTPSSDSDSNPPHPFSYSLLRRATNSFSNILGHGGFGPVYSGTLPSSGKLIAVKLMNSTSSVAYQGEREYHNELFFAARLHSDLLVPAIGFSSDPKRRRFLLVYDLMKNGNLHDGLFRRKSPELTLWKTRFSIILDIAKGIQYLHSCDPPIIHGDIKPSNILLDHSFSAKIADFGLARLKTLSPFEIRKEEFESDETEIESVNTSLEEYETDMVGVVGGRNIMKKSGSVKDYVMDWIGKEVKKESSKNGELVGGSGNGKGEKSKKKMEWWESMDDGNGNACLKKKEKRRPAREWWKEEYSQELANKNKKKTGGKNGDNWWKWERDRDDVDAKKQNSKNRNRKDRGSDDSWLSGELKRVNWNSYDSCNSGEICKSGEISSTASMRGTVFYVAPENGYSGGGDVTEKCDVYSFGVLLLVIVSGRRPLQVNDGLHVSEFKRANLVSWARHCGRNGKLIELVDPCVELLLVDHREQALLCIKVALVCLMKSPNRRPSMKQVVGMLSGELEPPQLPHERSQTRFQFKNRKESL >CAK8574851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:11760108:11760533:1 gene:gene-LATHSAT_LOCUS27151 transcript:rna-LATHSAT_LOCUS27151 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNHLSVLNNIVSELETIGVKIDDEDKVLRLIRSLPSSYEYIKLVLIYVKEIFNFEEVASKIISEERRLKGEENTSSNSVLVARGRSYIKKNNETSVRCWKYGKLGHINNKCLYGATPEKGSESNTSNVYLVVREDNFL >CAK8566316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406014852:406018128:1 gene:gene-LATHSAT_LOCUS19453 transcript:rna-LATHSAT_LOCUS19453 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTRRDTERNQISPLDDEVANAYRVAVPPKQNLFKEFQYTVKETFFADDPLRSFKDQTKSKKLILCIEAIFPIFNWGRGYNLKKFRGDIIAGLTIASLCIPQDIGYSRLAHLAPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLMGTLLSNEIDPVTHKEEYQRLAFTATFFAGITQATLGIFRLGFLIDFLSHAAIVGFMGGAAITIGLQQLKGFLGIKSKMFTTKTDIVSVLHSVFSSAKHGWNWHTILIGASFLCFLLVAKYIGKKNNKFFWVPAIAPLISVVLSTFFVFITRADKQGVEIVNKIEKGINPSSVHDIYFSGEYLGKGFKIGVTAGMIALTEAIAIGRTFASMKDYQLDGNREMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSAVVFLTLQFLTPLFKYTPNAILAAIIISAVISLVDYQAAILIWKIDKFDFIACMGAFFGVVFISVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTTVYRNIQQYPEASRIPGVMIVRVDSAIYFSNSNYVKERILRWLTDEEAVKGDFHTRIQFLIVEMSPVTDIDTSGIQAFEELHRSLEKRSVQLVLANPGSAVTDKLYTSNFANIIGQDKIFLTVAEAVAYCSPKLDVNP >CAK8541028.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:45945996:45946964:-1 gene:gene-LATHSAT_LOCUS9981 transcript:rna-LATHSAT_LOCUS9981 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTKTTTTSAPSSSTVSRTVVLVLRVLTFVFLLIALIVIVLTKETSDTSDGESEIKFKDIHAYRYMISTIVIGFAYNLLQMALSIFTLVSGSRVLNGDGGYKFDFFGDKIMSYFLLSGSAAAFGASEDLHRFFKTAELPLNSFFGKANASASLVLFGFITTAIASIFTSFALSKKA >CAK8535045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:806807472:806809671:-1 gene:gene-LATHSAT_LOCUS4528 transcript:rna-LATHSAT_LOCUS4528 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGKKDMDSYTIRGTNKLVKAGDCVLMRPSDTSKPPYVARVEKIEQDNRSNVRVRVRWYYRPEESLGGRRQFHGAKELFLSDHFDVQSAHTIEGKCIVHSFKNYTKLENVGSEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSSDDDMKKPPVPFPASPGSDDGKVEPKRRKRGP >CAK8560742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39178742:39180402:1 gene:gene-LATHSAT_LOCUS14394 transcript:rna-LATHSAT_LOCUS14394 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNFHAFFNILVISSFIGSSYSMCDIKGIFNFGDSNSDTGGFDSAFPAQPGPYGMTYFKKPVGRSSDGRLIVDFLAEALGLPYLSPYLQSIGSDYRHGANFATSASTVLLPTTSFFVSGLSPFALTIQLRQMEQFKARVHDFHKRDPLKPSGCDSRINIPSPDIFGKSIYMFYIGQNDFTSKIAATGGIGGLKNSLPEIVLQISSAIKELYAQGGRIFMVLNLGPVGCYPGYLVELPHINSDIDEHGCIVSYNNAVDDYNKLLKETLTQTRETLPGVSLIYVDTNSALMELFHHPTSYGLKYSTKACCGHGGGDYNFDPKALCGNMLASACEDPQNYVSWDGIHFTEAANKIIAMAIINGSLSDPPFPLHKLCDLQPIN >CAK8560743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:39178814:39180402:1 gene:gene-LATHSAT_LOCUS14394 transcript:rna-LATHSAT_LOCUS14394-2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIKGIFNFGDSNSDTGGFDSAFPAQPGPYGMTYFKKPVGRSSDGRLIVDFLAEALGLPYLSPYLQSIGSDYRHGANFATSASTVLLPTTSFFVSGLSPFALTIQLRQMEQFKARVHDFHKRDPLKPSGCDSRINIPSPDIFGKSIYMFYIGQNDFTSKIAATGGIGGLKNSLPEIVLQISSAIKELYAQGGRIFMVLNLGPVGCYPGYLVELPHINSDIDEHGCIVSYNNAVDDYNKLLKETLTQTRETLPGVSLIYVDTNSALMELFHHPTSYGLKYSTKACCGHGGGDYNFDPKALCGNMLASACEDPQNYVSWDGIHFTEAANKIIAMAIINGSLSDPPFPLHKLCDLQPIN >CAK8560698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36376670:36377866:1 gene:gene-LATHSAT_LOCUS14353 transcript:rna-LATHSAT_LOCUS14353 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGEQYSAIETQYIRRHHKHDLRDNQCSSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIMQGDLGIGSVREVNVKSGLPATTSTERLEQLDDEEHILGIRIVGGDHRLRNYSSVITVHPEVIDGRPGTMVIESFVVDVPEGNTRDETCYFVEALIRCNLSSLADVSERMAVQGRTDPINVNQ >CAK8574126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663949425:663953726:1 gene:gene-LATHSAT_LOCUS26500 transcript:rna-LATHSAT_LOCUS26500 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPMSCILLICLLSSFSTQIKASTKTFSNLTLPHQHPYPEAVVHELQQKINVSLFRRELLGKNQGSCLTGNPVDDCWRCDGNWAANRQKLAECGIGFGRAAMGGKNGQIYVVTDSSDSDPANPVPGTLRHAVIQDEPLWIIFSADMTINLRHELIFNSFKTVDGRGANVQITGHGCITLQYISNVIIHNIHVHHCKPSGNTNIRASPTHVGFRGISDGDGISIFGSRNIWIDHCSLSYCTDGLIDAIMGSTAITISNNHFGHHDEVMLLGHNDKYTPDRGMQVTIAFNHFGEGLVQRMPRCRLGYIHVVNNDFTEWKMYAIGGSANPTINSQGNRYTAPSDPNAKEVTKRVETDDKEWSGWNWRTEGDVMVNGAFFVPSGGGMSAQYAQASSVQPKSAVQIDQLTMYSGVFGDPRDNGDIYPGFNGAGTGTTTGATSKGYNEGPSSDGGDFFGMIFKGSSSQAAALAPYSSIVFVSTLLSLLIIFNLDIITNCDILLSLL >CAK8572238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:523944072:523945063:-1 gene:gene-LATHSAT_LOCUS24824 transcript:rna-LATHSAT_LOCUS24824 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFEDLPEGCIAAILSRTTPVDAGRFSLVSNTFHSAADSDAVWNQFLPSDSHVMDSIISDSPSLANVPSKKALYLALSDRPIIIDNGLKSFQLDRKSGKICYMLGARSISIAWVHDERYWKWTTMPNSRFREVAELLDVCWLEFCGTINTIALSPNTEYAAYVVFNMFNPRRFQNSPVELSVFVDGGHSSTKIVCFDPNVEESSHNRVEGLQCPNVRSDGWLEIEMGEFFNSGIENEEVQMKVLENDSYWKSGLIVEGIEVRPK >CAK8573676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628900790:628901362:-1 gene:gene-LATHSAT_LOCUS26091 transcript:rna-LATHSAT_LOCUS26091 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTSFSKSDAKEECVEVDLTQISLRPIVLSDLDDLMLWTSDEKVAKYCTWEPYTNKEHGINFIQNIASKSLWFRAICLQDRAIGCIDLRSCSGRCMDKSAELGYALSSKYWSKGIATLVVRQVIRAAFKEFSYLERLESRVDVENVASQRVLEKAGFQREGILRKYLFIKGKSRNMVMFSVLSEDFQV >CAK8533371.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:610237285:610239976:1 gene:gene-LATHSAT_LOCUS3004 transcript:rna-LATHSAT_LOCUS3004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEINWDRLDIMKFYARGVGLFSGVTMLLHPVSVVKTRMQVASKDTAERSVSSVVKGLLKQDGIRGLYKGFATVITGTIPARIIFLTFLETTKEASFKMVKPFKLSETSQAAIANGIAGMGASVVSQVVYTPTDVISQKLIVQGYSGHARYSGGLDVARKLIRSEGFRGLYRGFTLSVITYSPSSAVWWATYGSSQRFLWRFLEDDVKSEEATPSLPKIVLVQAGGGLIAGATTSCITTPLDTIKTRLQVTGHGKKISVKQVVKELIREDGWKGVYRGFCPRLISTSAWGTSMVLVYEYLKRICIKKDEETAGQ >CAK8530836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:54139901:54140738:-1 gene:gene-LATHSAT_LOCUS672 transcript:rna-LATHSAT_LOCUS672 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRSNSTLVFFFLILLITPSQSLSFSSYFRFREFFSLAHSIFTGVANLRAARGDVAGAERARTIANSLEKVTSFGFLKLVWSAWSWNWFLKELPFKELYGAVSDINEFLRGLNELTRLESAAERAVWLTRNYQNLLTVTKSLSRKLLKAFGQSEMVREIVKILRIEVVEGGLIKDCLLLGGNDLKDLIKVAKDLVLQFFPMNNKNYEL >CAK8541147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:73935353:73938466:1 gene:gene-LATHSAT_LOCUS10088 transcript:rna-LATHSAT_LOCUS10088 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQAPPRDIDEELKRQKAIDDWLPISSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSQLGWGPGVTILVLSWFITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVNIVYMVTGGKSLQKFHDTVCHDCKKIKLTYFIMIFASVHFVLSHLPNLNSISGVSLAAAVMSLSYSTIAWSASAHKGVIENVEYGYRATTKPGTVFGFFNALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALIGYWMFGNTVDDNILVTLEKPKWLIAMANMFVVIHVIGSYQIYAMPVFDMIETLMVKKLNFKPSTILRFIVRNVYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWCCNYICIALGLCLMILSPIGGLRTIILNAKTYKFYS >CAK8531924.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:177008511:177012284:1 gene:gene-LATHSAT_LOCUS1679 transcript:rna-LATHSAT_LOCUS1679 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLTGKDARKILKRKDSDAGEKGRALEDLRASIFNQFNFSDGAKRQQRRLCGPATALTFNFVVAVGIIFVNKMVLQTVKFKFPILLTLIHYVVSWFLMAVLKAFSLLPPSPSSKSTRMSTLFTLGFVMSLSTGFANVSLKYNSIGFYQMAKIAVTPSIVFAEFVLYRKKVSLPKVLALTVVSIGVAVATVTDLQFHLFGACVALAWIVPSAVNKILWSRLQQQENWTALSLMWKTTPITLIFLAAMLPCLDPPGVLSFDWNLNNTLVIFGSAILGFLLQWSGALALGATSAISHVVLGQFKTCVLLLGNYYLFASNPGTISIFGAFTAIGGMSVYTYLNLNQQSNKGSPRQASALPKSKLGKENGSTNGNDAHYGAESV >CAK8571200.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335974691:335979038:-1 gene:gene-LATHSAT_LOCUS23885 transcript:rna-LATHSAT_LOCUS23885 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKTTARAISQDAFNELVNENIDDLGMDPAEALEDAIQTLTLQGVDLSGIVTSVPGESNPVIECLEKLKRLESESDALDETVEAFDKLAELCGSDAGNGNAAIATKNGDVQSTGTFQNSNGPRIIVGILHDNKQNVDVLNNGFRVVASAATGDEIVKDSFMELKVDELIVEIMSIHKNPGIQSLYDAIRVLLTPDDNRVLASQVYGYARRFAKIGIPEAIVDSLHTGLGSPDLISACITLKATAVNDEICKSIAEKGGIDVVLKCIDESGEQGNTDVAKVCCSLLSKLAGSDANKSAIVGKGGMDKLIKLSARYADDPSVLQEIMSIISVLSLRSPENAARAMEAGAGDFAIQAMQKFPAAHQMQRNSCLMIRNLVARNLENRTILLNNGVEKYIRKAKQTHATCKEAATDALRDLGIDSYNL >CAK8571199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335974691:335979038:-1 gene:gene-LATHSAT_LOCUS23885 transcript:rna-LATHSAT_LOCUS23885-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKTTARAISQDAFNELVNENIDDLGMDPAEALEDAIQTLTLQGVDLSGIVTSVPGESNPVIECLEKLKRLESESDALDETVEAFDKLAELCGSDAGNGNAAIATKNGGVELVCSKINSANGSQLVLLSGLNVLSLLLRDVQSTGTFQNSNGPRIIVGILHDNKQNVDVLNNGFRVVASAATGDEIVKDSFMELKVDELIVEIMSIHKNPGIQSLYDAIRVLLTPDDNRVLASQVYGYARRFAKIGIPEAIVDSLHTGLGSPDLISACITLKATAVNDEICKSIAEKGGIDVVLKCIDESGEQGNTDVAKVCCSLLSKLAGSDANKSAIVGKGGMDKLIKLSARYADDPSVLQEIMSIISVLSLRSPENAARAMEAGAGDFAIQAMQKFPAAHQMQRNSCLMIRNLVARNLENRTILLNNGVEKYIRKAKQTHATCKEAATDALRDLGIDSYNL >CAK8572269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:525699151:525701604:1 gene:gene-LATHSAT_LOCUS24853 transcript:rna-LATHSAT_LOCUS24853 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETKAAEYFCKDFEWEQLRAEIESNPSLRHHFDSSSSSSTQSPQSDVQAWKQFHTRHSSGKFFKERRYLLKEFPQLLSSPPNSNPKLLEVGCGNGSTVLPILRENKDVIVYACDCSDETLDRAREIINANGVDSFKRRFHTFCCDVSTNGFPNWLACNPCRDKFLQNQSDVKEDNGHDVKDLCSSEGFDCCVGGVDFVTLIFTLSAVPLERMPRSIKECFSVLKPGGMVLFRDYGLYDMTMLRFEQDKRVGFREYMRSDGTRSYFFCLNTVRNLFLGAGFVELELDYCCVKSVNRKKGKSMQRVWVHGKFQKPALW >CAK8530415.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:20007512:20008012:-1 gene:gene-LATHSAT_LOCUS281 transcript:rna-LATHSAT_LOCUS281 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLATAEICDTNIAHLSSGDLRILHPVFQMYGQSQAFSGPIVTVKVFEDNVLVRELLETKGEGRVLVVDGGGSMRCALLGGNLAQLAQNMGWSGIVVNGCVRDVDEINLCQVGVRALGSHPLRSNKRGFGEKHVDVYVGGSFVRDGEWLYADNDGVIVSKFELSI >CAK8573353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605173724:605176639:1 gene:gene-LATHSAT_LOCUS25812 transcript:rna-LATHSAT_LOCUS25812 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSIATTLDEVDRTMYASFCTAANSLSLLHMHALNHQKLSFQAGQRHSLEKLYQWIWRQQEGGSRVATLDILNYIQNELDCFGEEQSISPRAAPQPVTQVASSGSTVTPGSSCQTVVGQGLGSEHCDNQSKSFEFSNALPSPVRRSLQHYQVGEGGSYLSGPSTGNGNQNTESIFFHHQSRDSTAFSSNDSAMDMHAE >CAK8569109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672298902:672321833:1 gene:gene-LATHSAT_LOCUS21996 transcript:rna-LATHSAT_LOCUS21996 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSTYSSTRLILLRFIFLLVLSYRTNSLVKLAPNVTVPAFIAFGDSIMDTGNNNHIKTMVKCNFSPYGIDFEGGIPTGRFCNGKNPSDLIVEYFGIKEVLPAYLDPNLKPSDLPTGVCFASGASGYDPLTSKIVSVISMSDQLELFKEYIVKLKSVVGEKRKNFIIANALFLVVAGSDDLANTYFTIRTPQLHYDIPAYTDLMVNEASKFVKEIYQLGARRIGVFSAAPIGYLPSQRTLSGGVFRNINEEYNEAAKLFNSKLSKQLDFLRSNLSNSRVVYIDIYTPLLDIILKPQKYGYKVADKGCCGTGKLEVSVLCNPLTPTCDDNKEYVFWDSYHPSERTYRTLVAEVLPKNIDRLTI >CAK8569110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:672298902:672303943:1 gene:gene-LATHSAT_LOCUS21996 transcript:rna-LATHSAT_LOCUS21996-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSTYSSTRLILLRFIFLLVLSYRTNSLVKLAPNVTVPAFIAFGDSIMDTGNNNHIKTMVKCNFSPYGIDFEGGIPTGRFCNGKNPSDLIVEYFGIKEVLPAYLDPNLKPSDLPTGVCFASGASGYDPLTSKIVSVISMSDQLELFKEYIVKLKSVVGEKRKNFIIANALFLVVAGSDDLANTYFTIRTPQLHYDIPAYTDLMVNEASKFVKEIYQLGARRIGVFSAAPIGYLPSQRTLSGGVFRNINEEYNEAAKLFNSKLSKQMDYLHSNLPNSRVVYVDIYTPLLDIIVKPQKYGYKVADKGCCGTGNLEVSILCNPLTPTCDDNKEYVFWDSYHPTESVYRTLVAEVLPKYMDRLTR >CAK8573203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:593415827:593417789:-1 gene:gene-LATHSAT_LOCUS25678 transcript:rna-LATHSAT_LOCUS25678 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTRDITEDSILRCPFLRNINEPTNFSFVSPLAFPMPVRAAKGPIFEDGPNFDLAFRLFHGSDGVVPLSERSFQRLDKMKPELPKSQFNPLAAKAATISLSSFGFGGPFGFDSFNEKWKKQNKKSNSSKKDPSSKDGSKHEAGNDWLQNGNCPIAKSYQAVSKVLPLVAKVIQPPAGMHYKCPQVIIAARAAISRTAFAKNLRPQGLPTKVLVIGMMGMAANVPLGVWREHTKKFSPSWFAAVHAAVPFIAMLRKSVLMPKSAMAFTIAASILGQVLGSRAERYRLKAVAAKKLSAIEAPDVGSVKLPVVKSKDRHCGDEAMKWNETSLQLAGTSSTDVFC >CAK8541210.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:89390250:89392367:1 gene:gene-LATHSAT_LOCUS10145 transcript:rna-LATHSAT_LOCUS10145 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTLSPNCSRPLTSSTVTDFNFNRRRKLKLGFLFSISQNTTTTLGVFQLGFPDFNYDAFFKPNKSHVRDLAPPVRWKLNEVNIETELDEEKNDSFDGINTSNSDRVQESYDERKSNCDDDDGVEEGEKKEHDGKIDVRELAISLQSAKTVEDVEEVLKDKGDLPIQVYSTVIRWFGKEKRPKSAMILFDWMKKRKIETNGSFSPNLFIYKCLLGVVKECGIFAETEGILNDMARDGVGYDVMTYNLLMGIYIEKGEGEKALDMLEEIRRNGLTLSPMSYSQAVLAYRKMEDGNGALSFFVEFREKYRLGEIGRDDDGEDWEKEFKKLESFTIRVCYQIMRCWLVSSENKSSYVLRFLVSMDNAGIPLKRAELERLVWACTREDHYIVVKELYIRIRERYDKISLSVCNHTIWLMGKTKKWWEALGVYEDLLDKGPKPNNLSYELIMSNFRFLLSAVQRKGIWKWGVRLLNKMEEKGLKPRSSEWDAVLIACSKASETSAAVQIFKRMVENGQKPTKISYGALLSALEKGKLYDEAFRVWDHMLKVGIEPNVYVYTIMASIYTAQGNFSRVDAIIQEMTTLGIEVTVVMYNAIISSSAQNGMTSAAYEWFHRMKVQNITPDEVTYEMLIGALANDRKPRLAYELYLRAQNEGLGLSLKAYDAVLQSAEAHGATIDVGLLGPPPADKKARVKVGKNIQSVTCSQEK >CAK8579229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685473611:685477884:1 gene:gene-LATHSAT_LOCUS31192 transcript:rna-LATHSAT_LOCUS31192 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQQNLEKMQLRQNYRNLWHTDLLRTIQADTPYCCFSLWCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLNQVACIFSIVAMIVGSEEISEASQLLSCLAELVYCTVCACMQTQHKVEMDKRDGKFGPHPVMAVPPAQQMSRIDQQVPPSVGYAPQPAYGQSYPPAQGYPATGYPPTAYPPPANAYPPQGYPASGYSR >CAK8577593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576590443:576596152:-1 gene:gene-LATHSAT_LOCUS29685 transcript:rna-LATHSAT_LOCUS29685 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGGASGGPDEVEDGMVDGSFHSPEWHAARLASLKTSHTITWEEYKKKQKEEELKKGELEADADRMMREYRAQLDAERARKLSQGRNHSSSKSKHSKDKRDKISKKHSSKKRKHSRRSSPSSSSSSSSYSSSSEDEERSSRRSKSRSKRSKKEKKYKSKSKDSGSDSEDGGGPVPLSKFFGTSRVDSG >CAK8543388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:604257118:604259748:-1 gene:gene-LATHSAT_LOCUS12146 transcript:rna-LATHSAT_LOCUS12146 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEQQKLPDLVKALVHHLLSQNLPPNSPPLNPNSSHFQNSLRYAHRILSSHLTPSVTPDAAAIAESIKRRLATEGRSSEALSFADLYTKFVSKAADVDNKWALLHLFNIISQDRKTAVKSHLDGSVFLPSLTISDNNVVSRRIENKGWSDGVVVLAKDPNNRRDIAFREYVKLVKEENDVTEEAMVTDVLYACQGVDGKYVKFDNENNCYVLLDSIRVSRGTLGMVYRLCELGVLFKKVIGYIEQSLGRFQTEDVGTVGQAFCSALQNELSDYYKLLAVLEAHSSNPIPLLSESASSVNYLSLRRLAVWLAEPMVKMKLMADLVEKCRVLRGGAMAGAIHLHARHGDPMVHEFMKRLLQRVCSPLFEMVKKWVLEGELEDIFAEFFIVGQPVKAESLWREGYRLHDAMLPSFISASLAQRILRTGKSINFLRVCCEDRGWAHAATEVATDTGAAARRGGFGYGETDTLESLVDEASKRIDKHLLDVIYERYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSGPANTISSFKVAGLLETAIRASNAQYDDPDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLKRVEHALIGAWKTLKPNCITSNSFIRLQSSVKMQLVSALRRCKVLWVKINHFISNFQYYIMFEVLEISWSNFLSEMEVAKDLDDLLAAHEKYMDSIVEKSLLGELSQSLYKSLVVIFDLILRFRSHADILYEGIHVLQARITESSLSSRDQQKTRKQLKDKSLDEGSWVADGKKALTQHAGEFLRKMEQDLDAITKEYTSLQEEFISQLPVQQHVDLKFLFFRLDFNDFYRRVVS >CAK8571236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:348158169:348159164:-1 gene:gene-LATHSAT_LOCUS23914 transcript:rna-LATHSAT_LOCUS23914-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPTQPHFQPPSQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPP >CAK8571235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:348158169:348159599:-1 gene:gene-LATHSAT_LOCUS23914 transcript:rna-LATHSAT_LOCUS23914 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLPIHGKAVNGKTNPTGDFIRELLGVEPTPRDLRGQKLLMSWLKKIYRELTLTPDSPEILKIRKTRIYIMLLIGLFLCPDTSGSAVHSMYLPLLDDVDKIKPYSWGSATLAHLYHSLCHNSIANTENWTGCGVLLQSWGWSRMTNLAPIQQNQFEFPFARRWSSLGMNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLEAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRTNYVLSDNVFPYEMKQSIQYMTWYKSVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPSTQYYFQPPTQPHFQPPSQPHFQPPTQPYFQPPLTQSQPYEHTPNQFTPFTQTHSQSEYQQHPP >CAK8541237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:94067848:94073139:1 gene:gene-LATHSAT_LOCUS10170 transcript:rna-LATHSAT_LOCUS10170 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPRFAGKFPYLYRDFPKNPWVKSEISTMVPLQPRSTLSPPPSYYNTFLDPNRCTQFKEHAHEEASHHSSFASMVPSSMNPTLSYKSAPTNGSKEKKFDGQNLMTFTPNNNRREVMHGHLNTSNGIWDSFTKNIFHHGETSQSRVSLYPSLSLVYDANPSFTVNPNLQGDLSLIGRNGNKPLYNDQELALSDHKQHKRTQNNVETQHMDPNLIKRKWTANEDRILIQLVDHFGLRKWSQIAKFINGRIGKQCRERWNNHLRPGIKKELWSQEDYKKLIEAHKKVGNKWAEIAKSLPGLTENSIKNRWNSIKRSQKAKKRLDYGNNLKGTLLHKYIIEVNAAKEAEKEQLDNESFESGFSSNGLATSEDEIDYVPMMQNGGDDGVMDYGYGSYTLELFPNVPMK >CAK8539516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514798600:514800207:1 gene:gene-LATHSAT_LOCUS8602 transcript:rna-LATHSAT_LOCUS8602 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTSNVPAHNLHKFQALRCPSFRCHSSSVKQQSSSFSSLQTNNNNPSRLHLSNLDNFLQSQKPLESTTTTHHHHQPIQKNNSKDRKGKNFIEGLNLAKLWPEIKAAEEISPRHLKRLQRLLSKTAEYSPRNIIASNWKQYHGSNDWKGMLDPLDDNLRREVVRYGDLVQAAYQAFHSDPAMSSTEPPQPRHVPLPERSYKVTKSLYATSSIGLPKWIDEVAPDLGWMTQRSSWVGFVAVCDDRREIARMGRRDIVISLRGTSTCLEWAENMRAQLVNLTDDNKAQTQAQAKPKVECGFMSLYKTKGAHVESLSESVVEEIKRLIELYKGEELSITVTGHSLGATLALLVADEISTCGPEVPPVAVFSFGGPRVGNKAFGEHLESKNVKVLRIVNTQDVITRVPGIFLSEELEEKIKNSKVVSGMVDMLEENTPLGYSHVGTELRVNTRMSPYLKPDADIACCHDLEAYLHLVDGFMASNCPFRANAKRSLARLMQDQSANVKKLYTSKAKGLSVNLNRQGSMSMSTCCPSPSS >CAK8569952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:17307737:17308051:1 gene:gene-LATHSAT_LOCUS22748 transcript:rna-LATHSAT_LOCUS22748 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNENWRTNAETHKMSSEQVKAAGVEDSKRPPGSSHGSGRNVLHQRKSLPFNYSTMAVAGLLITAAVGYSVLYSKKKPEATAGDVARVSVGVADPEDTHPKK >CAK8576202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:425154743:425160559:1 gene:gene-LATHSAT_LOCUS28408 transcript:rna-LATHSAT_LOCUS28408 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDQLHVAQQIRRDKLRIQNQEFPHNNNNNNIEQLLSLHQVPQQGFNMDLHHQLRNSNNKNMLDDDDDGDGEAGLYSSDQMMNSFSTPSNSLHRNPLEYCYEAAEPSNNNNRLSYYYANETNQNVFLPSEINHSDTTTSEVASLMHHGVWGGGNSHNLFHENQASLWTNRGVTVDDDDNNNNMGLSFFNDCNNPQAQGLSLSLSSNSSQSKPSPSASNFEQGSFINVVKPIGISSSSTSTSSGFRNIGPLGPFTGYATILKSSRFLKPCQQLLEECCCESGANIGKPCENVPKWVSRDVVNVEDDETGKGSSSSGGSCSMFYGSKEKENSVAAAADGGGVGNSFCLSSSSRPECQKNKAQLLFMQQEVTRRYKQYHQQMQMVVSSFESVAGLSSATPYISMALKSLSRHFRCLKNGITEQLKRTCQVLGEDCSILPPTTTCSSTKIDSSNMTRLRFMNHSLHKNSNIDFVEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKGTTEGQNQNNNKTEPCRVGTHERKFQCLEMGSSSSGIHNVEEQWNNSIQEKRSKMENEIAPNMDMGFLPYHNGSVSLTLGLRHGVENVQQQQLQQEVELRHQYGGHMIHDFVG >CAK8573126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:587591259:587594189:1 gene:gene-LATHSAT_LOCUS25610 transcript:rna-LATHSAT_LOCUS25610 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVVSDNSFIIESEEEDDKDFNDGNDSDSSNYSNENPPQRKPSSYNPSWPQSYRQSIDLYSSVPSPNIGFLGTTSFSRLSSSFLSTSLTRRHTPEALPSVTKPLIQQQIEDEPQQRRSSHALLPPSAKRSSMLKKDSKVSHEVPSGHCSFGQAVLNGINVLCGVGILSTPYAAKEGGWVGLSILFIFGILSFYTGLLLRSCLDSEPGLETYPDIGQAAFGTAGRIAISIVLYVELYGCCIEYIILEGDNLASLFPNAYLNLGVIELNPKTLFAVIATLAVLPTVWLRDLSILSYISAGGVIASVLVVLCLLWVGVEDVGFQRSGTTLNLATLPVAIGLYGYCYSGHAVFPNIYTSMANRNQFPAVLVACFGVCSLLYAGGAVMGYRMFGEDTLSQFTLNLPQDLVATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSNHSKSYLFSIFIRTGLVFSTLVIGLSVPFFGLVMSLIGSLLTMLVTLILPCVCYLRILRGKVTRLQSGLCISVIIVGVVCSSIGTYSALAEIVKSLSG >CAK8539513.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:514673372:514675777:1 gene:gene-LATHSAT_LOCUS8599 transcript:rna-LATHSAT_LOCUS8599 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLYMIFILFLQLMLVHGNIAPISTLIAATNSNNNSWLSPSGDFAFGFRQLNTSTKPFMLAIWYNKIPDKTIVWTARDTNNDNNLLQAPTGSKIQLTSGGLTLTTPQDATIWTAQPNTVVSYGNMLDTGNFVLVNNNSTVWESFEFPTDTLLPNQSLGLSNTLTSRFSDDNFTCGRFQFYFNDSDKNLMLSPLAWPTQFRYQSYYKIDVPNSASSSLVFNESGDIYVETNNGTRMKPHGAQWGNLDSDPKLYYYRATLDYYGVFTQYSHPRDTKAKQGWTIVRYVPENICSGVTNEMGGGSCGYNSYCSMDNQRPTCKCPYGYSLIDPSNRFGGCRLNFTLGCGADNGEGLNVKQEDLYEFTELRGVNWPLSDYEKLQPYSQQECQQSCLHDCICDVAVFNDQTCWKKKSPLVNGREENGGHVVLIKTRASPLGKIDTSPSTDSKKDDVKPVLRGLLIGSAVFNSILLAAIAFVILLKPKRVVAQGATLLETNLCSISYDALKEATQGFSEELGRGSFGIVYKGELKAAASYNVVAVKRLDRLAQDRDKEFKTELSAIGKTCHKNLVRLIGFCDEGIHRMLVYEYMSNGSLADILFGQTKPMWNQRVGFALGIARGLVYLHEECDIPIIHCDIKPQNILIDENFTAKISDFGLAKLLLADQSRTNTMVRGTRGYVAPEWFKNVPVTAKVDVYSFGAMLLEIVCCRKNVVLMESGEEEKTILADWACNCYIEGRIDALVENDQEALDDIERLEKWIKIAIWCILEHPETRPTMRIVVQMLEGVVQVPNPPSPFSFSSIP >CAK8574158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:666520785:666522299:-1 gene:gene-LATHSAT_LOCUS26530 transcript:rna-LATHSAT_LOCUS26530 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSTVSYRFNVNGQLTDILEAKRGLRQGDLISPLLFVIVMECLNRYLYKMQEDCDFNFHPKCEKLKITNLCFADDLLMFARGDKVSVEKMMNTYGKFSKATGLVVNSQKCRMYCAGMDDLTKQNILRASGFQEGQLPFKYLGVPVTGKKLSVRHYAPLIDKIVGRIKHWTARLLTYAGRLQLINSIMFAMTHYWLTCFPFPKTVLHRIDSICRIFLWTGGFEGSRKAPVAWQQICSPRSHGGLNVVDLEAWNKATIIKLLWNLSGKEDSLWVKWIQTYYLKNKDMMEIQCKQSDSWIMKGILNLRGDFQNMSSQVDFKTGGFRMSKLYAKLHDCGQPVEWRNMMYGNNARPRANFMMWLACHGRLATKDRLRKYGMIDDTKCCFCEENESLNYLFFECERLKCVWTEILRWAQIHHTPGDWYSELKWLIQHTKGKGVRVAVLKMAISETIYEIWQDRNNNIFGEKPDITTIGKKVIDTLVYRGWNTKKPREYIAILMIEGVR >CAK8563221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:583896742:583904749:-1 gene:gene-LATHSAT_LOCUS16654 transcript:rna-LATHSAT_LOCUS16654 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSETVDDQNSGWFQVKKKHRNVSKFSLQSWMGGFSGKSSSNSQGKQQSMNRKESNSHGKQKTRASRSRESFLQSPVPASVASSPSVSNEEVGTSYINTNVSRPEIVIQKSDPLISTESEGKHEEAKKLRETDKTDVAQQSRRADLREGCLTLPPHENLIGVGIKFGSIGDDSLLSCRKHENTPDHGDSYHAQEKDSTAPSAGEENVPDPNSSFRCEDEVLGENSNDVKNISLEHSNIQEVNGEKVGLEDDTLYCDDKNEEVNKPATNHGIDHEHLSAKDDAVVANQAHSLVNVASDIKISEMAEQSCCSGEVVTSSQVPEIFTDSTPIEEVRDQADGNVENVFSESHNMDALELADSNESRERFRQRLWCFLFENLNRSVDELYLLCELECDLEQMKEAILVLEESASDFKELIARVEEFEKVKKSSQVIDGVPAILKSDHRRPHALSWEVRRMTTSPHRADILSSSLEAFRMIQQERASNNTENSMSKCLTSESVGNVKISRVSDGTHNAKDPVTKSRKHIVSSVAITVQSGRDTRKSILASEVNLSKLAPLESSSSFAATKGKRDLGSGADKLLSKKDKAPTVVINEKNSKSTDNLRRQILLSEKDKEKRSTAPGKSLNAWKEKRNWEDILSSPFRVSSRMSHSPSLSRKSAERVRTLHDKLMSPEKKKKTTSDLKKEAEEKHARAMRIRGELENERIQKLQRTSQKLNRVTEWHAVRHMKLREGMFARHQRSESRHEAFLAQVAKRAGDESSKVNEIRFITSLNDGNKKLILRQKLHESELRRAEKLQVIKSKQKEDLAREEAVLERRKLIEAEKLQRLAEIQRKKEEAQVRREEERKASSAAREARAIEQLRRKEERAKAQQEEAELLAQKLAERLNESEQRRKIYLEQIRERANLRDQSSPLPRRSLNKDGQGRSTPTNSSDDSQTNIASGIGSSLGVGNIASQPSIKRRIKKIRQRLMALKYEFVEPSLGGESAGIGYRVAVGAARAKVGRWLQELQRLRQARKEGASSIGLIISEMIKYLEGKDPELQASRQAGLLDFIASALPASHTSKPEACLVTLHLLKLLKVVLSTPANRSYFIALNLLPPIIPMLSAALENYIKIAASLSTPGNVSLPSTKTSAENFESISEILINFLWTVTAIFGHISLEARQLQMRDGLLELLISYQVIHRLRDLFALHDRPQMEGSAFPAPIVLSIHLLMVLTSRPGKLSYIDWESSHVATEQEIGSEGVKFANSVFSVVKNSRGDYNPLLVINSGPVMPLPDVPEDRPLDEISKVNRNEDSFSIGKGCELEHDSSSVKLKNNDVEKIANLDESKKNQNDDVVTSVIPQRDEKHTAQKNEKESNLAQPVVFLLSSVSETGLVSLPSLLTAVLLQANNRSSSEQGSFILPSNFEEVATGVLKVLNNVALLNLAFLQRMLAMPDLKMEIFHLMSFLLSHCASRWKAPNDQIGLLMLESLSLLGHFALLHPGNQAVLRWGKSPTPTILHKVCDLPFVFFSDPELMPILAGTLVAACYGCEQNKFMVQQELSVDMLLSLLRSCRIAAPATQLSSNLDNITTDESSGSNQSAIVKHGRSNGKGTRASFGKGGALGNSAKSSRTRSMRDGKATKNSDEAVPKYSHSMLHCRFPNSFLDKVEQFFSTDITNEVD >CAK8541564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:251301641:251304509:1 gene:gene-LATHSAT_LOCUS10477 transcript:rna-LATHSAT_LOCUS10477 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGACAKRVVVDARHHMLGRLASIVAKELLNGQKVVLVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEAALARLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKYCLLGQLSSEVGWNYYDTIKELEKKRKERAHLNYEKKKLLNKLRVKAEKIVDEKLGPQLEILAPVKY >CAK8573155.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:589839580:589843252:1 gene:gene-LATHSAT_LOCUS25634 transcript:rna-LATHSAT_LOCUS25634 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFATFFAFLGFALGIPLGLLVGFFLFVYSEAKQVKVPVVRPISELGPIAVQELLPEIPLWVKTPDYERVDWLNKFLLDMWPFLEKAICGIIRTTAEPIFAEYIGKYQIKAIEFDQLSLGTLPPIICGMKVLETNEKELVMEQVIKWASNPNIVLTLHMLSLKIKVQLVDLQIFATPRITLRPLVPTLPCFAKIVVSLMEKPHVDFGMVISGGDIMSIPGLYRFVQETIKKQVASLYLWPQTLEIPILDESTVAIQKPVGILHVNVVRAIKLLKMDLLGASDPYVKLSLTGDKLPSKKTTIKRKNLNPQWNEKFKIVVKDPQSQVLQLQVYDWDKVGAHDKLGMQLVPLKLLKPYENKEFTLDLLKDTNINETPNKKFRGQIVVDLTFVPFKEDSMKFRGSSEGYVRKDSGINSISDDEVEEGAGLLSVVIQGADEVEGDHHSNPYAILTFRGEKKRTKMMKKTRQPRWNEEFQFMLEEAPLHEKIHIEVMSKRKSFSFLSKESLGHVEINLNDVVHNGRINDKYHLINSKNGVIHVEIKWKVA >CAK8538636.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489717784:489727651:1 gene:gene-LATHSAT_LOCUS7816 transcript:rna-LATHSAT_LOCUS7816 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFQRLLQLFPTVRSRDYIEEESSSKQLASGSGSQLAQEELNEWHDAWNEKDKDFENQVVNKHDPFWSKLKLEAAKKVGTEEAEKFCQAFQQIHNRLVNEELSLDAARNFVNSP >CAK8576979.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529346607:529349321:1 gene:gene-LATHSAT_LOCUS29130 transcript:rna-LATHSAT_LOCUS29130 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIRPQQSSVDEEDEELLGCGTTISGQSGSTSRSAGLPSTGTAAGDNAALKLNHLDIQDDDAASQGVVASKKKKRGQQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPINSVLSPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLNDIEELKTERLGLRTRIERKSSYLQELEEQFVGLQNLIQRNEQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMEFGDRPQSDTVTHNVTDAGEGSSMSGMQSQVPPSVSSVSTRPPTSPPLPGILKARVKQEH >CAK8576980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529346610:529349321:1 gene:gene-LATHSAT_LOCUS29130 transcript:rna-LATHSAT_LOCUS29130-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRPQQSSVDEEDEELLGCGTTISGQSGSTSRSAGLPSTGTAAGDNAALKLNHLDIQDDDAASQGVVASKKKKRGQQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPINSVLSPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLNDIEELKTERLGLRTRIERKSSYLQELEEQFVGLQNLIQRNEQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMEFGDRPQSDTVTHNVTDAGEGSSMSGMQSQVPPSVSSVSTRPPTSPPLPGILKARVKQEH >CAK8576136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:413030785:413032707:1 gene:gene-LATHSAT_LOCUS28348 transcript:rna-LATHSAT_LOCUS28348 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPTVSADYQKAIEKAKRKLRGFIAEKKCAPLILRLAWHSAGTFDSKTKTGGPFGTIKHQAELAHGANNGLDIAVRLLEPLKEQFPIVSYADFYQLAGVVAVEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKAMGLSDQDIVALSGGHTIGAAHKERSGFEGPWTSNPLIFDNSYFTELLTGEKDGLLQLPSDKALLTDSVFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >CAK8575885.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:349477441:349477851:1 gene:gene-LATHSAT_LOCUS28110 transcript:rna-LATHSAT_LOCUS28110 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8561837.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:391775732:391776136:-1 gene:gene-LATHSAT_LOCUS15391 transcript:rna-LATHSAT_LOCUS15391 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMSYNRVSNGSNKGFRLNLRKFYVLRLRKRFSFFLRIFNNLKLSYGDTLQMLKRLFCRKSGFRRNNSCRRSLVRDEEINGHQDYWKTRSSYVRSNSFYAEAIEDCLEFIKRTSVSSRDQIQNPITQIHHTNS >CAK8541626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:311236857:311237300:1 gene:gene-LATHSAT_LOCUS10531 transcript:rna-LATHSAT_LOCUS10531 gene_biotype:protein_coding transcript_biotype:protein_coding MEKADSSRRASRNQPNALPLLAILAKDVGSLAMNEKLVFIPILKRWHPLAAGLAVATLHACYGNEMKQFIAGITELTPDDVQVLRAADQLEKDLVQIAVEDSVDSDDGNLEARSSFKRVREHDIETYIRRQLQFTFEFVVRISYILL >CAK8565916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:353466899:353470047:1 gene:gene-LATHSAT_LOCUS19091 transcript:rna-LATHSAT_LOCUS19091 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRVSFSFFFFLYLLLTPNFSSAASDDNLHRKKHKISGPIKTVVVIVMENRSFDHVLGWLKSTRPEIDGLTGTETNPIRVSDPSSPKISVSNDAVFIDSDPGHSFQAIRAQIFGSNESSSNPAPMNGFAQQAEQTLKGMSKTVMSGFKPELLPVYTELANEFTVFDKWFASVPASTQPNRFYVHSATSHGAMSNVRKNLIHGFPQRTIFDSLNDNGLSFGIYYQNIPATLFFKSLRKLKNTVKFHNYGLKFKRHAMKGKLPNYVVVEQRYFDVKVSPANDDHPSHDVAIGQRFVKEVYEILRKSPQWKEMAILITYDEHGGFYDHVPTPVEGVPNPDGIIGPHPYYFRFDRLGVRVPTFLISPWIDKGTVINGPDGPTPYSQYEHSSIPATVKKLFNLKSNFLTKRDAWAGTFEKYFYIRDTPRDDCPETLPEVSTNLRPYGPREDSSLSEFQMELIQLASQLNGDHVLNSYPNIGKSMTVKKANRYAEDAVKRFLEAGKAALKAGANESAIVIMRPSLTSRASVESY >CAK8564509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678926925:678931423:-1 gene:gene-LATHSAT_LOCUS17809 transcript:rna-LATHSAT_LOCUS17809-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEFECSIPVARGGPIYVPNMVASVTRVPLFQDSLQSELQSLEAELLPQDASHDDLSVDDLKVYTDDDLMDMALKQVFQDKENNENHPPLLNQPNAECQKKRFKRKNSGTNNPILDSNRIEKVEEVVKIKQKQEADKAEVRLHSFDPVCRINELTNKSVGTERMMSLRSTSSARKVNTAGLQEHIPVQNPEVVLFVEIYHNVRKGVKIQELLILGGQTLTVLRDKICCSTDQIMQKAGEHDPSGYFLIEDVFYTDLRDPSAIDLTRPILDWLRNSKEEAQKKWECIINGKLQLKQKAVIGEASVSQLPRFASLQMHRTRFCDLSFRLGSGYLYCHQGDCTHTLVIRDMRLIHADDVHNQAVYPIVTFQLKMVFKKCRVCKIFRATKVTVDDKWAPENPCHFCDECFSLLHLAEDGSPLYTNFLEYDYNHD >CAK8564508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:678926925:678931423:-1 gene:gene-LATHSAT_LOCUS17809 transcript:rna-LATHSAT_LOCUS17809 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEFECSIPVARGGPIYVPNMVASVTRVPLFQDSLQSELQSLEAELLPQDASHDDLSVDDLKVYTDDDLMDMALKQVFQDKENNENHPPLLNQPNAECQKKRFKRKNSGTNNPILDSNRIEKVEEVVKIKQKQEADKAEVRLHSFEINELTNKSVGTERMMSLRSTSSARKVNTAGLQEHIPVQNPEVVLFVEIYHNVRKGVKIQELLILGGQTLTVLRDKICCSTDQIMQKAGEHDPSGYFLIEDVFYTDLRDPSAIDLTRPILDWLRNSKEEAQKKWECIINGKLQLKQKAVIGEASVSQLPRFASLQMHRTRFCDLSFRLGSGYLYCHQGDCTHTLVIRDMRLIHADDVHNQAVYPIVTFQLKMVFKKCRVCKIFRATKVTVDDKWAPENPCHFCDECFSLLHLAEDGSPLYTNFLEYDYNHD >CAK8579615.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712709617:712713526:-1 gene:gene-LATHSAT_LOCUS31546 transcript:rna-LATHSAT_LOCUS31546 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKDKMKGFIKKVNNPFSSSSSGKFKGQGRVLGSSSSSSSSSAPVNSISTPRPSPSQNSNPISKPKPKPNPEPEPTLPIASASDNSRTEKARKPGDGFDPFDSLVTSSQRSRNGYSVNVYECPICKTPFRSEDEVSEHVESCLSNPVRNDDGEKLVESEVVDESNTELEICVGSYVSGSPSEGSVDIVLKLLRNIVKEPENVKFRKIRLSNPKIKEAVAEVSGGVELLSFLGFVLKEENGETWALMEVPAEEKIGLIKKAIVLLEPQLVKDPPKKESLVSTASVEKVADVEPKKIDRQVKVFFAVSESVAAKIELPDSFYKRSVDEVRRDAELRRKKFEESQLLIPKSLKEKQAKAAKRRYTRTIIRIQFPDGIVLQGLFAPWEPTTALYEFVSSALKEQGLEFELMHPVVVQRRVIPCFPKAGQKAKTIEEEDLVPSALIKFKPLETDSVVFTSLRNELLEISEPLVNG >CAK8575454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:122720541:122722961:-1 gene:gene-LATHSAT_LOCUS27715 transcript:rna-LATHSAT_LOCUS27715 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSISKDPFESAFEESPSPPDSPIETEPYPTPNPFLSLPTNTNNNEEEKYDEEDDEMDAEFAKFHSASIGDPHKLARLQNVFFQFTEEQMSRYESFRRAAFQKATMRRVLATIAGTQSISKPVLVVVSGITKMFVGDVVERARIIMNERKESGPIQPCHLREAHRRLKLEGKVFKRKVPRLFR >CAK8540570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:11128069:11128873:-1 gene:gene-LATHSAT_LOCUS9563 transcript:rna-LATHSAT_LOCUS9563 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISSSAVTTVSRASRGQSAAAAPFAGLKSMTGFPVKKVNTDITSITSNGGRVKCMQVWPPTGKKKFETLSYLPPLTRDQLLKEVEYLLRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPMFGTTDASQVLKELDEVIVAYPQAFVRIIGFDNVRQVQCISFIAHTPETY >CAK8575249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:59353536:59355714:1 gene:gene-LATHSAT_LOCUS27528 transcript:rna-LATHSAT_LOCUS27528 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKKQLMSSAPWRGEDESEQFPDANLKVTRQQDDTSTMHVPRSKSSNHNHDDDFIEIDPELRYSFQRNFQFIQRVFSIDTVVKPLPPVMAYNVSRNLNFFTRIFTQFFDPEGIAAAQKSMGMGQENRDRRVR >CAK8570878.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:144041146:144041943:1 gene:gene-LATHSAT_LOCUS23590 transcript:rna-LATHSAT_LOCUS23590 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDRIKLLIDPGTWNPMDEDMVSLDPIKFDSIEKIPILQWDPIAFDLIFVDPPEEEEEEEDQPYIDRLDSYQEKTGLPEAVQTGIGQLNGIPLAIAVMDSEFIAGSMGSVVGEKITRLIEYATNQLLPLIIVCASGGARMQEGSLSLMQMAKISSALYNYQINKKLFYVAILTSPTTGGVTASFAMLGDIVIAEPNATIAFASKRVIEQLLNVEVPEGSQSADLLFDKGVLDSVVPRHFLKQFLTELFQFHGFIPLTKNLKIE >CAK8560935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:60349324:60355206:1 gene:gene-LATHSAT_LOCUS14571 transcript:rna-LATHSAT_LOCUS14571 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQLKRIENATSRQVTFSKRRNGLMKKAFELSILCDAQIALIVFSPRGRLYEFANSSILDTIERYRNHSRINNTQTTSESAENIQRLKEEAENMMKKIDLLETSKRKFLGEGLGSCSIDELQRIEQQLEKSITKIRVKRAEVFREQIELLKEKEQTLVAENTRLSEKYDSYSSQQAKKDDRENIGEVEGYADQTSPISDVETELFIGLPETRTRRISPNLRTN >CAK8569281.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:691154974:691155869:-1 gene:gene-LATHSAT_LOCUS22155 transcript:rna-LATHSAT_LOCUS22155 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKQLQWIGCPKKTTRDIISVKATKWCSKWEECLKDPSSHPFKVVTGEEGNSKEILDEEDEKLKSLKDEFGNELHDAVATVLKELNEYNPSGRYPRPELM >CAK8533967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:676374170:676375453:1 gene:gene-LATHSAT_LOCUS3549 transcript:rna-LATHSAT_LOCUS3549 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPHRTWMYNRLLPGRSAHTYEFLKGVEEFINFACQQKEYLKNGVIRCPCKLCKNEDHLIPDEANTHIYQHGFAPEYWNWTCHGEIIPHINDSDDDDIDMVAPCSNSQQWSYEHVHRYQDMVFDVASFNREQHFIQQEEEPPNMEAKLFYDMLNSTQQPLWPGCKNTTELSAAIKMLSLKSKHNMSQACFDDMVKFMKESSHLENVIPSNFRETKKLVSGLGLSKIKIDCCIGGCMLYYKEDINLNECKFCNEPRYKTCILRKRKRNSKDVPRKRLHYLPLIPRLQRLYASARSVEHMRWHYEHRREEGVLCHPSDGEAWKHFDQVYPAFSSEPRNVRLGLCADGFTPFGQYAKPYSCWPVIVTPYNLPPELCMMMPYMFLTLIIPGPDNPKGKIDVYLQPLIDELQQLWNDGVVTYDASKKQNF >CAK8533445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:618758877:618762205:1 gene:gene-LATHSAT_LOCUS3068 transcript:rna-LATHSAT_LOCUS3068 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYFSPSMRSITISSNNGFIDLMKIKVAACHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSLDCLGRRLGPRLLGRTDNSARLVKDFYKILNEVKTGEIPSSLKLPNSFDQMVSDMKDNQYDAKTFALMLKGMMEKFEKEFRESKFAELMNKHFAASSIPKGINCLSLRLTDEYSSNAHARKQLPPPELLPMLSDNSYHHFILSTDNILAASVVVASTVQSSQIPENIVFHVITDKKTYAGMHSWFALNPPSPAIIEVKGLHQFDWLTRENVPVLEAVESQNAIRDYYHGNHLLGANLSDPNPRKFASKLQSRSPKYISLLNHIRIYIPELFPNLDKVVFLDDDVVVQRDLSPLWEIDMNGKVNGAVETCRGEDDWVMSKHLKHYFNFSHPLIAEHLDPDECAWAYGMNVFDLRIWRMSNIRETYHSWLKENLMSNMTMWKLGTLPPALIAFKGRVYPIDPSWHMLGLGYQNNTNVEDVKMAAVIHYNGQSKPWLEIGFEHLRPFWSKYVNYSNDFVRNCNIMDS >CAK8569274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:690618207:690618671:1 gene:gene-LATHSAT_LOCUS22148 transcript:rna-LATHSAT_LOCUS22148 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSSGYSTLPNSGSEEDLMLLMDQRKRKRMISNRESARRSRMRKQKHLDDLALQVTQLRNENQQILTSVNLTTQRFLAVESENSVLRAQLNELNNRFESLNEIINFMNVTNGVFEPLDNINNNNFNSPLNMAYLNQPIMASADMNMMHY >CAK8538873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498578678:498579733:-1 gene:gene-LATHSAT_LOCUS8024 transcript:rna-LATHSAT_LOCUS8024 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLKCTELEVEIKTLIKVWLSIIASLCYCFFISSNLPKGILRFLSLSPILYLFIILPFQLSFVLPIGITSFFITWLTNFKLLLFTFDLGPLSSNPSKSLPLFLILACLPIRINQKQNLPLDQKHSKFHLLLPVKALLIGFFLIGLNDHKQKQKMYPTVIIVGLYCSLVYLLLDLVMGIFNILIHALFGIELESPSDEPYLSTSLSDFWGKRWNLMVTYILRHTVYIPVKILFSKTLLGPQWTSLFGIIVSFLVSGLMHELIFYYVTHVTPTWEVTCFFMLHGICVVVEVGVMKWLGHKWSVHWAISGPISVAFVVSTAAWLFFPPLLRDGADHRSIEEFKIFVECVMEKF >CAK8538874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498578678:498579205:-1 gene:gene-LATHSAT_LOCUS8024 transcript:rna-LATHSAT_LOCUS8024-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFNILIHALFGIELESPSDEPYLSTSLSDFWGKRWNLMVTYILRHTVYIPVKILFSKTLLGPQWTSLFGIIVSFLVSGLMHELIFYYVTHVTPTWEVTCFFMLHGICVVVEVGVMKWLGHKWSVHWAISGPISVAFVVSTAAWLFFPPLLRDGADHRSIEEFKIFVECVMEKF >CAK8576760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:511804709:511807495:-1 gene:gene-LATHSAT_LOCUS28929 transcript:rna-LATHSAT_LOCUS28929 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVAFLLEHLSQLLQREAKLLCGVEDRIISLRNELEIINIYLKTSSEGNNNNKQIEQKVLSQIRDVSYLAEDVIDTFIANVAIYKKKNMLERTLHSFDHAKLLRDAAEKIDKIKTALNEIHENKIKYCQESSDQSTSTREEENRMKSLHRLRRNVEEENVVGFVHESEVVINRLVEGGSPRLKVVSIIGMGGLGKTTLARKVYNSDEIKKHFNCRAWVYVSNECRAKELLLGLLQNLMPNHNYECRSSKIKKGKKKHKEALNNSQDISSLSDDELKKKVRKCLECKKYLLVLDDLWNIQDWEEVRDAFPGGNEGSRILITSRLKEVASHTSRDPPYYLQFLNEEQSWELFSKKVFRGEEYPCDLDSLGKQIVKSCGGLPLSIVVLAGLLANKEKSHREWSKVLGHVNWYLTRDETQVKDVVLKLSFNNLPARLKPCFLYLGIFPEDSEIRVRKLLQLWMAEGFIQETGSRDAYDVAEDYLYELIDRSLIQVAQVKDSGGMKTCRIHDLLRDLCILESKEDKIFQVFNDNNILIPTKPRRLSVHSSMSHYISSSFNDHSCVRSLFCYDSNCFISHDEWNWLTKGFKLVRVLHLEGDCCFKIPANLGNFIHLRYLRIVSKYIRSVPDSICNLQNLQTLDFGPSIMVITISFPIGISKLKHLRHVYTLGPIMVRGHCLESNGEVMRNLQTISCIVLNKKTTYLIEKESFPKLRKLGLHISSNFKGDVPKMLLSLQQLKHLNKLEILFKVKGWPHSRWDINYKPEEILQSLKHLSHLSRLKITNALDLLTCEVMFPPNITELTLGDITCLNEDGMNAIGNLTKLQRLILTGDNWLSDSFFDLSCVSNGFPQLQVFQMRNLPIQNWKLANGSMPRLHILDIHKCDKLDSLPSELWSLTSLTKVCVRRPSHAMVAMLQNLEVENGCQVIVE >CAK8572203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519859328:519869999:-1 gene:gene-LATHSAT_LOCUS24794 transcript:rna-LATHSAT_LOCUS24794-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSRSYSANPSDYKLLEEVGYGASATVYHAVYIPYNEEVAVKSLDLDRCNSNFDDIRKEAQIMSLIDHRNVVKSYCSFVVDHKLWVIMPFMAQGSCLHLMKAAYPDGLEEDAIGSILKETLKALDYLHQHGHIHRDVKAGNILLDTNGEVKLSDFGVSASMFDTGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSVDKLLKHSFFKQAKPPELSVKKLFADLPPLWTRVKALQLKDAAQLALKRMPSAEQEAISQSEYKRGVSAWNFDVEDLKAQASLVQDENDIAEMTEEDENKFFSSNRDASDSQSSVDKRNSDNSQHDESTLQVGGNDVPQSDKRNGLIAEATTSTSEKDVGTSKIKTQAVKVGKTQSGPLVPGTVLGHYLPERGRLFERFENESQLSGEKSNRDIRRAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSSDDKSKANLVQIKGRFSVTSENLDLVKDIPVSSVSRRSPQDSSPLKKSASVGDWMADFKQQLPIGVGQSSNDSANVNVPASVIVPHLHNLYQQTSIQQDLIMSLLNSLQTAEANDASQNGKLPPIPRSSENNGSVEIAASEREQLLLAKISELQSRVSSLTDELTSEKLKHMQLQQQVVAFHSQEQNGEREEGA >CAK8572204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519859328:519869999:-1 gene:gene-LATHSAT_LOCUS24794 transcript:rna-LATHSAT_LOCUS24794 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSRSYSANPSDYKLLEEVGYGASATVYHAVYIPYNEEVAVKSLDLDRCNSNFDDIRKEAQIMSLIDHRNVVKSYCSFVVDHKLWVIMPFMAQGSCLHLMKAAYPDGLEEDAIGSILKETLKALDYLHQHGHIHRDVKAGNILLDTNGEVKLSDFGVSASMFDTGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSVDKLLKHSFFKQAKPPELSVKKLFADLPPLWTRVKALQLKDAAQLALKRMPSAEQEAISQSEYKRGVSAWNFDVEDLKAQASLDENDIAEMTEEDENKFFSSNRDASDSQSSVDKRNSDNSQHDESTLQVGGNDVPQSDKRNGLIAEATTSTSEKDVGTSKIKTQAVKVGKTQSGPLVPGTVLGHYLPERGRLFERFENESQLSGEKSNRDIRRAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSSDDKSKANLVQIKGRFSVTSENLDLVKDIPVSSVSRRSPQDSSPLKKSASVGDWMADFKQQLPIGVGQSSNDSANVNVPASVIVPHLHNLYQQTSIQQDLIMSLLNSLQTAEANDASQNGKLPPIPRSSENNGSVEIAASEREQLLLAKISELQSRVSSLTDELTSEKLKHMQLQQQVVAFHSQEQNGEREEGA >CAK8561366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:170143190:170144896:-1 gene:gene-LATHSAT_LOCUS14966 transcript:rna-LATHSAT_LOCUS14966 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVHLAIDGRWQVFKLQQPTPRNDFCRIATKNGILLRLINTLYSLNESTRLASMSVGGILVDGSIQRPCSGILDPTHHFSSQNEALLSSANQQDLSKLRRGVLDHHLEPSHSSSSNPRRSNANYQMDVNRPQSSNVVAEVVPLEKTDVELRQHRLSISANRASTDRPTKLTETPPNGLSVTGSTQQEQVSPLLSLLEKEPSSGRLSVHLEYARHFSTLERHESVLHLLHTSEKKTNGELNFLMVEFVNVSQRGRENENLDSSARVSQRVTPKKLGTFGSSEGVASTSGIASQTTSSVLSGLSVLNARPGSATSSRLLSHMVSSLNADVAKEYLEKVKDLLLEFAQADTIVKSYMCIQSLLSRLFQMFNRVEPPILLKILKCINHLLTDPNCLENLQRAEEIKYLIPNLELKEGSLVSKINHEILNALLNLCKINKRRQEQAVENGIIPHLMQFITSNSPLKQYALPLLCDMARASRNSREQLKAHGGLDVYWNLLKDEFWSVTALDSIAVFLAHENNNRKVEQALLKKDAVQKLVNFF >CAK8574598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:3432522:3433898:1 gene:gene-LATHSAT_LOCUS26928 transcript:rna-LATHSAT_LOCUS26928 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGEKWPSDLEKCDDIILEDGESESVCDEKLLALSAAIGLKKTKLDKALVLEKAKHYVKQLQEHIKELEQDVGSKNICNNNCGTSNNILPDVKAKVLQKEILLTIHCEKQTTVMLKILTHLESLNLLVVTSNVLEFGKYALDITIVAQMGDGDNIRMDELVKSLRTVIMTK >CAK8571611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:448544319:448544921:-1 gene:gene-LATHSAT_LOCUS24254 transcript:rna-LATHSAT_LOCUS24254 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVAIHTFSQSETKTSATVTTTTDSDPNLQLSTVRRTSSTATRSTKDRHTKVNGRGRRVRMPPLCAARIFQLTRELGHRSDGETIEWLLRHAEPSIIAATGTGTIPADPVSTVATVSSSSVQSVSCNVHPVSSVGHGSQGVFAAGMPIQQPSCRLDLCQPSGMEYAAENRYRHMPFTAMLLQPTTAEENQQEETLSEQ >CAK8563489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609534616:609535146:1 gene:gene-LATHSAT_LOCUS16889 transcript:rna-LATHSAT_LOCUS16889 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRGRPRLVPPSTNTLTAAISELQVTEEEASVDNEERNDTVENGNLVEENIAIASDSEDLGHLSTEERMEVETSQTKKLWVDIINENRNPAKGLTMEFVAPKIIDGEMEIQIEEEDVEKEVKFWESALIMYALGVDLSMNAVKQFMSKNWNFVKFPDMFYNEEGFFILRF >CAK8530726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:44879348:44879915:1 gene:gene-LATHSAT_LOCUS570 transcript:rna-LATHSAT_LOCUS570 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDLKQSVKNEAKVKGSICAHYLHRETSHFCSHYFNYLMLTPRIIRNLVNVNERSQFTLSVFGIPGRPSGKKGVHWLTQKEMQSAHVHVLINCVEVKPYLDVFLYKKLNISCFHSTGVQATSGHIHVHFPAWLKEKLSCIVALIQEILHLRNFPEGPI >CAK8533637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:644127730:644128132:1 gene:gene-LATHSAT_LOCUS3249 transcript:rna-LATHSAT_LOCUS3249 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLIMNIVQHVKGTLLLLRCYRREAIYCLWDTNFGVYGIIALQVVVADEKEVWLEVWTVEKLGVKLFKWLSNFHILCLCSSDGIMKLLIFLFFV >CAK8533854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:665348457:665349884:-1 gene:gene-LATHSAT_LOCUS3445 transcript:rna-LATHSAT_LOCUS3445 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGKFSLTPKNQVNDLAGSGFPDNQHRSLPEPIYVSSSSSDDESNMSNDDASKQLVLYDPVINGDNAIQSPDPFQFKPPPRPRSKPSSSTPRVLPAVGAFTVQCASCFKWRLIPTKEKYEEIREYILQHPFVCEKAREWRPDITCDDPEDISQDGSRIWAIDKPSIAQPPDGWQRLLRIRGEGSSKFADIYYVAPSGKRLRSMVEVQKFLAEHPEYMIDGVTLSRFSFQIPKPLQENYVRKRSHAKSVEPEQVRPLAWVGPEDGTNSNEERLGLPAPFMEAYDPDSHPAKKQATRSFFP >CAK8570062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22741697:22747721:1 gene:gene-LATHSAT_LOCUS22846 transcript:rna-LATHSAT_LOCUS22846 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREDNSNGAAIPKKSRSLDLKSLYKSKLTEEGSKKNSKRKSSSAPGGGDETRNKRKKAKKEVVLSSLENGDGSGKKVADEVCEKGPSLGRDDLGELKLGVSEVFNSSSGLNGVLLGVFSDVCIPKRKRTLVGRKKSHIDQSLNPVGHPSIQVGHDDLVPKLGSDDLGRAVQSSKSNLKKHFDEFKENRNSDSNSISVQHVTENGDHGPNSVVNSGLSSLKKSKKRDRKRKTLASDKPRVSKEAEPLIDSCTISVDLQDDDEENLEENAARMLSSRFDPSCTGFSSSSKSSPRPSANGLSFLVSSSQNIVNHGSKSRSGSESASVDTAGRHLRPRKQDKDKEKSRKRRHFYEILPGDVDAYWVLNRRIKVFWPLDQSWYYGLVNEYDESQRLHHIKYDDRDEEWINLQTERFKLLLLRNEIPGRAKGRRDLTKSRRSDQQNGSKSEKERQRREVITKDDSCGGSSMDSEPIISWLARSSHRLKSSSFHGIKKQKTSVTHPSPTSSLLYNEPTSVKGNATKSSSRDVSNNFSCGSISQEKLSDNLREKSSLQSATQIKDSKQPTVYFRKRFRRPAGMLPPAPKEKHIIVSTPCSISFDHVVGGIQNVKQPRGRRFEGPLWFNYEEGVSKMFWNTDSASFKFHLNFPIRLILNEAFQSENLWLLYALLLLRYGTIVTKWPRVCLEMLFVDNVAGLRFLLFEGCLKMAATFVFFVLRVFHRPAPLGNYDLHLQLPFTSIGFKFSNLHAIKQPLVFALYNFSRLKSSHWVYLDSKLKRHCLLSKQLHLSECTYDNIQALQHGSSEFTAASISRPSPVKVMRKRSRPGINIMGVSKVSSQVITHQSSDSGERKLPPFALSFAAAPSFFLCLHLKLLMEQSTAHVGFCNQEPTDGQEDSGLVTDDYSNIDTTTLSNEATDDGLSCPELDPLMDPSACGDQAVSQNDHSIGLQGTGTSYSHGSEGLDNTHSPESQSHHSAPKLGSLPSSSLIHQDKVDEGSHSFNRDLHVQIPSVDEFEKPNHAQQSPDLSWNVNGSVIPSSNRTAPRSSYRSRNSSMSLGFQSHLWSDGKPDSLYNDFSCGPKKPRTQVSYSVPFAGYELSSRHRGHNQKGLPNKRIRKASEKKSSDVARAPEKNFECLSCDANVLITAGDKGWREYGSHIVLELFEHNEWKLSVKVLGVTRYSYKALQFIQLGSTNRYTHAMMWKGDKDWILEFPDRSQWALFKEMHEECYNRNLRAASVKNIPIPGVHLIEENDDNGSEATFVRSSMYFQQVETDFEMALNPSRVLYDMDSEDEQWFSNIRNSDKYNSDLNGITEEMFEKTMDLFEKAAYAKMRDQFTPHEIEELTFHVGPLGIVKVVYDHWLQRRQKKGMALIRHFQMPMWERYQQQLKEWEVAVTKNNISSNGCLDKGATLEKPPMFAFCLKPRGLELQNKGLKHRSQKKISVSGHTNRFRYHDSYQTNGRRPNGSAFSDERYPGHSYDSLDDSPLPLTSPRVFSQRDTASMKYYSMSNDAYYRNHMQKLNRSKSKKLGSFMHNNDSHTPTSYSQRLPASSAKRNGVRSNIVKYDLPGHRKYVPDSPQKQWIEQLHGSDLEEFKRRDLVNAAQRARKIAKLKRERAKKLHSRADVAIHRAMVALMIAEAKKASGEEVGERNKNQQLRR >CAK8541585.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:269763187:269763507:-1 gene:gene-LATHSAT_LOCUS10495 transcript:rna-LATHSAT_LOCUS10495 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPLWFLGGWIFLSNCMAEPNTSKQLNFFHFLTLFLILLLIINLSHQPTSTINPSPTTSTKSTMSPSSTSTNLHPHKPQKHGDGKEFGVDAHEVPSGPNPISNR >CAK8574140.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665463067:665464937:1 gene:gene-LATHSAT_LOCUS26513 transcript:rna-LATHSAT_LOCUS26513 gene_biotype:protein_coding transcript_biotype:protein_coding MFRETIAVSSAATTRWASHQTQWTRDHDKLFERALLIVPEDLPNRWEKIAEQVPGKSAAEVRDHYEALVHDVLEIDSGRVEVPSYSDESAVVSGGGLNEWDSSNQISFGSKVKHGGDNERKKGTPWTEEEHRLFLIGLSKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSVKKERKRSSIHDITSVDSNSAPAPIDQNWVPPPGGASMQQSQEMQHYPSNNFQDQMGTFGYSSYGFQI >CAK8565563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:278361454:278363881:-1 gene:gene-LATHSAT_LOCUS18764 transcript:rna-LATHSAT_LOCUS18764 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLILSVLLILSVTTIADDVYIRPQPRKTLHLPWDSKPSSYPQQVHISLAGDKHMRVTWITDDKSAPSVVEYGTLPGKYDSVAEGETTSYSYIFYSSGKIHHTVIGPLEPSSVYFYRCGRQGPEFQLKTPPAQFPISFAVAGDLGQTGWTKSTLDHIGQCKYDVNLIPGDLSYADYIQRRWDTFGRLVQPLASARPWMVTQGNHEVEHLPLLKEGFISYNSRWKMPFEESGSSSNLYYSFEVAGAHIIMLGSYDDYDVHSEQYRWLKTDLSKVDRKKTPWLLAIFHVPWYNSNTAHQGEGSDMMKTMEPLLYAAKVDLAFAGHVHAYERSKRVYNGKLDPCGAVHITIGDGGNKEGLAHEYIDPPPKWSEFREASFGHGELKLVNSTHAFWSWHRNDDDEPVKSDDIWITSLVSSGCVGQKRTELEQAPIRP >CAK8579383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:695075881:695076273:1 gene:gene-LATHSAT_LOCUS31334 transcript:rna-LATHSAT_LOCUS31334 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASNVSTSNSHGYGTFGSLIRGNKRIECFCQDESVLRTVNDVNSVNKGRKFWGCKNYINHIKKVVIFSSGLVMSLLMEKDLKLERQKKKINKLKNEVIYTRWWLKMSIVVGIVTLGLNLVFVTMYLN >CAK8533546.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:634670970:634673485:-1 gene:gene-LATHSAT_LOCUS3167 transcript:rna-LATHSAT_LOCUS3167 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKKNAIKAGSLEVDKSKVDVVKKERRWRFRFSFIGCCKSSKSKFDSNSICCNGTTSTQNGDNVKSVIIQSEENKSVFEIDTKDSSAPADSSTTTSNGESISSTSKFSEELKFASCLRKFTFNGLKVATRNFRPESLLGEGGFGCVFKGWIEVNGTAPVKPGTGLTVAVKILNHNGHQGHKEWLAELNYLGDLVHPNLVKLIGFCIEDDQRLLVYEFMPRGSLENHLFRRPLPLPWSIRMKIALGAAKGLAFLHEGAQRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDAPEGEKTHVSTRVMGTYGYAAPEYVMTGHLSSKSDVYSFGVVLLEMLTGRRSIDKKRPNGEHNLVEWARPILGDRRMFYRIIDPRLEGHFSVKGAQKAAQLAAQCLSRDPKARPLMSDIVQSLKPLPNLKDMAVSSHQFKIARVDRTMSMPNPKNGVRTDLASLPKKGQPVRTLSSSNYGNGSPYLRHSKSPKPIM >CAK8566843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466783485:466784423:-1 gene:gene-LATHSAT_LOCUS19946 transcript:rna-LATHSAT_LOCUS19946-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTSHNTNPLNTEPRKRLIVKLSYLPGSRKRDSDSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSQTKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPQRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRSKTHKSTRY >CAK8566842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466783485:466784426:-1 gene:gene-LATHSAT_LOCUS19946 transcript:rna-LATHSAT_LOCUS19946 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASTSTSHNTNPLNTEPRKRLIVKLSYLPGSRKRDSDSCGTDENKRRKIQDSVKPIVTCYWVDSDYRTKTTSLSQTKHNNVVEDKKMIENQVSKTTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRTPLSQPKDNNVVENKKMIKNRVSKTTTLSQPKNNTKDSSKTAFVTRVEECGLKQPMECVRRRQCWLILKRMLVDRDGWDLKDPPKIAMVDKCKIKAIGLKEIERKLRLYATPDEFASDIRLVFSNAMLMYPQRNHIYQIAKKFSQNFEHKWKSLKDMWDLEDTKRSKTHKSTRY >CAK8544583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:693692965:693694866:-1 gene:gene-LATHSAT_LOCUS13244 transcript:rna-LATHSAT_LOCUS13244 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSMFNGLAKSFSLRKGGNSVRCERREAVEEMAKEAKKNDLILCSSGVVNVDGSNNFASVFSKRGQKGSNQDCCIVWEEFGCQDDMIFCGIFDGHGPWGHFVAKRVRESMPRSLLCNWQETLAAQSSSSLDNDHVKEIEIPDNKQQRFNIWKHSYLKACASIDQELEHCRKFDSFHSGTTALSIVRQGETIIIANVGDSRAVLATTSDDDDGSLVAVQLTLDFKPNLPQEAERITQCQGRVFCLEDEPGVHRVWLPDVESPGLAMSRAFGDYCLKEYGLISVPEVTQRNISSKDQFVILASDGVWDVISNQEAVDIVSSAADRAKSAKCLVQCAVHAWKRKRRGIAIDDISAICLFFHSPMSSELVSSTIETLK >CAK8530242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:9064764:9065147:-1 gene:gene-LATHSAT_LOCUS124 transcript:rna-LATHSAT_LOCUS124 gene_biotype:protein_coding transcript_biotype:protein_coding MALENKNKNTEQNKFGSFSEMEIDIAKQLIQLSNSNGETQSGTTCLDDNRHSHSYSSNSVTLQWKLEECKGSDDISPSSPGGDSVEDVLAEIEEDEELRRKKKRIRYVEELYRVTDPIVDVAPFDKN >CAK8538026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459370617:459374195:-1 gene:gene-LATHSAT_LOCUS7267 transcript:rna-LATHSAT_LOCUS7267 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILSLGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRVPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEAAFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVASAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8538626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489390238:489392178:-1 gene:gene-LATHSAT_LOCUS7806 transcript:rna-LATHSAT_LOCUS7806 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVGKVGSLITKGVYSVATPFHPFGGAVDVIVVQQEDGSFRSTPWYVRFGKFQGVLKGAEKVVRINVNGVDANFHMYLDNAGEAYFIKEVDGDDNVNSNVVVTESEFTPGESSLKFDVTGRRLDHSVSDLGVLQSTGDDHLSDLPKLRKAESDVDKRFYKLQDDQPVIADSAYLPECEENYVDSQDSHPGMILVSGDGHMLTAPIAESEPTEKNLELDALQCHLGPVAETDFYEGEEEFSSIENTCTTDDVSQLDASTADVPSIIYSSNVDNSNRGIHLEDCQIEEAPICHTEETDTEEAASCINTGSVFKSCLDFHEFNQQAENDGSSLVDQNSAEESNENGSNVDENENESIIQSRNIDGLSPLSVPTSSGDSISPNLKTKLQGVDKDASAEVDTDSGSHSGTNDVEWNDSQETHVLENTSEEDNVTAPQTLTSTDGDQSHFDSRFDISLCGHELKAGMGFIAAAEVFEAHRISAEEFRVSAPSITKNKNLVVKVRGSYLLWEKASPLVLGMAAFDLDLPVDPEDTIPVGQDYTLKSSDDIPGPSSSRRRWRLWPLAFRKVKTAEHNSIDESSEDIFLDSVSDLLGSVVEPTPTSVKRESPPKQFVRTNVPSKEMIASLNLKDGPTGTAIYRRQIQFSSKFAV >CAK8565372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:155797738:155804851:-1 gene:gene-LATHSAT_LOCUS18592 transcript:rna-LATHSAT_LOCUS18592 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSSSSTVSIFLFLLTFLFHSSPTSASYSETCSGIVPFRQRADTISITDFGGVGDGKTLNTKAFREAIYRIQHIRRRGGTLLYVPPGVYLTESFNLTSHMTLYLAAGAVIKATQRFWNWPLIAPLPSYGRGRELPGGRYISFIHGDGVRNVIITGENGTIDGQGDVWWNMWRQRTLQFTRPNLVEFLNSRNIIISNVIFKNSPFWNIHPVYCSNVVVRYVTILAPRDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGISYGRPSSDITIRRLTGSSPFAGIAVGSETSGGVRNVLAEHINLFNMGIGIHIKTNIGRGGIIKNLTVSSVYIENARTGIKISGDVGDHPDENFNSNALPVVKGITIKNVWGVHVLQAGLIRGLSNSPFTDICFYDINLYGETGSSARSSPPWKCSDVSGFAHQVSPWPCSQLSSQQSGSCTNY >CAK8531856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:166753581:166756730:-1 gene:gene-LATHSAT_LOCUS1620 transcript:rna-LATHSAT_LOCUS1620 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSTKPFSSSLQTSLKRGFTPTPNSINTFFHFLLNLRKFNLIINLFHQFTTNKFPITPKTHTFFTWALLNTHSFEQAERFMKKNPHTPSRAWDALIRGLCSTHQEEPERVLSVLKHCLVSRRVFVSSSVFCCVIQKLCYAGHVGKAIEVLELMAEHRKEYPFDDFVCSSVISAFCRAGKPELSLWFFDNIMGSKSKSSWRPNLVSCTAIVNALCKMGRVEEVCDLVRKMEEDGLGLDVVLYSVWVCGYVEEKVLVEVFRKMREMVEKGIDHDFVSYTILIDGFSKLGDVEKSFTFLAKMIKEGIRPNKVTYTAIMSAYCKKGKVDEAFSVFNGMKDMGIELDEFVYVVLIDGFGRVGDFDRVFQLFDEMEKRGIMPSVVMYNAVVNGLSKFGRTQEADEFSKNVAADVITYSTLLHGYTEEENVLGILQTKKRLEEAGISMDVVMCNVLIRALFMMGAFEDVYALYKGMPEIDLVPNSVTYCTMIDGYCKVGRIDEALEVFDDFRKTSISSNECYNSIINGLCKKGMVEMAIEALLELNHKCLVLDTGTYRLLMKTVFKENDSKMVLDLVCRMEALGSDIYNAVCNDSIYLLCKRGLLGDANQLCIAMKKKGLPVTCKSYYSLLRRLLCSVVNREQIPPLLNSFLKECGLVEPKVQQLLARYLCLKDVDIALQFLRKTVDNSSAVTFPVSILKILIKDGRALDAYKLLTGVQDNLPVMYFDYAIVIHGLCKGGYLNKALDLCAFIEKKGMNLNIVVYNSIINGLCHEGCLIEAFRLFDSLEKLSLMTSEITYATLIYALCREGYLQDAEHVFTKMVSNGFQPKTQVYNSLLDAISKFGQLEKAFELLNGMDKKYVEFDNFTVSAVINCYCQKGDMEGGLEFYYKFKGKDILPDFLGFLYLLRGLCTKGRMEEARSVLREMLQFKNVTEMINIVNSEVDTESISDFLATLCEQGSIQEAVAVLNEIASVFFPVQKSSSYNQGSDKSQKNYESKYFGFKSSTSLPSSCKSGLDFDFYYSRIAALCTKGELQDANQLAKEILSDMAESIN >CAK8533708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651681066:651681266:1 gene:gene-LATHSAT_LOCUS3311 transcript:rna-LATHSAT_LOCUS3311 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEMSITLDDVFCLLHLPIRGVFWPPQHITEATAVGLAVDYLTVLQYKAQEHVRHCRGSYYKME >CAK8543834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:642652853:642653458:-1 gene:gene-LATHSAT_LOCUS12564 transcript:rna-LATHSAT_LOCUS12564 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRCEELLPSKMSNFTFLDDLTETSWGFNPTLGQVSFTRNVLETTTIADMDLKTTYSRRIMTFQIPSSLIISSFLSGVIDRFGLLTSPSALHGPSYAPTVGRESFFRDLSMPPLDLDMSLGLPSTFTRDVFPIDELPHIGQARVQSFESVVGEAEDDDTEDSSEHDYEGGYDEDGSYHTLSSACRGLCGAGVGGYYGCH >CAK8543835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:642652853:642653419:-1 gene:gene-LATHSAT_LOCUS12564 transcript:rna-LATHSAT_LOCUS12564-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTFLDDLTETSWGFNPTLGQVSFTRNVLETTTIADMDLKTTYSRRIMTFQIPSSLIISSFLSGVIDRFGLLTSPSALHGPSYAPTVGRESFFRDLSMPPLDLDMSLGLPSTFTRDVFPIDELPHIGQARVQSFESVVGEAEDDDTEDSSEHDYEGGYDEDGSYHTLSSACRGLCGAGVGGYYGCH >CAK8571336.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:383971939:383975106:1 gene:gene-LATHSAT_LOCUS24006 transcript:rna-LATHSAT_LOCUS24006 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKSKFKRICVYCGSSSGNKPTYQEAAVELGKELVEKRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRSLMPREITGDPIGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEIITWAQLGIHSKPVGLLNVDGFYNSLLCFIDKAVDEGFISPKARRIIVSASTAKELVKGLEEHVPEQDEVVSKLVWEERLNYVPESEVAM >CAK8570599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:66688097:66689080:1 gene:gene-LATHSAT_LOCUS23336 transcript:rna-LATHSAT_LOCUS23336 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRDKELRKTVVNMGYALIEATFNYYRDEIRRTNRAALEWIDNIPREKWSRAFDRGQRWGHMTKNLAEAMNSVLKATRNLPITALVKSTFYRLGSLFGKRGHDWTKLLASGQTFTENCNKGMVDEVIKYSSHNVIQFNRERFYFMVAKSINQRDDRPLGTFSVDLKRGWCDCERFQAFHLPCSHVIAACASIRQDHNMHIPDAFKVLSVFKVYSESFLGLPHQQNWPTYEGFALCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCSNVASPSNRPV >CAK8563983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643913125:643915262:-1 gene:gene-LATHSAT_LOCUS17335 transcript:rna-LATHSAT_LOCUS17335 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSPTQQQPPGSCYSSALPSDDEISSILGFQNPSDQPTFTQLLDLQPQDHTFTQLLHQQHDTHDYQRILHQQNDTFTQLLNRHLLHQEAPHHSSQRIHLYNFADPDNHTNVHIPITATKPRVPSLQQLTLKLLDNHSNPFALFAAVSHKQKQKLSNLLCFSGELNGRFLALLLSGFPTHIQLRNCSWLTRVEFLKYFPTLVTSELEVLQLDKCGSIITDETVSETLAKPYNSLSKLTSLSISGAYQLNNTGLDLLVSSVKALTYVNLTKCVRLTAAGLDILADSFGSTLKDLYIDGFNFFNTKGILQALKRFKQLQVLSLAGIRDLSHEFIKDYIMACGRNIKGLVLKDCVNLKNLSMRFIADFSRTLNVLDITNLCKLTDSSLIFFKNRFRQLNILKLGRSPFSDEAIAAFLEIAGKNLEELSLNSIEKVGHLTALSLARNAKNLHTLDLSHCRNLTDNDLRLIVDHCLSLRTLKLVGCSQLTDVFLRGRANSEIRIIGLKLTPIWCKIYFMN >CAK8569883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14285191:14285484:1 gene:gene-LATHSAT_LOCUS22687 transcript:rna-LATHSAT_LOCUS22687 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNKQISYGAPRSYSVSYAQTQIGPKDLKFKQGKSDSSRSSISKSWSFGDDPEFQRKKRVASYKMYTVEGKVKGSFRKSFKWLKNRYWHVVYNLW >CAK8562047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:431035629:431038099:-1 gene:gene-LATHSAT_LOCUS15580 transcript:rna-LATHSAT_LOCUS15580 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLGKGDSPKKSVPETKLEAKMVEAVRRRECHGCSTRSFNTIILKFPKIDESFRKCRAIFEQFDEDSNGAIDQEELKKCFSKLEISFSEEDINDLFKACDFNDDMGMKFNEFIVLLCLVYLFKDDPKALHAKSRIGMPNLEATFETLVDTFVFLDKNKDGYVSKNEMVQAINETTSGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTRWVGIDEGEDE >CAK8534259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:711153595:711154019:-1 gene:gene-LATHSAT_LOCUS3819 transcript:rna-LATHSAT_LOCUS3819 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQGLLSALDELLPKVDQRFCVRHLYSNFKKKFPGVKLKGLMWKAANASHSNAWETNMCEMKEVNEEAVKHLWKIPPRFWSKSRFRNGPKCDNLVNNMSEAFNSVFVAARA >CAK8574196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668802879:668804031:-1 gene:gene-LATHSAT_LOCUS26562 transcript:rna-LATHSAT_LOCUS26562 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYRVKVKATGNADQAMLDSISRLSALIEDVCVVESGPHLTKKEAYMIVRHLKYGPAKKGVKKSQDAIQSDVKAAECDVEPLTATSSDSINHKNQSSPEYQFETQEALISHGNEQFPFSADGSDKSVNHRNDSVSPPVLENRYKKASHHGENKVQLNARVPPAVTENRYRKAEPRNRSQQTTPNTNPVTRDTNRWTPSNSNHPPGSRSPMPSRDNIHPNPRGPNTKSPGYGLFSRDT >CAK8537445.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:360941356:360942300:-1 gene:gene-LATHSAT_LOCUS6737 transcript:rna-LATHSAT_LOCUS6737 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNISIIFTLFLAISLLHLISARKLTESDQLLKFQYHKGPLLSGKISINLIWYGNFKPSQRAIITDFITSLSSPKQTTTSQPSVATWWKSTEKYYHLTNNKKSVNLALSLGTQILDENYSLGKSLTTNQIIKLASKGQQQNAINIVLTASDVAVDGFCSSRCGTHGSSYGARMNGKQHKFAYIWVGNSETQCAGQCAWPFHQPIYGPQSSPLVAPNNDVGLDGMIINVASLLAGTVTNPFGNGYYQGPKEAPLEASSACTGIYAKGAYPGYAGDLLVDKTSGASYNANGVNGRKYLLPAIVDPKTSACSTLV >CAK8560212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10641584:10642670:-1 gene:gene-LATHSAT_LOCUS13906 transcript:rna-LATHSAT_LOCUS13906 gene_biotype:protein_coding transcript_biotype:protein_coding MAETASAEQEIIAATVDSEMNSKTFQKRAGDEEDKTVSKKQKVDAEEEKKPSGPVKLGNKSFGSSLKLFDYFYSFLHAWGLNLDVSKYEHTMLLDLLRKGHPEPDEKIAGEICAFQVRKHPTWKSKRFFLIRDDESADDFSFRKCVDRILPLPEAMQVKHDANRALGKRGGGGKGRQRQGKEKVKTLSLKVSDS >CAK8579176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681984569:681985390:-1 gene:gene-LATHSAT_LOCUS31142 transcript:rna-LATHSAT_LOCUS31142 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKQVIIRQVWTYNLEYEFNLIRQAIHQHPMVSMDTEFPGVIHSPEIDHHYLQPSDNYRYLKANVDALNLIQVGFTLTDIKGNLPDFGTSYSYIWEFNFCDFDINQDPCNQDSIDMLCRQGIDFNRNLRHGVDSRCFADLMFSSGLVSNESLWWITFSSAYDFGYLVKILTQKNLPDRLEDFLNIVEDLFGKKVFDVKHMMKFCNFLHGGLERVATTLKVNRAVGKSHQAGSDSLMTCQTFRKMLETCCVNKKVPELKDYAGVLFGLEIVV >CAK8574934.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16238876:16239484:-1 gene:gene-LATHSAT_LOCUS27232 transcript:rna-LATHSAT_LOCUS27232 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFGKIGVEIPKYEVIKTTQNYVIRNYAPSVVAEITYDPSEFKGDKDGGFKVLVDYIGIFGKPKNTKTEQISMTSPVITKENTSVSSEKIAMTVPVVTNEKNKMVTMQFTLPASYGKVEDAPKPIDERVVIREEGEKKYGVVTFGGVASDEVVKEKVEKLKLSLEKDGFKVVGDFVLGRYNPPMWTIPMFRTNEVKIPVE >CAK8540133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:541112338:541114809:-1 gene:gene-LATHSAT_LOCUS9166 transcript:rna-LATHSAT_LOCUS9166 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELLEAASMPVIQVLLISALGAFMATQYFNNLLSSDFRKSLNKVVFFVFTPALMFSSFAKSVSLEDMISWWFMPVNVGLTFLIGGILGWILVKLLKPNLKVEGLIIAACSSGNMGNLPIVIIPAICDEKGGPFGSRDVCHSHALSYASFSMSLGGLFIWTYTYQTLRSSSMRFKAFEATQIIKAPNKDLEGNADTPLLKGNDENTATEVAPLSYIEDSESQIIIEQDQFIVLKKENHSFVARTIEVLSDLVLELMSPPAIATFFGFLFGAVAWLRNLIIGVNAPLSVIQDTLVLLGNGTIPCITLLLGGNLTQGLKSSSVKPLTLISIIVTRLFVLPFIGLFIVKAAANFGLLPVDPLFQYTLVMQYAMPPAMNISTMAQLFDVGNEECSVILLWTYSAAAIALTAWSTFLLWLLS >CAK8533114.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:579640903:579646353:-1 gene:gene-LATHSAT_LOCUS2762 transcript:rna-LATHSAT_LOCUS2762 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQNLINSAVLTLCVLVLSTCNYQACAFGKFGKFGNFGNHKWASKDHFWDRKKDDYVVRSICADLITTHGYKCDEFEVTTNAGYILSIQRIPVGRSESSSNATREPVIIQHGIMMDGASWFMNSPAQNLPMILADNGFDVWITNGRGTKYSRKHTTFDSSKKQYWNWGPDELVSDELPAIINFVFKQTGQKINYLGHSLGTMVALLSLSEGKWVNEVKSVALLCPISYIGNMKAKLATLSMRSERGKKYTARDFTEFKPKGRITLSFIRVICATFRLNCNDLFTALTGENCCLDRAAFVRLAQVEPQSTSKKTLYHLSSIYLNDIVAKFDYGRREINQRYYGQPKPPIYNLSNIPNNIPIFMSYGGKDALSDVADVQRLLSLHFQNHDKAKLNVQFIHEYAHFDYMMGVNANDLVYKHVASFFKQKF >CAK8542753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542900373:542902416:-1 gene:gene-LATHSAT_LOCUS11562 transcript:rna-LATHSAT_LOCUS11562 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAAIIGGAAGAAALVGISIILLWFCLFRQTSVSRTSETGSSDPSQVGRHGGIELQMRDTRRFAIDELSHATKNFTDKNLIGEGKFGEVYKGLLQDGMLVAIKKRGGANSQEFVDEVRYLSSIQHRNLVTLIGYCQENNLQFLIYEYVPNGSVSSHLYGASQQPRERLEFKHRLSIAQGAAKGLAHLHSLSPRLVHKNFKTSNVLVDENFISKVADAGLRNFLGRVEIVGSSSQVASDEIFLSPEVREFRQFSEKSDVYSFGVFLLELLSGKEATESPSINTSQNLVESVLSNQDSSTMSAIIDQRMESRFTAEGMENYILLLIRCLDPSIERRPSMSYVEMELDRILEKEMNLTTMMGEGTPTVTLGSQLFKSTK >CAK8573836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641415649:641418081:-1 gene:gene-LATHSAT_LOCUS26238 transcript:rna-LATHSAT_LOCUS26238-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVATELCIFLLSASCLLLLSSGFQLHSKPYDYSATTECVKEPYRAQYGGGIIVNPGFDHNIKGWTVVGNGTIEERISNDGNRFIVARNRTQALDGFSQKVHLKKGLIYIFSAWLQLSEGSQIVSVVFKTNGSELVHGGHVIAKHGCWSLLKGGIVATFSRHVEILFEIENPTVELWADSVSLQPFTRKQWRSHQDDSVERVRKNRVRFQVTHPNETALEGATVVIKQTKADFPFGCAMNHYILTNNEYQKWFVSRFKYTTFTNEMKWYSTEIVQGHENYTIPDAMLQFSKENGISVRGHNILWDSPRRQPHWDLTLSPDELRVAADKRMKSVVSRYKGQVIAWDVVNENVHFHFFEDKLGENISAEYYLAAYRLDPNTEMFMNEYNTIEISGDKNASPTNYLRKLKEIQKFPGIDGISLAIGLQAHFPSGVPNLAYMRTGLDLLAATGLPIWLTEVSVDAQPKQAEYFEDVLREGFSHPAVKGIIMFVGPEQAGFDSTLLADANFKNTPAGDVVDKLIRKWGTGPQTDIADSRGIIDISLYYGDYDVTVTHPLTQYSKTVNISVRKGFSLETVHVKMDT >CAK8573835.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641415649:641418138:-1 gene:gene-LATHSAT_LOCUS26238 transcript:rna-LATHSAT_LOCUS26238 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFAISRTVHCGLISHKMKVVVATELCIFLLSASCLLLLSSGFQLHSKPYDYSATTECVKEPYRAQYGGGIIVNPGFDHNIKGWTVVGNGTIEERISNDGNRFIVARNRTQALDGFSQKVHLKKGLIYIFSAWLQLSEGSQIVSVVFKTNGSELVHGGHVIAKHGCWSLLKGGIVATFSRHVEILFEIENPTVELWADSVSLQPFTRKQWRSHQDDSVERVRKNRVRFQVTHPNETALEGATVVIKQTKADFPFGCAMNHYILTNNEYQKWFVSRFKYTTFTNEMKWYSTEIVQGHENYTIPDAMLQFSKENGISVRGHNILWDSPRRQPHWDLTLSPDELRVAADKRMKSVVSRYKGQVIAWDVVNENVHFHFFEDKLGENISAEYYLAAYRLDPNTEMFMNEYNTIEISGDKNASPTNYLRKLKEIQKFPGIDGISLAIGLQAHFPSGVPNLAYMRTGLDLLAATGLPIWLTEVSVDAQPKQAEYFEDVLREGFSHPAVKGIIMFVGPEQAGFDSTLLADANFKNTPAGDVVDKLIRKWGTGPQTDIADSRGIIDISLYYGDYDVTVTHPLTQYSKTVNISVRKGFSLETVHVKMDT >CAK8530119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1660363:1664411:1 gene:gene-LATHSAT_LOCUS12 transcript:rna-LATHSAT_LOCUS12 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWEPEEADETIEIGDGDGDDDRKQPLLVRRRTNASSQLAIVGANKSPIQSLDYEMIENDLLKQDWRSRRKVEIYQYVALKWTLALLIGLITGLVGFFNNLGVENIAGFKLLLTNNLMINQKYHQAFAVYFGCNMILGVGAAALCAYIAPAAAGSGIPEVKAYLNGIDAHSILAPSTLFVKIFGSILGVAGGFVVGKEGPMVHTGACIANLLGQGGSRKYRLTWRWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIQFCHQGKGKCGLFGEGGLIMFDVNSVEPAYTTPDLLAVIFLGVIGGLMGSLYNYLVDKVLRTYTTINGKGAIFKILLVMIISFLTSCIRFGLPLLSKCVPCPGECPNSPSTGFSMHYDSFQCPPNHFNDLSSLLFTTNDDAIRKLFIDSSESSNAAFQLSSLIIFFVAIYLLGVVTYGVAIPSGLFIPVILAGASYGRLVGTVLDPFTDLDAGYFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLVSKTVADCFNKGVYDQIVMLKGLPFMEAHAEPYMRNLVAGDVVSGPLFTFCGIEKVGTIVHALKVTGHHGFPVIDEPPLTDAPELCGLVLRSHLLVLLKHKTLLTRKRVTTRSTIIKKMKASDFAKPGLGRGITLEDLEISQEEMEMFVDLHPITNKSPYTVVETMSLAKAALLFRELGLRHLLVVPKIPGRPPIVGILTRHDFMPEYILGLFPNLNPHK >CAK8576318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:457440959:457442203:-1 gene:gene-LATHSAT_LOCUS28516 transcript:rna-LATHSAT_LOCUS28516 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLTPSSFNSLTYLNWVQPSQNRVGPPRLTVKCGYGLEFGVGSSRCDWQSSCTILASKVISQQNGSPTDGNHHVSAVNGESNAVTDLQLVPVGNKPLPPKPLTITDLSPAPIHGSQLRVAYQGVPGAYSEAAAGKAYPNSEAIPCDQFEVAFQSVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKDYLTRVISHPQALAQCENTLTKLGLNVAREAVDDTAGAAEFIATNNLRDTAAIASARAAELYGLSILADGIQDDPNNVTRFVMLAREPIIPRTDRPFKTSIVFAHDNGTSVLFKVLSAFAFRNISLTKIESRPHRGRPIRVEDDESEGTAKHFEYIFYIDFDASMAEVRAQHALAEVQEFTSFLRVLGSYPMDMTPWSPSSTSH >CAK8575264.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:66050149:66051820:1 gene:gene-LATHSAT_LOCUS27542 transcript:rna-LATHSAT_LOCUS27542 gene_biotype:protein_coding transcript_biotype:protein_coding MITSCVSWAPPSSSPCSHSQPKLQHRELRPSPSSSSLSFSRSFPSLPISTTTLPPINPNNPKGSFIRAAWTRRSRGEAEKRPKRKSWKQRTDMYMRPFLLDIFFSKRFVHAKVTHRGTSKVICGATTNAKDLRNSLPSLIDPEACRLIGKLIAERSKEADIYALAYEPRKNERIEGRLGIILDTVKENGILFV >CAK8575843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:334185952:334188729:1 gene:gene-LATHSAT_LOCUS28073 transcript:rna-LATHSAT_LOCUS28073 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDLKVFMLLYILSIITCIDSLQPLRLGLHDFSDFNRSSFPPYFVFGTASSAFQYEGAAFEDGKGPSIWDTFTHEYPEKIRDGSNGDVANDAYHRYKEDIGLIKDLNMDAYRFSISWSRVLPKGKVSRGVNREGIKYYNNLINELLANNLQPYVTLFHWDVPQALEDEYDGFLSPQIVDDFRDYAELCYREFGDRVKHWITLNEPWSVSMNAYAYGKFAPGRCSDWLNLNCLGGDSGIEPYLTAHYQLLAHSAATKLYKTKYQPIQSGVIGITLLSHWYEPASEAKEDIDAAKRGLDFMFGWFMDPITHGNYPKSMRSLVGNRLPKFTKEESEQLKGSFDFLGLNYYSSSYAANAPHVRNALQPAIQTDSLINATFEKNGKPLGPMSASSWLCIYPRGFGQLMLYVKNKYNNPLIYITENGRDEFNDPTLTLEEALLDTYRIDYYYRHLYYVQSAIRDGVNVRGYFAWSLLDNHEWESGFSLRFGLVFVDYKNELKRIPKLSAHWFKRFLTRYSLEKCSI >CAK8541232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:92735148:92736808:-1 gene:gene-LATHSAT_LOCUS10165 transcript:rna-LATHSAT_LOCUS10165 gene_biotype:protein_coding transcript_biotype:protein_coding MFILQNEGKLFPVDYPKVMHKILGKYALILVTGELHKKLRSTVISFVSASKSESNFLHFVEMLAISRINSWGSNCKQVAFYTEAKKFSINVMLKHLLNMNPNDPLASKILDNFEKYIKGFISLPLNFPGTIYSNAVKARLRLSSIIKDIIAERRKANNVEVEGVDLLNLILSKENLSDEEMVSIVLDLLFGGYETTSKLLSLIVYFLDGAPDALKCLKEEHEMIRKSKMEGELLNWEDYKKMEFTQNVINEAMRCGNVVKYLHRKATTDIKFKEFVIPAGWKVFPVLLAPHLDPNNFENALEFNPFRWNVCGIGQDKKVAPFGGGPRLCPGADLAKVEIAFFLHHLILSYRWKMKTNDDPIAFPFVEFIGGLLLDLQPTTTTFGNQHP >CAK8576833.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517443245:517444386:1 gene:gene-LATHSAT_LOCUS28996 transcript:rna-LATHSAT_LOCUS28996 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRMITTTSSLVTLLLLATICDAQLSSTFYDTTCPDALTTIRTAIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDTTTIQSEKTALPNLNSVRGFQVIDNAKSQLEKLCPGVVSCADILAVAARDASFAVGGPSWTLKLGRRDSTTASKTLANTDLPLFSDDLQTLISKFSIKGLTAKDMVALSGAHTIGQAQCFTFRDRIYNNASDIDAGFASTRQRGCPSSSSTSNNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFSGGSTDSIVSQYSQNPTSFKSDFAAAMIKMGDILPLTGSAGIIRSVCNAAN >CAK8575708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262675230:262684691:-1 gene:gene-LATHSAT_LOCUS27948 transcript:rna-LATHSAT_LOCUS27948-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIRKWFMKSHEKTNNGAGNSKPSNPPKPSPVKPDPEKTLPEGQTSSDRRKTSKYFDTGKPKPKDEIKEIQAIPVKRKTMNDNNEDDGKKLAGSTPTKKLKSGSGRGIPHKPVDLDGSDEDDEKDVVSLIKSGGRGRGGRGASTQTTGGRGASTQTTGGRGRGGGRGTTKKSADLEESDEDEKNAAKSSRRGRGGRGASMPAPGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGARVTGSISKKTNYLLCDEDIGGRKSEKAKELGTSFLTEDGLFDMIRASKPAKAPKQDESKKSLNKAVAVPSPSKVPLKAETKAVAVPSPSKIPLKAETKASLSSCSPSNQAKLKKAASVQSNVMWTEKHRPTNPKDIIGNQSLVLQLRNWLKGWHEQFSNTGGNKKQGKKQNDSTSKKAVLLSGTPGIGKTTSAKLVCQELGFLAIEVNASDSRGKADSKIEKGISGSNSNSIKELVTNEALGTNMNRPKQSKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSYRKPTKQQMAKKFMEVAKAERLQVNEIALEELAERVNGDMRMALNQLQYMGLSMSVINYDDIRQRLLTNAKDEDISPFTAVDKLFGFNAGKMKMDERINLSMSDPDLVPLLIQENYINYRPSSAGKDGNGIKRMALIARAAESIADGDIVNVQIRRYRQWQLSQTSSLASCILPASLLHGSREVLEQGERNFNRFGGWLGKNSTRGKNMRLMDDLHVHILASRESSSGRDTIRLEYLTLLLKKLTEPLRVLPKAEAVEEVVEFMNTYSISQEDFDTIVELSKFKGHPNPLDGILPAVKSALTKAYKEQSKTRMVRAADLITLPGIKKAPKKRIAAILEPADEEVEQGDGNNGLDESEEDNSDADESGAATGEKLKSELQSLSSKGMQVQLELKGTGSSKKASGGRGKGASASGQKVAQTSKAPAKRKR >CAK8575709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:262675230:262684691:-1 gene:gene-LATHSAT_LOCUS27948 transcript:rna-LATHSAT_LOCUS27948 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIRKWFMKSHEKTNNGAGNSKPSNPPKPSPVKPDPEKTLPEGQTSSDRRKTSKYFDTGKPKPKDEIKEIQAIPVKRKTMNDNNEDDGKKLAGSTPTKKLKSGSGRGIPHKPVDLDGSDEDDEKDVVSLIKSGGRGRGGRGASTQTTGGRGASTQTTGGRGRGGGRGTTKKSADLEESDEDEKNAAKSSRRGRGGRGASMPAPGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGARVTGSISKKTNYLLCDEDIGGRKSEKAKELGTSFLTEDGLFDMIRASKPAKAPKQDESKKSLNKAVAVPSPSKVPLKAETKAVAVPSPSKIPLKAETKASLSSCSPSNQAKLKKAASVQSNVMWTEKHRPTNPKDIIGNQSLVLQLRNWLKGWHEQFSNTGGNKKQGKKQNDSTSKKAVLLSGTPGIGKTTSAKLVCQELGFLAIEVNASDSRGKADSKIEKGISGSNSNSIKELVTNEALGTNMNRPKQSKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSYRKPTKQQMAKKFMEVAKAERLQVNEIALEELAERVNGDMRMALNQLQYMGLSMSVINYDDIRQRLLTNAKDEDISPFTAVDKLFGFNAGKMKMDERINLSMSDPDLVPLLIQENYINYRPSSAGKDGNGIKRMALIARAAESIADGDIVNVQIRRYRQWQLSQTSSLASCILPASLLHGSREVLEQGERNFNRFGGWLGKNSTRGKNMRLMDDLHVHILASRESSSGRDTIRLEYLTLLLKKLTEPLRVLPKAEAVEEVVEFMNTYSISQEDFDTIVELSKFKGHPNPLDGILPAVKSALTKAYKEQSKTRMVRAADLITLPGIKKAPKKRIAAILEPADEEVEQGDGNNGLDESEEDNSDADESATGEKLKSELQSLSSKGMQVQLELKGTGSSKKASGGRGKGASASGQKVAQTSKAPAKRKR >CAK8575977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:378625387:378626297:1 gene:gene-LATHSAT_LOCUS28198 transcript:rna-LATHSAT_LOCUS28198 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDMFCSSPASTAIIHTTIDQPSMLRRTRSTKTYDHVPCLSQLPINPIPFFEKHRKSSSSADKQNSTDLTRRKSSADSSSTRYLLGDAPFIDWVSESNKILVPQHNVKAMSNDIKTSKRNDSHALTLSSTSSAISSKDQVVVLRVSLHCKACEGKVRKHISKMQGVRSFSIEMEKKKVTIIGDVTPLGVLTSVSKVKSAQLWQSTTAVSSSFSSSSRRD >CAK8576214.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:426095790:426096293:1 gene:gene-LATHSAT_LOCUS28420 transcript:rna-LATHSAT_LOCUS28420 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNRIPKSTVEEIHNIQHKFIWGDTEEHKKIHVICWNTITTSKSHGGLGLRNLESLNIACLMKLGWNIVSNDKDLCCEVLGDKYNCQEDLQSVNSKAGDSSLWKEIIKADKDVRKFGYWNVVDGTTIRAWEDNWLGEEMQLSAIKHLIPTEMVGAKVVDLVNNQGE >CAK8544663.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698272659:698276522:-1 gene:gene-LATHSAT_LOCUS13321 transcript:rna-LATHSAT_LOCUS13321 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNSTEQLNETSDSMDEKTMSSVVHETSPYESSSYEGHTLPILKKILDLSTKAQDLKKEHVSLRNQVKLTFESFADLGVLKSIQLLGAEYELLKRKYIDESFERRRLNNEVIELKGNIRVFCRCRPLNENEIANGSASVVKFESTAEEELQVICSDSSKKQFKFDYVFKPEDSQDAVFAQTKPIVGSVLDGFNVCIFAYGQTGTGKTFTMEGTPDNRGVNYRTLEELFRISEERQGTIKYELLVSMLEVYNEKIKDLLAGNSSETTKKLEVKQAADGSQEVPGLVETRVYGADGVWEILRSGNRVRSVGSTSANELSSRSHCLVRVTVVGENLINGQRTKSHLWLVDLAGSERVGKTEAEGERLKESQFINKSLSALGDVIAALASKSGHIPYRNSKLTHILQSSLGGDCKTLMFVQISPSSVDLTETLCSLNFATRVRGIESGPARKQVDLTEILKYKQMAEKSKHDEKEFRKLQDNLETTQMKLATREIMCRHFQDKVRNLENQIAEERKTRLKQEGRSIAAEKTIKRTSLIPLERPPLRAINNSLPPRPTSERRPFSCSSSLQGKENIAKTTSMAPRRVSIAAKPPAHQLQTKRRVTLATMHPETNSPHASTSQFTSESNNHRPMIRNQRKARYSSLFTPLTTSTIETTPNLNEGSSSRFAGSPTQTADSRMMARNPTAIALQRKSIVWSPLKRRGMESSRKSSLAPSWRPSTTRMQ >CAK8566849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466983068:466993756:-1 gene:gene-LATHSAT_LOCUS19952 transcript:rna-LATHSAT_LOCUS19952 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGGRDRDRSRRGDYPSRYEDNKGNGGSGRGAGSSSNNGNPPSRHLWVGNLSHNIVEDELAHPFIRFGPLEKIAFQPGRSYAFINFEVDEDAIDAMRSLQGFPLAGNPLRIEFAKADKPSTVTRDEDYSRDERRSAIRGSPFPQREFRGRHASPESHYSDKSKLSDKNPEPSEVLWIGFPAQLKVDESILDRAFSPFGEIVKISTFPGRSYAFVRYRNQHSASRAIDALKGKLFGNPRVHICFAKSESGASGSGKSSFNGPRSPSYKSSGRGGSSENFRQDWSFGGEQNISSPNMFGNWDSRGSDFNNRGSSWTGGAHAYEPRKVGEKGAPLSQEFYEHKNSPSRERHFHQGDFPQNYPQRGAFFEDPQGLPEDAPYLHVAKKLKSGSSPERELPEYAFSELERQKHVFPRPLPDFPHREPIDKSFDAGNFTYGQTFNHPPNSPLVRIDRHEGWKPYDSFQMGPGALQSNFIEKKRFTPEPDNSSSSEWKWEGTIAKGGTPICRARCFPVGKVLDIALPEFLDCTARTSLDMLSKHYYQAVGVWVVFFVPGSDADIEFYNEFMHYLEEKQRAAVSKVDDKTTLFLVPPSEFSEKVLKVPGKLSISGVILRLEYPGLNQGHMHIEREMKNENLSSYNENMYPNSSFSSARIPANPSSISEVGNSGNRNNLSFLGNKFPAAPLISNSAHSEAGMSESYDGRNHDYPSIQPRTSGPNWPPHNPHNINSNRALPSNLFSGAVEPIAEERHPNMNVSSTQHSSGNSGIPFGSNSMASHQEMRNFDPSTPVGSLQPEQLAQLAATLLEQQRQLGSSMSTSAMSDPRQNRFNESEASSRPSYAAENNLANSELSTSQFGHHGLQLQKQQQQQMVPNVPQFSQTVQRELPREVNGNQQLADHGLQEDGDADPQRRLQATLKLAATLLQQIHQEKGN >CAK8572419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535255503:535258720:1 gene:gene-LATHSAT_LOCUS24990 transcript:rna-LATHSAT_LOCUS24990 gene_biotype:protein_coding transcript_biotype:protein_coding MITIYMLPSPLDSSNSYLSTSTIVALCVFFALLCACIILGHLLEENRWANESITALLLGLCSGVVVLLVTKFHSTKFLIFNEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTTILLFGVLGTVISFCLVSLGAFLLFNRIGITNLSIKDHLAIGAILSATDSVCTLQVLSQDETPFLYSIVFGEGVVNDATSIVLFNSVQSLNFSSINAITALKLLGTFLYLFCTSTALGTIVGLLSAYIIRTLYFGRHSTDREVALMMLMAYLSYMIAELLNLSGILTIFFCGIVMSHYTWHNVTGNSRTTTKHSFATLSFIAETFIFLYVGMDALDIDKWKKSKASIGTSVAVSSTLISLVLIGRAAFVFPIANIANCIKTRDSTKIEFQSQFIIWWAGLMRGAVTIALSYNQFSKSEIASAESSALMITSTIILVLFSTVVFGSITKPLIEAVKLRHSKPAISGSTDNQEDMGLLFLESNCSVNQSIDQPYHRRSTLSLLMRYPTTTVHYFWRKFDDKFMRPVFGGRGFVSVPPGSPPAEDEVSLNTTLVVVAVVLLFLS >CAK8560918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:57770473:57771513:1 gene:gene-LATHSAT_LOCUS14557 transcript:rna-LATHSAT_LOCUS14557 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSRNIDLTLGEPKEEPETEDGSAIKVMPKAMQVPAGISMSMQVNKTLFQAQAQAQYQTQAQAQAQTQPPVQKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTSPNKNSDPEQQQQQQSEDSPEKKKRKRPANSAYVDINDSAVSVSAGLITTSNVNTNMNPNLNNTAIIPQQTAIPLPQNMVPVWAIPSNAVVQGGNAFFVVPANQPQFFTLARPISGFVSSMVTPVVQLQQASALSNPCSTSPSSKSPARATVMAPSTAATTTTPQMLRDFSLEIYDRQELQLLSRSSSKH >CAK8576232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:432552149:432552634:-1 gene:gene-LATHSAT_LOCUS28438 transcript:rna-LATHSAT_LOCUS28438 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYFAKRNQLFLSVSTDRDSSLSFTTDSDSMFEFQESDIYSSNHDNSVEFGKSFHGSRSVKKPSSSKTKDARGTPASVPVNVPDWSKILGDEYRNSYAKRSGVEEEEEEEDENGWLPPHEFLARKRAASLSVQEGVGRTLKGRDLSRLRNAIWAKTGFQ >CAK8570368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:40021632:40022078:-1 gene:gene-LATHSAT_LOCUS23121 transcript:rna-LATHSAT_LOCUS23121 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWIVLPQLPLMYWGEKIIGEIASAIRNPLMTNECTAKKLRVSYARVLVEVVVSAELKEEITIRDLKGNKMVQKMEYEWKPPFCKTCNKVFHDCETKPRQKAATKQVWTRKQKPEEMQPMQIERTGGNKEETQGEEVVQDKTTCTIV >CAK8563593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:619307994:619309424:-1 gene:gene-LATHSAT_LOCUS16987 transcript:rna-LATHSAT_LOCUS16987 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNLEECPLKFHFIPYPAPGHMIPLCDIATLFASCGHHVTIITTPSNAQILFKSIPSHYHIRLHTVPFPSCQVGLPLGVENLASVNNVENSYKVHQATMLLQLPISQFVEQDAPDCIVADFMFLWADELANKLHVPRLAFNGFSLFAICAMESLKAQNYASSVIKGLPHCITLNATPPKALTKFMEAWLETELKSYGLIVNNFTELDGEEYIEHYEKTTGHKAWHLGPVSLICRTTQEKADRGQTSTVSVDECLSWLNSKQPNSVLYICFGSLCHFSDKQLYEIASAIEASGHQFIWVVPEKKGKNERNDRNEKWMPKGFEERNIGMIIRGWAPQVVILGHPSISAFLTHCGWNSTVEAVSAGVPMITWPVHDEQFYNEKLITQVRGIGVEVGAEEWSIIGFMEREKLVGRDIIEKAVRRLMDGGIEADEIRHRAQEYAVKAKRAVQEGGSSHKNLMALIDDLKRRRGYKALDS >CAK8540422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559686575:559687333:1 gene:gene-LATHSAT_LOCUS9427 transcript:rna-LATHSAT_LOCUS9427 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLFSFNLPYRSFSSTPETPTLYSFLQPCLFSINKPFFDEPQNLPTSPPHSLSLIPHQLSSLLTTLHKSLITSQIDQAWKSFKTLTTHRSFPPKPLTNSLLTHLSSLSDIHNLKRAFASTIYLIEKNPNLLDFDTIHTMLVSMKSVNTAAPAFAMVKTMFKNRFFIPFNSWGGVVIDIARSNDNLAAFLPVFEENCRVALEEKMEFMKPDVAACNAALEACCCCLESITDAERVVGIMSNIASQPKHEVL >CAK8537363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:309408778:309409068:1 gene:gene-LATHSAT_LOCUS6659 transcript:rna-LATHSAT_LOCUS6659 gene_biotype:protein_coding transcript_biotype:protein_coding MATTETASATLGPRYAPDDPTLPKLWLGLIDGGTGTFYYWNPETNVTQYDKLGAPPVPAASTPGLAPIPSGSGQQRVMQVQPPSQQQQGNHFAQQQ >CAK8569545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4709599:4711538:-1 gene:gene-LATHSAT_LOCUS22387 transcript:rna-LATHSAT_LOCUS22387 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPFNLHSFFFSPKPIQTTFISPHSSHKCFSTNHPRKPPHLFDDVVPETSAVYNHALKFQRPPTIKWKPHLENTTTFIGSVTRQLKPVNSKTGQFGVHTCLRVRSSYKPNSSSFWVLLMMWNDVAEFAYEHVKPNHFICVSGCLAPCIGDTQLGYNYKLIVNELEFVALQSLGYKENEKENKFEACNQSDVNRLHLWQVFFASPNEWWDQRKNKLNPKSPDFKHKDTGEALWLSKHNPPWVTRQLELLDSKFAGGFAGRRSRLTSWVYDE >CAK8565154.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:50757796:50760295:-1 gene:gene-LATHSAT_LOCUS18389 transcript:rna-LATHSAT_LOCUS18389 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSMRIHQKKFTSFLFQTCIVLLLFPIVSSSQPHHKIKDNHIKMSPRLQFEVTLHGFLFWASMGFLMPVGILAIRLSNREENPRRLRILFYLHIVLQIIAVLVATTGAIMSIKNFNNLFNNNHQRLGVALYGIIWLQIPLGIFRPQRGSKRRSVWFFAHWILGTAVTFLGVLNVYIGLAAYHEKTSKGIRTWNLLFTIQISLIVFFYLFQEKWFYIQKQRVVLKNEENVPKEMVLTVGTC >CAK8577102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537166112:537167970:-1 gene:gene-LATHSAT_LOCUS29235 transcript:rna-LATHSAT_LOCUS29235 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPPLHNLSSLISSHGIATATSRTPARRYMAVPAAASDTTTSLKVSTFEEGNLVRPKWTGETPLSRLVRALISFKPLYSILKLGARQVLISTAEKNNIPWREMTKEILESDVYKELDSIQNKSLVYPDYYLNPFHAYDEGNLTWLAAAEAEAATKSMGRRAIPTASSVDEATQILRGNWLNAIEQHHLQHSQTSTIDDILDIGCSVGVSSRYLAEKFPTAKVTGLDLSPYFLSVAQHKEKRGTTRKNPIKWIHANGEDTGLPSKSFDLVSIAYVFHECPTRAIVNVVKEAFRLLRPGGTLAMTDNSPKSKALQELSPVLFTLMKSTEPFLDEYYLTDMDETLREAGFVNITSILTDPRHVTLTATVPQ >CAK8543962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652615022:652616941:-1 gene:gene-LATHSAT_LOCUS12681 transcript:rna-LATHSAT_LOCUS12681 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLVPPWLEPLLKTPFFNMCRIHADAARNECNMYCLDCNNGDGAFCFYCRSSRHKDHPVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVLFLNVRPQPKSGKGVAHICEICGRSLLDSFRFCSLGCKLERIKKNGDASFAMEGGKNEAMRMEGVSSSRSEEELREGSTQDMYPVTPPPPPLNARRRKGIPHRAPFGSSF >CAK8571197.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335891929:335893323:-1 gene:gene-LATHSAT_LOCUS23883 transcript:rna-LATHSAT_LOCUS23883-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVVHLVEEVKLGGPVHYRWMYPIERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAKLFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSNDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHFGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFLNDDIGTSSANDNNN >CAK8571196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:335891929:335894442:-1 gene:gene-LATHSAT_LOCUS23883 transcript:rna-LATHSAT_LOCUS23883 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIISGKEAPGNNIDVYLQPLVKELKELWENGVDTYDSFKKEMFKLHANLMWTISDFPGMGALSGWNTYTGLACPSCNFQTTPLRLKASRKWCFMGHRRFLDRRHRFRLNRIRFNGEQEIRSPPRTLSGHEVFEQVKDIEVIFGKKSVREKSLKRTFEGQPIEGDSTQCDPTLGHPQQWRKKSIFFELPYWKDNLLRHNLDPMHIEKNVCDNVLFTLLNDRQKSKDNSKARDDLQNMDIRPDLWPDENGRISPAAFTLMGKDKRNFLTTLRNIRVPDGYSSNISRCIDLVNLKVNGMMKSHDCHILMEQLLPLAIRNTLPHEISAVLIELCSFFRQLCAKVLKIEELEKLQNQIVLTLCHMEMLFPPSFFTVMVHLVVHLVEEVKLGGPVHYRWMYPIERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAKLFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSNDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHFGEHEDNEPYIQASEAQMVFYVDDENEQGWSIPVHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFLNDDIGTSSANDNNN >CAK8535337.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:839781242:839781918:-1 gene:gene-LATHSAT_LOCUS4803 transcript:rna-LATHSAT_LOCUS4803 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKGHRSHQTENHRKQKLDKRSTSFHGDNVMPTDTIRRPKTVPDLLAYRNSMVPVTEGLPRHPSKLLLKVTVLGSLGPVQILLKPESTVGDLVDAAVRQYVKEGRRPILLSNVASDFDLHYSQFSLESLCREEKLVKLGSRNFFMCPRNTPHAAEGISGTSGGVTPSSCSKEVDKARGGGGGFGWFKLLHFRL >CAK8569303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692672537:692695463:-1 gene:gene-LATHSAT_LOCUS22171 transcript:rna-LATHSAT_LOCUS22171-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTEYISQCQYILDHALTPYALMLASSSLLKQVTEHSLDLKLRLDIWTYLINYLGTRGPELQPFVTASLIQLLCRVTKFGWFDDDRFRDLVKESMNFLSQATPGHYAIGLKILNQLISEMNQANAGLPATKHRRVACSFRDQSLFQIFQISLTSLSQLKNDAISQLQELALSLSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEDSSTLQLFFDHYAITKPPLSKEALECLVRLASVRRSLFTNDATRSKFLAHLMTGTKVILQTGQGLADHNNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVASVPYLKGDAPSLLGEYVPKITESFITSRFNSVQAGLPDDLENPLDNAELLQDQLDCLPNLCRFQYESSSLFIINITEPVLQIYTERARLQISDSNDLSVIEDKLAWIVHIAAAILKTKQCNGCSVESQEVLDAEISARVLQLINVTDSGVHSQRYGEISKQRLDRAILIFFQHFRKSYIGDQAILSSKLYARLSELLGLHDHLLLLNMIVSKIATNLKCYIESEEVIDHTLSLFLELATGFMTGKLLMKLDTVKFIIANHTREHFPFLDAKKCSRSRTIFYYTIGWLVFMEDCPGKFKSSMDPLQQVFLSLESTPDSVFRTDAVKYALVGLMRDLRGIAMSTNSRRTYGFLFDWLYPAHMPLILKGVSHWTDTPEVTTPLLKFMAEFVLNKSQRLTFDSSSPNGILLFREVSKLIVAYGSRILTFPNATDVYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFYSHLTFILSLDTNTFVHLVGSLESGLKGLDTSISSQCASAVDNLVAFYFNNIKMGEAPNLPASVNLARHIAECPTLFPEILKTLFEILLFEDCSNQWSLSRPMLGLILINEKTFSDLKAQILSSQPMNQQKRLSTCFDKLMADVTEKLDSKNRDRFTQNLTIFRHDFRAK >CAK8569304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692672537:692695463:-1 gene:gene-LATHSAT_LOCUS22171 transcript:rna-LATHSAT_LOCUS22171-3 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTEYISQCQYILDHALTPYALMLASSSLLKQVTEHSLDLKLRLDIWTYLINYLGTRGPELQPFVTASLIQLLCRVTKFGWFDDDRFRDLVKESMNFLSQATPGHYAIGLKILNQLISEMNQANAGLPATKHRRVACSFRDQSLFQIFQISLTSLSQLKNDAISQLQELALSLSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEDSSTLQLFFDHYAITKPPLSKEALECLVRLASVRRSLFTNDATRSKFLAHLMTGTKVILQTGQGLADHNNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVASVPYLKGDAPSLLGEYVPKITESFITSRFNSVQAGLPDDLENPLDNAELLQDQLDCLPNLCRFQYESSSLFIINITEPVLQIYTERARLQISDSNDLSVIEDKLAWIVHIAAAILKTKQCNGCSVESQEVLDAEISARVLQLINVTDSGVHSQRYGEISKQRLDRAILIFFQHFRKSYIGDQAILSSKQLYARLSELLGLHDHLLLLNMIVSKIATNLKCYIESEEVIDHTLSLFLELATGFMTGKLLMKLDTVKFIIANHTREHFPFLDAKKCSRSRTIFYYTIGWLVFMEDCPGKFKSSMDPLQQVFLSLESTPDSVFRTDAVKYALVGLMRDLRGIAMSTNSRRTYGFLFDWLYPAHMPLILKGVSHWTDTPEVTTPLLKFMAEFVLNKSQRLTFDSSSPNGILLFREVSKLIVAYGSRILTFPNATDVYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFYSHLTFILSLDTNTFVHLVGSLESGLKGLDTSISSQCASAVDNLVAFYFNNIKMGEAPNLPASVNLARHIAECPTLFPEILKTLFEILLFEDCSNQWSLSRPMLGLILINEKTFSDLKAQILSSQPMNQQKRLSTCFDKLMADVTEKLDSKNRDRFTQNLTIFRHDFRAK >CAK8569305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:692672537:692695463:-1 gene:gene-LATHSAT_LOCUS22171 transcript:rna-LATHSAT_LOCUS22171 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTEYISQCQYILDHALTPYALMLASSSLLKQVTEHSLDLKLRLDIWTYLINYLGTRGPELQPFVTASLIQLLCRVTKFGWFDDDRFRDLVKESMNFLSQATPGHYAIGLKILNQLISEMNQANAGLPATKHRRVACSFRDQSLFQIFQISLTSLSQLKNDAISQLQELALSLSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEDSSTLQLFFDHYAITKPPLSKEALECLVRLASVRRSLFTNDATRSKFLAHLMTGTKVILQTGQGLADHNNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVASVPYLKGDAPSLLGEYVPKITESFITSRFNSVQAGLPDDLENPLDNAELLQDQLDCLPNLCRFQYESSSLFIINITEPVLQIYTERARLQISDSNDLSVIEDKLAWIVHIAAAILKTKQCNGCSVESQEVLDAEISARVLQLINVTDSGVHSQRYGEISKQRLDRAILIFFQHFRKSYIGDQAILSSKLYARLSELLGLHDHLLLLNMIVSKIATNLKCYIESEEVIDHTLSLFLELATGFMTGKLLMKLDTVKFIIANHTVTTPLLKFMAEFVLNKSQRLTFDSSSPNGILLFREVSKLIVAYGSRILTFPNATDVYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFYSHLTFILSLDTNTFVHLVGSLESGLKGLDTSISSQCASAVDNLVAFYFNNIKMGEAPNLPASVNLARHIAECPTLFPEILKTLFEILLFEDCSNQWSLSRPMLGLILINEKTFSDLKAQILSSQPMNQQKRLSTCFDKLMADVTEKLDSKNRDRFTQNLTIFRHDFRAK >CAK8561236.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:127134559:127135187:1 gene:gene-LATHSAT_LOCUS14845 transcript:rna-LATHSAT_LOCUS14845 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGKLITELGIKTPAERFYKLFQTELHEVQNHCERIHHTKLHEGEDWHDTETVKHWTYIIGDEVHTCYESIEEADEQNKKNTWKLFGGDIDKHYKVFKLTLEVVDKADGTAAVKWTVEYEKIKEDIDPPNGWMDYLSKCTRDIDGNLSKAGPH >CAK8564033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:646958505:646958825:1 gene:gene-LATHSAT_LOCUS17378 transcript:rna-LATHSAT_LOCUS17378 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKLTNHEHITLVEKIGGRLNHWSSHLLSYAGRVKLIKSVIFGISNYWLHYIRLPKGVAQRLKAMCRSFLWTGKSEISRKSPVAWKIVCFPKKQGGLNVMVVSE >CAK8544746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703903283:703903787:1 gene:gene-LATHSAT_LOCUS13401 transcript:rna-LATHSAT_LOCUS13401 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHIDASASATEPVGYLGGPYDTSLLVKNEHHISRHIWFSEERDSKKELKVAGHGLKLIQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFEERWHLETSSFHMSFGEMTITLDDVSCLLHLPIKGVF >CAK8540518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9738894:9740939:1 gene:gene-LATHSAT_LOCUS9518 transcript:rna-LATHSAT_LOCUS9518 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEDEGGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSLEIDSKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVGRWLDELKTHCDTTVAMMLVGNKCDLDNIRDVSIEEGKSLAESEGLFFMETSALDSTNVKTAFEMVIREIYNNVSRKVLSSDSYKAELSVDRVSLVNDGNPTSKKNSSYFSCCS >CAK8579479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:701827047:701829035:-1 gene:gene-LATHSAT_LOCUS31423 transcript:rna-LATHSAT_LOCUS31423 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELDDAECSREEDQNLERQIVRVDAKRALVGAGARILFYPTLLYNVLRNKMEAEFRWWDQIDEFLLLGAVPFPKDVPHLKNLGVGGVITLNEPYETLVPSSLYRAHGIDHLVIPTRDYLFAPSFVDINRAVQFIHNNATCGKTTYVHCKAGRGRSTTIVLCYLVEYKHMTPAAALEYVRSRRPRVLLAPSQWKAVQSYNNQRPSPLPHSPSGDTVLITKDDLEGYHSTSDTSMELAIVSKVPKTKPMIARLSCLFASLKVSGSSVPMTRRLPVSESRAC >CAK8544678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698936462:698938848:-1 gene:gene-LATHSAT_LOCUS13335 transcript:rna-LATHSAT_LOCUS13335 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDEKEGPSPKIGPDHQAEICSDLDQLSLQINPAYLEEHVYDESLSPSICLPISVTWSEADAESFVLGLFIFGKNFTLIRILLENKGMGEILSFYYGNFYKSDGYHRWSECRKLKGRKHIIAKRLSTKMRQHKLLSRLNPRVSKESQDTLSQVSMSYMKGRTSLEKYISSMKSIVGLGVLAEAVGIGKENGVITRFDLEPKKNSCEEFSAPGCKALSSLGPGDIIRSLTGGCRLNRTRSNELFWEAVWPRLLARGWHSEQPKYQDYLFFLIPGVEKFSWRKHLKGQHYFDSVKDVLSKVAAEPSIIVLEEEEVEEGGSNEDDFSDDHHQCYLKPRSSTYNKDHTSLAHSEKPSDLRELKYVPSNKVHTVEVDVDSKRYKGHTYNRRLNHSTDMFKSITQRSTKLSVIDTNGLPKRKLLKVKQKRYPPVELKDASTMTTGLLSKSNGGSSIDDSTRMVVSKIRIYGRKKTDSCIGVSNSRVFNKKEPHDNDSNKMVESHKYQRVCMFDDSQVKRIIKHHQFNWRVRSGDSNHDTVPTKRRRLTACVKAENNHIVQNFSGGLGSAKLRFSCSSSFLDANRNVCDPIFHQQNGSSTASTEDRSVEENNKKSSGNESFQCMSVEIEEPLRRPCDEQQADINPRRESSRNSKTTVKALESITYRFWQSKNDIQTHTPIFNPSRKARTRGKTKPRRHFLDHWNAVSVQEEKHLKVYGSAS >CAK8543172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:585216492:585217386:-1 gene:gene-LATHSAT_LOCUS11948 transcript:rna-LATHSAT_LOCUS11948 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRKNQGISDRQVGHRRSSSYYGKPPLGNRFSTVPSWENKFCATVGQVPWRRFLEGKRYMESHSDVMKWDDSAVKHAFHDAKNRFWAEINGYPCDIPLPDPDMYIDEVDWDASFDPELYLDLDRELEATRIMMEKSEQESEIVDIPLNHVWEIIPTGWGDVDEEETKPQEPNFAAEGWGSSNPENNDTNSWEQNNSQRWIPQEQNKYQARNGWNINGGGYNGRREKNHGYQHCNNDYKMNRGRGGGRGGGRGGKRGNYSYATKVL >CAK8577144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:540319031:540320951:1 gene:gene-LATHSAT_LOCUS29275 transcript:rna-LATHSAT_LOCUS29275 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKTCTSVCFTGSAFPLSNVTTSNKNTRSSCWKPTQAAVKTTFHLPMRSYEVKNRTCIEDIKCLRLITAIKTPYLPDGRFDLEAYDALVNTQIENRVEGVIVGGTTGEGQLMSWEEHIMLIAHTVNCFCGNIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKNSLDGIVAHFQSVLSMGPTIIYNVPSRTGQDIPPHVIKNLAQSTCLAGVKECVGNDRIKEYTDNKIVVWRGNDDECHDARWGYGATGVISVASNLIPGLMHELMFEGKNPALSSKLLPLIGWLFQMLNPIGLNRVLAQLGVVRPVFRLPFVPLPLEKRKEFANLVKDIGRQHFVGTQDVQVLDDNDFFLVSRY >CAK8578361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623842012:623842968:1 gene:gene-LATHSAT_LOCUS30378 transcript:rna-LATHSAT_LOCUS30378 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVISSVPSNQIYRFGKIDVFTRFAVKTLEQTIQISKETQTIKLLTKKDLKHFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVEASLCHGPVYFDVSPNLNLSLSDKKLLDATQLTVHTNGYNFKPGSEIIAICYIIYYKVLTTLNPKAKHLSFPGTTTLVPTNLLTSNIATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRYLSARHSISEFYTTPSQLPRPSTSQIREEIEVVENIRLSENRVPRGIYQKPHTPRVESPTQSDMDFHL >CAK8544846.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708776189:708777535:-1 gene:gene-LATHSAT_LOCUS13493 transcript:rna-LATHSAT_LOCUS13493 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHFCVAVPHHITVSDIHTMFLHKTNIAILRATSPHSQPNFLILQRHFCLWSMKKDPDLESALSRNRRWVVNNQIKNIVLRYPNNEIPIETLQKKFKTLDLQGKALNWISKYPSCFELHQNRIRLTKRTMNLVHQEQTIKDSLDPVFAQRLAKLLLLSVNNTLNVLKINEIKNSLGFPDDYLIRIVPKYPGLFRIVNESGRRSSMAIELIHRNPNFAVSEIEASALKKGVEPNFSCCLPSSWVKSLEKFREFESVPYVSPYSDPRLLVEGSKEMEKRNVGLVHELLSLTLWKKVSIMKLGHFKREFFLPDKLNVLLLKHPGIFYVSNKYRIYTVLLREGYVGSQLLDKDPLVVVKEKFGEIMQEGLHEYNQRRRLVNIEKKRNKGVPLSRVDEDEMKGRRSRRRSGEVSDEDDDVAVDGVNGNKLGGLLDPEERKRFYKVLFDEDGS >CAK8579405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696248291:696249338:-1 gene:gene-LATHSAT_LOCUS31354 transcript:rna-LATHSAT_LOCUS31354 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAAEESTTSKMDHKKATNRKTRSFAFRVHEHVKLGHKLSETLKGKLSLGAKIIQEGGRRNIFKNIFGMQEGEKVLKASQCYLYTTAGPIAGVLYISTEKVAFCSERPITFSSATSGESRKVPYKVLIPIGKIKEVNEGENVDQEEQKYIEIVTEEDSEFWFMGFLKYEKAFRNLEKAISMSS >CAK8570770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:111040865:111041287:-1 gene:gene-LATHSAT_LOCUS23495 transcript:rna-LATHSAT_LOCUS23495 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIKAKGIRKSPGCSWIEVDDRVNVFTVDETSHPQIKEAHMKLEEMMKKIEDTGKYISVDSSVHRSRKYHSEKLAFAFGLLNLPSWMPIRVMKNLRVCDDCHLVIKLLSLVTSRELIMRDGYIFHHFKDGFCSCKDYW >CAK8534136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698020841:698022333:1 gene:gene-LATHSAT_LOCUS3704 transcript:rna-LATHSAT_LOCUS3704 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTENFQNIIRPSFPFLDSDQSMELLNQFMENSNMNMMQNLMPFSCDNILEHHQQYHQQEQEHVFPRNLEENFHGLVHHHHVNNHNNAVQVSLQNFQQENKVSDGKKRKMMDFQETSSANSTPAVSESGSKTKLSGGRGKKMKSYETEEEKAKEVVHVRARRGQATDSHSLAERVRRGKINEKLRCLQNIVPGCYKTMGMAVMLDEIINYVQSLQHQVEFLSLKLTAASTYYDFNSEADDLETMQRARASEAKELAMYKREGYGGISCFQPTWPL >CAK8535737.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878485875:878486416:-1 gene:gene-LATHSAT_LOCUS5165 transcript:rna-LATHSAT_LOCUS5165 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQEETKLTLALPGSTKSTTPSALTKRAFSTTLDLHLGTKHVVGWPPVRGNRKKIAMKTCKYVKVAVDGAPYLRKIDLEIYNGYDHLLRALDTMFVSTKSNLMNEKKYMLTYEDKDGDWMLLGDVPWKMFVESCKRIRLMVRMEDTTS >CAK8540175.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:542976150:542977106:1 gene:gene-LATHSAT_LOCUS9205 transcript:rna-LATHSAT_LOCUS9205 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNRWVIPSVPSNQIYRFGKIDVFSRFAVKTLEQTIQISKETQTIKLLTKKDLKPFKNYNFIHIGLVQIALKPLTLLGLNSSIMAYVRDGRCKDFKQSLAAMVETSLCHGPVYFDVSPNLNLSLSDKNLLDAMQLTVHTNGYNFKPGSEIIAICYRIYYKVLTTLNPKAKHLSFPGTTTLVQTNLLTSNVATNRLIKWDEINFPETWSLPQEIDPEPILNRDIDQIIQTTEGDLEINFTSKRITRIPRSLSARHSVSEFYTAPSQLPRPSTSQIREEIEVVENIRLSKNRVPHGIYQRPHTPRVESPTQSDMDFQL >CAK8569960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:18229443:18231352:1 gene:gene-LATHSAT_LOCUS22755 transcript:rna-LATHSAT_LOCUS22755 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTNPEPCLHLSDYKLKHGFSGYKAIQKLLVSSPVGRTSLKKPSLRIPRCSYCNGVCEKRVFLCLICSSFSCLDHTLLHPETETGHDLFVDVERGELFCGLCCDQVYDSDFDQVVMANHSMVLPQGVVGNESIGQRLIKRRKLVSGVGLYLNDKSKFSFLKDLRGKSCFPEGLRGLNNLGSTCFMNCMLQALLHAPIFKDYFLSDGHDLEDCKRRTMDRLCLICDINAVFSAMYSGDRNPYSPAQFLYSWWQHSANLASYEQQDAHEFFIALLDAIHEKEDVTRKGSKGNGGDCQCIAHKVFYGLLRSDVTCMACGFTSTTYDPFLDISLNLDINIPLAEKGKKLTKQNEDDNMSTLFGCLDLFTRPEKLGPDQKLYCQNCQERQNSLKQMSIRKLPLVLTLHVKRFEHSFVKKLSRKIDRYLQFPFSLDMTPYLSSSILRARYGNRIFNFGGDESETFSKFEIFAVVTHSGTLESGHYVSFVRLRKQWYRCDDAWITEVDEAIVRASQCYMVFYVQKTLFNNANEDLSHLPNSPGREVFIPIAGCC >CAK8571627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:451161784:451162518:-1 gene:gene-LATHSAT_LOCUS24269 transcript:rna-LATHSAT_LOCUS24269 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQCPPISQESTHQPIPNESGNLSIEAPPPTPNDNMEVDSQTTENRRSRLKSIVWDHFTKVKVGEKVKAKCNYCSKLLNGSSNDGTTHLKGHMDYCPKKKLLKPSDKGQTFLTPKTMQGKQELSTGIYDAEIAKKELAHAIILHEYPLSIVDHIGFRRYSTSLQPLFQVPCRNTIKKEILKVYNLERSSTLKLLENLEGRVAITSDLWTSSNQKKGYMAVTAHYIDGNWNLQSHILR >CAK8530642.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37431540:37433893:-1 gene:gene-LATHSAT_LOCUS492 transcript:rna-LATHSAT_LOCUS492 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNMCSNSGGTNACGCCGGGGSGGGSNSNGGGGGNGNGNGVSIWNSGSMKKQQQQKRPRIPKRGPGVAELEKILKEQETADVTPTQRGNNEGFSMSSSCYIPHPPQPQPSLRLNSNNFHSLLPLSQKIDLTVSPGIRSMYANSNMSPLGRNNGVGSIEHELFRRRNLNEVADGSPSESENSSSSESNHANCSYPGIVSRRNNVDPLPKMNEFHGNGGNQNGVLSIGLPNFSVESPSVQNSHYNYTSRSNDEQMMAGGRVKRSYSSSLDNSLIPPSNFQVLPSFSRHNRPQQSSTNESHGISSYNPTNECYRDTKWGNTLELSNKIFNSENVGSSHTKYPPFVVPEVPPPRPPLQFFQSNHSKVNRIPCQVTEDKVENSNEEDRKPFFNFLEVKGQEEVTDGTSGSNNGGDEGGRGGIDLTLKL >CAK8544199.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671723361:671724149:1 gene:gene-LATHSAT_LOCUS12899 transcript:rna-LATHSAT_LOCUS12899 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNKKRTGKSPGKRSDTSHCLVDPPACDIQNEDDDWVIVKKQKVIILVPATPRSEKSSTENEEPNHMHPMPPVTSNNHEELSVETHNENPSCDEQEKTISLAGQTEIRTETKAPSPLLLKSPSTNSPRVDQKVESENPHEVSSLKSHRLVGLSNTSKAIKQARTLLAPRRSSNLGTLNRGLRASNLERKLERAGGLNKWLTSLGLDQFVRMFQGKIISKYQLVNLTMNKLKDMGANAVGPRRKLIHAMDCVCQPYCFEAL >CAK8575679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:246921368:246921727:1 gene:gene-LATHSAT_LOCUS27921 transcript:rna-LATHSAT_LOCUS27921 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKAADLSSISVFHPPHIYSRRSNNVSNGLQASRHRSQPSQQSFSRDYLLSKELCLISLKARSLKLSQQMIRERVLKNMRTLQGGFLVCLDTFFQRTIVNHTTQDLHQSPGQMELCR >CAK8532888.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:544070922:544073885:-1 gene:gene-LATHSAT_LOCUS2550 transcript:rna-LATHSAT_LOCUS2550 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVTVEVGEQENFETPALNRFISSNDLDSFDIFHSQFPSPTYKDAEASSPSSLQDEPLVNNHQSKASVYLSMPLSAEGLQLQNDIINQKVSFSGETVIIKDKKPSRTVSSLPPKTPKQKSMVRQDGSKTQKSKSNHHNQPTGIKMFRDKRFDSFKTWSGGLERQLTILRGKESGGSAHDGNNSTRSIDRALPADRYFDALEGPELETLKSSEEIMLPQDRQWPFLLRFPISSFGICLGVSSQAILWKTIATSPATEFLYITPKINLILWCISTLLIASVFTVYILKLVLYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPPVAQNLHHSLWYILMAPIFFLELKIYGQWMSGGQRRLSKVANPSNHLSIAGNFVGALLGASMGLVEGPLFFFAIGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKLQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTVPMTSAAIATIRYSNEVPNIFTKSLCVALTLVSIFTVMALLLSTMLHAFVFRDLFPNDIAIAISDRKKKTHKNWFGFRYGSQDGKEIETYLKFVNTDDSSVDGSTRQPSSGCMYPNSPSYK >CAK8569096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670656903:670661409:1 gene:gene-LATHSAT_LOCUS21986 transcript:rna-LATHSAT_LOCUS21986-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSAGMAGENAEFGGKSLGFSGKILKKENSFTNRKETSSDSRSNGQGKKQRNKENGFSDEFGLSTSASMGEKQISRKGSLLSKASYRAVEVLDTLGSGMPKLNNSSGFVSGMNSKGKKISILAFEVANTITKGAILFHSLYEENIQFLKKEVLQSEGIQQLVSTDMKELIRLAEVDKREEFNVFSQEVARFGNMCKDPQWHNLHRYFSRLDMDVLGDRQNLEDAEKTMQEFAGLVNYTAELYHELNAYERFQHDYQHKIKEMESLNLPLKGESITIFQSELKHQKKLVRNLKKKSLWSRNLEEIVEKLVDIVTYMHQAICELLGNHGTGADKNGKGPQRLGESGLALHYANIVNQIYMIASRPASLPPNTRDTLYQGLPNNIKSALPSRLQSISIPKEQSFTQIKAEMDKTLKWLVPFAANTIKVHQGFGWVGEWANTSNDFADNTTKDSNPIRLQTLYYADKQKIDLHIIELLAWIHYLISSARSRQNASRPMPAHSPPKRPELQSKMRQFLILSLDRNNKPMGTQLSQEDRILLEEVIARRRSPGVSKSQELGVSKKTQARHPLRAKSAGSSPVRESLGTTLVANRRSYNVLDIMDGLGS >CAK8569097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:670656903:670661409:1 gene:gene-LATHSAT_LOCUS21986 transcript:rna-LATHSAT_LOCUS21986 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSAGMAGENAEFGGKSLGFSGKILKKENSFTNRKETSSDSRSNGQGKKQRNKENGFSDEFGLSTSASMGEKQISRKGSLLSKASYRAVEVLDTLGSGMPKLNNSSGFVSGMNSKGKKISILAFEVANTITKGAILFHSLYEENIQFLKKEVLQSEGIQQLVSTDMKELIRLAEVDKREEFNVFSQEVARFGNMCKDPQWHNLHRYFSRLDMDVLGDRQNLEDAEKTMQEFAGLVNYTAELYHELNAYERFQHDYQHKIKEMESLNLPLKGESITIFQSELKHQKKLVRNLKKKSLWSRNLEEIVEKLVDIVTYMHQAICELLGNHGTGADKNGKGPQRLGESGLALHYANIVNQIYMIASRPASLPPNTRDTLYQGLPNNIKSALPSRLQSISIPKEQQSFTQIKAEMDKTLKWLVPFAANTIKVHQGFGWVGEWANTSNDFADNTTKDSNPIRLQTLYYADKQKIDLHIIELLAWIHYLISSARSRQNASRPMPAHSPPKRPELQSKMRQFLILSLDRNNKPMGTQLSQEDRILLEEVIARRRSPGVSKSQELGVSKKTQARHPLRAKSAGSSPVRESLGTTLVANRRSYNVLDIMDGLGS >CAK8571077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:276977905:276980217:1 gene:gene-LATHSAT_LOCUS23770 transcript:rna-LATHSAT_LOCUS23770 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSQLHPHPHHFPRTLNPRHHSTPPFTFSISFSSNFNFKFPTLCTTSSSTTHHPLPPNFSSSTTHHPLPPNFSSSQLLRLLLRQNDQTSFIQTFQLASNHPNFNPDSSFYDEILLHLTQTSTFDSITTVLKQMKSSGFIPNRKTFATLIHGFSHFQDIEHVLHIMKSELGFKLDTKLYNFALSALVEVNKLQLVEMLHSKMVSAGVPLDVSTYNVLIKALCKAHQLRSAILMLEDMANHGLKPNEKIFITLMRGFIEEGDLNGALRIRKQMAGYGCLLTHVSVNFLVYVFCKQGRVEEALRFIHEVSEEGLFPDRVTFNTLVKGLCSNGNVNDALEIVDFMIENRFDPHVNTYNSLISGMCRSGEFDKAIEILQQMVLRDCSPNTVTYNILISALCNENKIEAAIELVRILVSTGMLPDVCTFNTLIQGLCLTKNQEIAMELFEDMKKKGYQPNDVTYSILINRLCYEGRLNEALKLLKEMELSGCARNEVMYNTMIDRLCKSRRVEEAEEIFDQMELLGLSRSLVTYNTLIDGLCKDRRVEEASQLMNQMIMEGLKPDKFTYNSLLTYFCRVGDIEKAADIVQTMDSNGCDLDIVTYGTLIGGLCKAGRAEVASQLLRSVQMEGIVLTPQAYSPVIQALFRRKRTEEAMRLFREMTEKSNPPDAVTYKIVFRGLCNGGGPVQEAIDFTVEMLEKEILPDFPSFNFLAERLCSLSMEDTLIELINLVMKRAKLSESETSMIKGFLKIRKFNDAVANLGGILKRQNSRRY >CAK8567169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:492507541:492508703:-1 gene:gene-LATHSAT_LOCUS20244 transcript:rna-LATHSAT_LOCUS20244 gene_biotype:protein_coding transcript_biotype:protein_coding METYEVKGKAENKNKELKRLGFVKIAAIHTYVFVSYLYESAKKNSGSLRSAVETVEGTVTTVIGPVYNKFKDVPDDVLLFVDNKVDEATHKFNEHAPSIAKQLADKSKSLIQKVTYEAEKAVHVAQSEGPRAAVEYVASESKNLLLINSVKLWAGLNQFPPFHAVAEMAIPTAAHWSEKYNHVIKAMAEKGYRFVGYLPLIPVNDISKAFKQRKVNNLKGDGVVSVEKKLE >CAK8534201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704038971:704039820:1 gene:gene-LATHSAT_LOCUS3762 transcript:rna-LATHSAT_LOCUS3762 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSIMHYNSSHKILLVGEGDFSFSLCLARAFGSAVNMVATSMNDRAFLARKYTSAMTNLRELKRLGCTILYEVDVHDMNQHPCLKLHKNFDRIIFNFPHCGIFRRETEYWVILQHQKLVRKFLKNAKNMLSILGEIHITHKTTYPYSCWNINNLAEKCGLSFIEEVEFHQYCYPGYENKRGAGSKCYQSFPIGESSTFKFKFEFENKFRDILMF >CAK8579409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696615538:696618870:-1 gene:gene-LATHSAT_LOCUS31358 transcript:rna-LATHSAT_LOCUS31358 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNFYLSLLLLFVSFISLSLFFLFNKTKSPWNLPPGKMGYPVIGETLEFLSTGWKGHPEKFIFDRTIKHSSEVFKTSILGEATVVCCGAASNKYLFSNENKTVVAWWPECVNKVFPNNSVGIDSKEEAVRMRKMLPQFLKPEALQRYVGIMDTIAQTHFVSLWENKDQVTVYPLAKRYTFLLACRLFMSVEDENHVSKFRDPFHLIAAGIISLPLNLPGTPFNRAIKASNTIRKELLKIIRQRSMDLAQGVASPTQDILSHMLLTCDENGEFMNELNIADKILGLLIGGHDTASVACTFVVKYLAEFPRIYDKVYQEQMEIADSKSPGELLNWDDLKKMRYSWNVACEVLRVAPPLQGGFREAITDFMFNGFSIPKGWKLYWSVNSTHLNPECFPKPEEFDPTRYEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWEKMIPDENIVVDPFPIPANNLPIRLFPHKA >CAK8579410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:696615538:696618756:-1 gene:gene-LATHSAT_LOCUS31358 transcript:rna-LATHSAT_LOCUS31358-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPVIGETLEFLSTGWKGHPEKFIFDRTIKHSSEVFKTSILGEATVVCCGAASNKYLFSNENKTVVAWWPECVNKVFPNNSVGIDSKEEAVRMRKMLPQFLKPEALQRYVGIMDTIAQTHFVSLWENKDQVTVYPLAKRYTFLLACRLFMSVEDENHVSKFRDPFHLIAAGIISLPLNLPGTPFNRAIKASNTIRKELLKIIRQRSMDLAQGVASPTQDILSHMLLTCDENGEFMNELNIADKILGLLIGGHDTASVACTFVVKYLAEFPRIYDKVYQEQMEIADSKSPGELLNWDDLKKMRYSWNVACEVLRVAPPLQGGFREAITDFMFNGFSIPKGWKLYWSVNSTHLNPECFPKPEEFDPTRYEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWEKMIPDENIVVDPFPIPANNLPIRLFPHKA >CAK8576894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:522567336:522567731:-1 gene:gene-LATHSAT_LOCUS29051 transcript:rna-LATHSAT_LOCUS29051 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKEEFVRGVKKFVKKALNQPICKSEGRIRCPCISCKCCKISTTTNVRLHLYRDGFQPDYWIWTQHGEVELNIDTRGGSNSSEHVRQADQFEAMEQMVYDAFRPHGGFSHANDNME >CAK8571045.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273685729:273686523:-1 gene:gene-LATHSAT_LOCUS23742 transcript:rna-LATHSAT_LOCUS23742 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLTLPQISPVMCLAALRRQEATVKTTDQKPAQNLGFRGGKKKESPWHCVEGCGACCKLQKGPSYPSPEEIFTNTSDVELYNSLIGPDGWCIHYEKSTRKCSIYPDRPYFCRAEPKVFKSLFGVKEKNFNKEACSFCRDSIKAVYGFNSKELQSFDKSIKSSSG >CAK8542617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:531132516:531133142:1 gene:gene-LATHSAT_LOCUS11441 transcript:rna-LATHSAT_LOCUS11441 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKDSDFAYLEHIQQYLLCDDSSLLTSHQPFPSPKSDSASGKSVEVGDVSAPPPSWKRYKGVRRRPWGKFAAEIRDPKKNGARVWLGTYVTEEEAALAYDKAAFKMRGRKAKVNFPHLIGSDMSTSEPEREVVLKRDSPEPSSSEGSCESSSSGTKRRRGMADLLNKLAKNKSQAMVVENENSLEANDFEQWVNELSDCALIWSS >CAK8563201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582496316:582497073:-1 gene:gene-LATHSAT_LOCUS16635 transcript:rna-LATHSAT_LOCUS16635 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRESPMVSISDNKDDYFVFPAINHENLNPLTNHQIPCSKSQLQSLSQLPPSSSSSDCHMSGEYSSLSPPPLDSSLRKGGDFIGWMSIGFQILRSKFFSAVSSFQNPGGAIRSYGLPAAIVVIIVVTLMKRKESKRNLTPNESRLLQIIMEKDGKIAQLLHQIAQMNEILIDSHKALAGKVVVLFTENCPTFAPDCTNE >CAK8564738.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7949584:7950917:1 gene:gene-LATHSAT_LOCUS18010 transcript:rna-LATHSAT_LOCUS18010 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLNQQSFLLCSSLLLIMALSVSSFHPTFLNNTIFDSQILTARHLLQAKKGCSVNFEFLNYTIITSKCKGPEYPPKPCCGAFKEFACPYVDVLNDLTNDCASTMFSYINLYGRYPPGLFASECREGKRGLACEALSPSESANDTANQIVHTPSILLALTSCIFLIMLF >CAK8562278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466013506:466014271:1 gene:gene-LATHSAT_LOCUS15788 transcript:rna-LATHSAT_LOCUS15788 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAIRQQGVTETKLKLLANVSGVFAPGVLTALMGSSGAGKMTLMDVLAGRKTGGYIEGDIKISGYPKVQHTFARISGYVEQNDIHSPEMTVQESLWFSASLRLPKDVTKEKKLELVEQVMRLVELESLRNAIVGMPVTSGLSTEQRKRLTIAVELVANHSIILTMNDD >CAK8571041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:273375165:273376010:-1 gene:gene-LATHSAT_LOCUS23738 transcript:rna-LATHSAT_LOCUS23738 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEETTSTIMAALSSLPSHHLTILTNTILSSIRRYHHRLTFLLSSPTLFSLTLRHLHTLSLPQKTLLISRYLLSSLHLLTQSKLSTSPLPPPASTSMRDREIDAVLLLLLLCETHKHNPDALNAPCSDWRLNLSKLFCDTLLTFSYSSAPPLGACLGSVLIPFIETVSRCWRLVDVLACDGGGESGKGVKEVAASAATVVSLPAVGVSVGGRECVICKDEMRVGRDVCELPCQHLFHWMCILPWLGKRNTCPCCRFRLPSDDVFGEIQRLWEVLVKIGAR >CAK8534202.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:704156098:704157605:1 gene:gene-LATHSAT_LOCUS3763 transcript:rna-LATHSAT_LOCUS3763 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKLSMDKEKCRNKALKTAAEVKGVTSVSLEGDDKDKVSVTGDNIDTVCLANQLLKKFKNVTILSVEEVKKKTEAEKKKEEEKKKEEEKKKMIEACRAVLHGSCIKCHSMSCNGKCSCTKCSSPKCDGTLCVTICFKCENPKSCCECMSCCSNCDHK >CAK8570490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:50274613:50275450:1 gene:gene-LATHSAT_LOCUS23234 transcript:rna-LATHSAT_LOCUS23234 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDPTENHCLKENQVCIIHENGQITGDVLVYRNPGLHFGDIHIMQATHVEGLESYVGHGKYAIFFPCVGPRSVADEIAGGDFDGDMYWVSKNPQVVRHISFYCGIYILRSRYIYLEKQKSSRSSYKF >CAK8576479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:482803045:482803416:-1 gene:gene-LATHSAT_LOCUS28662 transcript:rna-LATHSAT_LOCUS28662 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAPKLVNGVIEIEIEQEDIEIEVQFWDNALVLYVVGEGLSMNTVKNFMQKMWNFLKIPDLYYHDEGYFLLRFNSHEDRDAVMMKGPYTIRNMHMILKERRPEFNLKKDLLRTLPIWIKLP >CAK8565531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:262080837:262081545:1 gene:gene-LATHSAT_LOCUS18737 transcript:rna-LATHSAT_LOCUS18737 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDKKGHDIYIIILTTFRQSFDSALLVDVTCTMSNFQVLPNDLLFRPSNQKYILNFNGGMTIKDVGKHDIPDKICNLTPFPDIISRKWQRNLLTDIIRVMDEDGYSQS >CAK8531977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:186311048:186313057:1 gene:gene-LATHSAT_LOCUS1723 transcript:rna-LATHSAT_LOCUS1723 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIRMVLESIYDPEFPDTSHFRSGRGRYSALRRIKEEWGTSRWFLEFDIRKCFHTIDRHRLIPIFKEEIDDPKFFYSIHKVFSAGRLVGGEKGPYSVPHSVLLSALPGNIYLHKLDQEIGRIRQKYEIPRIRSVLLRTGRIDDQENSGEEASLNAPQDNRAIIVGRVKSIQRKAAFHSLVSSWHTPPTSTPRRRGDQKTSFVFPPSSALAAFLNKPSSLLCAAFFIEAAGLTPKTEFYSRECCFNKNLAMRDLIKYCKRKGLLIELGGEARLVIRSERGLARKLAPFKIKTHYFIRICYVRYADDLLLGIVGAVELLIEIQKRIAHFLQSGLNLWVGSAGSTTIAARSTVEFPGMVIREVPPRTTPIQLLRELEKRLRVKHRIHITVCHLRSAIHSKFRNLGNSIPIKQLTKGMSERGSLLDGVPLAETLGTAGVRSPQVSVLWGTVQHIWQGSRGISLLHSSGRSNAPSDVQEAVARSGMSVRKLSLYTPAGRKAAGEGGGHRAGSISSEFPIQIEAPIKKILRRLRDRGIISRRRPWPIHVACLTNVSDGDIVNWSAGIAISPLSYYRCCDNLYQVRTIVDHQIRWSAIFTLAHKHKSSARNIIPKYSKDSNIVNQEGGKTLAEFPNSIELGKLGPGQDPNNKEHSTTSLVVFFLLVAMRTGVYL >CAK8575927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:364800871:364803012:-1 gene:gene-LATHSAT_LOCUS28152 transcript:rna-LATHSAT_LOCUS28152 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGRNCLKYCSHFKRNSSNENLLLQKFPELFVLNDSIGKYSYTQFPVLFPLISFNFHHYSTRVPSRSYRRRVRNRFLKSSKPILDQAQFQFAQSQLLPRFTPEELCYVISLQRDPLVCLELFHWASHQPRFRHDLSTFHVTIKKLGDAKMYQEMDDIVNQLLAVPSIGSEAVFNMVIYYFTEARKLTKAVNVFKHMKSSRNPNFGLRPSIKTYNILFGALLSRGHNAYINLVYMETMRCLFRQMVNDMIEPDIFSLNSMIKGYVLSLHINDALRIFHQMGVVYDCEPNSLTYDYLIHGLCAKGRTENAKELYHEMKTKGFTPNSKSYNSLVNSLALVGEIKDAVNYLWEMIEKQRSVDFITYRTVLDEICRGGKVQEAMRFLQDLQEKDLVDGHTYRKLLYVLEDDYGNSKVRIDSGPALISPGNSW >CAK8578306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619083985:619086690:-1 gene:gene-LATHSAT_LOCUS30329 transcript:rna-LATHSAT_LOCUS30329-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMITFTSLLFLFLTIFPTTICQQQRNKHVDCLQPYTCGEVSNIYYPFWGQNRPSYCGINNDQFHLKCDTHRRNTSIQIASQNFQVLYINPFAYTVTMFRKGLVYDNCSSALTNTSLNSSHFRYMSNVRNITILYNCDNDIKLPNGTKMNSFSCKEDSSKRVFYTDSETAEGVKCEGVRVGVQVTKEVEHGGEIEGLNKALSGGFDVEYVADTQKCLKCVLNNGTCGGNDMSQFSCYCPDGTEGLDCSDRRDNRWNWKRKVAVGVSASAVIIAVSVGIAFYIYYYCRKKKKIVHAISSSTALSHCVFGSGSKVTEKGGRYLGVHFFTYSELEKATNNFDSTRALGDGAFGTVYFGKLRDGRLIAVKRMYENNYRRVEQFVNEVEILTRLHHQNLVLLYGCTSRHSRELLLVYEYVPNGTVADHLHGSKAKPGMLPWHVRMNIAIETASALVYLHATDIIHRDVKTNNILLDNHFSVKVADFGLSRLFPNHVTHVSTAPQGTPGYVDPEYHLYYQLTDKSDVFSFGVVLIELISSMPAVDISRNRQEINLSNMAIKKIQNGKLHELVDPTLGFESDFKIRKMIDAVAELAFQCLQGSKDVRPSMVEVMERLKDIQNDGASKCKPEVLDISRDDDSALVKNEPPPSSPDSNINTFP >CAK8578305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619083985:619086720:-1 gene:gene-LATHSAT_LOCUS30329 transcript:rna-LATHSAT_LOCUS30329 gene_biotype:protein_coding transcript_biotype:protein_coding MITFTCRSPSMMITFTSLLFLFLTIFPTTICQQQRNKHVDCLQPYTCGEVSNIYYPFWGQNRPSYCGINNDQFHLKCDTHRRNTSIQIASQNFQVLYINPFAYTVTMFRKGLVYDNCSSALTNTSLNSSHFRYMSNVRNITILYNCDNDIKLPNGTKMNSFSCKEDSSKRVFYTDSETAEGVKCEGVRVGVQVTKEVEHGGEIEGLNKALSGGFDVEYVADTQKCLKCVLNNGTCGGNDMSQFSCYCPDGTEGLDCSDRRDNRWNWKRKVAVGVSASAVIIAVSVGIAFYIYYYCRKKKKIVHAISSSTALSHCVFGSGSKVTEKGGRYLGVHFFTYSELEKATNNFDSTRALGDGAFGTVYFGKLRDGRLIAVKRMYENNYRRVEQFVNEVEILTRLHHQNLVLLYGCTSRHSRELLLVYEYVPNGTVADHLHGSKAKPGMLPWHVRMNIAIETASALVYLHATDIIHRDVKTNNILLDNHFSVKVADFGLSRLFPNHVTHVSTAPQGTPGYVDPEYHLYYQLTDKSDVFSFGVVLIELISSMPAVDISRNRQEINLSNMAIKKIQNGKLHELVDPTLGFESDFKIRKMIDAVAELAFQCLQGSKDVRPSMVEVMERLKDIQNDGASKCKPEVLDISRDDDSALVKNEPPPSSPDSNINTFP >CAK8560691.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36072096:36073227:1 gene:gene-LATHSAT_LOCUS14347 transcript:rna-LATHSAT_LOCUS14347 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEECRGPPRLFLLNKFDVAGARACLKRYIDPSFFKMESASSVRATVQVHRERRIRKVKKKGARLRDDETPNVVPTHSKLHQLLLEECIENGYSNPARLVKLKKRQLNGPAVEAKSGKSYMEKFLETPSPDPKMIYETSIFPLPVKPTPDDSSEAGIKILEINSIKKSIGDEKSDETKRTTPTKRTTPKKKL >CAK8574747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8531795:8532127:1 gene:gene-LATHSAT_LOCUS27061 transcript:rna-LATHSAT_LOCUS27061 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVDEAEQILNKIALLGESPPFKVQVSLCDMYARTKMERKALQTLGVLIARKNELNQHEFERVISGLIGGGFLKDAQRMLGIMEAQGFKASGQLISALKSGLLPLSMRS >CAK8579746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:720678713:720680910:1 gene:gene-LATHSAT_LOCUS31667 transcript:rna-LATHSAT_LOCUS31667 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRVSNLDGFLKNLSIPSPSTKTFHSLHQNSNTTEKPMKPKKNGSHSPPITREYMRDIIGNIYRTLKYSSWDSAERELENLPLKWDSYTVNQVLKSHPPMEKSWLFFNWASRLKGFKHDQYTYTTMLDIFGEAGRISSMKHVFNHMQEKGIRIDSVTYTSMMHWLSSSGNVDEAIALWDEMKSKGCYPTVVSYTAFIKILFDNHRVKEATGVYKEMLQSGCVPNCYTYTVLMDHLIGSGKCREALEIFERMQEAGVEPDKAACNILIEKCSKVGGTEFMAHILRYMKENHFVLRYHVFVEAMEAFKIAGESDTLLRQVNPHFYLDCSFRKKANVSNIVTDDSSANIDKELLFALLKNRKVVAIDHLLQGMMDKKIAVENKVISTVIEVNCNCSRPDGALLAFKYSVTLRISLERTGYISLVGLLNRSNMFPKLLEIVEEMTRAGHSLGIYLSSLLIYRLGCARQPSIASKIFNLLPDNHKCIASYTALISVYLSAKRVTKALEIYKIMCRKGICPTSGTYNILVAGLEKNGRYSEAELYRKAKKSLHSKIGSQENLYTEGKICNLLFAGDVIL >CAK8535231.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:828386626:828387051:-1 gene:gene-LATHSAT_LOCUS4704 transcript:rna-LATHSAT_LOCUS4704 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWMKVDRLGPVYENGVLEFLEYADKHFSDNNGIFYCPCVVCTNIKNGTKKEIFHHLCCDVICQNIIWTWHGKVDKEESQVSQSQRMDEDEYMEDQLEDMSRDIGESSFKNAHIYDTYVVIKTPFYIRDAQILHDCRQC >CAK8575000.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:20608463:20611393:1 gene:gene-LATHSAT_LOCUS27293 transcript:rna-LATHSAT_LOCUS27293 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELKGGEVEEGGEEGKWSFVGSDMKRVLVGAGARALFYPTLVYNVVRNRLQAEFRWWDKVDEFVLLGAVPFPIDVPRLKDLGVRGVITLNEPYETLVPTILYHAHGIDHLVIPTRDYCFALPLNDICRTVGFIHKNALSGRTTYVHCKAGRGRSTTIVICYLMQYFNCKYLPKIFSGYLRILELIIVGVLGDLVMG >CAK8533126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:580683461:580684475:1 gene:gene-LATHSAT_LOCUS2774 transcript:rna-LATHSAT_LOCUS2774 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSTKSSLFFIFIIFEIFVFGLATTESNLRLAGKVVIITGGASGIGKETAHLFAKQGARMVVIADIQDKLGIQVAESIGTDKCRFIHCDIRIEDDVKNLVQLTVDTYGQVDIMHCNAGIISPSDQTLLELNVSQANGVFATNAIGTALCVKYAARAMVAAKVRGSIVCTASIAGSYGVTTGTDYSMSKHAVIGLMRSASVQLAKYGIRVNSVSPNGLATPLTEKLLHADAKTVEAIFSKYSMLKGVVLRTKNVADAVLFLASNESAFVTGFDLRVDGNYITSPDVI >CAK8540514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9660458:9661198:1 gene:gene-LATHSAT_LOCUS9514 transcript:rna-LATHSAT_LOCUS9514 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNTLKQKLAETIELADKVTNTHEPTIPFKQEFGELKSKTIKLSSLLRQASAAASELYMQRPMWSIVQQTEHVLYKALLLVIKCRPDNIKKRIFTIIPVSAFRKTSSRLENSIGDFTWLLRISNPGGDHGSEYDTHILPSVAFNDPIIGLIWELIASLCTGSQEDRSDAAACLVSLAHESDRYGKMIIEEGGVGPLLKLMEEGNSEGKNNAAKAIRLLKVINSVVILITSASMRTPDLSALTYF >CAK8575112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:31418557:31419312:1 gene:gene-LATHSAT_LOCUS27401 transcript:rna-LATHSAT_LOCUS27401 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVIALQLMLRNSYRSLARCLHEEATKDIDTVKNRGAIKHSNNKLFSFNMTPRKYHRKAYMEEAALNKDIGE >CAK8530873.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:58470183:58470578:-1 gene:gene-LATHSAT_LOCUS705 transcript:rna-LATHSAT_LOCUS705 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLDKSEASFSRNMLDSIIEMIPNMMSVKIVQSHSRYMGLPVLFGRSKKEFFSLMIDRVWKKLKGWKEIVLSWSGKEVFIKVAAQAIMLYIMRCFKLPEGVCRDIESMMSKFWWGSTSREGERKLHWFSW >CAK8571145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:313262915:313263378:-1 gene:gene-LATHSAT_LOCUS23835 transcript:rna-LATHSAT_LOCUS23835 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHSYILPLLSTLSPFSSKPLYISPPHSLTTTTHFNNTPLFVSTPPRKLLCKPPQGKHVRDDYLVKKFSAEEIQELMKGERNVPIVIDFYSTWCGPCILMAQELKMV >CAK8566836.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466317556:466320919:-1 gene:gene-LATHSAT_LOCUS19941 transcript:rna-LATHSAT_LOCUS19941 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYFNPIPTSSRLISADLSTKFKIPKLLHRKKRFGALSRSSKITAYYGLKTPPYEHDALQPYMSKKTIDIHWGEHHRNFIEGLNEQLGKDDILYGYTLDELVKVTYNNGNPLAEFNNAAEVWNHDFFWESMQPGGGDMPILGLLQQIEKDFGSFTNFKEKFTEAALTLFGSGWVWLVLKRKEKRLAIVKTPNSFCPIVSGDIPIINLDLWEHAYYLDYKNDRAAYVNVFLNHLVSWKAATERLIWGEAFVNLGEPNVPVA >CAK8535717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:876437431:876441337:-1 gene:gene-LATHSAT_LOCUS5146 transcript:rna-LATHSAT_LOCUS5146 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRTPFKGIVDDFKGRALHYKDDWISGFTSGTGILAPTTYIFFASALPVIAFGAQLSRDTDGSLSVVETLASTAICGIIHSIFGGQPLLVLGVAEPTILMYTYLYNYAKNTEGLGRELYLAWVGWVCVWSALLLFLLAIFNAGNIINRFTRIAGEVFGMLIAVLFIQEAIKGMVTEFQVPKEGNPTLDKFQFHWLYANGLLGVIFTFGLLYTSLKSRRARSWLYGTEMLRGLIADYGVPFMVVVWTALSFTVVSSKVPSGVPRRLIAPLAWESASLHHWTVIKDMGKVSPTHIFQAFVPAIMIAGLYFFDHSVASQLAQQKEFNLRKPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLSVLKKQLIRRKMVKSAKESIRQEASNSEIYGKMQAVFIEMDNNPNKQSVVKELEDLKEFVLNGEDKGENKKSTFDPEKHIDTYLPVRVKEQRVSNLLQSLFVGAAVFAIPGIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSRWYKLLEGDHASFVESVPFKNIVLFTLIQCLYFLICFGVTWIPIAGMLFPLPFFLLIILRQHILPKFFSPNHLKELDAAEYEEIPGAPKLSHSLSLKEVESPKVESTDIDSAEILDELTTNRGELKVKTTSFIEERNQQVHPHQIDSHSHVV >CAK8532646.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:339893259:339894497:1 gene:gene-LATHSAT_LOCUS2329 transcript:rna-LATHSAT_LOCUS2329 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSSLNRGKGQSIQQRRLTRQKRLCYPTDHQDGEPFLSTEGVSFSLPGSPLRSSSDHWSSSAVPLPLPLPESPLTRRPDHHATLPCARKTVDHDAVRSSRSSSNLGRPFFATTGNAKNDLRVNIPPVRSLVTSKSSCKDTRKHSHDNECEGVTDERLQFAARSAPTSMFSSPVTSPRRLSNVDLFDPSINFPQDFNDILRMPAKTSHSPDLSSRRSLGNHSPKHHYTIQGGSHPHQSKICIRVWPENNHADAHPLPLPPRASPQPQQSPSQHQSSATMHHSTENFHSMKGQWQKGKLIGRGSFGSVYHATNLETGASCALKEVDLFPDDPKSADCIKQLDQEIRILGQLNQPTTQQPAKQTHAGSTHFHYKTSGNSNSATLSIMQPTIGQGLQNVTNVTNQPVRTLPQM >CAK8573074.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:581200569:581201479:1 gene:gene-LATHSAT_LOCUS25564 transcript:rna-LATHSAT_LOCUS25564 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHHFSSSCGSASCTRLQDEDSIFLSLAPPGHQHLPPSKLSSSPHIPPLQLNTPTSTTAPPQYWIPSPAQILIGPTQFSCTVCNKTFNRFNNMQMHMWGHGSQYRTGPESLRGPKPASASSILRLPCYCCAEGCKNNINYPRSRPLKDFRTLQTHYKRKHGGKSFECRKCKKTFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRTFGSGHAPHTLESFSFDEHKIENENFKEEEGEENGSEDEEEDNDSEVAFTFN >CAK8570783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:114277876:114279712:-1 gene:gene-LATHSAT_LOCUS23506 transcript:rna-LATHSAT_LOCUS23506 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYHPKFFKVIKNQKEEIRLPRKFIRKYWKGTSNPISLKLPNGVEHKIFWVERDGDIWLQKNWEKLAKFLEYGCFITFKYISESYFKVKIYDMTNMEIDYSHIKFVSEVSEDIIEVSDNSDKNLNETEPCMQVQMTKVNGKRKAIDFDPTHENVSGSNIGIMNKMPKECPTTETANANPSFEVKVTPSSIQSCRLRIPTDFSREYLNKFRGKAFIRVGEDRIIKVKMNFDDIYSRSVVSSGWKSVIQKYMLQVNDVCIFEMIQLQPPSFAVTIIRGGEENPSPKKLKGCKEGKSCDNIAKRKDIGETSRSCPKLHVLEDSSEDYSVTAENTFEIVVNSSYPNVPNEFMNRHTGCHGKFLELKVGEKSWFVKVSYYPKHSTLYAGWRKFMRECKLETGDICFFELVDEDKYVFKVSVERNNLGDVRSV >CAK8562962.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562027467:562028402:-1 gene:gene-LATHSAT_LOCUS16421 transcript:rna-LATHSAT_LOCUS16421-2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSIGVPACFSTAEKLGDDHSAGVTRSGQSVYMSVYRTKVADHCRLVTITWCKNLLLHGLSISVEGPEGEAQYSCKVELKPWYFWRKQGSKRFIVDGNRAVDIFWDLKAAKFNGETEPTSEYYVAVVCDEEVVLLLGDLKKDAYRRTGCRPALIDPILVSKKEHIFGKKRFSTRAKFHEKGKWHEISIECKNRGNGNGDSVVGSVQPEMEIRIDGHLVIHVKHLQWKFRGNESVHLSKMRIEVYWDVHDWLFSPGLKHALFIFKPILSSTISSCSSSPLSIQARSVESVEGFSVSGSSEFSLFLYAWKVE >CAK8562961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562027467:562028408:-1 gene:gene-LATHSAT_LOCUS16421 transcript:rna-LATHSAT_LOCUS16421 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQDSIGVPACFSTAEKLGDDHSAGVTRSGQSVYMSVYRTKVADHCRLVTITWCKNLLLHGLSISVEGPEGEAQYSCKVELKPWYFWRKQGSKRFIVDGNRAVDIFWDLKAAKFNGETEPTSEYYVAVVCDEEVVLLLGDLKKDAYRRTGCRPALIDPILVSKKEHIFGKKRFSTRAKFHEKGKWHEISIECKNRGNGNGDSVVGSVQPEMEIRIDGHLVIHVKHLQWKFRGNESVHLSKMRIEVYWDVHDWLFSPGLKHALFIFKPILSSTISSCSSSPLSIQARSVESVEGFSVSGSSEFSLFLYAWKVE >CAK8530364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16861569:16862115:1 gene:gene-LATHSAT_LOCUS232 transcript:rna-LATHSAT_LOCUS232 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFANIKLQINAVICGSKPVGSTSHGVEGQTTKANSRKSIRGGSSLGLARRPAVAIDSSPPSPAALRVSLSLRLQLLLRFLPILCIEREASVSNMKHFLAPVILRLLGSQVVHEDAYISMNAMHSKKDSESL >CAK8536705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:8989904:8990323:-1 gene:gene-LATHSAT_LOCUS6039 transcript:rna-LATHSAT_LOCUS6039 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTNPFSLHLPIIISNLLSPPSFFPAKQPPSKLFAASFSSFHHPRIVITTTNPFLLSIVLQSNLYSPSLLLQTLFPPPKPSSKPFFSSDPLSHRLSSPSSTLHHLDHTFSPSQKYSPPPSYDGSAQQPQQSVHHINQ >CAK8561948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:416888266:416888667:1 gene:gene-LATHSAT_LOCUS15493 transcript:rna-LATHSAT_LOCUS15493 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPAIEVTATKVLVIENAAEGASASPGFDAGPFVPGAGGEEVFGVGDEGVAGEGAGEEVVEGEGAGEEVVEGEGAGDVLVEGDGAGAELGDSDWVGAGAGACCAMHEVANNPNIRNTLIATEPMLLYMFF >CAK8540659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15396449:15399197:-1 gene:gene-LATHSAT_LOCUS9644 transcript:rna-LATHSAT_LOCUS9644 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTMLGKAREGVAPLMKVVRLKGMCILEQLHLEERLLRRSSDNWCLINDGTTSPAIVMGLSGKLSELVEAKSVLRDHIPIIRRFTGGGTVIVDNDTIFVTLICNKDAVPNVQPFPRPIMSWSGLLYDKVFAGFADFHLRENDYAFGDRKFGGNAQSITKNRWIHHTSFLWDYEVKNMSYLKLPAKAPKYRLTRDHTDFICRMKEHMPRSEFIERTIKAVGDEFSVSPVSLESINIDSVSEYVHTTKLLTEHEIREASILQT >CAK8540660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15396449:15399191:-1 gene:gene-LATHSAT_LOCUS9644 transcript:rna-LATHSAT_LOCUS9644-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLGKAREGVAPLMKVVRLKGMCILEQLHLEERLLRRSSDNWCLINDGTTSPAIVMGLSGKLSELVEAKSVLRDHIPIIRRFTGGGTVIVDNDTIFVTLICNKDAVPNVQPFPRPIMSWSGLLYDKVFAGFADFHLRENDYAFGDRKFGGNAQSITKNRWIHHTSFLWDYEVKNMSYLKLPAKAPKYRLTRDHTDFICRMKEHMPRSEFIERTIKAVGDEFSVSPVSLESINIDSVSEYVHTTKLLTEHEIREASILQT >CAK8540315.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:551733169:551742241:-1 gene:gene-LATHSAT_LOCUS9329 transcript:rna-LATHSAT_LOCUS9329 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSFAYHASRINYRHKMKTMFIFSTPCCSPPTAFFAPFRASNSKPLRSALSLRSSTSSSSSSSTSTSHCSLAFNIVKPKEKNVVSANMTSSVSSRTFLNAQNEQDVLSGIKKEVEAGTLPASIAAGMEELYLNYKSAVIKSGDPKASEIVLSNMTALLDRIFLDVKEPFVFEAYHKAKREPFDYYMFGQNYIRPLVDFENSYVGNMPLFIQMEEQLKQGHNIILMSNHQSEADPAIIALLLEMRLPHIAENLIYVAGDRVITDPLCKPFSIGRNLICVYSKKHMLDNPELIDMKRKANTRSLKEMAMLLRSGSQIIWIAPSGGRDRPVANSGEFAPAPFDSSSVDNMRRLVDHSGPPGHIYPLAILCHDIMPPPLQVEKEIGEKRIISYHGTGISTAPEISFSNTTAACENPEKAKDAYTKALYDSVTEQYDVLKSAIHGKKGLQASTPVVSLSQPWK >CAK8544963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715012005:715014077:-1 gene:gene-LATHSAT_LOCUS13600 transcript:rna-LATHSAT_LOCUS13600 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKVMRFKSTLFFFLFTIHGALSSPPADPIKCSSNNTNCTITNAIGAFPDRTICKAAEAKYPTSEAELISIVASASKNNQKMKVTTRYSHSIPKLVCPDDNGILISTKNFNRVIRIDRESMTITVESGVTLRQIISEAARYEMALPYTPYWWGLTIGGLLGTGAHGSTLWGKGSAVHEYVTHVRIVSPSGSEDGFVKVRNLDESHEDLNAARVSLGVLGVISQVTLQLQPIFKRSLTYLTKDDSDLGDELITFGRKHEFADVSWYPSQKKVVYRIDDRVPLNTSGNGLYDFIGFRSTFSLALAVVRGTEEIQETGNDVNGKCISAQLISTTLAATGYGLTDNGVFLGYPIVGLHNRMQSSGTCLDSLENLMITACPWDSRIIGKFYHQTTFSISLSFVKNFIEDVQKLVELEPKALCGLELYSGILMRYVTFSNAYLGKTEDAIDFDITYYRSKDPLAPRLFEDIIEEIEQIGLFKYGGLPHWGKNRNLGFVGAIKKYNKADKFLKVKEEYDSKGLFSSEWTNQILELKEGVTILKDGCALEGLCICSQDSHCAPKKNYFCKPGRVFKEARVCRRDVKTKENDMKDEL >CAK8566875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468826865:468827950:-1 gene:gene-LATHSAT_LOCUS19975 transcript:rna-LATHSAT_LOCUS19975 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITKSLYLTKIPNSQTYSSSSSNSPLLFHSKATSVTFNSSTHHFPKCFLSQQSGGGVINPITKEKEKMGAIHASEATTPTTNATGRWILEPIGDGDSRHIGYKVAMPGAYEIDSNEVTVGRVPEKADLVIQVATVSGAHARIRKKEDNLLVMDLDSTNGTYVNEKRLRPGVVTSVSPGSFITFGDTHLAMFRVSKIKDEEAADTTEETEGESDNGDKSDITETS >CAK8565909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:350623768:350625193:-1 gene:gene-LATHSAT_LOCUS19084 transcript:rna-LATHSAT_LOCUS19084 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRRLRLRLRSERKEDRIKEIKEDRISDLPDPLLEHIVSFLPTKDAIATSVLSKRWKLIWRSQIIIYFDDRPFTDTFSFHQFFNSFITMRDNTLPILSLPLKSRRHRLHCNHDFVYAAIRKGIETLIVDLLQPTTLPSIVLSTKTLSVLKLKMIKLNDDFQSVDLPSLKVLHLEYVTFKAIRYIHKILSGCPILQELECQDLRTEIPTMMPPLGIAISNLVRASVTRTTFIGLEWLHNVEHLHMYVDRMPPTIRGVFHNLTHLELIFGDALYGSYKWKWLKNLLQNTPNLQTLIIHDLYMVYGGEQCLSSKKEWNYPEIVPECLLSHLTTCSLRDSRLINSELRFAKYIMQNSRLLNTITIQTAKFLDTNTKLQVLIELSSCPRISPTSKLLFI >CAK8567339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506467448:506467972:1 gene:gene-LATHSAT_LOCUS20403 transcript:rna-LATHSAT_LOCUS20403 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSSSSHASGDTVGTRIPIVPEGDRFDQHKLVVSEIAHITRTHLNEGKPSWKKLSKEQRDSFFDLFKFTWPPEHKVTVRRNFEKRSAAKMSQLMQDVRRDLEYRPK >CAK8568857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648317497:648319311:1 gene:gene-LATHSAT_LOCUS21770 transcript:rna-LATHSAT_LOCUS21770-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLARSWSLSATELSKALHSTNTSTSSAIEMQLLCHSDQFNAKGFTASKDSLSNQRYPQILPNENSETKDLLLLHQALSTEFISSQNLMRNGLYRSLMRGKTTGRWLKDQKERKKQEIRTHNAQLHAAVSVVGVAAAVAAVAASIASSEKPNAMTSAAVASAASLVASHCIEIAEDMGAEQDQIVTAVDSAINAKTNGDIMTLTAGAATALRGAATLKARLERGIGATTIPPVEENCGEAKEASILTALDFVFRGGVLLKRTRKGILHWKQVSFNINSSFQVVAKMKSKHIAGTFTKKKKYIVTGVCSDIPTWPGKEDNGEKRAYFGIKTADRLIEFECGRQGDKLLWLEGIQYMLNCRAKVTY >CAK8568858.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:648317497:648319311:1 gene:gene-LATHSAT_LOCUS21770 transcript:rna-LATHSAT_LOCUS21770 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLARSWSLSATELSKALHSTNTSTSSAIEMQLLCHSDQFNAKGFTASKDSLYEQLSNQRYPQILPNENSETKDLLLLHQALSTEFISSQNLMRNGLYRSLMRGKTTGRWLKDQKERKKQEIRTHNAQLHAAVSVVGVAAAVAAVAASIASSEKPNAMTSAAVASAASLVASHCIEIAEDMGAEQDQIVTAVDSAINAKTNGDIMTLTAGAATALRGAATLKARLERGIGATTIPPVEENCGEAKEASILTALDFVFRGGVLLKRTRKGILHWKQVSFNINSSFQVVAKMKSKHIAGTFTKKKKYIVTGVCSDIPTWPGKEDNGEKRAYFGIKTADRLIEFECGRQGDKLLWLEGIQYMLNCRAKVTY >CAK8561601.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:314720004:314720690:1 gene:gene-LATHSAT_LOCUS15178 transcript:rna-LATHSAT_LOCUS15178 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALAPSQSGLNTLSTKTKGRGCTRMKKLQLLTARDERIPIDFNSDGHPIGELAKDFKYHVACLAREKISILIDEWDKVGSEDRKEIWKGLEQIWDISKNYVVDKKTMIYAGEHWRSFKNSLTSRYLNNGIKSGMSATDDYPYIDEETWKDFVKSQEDPSFLEKRKKGQETLS >CAK8577968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599370638:599370928:1 gene:gene-LATHSAT_LOCUS30023 transcript:rna-LATHSAT_LOCUS30023 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSKWLKWIKDSVLSSYMSVIVNGSQTQDFKLMKGLRQGDPLSPFLITIVVEGLAILVRRAAEAGILRGFEVIEGVSYNLLQYTGDTMLICEVA >CAK8538755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:495115990:495116394:1 gene:gene-LATHSAT_LOCUS7920 transcript:rna-LATHSAT_LOCUS7920 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETMEMQNMNTTTATTTTTTAREVQKSLHVLRRSRRRCTEVVGGKEKLILLKEVAVEKKEKEEDEESDDREEIERKINALQRIVPNGESYGVDKLFDETAGYILALQYQVKALKALTGFFQKMEKDKTKLGG >CAK8568232.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583886778:583887149:1 gene:gene-LATHSAT_LOCUS21211 transcript:rna-LATHSAT_LOCUS21211 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKRPYSIRNMSMLLSEWKPDFNLKEDMLRTLPIWIKLLQCITLMGAKSLRKIGSTLGTSLLTDECTANKLQVSYARILVEVDVTQDLKKDIIIKDNEGRKLVQIVKYEWKLDFVRVPKGWS >CAK8534402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:723331159:723332460:-1 gene:gene-LATHSAT_LOCUS3951 transcript:rna-LATHSAT_LOCUS3951 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNLDTYDQELWELVEEELMDDSDEEQQLQNERRSGSSSRPKRRITVDRGREEGHNRLFNDYFSENPVYINVQFRRRFRMHRHVFTRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSVICMLGYGSLADLVDEYVRIGESTSIECLERFVKGINVVFGAEYLRKPNNTDVEHLLQMGESRGFPGMLGSIDCMHWVWKNCPVAWKGQFCRGDHGKPTIMLEAVASQDLWIWHAFFGIAGSNNDINVLNQSNMFNNTLEGRAPNVQYTINGTPYNMGYYLANGIYPEWATFVKTISMPQGEKKKLFAQHQESARKDVERVFGVLQSRFAIIRGPTRAWHMDTLKHTIYACIILHSMIVEDERHTYGGNFDYSYDNVDINNSTTETFSGPNPNLATRLQRRTSIQEKQVHRKLQGDIVEHIWERFGHEDDEI >CAK8566350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:411656302:411660403:-1 gene:gene-LATHSAT_LOCUS19485 transcript:rna-LATHSAT_LOCUS19485 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSESSDPKTVDLPVIDLRLVSQSELYTISLSHATVCNRRIDEDCVIPKIDRSVFKESTGSRKQTFSRLRLFPRNNNNPYSPVPVPVSAAVAPVAVVPAPSSSSSRIASDGENSQIIDLLQELFGVEPLRGAYNDSAVPLQVEFKQPGLEFTPQAVQNVPIDGSQTKRKRGRPRRGETPGTETLLAICDGNGKAMETDDKKKVETVNGKKKKKVETVNEKRVEGAKEKQGFVLEDAGDPFVEELIVRTQGMNTEPELLEFLEGLNGVWGSDRKKRRIVDANCLRDVLPTGWKLILTLQRRGSRASVLCRRYVSPDGSEFETYKDVSSYLVSLSDVQDASHLKSSYTDGSKQFSSNINMSSENNIGHVPTGGVMIDANASSHHEKQATISSPNGTEKRNTSDGNLNGDLAAMDCELGDATNGAFGVSDHPTDDKLPLKADTNDANSVQESFLSEDRVYNQSVGPIPTGGMKIDANTSSHQEKQATMSSSIGTGWDETVPNGNEQVRFGDNGLGLSATLVENHIQKIASESSMLVPNSQDISTNGKLQFCPEGSLVPSQNELNHTSIESMDREQTFVLKDSAGANKNYNDFPGSSTDERTWDHNDEYMNNISFDPWQQDAPVSGEIDFTPNLYVTNGVSDNHGLPLDEVATSCLQKMSSLNDQICTMDNLLHRRSESNLFTVAESQHPSARHDNIKNISDGASVAVKHVDDASSRVLQGRSQSVSPGGSMLNQFDKKNDDGVNNGNTSCLSGIRKF >CAK8566285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:399679068:399687476:-1 gene:gene-LATHSAT_LOCUS19427 transcript:rna-LATHSAT_LOCUS19427 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIFHNNLTNAMDSKKLRRKPKLERRNALKYFDYDAGSSSSSSSSDDSSGSLYTRSMELYDRTSFRIEGVEGELDRICRSLGLSGPDDFAIPAAAWEAMKTRYSLDSLPSLKLEEVDFQKVNKVRKSDEEEGELDVKYEDRDTDRGRVTDEVAETVVVAASDGGGGGGGGGGINEIHPPMIKTSELAPVREGEEGILNEEVGFGRVSSIREEEERAVENMDMIAEIVVGLSESSLFSTTSNEDDLSSTAMEPWYNNMSPNWRIKRTITPGCWQKGHFLGGGSFGSVYEGISDDGFFFAVKEVSLLDQGDQGKQSVYQLEQEIVLLSRFEHDNIVQYYGTEMDESKLHIFIELVTKGSLRSLYQRFTLRDSQVSAYTRQILYGLKYLHDQNVVHRDIKCANILVHASGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVKGKNKGYGLPADIWSLGCTVLEMLTGQIPYSNLECMQAIFRIGKGVLPPIPDTLSRDAKDFIMQCLQVNPDDRPTAAQLLNHPFLQRPLSQSSSPYIHGRRD >CAK8568053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:567103455:567104240:1 gene:gene-LATHSAT_LOCUS21050 transcript:rna-LATHSAT_LOCUS21050 gene_biotype:protein_coding transcript_biotype:protein_coding MASAINHLYTVLLSIVLSSASSSTAEPLPPIWPEQFHSVLFMNKSGSLQKIDLWYDWPNGRNFNIIQDQLNEVAVYDLEWTNGTSFVYTLHPSDRHCKILYPGVGILRRNWLDGATYLGQEKIDNFVCNVWEKVDFIVYYEDAVTRKPVKWIFSSGYTAHVMTYEVGAVLEESNWQAPVYCFNQTEKMLESAAVRGDSFGSLMGGKFVDVLDI >CAK8571051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274383122:274385047:1 gene:gene-LATHSAT_LOCUS23747 transcript:rna-LATHSAT_LOCUS23747 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAHRHHLKHRFPLPHNMVPTPPHKFGPSHPPDNLPNQNQGSSDSNINMISLPYANADTTLRSLAAQAEGYGRFAIGGLHGSLYHVTSLLDDGPGSLREACRRKEPLWIVFEVSGTIHLSSYLSVSSYKTVDGRGQRIKLTGKGFKLKQCEHVIICNLEVEGGRGHDVDAIQIKPNSKHIWIDRCTLSDCEDGLIDITRGSTEITISRCHFHNHDKTILIGSDPSHVDDRCIKVTIHHCFFNGTRQRHPRVRFAKVHLYNNYSRNWGIYAVCASVESQIFSQHNIYEAGQKKVAFKYLHEKAADKDAEATGHIISEGDIFLNGAQPGLMTGNVGVKVFHPSEHYITWTVEPPTDDLKQVLQHYTGWQSVARPGDQTICAE >CAK8533014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:566138552:566141657:-1 gene:gene-LATHSAT_LOCUS2666 transcript:rna-LATHSAT_LOCUS2666 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLEKVAADLRASIWKQMASAGIKYIPSNTFAYYDQVLNTTAMLGAVPPRYGWTGGEIGFDTYFSMGRGNASVPAMEMTKWFDTNYHFIVPELGPDMKFTYASHKAANEYKEAKALGVDTVPVLVGPVTYLLLSKPANGVDPSFDLLTLLPKVIAVYKEVVEDLKAAGASWIQFDEPTLVLDLEPHKLHAFTAAYSDLASTLSGLNVLVETYFADIPADAYKTLTSLPGVTAFGVDLVRGSKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLTASLITLNGLEDVVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALANALAGQKDEAFFSSNSAALASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSTRLDAQQKKLNLPVLPTTTIGSFPQTPELRRVRREYKASKISEEEYVTAMKEEIRKVVELQEQLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSAQAQYLDWAVHSFRITNVGVQDTTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLRVFRDGVKYGAGIGPGVYDIHSPRIPPTEEIADRMNKMLAVLETNILWVNPDCGLKTRKYTEVNPALTNMVAATKLIRNQLASSK >CAK8564742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7981426:7984632:1 gene:gene-LATHSAT_LOCUS18014 transcript:rna-LATHSAT_LOCUS18014 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNQNQNQNQIQIQCETEPKTLNTNPYPPPTALYSDLVRDANLFRETLQSFHDSFGTKLKIPTIGGKPLDLHHLFIEVTSRGGVEKVIVDRKWKEVIMTFNFRDTITSASFMVRRYYLSLLYHFEQVYYLGKQAPPSPPSALSGNLANSSSTNKEGAAINDSPRQVSTAQTIGTSLHGTIDEKFDGGYIVTVDLGSQQLKGVLYHVSSNVSQSSYAKGLSGERVER >CAK8542514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:521928327:521928647:1 gene:gene-LATHSAT_LOCUS11351 transcript:rna-LATHSAT_LOCUS11351 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIQAKVDAALTNRGGGKAGLLDRIGVEKGGHAKWECPHCKTTVPDVKSMQIHHDARHPKIPFEEDKLVNRHATAAAVPESSKPRPGVRGSLKK >CAK8540495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9080874:9081391:-1 gene:gene-LATHSAT_LOCUS9497 transcript:rna-LATHSAT_LOCUS9497 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFFLFRLILFLVWVRFVNQFLPSSSYFRLILFLVWVRFVNQFLPSSSFRLIMFLVWVRFVNQFLPSSSYFRLRSPFKNQFSQVSIIALIFAIKITVQESRLTSAES >CAK8537736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:425685043:425685908:-1 gene:gene-LATHSAT_LOCUS6997 transcript:rna-LATHSAT_LOCUS6997 gene_biotype:protein_coding transcript_biotype:protein_coding MHWISHRNHLGNTCAVDFIWLLNPDKYRKLLKSSWSEAVFGMFKYLLQRTSITESASFDFLKDENEDCITYSSFSKALQQLNLIGHCYGLSDEETKNLWFQADIDGNGVIDYKQFLYQIWNATGSDYQRDDNKNEKQDEEPNDTKEEETIGFSVKNAVLFPQEMGKGSWPEDYSLSDHARLTVVFTPITISCSHKIS >CAK8530912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:62507270:62511888:1 gene:gene-LATHSAT_LOCUS741 transcript:rna-LATHSAT_LOCUS741 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLPYSRKDVDYDNAKFRHRSFFKVITQTFLTSNRKRDCIGCSTGKFLFLILIFGVAYLGLTHNPIPSRVVSRDQVFGIRKYEENNSSTNGVERLKKFWRRAPRLPPQLPPDTKRSDNGIDHVATALDVKALWITRQQKVKDAFTHAWSGYKRYAMGYDELMPVSQQGIDGLGGLGATVVDALDTAMIMGIDEVVAEAGSWVEENLSERISNKGQVNLFETTIRVLGGLLSAYHLSGGEKGMNITHAGPKPAVYLETAKNLADRLLSAFTSSPTPIPYSDVVLHDSSAHSAPGGLSSTSEVSTLQLEFNYLSHVSGDQKYGLEAMKVMDHIKTLPKVEGLVPIFISPHSGEFSGENIRLGSRGDSYYEYLLKVWLQSGTSRDNSTSYLYDMYKEAMNGVRHRLVQKSVPNELVFVGELPSGPNGGFSPKMDHLVCFLSGTLALGATKGLTKKQAMENNMLNFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTKEFSEQGHEGGNKSSEYINDIIIRPADRHNLLRPETVESFFVLYRITEDLKYREWGWQIFEAFEKYTKVDTGGYTSLDDVTIIPPPRRDKMETFFLGETLKYLYLLFGESSHIPLDKFVFNTEAHPIPINLKK >CAK8533586.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:639231594:639234951:-1 gene:gene-LATHSAT_LOCUS3205 transcript:rna-LATHSAT_LOCUS3205 gene_biotype:protein_coding transcript_biotype:protein_coding MGACGSKPKVSGDLKTKKINNHNHRRKRRRILRKRVSSHKIENNVAHSNSGLQTSNRASDAAWFDSISALDSECDDEFYSVYDGEISVSHADDIGQERRLTPEHCGILQNNCLPCLTSSTAPSIEKKKPMSPETSSARRKSLSKLSFKWREGSSDMALLSPKAFKQKLVAGSTIPFCPIEKQLPGTWSPLEPSSFRVRGKNYLRDKKKEFAPSGAAFYPLGADLFLSPRKIDHIARFVQIPAINIPGDIPSILIVNIQIPLYPATIFQSENDGEGMNVILYFKLSERYSKDLSDQFRENITKMIDDEVERVKGFPMDSIAPFRDRLKILGRVVNVENLNLSATEKKLMNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFEGFIERLKLTNLDFGLTIQGNKPEDLPEHLLCAIRLNKIDHSSFNQIGVSS >CAK8571402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:400009063:400011636:1 gene:gene-LATHSAT_LOCUS24069 transcript:rna-LATHSAT_LOCUS24069 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKQLFILLCLSLVLVLFSGVQVEAKRHIKYHPSKELFVFGDSYVDTGNTPKSKPGSWKQPYGITFPGKPAGRFSDGRVLTDFIAKYLGLRSPIPHKFRNIVTKNYLKYGMNFAYGGTGVFDTSTSGPNMTTQINSFNQLVQENVYTQSDITKSIAYVSVAGNDYNHYLATNGSIQGFPSFIASVVNQTTTNLIQLQRLGFKRIVVGALQPLGCLPQTTAQNSFKSCNSTFNDLVVLHNNLLNQSVTKLNQEAKGYTTFVILDIFESFRSVLNNPSSHNIKERLKPCCFGVSSQYNCGSVDENNVKKYLVCENPESTFFWDRLHPTQAGWNAVYNELKIKSLHQILY >CAK8567947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:558712702:558713777:1 gene:gene-LATHSAT_LOCUS20954 transcript:rna-LATHSAT_LOCUS20954 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEKTKRVVFVTVGTTCFDALVKAMDSETVQKELLAKGYTHLLIQMGRGSYLPTKAEGECSLAVDYFTFSSSIADHLRSASLIISHAGSGSIFETLQLGKPLVVVVNEDLMDNHQSELAEELANRKHLCCASPQTLHQTIADMDLNALCPYSPGNATPVSKHINRFLGFPDD >CAK8574432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681285677:681289429:-1 gene:gene-LATHSAT_LOCUS26780 transcript:rna-LATHSAT_LOCUS26780 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRGRSEATFNGNGAGKRGRSETESFQSGLGSKSKPCTKFFSTSGCPFGEGCHFSHYVPGGFKAVSQMINVGSSPAIPPVGRNPNAPPSFPDGSSPPAVKTRLCNKFNSGEGCKFGDKCHFAHGEWELGRPTAPAYEDARAMGQMQSNRAGGRFEPPPPAHVAAAGFGASATAKISINASLAGAVIGKNGVNSKQICRITGAKLSIRDHESDPNLRNIELDGNFDQIKQASAMVHDLILNVSSVSGPPRKNTTSQSSAPANNFKTKLCENFTKGSCTFGEKCHFAHGADELRKSVM >CAK8543362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:603053720:603054232:-1 gene:gene-LATHSAT_LOCUS12124 transcript:rna-LATHSAT_LOCUS12124 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKDETSKAASHRVRPFDRHNYNFIVDETMNHNEGRPMGHYRVELHKNWCDCGKFQTFCMPCSHVIAACSSARHDPFLQLSDVYKVVNLFGIYNNSFPVVASKEYWPTYHGDTIYHNENMRRNKKGRPKSTRITTEMDTTEKMETLCEICRIPRHTRNNCPNVETSSR >CAK8544941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:713848335:713848871:1 gene:gene-LATHSAT_LOCUS13581 transcript:rna-LATHSAT_LOCUS13581 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTPSSCTRAEILEKKTKTEEALNCPRCKSTNTKFCYYNNYSLTQPRYLCKTCKRYWTQGGSLRNIPVGGSSRKNNKLMTSSDISSYNMIKSHDLSLASNSVPNSLMMMVSSSSSNGQYHPWFSMQEMKPNLGFSVGEMRQLCNTNDHVDQVEHNEEQENSTRYNWNGVIGEGSSW >CAK8537751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426848890:426849680:-1 gene:gene-LATHSAT_LOCUS7010 transcript:rna-LATHSAT_LOCUS7010-3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKQDYHRRLTEAHYYLRQSRRLHSSLLNTRRKITEHSTSLLLKKLKDVSASAAMARSILHKSVKELGASAKKASQHNKAIKSSHVSTTNNLHTASDNKKTTATDRSPSDVKKFDKLSAGRFAVPKVGDKVHVSSLGKKVTVLKVDSSKGEVEVQAGIMKLKLKVTDIQR >CAK8537749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426848890:426856868:-1 gene:gene-LATHSAT_LOCUS7010 transcript:rna-LATHSAT_LOCUS7010-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCNSNTITLSFPFPLTTINKLKHTQHRHRRQTLVVASIHHDSLRVLEWDKLSDLVSSFTTTSLGRHALKDQLWSQNRTYEESLKLLEETNAAVEMHNHGSCRMHFGHIDAMLVQTAIQNARRTIPVTGYEARAVLALLQSSDSLQGDLKAAIKQDKDWYSRFMPLSEVIMEFVVNRSLVKVIEEVIDEDGSIKDSASSELKKSRQQVQVLERKVQQLMESLIRNESSETSILEVNNIGGRWCISVDSGQKTSFKGLLLSSSSGVGSTVEPLSAVPLNDELQRARGLVAKAEAEVLLTLTKKIQLDVDDIENILNSLVQLDVINARATYGLSFGGSNPHIFLPDGNSSSTAQSLTRNDNSNGLLPNNREWILHLPKAYHPLLLQSHRKNSKKAKEDVATSVAALDKGQPQPVPVDFLVSNKTRVIVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESVQIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISNIKLQSTRQSLVLLDEVGAGTNPLEGAALGMSLLESFAQDGCLLTIATTHHGELKTLKYSNEAFENACMEFDEVNLKPTYKVLWGIPGRSNAINIAERLGLPSVVIDTARKLYGSSSAEIDEVITDMEKLKQDYHRRLTEAHYYLRQSRRLHSSLLNTRRKITEHSTSLLLKKLKDVSASAAMARSILHKSVKELGASAKKASQHNKAIKSSHVSTTNNLHTASDNKKTTATDRSPSDVKKFDKLSAGRFAVPKVGDKVHVSSLGKKVTVLKVDSSKGEVEVQAGIMKLKLKVTDIQR >CAK8537750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:426848890:426856868:-1 gene:gene-LATHSAT_LOCUS7010 transcript:rna-LATHSAT_LOCUS7010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCNSNTITLSFPFPLTTINKLKHTQHRHRRQTLVVASIHHDSLRVLEWDKLSDLVSSFTTTSLGRHALKDQLWSQNRTYEESLKLLEETNAAVEMHNHGSCRMHFGHIDAMLVQTAIQNARRTIPVTGYEARAVLALLQSSDSLQGDLKAAIKQDKDWYSRFMPLSEVIMEFVVNRSLVKVIEEVIDEDGSIKDSASSELKKSRQQVQVLERKVQQLMESLIRNESSETSILEVNNIGGRWCISVDSGQKTSFKGLLLSSSGVGSTVEPLSAVPLNDELQRARGLVAKAEAEVLLTLTKKIQLDVDDIENILNSLVQLDVINARATYGLSFGGSNPHIFLPDGNSSSTAQSLTRNDNSNGLLPNNREWILHLPKAYHPLLLQSHRKNSKKAKEDVATSVAALDKGQPQPVPVDFLVSNKTRVIVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESVQIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISNIKLQSTRQSLVLLDEVGAGTNPLEGAALGMSLLESFAQDGCLLTIATTHHGELKTLKYSNEAFENACMEFDEVNLKPTYKVLWGIPGRSNAINIAERLGLPSVVIDTARKLYGSSSAEIDEVITDMEKLKQDYHRRLTEAHYYLRQSRRLHSSLLNTRRKITEHSTSLLLKKLKDVSASAAMARSILHKSVKELGASAKKASQHNKAIKSSHVSTTNNLHTASDNKKTTATDRSPSDVKKFDKLSAGRFAVPKVGDKVHVSSLGKKVTVLKVDSSKGEVEVQAGIMKLKLKVTDIQR >CAK8576543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:489615758:489617517:-1 gene:gene-LATHSAT_LOCUS28722 transcript:rna-LATHSAT_LOCUS28722 gene_biotype:protein_coding transcript_biotype:protein_coding MKLANKRQRRVERSSNLRGLAPFYQVYIAITKIKQEEGEIECHQPTRQRWPQNAWNEMELMEAQRKPEELRTRAGIHT >CAK8531470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:112888368:112888838:1 gene:gene-LATHSAT_LOCUS1260 transcript:rna-LATHSAT_LOCUS1260 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSLRFVKLIRSLSTEPSRPQKIERIADELFSLNRFERHDFTVLWRLKMGLDRYGAPVAGGLGALGSAVSGPAAADAAAAPAEKTAFDIKLEKYDAAAKIKIIKEVRSFTDLGLKEAKELVEKFPCVLKKGVTKEEASSIMDKLKELGATVVLE >CAK8535108.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:814761474:814761743:1 gene:gene-LATHSAT_LOCUS4585 transcript:rna-LATHSAT_LOCUS4585 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGINEDVEKDDINDEIQEDDIDDGFQEDVAGDEFQEDDINVDDEFQEDDIYSEFREDGVDEEFLEDEICDEFQEDDMDDEFQEDKLE >CAK8536780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:19772768:19774590:1 gene:gene-LATHSAT_LOCUS6113 transcript:rna-LATHSAT_LOCUS6113 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMAFFQANFMLQTPHHHEDHHHQPPSLNSILPQDYHGGPSFLGKRSMSFSSGIELGEEANIAEEDLSDDGSQAGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALNLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQYDAVKLDNDALQAQNQKLQAEIMALKSREPTESINLNKETEGSSSNRSENSSDIKLDISRTQASDSPLSTHHTTTTSRSFFPCPSARPSGGIAQLFQTSSRPEQLQCQKIDQLVKEESLSNMFCSMDDQTGFWPWLEQQHFN >CAK8571465.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:423767844:423768659:-1 gene:gene-LATHSAT_LOCUS24128 transcript:rna-LATHSAT_LOCUS24128 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTHVSLFLSFAFLFYAVAGGKVTFTNRCQYTVWPGTLTGDQKPQLSSTGFELGPGAITSLNLPSPWSGRFWGRTGCSFNNGKFICATADCASGQVGCNGAGAIPPATLVELTVASNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPANINLVCPSDLQVRGSDGSVIACKSACLAFNKDQYCCRGAFNTEQTCPPTNYSTVFKNQCPLAYSYAYDDKTSTFTCSSTPDYAITFCPST >CAK8572484.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539879607:539881088:1 gene:gene-LATHSAT_LOCUS25046 transcript:rna-LATHSAT_LOCUS25046 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDAAGSKGKKKGSTTFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDVVTVSREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >CAK8578897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661163130:661164347:-1 gene:gene-LATHSAT_LOCUS30876 transcript:rna-LATHSAT_LOCUS30876 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLFFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSAEDVQNKIKLIKEAIAEDLNKKVKEQSSQEMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFSTFPSRASKDDDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8575682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:248481392:248482498:-1 gene:gene-LATHSAT_LOCUS27924 transcript:rna-LATHSAT_LOCUS27924 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKCGFFDQSWVVTINLQSIHSLSNVFFSIDLNLSIKLHSLLAVFLKEDVISPNNNNKDKDKDKWDHRTTMDGVAANLHNSSKPSSLNPTTRSLSSSPTKLDQHGSQIGSDKSRNSIKPETWRSWVVQRTGYSDADFEDAEIVPSDIKKGDVCDVSGRPEIVKIGNFSREPVNRETELDANRNDIKSRIQHLESELSTVLYSLRSNTSDVTMLTEQKNTSDNLEKLSDAWEFQETEIMNAQARLRSLRAKLAVLEGKMALAIMDAQKALDEKQKKIDYVHKALKLLKSTCVVWPNNASEVFLVGSFDGWSSQRKMEKSDTGIFSVVLQLYPGKYEIKFIVDGEWKIDPLRPVVNNNGYVNNLLVVHD >CAK8532058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:197234835:197236073:-1 gene:gene-LATHSAT_LOCUS1795 transcript:rna-LATHSAT_LOCUS1795 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIPFTNLQPPPFTNLQLPPFTNLQPPPMTKIISYPFPSINRLKFNTRPNRTLTHLNCLTSLQPSPSSPHSDSTSFQVSYLINTFDFSPQFASKLCSIRRLCFKTTEKPDSVTNFFRNYGFSNSQLRDIIAKAPWLLSCNPSKTVLPKFQFFLSKGASNSDIVCLVSKNPQILSSSLEKHIVPTYEMLYRFMQSDRDLIASVISNPELLWGSHVRLNITMLLENGVSDSNIMRIFRTRNRALQRDDLLSLLEELKDLGFNPSKTTFGVAFIAKTSVNKIKWKEKVDAFNKWGWSDEDVVKAFKKQPHCMLNSIEKINLVMDFWVNQLGWDALVLAKHPSIFSSSFEKRIAPRALVVQFLRNNGLRNKNASLASPFSLPEQEFLDKFIKRYEKESSFLLNLYAGTKLASHD >CAK8530591.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:32944620:32946174:-1 gene:gene-LATHSAT_LOCUS444 transcript:rna-LATHSAT_LOCUS444 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYEILEVERNVTEEELKKAYKKLAMKWHPDKNPNNNKDAETKFKLISEAYEVLSDPQKKSIYDQYGESGLKNGMSPTDEATASYFQTRDGRQFRFNPRSADGIFAEVFGHSSPYGGMGMRGGGCRGMRGQTWVSRSFGDMFGKDVFGESRQASQAPRRKAPPVENKLPCSLEELYKGTTKKMKISREIVHASGKTLPVEEILTIEIQPGWKKGTKITFPEKGNEQPNVIAADIVFIIDEKPHSVFTREGNDLVVTQNISLAEGEALTSSYTFQLTTLDGRDLTIAIDNGIDPNYEEVVAGEGMPISKNPSQRGSLRIKFNITLTDMVDAETECQN >CAK8534931.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:791917406:791919546:-1 gene:gene-LATHSAT_LOCUS4428 transcript:rna-LATHSAT_LOCUS4428 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTLHSITFLSLPFHFPRKPISLSPKPNFTTPIPNPLLLRNRVPKTMHSSRLSTFQDAFGGAVAIVQSSPATWESSLLSNLLIFLLGSPILVTGLSFSGILAAFLLGTLTWRAFGSHGFLLVAAFFIIGTAATKVKMTQKMEEGVAEKKRGRRGPESVIGSSAAGCICSLMAISGVGGAAFSQLWRLGFVASFCTKLSDTISSEIGKAYGKTTYLVTTFKIVPRGTEGAVSVEGTLAGILAALVLALLSFLLGEIGLHEVVICLLASQFANLGESLIGALLQDKKGFEWLNNDLVNVINISIGSITAVLMQQALQNWTP >CAK8568164.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:578778965:578784028:-1 gene:gene-LATHSAT_LOCUS21153 transcript:rna-LATHSAT_LOCUS21153 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPLPFEDHAIPAVDDDEDYTGGDDTMDELEEETHVNSVNASASANHDGVVLPITRTGELTLSFEGEVYVFPAVTPQKVQAVLLLLGGRDTQAGMPTDELPFDQSYRGMSDITRRPNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAERMHREKGQFASVKPSPGSSNWYSAQGAGQNGTPNPETLRRCKHCGVNENNTPAMRRGPDGPRTLCNACGLMWANKGTLRDLSKGGRSLSVEQSGLDRPIDVKPTNLEGELSVIRNEQGISEDHPSKAIATEGLNVFNPSDEELPVSAEHLASTLPSVIDHSSENDDEQEPLVELSNPSDTGMSNPSDTDIDIPGNFD >CAK8567058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483830286:483831193:-1 gene:gene-LATHSAT_LOCUS20146 transcript:rna-LATHSAT_LOCUS20146 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSSLQITTTVSLQSFNPSSTIFHKSFFPRFATLPSLSLNRFVSSQKSRTTTTTTTRASLLETPVLWVGRICVFYALLKTGFVGSPSNPFLSDLEIGSNGDSNDAGDLGFSKWTQAILGKPAKEGANGGNLTSKWHPTTKGTLKRNYRIPSKSEGRRLLKAIASLLSEDDHFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLIVEITPFPVGPLSDKDYTKAEKLEKVLRSRPSV >CAK8534078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:691284470:691286376:1 gene:gene-LATHSAT_LOCUS3648 transcript:rna-LATHSAT_LOCUS3648 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGKPLLATNTNNIGTDVFQAGNISWKQFSVNTSPKPLLVFAPTVEGTYPVILFCHGYAISNSYYSNLLGHISSHGFIVVAPQLFTLNLPMLGVCEVKFAGKVADWIAKGLQPKLNENIQQNVKAKLDTLVLAGHSKGGKTVFALALEHAKTNPNFSALIGIDPVAGPSKCKITRTLPQILTGQARSFNLNMPVMVIGTGLGPEPSNCSPKACAPEGVNHEEFFRESKPPCAHFVTKDYGHMDMLDEDTQGLRGRLLKCMCKNGVGPKDLMIRTLGGLVVAFLKNFLYNQKKDFQAILDDPNLAPAKLEKPVFYP >CAK8573824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640125758:640126583:1 gene:gene-LATHSAT_LOCUS26227 transcript:rna-LATHSAT_LOCUS26227 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSSAFDSECSSGCESGWTLYLEHSYGENTRFIDGTQGYYGKYTNDENYSQDLSMLSDASSGPPHVPYDDHDDGYFNEKHNGNSLVKQHNKSETKKQKVREHVEKDQHNLPSFLHDTASSHVFDFSTNNVIETTNQQNYSQGFSATNYFEGRSSYQEEQFGFLQQSQTENELQSNKRWYGRKKGFGMR >CAK8566368.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:414595585:414612374:1 gene:gene-LATHSAT_LOCUS19501 transcript:rna-LATHSAT_LOCUS19501 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSNNCLQPLSTAENDLQPFFVLHKASHHGKDRTSAGQGKPRKRNKLSPWSPQSANKPKESSAEECDRHLCQKKQIEAFDIVWTKIESTIKDVLRDLNASVFNNIQQWVMECFDTTRLVGEPSITEATRSFPVLNNTTPLKVYSALVITRNIEFVDDVMTFEELGLFLKSHGCHVAMLSSMEFSLKNGIAGCLKALLREFLGSSFDPSDIQTLASWYREQDNYNKPLVLMINDLERCCGSVLTDFILMLSEWVVKVPVILIFGVATTVDAPRNIFPSHALECLCPSMFMLVTPAERMDAIVESVFIKHCTTFNIGHKVAQLLRNYFINQDGTITSFIRALKVACLLHFSMEPLSLIQGRVTVEDEVRNSGLSPETMLKYLEELPSYARNEEVYQTKKSMAKGLSELLTIQKLWSTVVLCLYEAGKYSGVRLLDLFCEALDQVLYPSRNSVSSKRDEKDHGLSSKNDPCQQDSIMQSGGSICQIVRKVRDLPSGKLDQLIERWEELTVDISEIHEKLKVLQSSVRCKDGKSSRRNSKDISKRGVSKGSINIDQDSRMSNLQAIAFLDDLVRNYLRPIEGIPFHEILCFKNVEKLQLVLIGDPRRRIQVDLLEFHNILHCSCCNKSGKALLPSMHDSSIMYSLAQEHGDLINLHDWFQSFRTIVLQHTNKRKQKSKQTPLPKKRKDMSGSEDQNEASIQARFCRGVTELQITGLVRMPSKRRPDFVQRIAFGI >CAK8569183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680367238:680368803:-1 gene:gene-LATHSAT_LOCUS22066 transcript:rna-LATHSAT_LOCUS22066 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPKQLSLTSPKLSFLSSSSTPLSFFVSNKLQFLKKPNFSVKLNCSLPKSQFFGRNLGLFVPSHVGGPRFQIGPIRAAVKRRKEMPFDNVIQKDKKLKFVLKVRKLLVSQPDRVMSLQELGKHKQELGLDKNRRLIAVLKRFPGVFEIMEEGCFSLKFKMTSEAESLYLEELRVRNETEDIVVDKLRKLLMMSLEKRILLEKIAHLATDLGLPREFRDTVCHRYPEFFKVVETEKGRALELTHWDPQLAVSAAELSAEDNRIREMKEQNLIIDRAPKFNRVKLPKGLNLSKGEMRKIMQFRDIPYVSPYLDFSMLGSGTPEKEKHACGVIHEILSLTLEKRILVDHLTHFRDEFRFSQQLRGMLIRHPDMFYISLKGDRDSVFLREAYRDSQLVYKDRLLLLKEKLRSLVDIPRFPKGRRGAAHTRIGDGTEENDIDNRQNESGDEEQEWSQGDDDGEDNDDDWIDEEDGDDTPPDFDEEEAETLEIEKRKTITHVQDTRQNNERVLDPSLPDGRPRERW >CAK8565529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:261987776:262000026:1 gene:gene-LATHSAT_LOCUS18735 transcript:rna-LATHSAT_LOCUS18735 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFIFDPPSDEEIELSEHESDSESEQEQPESPSPSPSPSQSESELESEEDEVVEPRVSKKKTQSPWDFTKYSESVAEEHARRSTTSVNDKISAVRQRSAPVVALPDSDEDSASDSEPDKQEDYRPEEEDEEEGNTGDTKSFFAPSDGASFSADSFLQLNLSRPLLRACEALGYAKPTPIQAACVPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVYAIRVLILTPTRELAAQVHSMIEKLAQFTDIRSCLIVGGLSTKVQEAALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVRVCPRKRQTMLFSATMTEEVDDLIKLSLSKPLRLSADPSAKRPASLTEEVVRIRRMREVNQEAVLLAMCTKTFTSKVIIFSGTKQAAHRLRIIFGLSGLKAAELHGNLTQAQRLEALEQFRKQQVDFLVATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGRAGSAVTFVTDNDRSLLKSIAKRAGSKLKSRIVAEQSILKWSQVIEQMEDQISEVLQEEREEMILRKAEMEATKAENMIAHRDEIFSRPKRTWFVTEKEKKLSAKAAKASMDKENGSSQKMVSAQEAEDQKLKEKRKREREKNMPRKKRRKLEAAREMLEDEEQDDKPKGKGTDNNEKSGMTLTDLAYRRAKAVKAVKRALDSGKIVRKPQKKSTKSTSRKTSSSRTEEMRELFQTDMKDKKPKQRGSGLGKKANKSFKSKSRYKRK >CAK8542814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:550361912:550363504:1 gene:gene-LATHSAT_LOCUS11618 transcript:rna-LATHSAT_LOCUS11618 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIVVNKSEEQVLDLPPGFRFHPTDAEIIVCYLTEKVKNSKFSATAIGEADLNKCEPWDLPKKAKMGEKEWYFFCQKDRKYPTGMRTNRATESGYWKATGKDKEIYYKGKGNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKFATQNLPKAEKDEWVVSRVFHKNTDVKKPQVSGLLRINSIGNDDLLDYSSLPPLMDPSYTNDDYKGITISTNQQISSSTKPQSDGYYLPSFSINNQQQLIKPEENYHRIINYDLHEMNPTMVNYTSNLSNPIGNTLSQSQPQLRLQNPNMNYFMYQNRMMQSSIPAFGNNNECKMEQFSSNQSQDTGLSNDTSSAVSKQDIGRNRASLYEDLEGPSSVAPLSDLEGFWDY >CAK8575760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:310574375:310576696:1 gene:gene-LATHSAT_LOCUS27996 transcript:rna-LATHSAT_LOCUS27996 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKSGVAVLRASLVIVALCIAGYILCPPLYWHFKEGLAAVKHSSSSSNCAPCLCDCSSQPTFSIPQGLGNISFGDCAKPDPEVNGDTEKNFAELLSEELKLRETDALENQRRADMALLESKKIASQYQKEADKCNSGMETCEQAREKAELALVAQKKLTALWELRARQKGWKEGVAKSNAQSQGKVQTS >CAK8568999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662253925:662254681:-1 gene:gene-LATHSAT_LOCUS21898 transcript:rna-LATHSAT_LOCUS21898 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTGEENRRFEDALAVYGPEDPNRWQHVANAVGGKSIQEVQRHYEILKQDLIRIERDQVPLPAYRGGASSGVNINNTRRQLIAEEQRRMRNLNIR >CAK8576027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:387590999:387591676:1 gene:gene-LATHSAT_LOCUS28245 transcript:rna-LATHSAT_LOCUS28245 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLSPAYAYTVLYVKDVAQSVAFYAKAFGYSVRRLDESHRWGELESGTTTIAFTPIHQHETDDLTAAVHATKSKQERPPVEVCFVYHDVDAAYKRAVENGAVSVSVPEVKEWGQKVGYVRDIDGIVIRMGSHVKPAKLD >CAK8539889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530414889:530415677:-1 gene:gene-LATHSAT_LOCUS8946 transcript:rna-LATHSAT_LOCUS8946 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPSQTSKKLKLSQSSQKKSQPSQASKKLKLSQSSKQFILQFPNYIRSYIDDVVNVVSDGNCGFRVIASLQGLGEDGWSMIRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVGSQPPHKWLTLPDMGYMIANHYNVVLVCLGIECWTFFPMTTSFSPNAAIYCIGFVNGNHWVQVNMKEGFPLPPVTVDWKNFRSPAATSWMLGFAPRLQHWQQLTPILPTHYTL >CAK8563032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:567959415:567961476:1 gene:gene-LATHSAT_LOCUS16481 transcript:rna-LATHSAT_LOCUS16481 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLSSLTPSFSLYKSRTSLSSVPSFNPILNPSSSSFPSCTPIVSSSSSSSKFISLTPLHSSNNNTFRTKATVTAAADSSSSSSSSSSLFKTLELGVLFCLWFSFNIYFNIYNKQVLKVYHLPVTLTAFQFAIGTLLVAFMWGLNLYKRPKLTSSQVLAIFPLALVHTLGNLFTNMSLGKVAVSFTHTVKSMEPFFSVLMSSMFLGEMPTAWVVGSLVPIAGGVALASATEVSFNWIGFLSAMASNLTNQSRNVLSKKLMVNKEESMDNITLFSIITVMSFFLSVPLTIFMEGVKFTPSYLQSAGLDVQQVYIRSLLAALCFHAYQQVSYMILERVSPVTHSVANCVKRVIVIVSSVIFFQTPVSPINALGTGIALSGVFLYSRVKGIKPKTE >CAK8579439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699331758:699332660:-1 gene:gene-LATHSAT_LOCUS31386 transcript:rna-LATHSAT_LOCUS31386 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPGFIIEPTEAKSMAETSSVTELLPSLINSAQTLARPPISNFRVAAVGLSKSGRIFIGVNVEFPGLPLHHSIHAEQFLLTNLSLNNEPNLQSFAVSAAPCGHCRQFLQELRGAPDIQIIITSGPDPKPTPLSQFLPYRFGPHDLLPQQTPLFLEPRNNGLSLHFPPEPTQKLPNGVCNGETLDMKLKIAALEAANKSHAPYSDSPSGVAIVDCNGKIYKGSYVESAAFNPSLGPLQAAVVAFIAGGGGEYDEIVGAVLVEKDGAVVKQEGTVRLLMEAISPKCELQTFLCSVDDHSSI >CAK8536228.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919496449:919504311:1 gene:gene-LATHSAT_LOCUS5618 transcript:rna-LATHSAT_LOCUS5618 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGNGTTATSSSSSSSMEGWLYLIRCNRFGLQFSRKRYFVLDHHLLRSFKSVPNSDDQDPVRSAIVDSCIRVMDNGRESINRKVFFIFTLYNTSNHNDQLKLGASRPEEAARWIQCFHEASLKGAPEDIGCSKRRWQSYSLSGSSSRNHQNFIDWTLSSSDVIAPSPWTIFGCQNGLRLFKEAKDRDSGGKKRDDHPAIMAVGVVDGTSEAIFQTLMALGPSRSEWDFCFSKGSVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPRQKGYVRACLKSGGYVISPANMGKQSVVKHMLAIDWKCWRSYLKSSLAYSITIQMLGRVAALRELFRARLGNCTLSDYSSGELTRNRRNSLKEEYANSDTQIQADESNPDNSIGEVDPTQSEHAGLVSLNDADDEFYDVMEPSNCDESENGSMTECSHQKSQDIRHQKLSSAANFVRKLHDLAVHKRGYVDLQEMVGEESITCPYGSTLPKDPTCTLPCSLTEADPSTFLIRGENYLEDRLKVKAKGTLMQMVAADWLRSEKREDDLGGRPGSIVQKYAAQGGPEFFFIINIQVPGSTTYSLALYYMMNTPVEDAPLLESFIKGDNAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFQGKNYLELGIDIGSSTVARGVVNLVLGYLNHLVIEMAFLIQGNTREELPEFLLGTCRLNHLDASKSVCLKP >CAK8543149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583101677:583102714:1 gene:gene-LATHSAT_LOCUS11929 transcript:rna-LATHSAT_LOCUS11929-2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLLDDIDKIRTYSWGSATLAHLYRPLCNNAIANSGNWTGCGVLLQAWGWSRMTDLAHIQQNNFEFSYATRWSSLGMNYDNCPHFSITQYINLIDHLGQHDFIWRPYLGLEAIHEVNQHDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYSPWTKYAKHECREWRHRSHFVLSDTVFPYERKQTIQYMNWYMEVSIGFISHPRYLVDPRQQATSSRPQQPTQPHFQPPTQPHFQPPTQPHLQPPTQTTTTI >CAK8543148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:583101620:583102714:1 gene:gene-LATHSAT_LOCUS11929 transcript:rna-LATHSAT_LOCUS11929 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGLFLCPDTSGNVVHSMYLVLLDDIDKIRTYSWGSATLAHLYRPLCNNAIANSGNWTGCGVLLQAWGWSRMTDLAHIQQNNFEFSYATRWSSLGMNYDNCPHFSITQYINLIDHLGQHDFIWRPYLGLEAIHEVNQHDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYSPWTKYAKHECREWRHRSHFVLSDTVFPYERKQTIQYMNWYMEVSIGFISHPRYLVDPRQQATSSRPQQPTQPHFQPPTQPHFQPPTQPHLQPPTQTTTTI >CAK8575915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:360810145:360810491:1 gene:gene-LATHSAT_LOCUS28140 transcript:rna-LATHSAT_LOCUS28140 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLSCEIPFRKHVRSIFMDKALVSTSPTLKGNIAIDSFHEFTGFKWLKDKPLLKFEDSQWLLIQKGEEEELLKVEISCLMML >CAK8575755.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:309322478:309323380:-1 gene:gene-LATHSAT_LOCUS27991 transcript:rna-LATHSAT_LOCUS27991 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSQKSKAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVIQFTNKDIVAQIVSASIAGDIVLATTYAHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLEMDEEYEGNVEANGEDYSVEPAESRRPFRALLDVGLVKTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDAEIHRKYIFGGHVTAYMKTLIEDEPKKYQTHFSQYIKKGIEADGIEELYKKVHAAIRADPSIKKSGKQPPKEHKRYNLKKLNYDERRAKLLSHIKATHTSQQFRNHNWRH >CAK8572299.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:527548763:527552013:-1 gene:gene-LATHSAT_LOCUS24878 transcript:rna-LATHSAT_LOCUS24878 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPSSSMRATSINSNNRSAGHMFSNPAELLDNIPFSPASDMHSMPFPQESDAISWGTDMFDNMLPFPDNVPIQNDHVEYSGSNVLGGNAKQTEFKEWVDQLMSVDDDSLHPDWNELLGDNNMAEPTAKETQVSLQETQVSLQQYVPSNEKTQVSLQETQVSLQETQVSLQQYVPSNEETQVSLQETQVSLQQYVPSNEETHVSLQQYVPSNEVNVFHNSSGSNTSQSKPRMRWTPELHEAFVEAVNQLGGSEKATPKGVLNLMKVEGLTIYHVKSHLQKYRTARYKPEASEEIPEKLTSIEEMPPIDLKTPKGITEALRLQMELQKRLHEQLEIQRKLQIQIENQGKHLQMMFEQQIKSGEPSAPSSSAALPSPVENLESLNEDHGKTGINCSTPDTSAKQKGDDAKVTGELDQVSAPPTKRVRTD >CAK8573390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:608461739:608464638:-1 gene:gene-LATHSAT_LOCUS25842 transcript:rna-LATHSAT_LOCUS25842 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDHSPLLGGGGDAAATSTPNMTAEGGGGGGVGSNSESDIERSRIDEGERSFSGNRWPRPETLALLRIRSDMDTAFRDASVKGPLWDEVSRKLAELGFQRSAKKCKEKFENVYKYHKRTKDGRGGKSDGKTYRFFDQLEALDHFHNHPSTYQQQYQPQNQSKSPQISAPTPTPSQAVTIAITESTTVSMSLPPVINTPITIPHVTVSSISMPLNNIVTTQTQTMNMMNQTVSSINPSTSFQPSTTNPITTNPLPSFPNISTDLLSNSMASSYSTSSEDTMEEGSRKRKRKWKDFFERLMKEVIEKQEDLQKRFLEAIEKREQEKVAREEAWRAQEMLRINREREILAQERSIAAAKDAAVMSFLQKIAEQQNLGETLNNINIAQPPPPQQPQRNAAPPAASPAPTPTSVPAPAPTPTPTPTLTLTPVPAPAPLPTSAPTLAVQVHAPPPLQPPPVTKPGQPIVPQQQLVMNREIVKFDNNSENFMGQSPSRWPKVEVEALINLRTSMDNKYQENGPKGPLWEDISSAMRSLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYREKSKGDNSGGSGSGSGLNQVVKAENMAAPLMVRPEQQWPPQQEERNCREEEDNMDEDERGREEEDMDESGGGGGNFEIVASKPVGASA >CAK8568941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:657794212:657796784:1 gene:gene-LATHSAT_LOCUS21848 transcript:rna-LATHSAT_LOCUS21848 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPAYHDRNHYIDTVFSSSSPSHKLPSSNANYSALASSFQKLIGSVFMRRYNRKGQWRRIAYRCVLFFFAGILLGIFPFGNLIHDIPHQRNENINFDIKTPHADDAQLVLDARVPGERGGGGGGGGGGEDTGFVIDPVSLSLRMNDEKVKVKARDLVESERVDFVTRKQLIVVTPTYNRAFQAYFLNRLGQVLRLVPPPVLWVVVEMNSASMETAELLRKTGVMYRHLVCGKNSTDLKDRGVHQRNTALEHIEHHRLDGIVYFADDDNVYSLELFQTIRDISRFGTWPLAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSSILWDPKRWRRPTSKPIRQLDSVKEGFQETTFIEQLVEDERQMEGLSAGCKKIMNWHLHLDVHNVVYPKGWMLEKNLDAVIPIK >CAK8574720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:7314044:7314322:1 gene:gene-LATHSAT_LOCUS27037 transcript:rna-LATHSAT_LOCUS27037 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYKWELVTYFASKQDFKNGIRTYAIHNGRNMKFKKNDKKRMRVICKKGCPWEAYCAKIQDEDTWKLRKIVDKHTCSRDYNVAVRIVIPSY >CAK8541992.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:448474363:448475244:1 gene:gene-LATHSAT_LOCUS10869 transcript:rna-LATHSAT_LOCUS10869 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFSIVPEKKNVLFIMGATGTGKTKLSINIGIQFPSEIINSDKIQVYKGLDIVTNKISEIEQCLIPHHMLGIIDDPDYDFTVHDFCKKMLETLDVIVENGRLPIIVGGSNTYLQVLVDDPIYAFRLKYNCCFIWVDVSLSILFPYLDKRVNEMVEAGLIDELRDFFVPGENCTRGIRRAIGFPELQRYFEIKNDEGIDEGHKEKILKKSIAKMKENTCILAENQLIKIDNMVNILGWNMHKIDSTKVFEAVLKGEDYQHLYQEIMVKPSLEIVKSFLEEATHENMKTQNDH >CAK8569124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674281245:674281532:1 gene:gene-LATHSAT_LOCUS22008 transcript:rna-LATHSAT_LOCUS22008 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYMMKVPSTYSSTRLILLRFIFLLALSYRTNSLVKLAPNVTVPAIIAFGDSIMDTGNNNQIKTMVKCNFPPYGQEELECSVQHLFDTYHHRGH >CAK8536145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:911711717:911712628:1 gene:gene-LATHSAT_LOCUS5541 transcript:rna-LATHSAT_LOCUS5541 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHCTAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIKWLSEIDVPEAAFTMVVIEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTQSYNWEEDMEIEDNRFVNDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSYPLCRFQLPTNNTSE >CAK8567603.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:527929048:527931960:1 gene:gene-LATHSAT_LOCUS20640 transcript:rna-LATHSAT_LOCUS20640 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKELYVLDRIGYGKIDNTDGDGMYPMYFGVSCAFFALQVLRKPDFEVEKNLSEIVETMLQGSAQLLGLILWKVQKEVSNEVNQKLKSAEMEIENLKRIRHEDAKANEKVVGIFAAQEQSWFSERRKLRQQIGALLNELRVFEKKKDSAISELDQELKEMEGLVESKDKKIEEEEKKRKELEEKVKKAEREAEELRESCRHDAQEHSSDLRKHKTAFIELVSNQRHLEAELGRAVKHMEATKQELISVMENKEESDLMVQKLTLEIAKFHKDLEQKDKILSAMLRKSKLDIAEKQMLLKEVKLSKARRKQAEQETEKWRVVSEGKHDRHSLKSMLLNFSSRKDVLPSSRGMLQYSFSTGSSHVSNEQEQFSPISDHYLSQRNEDSSIPANAKRLEDWMRAETERYATLIEQRHHIELDAFAEQMRIKDEKIEAFRWQLLRTELETKQLQSHLEGLVKDVTQLRHDKMKLESLLLEREDELNSLKDQVASKLRPLNCFRSNSSLSPQSSELTHDPVWSRVKIVKRKPGEKQLEMMETLIEEVCEKEVVRPLNPDEIENSNSQVQSPDNRIEEDKHVCGEDNPTSVQYQSPDHVEIDTAEKIGSTSKPLNDAKQFQWKMDLHALGVSYKIKRLKQQLILIERLTGRQNNEEHAEISEENNSGMKAYLSVVTLLNKQIGRYQSLQEKTDDLCKRMQENVLYANRGETNNAKAKEKTSTLEHFLEETFQLQRYIVATGQKMFEIQSKIVSGFVGVAEEMEKSAGIDMNRFSDSIRNLFHEVQRGLEVRTARIIGDLEGTLAREGMISFRR >CAK8569896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14589187:14589920:1 gene:gene-LATHSAT_LOCUS22698 transcript:rna-LATHSAT_LOCUS22698 gene_biotype:protein_coding transcript_biotype:protein_coding MTERNPIAANSSSPDSDFSNQQWSFDELSDYLSFADIDWQQVDPTTYNQSFVYQPNDVAGGSNNVDGSSTSNHTGDANATMSGTALTQGTCWKNEVKHRVAFKIMTEIEILDDGYRWRKYGKKMVKDSPNPRNYYRCSVEGCHVKKRVERDKDDSRYVITTYEGMHTHPTSS >CAK8577072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:535295431:535295814:-1 gene:gene-LATHSAT_LOCUS29213 transcript:rna-LATHSAT_LOCUS29213 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDRIARVYGLNEIQAGELVEFSSGVKGIALNLENENVGIVVFGSDTSIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHE >CAK8571804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:476870764:476871276:1 gene:gene-LATHSAT_LOCUS24433 transcript:rna-LATHSAT_LOCUS24433 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAIKSSSHNVIQFDRERFCFMVAESINQRDGRPLVTFSVDLRRGWCDCGRFQAFHLPCSHVIAACASIRHDHNMHIPDVFKVLSVFKVYSQSFLGLPHQQNWPTYEGFTLCHDETMRRNKKGRPNSTRITTEMDDFEKEKRRCGICREIGHMRRKCPNMAGPSNRPV >CAK8565530.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:262059230:262062175:-1 gene:gene-LATHSAT_LOCUS18736 transcript:rna-LATHSAT_LOCUS18736 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASPHHEPEFWELVLEEKWDIVIEKYKQHNKFHKIEIQGRGSALHVAVSSGRKDVVKSLVEAILDLKDETSLRELNKRGATPLHLAAYRGFTDMCENIIGKKGERKYLIQEKNENGETPLFWAVRARKGLVFVYLQQFFPTDINIAIDNNNTSILHVAIQSEMFGLAIIIMYCYQGLISMKDNDDIIPLEILATRTSAFKSGSRLSWWKQILYYCFTISRRDAKTTMELLQNNVKGDYSAIEIYDVDKLEKAYKIRHSFAQSCHNIQKFVFRWPILSLLDLEAIKTIKKNHIYGGQLLEEFMKTPIGSYIGGGVKPDLDNLERDFEMENISKFLKSKMEEGDTSKQETKENKKMDDSTNVDGKDTTFLSVAKSGIVEIMEELNSKVPVTSDKKGLLLVAMKNIKIEVKSDVKDTSYLISASHGIVEMMSDLQSSIKSVIYETNSNDENALLLAVKNRQPHVIQWMQKTLPSGVVNHLVLQVDKNQNTVLHLAAYTSFERENTWRISGVVMQMMWDIKWYKYIKGLVPEHFNNKGNKEGKTPSEIFKEQHKVLLQNSVEWLKDTAESCSVVAALIAGVSFATSGSVPGGNQQTGEPALKGQPAFEGFAISSLIGLYFSVTALIMFLAILTSRKEVEDFRRNLPMKLLFGLCSLFVSIVAMFVSFCAGHFFVLTDKYTKGSILFYLYISICLPVTFYAAVQFPLFIDLVKVIWKKVPPPSVKGVLL >CAK8530861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:56839496:56842255:1 gene:gene-LATHSAT_LOCUS696 transcript:rna-LATHSAT_LOCUS696 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPSKPEILLNNRFLSYLIWQSIPSTLIFLFSFFILPKTPFWSFLPFLTFQFLFSVSLSFVSSPSSHPIFLNLKLSLTFLLFITASALSGSVAALSFSGFNAFGRLGLRGFLAGLIFGVHYVFKRRWVLEFPIIQRPPFFNFKMGIPLAAKRAFKLSTVAFIFSALLLEIIPHPFKCSIATQAFFAEQIVFFIGSFAIFFCWELTHNLHRVLHTKRSVFAPPKGSAAAETNPSEHLLSALEESNPKSLLRYLAYLDLCMVCENNVDTWRRAAFFEESGETYKRVIAVCLRPLEQLTSRLGESMGNSTDKPTQLSDQLSSPTDVKHVEELYNLQLYAWCSRIVASLTSASRKEDKFGAAQLSGSNAAVVSTLISCLLAAENFMGKKTNLQSPNQLLGSSGIKWATANSGRLDIAAASSKRKNGPANSKAYAIADVLKTSIYQIVSSFHDEMLAGTKASLLEKDWITSEKPLFGTREMLIQKLRLFLDFRAT >CAK8578254.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:615107353:615109611:-1 gene:gene-LATHSAT_LOCUS30281 transcript:rna-LATHSAT_LOCUS30281 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFARNLFHITGVRVSSFYSPFHPNPFPIFFTLSSPSSQNSIFGSPMILLTSFFYVVKCPFTSKSSFDDSVSESVSSLLQNDGAHHVFDSALAPIRVSKVLVNLNGDPKTALKFFRSAGNQVGFRHTTESYCILVHILFCGMFYFDAKNVIKEWILLRREIPGCDWFDMLWLTRNVCRTGFGVFDALFGVLIELGMLEEARQCFGKMNKFRVLPKVRSCNELLHKLSKSSQRKLSLSFFNEMVGAGLSPSVFTYNIMIGYLTKEGELEIARSLFEQMKRTGLKPDVVTYNSLIDGYGKVGLLSEAVFVYDEMKDAGCEPDVITYNSLINCFCKFERLPQAFQYLHEMKERGLKPNVVTYSTMIDAFCKAGMMLEAIKFFVDMIRVGLQPNEFTYTSLIDANCKIGDLNEAFKLANEMLQAGLNLNVVTYTALLDGLCEDGRMEEADKLFRALLEAGVALNLQIYTSLIHGYIKAKMVEKSMDILEEMNKKNFKLDSLLYGTKIWGICRQNKIQESEAVIREMKDRGLTVNSYIYTSLMDAYLKVGKITEAVNLLQEMQELGIETTVVTYGVLIDGLCKKGLVQQAVGYFEHMTKTGLRPNIMTYTALIDGLYKNDCVEVANKLFNEMLDKGIRPDKLVYTALIDGNLKHGNLEEALSLRNIMIESCMELDLHAYTSLIWGLSRCGKVQQAKSFFYEMLREGITPDLILCISLLKKCYELGDINEAQELHNDMIRRGLVAETMDIRVPSTHT >CAK8541834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:412645827:412649227:1 gene:gene-LATHSAT_LOCUS10728 transcript:rna-LATHSAT_LOCUS10728 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGSNSDISFAGTFASSAFSACFAEICTIPLDTAKVRLQLQKQAVAGDTINLPKYKGMLGTVGTIAREEGLSALWKGIVPGLHRQCLYGGLRIGLYEPVKNLYVGKDHVGDTPLVKKILAALTTGAVAIAVANPTDLVKVRLQAEGKLPPGVPRRYTGSLNAYSTIVKQEGVKALWTGIGPNVARNAIINAAELASYDQVKETILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSSYKSTIDCFVKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQTKKFVKSLESA >CAK8578942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:663093364:663094279:1 gene:gene-LATHSAT_LOCUS30920 transcript:rna-LATHSAT_LOCUS30920 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALNQPTFHYYRSEIDMANADALRWIDNVPAIKWTRAFDGGRRWGHMTTNLVESMNVVFKGTRNLPITTLIRATYYRLGSLFAERGSKWSVALNSGETFTYNCLKVMKEETTKSSTHQVRIFDYANNVFSVKETIDHGELKPMGHYKVDLLNGWCDCGKFQAYRVPCSHVIIACSNVRHDAYALLSDIYRVTNLFGVYSTSFPVLLYDEYWPVYEGDQIWHNPRMRRNKKGRPVSTRITTEMDNFDKLERKCSMCRQTGHNRTRCSNVGTSNR >CAK8568009.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:562740694:562741005:1 gene:gene-LATHSAT_LOCUS21011 transcript:rna-LATHSAT_LOCUS21011 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPFSKYILLQTHFLINVSPLSTILRAHVCFHQLNPFPQKFSTSSSDNCEKLSWECCTQEIILEKFKFALRNHQSQEALETFHDFRRLYGYPEVQLLNQVIF >CAK8535318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:837484623:837489640:1 gene:gene-LATHSAT_LOCUS4785 transcript:rna-LATHSAT_LOCUS4785 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVHNAAAMESTFLRDSHSRSPRRQEDGGRGGGRSSSLLQMWREIEDEHVVRQVQGRSGEVLVQQRSDGLVVDPSQENTNHMTEGSVMGENESETWSQSQSQNGSQCEHDQEELNNSSRENSYNIGESGRERVRKVFREWMSNGGSRDRASNIARRNSGSRGELLGETDQERVSVVREWVEMSSQLRGVSVGENREEQSAEFGNQVDCVRDGLAVNQNEGQSEHISRRRIRKLRGRQVLLDMVKKAEMERQREVQELLERRAVSHFPHRNRIQALLRGRFLRKDRPVDNNRSTSIAESELGLLRKKQTVSCLREGFSSRKNNSGFGQATSNLSETSSDSDTDVDTIEQTEATSSQVVPSVHSERSKPNNKEIDRLGISHACDEEEEERNLESSSNIRVERRDDTTQNVDTMPTEDTDNGITQHSLQVEVMELINMQEQEPSVIQTEQALLGDIDGEGSNLSNHDNRVEENIVDDVDSVESVTIERGHHEEIIVENEGSEWNQTNIDWRDSTQESVDDNQLSSTSNEWPQNILGTEDGDNSRLQDQVASEVWQEDGSFQEAVEIWLGGPSDNEVAPVGRTHGFYFPEDDNVYSVELRELLSRRSVSNLLRSSFRESLDQLIQSYVERRGHARGEWELQETTPSSPSTEQDLEQQRRDRIVDTEDIVNSSLNLPLPPTPPPLPLWDRHSRHDNWSQNSINNQRRGTVHPFAGHNRFPLTDELDNISDLRIDMVRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSTGSSGVRDHITPDDKSKWECVRKGLCCICCEGNIDCLLYRCGHMCTCSKCANQLLDSRRKCPMCRAPVVEVIRAYSI >CAK8564577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:913100:913681:1 gene:gene-LATHSAT_LOCUS17872 transcript:rna-LATHSAT_LOCUS17872 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEPEYEFIGKIVEEVSIKINNIPLHVASKPVRLESQMLEATSLLGLESNERVSMVGIHGIGGIGQSTTARAVQNLIADQFEGVSILLT >CAK8538808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496828452:496829547:1 gene:gene-LATHSAT_LOCUS7964 transcript:rna-LATHSAT_LOCUS7964 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIITSPTFTNWFSGTIEALSFKVFTVIGCCAFEKFMKVMERIFLAVFIFVLALGGSIIGTIGGAIKGQTTETGFLDGACRGAIAGAIAAVEFMSFASVGEPFSKVALLTSLLNGKVFMEWICPTVAQAYINSVETTYGGGVVSDIYDTMGVKGMSQTCIIKLPFHEFSSSNKMMKLYNDSCCSICFQDLEDGELVRVLPKCSHVYHLECIDKWLLQQGSCPICRTYILQ >CAK8565439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:199539523:199539998:-1 gene:gene-LATHSAT_LOCUS18656 transcript:rna-LATHSAT_LOCUS18656 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGSNKHADKGPKKKKGKATGNALANQPESGANNQEHTSTKSKKSQRRGKDTSSQTSDSKQGSRKESLKMKEDNLISPSEEWIMEKITALIPDFEEQGIDDPETILRPLMILKRFIGRHCLKTMQKE >CAK8576838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517884856:517887693:1 gene:gene-LATHSAT_LOCUS29001 transcript:rna-LATHSAT_LOCUS29001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQNGFRRPVIIKNKRNHKQPESQPSVDPITGKKIPKSFVFARGKLPVPLKQLQMDLRKLMLPYTALSLKEKKRNSLKDFLNVAGPMGVTHFLILSKTASAPYLRVATTPQGPTLTFKIQEYALAADIARSQLHPRCPKDLFKNSALIVLSGFVSGDLPLRLTTNMFQNIFPTIDVKTVKLASCQRIVLLNYNKDTKLIDFRHYSIRLQPIGVSRRIRKLVQSHQVPDLRNLQDVSDFVTKAGYGSESEADEEAATVTLSSNIGRVNRASTKSAVRLQEIGPRMTLQLVRIEKGLCSGEVLFSETASGKAGDKGKTDNEIDNNDEDSEDGEDEDGEDPEDNEINEELD >CAK8564545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680462283:680463314:1 gene:gene-LATHSAT_LOCUS17843 transcript:rna-LATHSAT_LOCUS17843 gene_biotype:protein_coding transcript_biotype:protein_coding MINVGTTYATSENTVSTLPPTISSEMPPPHIKKRKNRSEVWNHFIVSSEEEQNASCKYCDIKIKYNNGTSSMHAHLSRCLFYKRKRTLSSMTSAEEHVGSPLIVNLTVDNASSNDVGVERLKRRLLSKNSLVMSGNHFHMRCCAHILNLIVKEGLKDIDNSVYRVRHAVWYARSSPARLAKFKACIDEESMDYKGLVSLDVETR >CAK8532161.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:214129585:214129941:1 gene:gene-LATHSAT_LOCUS1892 transcript:rna-LATHSAT_LOCUS1892 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHLCEKLRVKLVGRKGLELNTDSLIAAIKDERGNRKPISTSMSLKPGLGVSKLKAGIMKQNIFRKAKVVKKSNRSIPRANLNVGSASKVSEENRIIDQVLPNVVVSGNDLSKSNP >CAK8579218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:684841888:684844866:1 gene:gene-LATHSAT_LOCUS31182 transcript:rna-LATHSAT_LOCUS31182 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEENSSSAFGPLAAMTLRNMSSSSSAFFSANQSPFFSPRTSSCHISDTLRGEALNERIHVDDASGAAAAASTSTSSVIPEQKSTISDVAAASPVVCNSGDMQRLDRISSSVDISSSTVSGYCHPYDDCYSGQKEKRSKKGRNLKPGSRSISSYRLKSCDVFIGLHGRKASLVRFSKWLCAELEIHGISCFVSDRASCRNSSKLGIAEKAMDVASFGIVIITRKCFKNPYTIEELKFFSGKKNLVPIYFDLSPFDCLVRDIIEKRGELWEKHGGELWLVYGGLRQEWKDAVHALSRVEEWKLEAQDGNWRDCILETVALLAMRLGRRSVAEHLRKWKEKIKEEELPFTRNENFIGRKKELSQLEFMLFGDVTGDSKQDYIELKARSKRKHLTIGRGKSNVLDERNGSSREEKEPVLWKESEKEIEMQSIEFAQRHYRSRPKRSGKYTRKKRGIDILYGKGIACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYVRQNYLNLRSFLEVDVSVENSLEKTSLKGFEEHEQAAISRVRKELMRNIPYLVIIDNLESENDWWDHKHVMDLLPRFGGETHVIISTCLPCIMNLEPLKLSYLSGVEAMSLMLPTGKDYTVAEIDALRTIEEKLGRLTLGLAIIGGILSELPITPSRLLDTINRMPLKEMSSWSGKEAHVFRKNTFLLQLFDVCFSVFDHADGPRSLATRMVLVSGWFASSAIPVSLLALAAHNIPKKHKGTCLWRKLLQPLTCGLTSSHTKKSELEASSLLLSFNIARSCAKKGCIQFNELVKLYARKREVNGSSQAMVQAIISQGSISQHLDHLWAACFLLFEFVHNPAIVELEVSELLHLVKKVVLPLAIQSFITYSRCTAALELLRLCTNALEAADQTLVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALCRATVLETRAKLMLRGAQFDIGSDLIKKAIFIRSSICGEDHPDTIFARETLSKLTRLIANVQIHS >CAK8567635.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532797651:532798001:-1 gene:gene-LATHSAT_LOCUS20671 transcript:rna-LATHSAT_LOCUS20671 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVRQVYYVPYPSIVPCKQGWSIVIKTKSLGHIETGDLVEDVAYQVDEVEQINDVIAVEQITSLSDTMVEGHQVDVSILLDENDVDDEHEKFGSKDNITSDDNNDMDEEHEDFE >CAK8544301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:676995668:676996402:-1 gene:gene-LATHSAT_LOCUS12993 transcript:rna-LATHSAT_LOCUS12993 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWKGKDAKSKKDAEAEALKEPMSKIISQLQSSLVQSNASGFLSDNCVHLAVQAEQLDLLDKACFGRPVRNVEKDTYWFQLTLEEAFYLCYSLKCLKINVGAESGDSNDDELWHYCKSKNKAFPFFYKAYSHIRMKNWVVRSRAQYGVDFIVYRHHPALVHSEYGVLVLSHDNDDNLNGRLRVWSDVHCTTRLLGSVAKTLLVLHVNKNGHNDESPLCSENYVIEEHTISRWSPEQCRERSI >CAK8543116.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:579815195:579815416:-1 gene:gene-LATHSAT_LOCUS11898 transcript:rna-LATHSAT_LOCUS11898 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIPRDFFNMNEQSNSNPHQPYTSDLSDHVVNLASSDEICEVEFVRNDIPSTIVDNFVPAPNVIESDDDSNL >CAK8577849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594042365:594045572:-1 gene:gene-LATHSAT_LOCUS29918 transcript:rna-LATHSAT_LOCUS29918 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLLSPFLLLLMLYYPSCHSLDTITLNQTVKDGDVLVSNPRGTFALGFFSLEPDSKTRYLGIWYNKISEQTIVWVANRDNPLSDTSGVLSINNGNLVLHDLNKNKNLKPIWSSNFSTSTSFGDSSAKLLDTGNFVVTRPKEKNILWQSFDFPSNTLLPFMKLGLDRKTGLNRFLTSWKSPNDPGAGNFTYRIDPTGFPQLFLYRNKSPTWRVGSWTGQRWSGVPEMTPNFIFNVSYTNNEDEVSVLYGVKDPMVLSRMVLEETGHVRRLTWQPSERRWFQIWYGPKEECDGFKQCGSNSNCDPYNADKFECECLPGYEPKFAREWYLRDGSGGCVRKRNVSTCGSGEGFVKVARVKVPDTSKTRVNESLGLKECRENCLGDCSCVAYASENELSESGCVTWHGDMEDTRTYTQVGQDLYLRVDAHELAMYAKHPYGSLGKKGMVAVLVISSCLVLFMGIVFVYWFVKARKRWSKRGPKYSFRLSFGDSSNQQEFDSTNNSNLPFFDLSSIAAATDSFSLANKLGEGGFGSVYKGILSNGMAIAVKRLSKYSGQGIEEFKNEVVLISKLQHRNLVRILGCCIQGEEKMLIYEYLPNKSLDFFIFDKSKSSELDWRKRFDIICGVARGILYLHHDSRLRIIHRDLKASNVLLDSALNPKIADFGMARMFGGDQIEAITNRVVGTYGYMSPEYAMEGQFSVKSDVYSFGVLLLEIVTGKKNTGKYEDDASTNLVGHIWDLWKEDKAMEIVDRSLGELFSELEVQRCIQIGLLCVQDYAVDRPSMSAVVSMLGNDSTLPTPKQPAFIFKKSNYESSNPSTSGGIYSVSDVTMTMIEAR >CAK8567305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:502370067:502371342:1 gene:gene-LATHSAT_LOCUS20369 transcript:rna-LATHSAT_LOCUS20369 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRKWSLLTGPTAILAGVVATVAVANFILVKNDPFTKSEERKFGDHPTTK >CAK8533425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616293868:616298529:-1 gene:gene-LATHSAT_LOCUS3049 transcript:rna-LATHSAT_LOCUS3049 gene_biotype:protein_coding transcript_biotype:protein_coding MATEENGDAESSTRRISVRFVTRLSDPYKVPNSAIAIPSDLTRFGLSSLVNALLQSIHDDYESEPFDFLIDGEFVRMSLEEFLLAKGISAEKILEIEYTRVVAPRKEEDPSLHDDWVSAVDGSSSRFILTGCYDGFGRVWKGPGVCTHILEGHSDGVTSVSVLNPEGVETVTLATASKDRTLRLWKINTDEATNNPVRVRAYKILRGHKSSVQSVAAQTNGEMVCSGSWDCTINLWRINDNNAENDLVSKKRKVEGRVEDSQLEGEAFTTLVGHTQCVSSVIWPQRESIYSASWDHSIRKWDVETGKNLSDIYCGKALNCLDIGGEGSALIAAGGSDPIIRIWDPRKPGTSAPILQFASHKSWVTACKWHDKSPFHLLSASYDGKVMLWDLRTAWSLSTIESHSDKVLCADWWKSDTVVSGGADSKLCISSEIPVQ >CAK8535842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:884979262:884979792:-1 gene:gene-LATHSAT_LOCUS5262 transcript:rna-LATHSAT_LOCUS5262 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGYDALVENNWNVPITGNPMFILWNKFMRLQPALCNFSKPARHNDQQLIQARNRLNTAQTSLEANLMNATILTRIKEQKVEIIKLKELEENILRQKSKLDWIKWGDGNNSYFHASVKAKNNSKNMSHLTKEDGTILTVQTDIEDEVLDYYKNLLGTADSAVRHIDVTTMRDGP >CAK8570547.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:58395554:58398819:1 gene:gene-LATHSAT_LOCUS23287 transcript:rna-LATHSAT_LOCUS23287 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRKKPGMASVKDMPVLQDGPPPGGFAPVRFARRIPNTGPSAIAIFLTTFGAFSWGMYQVGQGNKIRRALKEEKYAARRAILPVLQAEEDERFVKEWHKYLEYEADVMKDVPGWKVGESVYNSGRWVPPASGELRPDVW >CAK8572788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:563689650:563691416:-1 gene:gene-LATHSAT_LOCUS25314 transcript:rna-LATHSAT_LOCUS25314 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVEVLSAYRAVLKATRKSFAGDSQMLKGSAAEVRKQFEENKNVTSEAEIQKLLAEANEASDFITNMIVQAKLNPDAGSYVVKPGKEHAGATLEIPSEEIIQKSG >CAK8560554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24671824:24674919:1 gene:gene-LATHSAT_LOCUS14217 transcript:rna-LATHSAT_LOCUS14217 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGSKFNLRRGNSGSCSSHNVVINDYNNNSNNCDGEFSRINKSGCFSSMVDVVDKDSSCVSFTTFNILAPIYKRIDPQNQGLRESDFRSFWLARNQRILDSLLSESSSIMCLQEFWVGSEELVHMYEKRLGDAGYQLIKLARTNNRGDGLLTAIHKERLSIINYRELLFNDCGDRVAQLLHVRSVNPILQNQKYSVHQEFLIVNTHLLFPHDSSLSIVRLDQVYQILQYVELYQRENRLKPLPIILCGDWNGSKRGHVYKFLRSQGFASSYDIANQYTDSYADAHKWVSHRNHRGNICGVDFIWLCNPNQARKPLKTSWAEAVFSILKFQLRKVLLSEDDAFTFLKGDNSADSVKAFTFLKGNTCVDAVTYVRFSEALRQVKLIGVPYGLCFQQLQDLWNQADVDGNGVIDFEEFKQKIWNSTCSEHVLENLNGCMENSSTEQEQEAIGFKVKNAMLFPREMEKGLWPEDYSLSDHARLSVVFSPAKMSRPML >CAK8568552.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:615822219:615830873:1 gene:gene-LATHSAT_LOCUS21499 transcript:rna-LATHSAT_LOCUS21499 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEILGYVTAYLKKKGFKQTEKVFQEEFQQNKSSSSSSTLLEPDIANHLLAFSQLENGPARYHNGYSRLRTWTYSSLDLYRHELLRVLYPVFIHCFMDLVAKGHIQEARNFFNTFREDHELMHLRDIQKLEGVLSPTHLKEMEFAHSLRQSKFNIKICEYSYELLLQHLHSTQSTTILGIVNEHINFQVTSGQPSLISDDPEAVTLIGSSQEAANQTNQKEIHWGLLEDSLEERLEKAGALLSDSEKGDGETKEGENDESKKRSIEVGKQGASVKKIKKDKGGSATAKTAKPEVSTVSAAPRVKPELPLPTIPTEVEHSILEDLRNRVQLSSVALPSVSFYTFINTHNGLSCSSISHDGSLVAGGFSDSSLKVWDMAKLGQQPSSSLSQGDNDTAQNEQTLGKSGGKRQYTLFQGHAGPVYAASFCPVGDFLLSSSADSTVRLWSTKLNANLVCYKGHNYPVWDVQFSPMGHYFASCSHDRTARVWSMDRIHPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRVFVGHRGMVLSLSMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLVGHTSCVWSLAFSSEGSILASGSADCTVKLWDVNTSTKVSRIEEKNGNTNRLRALKTLPTKSTPVNALRFSRRNLLFAAGALVKNV >CAK8579117.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:676841877:676851128:-1 gene:gene-LATHSAT_LOCUS31085 transcript:rna-LATHSAT_LOCUS31085 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVKEEALEIPIPTEPDRKTRRKPGSHVPPPPDSVIDLSSSSSDSDSDSDSVGDLETVVASAVQSVESPSKKRKVNDKVAILPAGFLSPLPPAPSQNAILSLPAPEWASTSNRFNRNVSYTLKGCKQFWKAGDYEGSPGRGFESSSVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVCNGATYVNVDMIESKKDGSKMLLVEDNGGGMDPDKIRQCMSLGYSMKSKMANTIGQYGNGFKTSTMRLGADVIVFSRCIGKDGKRSTQSIGLLSYTFLRNTGKEDIVVPMLDYERDGQGWKRMLRTSLDDWKNNVETVVQWSPFSDEADLLRQFNLLKDQGTRVIIYNLWEDDQGQLELDFEDDPHDIQIKGVNRDEKNIKMAKDFPNSTHFLTYRHSLRSYASILYLRFPQGFRIILRGKDVLHHNIVNDMMYSQEVTYRPQSGVADGVLKDSNMVAIVTIGFVKDAKHHIDVSGFNVYHKNRLIKPFWRIWNPAGSGGRGVIGVLEANFVEPAHDKQGFERTLVLSRLEQRLIQMQKTYWGSNSHKIGYASNRVRKENNSFAGQDTAPDPVPESSQLKRKYSATNGKASSPLASDELHSYSKQKRLRTESKRYSEYRNGHSAVSPISMSQSSSEDASYADDTSDQNDNVSSKSQAKTDGRKISTVGKSAGKENVSFQDSTPRGNAWQSTQGSNPKGKEVNVPEQPISDLSSIEQLRNENRELKERLEKKDEESSGELAQALQEEKDMRKSLEAQLRNAEQKIEDMNKEQETLIDVFSEERDRRNAEEKNLRKKLQEASNTIQELLEKVRLLERKASSGKVV >CAK8535494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:854190586:854198209:1 gene:gene-LATHSAT_LOCUS4951 transcript:rna-LATHSAT_LOCUS4951 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPLTPPSLATSFTAKPTQPPIRQIPGSHGWPLLGPLSDRLDYFWFQKPESFFRTRMEKYKSTVFRTNIPPTFPFFTSVNPNVIALLDCKAFSHLLDMELVDKKDVLVGDFVPSVEFTGNIRVGVYRDVSDPQHSKSKKFSMQVLKESSSIWVPELVTNLDIFLDQIEATLSTSSSASYMFPLQQFLFKFLFKVLARADTSLDPKIAESGYSMLNKWLALQLLPTISIGKVQPLEELFLHSFSYPFSLVKGDYNNLYNFVKQHGKEVVKCGKEFGLNEDEAIHNLLFILGFNSYGGFSIFLPKLINTIANDSTGLQEKLRKEAREKCGSTLGFESLKNLELINSVVYETLRMNPPVPLQFGRARKDFPLSSNDSVFNVKKGELLCGYQKLVMRDPAVFDEPESFKPDRFTKEKGAELLNYLYWSNGPQSGSPSVANKQCTGKDIVTFTSALIVAHLLRRYDSIKGDGSSITLLSKAK >CAK8568331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:596264463:596266253:1 gene:gene-LATHSAT_LOCUS21296 transcript:rna-LATHSAT_LOCUS21296 gene_biotype:protein_coding transcript_biotype:protein_coding METRASKKRTTTNQPPSVLVPKRRRVVLEEFPDLNVSPGYQPLQKRQCRKNPNLSKSASTSTISLLPNSNLDKSLCNKSNAKRDRRQIIEPYVSDISHYLHTMETQEKRRPAVDYMDNVQTCITTNMRGALVDWLVEVADEYKLLPETLHLAVSYIDRFLSIESVNRSKLQLLGVSAMLIASKYEEITPPKAVDFCQITDNTYELSEVLEMEAHVLKSLNFEMGNPNVTTFLKRFVAIASDNRKTSNLQLQYLCNYLSDLSLLDYECLKFLPSVVAASVLFLAKFIIRPRVHPWTLSLYESLGYESEDLEECVTILHDLYMSRRAASLKAVRDKYKQNKFKCVTNLPSLPELPACYFEEVRG >CAK8541845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:414340770:414343175:1 gene:gene-LATHSAT_LOCUS10738 transcript:rna-LATHSAT_LOCUS10738 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTNSSTSHDLCSSTRKSSLYSSHSLISSPHLNFVSFRKTNLCFESKKLEWKRGRTEKCRKWSVKCTAEGLDGGMLVRRKSREEDGIVVRSIPERYKVVSLLACVMCLCNADRVVMSVAIVPLAAKHGWSSSFLGIVQSSFLWGYIFSSVIGGALVDRYGGKRVLAWGVMLWSFATLLTPLAANHSTMALLAVRAFFGLAEGVALPSMSTLLSRWFPNTERATAFGISMAGFHIGNVIGLLITPIMLSTIGIFGPFILFSSIGLLWAMTWTHRVTDDPLESNFISRSELRLIQSGKSVSPKKSNKFPPLGLILSKLPSWAIIFANATNNWGYFVLLSWMPVYFKSVHNVNLKHAAWFSAVPWATMAISGYLAGTASDFLINAGYPTTFVRKLMQTIGFIGPAVALICLNYANTPTIAATLLTAALSLSSFSQAGFMLNIQDIAPRYAGILHGISNSAGTLAAIVSTIGTGYFVQWLGSFQAFLTITACLYVVTTIFWNLFATGEQVL >CAK8571269.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:358914432:358915013:1 gene:gene-LATHSAT_LOCUS23947 transcript:rna-LATHSAT_LOCUS23947 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVVLIPVGSSNRRQPLLQQSKSSLKAGTRLGEAVGGTAAVCCCGPCALANIFYLAIYKVPASLCHKALKKSRQRRRRRLQSSSSGEAMLPPKPVHRCTCGCCDDFGVRVHPTCSDDDDVDVKSESLGVEEEDKEAMELEKEMWETFYGTGFWRSSSQRNKDSSSFSPSQSQMMFFTASAPNLQVHSLQTL >CAK8564272.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:664944253:664945722:-1 gene:gene-LATHSAT_LOCUS17594 transcript:rna-LATHSAT_LOCUS17594 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDVTAMKETLRAQQQLLQKLYAELDEEREASATAASEAMDMILRLQGEKAAVKMEASHYKRMSEEKIGHAEATLEVFEELMYEKEMEIASLEFQVMAYKHKLLTLGVDFNASEFEFPEDLLLNRNDQSGENGQCSRTIRRLSSLPPLPSKNTLRGNRKRDRSPSPLPVSDMIPNTDDGKDQEVISPNIKKPMDFTFGTLDSYWNQIQKLDEKVNGISDCKESGVEKYSSLRSRRGRSCSIFSQGCSKIAIDKTYIVPSTTNADKENHVEGTHDVESVASPSYSVNVHDVFEVPQTSEKNEENEHGKRSLEKWISDVDCRLIKPDSVSEEIIESHVKHDMEKLKNVMLSARHEMKKSNHKDIVDSNAEAEFQKLHQRIDRLEKERISSTRQEEIKRDGYGEEHLRLLKDIQSQLNSIQLEIRNSNKTKKASPKKDEDVSFGPLLPEAMLYFWM >CAK8566673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:447826409:447834989:-1 gene:gene-LATHSAT_LOCUS19787 transcript:rna-LATHSAT_LOCUS19787 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFFFLFFASHLLLSCISGQSTSMESVPDLQNTMYTDVDGFPCVRLLSLSGTIGCSNPGRDKVVAPIVRFENVDHVSELSSILVSFDEFPALFTRLSADPSFARKVGGVLVEPVIDSQKINGFSPDKKFPQAEFAPYHNISYEWNPIGSDIMWKSYNFPVFLLTGSGTKILQEFVLKSKDKKEAYTSNVAEFDLVMQTMKSGTHDSESCLKEGTCLPLGGYSVWSSLPPINVSSLKPPKSIILTVASMDSASFFRDKSFGADSPISGLIALLAAADALSRLDGLGGLSKQLVFVVFTGEAWGYLGSRRFLVELDEHSDAVHGLNHSLIEKIFEIGSVGKGFNQGAKNYFAHVEGDSSSTNQIMASLKHAQESLLSEDINIASASASNPGIPPSSLMTFLNKNPKISGVVLEDFDSSFVNKFYRSHLDDLSNVNSSAVVAAASLVARTLYILASETDDVQNSTLAAINVNVSLVEQLMDCLLDCDPGLSCELVKKYISPASTCASNYVGVILDEPSSTPYPGYINDVPRFIWNFLADTTSIPKGNNSSDCQHGCSGSDEVCIKAETDGKGVCALSTTRYVPAYSTRLKFESGVWSILPPNGSDNMGVVDPVWTESNWNSLGIRVYTVQTAAYDWVVLFGGIALTILAYLAIAVTRTMVAKATKRD >CAK8573742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634299933:634302547:-1 gene:gene-LATHSAT_LOCUS26150 transcript:rna-LATHSAT_LOCUS26150 gene_biotype:protein_coding transcript_biotype:protein_coding MNIALIHPDLGIGGAERLVVDAAVELASHGHKVHIFTAHHDKNRCFEETISGIFPVTVYGSFLPRHIFYRLHALCAYLRCLFVAFCVLFMWPSFDVILADQVSVVIPILKLKRSTKVVFYCHFPDLLLAQHSTFLRRMYRKPIDYAEEITTGMADLILVNSKFTASTFANTFKHLNAKGIRPAVLYPAVNVDQFNEPTSSKSNFLSINRFERKKNIQLAISAFAMLYSPNGALKNRDNTSASLTVAGGFDKRLKENVEYLKELKDLAEKEGVSDKINFITSCSTDERNALLSECLCVLYTPENEHFGIVPLEAMAAYKPVIACNSGGPVESIKNGVTGFLCNPTPQEFSSAMANFINDPLGAEKMGKEARRHVVESFSTKTFGKHLNRYLVDIHRGKED >CAK8535181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:821264719:821273505:1 gene:gene-LATHSAT_LOCUS4655 transcript:rna-LATHSAT_LOCUS4655 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPSSLARSSLEEMLESLRQRDESEKPKDLPPALPARPMSRGRLPPARRSLPKSFKVDGDGEKEVGHKRKGSFGNKKLMLDVESPYVVVSEENCVSEHPSPRPVFLIPVDDSSVASVAPPSPEVEENDNVSYFIKKKLHVWCRQPKGKWGLGRIQSTSGEQANVSLSNGEIMKVARTELLPANPDILEGVDDLIQLSYLNEPSVLHNLQFRYSQDMIYSKAGPILIAFNPFKDVQIYANEYVSAYRNKTLDSPHVYAMVDAAYNEMIGDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSCGIENEVLQTNVILEAFGNAKTSRNDNSSRFGKLIEIHFSATGKMCGAKIQTFLLEKSRVVQLADGERSYHIFYQLCAGSSPDIKARLNLRAASEYKYLNQSDCMTIDWVDDAKKFHRLKKALDVVQMCNEDQERAFKMIAAILWLGNITFLDNDNENHIEVVNDEAVSNAASLMGVSSQELITVLSTRKIQAGKDTITKPLTLRQAIDARDALAKFIYANLFDWLVEQVNKSLEVGKRRTGRSISILDIYGFESFQKNSFEQLCINYANERLQQHFNRHLFKLEQQDYEIDGVDWTKVDFEDNQECLDLFEKKPIGLLSLLDEESNFPRATDLTLANKLRQHLQSNPRFKGEWGKGFSVCHYAGEVVYDTNGFLEKNRDPMPSDSIQLLSSCSCELLQSFSKTLNLSQKQSNSQHVGALDSQKQSVGTKFKGQLFKLMHQLESTTPHFIRCIKPNAKQLPGIYDEDLVIQQLKCCGVLEVVRISRAGYPTRMTHQEFAQRYGFLLYEAYISQDPLSVSVAVLQQFNIPPEMYQVGFTKLYLRTGQVGALEDKRKHVLQGILEVQKCARGHQARSHYNKLKNGVTTLQSFVRGEIARRKYGVMVKSSITISSENMEEIQAIITLQSVIRGWLVRKHDSRFDKFRKYRENGKCRRRSRSKIPEDKDASKDRVQNLPSALAELQKRVAKAEATIEQKEEENAELREQLKQFEKRWIEYEKKMKTMEEMWQRQMSSLQMSLAAARTSLASENVNAQPSRHDVASPMPFCYDSEDATSMGSRTPRTPGCSTPLKYSTSLSDVRATMRDGHGTLSNLMREFEQRKQTFDDDARALVEVKSTGHSNSIDELRKLKHVFEGWKKDYKIRLRETKARIKLGNSEMEKNRRKWWGKLSYRAL >CAK8571860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:484547079:484549847:-1 gene:gene-LATHSAT_LOCUS24483 transcript:rna-LATHSAT_LOCUS24483 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHPSDTPADDFLEQILGLPNFTSADGTDASSLASPMMLQLNSGDAATHLAGGAGFHAPVYHLGLSLDQGTGGFLKPDDASGSGKRFREDVVDTRPKNTFHGQPMPTTVPTAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGSESRRNQPAWEKWSNDGTEKQVVKLMEENVGAAMQFLQSKALCIMPISLASAIYQSQPSDNSSIVKPETTPPS >CAK8541040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:47280648:47282634:1 gene:gene-LATHSAT_LOCUS9992 transcript:rna-LATHSAT_LOCUS9992 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYEGPAIGIDLGTTYSCVAVWQEQNNRAEIIHNEQGNKTTPSFVAFTDSQRLIGDAAKNQAASNPVNTIFDAKRLIGRKYSDSVIQNDLQLWPFKVVSGIDDNPVIVVNFKNEEKCFVAEEISAMILAKMKEIADKFLESKVKDAVITVPAYFNDSQRKATKDAGAIAGLNVIRIINEPTAAALAYGLQKRANSVEERNIFVFDLGGGTFDVSLLTIKKNEFDVKATAGDTHLGGEDFDHRMVNHFVKEFKRKHKKDISGNSKALRRLRTACEKAKRILSFDSETAIDIDAIFEGIDLCSSISRAKFEQLNMDLFEKCMETVESCLTDAKIDKKNIDDVVLVGGSSRIPKVMQLLQDFFNGKDLCLSINPDEAVAYGAAVQAALLTDGIKSVPNLVLRDVIPLSLGIMVKGDVMSVLIPRNSTIPVKKKQVYHTCEDNQPGVSIDVYEGERMVASENNLLGVFVLKVSLAPRGLPVNVCFAIDADGILNVSAEEETSGNKKAITIAKENGRLSAEEIERMIEDAEKFKAEDMKFMKKVKAMNVLEDYLYNMRKVMKDGSVTSMLSLSDKMKINAAMVKGKMLIDDKQDRETFVFVDFLKELESIFESALNKVNQSYSDEESDSG >CAK8573480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:615524992:615525735:-1 gene:gene-LATHSAT_LOCUS25926 transcript:rna-LATHSAT_LOCUS25926 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTVGDISLPKEDEELVHKEQHDQSLKNNNLNKPQNKSTFLSFRQLNCLAVVVVLSASGMVSPQDFAFVLFSIFYMYFISKTVFPTLHPSNEQPIFNRQNKILKLYVLVGAIIGLYAPIAYILHGIIEGDKKGIAAATPHVFLLASQVFMEGVAFSDGFSSPIRAFVPVLYNARRVFTIVDWLRDEIYKVDEEHSGSYRRIYAGRALAVANMAFWCFNLFGFLVPVYLPRVFKAYYSSQKVN >CAK8579728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:719320353:719322929:1 gene:gene-LATHSAT_LOCUS31652 transcript:rna-LATHSAT_LOCUS31652 gene_biotype:protein_coding transcript_biotype:protein_coding MFRICNMRRVVIVGRHRHLKLSTSTRKRESITNNSSNVKDPDILKWNKTISTYMRNGNCDSALHVFNTMPRRSSVSYNAMISGYLRNSKFNLARELFDQMPERDLFSWNVMLTGYVRNRRLGDARRLFDLMPDKDVVSWNSLLSGYAQNGYVDEAREVFDNMPEKNSISWNGLLAAYVHNGRMEEACRLFESKSDWELISWNCLMGGFVRRKKIGDARRLFDKMPFRDAITWNTMISGYAQVGDLSQARRLFDESPSRDVFTWTAMVSGYVQNGMLDEARIFFDDMPQKNEVSYNAMIAGYVQSKKMDIARELFEAMPCRNISSWNTMITGYCQNGDIAQARKLFDMMPQRDCVSWAAIIAGYAQSGHYEEALNMFVEIKRDGESLNRATFGCALSTCADLAALELGKQIHGQAVKTGYETGCFVGNALLAMYFKCGSIDEANDAFEGIEEKDVVSWNTMLAGYARHGFGRQALMIFESMKTAGVKPDEVTMVGVLSACSHTGLLDRGTEYFYSMNKDYGVTPTSKHYTCMIDLLGRAGRLEEAQDLMRNMPFEPGAASWGALLGASRIHGNTELGEKAAEMVFKMEPQNSGMYVLLSNLYAASGRWVDADNMRLKMRNVGVQKVPGYSWVEVQNKIHTFSVGDCFHPEKERIYGFLEELDLKMREEGYVSSTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILTIPAGRPIRVMKNLRVCEDCHSAIKHISKIVGRLIILRDSHRFHHFNEGICSCGDYW >CAK8536124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909407397:909408481:-1 gene:gene-LATHSAT_LOCUS5523 transcript:rna-LATHSAT_LOCUS5523 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIGNRLFVSRLSFYITNDQFVKLFSPFGALKEASLVIDQKTKRPKGFGFVSYESEIEAEKAVKALNGRIVDGRLIFVEPAKLKDS >CAK8576576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:494020005:494022852:-1 gene:gene-LATHSAT_LOCUS28752 transcript:rna-LATHSAT_LOCUS28752 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTISGSANFDKPVKGFENENLEDEKLTRLGSLKKVAISASYKFKHSLKKGRRHGRAMSVAEGEIDIEELQAIDALRQILIQEDLLPSKHDHHHMMLRFLRARKCDIEKTKQMWADMLQWRKEFGADTIIEDFEFEEKDEVLKYYPQGSHGVDKDGRPVYIERLGLVDSNKLMQVTTMERYLRYHVREFENALNVKMPACSIAARKHIDQSTTILDVQGLGIKSMNKAARELLQGLQKIDGDNYPESLNRMFIINAGSGFRMLWSTVKSFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPEILKMVQNGETNVITNTLSGIKEEKTITTDETLCPKDIKERNSFKKDYALEEARHAIAEVAKHCNNVYKFDTLISKEKPISWNEAIQNDKKAFSKGGDCCANNNIFKTSTTFRNHFAGGVMGIVLGLITLIRMTRNMPKKVTAIALYRNSVLYDGNRMKAAPAISIDARMALMKRMADLEEKVKNLTMSPSMPPEMEKLLNTAQSRVEALEQELAATQKALEDSLAKQKELQSEIDVKKKKFFRW >CAK8576649.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500859596:500861356:1 gene:gene-LATHSAT_LOCUS28822 transcript:rna-LATHSAT_LOCUS28822-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASIFKSSSLVLDKSEWVKGQTRLRQPSSMSVARSNPANSSSFTIRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAWRTLLVTVPTLGEYISGAILFEETLYQSTVDGRKIVDVLIEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFYYLAENNVQFEGILLKPSMVTPGAESKDKASPTKVAEYTLNLLHRRIPPSVPGIMFLSGGQSEVEATLNLNAMNKSPNPWHVSFSYARALQNTALKTWGGRPENVKAAQEALLFRAKSNSLAQLGKYVGDGESEEAKKELFVKGYSY >CAK8576648.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500859596:500873539:1 gene:gene-LATHSAT_LOCUS28822 transcript:rna-LATHSAT_LOCUS28822 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASIFKSSSLVLDKSEWVKGQTRLRQPSSMSVARSNPANSSSFTIRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAWRTLLVTVPTLGEYISGAILFEETLYQSTVDGRKIVDVLIEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFYYLAENNVQFEGILLKPSMVTPGAESKDKASPTKVAEYTLNLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNKSPNPWHVSFSYARALQNTALKTWGGRAENVKAAQEALLFRAKSNSLAQLGKYIGDGESEEAKKELFVKGYSY >CAK8538781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496264687:496265166:-1 gene:gene-LATHSAT_LOCUS7943 transcript:rna-LATHSAT_LOCUS7943 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLWHKLKRLKHELKNFSKLLSDVKNKLVSVRNTLRETQEKLRNDRMNNTLIGKAKDLTEEVISLNEMEWKILQQRAKIDWIRKGDGSNQYFYAAIKGRHHSNNLNNLRQNDGRQITTKKDIEDEVIKFYRNLMGKNDESISHIDIEAMRLEKQLDMD >CAK8570960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:196267185:196267490:1 gene:gene-LATHSAT_LOCUS23664 transcript:rna-LATHSAT_LOCUS23664 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSKYQMVLETYSLILIVDNGHTDTITRLKMFWVITLQCQVMDEKLIHLLRWLNAVASEAEKDTKLEHSVAFVDELEDEVTDICCLLLQVKVLLLLISS >CAK8576106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:408372192:408375256:-1 gene:gene-LATHSAT_LOCUS28320 transcript:rna-LATHSAT_LOCUS28320 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKTLSYLKAMWCFNCFGFIKRRNPQKVKPAIYHSTLSQELLLDDDDDFDDEESFCNDEVTSTTSGDDSEEQSRPKRSEDILVFRVENGMICRQFPVKETDKVFRTEDENGNKMINEYVRECKIGSGSYGKVSLHRSSVDGKHYAIKAFHKSHLRKLRVGPSETAMTDVLREVFIMKMIQHPNIVNLIEVIDDPESDHFYMVLEYVEDKWVCEGSGRACSLGEETARRYLRDIVSGLMYLHAHNIVHGDIKPDNLLITRHGTVKIGDFSVSQAFEDDNDVLRRSPGTPVFTAPECILGLTYRGKASDTWAVGITLYCMILGEYPFLGDTLQDTYDRIVNNPIVLPKDLNPRLKNLIEGLLSKDPKQRMTLADVAADSWVIGNDGPIPEYLCWCKRKNSERKDSDES >CAK8537895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:444926387:444926647:-1 gene:gene-LATHSAT_LOCUS7145 transcript:rna-LATHSAT_LOCUS7145 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLYLPNGCWKCLFKFLNNHEDDKYNRYLNSLSLVFKQFLSITNRLRFSAAVGSKIFSFTHLLFQRFLNITSLNYHQILGFSI >CAK8536354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:933354947:933355258:-1 gene:gene-LATHSAT_LOCUS5731 transcript:rna-LATHSAT_LOCUS5731 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKGIKGLGKGGVKRHMMILRDNIWGITKSIIRRLARKGGIKRISGLIYEETRLFLKVFLTKIIHDAASYTDHARRKVVTAMDVVYTLKRKGRSLYDFGG >CAK8573325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:603292799:603295685:-1 gene:gene-LATHSAT_LOCUS25786 transcript:rna-LATHSAT_LOCUS25786 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLLHPCNSSLFFTCNSFIFSKKPLTNSTQQVSLTPHKFCYFPNILLSTPHGSIFTKKVSILSGARFKFCSRNGGFDGASSDSSRDAIDDEIQELEGIELLSKPSPVLEVEIEQEKPSEEEELAPFLKFFKESKDNVKEVEEDNEVLEVSEEKDNVSDENEKEDDDKKVNVEYYEPKPGDFVVGVVVSGNENKLDVNVGADLLGTMLTKEVLPLNSKEMENLLCDVNRDAEDFTVRGKMGIIKNDEVMSGVSVPGRPIVETGTVLFAEVLGRTLSGRPLLSTRRLFRRIAWRRVRQIQQLNQAIEVRITEWNTGGLLTTIEGLRAFLPKAELVTQVNTFTDLKENVGRRIFVEITRIDEAKNSLVLSEKQAWEKLYVQEGTVIVGTVKKIYPYGAQIKIGKSNRSGLLHVSNITRAEVTSVSDILSVDEEVKVLVVRSMFPDKIALSIADLESEPGLFLSNKERVFLEADMMAQKYKQKLPYCPPIVTTRAPLPTSDLPFENEALYANWEWFKFEK >CAK8565877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346422987:346423564:-1 gene:gene-LATHSAT_LOCUS19054 transcript:rna-LATHSAT_LOCUS19054 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKRLKDPKTGFHMLSSISAKKYMNKIGVESEDYYFYKQVGKALLCTYTILGALWIYNDNSPTEWWRKKSPQLKEKLEQANLYPSDVESVKEFVAKGKMIGATLKGLIESDKDECGCEEIQRKKVDEEAQKMWLKMKNEVVAELREKGIDVE >CAK8568821.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:644074052:644077866:-1 gene:gene-LATHSAT_LOCUS21742 transcript:rna-LATHSAT_LOCUS21742 gene_biotype:protein_coding transcript_biotype:protein_coding MANDELQSLDLGYDPNFVPDSVKTFVVHLYRHIREKNVYEIHQMYESSFQSLSERLFKDTPWPSVDAVAQYVDNDHVFCLLYREMWFRHLYARLTPTVRQRIDSWDNYCNLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKNEQEIALLRQFDQAWNVFGVLNFLQALVEKSTIIQILEQEKLGLEQFTANDGYDYNGGSNVLKVLGYFGMVGLLRVHCLLGDYHTGLKCLQPIDISQPGLYTIVIGCHITTIYHYGFANLMLRRYVEAIREFNKILLYIFKTKVYHQKSPQYEQILKKNEQMYALLAISLSLCPQSRLVDETVNSQLREKYGEKMIRMQRYDDEAFAMYDELFSYACPKFITPSAPNFDEPLVNYNQDAYRLQLKLFLYEAKQQQLLSGLRTFLKVYSTISLGKLASYMEVDEPTLRTILMTYKHKTHAVDTAGKVISNADVDFYIDDDTVHVVESKPAKRYGDYFLRQVVKLEGVINDMDSIKLE >CAK8565417.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:180770502:180773989:1 gene:gene-LATHSAT_LOCUS18635 transcript:rna-LATHSAT_LOCUS18635 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKSKKLKVSEKSENAEEIDGELVLSIEKLQEMQDELEKINEEASDKVLEIEQKYNEIRKPLYDQRNDLIKSIPDFWLTAFLSHPVLGDLLNEEDQKIFKHLSSLEVEDHKDVKSGYSITFNFNHNPYFEDTKLVKTFTFLEEGTTKVTATPIKWKEDKDIPNGVSQEKKGNKRAASDVSFFTWFSDTEEKEDLDDLIHDEVAELIKDDLWPNPLNYFNSEDPDEAEEDDDEAGDAGKDDDDDSEDDDDDEEDE >CAK8564559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:681191323:681196345:1 gene:gene-LATHSAT_LOCUS17856 transcript:rna-LATHSAT_LOCUS17856 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNLFLFCWTRTRRTFCTNITNKPPPPFDFTKTPISRLPTVIILGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGVAKLGHLRFRVLDSAGLEAEATSGSILHRTASFTANVLANSHSLLFLTDARAGLHPLDQEVGKWLRRNAPQIKPILVMNKSESLFDADDSLASAANEMSRLGFGDPIAISAETGLGMHDLFLSLKPVLEDYMLSLLNDESARENSCGDDTSSPEADESKLPLQLAIVGRPNVGKSTLLNTLLQEDRVLVGPEAGLTRDAIRTQFEFQGRTIYLVDTAGWLQRTKLEKGASSLSIMQSRKSLLRAHIIALVLDADEIVNAKRSMKHAEVVIARRAVEEGRGLVVIVNKMDLLRGKDKSSSYEQIMEVVQKEIQTVIPQVTGIPVLFISALEGRGRTTVLHQVIDTYEKWCTRLSTARLNRWLQKVMSRHSWRDQAAQPKIKYFTQVKARPPTFVAFVRGKARLSDTDIRFLTKSLKDDFDLGGIPIRIMQRAIAKKDGSGTSKSIQSVGRVAERIKSDKRERGDLVESKA >CAK8561101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:93632715:93633074:-1 gene:gene-LATHSAT_LOCUS14722 transcript:rna-LATHSAT_LOCUS14722 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKTIASEKVSFSDAEIDKRTAEKKYIDDWLPITSSRNVKWWYAAFHNVTAMVGASVMSLSPAMASLGWGPGVVILILSWLIALHTLWQMVEMHEMVPGKRFDRYHELGQEAFGENL >CAK8575943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369222495:369223296:1 gene:gene-LATHSAT_LOCUS28168 transcript:rna-LATHSAT_LOCUS28168 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSSTSNSRCSTDDHRTINIGAEQQQSTKRRRSADDDSLDLLPVYHTRTVVAGRRDVSRSRMPLADKMIHVIPLLVLLCLFTLWWFSFPVDLEFKDGRITTIRQMDTPISNDHARFDFTILAVAASSPIPSIHQDLSGEKEMYLPPSNSPTEEPFSLVKEKND >CAK8564757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8250875:8251743:-1 gene:gene-LATHSAT_LOCUS18028 transcript:rna-LATHSAT_LOCUS18028 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLDGWNGDYSVHLLSAGWKSPVIISIGRNNLWPGAYPHVTADWVTSEIFEGDYFDDNLEFSSLVCPTLVED >CAK8540061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537692939:537694193:-1 gene:gene-LATHSAT_LOCUS9099 transcript:rna-LATHSAT_LOCUS9099 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMTATSFDVSAACFHSRPLNLRHNTTMTSFSFVKSSKFNKGQRSVLAQPKFLNFRMMCNLKGNLEEVVGVPTSVPVRVAHDLFLAGHKYLDVRTTEEFNAGHAPGAINIPYLYRVGSGMTKNSNFVKEVSSHFRKEDEIIVGCQLGKRSMMAATDLLASGFTGVTDIAGGYAAWTQNGLPTER >CAK8533963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675378724:675381068:-1 gene:gene-LATHSAT_LOCUS3545 transcript:rna-LATHSAT_LOCUS3545 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSVIVFLTIVTLTLTFFIVDAKAKQKLQVPFPIYGGLIPLPDFDTESTGKWEILSQNSGVSAMQINLMPTNKVVVYDATIYRLSRLQYPKGEPCVTYRDDTNLQNKIDCFAHSMEYDLATNQVRALKVTQDPWCSCGGLTPDGTLISAGGFQDGTRTIRYIGGPACKGNNCDWREYKNTLQEDRWYGTQTILPNGDFIIVGGRRAFSYEYLPKQEGQKTEKVFFFPFLYETSDLDENNLYPFTHLSTDGNLFIFSNNRSLLLNPKTNKIVRTFPVLPGGARNYPASGNSALLPIDLSNQDKNGPYKAEVIVCGGNNHEAFNIAEKKKIFDPALKDCNRLVITDKIAKWETEQMPTSRTMGDCLNLPNGQLLFINGAEKGTAGWWDADSPNLKPALYSPEKKNGERFKELAATTISRMYHSSSAVLPSGKIWVAGSNTHDTYRDVDRFPTETRVEGFSPPYLDASLDIYRPQIITEFSGKNLKYGHKFEVQFKLSMDEENVHLNRGAIKVTMYFPPFTTHGYSMSQRLLVLHKRSMNTDRKGVFSVLALAPPSGEVAPPGYYILYVVHRGVPSEGMWVHIE >CAK8536573.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:955976934:955977086:-1 gene:gene-LATHSAT_LOCUS5929 transcript:rna-LATHSAT_LOCUS5929 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKDRIDSRI >CAK8562909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555371936:555372790:1 gene:gene-LATHSAT_LOCUS16371 transcript:rna-LATHSAT_LOCUS16371 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIVRNATGAYDMSTMERIMLRFRPIAPKPSVARTTASEGSSSESGEAFYKTGRARRKYVKQNSTTEKRRIRRKKTVSSPENNHPVPVTLPLLPETPDPKESPARDLTLAAENKNMPRRQWLSFENHNKVVEEEPNIRYGAVDPVTVRLSYYSSATVECVMDAWVEGEGLGSTDEEKRMKMSVDTCPGFISDGYGRVTWTNGAYREMMGEGGVALLMKVSGVALFPSFTCRVRVVQFECGSGRERNALTLPCDVWRMDFGGFAWRLDVKAALSLRLGC >CAK8534697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:756311481:756312011:1 gene:gene-LATHSAT_LOCUS4217 transcript:rna-LATHSAT_LOCUS4217 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSQMNSLSISETKPSPSATISLVSADNIVFKVEPTVAKEMETVQSFIDETEGQLSTIPLPNISSHDLPYIIEYCEKSISGKITKEFEAEFVKKLNNEEVKELFLAANYLNIRKLLDFLSQVIADRIANKSVEYVRRYFGIENDYTPEEEAKLREELAWTFTGVDPDDEDEN >CAK8562182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:451340916:451344856:1 gene:gene-LATHSAT_LOCUS15701 transcript:rna-LATHSAT_LOCUS15701 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCRHMRQFSGLRDLGISRAASSSFQTPIFDPCFSPSVSTTRCFSHSQLVKSSGKHLFLVDTLALVRRLEGQGVPSKQAEAITAAITEVLNDSLENVSQALVSKGEMQKTEMIQESNLSKFKSEVQSSQGHHFSLLQHETEKLRNDIEKMRSELRYEMDKVTAGQRLDLNLERGRTREELSNQSAETNNLTNKLDREIHSLRAQLEAAKYEVIKYCIGTLVSISAVGLAVLRILM >CAK8561504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:257150532:257151108:1 gene:gene-LATHSAT_LOCUS15090 transcript:rna-LATHSAT_LOCUS15090 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLDNLQKKLDESFLNMRLYEKALELFEDDQSTSVVLHRHLLRTIAAPMVDMLLYDLDEHNKLKNGVEVQESPNSELKSAILNRFILCVFITN >CAK8532529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:281851579:281853507:-1 gene:gene-LATHSAT_LOCUS2226 transcript:rna-LATHSAT_LOCUS2226 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGQFLKTSCGSPNYAAPEVISGKLYVGPEVDVWSCVVILYALLCGTLPFDDENIPNLFKKINKGTLAKYTIGHVYYYPAFQSSIHGEKLRHELRRDLTRETAWEAVMRVKCGKGVRFTTYHGNFMLKSTNLLVLPAIDWDKAFSMQLTLEETLLTTQTFYVQVALLYPFFNSS >CAK8578915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:661967112:661967522:-1 gene:gene-LATHSAT_LOCUS30894 transcript:rna-LATHSAT_LOCUS30894 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8579237.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:686732666:686734483:-1 gene:gene-LATHSAT_LOCUS31200 transcript:rna-LATHSAT_LOCUS31200 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRKEICKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFEGERRFGGDRDGYRGGPRGPGGEFGGDKGGAPADYRPSFGGPGGRPGFGRGSGGFGAPTSSNDA >CAK8569909.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15255744:15256382:-1 gene:gene-LATHSAT_LOCUS22710 transcript:rna-LATHSAT_LOCUS22710 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPTNIINDTNDHNTSCSNNNKLYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTQEKAARAFDAALYCLRGPQASFNFPNTPLTIINVGVAHINSLSPQEIQEIAARFANEYAPPPPIELSTTHEEEEDQQVPLLEGDLRRMDWTFEDMLDDMNGVANGSHFGYYGLEKMQYSSEIQYSNQLFEEETVDQIECEDSFSNHSLLWSWNF >CAK8533891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667867772:667869026:-1 gene:gene-LATHSAT_LOCUS3479 transcript:rna-LATHSAT_LOCUS3479 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSKVKRGSWSQEEDAILKNYLHKHGTAGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKLGGFTEEEDNIIFNLYSTIGSRWSLIAAQLAGRTDNDVKNHWNTKLKKRFLAQNSSTSNNNIYNFSNTTTTSSDHFSSTLTFQPQVEQPFVFDQNMNTSCFDSYNVMDLDQTPIGIPLPMTMKSEAVYAGSSLSSSSSTTPTTMEISSFSNAPFLEDKNNHSQWFGNDHDDAILLEFVLDDFLNHGPSFG >CAK8530491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24145965:24151852:-1 gene:gene-LATHSAT_LOCUS349 transcript:rna-LATHSAT_LOCUS349-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKIQEFVAHSSNVNCLTIGKKGCRLFVTGGDDHKVNLWTIGKPTSVSSLSGHTSPVESVAFDSGEVLVLAGSSSGVIRLWDLEESKMVRTVAGHRSNCTSVEFHPFGEFFASGSTDTNLKIWDNRKKGCIHTYKGHSQGINTIKFTPDGRWVVSGGLDNVVKVWDLTAGKLLHDFNFHDGHITSLDFHPLEFLLATGSADKTVKFWDLETFELIGSARREDTGVRAIAFHPDGRTLFSGHEDGLRVFSWEPVICHDTVDMGWTTLDDICIHDGKLLGCSHYRNSVGVWVADISLIEPYGDDLDLKKDEGTKHKHILKGSKLEKVEVDIEPTSGFRSMSPDESKEIKNIYIDSSGGKPVALPKSASFNSAKVDLAEESKEMYDLETAKQNPATKVHVKSNEQATIKTLIMQNIVAQDIPDAKDSTKPVKETITFSKTKPGMLLKPAHARRASTGRFDVDKFSDTASKLDNAEIPKFQSGIGSQNEAKESCEDKHPIKNVTDKSDKTVSPYNFFNLTKRVESSTGNEEITPVKYVNGVAVVRGRTRSLVERFERRESVQGNEDQINPPLPTISEAGRSIHNENQTNSYPTTVFERKEIIPCKEDQTSATPSMVCERKKIIPRDEDQTYESPSTVFEVFERKEKIPRDEVQTNAYPATVFERKEKILRDDGLTDESPSSVFERKEKIPRDEVQINASPAVVFERKEKIPRDEVQSQTNVSSSTVFERKEKIPRNEVQTNALPSTVFERKERIPRSVVQTNASSTTVFERKERLPRSEVQTTVFERKERIPRSEVQTNASPSKVLERKERNLRSEAQTDASYSTVFERKERIPRSEVQTNASPSPVFERKERIPRSEVQTNTPPSTVFERKRIPRNEVQTKAPHSTVFLRKERILHNEVQTNASPAIVSERKERILRNEDRNNIPSLPNTTSNIDESSRPDIMKVEPQVSQRDSNSSNEMAIVEGLMETHDATLSNLRSRLTKLQVVRHFWERNDIKGAINALRKLPDQSVQADVISILMEKMEILTLDLLSSLLPVLTGLLDSKTERHVKVSLDMLLKFVAVFGSTIKATISAPPSVGVDLHREQRRECCNECFMELQKIQTTLPMLIRKGGLLAKSSMELNLVLQRT >CAK8530490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24145965:24151852:-1 gene:gene-LATHSAT_LOCUS349 transcript:rna-LATHSAT_LOCUS349 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKIQEFVAHSSNVNCLTIGKKGCRLFVTGGDDHKVNLWTIGKPTSVSSLSGHTSPVESVAFDSGEVLVLAGSSSGVIRLWDLEESKMVRTVAGHRSNCTSVEFHPFGEFFASGSTDTNLKIWDNRKKGCIHTYKGHSQGINTIKFTPDGRWVVSGGLDNVVKVWDLTAGKLLHDFNFHDGHITSLDFHPLEFLLATGSADKTVKFWDLETFELIGSARREDTGVRAIAFHPDGRTLFSGHEDGLRVFSWEPVICHDTVDMGWTTLDDICIHDGKLLGCSHYRNSVGVWVADISLIEPYGDDLDLKKDEGTKHKHILKGSKLEKVEVDIEPTSGFRSMSPDESKEIKNIYIDSSGGKPVALPKSASFNSAKVDLAEESKEMYDLETAKQNPATKVHVKSNEQATIKTLIMQNIVAQDIPDAKDSTKPVKETITFSKTKPGMLLKPAHARRASTGRFDVDKFSDTASKLDNAEIPKFQSGIGSQNEAKESCEDKHPIKNVTDKSDKTVSPYNFFNLTKRVESSTGNEEITPVKYVNGVAVVRGRTRSLVERFERRESVQGNEDQINPPLPTISEAGRKRILHNEVQTNASPAIVSERKERILRNEDRNNIPSLPNTTSNIDESSRPDIMKVEPQVSQRDSNSSNEMAIVEGLMETHDATLSNLRSRLTKLQVVRHFWERNDIKGAINALRKLPDQSVQADVISILMEKMEILTLDLLSSLLPVLTGLLDSKTERHVKVSLDMLLKFVAVFGSTIKATISAPPSVGVDLHREQRRECCNECFMELQKIQTTLPMLIRKGGLLAKSSMELNLVLQRT >CAK8541087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:61856386:61856912:1 gene:gene-LATHSAT_LOCUS10038 transcript:rna-LATHSAT_LOCUS10038 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDYFLLSDYLIVYWKIVCQIVGKRDILDHCPIWLKSRNKNWGLKPFKFNKKLILLKSILRRWSVEVFGWIDLRVDETIEELFDLESLVSRGGQTVMHDVILARAAASSKLWRHWSGRKAFLDKSRECFGSRRVIEIRASLI >CAK8565121.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:42472178:42472489:1 gene:gene-LATHSAT_LOCUS18358 transcript:rna-LATHSAT_LOCUS18358 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTEWEEVSKKRNNHVRIPRISIWTAKGGKVSFEAGDTVASFFFTKFPENFEAKDMFEIFKAYGIVMEVTIPAKRGKIFGFVRFKNVGDTRLLALKLDNIII >CAK8544218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672406628:672407626:1 gene:gene-LATHSAT_LOCUS12917 transcript:rna-LATHSAT_LOCUS12917 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQANIEKIEKYTWKIENFSCLNTDEVFSEPFVLGGYQWIIGLSPKGEDGSGDYLSIYLEVVETANMSKGWSRDVKFRLSLLNQLDNIIFAEEYSHGFKEGVESCGFESFITLDELHDPKKGFILKDACIVGAEVYVCKSTHVKLEEGQSQNAGKLMDFKGLGQVEIDFVPLLEQACSLHPSLIACQQERSPKFREWAFSALGRVLYFLKTKKVRDMDGIAGKELHILWAELEHFGFDLTWLEPHFQSALGMKSYLKKIERGRKDKG >CAK8567218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:495544839:495545207:-1 gene:gene-LATHSAT_LOCUS20288 transcript:rna-LATHSAT_LOCUS20288 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKGVAKSTMSNQIRKELCEYKRDNPASTQKDLQIWLEEKFQLKVSQGTISNTLKRSDDYLSAEIEKEKVEIKRHKPAKYPDMEKVVYEWFLQHQERVNITGELILQKAKRYNETRVPS >CAK8569359.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696277365:696277646:1 gene:gene-LATHSAT_LOCUS22219 transcript:rna-LATHSAT_LOCUS22219-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPSAIRWSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHSMGGLTIPCREDVFLDITSQFNSL >CAK8569358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696277224:696277646:1 gene:gene-LATHSAT_LOCUS22219 transcript:rna-LATHSAT_LOCUS22219 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIETLGNYKYSVLLHNHHKSLKQSNSYTISLHQEVQLFFFKTFEMGFLLPSAIRWSSHRSIKTSSKVSNVPKGYVAVYVGEEMKRFVIPISYLNQPLFQNLLSQAEEEFGYDHSMGGLTIPCREDVFLDITSQFNSL >CAK8532867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:539524256:539524632:1 gene:gene-LATHSAT_LOCUS2530 transcript:rna-LATHSAT_LOCUS2530 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIAPEYLSTGKSSEKTDVFSYGVMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREKKLDAIVDSNLKKEYNIEEVEMIVDKTT >CAK8564842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:12157399:12157941:-1 gene:gene-LATHSAT_LOCUS18100 transcript:rna-LATHSAT_LOCUS18100 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWKSPGPDGYPASFCQNTWNYIRANLCQLIHQLWSKDISMSTVNLTDNYLIPKNDNPKQVSYYRPISLYNNIYKVFTKVIVNRLKYHMDSIISPNEYGFIPNQSSRDNVVLAQEMFHGINRSKQKIRSFAIKIDLAKAFDNMRWKKDVMRLASYDDVGAHSSYLYQENSKVSKRLHLE >CAK8576554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492446678:492446992:-1 gene:gene-LATHSAT_LOCUS28731 transcript:rna-LATHSAT_LOCUS28731 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYKKVMSVVMLLVIANAMILVNGQSLCHMTKQGLKACEPYVNGDNSADDQTPSTPCCSAIAKADLQCLCRYKDSGLLSFYGVDPEQAMELPVKCKVMDSFHC >CAK8568188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580558447:580562295:-1 gene:gene-LATHSAT_LOCUS21175 transcript:rna-LATHSAT_LOCUS21175 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHPLSQINHSQKTAFLGVFLSVLPFFFPNLLQPLARASPSMFSEWIAPKPRHVTLLQGALQHKTSLQVQANLWSPLPFQGWKPCTIHPKSPSLPDKSQGYIQVFLDGGLNQQKMGICDAVAVAKILNATLVVPHFEVNPVWQDSSSFADIFDVDHFIDVLRDEVSIVKELPSEHSWSTREYYATGIRDTRIKTAPVQATADWYIENVLPILQSYGVAAIAPFSHRLTFNGLPSDIQRLRCKVNFEALNFVPHIQELGSIIVQRLRYNATVAQDEEANDYLLEETNKLGKRQSGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQYTDEELRNQGRCPLTPEEIGLLLTALGFNNRTRLYLASHKVYGGKARLETLSKLFPFMEDKKSLASEEKLAKVKGKASLLAAVDYYVSMHSDIFISASPGNMHNALVAHRAYTNMKTIRPSMGLLGQLFQNKSMSWPEFQLAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRAD >CAK8534742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762571936:762572340:1 gene:gene-LATHSAT_LOCUS4258 transcript:rna-LATHSAT_LOCUS4258 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAWTKLPRHWKKYRKGVKSFLDFAYTTGRPQGREISCPCAHCANCKWERRHVVRNHLIAVGFVKGYDVWVNHGEDIPSPMKIKEGTKEQENSLDDIGGLLYDTFRNVVEAEESSEAHNEDARKFYKLINEAK >CAK8536763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14560507:14560854:1 gene:gene-LATHSAT_LOCUS6096 transcript:rna-LATHSAT_LOCUS6096 gene_biotype:protein_coding transcript_biotype:protein_coding MWHHLKTGRFISLKKSKIQPRVDGYFKVLEHINDTTYKIDLPGEYQVSVTFNVSDLTPCDVDDEYDEEMNLRTNYLKESGDVEDLLKIKVKDIQELEMEGPLTRENTRKLKLQLE >CAK8530808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:51484868:51485390:-1 gene:gene-LATHSAT_LOCUS648 transcript:rna-LATHSAT_LOCUS648 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNKAALKKVAVVASVVAIMAKTDMAVASAVTLIVAQCVEAAEAMGAEREHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKSRALKEMWNISALTPLEKSIGIGICGGKANNNSSSSTGDSGGKIINADNFLSACIQELLA >CAK8572046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:504716371:504717039:1 gene:gene-LATHSAT_LOCUS24654 transcript:rna-LATHSAT_LOCUS24654 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFPNSSTSEFSCESSSFEFNYLPFNENDPEEMLLYGMINDANNTLKNNTRVVIKEEEVSSISSEENEPRKEKSYRGVRRRPWGKFAAEIRDSTRNGMRVWLGTFDSAEAAALAYDQAAFAMRGASAILNFPVEIVRESLNDMNCDECAEDGCSPVVALKRKHSLRRKIGFMKNKEQDFRKNNNNNDNNNISVDNAVVFEDLGSDYLEQLLMSSDEFPYPP >CAK8538109.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:463774708:463777076:-1 gene:gene-LATHSAT_LOCUS7344 transcript:rna-LATHSAT_LOCUS7344 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSKILKKFDKILCLTVVRLDSFFKYKVIKKDSTTAKKSKVKAFMDLKDVVVPVVIQSKDKKVTALRD >CAK8533529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:632789373:632790828:-1 gene:gene-LATHSAT_LOCUS3151 transcript:rna-LATHSAT_LOCUS3151 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKSIGGCVLLLSVWTYWRIPLIAPESPATAHHPYATRFVRRGMAYQNNPRHYVRGFRFALDRLRANDFIWRPYPSYPECVLQDSQIWSATTSIISFHIVEMHQADRVKLQFGFQQDIPPQPRCLRQQHETDMPNTWGDHWRNINKEENNEWRNRTNLTLRGNMVNGNCVHSAEYMQWFLSIPFMHASQGQFLEDPRQYATSSSQQRSSSPMPQEMPQVNPSQFETQTSSFNQPTFFAASSQQPTQPQPQPSYQRTHTTFFATSSQQPTPYTPTPQPNYYYRQQYQEQATFQPSFHFTPIPQPNFDFSYPQPQHQTFNPSMSHPSSSGRTDNVYYPPIQQNPPTTFTQPFQSAPNFTLTDDQLMEWPGFSVTDVDMLDTSRQPENEELTSDSTPSPPTSPPIRQTQELGRGKRVKKSTLCGTGGHLRR >CAK8566868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:468456230:468463366:-1 gene:gene-LATHSAT_LOCUS19969 transcript:rna-LATHSAT_LOCUS19969 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPPPPPNQKPHAVFVPFPAQGHINPMMQLAKLFRCNGFHITFVNTEFNHKRLIKSLGSEFVKGLPDFQFETIPDGLPESDKDATQDVEPLCEAVRKNCYAPLKELVIKLNTHIPVTCVVADGLSGFAGRVAKDLGIQELQFWTASACGFLGYLYFDELVKRGILPFKDENFVVDGTLETSLDWTSGMKDIRLKDLPSFIRITDLNDIMFDFQGSEAQNCLRSSTIIINTFEKLEGEALDTLRVKNLNIYSIGPLHMLGRNFPEKEHGFKESGSSLWKNDKECIKWLNKWKPLSVLYVNYGSVTVMTNQHLKEFAWGIANSKLPFLWIMRPDTVMGEEITSLPQEFLDEVKDRGYITSWCFQEQVLAHPSIGGFLTHCGWNSTLEAISSSVPTICWPFFAEQQTNCRYLCNTWRIGVEINYDVKRDEITKIVMELMEGEKGKEMRHTCLEWRKKATKATDLGGSSYNNFYKLIKKVLHHNIT >CAK8575849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337284453:337292659:1 gene:gene-LATHSAT_LOCUS28079 transcript:rna-LATHSAT_LOCUS28079 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPVTKRLMENQTSLHLVLIPPPLKGHITPMLQLATILHSKGFSITIAHTHFNSPNPSNHPNFRFLPFFDGLSKTQITSKNVVDIASSLNTNCVSPLKETLVHHMAKLEKLDEKIACIIYDGFFHFIDSLAKELKLPSIVFRTTSAANILTYHVCAHLQTKGYLPLQDSKSSDLVPELEMLRYKDLPISNLKNPHDFLHGISKTLSITPLGVIFNTVESLEDSSLNHLQHLYNVNLFPIGPLHMIASEFDNISILREKDNCISWLNNKPRKSVLYVSLGSIASWEEKELTEMACGLVKSRQNFLWVIRPETVTDVSAWLESLSEDVKLGVVERGCVVKWAPQSEVLAHEAVGGFWSHCGWNSTLESLCEGVPIICQPYFGDQRVNARLLSYVWKVGWEWCNVMKRDEIEKVVRRLMVNQEGEELRKRAIELKHEIRLALSGSSCDALNGLVKCILSVNV >CAK8575850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:337284483:337292659:1 gene:gene-LATHSAT_LOCUS28079 transcript:rna-LATHSAT_LOCUS28079-2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQTSLHLVLIPPPLKGHITPMLQLATILHSKGFSITIAHTHFNSPNPSNHPNFRFLPFFDGLSKTQITSKNVVDIASSLNTNCVSPLKETLVHHMAKLEKLDEKIACIIYDGFFHFIDSLAKELKLPSIVFRTTSAANILTYHVCAHLQTKGYLPLQDSKSSDLVPELEMLRYKDLPISNLKNPHDFLHGISKTLSITPLGVIFNTVESLEDSSLNHLQHLYNVNLFPIGPLHMIASEFDNISILREKDNCISWLNNKPRKSVLYVSLGSIASWEEKELTEMACGLVKSRQNFLWVIRPETVTDVSAWLESLSEDVKLGVVERGCVVKWAPQSEVLAHEAVGGFWSHCGWNSTLESLCEGVPIICQPYFGDQRVNARLLSYVWKVGWEWCNVMKRDEIEKVVRRLMVNQEGEELRKRAIELKHEIRLALSGSSCDALNGLVKCILSVNV >CAK8541278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:103440175:103442566:1 gene:gene-LATHSAT_LOCUS10210 transcript:rna-LATHSAT_LOCUS10210 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMKAMPLPFEEHIGKGVLDFGSSVGSVVSDSFLFQQQQQQFLQRWRENCCYAGIEPNSVDVFDLKKPNPEATPTSSSTLSSSHGSAAGGASADSNNKNIPEVEGKRCGMEDWESVLSESPGQDQDHSILKLIMEDIEDASMGLSKLLQVGTSNSHSHSQQDVEFNGFSFMDQQSSVLDPISSNVNNNNFVTSIDSSSVVAATATEICSDFPFGRVMTQNQNPIFNSSLSHAGLFHHQQQPIESLDEKLPQFVMNQSQTQFMPNPSLVFPFAYSQLQENQEIHPQPPAKRLNCGNNYEIPKMPFMDSGQEMFLRRQQHQQQLQLLPHHLQQRPMAPKQKMGNPGSGGGEDAAGNNQFQQAIIDQLFKAAELIEAGNPELAHGILARLNHQISPIGKPFQRAAFYFKEALQLLLQSNVNSNSNNSFSPTGLLLKIGAYKSFSEISPVLQFANFTSNQALLEAVEGFDQIHIVDFDIGFGGQWSSFMQELALRNGGAPSLKITAFVSPSHHDEIELSFTHENLKQYAGEINMSFELEILTLDSLNSVSWPQPLRDCEAVVVNLPICSFSNYPSYLPLVLRFMKQLMPKIVVTLDRSCDRTDAPFPQHMILAIQSYSGLLESLDAVSVNVHQDVLQMIEKYYLQPAIEKLVLGRLRSQDRTLPWKNLILSSGFSPLTFSNFTESQAECLVQRIPGRGFHVEKKQNSLMLCWQRKDLISISTWRC >CAK8541279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:103440407:103442566:1 gene:gene-LATHSAT_LOCUS10210 transcript:rna-LATHSAT_LOCUS10210-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEHIGKGVLDFGSSVGSVVSDSFLFQQQQQQFLQRWRENCCYAGIEPNSVDVFDLKKPNPEATPTSSSTLSSSHGSAAGGASADSNNKNIPEVEGKRCGMEDWESVLSESPGQDQDHSILKLIMEDIEDASMGLSKLLQVGTSNSHSHSQQDVEFNGFSFMDQQSSVLDPISSNVNNNNFVTSIDSSSVVAATATEICSDFPFGRVMTQNQNPIFNSSLSHAGLFHHQQQPIESLDEKLPQFVMNQSQTQFMPNPSLVFPFAYSQLQENQEIHPQPPAKRLNCGNNYEIPKMPFMDSGQEMFLRRQQHQQQLQLLPHHLQQRPMAPKQKMGNPGSGGGEDAAGNNQFQQAIIDQLFKAAELIEAGNPELAHGILARLNHQISPIGKPFQRAAFYFKEALQLLLQSNVNSNSNNSFSPTGLLLKIGAYKSFSEISPVLQFANFTSNQALLEAVEGFDQIHIVDFDIGFGGQWSSFMQELALRNGGAPSLKITAFVSPSHHDEIELSFTHENLKQYAGEINMSFELEILTLDSLNSVSWPQPLRDCEAVVVNLPICSFSNYPSYLPLVLRFMKQLMPKIVVTLDRSCDRTDAPFPQHMILAIQSYSGLLESLDAVSVNVHQDVLQMIEKYYLQPAIEKLVLGRLRSQDRTLPWKNLILSSGFSPLTFSNFTESQAECLVQRIPGRGFHVEKKQNSLMLCWQRKDLISISTWRC >CAK8540426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:559806752:559809435:1 gene:gene-LATHSAT_LOCUS9431 transcript:rna-LATHSAT_LOCUS9431 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISFSQFLPLPRCHLSWSHCYASLKVVQLGELRVQCKPGYVAMARKKASLGSVEEEPSENEPVVEKKVTKASKAKKVTAKKVTAKTKKKPIAESPEETIDLLVSEGNGSSEEGLSASSDGSKKKTRKSKKKAASSSAGLEEEKEVKEKKKVTKRRKPKEENVILADKDVVAEGEISDQDEPSFIEIKDDEIDDGLDLIKDDGEDISYTYGWPPLVCCFGAVQHAFVPSVRPANRLINHEIHERMKEVLWSPDKFVRAPGGSAGNVAIALANLGGKVAFMGKLADDDYGRGLLYYMNTNKVQTRSVRIDSKRTTGASVMKIGKRKLKMSCVEPCAEDCLTKSEINIDVLKEAKMFYFNTHSLLDRNMRSTTLQAIKIAKHFGAVVFYDVNLPMPLWHSQEETKTFIQKVWNLADIIEVTKQELEFLCGITPTEEFDTTNNDRSKFVHYEPEVVAPLWHESLKVLFVTNGTSKVHYYTAEIDGAVQGMEDPPITAFTCDMSASGDGIVAGIMRKLTLEPDLITDKRYLEQSIMYAINSGVIDQWIQGRARGFPPKEGMEDDITPDQYGIKSITEREYRTILESIS >CAK8570645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:80998134:80998679:-1 gene:gene-LATHSAT_LOCUS23381 transcript:rna-LATHSAT_LOCUS23381 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKLSKKLKPAKKAWKNLSNNFQSKLHKLNIQKAFKTTLQHLLSLFHSITHLITSKTTHRRSLVSSKSLYSPSTSYYHFQHKNFAPIPIYNKPSSSSSIRHAQENTSREIEKVHGDDINNSMNNEMDTIEDAWKAVVAKSPMMQVDQKAEEFIYKFREDMRLQKEKSLLEFHERLARST >CAK8539780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524966886:524967787:-1 gene:gene-LATHSAT_LOCUS8843 transcript:rna-LATHSAT_LOCUS8843 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETTTAAETKPFITPSHSSDGAGNDIMRDIVLWKRKKLSTFVLIAATATWVLMEVYQYNFLTLISWLTILVVTSIFLYAKMLTLLGKEPPKLLRLELKEETAIRMANIVRARIEEPIRWLFRVTIQEDWSVLVGVIARFLALSYVGTCMDFLTFIYIGILCGMTVPVIYMKNEDKIKRCMEWLREKYKRSYEVIDEKAIRKIKSRILNEKKIE >CAK8538047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460284859:460285470:1 gene:gene-LATHSAT_LOCUS7287 transcript:rna-LATHSAT_LOCUS7287 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSFLINSLSHRNKPSNNTIKDDHINDDLAIVKAAAWAWYQHGSGSCHEGDAISSEFFIRTTTRREPRPSRYKLELEAKRSMEKEITESSPVHRNKEESLLDEYEVQSISRHLNNLIVSNNNHNRKKHVSRTDKDNTAITTSADEGVKKNNKKKKIIRKGFFFKHGVVCGREGDVVDSSSVVSRDRRRLTKSVPSVRGFK >CAK8541526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:221229706:221230023:1 gene:gene-LATHSAT_LOCUS10441 transcript:rna-LATHSAT_LOCUS10441 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQMKLVSLLLLVVLMMSCMLKLSNGISLCNMNEDGLDACKPSVTQPEPTKPTPKCCEALTGADLQCLCSYKNSAELPLLGIDPTLAASLPKECDLTPPANCS >CAK8533206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:590653597:590656140:-1 gene:gene-LATHSAT_LOCUS2851 transcript:rna-LATHSAT_LOCUS2851 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIIDYRQFFIEDTDFYNRIVLGFFLPNNFWVPLPRFCQTWLRNYIGGVLLYFISGFLWCFVIYVWKRNVFLPKDAIPSRKAMLLQISVAMKAMPWYCLLPTVSEYVTEIGWTKSFPRIDNVSWFEYIGYLAIYMVIVEFGIYWVHKELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHSLALFIVPVHFIAHLVLLFIEAVWTANIHDCIHGKLWPIMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEDEQGKAM >CAK8535007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:802093254:802093724:1 gene:gene-LATHSAT_LOCUS4494 transcript:rna-LATHSAT_LOCUS4494 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDDHCLCRGDGSSLQQIEHPESGIPSTSKLNESQQSRGLTVMVVDSSEEITVCVEGMVILCNRLNIRIETPKSGIPSNCLCRGMVILCNRLNIRIETPKSGIPSNSKLNESQQSRGLTGTVPALLAFPPIQSLTLKWREFLIPGSQSGCSICCK >CAK8542333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:502457281:502458556:1 gene:gene-LATHSAT_LOCUS11179 transcript:rna-LATHSAT_LOCUS11179 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKKQNELFVAAEGLYTGQFIYCGKKATLVVGNVLPLRSIPEGAVICNVEGHVGDRGVFARASGDYAIVISHNPDNDTSRIKLPSGAKKIVPSDCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAAASAAKADK >CAK8571248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:354303052:354303721:1 gene:gene-LATHSAT_LOCUS23926 transcript:rna-LATHSAT_LOCUS23926 gene_biotype:protein_coding transcript_biotype:protein_coding MLDADSSGTITLDELKEGLKRVGSELMESEIKDLMDADIDNNDTLDYGEFIAATVHLNKLEREENLLSAFSYFDKDGSGYITIDEIQVACKEFGLDDIHIDEMVKEIDQDNDRHIDYGEFATMMRKGNSGMGRRTMTSRLNFRNALGIIGNESN >CAK8533528.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:632784187:632787407:1 gene:gene-LATHSAT_LOCUS3150 transcript:rna-LATHSAT_LOCUS3150 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPSHTFIFQTHEDHHHDQLHSSSTSLNSFPSFPPHQHFQGDGSNGGPSFMMKRSMSFSGIENNHNHNHNHNYNHNNKCDELMHGDEDQLSDDEGYSQLGEKKKRLSLEQVKALEKSFEIGNKLEPERKMQLAKALGLQPRQVAIWFQNRRARWKTKQLEKEYEVLKKQFESLKADNDVLKAQNHKLHAELQTLKKKDCFENGKASLKKENEGSWSNGSDNSSDMNLDLSRTPMMNSPVSSQNGKSLIQSSLKPTSMTQLLQCSTRSDLQDESFCNMFHNIDEQQSLWPWPDQQQHHFH >CAK8564516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679196505:679198409:1 gene:gene-LATHSAT_LOCUS17815 transcript:rna-LATHSAT_LOCUS17815 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPNRSGTGSGANASGTAKSDTIAKKKVKSEDMSDEDLALKQQLELYVERIQDSDPELQKDALEGMRLEIRTSTSSMTSVPMPIKFLRPHYATLKAFYETMAESDLKKYLADILSVLALTMSAEGERESLKYRLFGSEGDIGSWGHEYVRNLAGEIAQEYMQRQSDRALMDELIELVRQIVAFHMNYNSEPEAVDLLIEVEDLDMLNEYVDTQILRGLACISPVQLGKCKQTLVYADFKRNQSSEQL >CAK8565203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:67237380:67243329:-1 gene:gene-LATHSAT_LOCUS18436 transcript:rna-LATHSAT_LOCUS18436 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSNDQPHKVEPCDICGDVGFAELCVTCTKCNVFREHIYCMRKNLIEIPDYWFCETCQSKNGTTSSCEVKQDIGLQVSTRKQSFRTGSTGKVKYLHEDEVIKLSSCNISMKSTPGRSTLPMTKKASSTLPITRREHAASKSVIPKSPSLTVKPNPNIAPMAHGKFPRNGVQKNPMNDQHASSSKGPTKENRSVSEWRMSTPVPDRKVQPRQIEKPTRREPCKDLSATKSLPVAASDVVAECNGFNREESKIQSIHENLIHDRKYFPSSIRAWSGQFQILQASASVEFYDGFEAKPPCVVNRKAYNLSNKIPSVLKLEPLSALNVLTDVFQNYSPTLQDIALYFFPSDNQERKSLNSLLKFMNDEKSMLRSLIDGVELLVFTSHQLNEHSRGTIAAVHEGYFLWGVFRSKKSDTSNERSPVMDPVDMDIDMIGGNDIAGRVDNVLNDSLRSPSQVPLEGTPLQSPASIKVEEKTLTPSSNLNLNGGDKSISSQDLKKKIKSEHYWDPSSWRPVRKYRKMHDILERVPPGFEAHGHCAAKSSNIKRRDYLY >CAK8542562.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526054574:526056047:1 gene:gene-LATHSAT_LOCUS11391 transcript:rna-LATHSAT_LOCUS11391 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEAPPGNPTAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNKAVNWDETALYDYLLNPKKYIPGTKMVFPGLKKPQDRADLISYLKKATSPDA >CAK8570407.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43826774:43831178:1 gene:gene-LATHSAT_LOCUS23156 transcript:rna-LATHSAT_LOCUS23156-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVTSDQSSTSSLNATRIICHVCQKQFSQYTCPRCNSRYCSLACYKSHSLRCTESFMKENVVQELQQMQPDEQTKHKMLEILKRFHSEEEMDNMDEDYSTLSEETIEKILSGQEISFEDLSLEEKKQFQRAIACGELSKMVTPWDPWWSKHSARNLRLSKEGTQLVQPLSEQESLDDTESNESSEIPLGPEVPLPPLSRLSSKEPSPLLTVHIVDILYSYCFTLRLYNGDWRSDPIGSAVVVLSVSSVLGQGGQPETVLEALTHCLEQVCSPAYRHMGGLQFGLGVTDDVISLLSLGGPAMVCALCDLHRLIQEGEKEAKSEKPRKFRRNETRSAIKQAERKIYFIMCWVHEQPKEAWSSLAAIVTTQKTLAMKFQGSNKAEKLNRAKTKSKCLIEEIE >CAK8570408.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43826774:43831178:1 gene:gene-LATHSAT_LOCUS23156 transcript:rna-LATHSAT_LOCUS23156 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVTSDQSSTSSLNATRIICHVCQKQFSQYTCPRCNSRYCSLACYKSHSLRCTESFMKENVVQELQQMQPDEQTKHKMLEILKRFHSEEEMDNMDEDSFEDSTLSEETIEKILSGQEISFEDLSLEEKKQFQRAIACGELSKMVTPWDPWWSKHSARNLRLSKEGTQLVQPLSEQESLDDTESNESSEIPLGPEVPLPPLSRLSSKEPSPLLTVHIVDILYSYCFTLRLYNGDWRSDPIGSAVVVLSVSSVLGQGGQPETVLEALTHCLEQVCSPAYRHMGGLQFGLGVTDDVISLLSLGGPAMVCALCDLHRLIQEGEKEAKSEKPRKFRRNETRSAIKQAERKIYFIMCWVHEQPKEAWSSLAAIVTTQKTLAMKFQGSNKAEKLNRAKTKSKCLIEEIE >CAK8577804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589526219:589531102:1 gene:gene-LATHSAT_LOCUS29875 transcript:rna-LATHSAT_LOCUS29875 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISPSLFYLFSLATILAVVTATAPHNLHPAHYASTFNRTLFPSDFLFGIGSSAYQVEGASNIDGKGPSIWDTFTKQHPEKIWDHSSGNIGADFYHHYKSDIKIVKEIGLDSYRFSISWPRIFPKGKGEVNPLGVKFYNNLINEILANGLIPFVTLFHWDLPQSLEDEYKGFLSSKVVKDFEKYADFCFKTFGDRVKHWVTLNEPFSYAINGYNGGTFAPGRCSKYVGDCQYGDSSREPYIVAHNLILSHAAAANLYKAKYQAHQKGKIGATIVTHFFEPYTNSSADRQAARRALDFFFGWFTHPLTFGHYPRSMISSVGKRLPKFTKEEVKLIKGSYDFLGVNYYSTYYAQSIPPTTVNSSYYTDIQATVTPLKNGVSIGPSTDLNWLYVYPKGIHDLVTHVKNVYKNPPVYVTENGIAQSRNDSIPINEARKDGTRIRYHDSHLKFLLQGIKDGANVKGYYAWSFSDSYEWDAGYTVRFGLLYVDYKDNLKRYPKYSAFWLQKFLLK >CAK8533103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:577921506:577926734:-1 gene:gene-LATHSAT_LOCUS2752 transcript:rna-LATHSAT_LOCUS2752 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKKSWRKEVDENLKRLHSLLFGVERAIENHDFSSAYILSLRLIGFLDSHTQSETDQAFVQHIRRQALTYLHTARNSLTPVINRQAFDQVKRSSGPVFSTTGDIDIDKIRNSKYFQALLKPSKEKDCNQLVDQSKEASKEGVQAKLTSLYGKSSLRTSNGSKSFFNLKNNSSEDCMITRRPQSHPINTKVPDFSSVIEVEGEERAYGNTFSTKRAHTEYNSPRVGYVKSPSSKEEVNPDVAGNGFVTARAKLEMEVKQKRGSIGSPNASVSPQYDNNSANRPYGGRSYGVPRRGIRGNFVPPIKSNGNNTGNITSRNAAKGDDSLDESTKKCLEILCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVHWDDIAGLKHAKRCVNEMVVFPLQRPDLFTGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFEGGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSPEARGSIARSLLEKDGLLNLSDKEMEIICNITDGYSGSDMTNLVKDASLGPFREAMRQGIDITKLKKEDMRPITLQDFKNSLNEVRPSVSPNELGTYEEWNKKFGSLAL >CAK8565369.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:153338955:153344387:1 gene:gene-LATHSAT_LOCUS18589 transcript:rna-LATHSAT_LOCUS18589 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAILRRSSSIFSRRFFAAVTSVEPLLSRHNNHALSSAVYGKGDMLFHAKSGPLSFHSSPVSRGAQLAVDYDDDTQDEGDEGLEIAKLGISKEIVNALAKKGITKLFPIQKAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKIIKFNAKNGKRRDPLALVLAPTRELARQVEKEFNEAAPNLETVCLYGGMPIQQQICQLGGGVDIVVGTPGRVIDLLKRGSLKLKDVNYLVLDEADQMLQVGFQEAVEIILQKLPSKRQTVMFSATMPSWIKTITRKYLNNPLTIDLVGDSDQKLADGISMYSIASNAYAKAGILAPLINEHAKGGKCIVFTQTKRDADRLSHIISKSVKCEALHGDISQTQRERTLTGFRNNYFNVLVATDVASRGLDIPNVDLVIHYELPNSSEIFVHRTGRTGRAGKKGTAILVHTENQSRAVQSIARDVGCTFTELPKIAGESGSEDMFGGMSGSRYGSSGGRDRQSGGSGFGGSSGFGRTSNGKSSRFSSSGSSQSEGGFGGFSGKTDKYGGGGFGNSGSSCGFGKFGSGKFGSYGGRN >CAK8561375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:177641870:177645826:1 gene:gene-LATHSAT_LOCUS14975 transcript:rna-LATHSAT_LOCUS14975 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFDGQRLRDEVIYLHSLWHQGPPQQPNPCHRIPFSSSLQPLLHRHSFAPSHTRSLLPVPSTAFKKNRKKKKKKEPKPDSPTWPCPHSSDSPQTGWPEPKPQSDPPPPLQPQEKERLSALEVQNKVCKAFREFLSGSGSDDEEDNDDDDDDDSDGRLGEFEEFFIRVFMEDNELMRYYQRCFENGEFCCLVCGAAEKKSWKKYKDCVGLVQHSISILRTANKKAHRAFGQAVCKVLGWDIHRLPTIVITGEPLRCSIEGEPKKSVAAADDGKDGSSKTEDIAVSSEHVKGADESIGECSSKEGDIDAKVVDIGLENGEGGAK >CAK8542032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:457523807:457525819:1 gene:gene-LATHSAT_LOCUS10906 transcript:rna-LATHSAT_LOCUS10906 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRWCQCKKPLITFLLLPHKPNTFPFSTTPSSLSQHTIATILHTLCDSNRFSEAHQRFSLFLSSASIPDHRTCNLLLARLLRSKTPLQTWTLVQSLIHTKPGFVPSLVNYNRLMDQFCFIHRPFDAHRLLFDMKNRGHCPNVVSYTTLINGYCSVGGIRDAWKVFDEMLDSGLEPNSMTYSILIRGFLRERNLEGGRELMCRLWERMNLEVELGVKVAAFANLIDSLCKEGLFNEVFEIAQVMPCGGSLSGEVVYGQMIDSLCKVGRYHGASRIVYLMRKRGFVPSDVSYNYIIHGLSKDGDCMRGYQLLEEGAEFGFSLCEHTYKVLVEALCHALDVDKAREVLKLMLYKEGVDKTRIFNIYLRALCLVNNPTELLNVLVLMLESQCQTDVITLNTVINGFCKMGRVDEALKVLDDMLMGKFCAPDVVTFTTLISGLLDAEKVDEALDLFKRVMPRNGLKPSVVSYNVLIRGLYKLQRPNDAFGVFNNMATDGISPDSTTYTVIVEGLCECDQIEEAKRFWQSVIWPSGIHDNFVYAAILKGLCGSGMFIEACHFLYELVDSGVSPNIYSYNIVINCACNLGFKREAYQIIREMNKNGVAPDCVTWRILHKLQSKVRKHTPSEDPTFSTIYEGDDVDPKGSQDRRKWNSVCTSNGNPMAYNTCYEKM >CAK8536940.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41325296:41326264:-1 gene:gene-LATHSAT_LOCUS6264 transcript:rna-LATHSAT_LOCUS6264 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLYQFEFSLFLLLTILNTTYQQITPEITVVSQIKQYLYDFGYIKESKDYFDEVIDKDTISAIKTYQQFFNLQVTGELNTETLQQISLPRCGVPDMNFEYGFNDATNTSQPKGNKWFPKGTKTLTYGFNPESEISIYMTSAFRNAFARWSMTTRIMKFNETAYDDADIKIGFYFIDYDNVVDRLVVADTFISLNVDSKVMSGMMRLQGTKNWKLPTDNDTLLWWLGDFDLESVAMHQIGHLLGLDHSSHNESIMYPAILPSQQRKVQITDSDNKTIQQLYSANAIKDSANFGDSGSFKLVGPSSGFLTSLFLGFTFVGLLN >CAK8536941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:41325296:41326261:-1 gene:gene-LATHSAT_LOCUS6264 transcript:rna-LATHSAT_LOCUS6264-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYQFEFSLFLLLTILNTTYQQITPEITVVSQIKQYLYDFGYIKESKDYFDEVIDKDTISAIKTYQQFFNLQVTGELNTETLQQISLPRCGVPDMNFEYGFNDATNTSQPKGNKWFPKGTKTLTYGFNPESEISIYMTSAFRNAFARWSMTTRIMKFNETAYDDADIKIGFYFIDYDNVVDRLVVADTFISLNVDSKVMSGMMRLQGTKNWKLPTDNDTLLWWLGDFDLESVAMHQIGHLLGLDHSSHNESIMYPAILPSQQRKVQITDSDNKTIQQLYSANAIKDSANFGDSGSFKLVGPSSGFLTSLFLGFTFVGLLN >CAK8539641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:519133772:519135814:1 gene:gene-LATHSAT_LOCUS8719 transcript:rna-LATHSAT_LOCUS8719 gene_biotype:protein_coding transcript_biotype:protein_coding MANMDGKSLSPKKSIPIPPPSPQSAATGYPCEYVVMNNLAPRGRSSCVPTATRDLWERLFNQGYKADVHINTDNGGIIYAHSNIIAVASPVLGGMLKQANRSNRWRSISIFGVPHDAVRVFIRYLYSSCYENEEMKEFVLHLLVLSHVYAVPHLKRECEQKLEIGLLTIDNVVDVFQLALLCDAPRLSLICHRKILRNFKTVSESEGWKAMKQSHPILEKELLESMIEEENSKKERIRKMNEKEVYLQLYDAMEALVHICRDGCRTIGPHDKDFKANQQPCRYTSCKGLELLVRHFAGCKLRAPGGCAHCKRMWQLLELHSRLCADPNSCRVPLCRNFRQRLEKQSKKDEIRWTILVEKILKTRGIGIAPCFRPL >CAK8579042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:669154686:669156742:-1 gene:gene-LATHSAT_LOCUS31017 transcript:rna-LATHSAT_LOCUS31017 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPVAYQAWTTLAVPDWLNKGDNTWQMVSATLVGIQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVIICWVTWAYKMSFGEQLLPFWGKAGPALDQKFLINQAGLPATVHYYHDGRVETSEATPFYPMATMVWFQCVFAAIAVVILAGSVLARMSFKAWMMFVPLWLTFSYTIGAFSLWGGGFLFQWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSKKDRERFPPNNVLLTLAGAGLLWLGWAGFNGGDPYSANTDSSMAVLNTNICAATSLLVWTWLDVIYFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGVLSGSVPWYTMMVLGKKFTIFQKVDDTLAVFHTHAVAGLLGGILTGLFAEPQLSSLFLPVTNSKGGVYGGSGGVQILKQIVGALFIIGWNIVATSIICIVVGFCVPLRMTEEELLIGDDAVHGEEAYALWGDGEKLSLYKDENTTREGVLASSGATQVV >CAK8577543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:572734915:572735665:1 gene:gene-LATHSAT_LOCUS29641 transcript:rna-LATHSAT_LOCUS29641 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYQMLTKSFVLCKHSNAWEKIMHEMKSVNEEAFKHLWKIPPRFWSKSRFRTSPRCDTLVNNMSYAFKLVSIATRAKPIMTMLEEIRVYLMQIWESNRQKISKFDDTIQPNIKKNIEKESQRTNNWIVRWMLTWLSCCHAISCMKDHHLQVDDFVPNYYKKECYEACYALVIYQVNGESLWTKTNVVDL >CAK8573508.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:617081118:617083276:-1 gene:gene-LATHSAT_LOCUS25950 transcript:rna-LATHSAT_LOCUS25950 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGNTEMQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEDGYEYEEEVQEEEE >CAK8540758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:19457706:19466555:1 gene:gene-LATHSAT_LOCUS9730 transcript:rna-LATHSAT_LOCUS9730 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYLNNDYFTLPPSQRHETIPFLHLPIPCLPTPISSAVDHHLNFYSSLPDVSLHIDRFPIHSALSSFLFSVLPHKIEIPNPDPSSSVSNYSNSNNALLREADFIFEDQVSDADIEFSKESKTVARSNENEVVYEPFQFETPEQLDTLLENVCFTEKERMQMLSHTPEVENSMEMFLSESLTQYPYGDLEPVSRMEDVIHEYLKGENACALEGNISVQHLPYSDKKTFPILEVDEESLGIPKSLSMVDIVESYFENLRPQSFDEQYQSITEGRELLDSKVHDLVKLFSEDCVSKKSLILSDLFTERDFLNMLENEHVDGTLQADSDLLVNLITFQEFVFLDEDMINNTFEAFYDTKASDDLKSNDWMFKKEFNYKSFDDLVVSNEMALVDDTFRSLPVPVISDHIKMINVHDIIGELFSNMKTQPLSASDGIYLNWDLLEEDKCNYNISNWYQNIWAKIDMKNHDFRRKSFDNRKMIFDLVFFDDSIIEWDHKNSEELQNLLSDRISQLDSQPVEFAVSTLLEHGSSNKGCQEQLLGKYSQRKSMSDISNLHDTTGKCDLQQSEELQKLLSDSISQPVEFAAGKLLENGSSNQGHQEQLPERNAERASLLFKSISEISNLDYFLNPQKATVKKSCYFAVDSTNANVNIPKYATAAVKPGLQSQGWHTVLHRVKLSDNIVALARDFGKSYLAILRSDTELTKTHKSDVHYFELLSLQKHKLIEFHVNGNNMAFIVLSAIKQAVWYLCFYGLNPAWLYLEKLCQNMGYLKSRLGTLQALIKDENRKMENNITMAHSSLTIVKEILQANIKQDSLKALIVAEEVFWWSLKNLLLSLRLSFGELNDTYRNQPYANNLPEESDTKMMELLSSDCLLVSYKHVSPLFPFNKFGIILEYGGPNDSSRISEFSSNSVGLPNLHFLTVELNDHAVLKALCQGVELPLYTEMFPETETPLIFNHKESMVNRKLERLLNFYPTEHSHDIKSSKAALEIDNFMRLIPAVETENDHQSLESFTGSVIIVNTQNVDKEMIVSRRSSYQVILVLEKRGIQVAERELDLPVDIILSSAVCLAWYDSTNLWKKATPATEASSSLPLCIDNIATDVLPLLSFYFCGCFLVFEGEFNFLSTVMEYSDGLYAAATSLGMDLQIFFSYSPELTNEIMINCIKSTAIQTRGLYSKMPDSVTLAESFLTQFPGINPLTAQSILSLGVTLNEFLAWSHEQRIHVLEKYNVPEQSLSLFSVFCKYGEREDSKSIMTDCSSSVSSGPDSDRCRFYQFDNKRKRPNPVVIDQSDELCFDELLQFETLNQVVEAVPGPSTLKNPYDLGMSKDAWRSSDSGKASLHMIDPFCQKQNNTATTMRNHSRISPSSLNCKAPQIYEQQLEQPSFSLKNIGLAQNEIMDNDLMGKNLKWHNLSKFEKLHEGIRGEVVDLTNSSLLDESFSISESMYFPNQIDETEKDHLRKNKIVRKLSFGNSIHPEANSIWRSMKDTGEVDHHAEPDFGKNAFPLDFNPHGNIDSTPVRNLGGLTFEEGISYFSETPLSRVRRSATPLKHSPWTTEFINKVKEKSKLRQKSVLYGNSSSYFGYQGNVSKASKKRSPSTLDSFRYQPSKTPGNIQEQKRQKQSGQSSSSAKKGRYPVPISSWTPNDKKSTMALTFGKSANGAQTKLVWSDKRKFPNQAQ >CAK8542327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501994510:501995076:1 gene:gene-LATHSAT_LOCUS11174 transcript:rna-LATHSAT_LOCUS11174 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFCPKLSFLLFLCLFLTTCVHSIAQTCRPSGRIEGKNAPPGQCNQENDSDCCVQGKMYTTYQCSPSVSTYTKAYLTLNSFQKGGDGGGPSECDRQYHSDDTPVVALSTGWFNHESRCLKNITISANGKSVVAMVVDECDSTKGCDKDHDYQPPCPNNIVDASKAVWKALGVPKEQWGGLDITWSDA >CAK8531096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:80389512:80390003:-1 gene:gene-LATHSAT_LOCUS908 transcript:rna-LATHSAT_LOCUS908 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTVLKNKTTGEREDQDFDEQDVWGDYTSNIKRVSKDHKDFSSGSSSGSGSSAWTLPRKNIPKGNNAVSDDPFAKGSSSSAPMKIPDWSKVYGKKNCKNGDDEDEDDEEGDMVPPHEWIARKLARSQISSFSVCEGMGRTLKGRDLSKVRNAILTKTGFIE >CAK8566018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:368109165:368109677:1 gene:gene-LATHSAT_LOCUS19186 transcript:rna-LATHSAT_LOCUS19186 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLKGVAKSTMSDQIRKELCEYKRDNPASTQKDLQRWLEGKFQLKVSQETISNTLKRSDDYLSVEIEKGRAEIKRHKPAKYPDMEKVVYEWFLQHQERVNITGELILQKARDTMRLVYPHDDSGFNFSIGWLGKFKNRHGIKSFRHFGESESVDVQDMEQKLLDSGEN >CAK8568877.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:650208375:650210251:1 gene:gene-LATHSAT_LOCUS21786 transcript:rna-LATHSAT_LOCUS21786 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTEQQQRKQKGEWLSESFKPENFIPGFVIGFICGMLIDLTKPTRNHLSKKIFSSNKLQHQLSLSSNADQELKMVLVVRQDLKMRSGKIASQCAHAATGMYAELTQSNRSLLRHWEQCGQPKIVVTCKNQQEMNKLKETAESVGLPTFVVADAGRTQVSAGSKTVLAVGPGPKAIVDSVTGRLALL >CAK8541520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:215977441:215980013:-1 gene:gene-LATHSAT_LOCUS10436 transcript:rna-LATHSAT_LOCUS10436 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVFSPLPNTKQKHNLLTSQPLLRCSRSSFSIQRVSSNSGSMSSFACSTSNFHRRDGFSDRQRKSLKFVVDDESVDESIVVGGDSDGDVGERDWSQILSALLPFVVAATAVAALSQPSTFTWVSKELYAPALGGIMLSIGIGLSIEDFALAFKRPLPLSIGFIAQYALKPVLGVLIAKAFGLPRMFYAGFVLMACVSGAQLSSYASFISKGDVALCIVLTSYTTIASVILTPLLTGLLIGSVVPVDAVAMSKSILQVVLAPVTLGLLLNTYAKPVVSLLRPVMPFVAMICTSLCIGSPLAINRSQILSGEGLRLVFPVLIFHAAAFTLGYWFSKLPPLRQEEQVNRTISLCTGMQSSTLAGLLATQFLGSSQAVPPACSVVSMAIMGLCLSSFWGKGFEIRNLLSSLPSLRTNSAVKA >CAK8530127.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1933098:1937571:1 gene:gene-LATHSAT_LOCUS19 transcript:rna-LATHSAT_LOCUS19 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTKVVVRHLPPTITEASLLPLIDSAFAGRYNWLSFRPAKISTKHTSYCRAYIDFKKPEDVIEFAEFFNGHVFVNEKGTQFKVTVEYAPSQRVPKQWSKKDGRDGTIYKDPEYLEFLEQLAKPAENLPSAEIQLEKREAERSGTGKDIPIVTPLMDFVRQKRASKGPRRSLSNGKVSRRTGTPSNGSSSSAPTRRGYAKKRVSTTMYVARDPGKTSNVKDKSNYILVPRHGDQNLSNKSSNIASSDGNQTFDENGIAGSNDAGKKKVLLLKGKEREVSDSDSMLQHHNIASSTKTILSSTALKQNQRHEGSSGRIIKSILTNKDLRQSQSSRAHYERQIQTSNLEKEKQPARPVHVQLILKGTDGAPENRITVHGLHVSSERQERRYRHRDRPDRGVWTSRSNVGDESLSSSASSLVDPLEGGHADFKHDTPSARSGELKSLGNLRASHSSENGFTRHFGRRGPIHGVKDVDGYSISEGKHPRKPSNSAYGSNEKQVWVQKASSGT >CAK8542323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501717055:501718383:-1 gene:gene-LATHSAT_LOCUS11170 transcript:rna-LATHSAT_LOCUS11170 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNFQTLLFFCLVSFIVSLSHALNNGFSVELIHRDSEKSPFFQPNQNKYQSIINAARRSINRVNHFSNNAAKDTPRSTILPDGGGYLMTYSVGTPPFKLVGIADTGSDIAWLQCQPCETCFNQTTPIFNPTKSSTYKNIPCSSDVCQSVRGSECDAENNCEYAINYGDGSHSDGDLSVDTLTLESTTGASVSFPKTVIGCGTDNTVSFKGRSSGIVGLGGGPVSFITQLGSKIGGKFSYCLPPSSLTSDSSNVTSKLNFGDAAIVSGTGVVSTPIITKTSQEVFYFLTLESFTVGSKRVQFTSSSSSSTDAVGEGNIIIDSGTTLTLLPPDIYADIESEVAKLVKLERVDDPNNLFSLCYTLTSQEPEFPLITAHFQGADVLLRPISTFVQISEDIACFAFQSANKDLAIFGNLAQQNLLVGYDLQAKKVSFKPTDCSKE >CAK8541067.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:56474012:56475449:-1 gene:gene-LATHSAT_LOCUS10018 transcript:rna-LATHSAT_LOCUS10018 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQELETARTSSSVEKSYELPDGQVITIGDERFRCPEVLYQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >CAK8574115.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663287944:663291097:1 gene:gene-LATHSAT_LOCUS26490 transcript:rna-LATHSAT_LOCUS26490 gene_biotype:protein_coding transcript_biotype:protein_coding MRAINSHLVLIDLHTTSWQRHTTTNNKHFLFPTTLIHPSRGPKPIRSFKPYPPSPLIRRPSDRYSPRNGSSPSVSQTGITIELDMFLELLPLEMRRQLYRHKEIGGLIEVVMDLGRKPLARFPSGDWVISQQPINQNDLQHAISKVGEFSDDNRSGIDSSLHRISAIRNRQRQIIGLTCRVGRAVSGSAEIIRDLVEGGGSILVIGPPGVGKTTLIRETARMLADEFRKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVPNVNMQHSVMIEAVENHMPQTIIIDEIGTELEALAASTIAQRGVQLVGTAHGTTIDSIIKNPYLQILVGGIESVTLGDDEARKRKVQKTILERKGPPTFTCAVEMISKTECRVHRRLDATVDAVLAGKPPVFELRHWNDFSNDSAKHALIPEKIPEKSREETSDLNLNLTNNNIVSFDREYGEDDKYYSPTRFIKWRNNRSVIKRSLPMQVYTCNMLEADLQQVTKVLGFEDLLDITDDIGSADAVLASSSELRQNPWIRGAAKFHQLPVFVLKSNTMARMLKAVKMILGLESFGPMTKKPSNESPDIEIEDEEPKRKPSLEEIDALEEVRLAIEYIVIPGGEAVELLPRRSEIIDRQLELVQSYQLAAEKSGTDQNTRLQILPLRVSAKKSSKTGSVTRKKRSSTTSEASAGGVGGGNGGSTVSRLPILPE >CAK8577861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:594772674:594773039:-1 gene:gene-LATHSAT_LOCUS29930 transcript:rna-LATHSAT_LOCUS29930 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSAAVSDFRAATEITKDQNGIGQVVLRTPQGAPERVSLLGAQVTSRCNEQREELLFTSIVTNFTL >CAK8564618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:2567839:2571600:-1 gene:gene-LATHSAT_LOCUS17905 transcript:rna-LATHSAT_LOCUS17905 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQFQREKLFTLSNSVKLLLEKIGSTELIDKTELVENFRKMKLDVSLLTKLKRRLTRIVVLLNDDADFKEWFDMVSYAVFELETLFDEIDTEALRRKVEAEYKTLTLSPSQVIKNAIYSPFKRFNKAIKSKLLKLIERLDLLSSGSGQKGKLGVSNSGGVWLENPENSDVVEESDIYGRDNDISKLRSFLLSEEDASGGDRKIRGISIVGMGGIGKTTLAKLLYNDPQVKEKFGLRGWAVVSKDFVVFKVLEAILNSITSETIRNDEVNREVIEFANTKRNDPGNLYANLILLMFKKILRDNLFLLVLDNVWDAESVNWTHLMDIFSVGEMGSRIIITTRDERISPSMETFFSIHYLSPLKSEDCWFLLARNAFGACKDQQQSNLEEIGKEIAKKCYGIPFLAVALGDFLRTKLSPDDWNYVLQSNIWELTDHDMQPFLQLSYNYLPTPLKRCFAYCSIFPKKSIIEKNMVVQLWIAEGLVDSSTDPEKVGEEYFDVLVSRSLIHRRSISEEEATFEMHDLIHDLATEVPSPYCINLDDHNLHDMVHNLSYNRGMYDSHDKFEKLYGLKSLRTFLALPLQEQLPLCLLSNKVVHDLVPTMKQLRALSLSNYKSIAEVPNSIGNLLYLQYLNLSHTKIESLPSETCKLYNLQFLLLVGCKRLTELPEDIGKLVNLRHLDVSDTALTEMTVQIAKLENLYTLSDFVVSKHNGGLNIADLGKLPHLHGKLSISQLHNVNDLSEVDQANIKMKEQIDKLILEWDYGSTFPDSQIKSVILDHLQPSTNLKSLTIKGYGGINFPNWLGDSLYRNMVYLKISNCDDCLWLPPLGQLGNLKELIIEGMQSVGTIGIEFYGSGGSSFQPFPSLENLHFENMQEWEEWDLIGGTTTTFPSLKTLSLSKCPKLIVGNIADKFPSLTELELRECPLLVQSMPLSDHVFRQLMFPLNSLKQLTIDGIPSSMFFPTDGLPKTLKFLIISNCENLEFLPHEYLSNYTSLEELKISYSCNSMTSFTLGALPVLKSLFIEGCKNLKSILIAEDASLKSLSFLRSIKIWDCTELKSFPPGGLATPNLVYFAVWKCEKLPSLPEAMHTLTNLQEMEFDNLPNLQSFVIDDLPSSLQELTVGSVGGIMWNTKPTWEHLTCLSVLRINDDNTVTTLMGPFLPSSLVTLCICGLSDTSFDGKWLQHINSLQNLEIVNAPKLKTLPKKGLPSSLSVLSMTRCPLLEASLRKKRGREWRKVAHIPAIIIDEELIT >CAK8544158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:668475813:668478533:1 gene:gene-LATHSAT_LOCUS12860 transcript:rna-LATHSAT_LOCUS12860 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEILPPSISDHCLLCLADQKRNRIVYTNFKFTNSVIKVDGYQDIVKQSWQKVCMGRPMTRLWYKLLRLQAPLIKLSKKFSHLHMSIIKARTALLKAQEDLRVDRMNASNIEKVRQFTDEVISLQDLNVNMLRQRSKLDWLREGDSNSAFFYAYLNSRNAATHINQLQKEDGTYIQSLPDIEKEVCDFYTKLYGSQAQRVNMIDLNAMREGSQLTMLQRADLISPVTVAEIRTAINGIGDLKSPGIDGYGGKFFKASWNIIEHDVVEAVQEFFEENVLYKRFNETIVTLIPKHPAAKRINDYRPIAGCTTIYKIISKILTARLGRVLGTIISKTQAAFVPGQNIHSHILLATELLKDYNRNNGTPRCMIQLDIQKAYDMVDWGALRNILNEIGMPQRFVEWIMTAVTTVSYRFNINGKFSDKVVARRGIRQGDPLSPLLFVIIMEYLNRLLFRMQRNPDFNHHVRCERLQLTHLTFADDLLLFSRGDIVSIEIMQRTVNSFLDSTGMKVNPTKSKVYFGSVSDSVKQSILNFTAYDEGSLPFRYLGVPVSSKKLSVVHYLPLMDKLLSRITHWSSRLLSYAGRLQLIKSVLYAITSYWMQCVCFPKTVIRRINAICRTFLWTGGNSSSRKSLIAWDKICKPAAKGGLNVLDLVVWNSMFMMKLLWNISMKTDDLWVRWIHAYYLKNEDVMYRMVKNSDSIIFKTILMQRENIGTMQGDWNAMVQAGRFIGRRVYAHLLPATPKVAWSRLILHNRARPRAIYTLWMTCHGKLATKVRLNRFGMVDNNQCVFCPAAETIDHLFFDCATLRKIWVEILHWIGIPHNPGDWTEELNWMLNCFGGKGWKADLVRLALTETVHEVWRFRNDTCFNQRNDSRNCTDRIINNIVYRGWSSPKLRPHIALLMVQ >CAK8560494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:22182141:22182596:-1 gene:gene-LATHSAT_LOCUS14162 transcript:rna-LATHSAT_LOCUS14162 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDKLWDETLAGPLPESGLGKLRKYNSFSASSGFQSPLPVKEDVPITRSIMIIRSNSNFGRGTVSEPVSPCSSTPISPRTPLSPETPGDNFKKFTRRKLQIDSESSDNRSPTIFN >CAK8568428.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605797656:605798462:1 gene:gene-LATHSAT_LOCUS21386 transcript:rna-LATHSAT_LOCUS21386 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQRKDELVLVCLHANNNWGHMMEGRAFDGGQRWGHMTSNLAETINSVLKATRNLPITPLVQSTYYQMSSLFGKRGHKWTKMLSSGKVFTNGCNKGMADEVAKANTHNVMEFDRERFCFMVQEKTNYNDGRPTGTFCVDLRSRFCDCGKFQAFHLPCSHVIAACSSIRQDYTIHIPEVFKVLNVFKVYQESFLELPHEENWPKYEGFTLCHDDSMRRNKKGRPNSTRIRTEMDDAEKEKRRCGICREIGHMRRKCPNVVGPSNRPNR >CAK8541622.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:306076155:306081681:1 gene:gene-LATHSAT_LOCUS10527 transcript:rna-LATHSAT_LOCUS10527 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKQGTDESSTWILESIDIVPAVVEVSKKDEDNESRVIKASDNEAFLDNGSNVRHRNNNNHNGSKMVRMQSGAARGLKGLRFLDRTVTGKEDDAWKSIEKRFTQHAVGGMLFKDKFGQCMGMGADSKEFAGELYEALARRRNICVENGINLNQVKVFWEDMTTKDLESRLQVFFDMCDKNGDGRLSEEEVKEVIVLSASANKLGNLKDQASAYAALIMEELDPDHNGYIEMWQLETLLKEMVSAEDGTKKLSNRSETLTRAMIPCKYRTPIRKFVSKTTEFAYDRWKKIWIVVLWLAVNLILFIWKFLQYKEKPAYEVMGSCVCFAKGAAETLKFNMALIVLTMCRRTLTKLRGSFLSQIIPFDDNIHFHIVIAIGVVIGTFIHVVVHVTCDFPRIISCPAEKFMATIGPGFDFHQPDYLTLVESTPGVTGIFMVLIMAFTFTLATPHLRKSVVKLPSPLHHLAGFNSFWYAHHLLIVVYILLIIHGYFLFLTNKWKNKTTWMYLIVPLLLYAFERTHPSFRGKDHRVSIIKAIIYTGNVLALYMTKPSGFKYKSGMYIFVKCPDISSFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNIFAKACEPQSAQPRRGSLMRMETRANSSFDHSKPSIKYPKILIKGPYGAPAQNYRHYDVLLLVGLGIGATPMISILKDILNHMKMESPPQTLTEIDSFNSNRPGSDEEKKCPERAYFYWVTREQASFEWFKGVMDDIAEYDRDGVIEMHNYLTSVYEEGDARSALIAMIQKLQHAKNGVDIVSESRIRTHFARPNWKKVFSQLASTHKSSRIGVFYCGSPTLTKTLKSLCQEFSVNTSTRFQFHKENF >CAK8531621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:131621192:131621428:-1 gene:gene-LATHSAT_LOCUS1399 transcript:rna-LATHSAT_LOCUS1399 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKASSSINQGLTSEEISATNGTSKSNLGKELARRAILRSHLRRNGKRKIASNSINAKLLPSRLSKVSLGDQDSSE >CAK8566457.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:425181057:425183729:-1 gene:gene-LATHSAT_LOCUS19586 transcript:rna-LATHSAT_LOCUS19586 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDELLSSIFSDISTYTGKDPLLPWLRGIKKLKDNLPSKTLKEKLPEFLQKCAQTFELDRRYKNDLRYIRVWLQLMDFVDDPSALLRTMGVNYIGIKRCQFYQAYALYYEKNKKYDEAEKMYHLGAKNLAEPVDEMQKSYEQFLQRMKHKKKIKEMKRSDRHVKAKNDENKRFRGDHTVVVNKFVDTAMDGKSEAENACHNGLVDPTINMKEAMNAINSMFSEPLETVPLARKSHKNVSKENRSTKNNFEVFVDENLDNGIKPTGSLLDQQRTEAGKPHQESFQIYIDDEGHSEGSTSSASKVNGSVFPQPKDVPSEKSSDMDAQRSRNSKFREDTVTVVRRFVGSAILDEPKVENVCHNGLVDPTVNMKEAMNDINNMFGEPIDFVRRKRSMKEEKAPVNNSGKELCGFSILADDDDDLEQKPHPPLPKSPRKSKESGLFEPTLHTKEAMDDINKMFNMPLNM >CAK8541480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:197755830:197756604:1 gene:gene-LATHSAT_LOCUS10399 transcript:rna-LATHSAT_LOCUS10399 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISCKLILVGAKSCEGSDGFLSNSISRDRFIIDNCKTIFFAGHETTAITASWCLMLLATYQDWQDRARAEVLEVCENGNIDASILKSMKTLTMVIQDIEALFSSSICYHNSFPRCQYKRYQSSEKEMNMQIPISMLLHDIDIWGPDAHEFNPERFATGVLGSCKIPQAYMPFGIGARVCPGQHLAMIELKVLLSLILSKFRFSLSSSYWHLPAFRLVIEPGQGVVLNMTKI >CAK8533178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:588508713:588510384:1 gene:gene-LATHSAT_LOCUS2825 transcript:rna-LATHSAT_LOCUS2825 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSCFLILFALSFLTSNFVSSSAVQDPELVVQDVQRSINASRRNLGYLSCGTGNPIDDCWRCDPNWQDNRQRLADCAIGFGKDAIGGKNGKIYLVTDSGDDDPTNPKPGTLRHATIQDEPLWIIFQRDMVITLKQELLVNSYKTIDGRGANVHIANGGCVTIHYVNNVIIHGINVHDCKPLGNTNIRDSPEHSGFWGISDGDGISVFNSKHVWIDHCSLSNCRDGLIDVIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPNNRFSKEVTKHEDASESEYNTWNWRSEGDLFLNGAFFTQTGAAASSSIYARASSLSARPASLIGSITSTSGVLNCKKGARC >CAK8573258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:598472166:598482936:-1 gene:gene-LATHSAT_LOCUS25728 transcript:rna-LATHSAT_LOCUS25728 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRKKHRHQRDTTSPSSSSSSSTDSDSDSDRSSKRRRRHRREKERRRRSDGSSKRERESKRKEKRKKRDRERKKSNRHHYSDDESQSSDEEPQHRIQPQTALREMMTEFPNVGNDLKQLLQMIDNGQAVDIKDISEKSLVKHLKNLFLSLNLKENGDRVFLLPSKAPPTLDVVGPLIQSYMHPTNDRADPSAALPETCSVPTEQMEDDGSTKASEDHSVGPRKRVIGPAMPSAELLAAAAKLTEAQTAFIEAELDDDTELFVGPPPPAMVSEAESANEAERFEEVTRIMEVEIDSPYDVLGVNHNMSDGNIKKKYWKISLLVHPDKCSHPQANQAFIKLNKAFKELQDPEKRKAMDDKIKLKQEQEDFKAELKVMRENALWRRSQGISMEGDDELLVQTEIKVEPKRDEWMTTLPPERKPGGMTMQSTQFSRETKEGRGDTSIWTDTPTDRAQKAKMNYLEAYNQATALASNEEEKKRANTDADLVDKYNKAKRSKTLVQKHQEEVASKSKKKSKQQTEKGDKEWVSQHPWKPWDREKDLTAGRMKVKLDAEGMSEGLSSRFNSGNFQRNFL >CAK8535882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:888693784:888694197:1 gene:gene-LATHSAT_LOCUS5301 transcript:rna-LATHSAT_LOCUS5301 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNRTPSNKKDDKELKKKLIDGNKDVDPQLWHAVDGGMVQIPQDNSKIFYFPQGHAKHDYQSVTFPADFIIPSQIPCRFAAIYYRVDPDTDEVYAKLRLVPLQISEASFDDDDVAGIDNMSETNNRHRSYTKTLT >CAK8563474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608418103:608426290:1 gene:gene-LATHSAT_LOCUS16878 transcript:rna-LATHSAT_LOCUS16878 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGVVLGILVGLAIIVAFVRAENSRSARRSQLATTIAAFARMSVDDSRKLLPAQFYPSWVVFSSRQKLTWLNSHLTKIWPYVNEAASELIKTSAEPILEEYRPTVLASLKFSKFTLGTVAPQFTGVSIIEDDGDGVTMELEMQWDGNPSIILDIKTLIGVALPVQVKNIGFTGVFRLIFKPLVEEFPGFGAVCYSLRQKKMLDFTLKVIGGDISAIPGLYDAIEGAIRDAVEDSITWPVRKIVPILPGDYSDLELKPVGILEVKLVQAKDLTNKDIIGKSDPYAVLYIRPLRNRTKKSKTINNDLNPIWNEHFEFIVEDASTQHLYVKVYDNEGLQSSELIGCAELKLSELQPGKVKDVWLKLLKDLEIQRDNKNRGQVHLELLYCPYGTENSFTNPFSPNYSMTSLEKVIKSSTNGMESNGSENEAAQKKKEVIIRGVLSITVISAEDLPAVDFMGKSDPFVVLTLKKAETKNKTRVVNNSLNPVWNQTFDFVVEDGLHDMLLVEVYDHDTFGKDYMGRVILTLTRVILEGEYKERFELDGAKSGYLNLHLKWMPQSIYRDS >CAK8563473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608418103:608426290:1 gene:gene-LATHSAT_LOCUS16878 transcript:rna-LATHSAT_LOCUS16878-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGVVLGILVGLAIIVAFVRAENSRSARRSQLATTIAAFARMSVDDSRKLLPAQFYPSWVLTWLNSHLTKIWPYVNEAASELIKTSAEPILEEYRPTVLASLKFSKFTLGTVAPQFTGVSIIEDDGDGVTMELEMQWDGNPSIILDIKTLIGVALPVQVKNIGFTGVFRLIFKPLVEEFPGFGAVCYSLRQKKMLDFTLKVIGGDISAIPGLYDAIEGAIRDAVEDSITWPVRKIVPILPGDYSDLELKPVGILEVKLVQAKDLTNKDIIGKSDPYAVLYIRPLRNRTKKSKTINNDLNPIWNEHFEFIVEDASTQHLYVKVYDNEGLQSSELIGCAELKLSELQPGKVKDVWLKLLKDLEIQRDNKNRGQVHLELLYCPYGTENSFTNPFSPNYSMTSLEKVIKSSTNGMESNGSENEAAQKKKEVIIRGVLSITVISAEDLPAVDFMGKSDPFVVLTLKKAETKNKTRVVNNSLNPVWNQTFDFVVEDGLHDMLLVEVYDHDTFGKDYMGRVILTLTRVILEGEYKERFELDGAKSGYLNLHLKWMPQSIYRDS >CAK8535350.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:842421900:842422312:-1 gene:gene-LATHSAT_LOCUS4816 transcript:rna-LATHSAT_LOCUS4816 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVQGDRQFSRWKGSVVDSVVGAFLTQNVTDHLSSSAFMSLAARFPKKIRQHMRRRHEPDRQQTTSVYGGTRREHQM >CAK8562343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475719940:475724650:1 gene:gene-LATHSAT_LOCUS15847 transcript:rna-LATHSAT_LOCUS15847 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFRFPAVTRRNYLILRHYKAAKKPPLPAATPPKAPKKPQNFSFHGITWEDPYSWMSSLNDKVAMRHMDVYMEQEEKYTEAVMSDFERIVNKLHFEMASRVPFELSTPPLRWGPWLYYRRVEEGKPYPVLCRRLASLHDDFISHKYPPAGFDFTTGKTVEQKLVDYNQEAERFGGYAYEELSEVSPNHHFLAYTMYDKDNGYFKLSVRNLNSGSLCSKPQADRVSNLAWAKDGQALLYVVTDEKMRPYRIYYSLIGSTDDDVLLLEESDENVHISIRHTKDFKFVTVNTLSPTSSKVFLINAADPLSGLKLVWECDAIVHCVIEHHQGYLYLFTNAPKGGQSVDYHYLLCSPEDTHSNPRKWEEVIVDDPNLVIEDVDFSDKYLALIVRENQNFQLCSVGLPLPFGNVLKENLGAIKLRKLDMQFLSLPKHVCQISPGPNYDFFSSVMRFIISSPVMPDAVVDYDLATCRWNIIQQQNMLHDRTRILYGTNSSSVSVEPSDAKYSSPAHANLEDDHLWNDLSEFYACERYVVPSFDGVLIPLTIVFARENKPKDEKPGILLGHGAYGELLDKRWRSEFKSLLDRGWVIAYADVRGGGGYGKKWHHDGRRTKKHNSINDYISCAKFLIEKDFVNEKQLAAWGYSAGGLLVAAAINRFPDLFRAAVLKVPFLDATNTLLYPILPLVADDYEEFGYPGDLDDFLAIREYSPYDNVQKDVLYPSVLVSSSFNTRFGVWEAAKWVARVRDLSIYDPKRPILLNLTTDIVEENRYLQSKESALEAAFLIRTMES >CAK8562344.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475719940:475724650:1 gene:gene-LATHSAT_LOCUS15847 transcript:rna-LATHSAT_LOCUS15847-2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFRFPAVTRRNYLILRHYKAAKKPPLPAATPPKAPKKPQNFSFHGITWEDPYSWMSSLNDKVAMRHMDVYMEQEEKYTEAVMSDFERIVNKLHFEMASRVPFELSTPPLRWGPWLYYRRVEEGKPYPVLCRRLASLHDDFISHKYPPAGFDFTTGKTVEQKLVDYNQEAERFGGYAYEELSEVSPNHHFLAYTMYDKDNGYFKLSVRNLNSGSLCSKPQADRVSNLAWAKDGQALLYVVTDEKMRPYRIYYSLIGSTDDDVLLLEESDENVHISIRHTKDFKFVTVNTLSPTSSKVFLINAADPLSGLKLVWECDAIVHCVIEHHQGYLYLFTNAPKGGQSVDYHYLLCSPEDTHSNPRKWEEVIVDDPNLVIEDVDFSDKYLALIVRENQNFQLCSVGLPLPFGNGAIKLRKLDMQFLSLPKHVCQISPGPNYDFFSSVMRFIISSPVMPDAVVDYDLATCRWNIIQQQNMLHDRTRILYGTNSSSVSVEPSDAKYSSPAHANLEDDHLWNDLSEFYACERYVVPSFDGVLIPLTIVFARENKPKDEKPGILLGHGAYGELLDKRWRSEFKSLLDRGWVIAYADVRGGGGYGKKWHHDGRRTKKHNSINDYISCAKFLIEKDFVNEKQLAAWGYSAGGLLVAAAINRFPDLFRAAVLKVPFLDATNTLLYPILPLVADDYEEFGYPGDLDDFLAIREYSPYDNVQKDVLYPSVLVSSSFNTRFGVWEAAKWVARVRDLSIYDPKRPILLNLTTDIVEENRYLQSKESALEAAFLIRTMES >CAK8569193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:681548184:681553577:1 gene:gene-LATHSAT_LOCUS22074 transcript:rna-LATHSAT_LOCUS22074 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSWDGNNDPGSQSDDSLLFERLHIEPIYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECRESGRKLVCPLTLRELKSAELNPSLALRNTIEEWTARNEAAQLDMARRSLNTGGPEKDALMALRYVQHICRRSRSNKHIVRSAGLIPMIVDMLKSSSRKVRCRALETLRIVVEGDDENKELLAEGDTVRTVVKFLSHELSKEREEAVSLLYELSTSETLCEKIGSVNGSILILVGMTSSKSEDVSTVEKADKTLENLEKYENNVRQMAENGRLQPLLTHLLEGPPETKLSMAGILGELVLDNDVKVFVARTVGSSLIDIMKSGNMQSREAALKALNQISSCEPSAKVLIEAGILSPLVNDLFAVGPHLLPTRLKEVSATILASVVNSGEDFDMIPLGPDDQTLVSEDIVHKLLHLISNTGPAIECKLLQVLVGLASSPTTVLSLVSAIKSSGATISLVQFIEAQQKDLRLASIKLLQNLSPHMGPELADALRGSVGQLSSLVKVISENIGITEEQAAAVGLLADLPERDLGLTRQLLDEGAFLMAISKVIAIRQGEIRGTRFVTPFLEGLMKIVARVTYVLADEPDAVALCREQNLAALFIELLQTNGLDTVQMVSAIALENLSLESKNLTKLPEMPEPAFCASFFSCFSKPPVITGLCRIHRGKCSLKETFCLYEGQAVLKLVALLDHTNVNVVEAALAALCTVIDDGVDIEQGVTVLCEADGVKPILDVLLEKRTDNLRRRAVWAVERLLRTDDIVYEVSGDQNLSTALVDAFQHGDYRTRQIAERALKHVDKIPNFSGIFPNMG >CAK8579285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:689711025:689712218:-1 gene:gene-LATHSAT_LOCUS31240 transcript:rna-LATHSAT_LOCUS31240 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLKSTTLLLSPSPLTFSHGSLKHTKCFSSLSSSAVSPLSGLHSWRHSPFNENRNWGPLGPHPAQEPNLNDSPFGEASSLAEFGSIVLSTTDPLTKSHLSHVAYSLWRHHNVPIGLSDPPSRPARPEKPILVSPREIPAPKISGLPLNAYLLHNLAHVELNAIDLAWDTVVRFSPYSDLLGEGFFADFALVADDESRHFSWCSQRLAELGFKYGDMPAHNLLWRECEKSSDNVAARLAVIPLVQEARGLDAGPRLVQKLVGFGDNRTSKIVARIAEEEVAHVAVGLCWFLSICQKMNRTPDSTFKDLLKEYNVELKGPFNYAAREEAGIPRDWYDAPSTRNQEKKDKEKHFISKTSSWLAHRPTSAAGAITTFHQYLHFMNRSKSCNTHLQNKGQP >CAK8537849.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441481677:441483310:-1 gene:gene-LATHSAT_LOCUS7102 transcript:rna-LATHSAT_LOCUS7102 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVIFSQHSLLNRLSHRLNSSLSFSSVVVPVKEEPGDDFPYSDIPNPSRIKSERKPYVTPMKVLIARAKAEREARKAQPCRVLEEPPDNGLLVPELVEVAHRVYRARGYLLSGLSQLVRVIPVLRCELCNEVHIGYVGHEIRTCTGPKSWLQNASHVWRRGGVRDVFRFAKCFHLYDRVGKPRVGHDERFSVPRIPAIVELCIQAGLDLEKYPTKRRTKPVYCIEGRIADFESVVEDNGFEGKCSFGNVDPLMDSSSSMLMKPVEKIQNLVENKISHMEQLSDEERNKLRDLSTHTLNSWFEMTSGAKKIMEKYVVNACGYCPEVQVGPKGHKLRMCKASKHQSRNGLHAWQEATLDDIVSPNYVWHVEDLNGPALNNNLKRYYGKAPAVVELCVHAGAPVPDQYRSMMRLDVVSPGRDEVDLVA >CAK8540104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:539894779:539895222:1 gene:gene-LATHSAT_LOCUS9138 transcript:rna-LATHSAT_LOCUS9138 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFALLLNKETDQVIEWTRTLPFHMQSFRNNHNLPIHALIFGKFEEGSKLSLREGSKLERCSFDFNKLGPTLSFSWWERFCR >CAK8567681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:535534373:535534861:-1 gene:gene-LATHSAT_LOCUS20710 transcript:rna-LATHSAT_LOCUS20710 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWELVEEEFMDDSDEEQQLQNERRSGISSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHVFLRIVDALGNHDEYFQMRVDATGKMGLSPLQKCTSAIRMLAYGSPADLVDEYVRIGESTSIECLEIFVKGVNVIWR >CAK8542014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455561313:455561873:1 gene:gene-LATHSAT_LOCUS10890 transcript:rna-LATHSAT_LOCUS10890-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNPTPTVPDAVARYHTHAVSPNQCCSAVIQEIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVILGDGDVGTLREVRVISGLPAAVSTERLEVLDDERHVISFSMIGGDHRLANYRSVTTLHPSPISDTDGNHRTGTVVVESYVVDVPPGNTTDDTCIFVDTILRCNLQSLAKFAENLASTRSNQR >CAK8542013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:455561310:455561873:1 gene:gene-LATHSAT_LOCUS10890 transcript:rna-LATHSAT_LOCUS10890 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPNPTPTVPDAVARYHTHAVSPNQCCSAVIQEIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVILGDGDVGTLREVRVISGLPAAVSTERLEVLDDERHVISFSMIGGDHRLANYRSVTTLHPSPISDTDGNHRTGTVVVESYVVDVPPGNTTDDTCIFVDTILRCNLQSLAKFAENLASTRSNQR >CAK8577594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576848595:576851495:1 gene:gene-LATHSAT_LOCUS29686 transcript:rna-LATHSAT_LOCUS29686 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMFLTILTILLFSSRVCASSYLHHHHHRRILHQPLYPSISLPPTQPPSSSPQAQPKPQQQQPKLPFSSISSSSSPPLKPFFPTYQSPPLPPSPPSFLATFPANISSLLLPQPHPQTHTHRTAAAVVISLCLFSITILTVSAIFAFHRRHHNHNTSFTTANDDNASRSDSLRLFPPNTASSDAVDGKPHDKPTSGSEIFHLGTVASSYDATVIEESTSGNDGFSPPYRQLTDSPELHPLPRLPRFSVRTWTTELNKEKEEDRKTDDEEEEFYSPKDSPSGNKQQSPPPSSSPVVAVAVTATSSRSFNVFHFDKFGSRSFTSRTPSYPLSYSLSRSPSLNLSPIHSVKSLPPHNPASPSFSSSTCSPIRFEDFRSNWDGMNSLATKLSPPAPPPMPPRFWETPVVASQNDNEETTKPKLKALHWDKVKASSDRAMVWDQLRPSSFQLNEDMIETLFMINNSNGNSALASKYKAQNQIIHSSSMPPENRVLDPKKSQNIAILLRALNVTVDEVCEALREGNCDALGTELLESLLKMAPTKEEETKLKEFKDDSPFKLGPAEKFLKVMLDIPFAFNRVDAMLYIANFDSELEYLKKSFHTLEVACEELKNSRMFMKILEAVLRTGNRMNVGTDRGDAQAFKLDTLLKLVDIKGTDRKTTLLHFVVHEIVRTEGSHADSVNNLQYTLQDEVDSRKVGLQIVSGLSGELANVKKAAVMDSDTLSSDVAKLAKGIKKVVRVVKLNEESPLKETNQKFSEAVKGFLERGEAEISRIIAQEKNAVSLVKEITEYFHGNSEKEEAHPFRIFTIVKDFLLILDSVCRQVGKENERTLVGSHQYVMPAISTPPPIFSEFSGMQPSDSSESD >CAK8539442.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512894695:512895138:1 gene:gene-LATHSAT_LOCUS8537 transcript:rna-LATHSAT_LOCUS8537 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSDDQISSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIVAEENLTSPFDFARFLDLMSKHMKPEPFDRQLRDAFKVLDKDSTGFVSVNELRHILTSIGEKLEPAEFDEWIREVDVGSDGKIRYEDFIARMVAK >CAK8533496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:625918225:625918680:-1 gene:gene-LATHSAT_LOCUS3119 transcript:rna-LATHSAT_LOCUS3119 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAFLVVLFATFGMFLTKNVRAASCNGVCSPFEMPPCRLIGCRCIPVGLLVGYCRHPSGVFFRTIDEHPNLCESDADCRKKESGNFCGHYPNPDIEYGWCFESKSEAEDVFSKITPKDLLSTV >CAK8533961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:675271288:675272471:-1 gene:gene-LATHSAT_LOCUS3543 transcript:rna-LATHSAT_LOCUS3543 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEKFLTLLLFIVIPNIVQVDANFFKSMHITWGAQHAILHDDDLQLMLDKSSGSGAKTKRPFLFGTIETRIKLVPGNSAGIATSLYLSSSGNQRDQIDFEFIGNIAGQPYIVHTNIFSHGSGNKEQQFYLWFDPTTDFHNYTMHWNPTEIVFYIDSIPIRVFRNYENEGIAYPNKQGMRVYISLHKADNWATKGGLVKVDWSNAPFTAKFNHFRARACKWNGKVSIYECASNIPANWWTSPVYKQLSYAQMDQLNWVRSSHMIYDYCHDTQRFNGQMPLECYKGQF >CAK8544956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:714453207:714458658:-1 gene:gene-LATHSAT_LOCUS13594 transcript:rna-LATHSAT_LOCUS13594 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTIPGAGNDSDNHHGQNTTTIIAATEFHPSPLPSSANGIPSLKTTPARSSSHASPWPSPYPHGVNPSPSPARASTPRRFFRRPFPPPSPAKHIRESLAKRLGKGKPKEGPIPEERGVGEVDSQSLDKSFGYSKNFGAKYELGKEVGRGHFGHTCSARAKKGDLKDQPVAVKIISKSKMTTAIAIEDVRREVKLLKALSGHKHLIKFHDACEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKAIVLQILSVAAFCHLQGVVHRDLKPENFLFTSRSEDADMKLIDFGLSDFIRPEERLNDIVGSAYYVAPEVLHRSYSVEADIWSIGVITFILLCGSRPFWARTESGIFRTVLRADPNFDDLPWPSVSPEGKDFVKRLLNKDYRKRMTAAQALTHPWLRDESRPIPLDILIYKLVKSYLHATPFKRAALKALSKALTEDQLVYLRAQFRLLEPNRDGHVSLDNFKMALARHATEAMRESRVLDIIHTMEPLAYRKMDFEEFCAAATSTYQLEALDRWEDIACTAFEHFESEGNRVISIEELARELNLGPSAYSVLRDWIRNTDGKLSLLGYTKFLHGVTLRSSVPRPRQS >CAK8579172.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:681558839:681559444:1 gene:gene-LATHSAT_LOCUS31138 transcript:rna-LATHSAT_LOCUS31138 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPQEQESTTSSQSHDDRCVNKRERYTVWMKSLVLHSNGCTVYDSNGNIVYRVDNYDRKGGREVNLMNLKGNVVCTIKKRLLAFGCWEGYKYHSRNSNSRSQIEQPWFQVKRCLTGKIACHIKVGPQNLCIERTSIGKSFSFRIVNKDGQIIADAKQKQSSSGVVLSNDVLSLDLAAGTDHSLVLALVTVYGLICGKL >CAK8572626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:551870353:551872904:1 gene:gene-LATHSAT_LOCUS25169 transcript:rna-LATHSAT_LOCUS25169 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLSVLSTSIIRSSVYNKSALGFPSVQFNPLQLSPHSANVGATFNPNAHPNFHGLRAYSLLCLNDLRGKVPRKQKTRKGRGIGSGKGKTAGRGHKGQRARKGSKLGFEGGQTPLRRRMPKRGFKNPFSLTFQPIGLGKIATFINAGKIDSSELITMKTLKDAGVLGKQIKDGVRLMGRGSEKIQWPIHLEVTRVTVRAKEAVEAAGGSVRKVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPKKPIPFLVEGSKDLPVGQLS >CAK8569724.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9240253:9241371:1 gene:gene-LATHSAT_LOCUS22542 transcript:rna-LATHSAT_LOCUS22542 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERISMKLIQNEKSRKTTFEKRKKGLMKKANELSILCDVDVCVILYKPNSEGQGFAEPEMWPKDTNEVQRILQKYYNTTIDRRPKVYHLQEYFEERLKKVEFEISKVRKQRLKIKYPAWDEAFESLRGNELKTLISTLDSRFASCCQKMDMLKGKAIVEQSQPHPSTCNLISNPSVNNMFEAQIYPPSMNISDKTPMFWPLQEGQSSQPSSMISSPQTSYHPLQLGQCSQPSSMSSSAQDFYQTHQLGQSVEPFSMIPSALASYQPPLLGQSSQPALMISNAHVSYQPLQYGLTAQPSSMISSSGRASYQVEPDESHRYENGENLSPYCYNGNTSMQSYPVNPPHGFQHNGITDMDVLQDDMFNYMDERN >CAK8561174.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:111351306:111354460:-1 gene:gene-LATHSAT_LOCUS14786 transcript:rna-LATHSAT_LOCUS14786 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSILFIIPFLSLFLLLPLLLQAHETPSLSPPPSSSSSSSSSNACKSTLYPKLCRSILSSIRSSPTDPYHLGKFSIKQSLKQAKKLSKVFKDFLKKHHQSSSLSHAEFAALDDCRELNQLNVDYLELVQDELKSADSSSFNNTDVLVEKVETYLSAVATNHYTCYDGLVVTKSNIANSFALPLSNVTQLYSVSLGLALQALNRNIKKRKTRKHGLPTKAFKVRQPLQKLIKLLRTKFSCNKSPNCTRTERILQESENKGILLKEYVIVSLDGTENFTSIGEAIDATPDHLKPEDGYFLIYAKQGLYQEYLTVPKSKKNILLIGDGINNTCITGNHSVVDGWTTFNSSTFAVSGERFIAVDITFRNTAGPEKHQAVAVRNNADLSIFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVLQSCNIYPRKPMRNQKNVVTAQGRTDPNQNTGISIQNCRIDAAPDLAEDMNSTKNYLGRPWKVYSRTVYLQSYIGDLIQPSGWLEWNGTVGLDTLFYGEFDNHGPGSITHNRVQWHGYNLLTPNQAWNFTVFNFTLGNTWLPDTDVPYNEGLKLNN >CAK8537450.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:361479864:361480286:1 gene:gene-LATHSAT_LOCUS6742 transcript:rna-LATHSAT_LOCUS6742 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQLFLYANVRPCYSLSGYKTRYDDVDLITIRENTEGEYSGLEHQVNFISQQYMSYTIIQIMINIICLAFLDDSVSKVNGSENSATTSKGGSSSFSFRSTRYLQGVASPMKRSSSYNGKFLLSWYSRSQKKPNAILRSF >CAK8533945.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:674018703:674020052:1 gene:gene-LATHSAT_LOCUS3530 transcript:rna-LATHSAT_LOCUS3530 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKIQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYRGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYQNPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILLKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNIPILERNFFYKWWNKMSSEDVQNKIKLIEEAIAEDQNKKVNEQNSQQMSMENLKSFFKRKYPNESEDKIMVRILDHMKNQFFSTFRSKASKDEDSSMKTSSSMGSMDSHNFEGLAGEGQADEATADDFWDAMIQSLKEKRKAKN >CAK8560887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:52083551:52084087:1 gene:gene-LATHSAT_LOCUS14527 transcript:rna-LATHSAT_LOCUS14527 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTIEITILSAENMQENKKAIKGNTFVTVECDGSSDEASTTKLDSEGGSYPTWNEKLVINLPMHARFVTIDVRYKTRGTSSSSVGVARIPISDFIGGYVHENQLQFLSYRLWDNRVRRNGVVNISARVKVSQQNSCSNSMISNGNGVPVTGVPVAGNGSNRVVTGIPAVWLNCQRNL >CAK8571213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:339163804:339164555:1 gene:gene-LATHSAT_LOCUS23897 transcript:rna-LATHSAT_LOCUS23897 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFMGDSKRPVKNKAKVEGSICAHYLHRETSRFCSHYFNHLMLTPRIIRNEFDVNKRSQFTLSIFGLPGRAFGKENVHWLTQKELQSAHVHVLINCVEVGSYLEAFNVSYFQSTGEQATTGYIHASFPAWFKDQLSCIVAPTQEILHLRNLSGGPVQRAIEWHTYFVNDYKFHTQARTKGKKTINSSVFVKGVTDGAEDDFYGIVTHIYELAYNCLDS >CAK8565584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:283934195:283934632:1 gene:gene-LATHSAT_LOCUS18783 transcript:rna-LATHSAT_LOCUS18783 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHIKVYDHLSVLNGIVSELETIGVKIDDEDKNLRLIWFLPSSYEHIKPVLIYGKETLSFEEVSSKITSEERRLKGEENTSSNSVLVARGRSYVKKNNEMGVICWKCGKLGHIKYNCTDGAASEKDSESNASNVSLIVREDGLL >CAK8565400.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:165602704:165603804:1 gene:gene-LATHSAT_LOCUS18618 transcript:rna-LATHSAT_LOCUS18618 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPPLHTSTFPMIILPRNSFHFMLYLPHVPRRKINRITATSADAGNVEPSNNPLSLASIWRQTRRPLTDFGFGGRSIWEGGVGLFLVSGAVLFALSLAWLKGFQIRSNFSKYIATFEFSQASGISTGTPVRIRGVTIGDVIRVNPSLKSIEAVVEIEDDKTIILRNLLVEVNQSGLLMETIIDITPRDPIPTPSAGPLDKECHKEGLIVCDREKMKGSQGVRLDALVGIFTRLGRDVEKIGIADSYSLAERAASVIEEAKPLLTKMKAMAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAHSSIMTPENTELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRRNLKLLIKSLSRLL >CAK8531840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:162526721:162528692:-1 gene:gene-LATHSAT_LOCUS1605 transcript:rna-LATHSAT_LOCUS1605 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDIFILDCYSDIFVWVGQEVDTKSRMQALTIGEKFLEHDFLLEKISRVAPIYVVMEGSEPPFFTRFFNWESAKSAMLGNSFQRKLKIVKNGGTAPVAKPKRRTTSYGGRSSSVPDKSQRSSRSMSVSPDRVRVRGRSPAFNALAATFESANARNLSTPPPVIRKLYPKSTTPDSAILASKSKAIAALTSSFEQPPSARESMIPRAVKVSPVTPKSNPEKNDKENSVSGRVESLTIEEDVKEGEAEDEEGLLIYPYERLKITSIDPVPDIDVTKRETYLSSAEFKEKFGMSKDAFYKLPKWKQNKLKMAIQLF >CAK8564257.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:663209605:663212636:-1 gene:gene-LATHSAT_LOCUS17580 transcript:rna-LATHSAT_LOCUS17580 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSSSPPPPPLSADSNTTPPPPSPAVPNASPSPVSAIDFFSLCHLLKTRKRTRWLRSGVKNPESMADHMYRMSLMALIAPDVPGLDRNKCIKMAIVHDIAEAMILDTTPLDEISKEIKHQRGKAAVDYMCRIIGVGEGSRGKEITELWKDYEANSSPEAKFVKDLDKVEMILQALDYEEDEQGNDLDEFFRSTAGKFQTEIGKAWASEIVSRRNNT >CAK8544382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:680989772:680994699:1 gene:gene-LATHSAT_LOCUS13068 transcript:rna-LATHSAT_LOCUS13068 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTAEFRKYRDTVKSLRTPLSSSASASSGGPVIEMVNTSLLRSSNRSSYTPLSTQDPGPSSSSDAFTVGLPPSWVDDSEEIATNIQRAKVKMSELTKAHAKALMPSFGDGKDDQRQIETLTREITALLRKSEVRLKKLSAGRGSSEESNVRKNVQRSLATDLQNLSMDLRRKQSAYLKRLQKQQEGYDGIDLEINFNGSKSGLQDDEFDDMGFSEAQMTKLKKNEHISAEREREIDQVASSVHELAQIMKDLSVLVIDQGTIVDRIDYNIQSVATTVEEGFKQLQKAERTQRKGGMITCATVLVIMCFVMLVLLIIKEIIF >CAK8539047.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502430816:502433290:1 gene:gene-LATHSAT_LOCUS8183 transcript:rna-LATHSAT_LOCUS8183 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKTATTMTSPAVPLPVSTTSTPSTAATQIRVAAKQKEWNKAISTSIRSRLSKLCREGQPHLARQLLESLPRPSTVVWNSVIIGFICNNMPLEALLLYAQMRSKPDTRFDPYTFSSTLKACALTNDVITGKAIHSHFLRSHSSSNPGPSRIVYNSLLNMYSSCQHDYVLKVFGVMRKRNVVAWNTLISWYVKTNRYHEALKAFATMINLSVRPTPVTFVNLFPGLSKFGDSRIAHLFYGFLLKFGDEYVNDVFVVSSAILMFTDLGCMDYARKVFDQCLNKNTEIWNTMIAAYVQNNCPVEAIDVFVQALESEEEGLCDDVTLLSVITAVSQVQQIKLAEQLHAFVLKSLPASLIIILNAIMVMYSRCNLVDTSFKVFDKMLERDAVSWNTIISAFVQNGFNEEALMLVCEMQKQKFLIDSVTAAALFSAASNLRNLYIGRQTHAYLIRHGIQFEGMESYLIDMYAKSGSIRTSELLFEHNCPSHKDQATWNAMIAGYTQNRLNEKAILLLNEMLVQKVLPNAVTLASTLPACSSMGSMGFARQIHGFSIRHFLEKNVYVGTALTDTYSKCGAISYAENVFVRTPEKNSVSYTTMMMCYGQHGMGKRALTLYDSMLRSGIKPDAVTLVAILSACNYSGLVDEGLQIFESMEKVHKIKPSSEHYCCVADILGRVGRVVEAYEFVKGLGEDGNSMEIWGSILGSCKNHGHFELGKVVAKKLLNMGREKRMAGYHVLLSNIYAEEGEWENVERVRKQMKENGLQKETGCSWVEIAGFVNCFVSRDEKHPQSDEIYYMLDKLTMDMKDAGYKPLYSLNLNMILDSNE >CAK8560410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:18110811:18113702:1 gene:gene-LATHSAT_LOCUS14082 transcript:rna-LATHSAT_LOCUS14082 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFIVFLTIVALALFLLLSHSSLNNYAAHPHGCLDLHSDWEDNIDFDLTNNIDFNLTNNIIRVFPEIDINPTDKYISVHELTQWKLHHVQTKQFRRSKKEMIIYDKNLDGFVSFAEFEYGLSTPPQKTDGDSFAYDMRVLEEEHFNASDTNGDGRLSLPEFHDFLHPADSNNTKLQQWLCREEVWERDTDRDGKVSYIEFLNGIFVSIRSYDEENYIYSHHSDESKNAYAKVVFSQLDKDGDGYLSAIELLPIIGKVHPSWRYYARKQAEYFGSQARVGKYGRLNLNEMIKYADILYAAIFRDESY >CAK8538829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497182269:497182743:-1 gene:gene-LATHSAT_LOCUS7985 transcript:rna-LATHSAT_LOCUS7985 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLHLHASLFPMHTKLKQQQQHHIGMEHTTKLLWGEPSRTDPHCKSFSSASSLRTKAIPDFIVVAATSPPRPGDLSTFVPISVLLVTVYFISNFVVPDILTKNFGFDEDKKVDDVEEK >CAK8561516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:263534041:263535555:-1 gene:gene-LATHSAT_LOCUS15100 transcript:rna-LATHSAT_LOCUS15100 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLDIFLFLLCLAIMTPTLDANKLESDEYWKEQRPEFDSYWQERAEIAKQDNHAAYFPDPYAVSSNFTASISEIIDGKGARRNLKGGKGEKCLATNPIDRCWRCDPNWAKNRQKLADCVQGFGRNTRGGKGGPIYVVTNPSDNELLDPKPGTLRHAVTRNGSLWITFARSMLITLQQELIMTSDKTIDGRGVDVYIANGAGFTIQFVKNIIIHGIKIYNIQVRQGGMIIDSESHYGLRTRSDGDGISIFGSSNIWIDHVSMRNCTDGLVDAIMGSTAITISNAHFTDHNEVMLFGASDSYTEDKIMQITLAFNHFGKRLVQRMPRARFGFIHCVNNDYTHWEMYAIGGSMNPTIISEGNRFIGPEYKFIGKDQINAKEVTKREYSEEKTWSSWQWRSINDEFLNGAFFVNSGPELKNRPFSRKDMMTAKPGSYVGRLTRYSGVLACRVGQPC >CAK8568301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591897678:591899039:-1 gene:gene-LATHSAT_LOCUS21272 transcript:rna-LATHSAT_LOCUS21272-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPLKHISECFIKPHPPIEDSNQICYLSPWDICMLSANYIQKGLLFKKPTSSLNQPDFMEILLIKLKHSLSLTLFHFYPLSGRLVTKKTQDPPSYTIFVDCKNSPGAKFIHATLDITIDDILSPIDVPPIVQSFFDHDRAVNHDGHTMSLLSIQVTELLDGVFIACSMNHSLGDGTAYWNFFNTFSEIFQNDGSVDVPISHQPIHNKWFPEGYGPIINLPFKHHDEFIQRYKAPTLRERIFHFSAESIAKLKAKANQESNTNKISSFQSLSALVWRSITRVRQLQHDEKTTCNLAINNRTRMEPPLPKEYFGNSVFAVKTETTVKELLEKDLGWVAWKIHLAVANYDDKVVRGSVEKWLESPIVFRMDMIVDSSTVMMGSSPRFNMYGNEFGMGKALAVRSGYANKSDGKITSYPGREGGGSIDLEVCLSPGTMMALESNEEFMNSVSLFSH >CAK8568300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:591897678:591899072:-1 gene:gene-LATHSAT_LOCUS21272 transcript:rna-LATHSAT_LOCUS21272 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRVLSTIRKMNTPLKHISECFIKPHPPIEDSNQICYLSPWDICMLSANYIQKGLLFKKPTSSLNQPDFMEILLIKLKHSLSLTLFHFYPLSGRLVTKKTQDPPSYTIFVDCKNSPGAKFIHATLDITIDDILSPIDVPPIVQSFFDHDRAVNHDGHTMSLLSIQVTELLDGVFIACSMNHSLGDGTAYWNFFNTFSEIFQNDGSVDVPISHQPIHNKWFPEGYGPIINLPFKHHDEFIQRYKAPTLRERIFHFSAESIAKLKAKANQESNTNKISSFQSLSALVWRSITRVRQLQHDEKTTCNLAINNRTRMEPPLPKEYFGNSVFAVKTETTVKELLEKDLGWVAWKIHLAVANYDDKVVRGSVEKWLESPIVFRMDMIVDSSTVMMGSSPRFNMYGNEFGMGKALAVRSGYANKSDGKITSYPGREGGGSIDLEVCLSPGTMMALESNEEFMNSVSLFSH >CAK8538813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496869859:496870572:1 gene:gene-LATHSAT_LOCUS7969 transcript:rna-LATHSAT_LOCUS7969 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKDGVWDCKSCEGVGRISIKIRRNDQSLRIGYPNQNTRNNEVIFEKPSFVEDSKKNKKRKTKNGKSRKLLKKQKNDYNNDNIDHPMEEERPELPLSFKEIIEHMEGTDVKLVIQKQLTKSDLTQNNGRLSIPKGRVIESFLTPTEESCLDYERKKKGEKKCKIASMVISMLDPDLNLWEDMCLKKWKMNTIEVYNITGGWNELVKDNHWEKDQKIKVQLWSFRRNLNLNFALVKL >CAK8531304.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99502417:99503708:1 gene:gene-LATHSAT_LOCUS1107 transcript:rna-LATHSAT_LOCUS1107 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSINGPIKCNNRIMSFSTLPFSLTYNNSCNHISLPRKLHTNIHKHGNSFSPYSSQTSVHKNLYSRKQRGSSLIAFDAKSSEPIGEDDDEALDAVMKLYSAFKNKNMQELSEILADECRFVCNFFSFFQAFQGKSQVLEFFSNMIRLFGDNIQIVVKPSLHDGMNVGVHWKFEWNTIHVPLGKGFSFHICQTYRGKAVIKNIEMFMEPLLFLEPFMRLKMKTNLKEIFMLSLLRGESGNNARRSILCTVLAVLSLAALLFFFMRLVL >CAK8531305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:99502465:99503708:1 gene:gene-LATHSAT_LOCUS1107 transcript:rna-LATHSAT_LOCUS1107-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTLPFSLTYNNSCNHISLPRKLHTNIHKHGNSFSPYSSQTSVHKNLYSRKQRGSSLIAFDAKSSEPIGEDDDEALDAVMKLYSAFKNKNMQELSEILADECRFVCNFFSFFQAFQGKSQVLEFFSNMIRLFGDNIQIVVKPSLHDGMNVGVHWKFEWNTIHVPLGKGFSFHICQTYRGKAVIKNIEMFMEPLLFLEPFMRLKMKTNLKEIFMLSLLRGESGNNARRSILCTVLAVLSLAALLFFFMRLVL >CAK8566383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:417621849:417622731:1 gene:gene-LATHSAT_LOCUS19516 transcript:rna-LATHSAT_LOCUS19516 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNTDSFGVTRPPSESLPERGKLLKLESELQPNLGSKPQPESELQPNLEPKPQPESKLQPNLERKPRLEYLLSSKPMKKLKMSDFSMYDDEPEPFMFMHRRFVYKNKAQIKLDEEIKVAMDDHRERSRNLSPFDAIGRPKISDTCGGGPRPIPITESVRLELTPLCKLALDKYNADKDTHFVFADIVKSTWCPGAMYYITFLAQDSSNNNNNTSLTTFQAQVSNRRPAPKVYSYAIKT >CAK8564511.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679033905:679035308:1 gene:gene-LATHSAT_LOCUS17811 transcript:rna-LATHSAT_LOCUS17811 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVPIHILLVSYPAQGHITPLLRLGKCLAAKGFSVIFTTSEKAGKTMRTANNITDKLAIPIGDGSLTFDFFDDDDATILDLDYHARVELSGRNFISEMIKNHADSKKPISCIINNPFFAWVSDVAAQHHIPCALSWIHSSAVFAAYYNYVHNLVPFPSISEPYIDVELPFVVLKYDEVPDFIHPFHPHPIIGELTIEQMKDMSKMFCVLVDTCEELEPDIIDYLLKLSIPVRSIGPLFRNPIIKAVSNVRGDFGKSGNDDSAIIEWINTKPKGSVVYISFGTVVNHSQEQVNEIAYALLEAKVSFLWAKKDHVFPDGFLEETSESGRGRVVKWSPQEQVLAHPSTACYMTHCGWNSTMEAIALGVPVLAFPSFGDHLPNAKFLVDVFGVGVKMGRNGAGKNDILVTRDEVKKCLLEVTTGEKADTLKKNAIKWKKVAEDAVAVGGSSQRHLDAFFEDIKKYRSLN >CAK8533077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:575667020:575669419:-1 gene:gene-LATHSAT_LOCUS2728 transcript:rna-LATHSAT_LOCUS2728 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNTSERIAVLTSHFTHSTSMASEKEAALSAIPPDSDSPTIFDKIINKEIPSTVVYEDDKVLAFRDINPQAPVHILIIPKVRDGLTGISKAEERHFDILGRLLYTAKLVAKQEGLNEGYRVVINDGPQGCQSVYHIHVHLIGGRQLNWPPG >CAK8578699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:648077763:648080292:1 gene:gene-LATHSAT_LOCUS30689 transcript:rna-LATHSAT_LOCUS30689 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFIRSRTQARTTRPTTMGSMDYADPKRKGNLVGKVFLTAALTTICIIMIKRSPSLNSPNPFAVHEPGITHVLVTGGAGFIGSHATLRLLKDNYRVTIVDNLSRGNLGAVRVLQDLFPEPGRLQFIYADLGDAKSVNKIFLENKFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLLVLESMAKHGVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALAKAQPAKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVEYLPRRPGDYAEVYSNPTKINRELKWSAQRTDLEESLRTAWRWQKSHHNGYGIPNVY >CAK8531682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:139206369:139207880:1 gene:gene-LATHSAT_LOCUS1455 transcript:rna-LATHSAT_LOCUS1455 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCRVLLQILKRCKPSLDSKTVAKTHARVIVLGYATYPSLVASLISTYAHCHQPRIALRVFSRVMNLFNMNLVIQNLVKSGECDIAKKMFDKTPLRDVVTWNTVIGGYVKNSRFLDALSIFRRMMNAEVEPDGFTFASVVTGCARIGSFCKAQWVHGLMVEKRVELNYILSAALVDMYAKCGRIDVSKRVFESVVRDHVSVWNAMINGLAVHGLALDAVTVFSRMEVEDVLPDSVTFVGILKACSHCGLVEVGRKYFEMMRNRFLIQPQLEHYGTMVDLLGRAGHLEEAYSMVKAMSVEPDVVIWRSLLSACRIHGKKELGEFAIANISRLESGDFVLLSNMYCSFKNWHGAERVRQMMKKGGVRKKRGKSWIEFGSSIHQFNAADQSHAETKAIYRVLEGLMQRAKLEGFTPLTDLVLMDVSEEEKEENLSFHSEKLALAYGVLKSSPGTKIMISKNLRICQDCHNWIKIVSRILNREITVRDRIRFHQFEAGFCSCGDYW >CAK8538862.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498320914:498326542:-1 gene:gene-LATHSAT_LOCUS8015 transcript:rna-LATHSAT_LOCUS8015-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSEPTVDPPPKAENGGGEPSEEPCSKKAKVDEIDKPEAELKRVAEIVLVLSTMATMRGGNKPTDVEVELMREARSKLAILCQGIAPKDIVAREAVGAVIEDLGLNAKVGDQRLGFRTPKMSISEKYAFNKSKMEESKKLLSASSTTYTPQPLQTNTGGMVDNRVPTNAVRMLSSDKSTHMAVTSSVSMVSIPPHLSAGASAALQYQSTSNEVRPPIVSGVMPSSHMGRNPSPVALPRVENPQFKVTGGLSGAPYVLQVQANSLANQSLVNAPTWSIQTPPVSLARSVSENKAPTHNPPKVEGTADATVSRAGPQVANGQSIRPFITQTGPGNMSNMHQPLQGGNMVQPPSIPSHSDIAKIVQKLLLPKLPEHRTWTPPSRDYMNKAFTCQTCELTVSEVDSVLLCDACEKGFHLKCLQPSVIRGIHNRVDWHCTRCLSLSGGKSLPPKYGRVTRSSITSPSFPSNTVIIQPSSEKKPDNLDLKVSPQIFTSNGNSVPTVSSANHSTEPSFDSNIPDTRDIQGFNISSSIETIEEKPDPSIYMKSAACSVSTGLQGESCNEQIDSKALTCKNISESETLPKSSEPAKCENLQSSQDSQIETAVSQDNAEISPDRHDSSSFMTSNQKESHGGENITNDIKHDDLDVAQTTSVGSSGTNTEGILHSALSSDSSHAVEWIGDVVQLVDEKKYYQSCCIDGVTYRLHGHAFFTSNHGKLTPSKLQSMWEDSKTGMKWVKATKCYFPDDLPGNIGHPCISEVNEVYESNSDRIEMASSIRGPCVVLPYDKFKQENDRRSQFGIEASVSVQPIFLCRWFYDEIKKSFQPVIS >CAK8538861.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498320914:498326542:-1 gene:gene-LATHSAT_LOCUS8015 transcript:rna-LATHSAT_LOCUS8015 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSEPTVDPPPKAENGGGEPSEEPCSKKAKVDEIDKPEAELKRVAEIVLVLSTMATMRGGNKPTDVEVELMREARSKLAILCQGIAPKDIVAREAVGAVIEDLGLNAKVGDQRLGFRTPKMSISEKYAFNKSKMEESKKLLSASSTTYTPQPLQTNTGGMVDNRVPTNAVRMLSSDKSTHMAVTSSVSMVSIPPHLSAGASAALQYQSTSNEVRPPIVSGVMPSSHMGRNPSPVALPRVENPQFKVTGGLSGAPYVLQVQANSLANQSLVNAPTWSIQTPPVSLARSVSENKAPTHNPPKVEGTADATVSRAGPQVANGQSIRPFITQTGPGNMSNMHQPLQGGNMVQPPSIPSHSDIAKIVQKLLLPKLPEHRTWTPPSRDYMNKAFTCQTCELTVSEVDSVLLCDACEKGFHLKCLQPSVIRGIHNRVDWHCTRCLSLSGGKSLPPKYGRVTRSSITSPSFPSNTVIIQPSSEKKPDNLDLKVSPQIFTSNGNSVPTVSSANHSTEPSFDSNIPDTRDIQGFNISSSIETIEEKPDPSIYMKSAACSVSTGLQGESCNEQIDSKALTCKNISESETLPKSSEPAKCENLQSSQDSQIETAVSQDNAEISPDRHDSSSFMTSNQKESHGGENITNDIKHDDLDVAQTTSVGSSGTNTEDEKKYYQSCCIDGVTYRLHGHAFFTSNHGKLTPSKLQSMWEDSKTGMKWVKATKCYFPDDLPGNIGHPCISEVNEVYESNSDRIEMASSIRGPCVVLPYDKFKQENDRRSQFGIEASVSVQPIFLCRWFYDEIKKSFQPVIS >CAK8531151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85151546:85152866:-1 gene:gene-LATHSAT_LOCUS961 transcript:rna-LATHSAT_LOCUS961 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAKTSLFSVLLLFGVLPFSSNAQLDPSFYKTTCPNVSSIVRQVIRDVSKTDTRMLASLVRLHFHDCFVQGCDASVLLNTTDTIVTEQDAFPNLNSLRGLDVVNKIKTAVESVCPNTVSCADILALAAELSSTLAQGPDWKVPLGRRDSLTANQSLANENLPSPFSSLDQLKAAFAKHGLNTTDLVALSGAHTFGRARCFLFDSRLYNFSNTGSPDPTLNTTYLQELRNICPNGGSGTNLANFDPTTADKFDKNYYSNLQGKKGLLQSDQELFSTSGADTISIVNKFSADQKAFFESFKAAMIKMGNIGVLTGKQGEIRKQCNFVNSKSAELGLINVASTDSSEEGMVSSM >CAK8571410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:402819266:402832025:1 gene:gene-LATHSAT_LOCUS24077 transcript:rna-LATHSAT_LOCUS24077 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEVVNEGIGMQIEFIWRKIREPVIVPMLRVAVFLCLGMSIMMLFDKVYMGVSICLVKLFGRKPEKRYKWECFVDDVELGNSNYPMVLVQIPMYNEGEVYQLSIGAASGLSWPSNRLIIQILDDSTDPTIKELVQVECNIWGNKGVNIKYEVRDNRNGYKAGALREGMKHSYVTQCDYVVIFDADFQPQPDFLRRTIPFLVNNPEIGLVQARWKFVNADECLMTRMQQMSLDYHFKVEQEVSSSAYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLSVRASLKGWKFLYLSNLQVINELPSTLKAFRYQQHRWSCGPANLFRKTAMEIFASKNVSLWKKIYILYSFFFVRKIVAHVNTFVFYCIVLPATVVVPEVVVPKWGSVYIPFVITLLKSVGTPRSFHLVSFWILFENTMSLHRTKATIIGLLEASRVNEWVVTEKLGATLKAKGGGKVSKNIQVKIVDRIGMSEFCVGLYLLFCGSYDVMYGKNQFFIFLYIQSIAFFILAFGYVGTVVPNS >CAK8571327.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:379704594:379705094:1 gene:gene-LATHSAT_LOCUS23999 transcript:rna-LATHSAT_LOCUS23999 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLNNFGTTITILTAVTLTALAVEILYVLWKRRLKLRPRVRAEPQEQPSLPSSSPDQRERELEEELEQHIAKWQCINGLSRVLFTIKEEDREGVDSESGSLTECSVVTVKKALAVGNTRLSEIENENVVMGDDEVVLDVEELLNETTPYSTPYYTLLSSPTRCNE >CAK8564129.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653386752:653387312:1 gene:gene-LATHSAT_LOCUS17463 transcript:rna-LATHSAT_LOCUS17463 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGKVLTDGCNKGMADEVAKANTHNIMQFDHKRFCFMVQEKINQNDGRPTSTFSIDLRNHWCHCGKFQAFHLPCSHVIAACSSIRQDCTIHISEVFTVLNVFKVYKESFVGLPHEENWPKYEGFTLCHDNSMRRNKKGHPTSSRIRTEIHDAEKEKRRCGICREIGHMCRKCPNVVGPSNRSSR >CAK8566973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477037270:477038920:1 gene:gene-LATHSAT_LOCUS20067 transcript:rna-LATHSAT_LOCUS20067 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFIEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPHPPLDHTMAGDIDVDWISYHQSVQNVIRPTTPATTPYETDDGYLEWYYRVSHPRLVPPSYHDAPVEMPAKMPVPVYEAGPSDPSWARVCSLIYRYLQQAGAEDDDPQFADLFEALSITRSQ >CAK8572470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:539067814:539068634:1 gene:gene-LATHSAT_LOCUS25034 transcript:rna-LATHSAT_LOCUS25034 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGLLWEVEGKWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFITFMKRYIKLLTPKLEAEKQEIFKKHIEGATKFLLPKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFIYFAHGLKEIKC >CAK8536070.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:904741103:904741454:-1 gene:gene-LATHSAT_LOCUS5471 transcript:rna-LATHSAT_LOCUS5471 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEATFNYYRGEIRRTDIEASNWIDNIHREKWARAFDGRQRWGHMTSNLADAMNSVLKATRNLPITALVQSTYYRMGSLMTNSQVHE >CAK8566845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:466808816:466809396:1 gene:gene-LATHSAT_LOCUS19948 transcript:rna-LATHSAT_LOCUS19948 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYEEIRLQRIAENKKKLEALNLPKLSQSLHKTSSSSSKPSPSVKGRPRFVQPGELEVNKKRLCSTTMCKSSIIPPSIKTTITFPPIQTKITPLPIETTITHLPIQTAKDVVVEDEDEDDVVGDEAEDVVVGDEAEDVVVGDVTEYVVKVAKSVYWDVNVISK >CAK8567509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:519718728:519722341:1 gene:gene-LATHSAT_LOCUS20556 transcript:rna-LATHSAT_LOCUS20556 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNALNFLVLFLTISLFPFVSSLNQEGLSLLSWLSTFNSSNSDTSTTAFSSWDPAHRNPCRWDYIKCSAEEFVEEIVITSIDLRSRFPLQFLSFNHLKTLVISNGNLTGEIPSSVGNLSSTLVTLDLSFNSLTGKIPEEIGKLSELRWLSLNSNSLRGGIPTTIGNCSKLQHLELFDNQLSGMIPGEIGQLKALESLRAGGNQGIFGEIPMQISDCKALVFLGLAVTGISGEIPASIGELQNLKTLSVYTAHLTGQIPPEIQNCSALEDLFLYENQLSGKIPYELGSMQSLKRVLLWKNNLTGTIPESLGNCTNLKVIDFSLNSLIGQLPLTLSHLLSLEEFLLSDNNIYGEIPSYVGNFSMLKQLEFDNNRFSGEIPSVMGNLKELTLFYAWQNQLNGNIPTELSNCEKLEAVDLSHNFLTGPIPNSLFHLQNLTQLLLISNRLSGQIPPDIGRCTSLIRLRLGSNNFTGQLPREIGLLKSLTFLELSDNQLSSDIPYEIGNCAHLEMLDFHKNELQGTIPSSLKFLVDLNVLDLSSNRITGSIPKSFGKLTSLNKLILSGNLIAGSIPQSLGLCKDLQLLDLSNNKIIGSIPNEIGYLQGLDILLNLSWNSLTGSIPKTFSNLSKLSILDLSYNKITGTLLVLGNLDNLVSLNVSYNRFSGTLPDTKFFQDLPSAAFAGNPDLCINSKCRASGSLEGNKSIRNIIIYTFLGVILTSAIVTCGVILALRIQGDSYYGRNNFEEVEMEWSFTPFQKLNFNIIDVVSKLSDSNIIGKGGSGVVYRVETPTKQIIAVKKLWPMKNGEAPERDFFTAEVQTLGSIRHKNILRLLGCCNNGRTRLLLFDYICNGSLFGLLHEKRLFLDWDARYKIILGTAHGLEYLHHDCIPPIVHRDVKANNILVGPQFEPFLADFGLAKLVSSSDCSKASHVVAGSCGYIAPEYGYSLRITEKSDVYSYGVVLLEMLTGMEPTDNRIPESAHIVTWVISEIREKKREFTSILDQQLLLQCGTRTPEMLQVLGVALLCVNLSPEERPTMKDVTAMLKEISHENDDLEKPNLLHNKGMVANPKAAVHCSSFSRSCELLIESSSSSS >CAK8560822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:47638746:47639832:-1 gene:gene-LATHSAT_LOCUS14467 transcript:rna-LATHSAT_LOCUS14467 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYVVDLPIIDISEPFQSSSLTSLSKACKDWGFFHIINHDVSKDLCSQLHSLSKIIFHLPSDTKLKLGPFSSVKSYTPHFIASPFFESLRVDGPDFYVSAKSSQDVLFEKQNSKFSETLEDYCNKMADLSERILKLVLMSLGKGFENLFYDSHFKNCQGYLRINNYTSPETMDSENHLEGLGMHTDMSCITILYQDEIGGLQVKTQEGKWIDISPSEGSLVVNIGDMMQAWSNDKLRSSEHRVVLKKPVERFSLAFFWCFENEKVVLAPEEVVGDESERVYEPFVCSEYLKFRENNQRGRFEKVGYTVRDFAGIK >CAK8571741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:466500511:466501719:-1 gene:gene-LATHSAT_LOCUS24375 transcript:rna-LATHSAT_LOCUS24375 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSETDDGNDIVSSDPLTTTTKRQRLNPSTGTLTSPSSSSEDPVHATPLPTLPFEIVVEILSRLPVKFLMQLQSVCKSWKSLISDPEFAKKHLRVSTTRHHLLLTFANPSREFVVATYPLSSIFTEVTATVTRLEYPLNNRNRFAQIVDSCHGILCFALDQRFALLWNPSIKKFTKLPSLDNPKREGSYTIYGFGYTHFSDSYKVVAVSCYESDGSYKTQVKVLTLGTNAWRRIQDFPSGVPFDDSGKFLSGTVNWLASRDSYSSWVIVSLDLENESYRELLQPDYGGVTVVTLSLGVLRDCLCILSHTDTFSDIWLMNEYGNKDSWTKLFRVPYTGDVGDCPYTKALYVSEDDKVLLEDQPGLVVYNGRDGTFETPEIQDINGWMVSEIYQESLISPCI >CAK8538884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498795449:498797094:-1 gene:gene-LATHSAT_LOCUS8033 transcript:rna-LATHSAT_LOCUS8033 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSLKIKTSTCKRLVKELDSYEKEVLRESAKTADMKDKGADPYDIKQQENVLAESRMMLPDSRKRLEAALEGLKATLAELLDETEEKEGPEIDDARNTIAEVENVFETP >CAK8567235.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:496600170:496606004:1 gene:gene-LATHSAT_LOCUS20304 transcript:rna-LATHSAT_LOCUS20304 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPCTPTILSSPFPNRRRSHLPLRPHSHAFPGSTKRFLRGSLSVARFGLQPGFLPEPEDAEFVIKELFNRAEGFLYTIADAAVSSSDTVVTTTAVKQSNDWLSGITNYMETILKVLNDGLSTLHVPYAYGFAIIMLTVLVKAATFPLSRKQVESAMAMRSLQPQVKAIQKQYAGDQERIQLETARLYKLANINPLAGCLPVLLTTPVWIGLYRAFSNVADEGLLNEGFFWIPSLSGPTTIAARQNGSGISWLFPFVDGHPPLGWPDTLAYLVLPVLLVVSQYISIQIIQSSQATDPNAKSSQILNKLLPLVIGYFSLSVPSGLSLYWFTNNILSTLQQIWLLKLGGAKNPLIQVLDDNLKNDLIQTQKPVSKLNSTKVEETRKVEKLTSEGPQPGDRFKQLMEQEARKKQKREEERRKAEEAAAKANHEQTIQGENQAVNGLVENSQSVAADTDPSITGVVNGNPLSKDLEGNQNSTSTSDTENGEGSAHFNAVNEKNLEKEPREVLTTIATTNKLPQEDPDRVAKD >CAK8533525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:631874268:631874516:-1 gene:gene-LATHSAT_LOCUS3147 transcript:rna-LATHSAT_LOCUS3147 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLPATTDSIALALEAKDPSEGISILYRVLGDPSSSPEALPMKEQAITNLTDLLRQENRAKDLRILLTQLGPFFSLTHKL >CAK8539178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506055650:506060029:-1 gene:gene-LATHSAT_LOCUS8298 transcript:rna-LATHSAT_LOCUS8298 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPERNQINTALSKFEDSPVFNYINNLSPIRPVKSAHITQTFNSLSFSSPPSVFTSPHVTSFKESRFLRRHNPPSPLKPKDSSEDVNKVSSNEEALADSTLSHHNSSELHESADKGISVGDVSIELSSEQAKFSDELPQALQYNCGSPGFDPPLCCDEGNALLELPGEAVSDVGYVQEGCKNDSDEPELHLQGTSQIDPKGEGSDCDWDGLIHNGADMLIEAEAFKGLMQKPLGSSIRLCDFVPLQQSASNNDQKIHMVDSVASGSEHEIENHCSELVVSTDTDRTQDNLANDSFMTSNPNEKMDHTQDNLANGSFMTSNPNEKMDNQLVSVTHRGIRRRCLDFEMVGVRKNSDDNSNTGSSTTQSEVRNSSDKKQLLPAKRNANSQKCILPGIGLHLNALAPLKDGTGIQNRNLTSGRQLSLTNSTSLLLSACQEHQHLSIVSVSVSSERELDLSGNEVQPAEDSSLVPAYMADEDFNPNSPKKKKRKSEPVGDTEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPVHEDTVLQTRKQIESRNPLAFAPKVIRSADSVPEIGIDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSIGCRCEGCKNAFGRKDGSTSIVTEGETEEEPEISDKGVEEKAIQKTEFQNIEDHLDSSMAATPLRISRPSLPMPFSSKGKPPRSFVTTITGSGYFTSQKLAKPNPLWSQSKSFQTVADDEMPEILRGDSSPIACIKTSSPNGKRISSPNCEIRSSPTRRGGRKLILQSIPSFPSLTPRP >CAK8540860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:26073310:26083733:-1 gene:gene-LATHSAT_LOCUS9822 transcript:rna-LATHSAT_LOCUS9822 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRGSRAVIDPKIRMVGFFAPPTPPDFSTSANSLSPVMIPPPLHSSENPELDSQPSVITSPSCDESTAVARSQNSCSSEMFPAPMSRSQSLKIVANDGKDGGGMVASTLPRGGGIPMCNLTTVSVVNADSFAVDEREKPIKGGGSAVEVKDEAVNSKQKKDKSSKAERRALQEAQRAAKAAAKAEGNTASGTATSVNVKSAKAAKHPHKVANTSAAASEKKGGDRPSEKDRKKDVPHPRLQYDDKSRVEKAKRRAVVNQTEARNRVELFRHLPQYEHGSQLPDLEAKFFQLGPVHPSVYKVGLQYLSGDISGGNARCIAMLQAFQDVIKDYTVPPEKTLVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRISQLPRTHSESESKASLQADIERFIYEKIILADKMIVEHAVTKIRDGDVLLTYGSSSAVEMILLHAHKLRKQFRVVVVDSRPSHRGKLLLRRLLEEGLSCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSGVGTACVAMVAHAFHVPVIVCCEAFKFHERVQLDSICSNELGNPDVISKVSGRRDVNHLDAWADAENLQLLNLIYDATPSDYVSMIVTDYGMIPPTSVPVIVREYNREHVWI >CAK8575700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:261783564:261783803:-1 gene:gene-LATHSAT_LOCUS27940 transcript:rna-LATHSAT_LOCUS27940 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVKLHAIHNQKRKATQGQRDIAHQCPLSIRSSTSAFLRDKNGYILAVEGTRQNDPVTSGEGVFSNLLIREAAHTRG >CAK8574426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:681165603:681171319:-1 gene:gene-LATHSAT_LOCUS26774 transcript:rna-LATHSAT_LOCUS26774 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTTTTLLTSFHRLFSPRGLTRNFHFYPPNRFLACRVMDSPDGGSSAPSPAPLIDSVTQDFKNQTIHTNKFKTLEDLNWDNSFVRELPSDPRTDSFPREVLHACYTRVSPSVQVDDPQLVAWSESVSNLLELDNKEFERPDFPLFFSGASPLVGTLPYAQCYGGHQFGMWAGQLGDGRAITLGEILNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHHLGIPTTRALCLVNTGKLVTRDMFYDGNPKEEQGAIVCRVAQSFLRFGSYQIHASRGSNEDLEIVRGLADYAIKHHFPHIENMSKSESLSFSTSDEDAVDLTSNKYAAWVVEIAERTASMIARWQGVGFTHGVMNTDNMSILGLTIDYGPFGFLDAFDPKFTPNTTDLPGRRYCFANQPDIGLWNLAQFTTTLAAAHLINDKEANYALERYGSRFMDDYQDIMTKKLGLPKYNKQLIGKLLTNMAVDKVDYTNFFRTLSNIKADTSIPDEELLVPLKSVLLDIGKERKEAWTSWLKTYIHELATSGTTDDERKTSMNTVNPKYILRNYLCQTAIDAAEIGDLGEVRRLLKLVEHPFDEQPGMEKYARLPPAWAYRPGVCMLSCSS >CAK8563358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:595409931:595410275:1 gene:gene-LATHSAT_LOCUS16779 transcript:rna-LATHSAT_LOCUS16779 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIHAVRIQACAGSGNRIPDPDRRKSSSSNWWTPLFGWSSEPDYINSNNKAPNPQSESDPASKPSKLRFAGGLTEEKAKQLRLMTTDSFHDTMYHSAIASRLASDFKARSDQ >CAK8577720.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585070442:585074238:-1 gene:gene-LATHSAT_LOCUS29801 transcript:rna-LATHSAT_LOCUS29801 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVRDVNSKGELDEVVGGGSPVVLHFWASWCEASKHMDQLFSHLATDFPHTHFLRVEAEEQPEISEAYSVSAVPFFVFFKDGKTIDTLEGADPSSLANKVSKVAGSIHPGDAASPASLGMAAGSAVLETVRELAQDNIPSKEKSKLQPGLSSHLKKRLQDLVDSHPVLLFMKGSPEEPQCGFSRKVVDILKEEKVKFGSFDILSDSEVREGLKKFSNWPTFPQLYCKGELLGGCDIAIAMHESGELKDVFKDHGIDTIDEANITDSGTAKGGISKSTDLSTALTARLESLVNSGSVVLFMKGKPDEPKCGFSRKVVEILRQEKVPFESFDILTDEEVRQGLKVFSNWSSYPQLYIKGELIGGSDIVLEMQKSGELQKTLHEKGILPKETIDDRLKKLISSSPVVLFMKGTPEVPRCGFSSKVVNALREEGVEFGHFDILSDDEVRQGLKVFSNWPTFPQLYYKSELIGGCDIIMELRNNGELKSTLSE >CAK8578429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:628963701:628971206:-1 gene:gene-LATHSAT_LOCUS30441 transcript:rna-LATHSAT_LOCUS30441 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPHSHRNLFSSNDAAASAPTIPLYRSAPPLEVRLEDFESFAIDRLRVLKGISDGLSRGKKPDEMEKLVNELWKVNMRHQHASEVLNKDIISHFVLRLVYCRTEDLRKWFLSMECALFRYRFRFLTTEAQRAIMDEFDITCKAVNITEFESIKEKLGQVARSMAQPLPTGDAIFYKVPFEDVPELVAGRRVLLSQGYAYVAMNQVVSLVATLFRSQLSKTLILTNRKWTSSIREQEKHRLTPIVEALCSSYLGPDFSQPRENAEISLKDIDQLAKTSFPLCMRHQFDKLKEDHHLKHGGRMQLGLFLKGVGLNLDDALAFWRAEFSKKVGLEKFEKEYAYTIRHNYGKEGKRTDYTPYSCYKIISSTPGAGDHHGCPYRHFSEENLRAALSRMGVNSRAMEDVMNKVRNRHYQLACTLTFEALHGVPCDAGINHPNQYFNDSQKILQPKKDSST >CAK8538623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:489365599:489372976:1 gene:gene-LATHSAT_LOCUS7803 transcript:rna-LATHSAT_LOCUS7803 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPSEAESSANRNPLSHIYFDYLRNRLSAFYFFSPSKFLSNFTSPFRSSRRRECLPLPLPSTFLDSSMNNTNASRVHAVLKDALERVLTNLHRIQKNLQFWQSIAEKSDNRKAYFMVFERGPRAFIGETVKLLRGRAVQDSSLQNLCQAASDHVNERVSVLSSLRCSLAIFLAQIYVEVDKVGEELLTDPENKLPSLLGTINDLFSTLEASIGHLHATCQRNSSVDGTYSSPLMFEELPEINQDGSQWTDCGIRDAINSIYHNLDKLESYICFLVVKHRKPKKITQHWVQYACGAVGLSICSLWLLRHSSLMGSSDLDNWIQDAKDSTVDFFTEHIEKPILSIRDELFETFRERHQGLMDLEEVRLTSDSLHRMLLAFSEQTKGQKFPVNASDQKMLEIVMDRYEKELMHPIQNLVSGELARAMLIQVQKLKLDIETAMLELNQILRANEINFAVLAALPAFFVSLLLIMLIRGWFKRDTKAEGRGRIARIQRRLLVAEAEERIMQYQNYVEQGSERDAKYTFGLILYSLDRLYSVVKRHAEDSGEWQRLRQDIIKLASPRLQTDTKLTVMSNLKILYDCLLPVLKSR >CAK8534223.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:707279076:707280804:-1 gene:gene-LATHSAT_LOCUS3783 transcript:rna-LATHSAT_LOCUS3783 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDTLCVQSYGAKQYRLLGIHMQRAILILMTASIPISFIWINTKSILIFLGQDPQISTEAGNYAKLMVPSIFAYGLIQCLNRFLQAQNIVFPMMFISAVITLLHALLCWILVFKSGLGGRGVALANSISYWLNVTMLSLYVKFSPSCKNTWTGFSTEALHNIPMFLRIATPSTIIVCLEMWSFESMVIISGLLPNPKLETSVLSICLNTVSATWMIPLGLSGAVSIRVSNELGAGHPWAARLAVCIVVVIGIIESILVGVVMILLRNIWGYAYSNEVEVVQYISKMMPILALSNFLDGLQCVLSGNTRGCGWQKIGAYVNMGSYYLIGIPLGIVLAFVLRIGGMGLWLGIICALTVQVFSLMIITIRTDWEKQAKKATDRVYDSITPESLVS >CAK8535569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:863759235:863759855:1 gene:gene-LATHSAT_LOCUS5016 transcript:rna-LATHSAT_LOCUS5016 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRDSDTGGQVKYVVELARAFGSMPGVYRQVSSPDVDWSYGEPTEMLAPRNTHEFGDDMGESSGAYIIRIPFGPRNKYIPKEELWPYIPEFVGGAIGHIIQMSKALGEQIGSGHAVWPVAIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLNNF >CAK8576177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:418450280:418451032:1 gene:gene-LATHSAT_LOCUS28384 transcript:rna-LATHSAT_LOCUS28384 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSFNIHGCDSSLKRRRVGKIITEGKINFYLIQETKIKVFNDKLGRCIWGNGDYDWSASGSVGQYWGLLLIWKKILLCPLFTFKGKGYLGVHGQWKGTNCFIVNIYSSCSIVDKRLLWSELLNLKSSMPLGEWLIGGDFNAVKTTSERMGRGRPNFVEMEELSYFIDAIGLIDLLVVGNMFTSFNSSGKCRSRLDRILLSDYLIRRWKVVAQKVDDKDVLDHRLVWLYSNNANWEPKTFKLSLIIQSF >CAK8532251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:231138345:231139315:-1 gene:gene-LATHSAT_LOCUS1980 transcript:rna-LATHSAT_LOCUS1980 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHIDSRTSWSFDVSYMNVAASSIYGYAIVVPVAYYFFLQYMGSNASLIRFWCMWGYSLSIFIISSFLLMIPFEILWWIIMLLTGVAAANFIALNLRS >CAK8544032.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659030484:659032661:1 gene:gene-LATHSAT_LOCUS12742 transcript:rna-LATHSAT_LOCUS12742 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLKQWRSQHESEEQQHSTKMPKLALQHAESHHQQQSSVTPAAALPFFVPQPKVATNLSDSTLSPSSNRSFPRMGSHFSLSQWQELELQALIFRYMLVGASVPPELLQPIKKSLLHSSPYFLHHPLQHYPPTALLQTGYWGRGAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVELPTPTSTGAGSASFNASSTISSQPLVTSSMKSSFNLLHLNQRSSGTNNEEKRLFENEDHVGGDGRSGGQMLRHFFDDWPRSLQESDNGGSSSTCLSISMPGNNNTNNTTSSSDVSLKLSTGYGEEPCPINVNVGLETEQQQQQQQIQLNWVGGWNSGNQVSSSMGGPLAEALRSSSTSTSSPTSVLHQLPRSSASETSYIST >CAK8569933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16571717:16573196:-1 gene:gene-LATHSAT_LOCUS22731 transcript:rna-LATHSAT_LOCUS22731-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDRISCLPDHVIDHILSYLPIREAVRTSVLSKIWRNNWHTLPNLVFDNEYKGTSNVVNESTIVKIVDHVLLVHSGPINLFKLSNEESLPEIDMDRWILHLTRRSIKELALVVWINDARYKIPWCLFTCQSLCHLKLKWCVLKPPKMFEGFRNLKSLELEALTVAQDDFENLISGCPLLEILRLIGIDGLTQINIHAPNLRVFEISDEFDGEFDGISLDNTFQLSTVVVDSWWDVMSESHESTLRGRPSNLLKIFDNRPHIQNLVIGSCFLKYFAAGDLPVKLPTPCADLSYLSLTIHLDDLKEISTALCLLRSSPNLQKLKIFVEIELHNVPLRPLTDSYSWEDVFSRPATPIQVRHVTIDSISGFQLELDFIKFLLLYSPVLEKIIVKPLEIVGAEFLIELARFKRASREAEIIYMVPKRVGRS >CAK8569932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16571717:16573262:-1 gene:gene-LATHSAT_LOCUS22731 transcript:rna-LATHSAT_LOCUS22731 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYISLQERKQRKPILKSVIDMVTDRISCLPDHVIDHILSYLPIREAVRTSVLSKIWRNNWHTLPNLVFDNEYKGTSNVVNESTIVKIVDHVLLVHSGPINLFKLSNEESLPEIDMDRWILHLTRRSIKELALVVWINDARYKIPWCLFTCQSLCHLKLKWCVLKPPKMFEGFRNLKSLELEALTVAQDDFENLISGCPLLEILRLIGIDGLTQINIHAPNLRVFEISDEFDGEFDGISLDNTFQLSTVVVDSWWDVMSESHESTLRGRPSNLLKIFDNRPHIQNLVIGSCFLKYFAAGDLPVKLPTPCADLSYLSLTIHLDDLKEISTALCLLRSSPNLQKLKIFVEIELHNVPLRPLTDSYSWEDVFSRPATPIQVRHVTIDSISGFQLELDFIKFLLLYSPVLEKIIVKPLEIVGAEFLIELARFKRASREAEIIYMVPKRVGRS >CAK8563943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640836085:640836915:-1 gene:gene-LATHSAT_LOCUS17297 transcript:rna-LATHSAT_LOCUS17297 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGVSKLTAKLKEIFQKWQTGSKECNDEHSGANNVGISPMINKRLNSLMFCDSDEDSCNSPKGPDDVPKGYLAVYVGRELRRFIIPTSYLTHSLFKMLLEKAADEFGFDQSGGLTIPCEIETFKYLLNCMENTQFQHDDNNSSGNR >CAK8564978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19879988:19880803:-1 gene:gene-LATHSAT_LOCUS18225 transcript:rna-LATHSAT_LOCUS18225 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWCNRKELELKRQPNGKLLKPKANYSLTSQEVKVVYRWLKELRMSDGYASNLARCADVNTGKLHGMKSHDCHVFMERLLPIAFGSLPNHLLNPLIEISQFFRDICASALRVDDIVKLDLNIPVILCKLEQVFSPGFFYSMEHLPVHLAYEAFLGDPVQYRWMYPFERFMGDSKRSVKNKAKVEGLICAHYLHRETSHFCGHYFNHLMLTPRIIRNPINVNKISQFTLSVFGLPSRPSGKNGVHRLTQKEMQSAHVHVLINCIEVKPYLE >CAK8559920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1053210:1053800:1 gene:gene-LATHSAT_LOCUS13653 transcript:rna-LATHSAT_LOCUS13653 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRKANKAKANGQLRLGNLVKPFLACQGVHLVKNGGAGLLIFLIIFYFIGFVYLTLIWQLASVVTVLEDLYGFQAMVKSKELIKGKMGLSIFIFLMLNLLFFLIRLVFKVVVVNGTWCFGYVDRTGYGILCFLLLSCLFLFGLVSQTVLCLVCKSYHHENIDKSALADHLEVYLGEYVPLTAKDVQLENYRV >CAK8571584.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:444181858:444182136:-1 gene:gene-LATHSAT_LOCUS24229 transcript:rna-LATHSAT_LOCUS24229 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIMTLSNSIIVWNCRGAANKACYRYTEFYIDMYKPTIFVVMETRCEPNRLHNTLQKLGFDEVISSSNMGYAGGTLVAWHKDRMNITLSAK >CAK8539203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506575478:506581992:-1 gene:gene-LATHSAT_LOCUS8322 transcript:rna-LATHSAT_LOCUS8322-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESGTCNVCSAPCSSCMHLNQAEEFSDENSRPGEAGQSSMNEGNVHSLSSRASENLQLEVSETSNMLSINSSHDCLSENAESRQILMNKFQDTKHLEGHDDNTSCISRASDANLVNDSHQRNADRINIPCSSASASHFGAERSGIAPSVGMSGLETPSSKGVDADHSPPTIQRQHGQSQDDKSLSDNPSLIHMERDSNSQIPEKISECSIENCNSSLTKESAPIVVSGEKCATNKDKLIDDTSNVSKVCPKSQADPDNDVCDAKVEDSKCSVHYGHNEKGEELIKSPGKQESQSENESDESDVVEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKLPEGDWFCEECQDALEAENKRLDAEEKKLIKTTSMSQVSGKRLSDNIDVAPPAAKRQTLESSKGSPKASSPKKLVPLSRESSFKSSDKLKGKSGLLMPLRNLSGGDDTHPARSSSIGPRGQISKSTLLKSNSSNNLNSKPRVKIVDEIFPPRPKGVSEQTSKNVEPSPRITSRSTLFKSSSLGRSSAIESKVKMLSPKSATTQDLKGSRHLKESGALDRKYLSRNDRHVASSAVSAPKGDQKLTPRGEAIIKPSAVNNRELKINQDGKLNAPSKSMNNISRKSLEPQGSSERTSSSNDEAVQDALPRSRETANQAEKSRESLINRVRPVVPTALKSPFCQKCEEFGHSIEYCTAGTLQESGAELSVTASSISKEEVHKDNKLKAAIQAAALLKRQIYRKKEVSSQIDEISTLGTELNCEVTSQDQVLVSNTLKNSISTEETREQQEVLENSTSDSSKCTAASDLKKLNSSSTDLCSQLGKSGLVDLNDLKPSLNDLSKKDVAISNVFSKMLAFPEYEYIWQGVFEVHRNEKSPELYTGVQAHLSSSASPKVLEALTKFSPEVSLNEVSRLSTWPSQFHHGGAREDNIALYFFARDVESYERHYRGLLDHMIQNDLALKGVFDGVELLIFPSNQLPESSQRWNMLFFLWGVFRGRRTNHSGSAKKICIPSLNALPVEENSSIADVTLSEHFLSKGINEKSIISDKICNSTPLFTSIDQSPFTVSRNTDINCQTHLCSQQVSLNKPDGRIDSKASSRVPKSCNHLCQPTKSTGSSLEASVLKDERCRESIPPEELGTGVSSKMVEAKTDSAISDKQENTLCWEIPSVSQQERDAAYNISKNELMERMKYDEDQQRTKRKQKEDCPYIDLEETIENYETCGASNIVKDKVSERMNIDEDQQRPKRKQRDGLYIDLEAAVENQGIDAAINITKDKLSERMEDDEDQQRLKRKAKDRHYIDLEAPLLEDMSVEGDEYQPPNDKEVHHVDLSVVGCPKMLWNEVNEKLEDGEGSRKKLRTSFGGTSGLYSSGGRDSFNDSLTSLGNDLGSCSSVEDKGCEVASNEKIIREDFGTMVRTFFPVDTQNTNGSQLRQNTMSLKGIHVREGVIPNLNLALGEETELPLPHPPPAAPKGMLPPFLAGAVDKKNNRPDSLTEDDVGAASLSLSLSFPSSNKEHTQASSKAELLPDGHSVNPPFLLFGRYTDK >CAK8539204.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506575478:506581992:-1 gene:gene-LATHSAT_LOCUS8322 transcript:rna-LATHSAT_LOCUS8322 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESGTCNVCSAPCSSCMHLNQAEEFSDENSRPGEAGQSSMNEGNVHSLSSRASENLQLEVSETSNMLSINSSHDCLSENAESRQILMNKFQDTKHLEGHDDNTSCISRASDANLVNDSHQRNADRINIPCSSASASHFGAERSGIAPSVGMSGLETPSSKGVDADHSPPTIQRQHGQSQDDKSLSDNPSLIHMERDSNSQIPEKISECSIENCNSSLTKESAPIVVSGEKCATNKDKLIDDTSNVSKVCPKSQADPDNDVCDAKVEDSKCSVHYGHNEKGEELIKSPGKQESQSENESDESDVVEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKLPEGDWFCEECQDALEAENKRLDAEEKKLIKTTSMSQVSGKRLSDNIDVAPPAAKRQTLESSKGSPKASSPKKLVPLSRESSFKSSDKLKGKSGLLMPLRNLSGGDDTHPARSSSIGPRGQISKSTLLKSNSSNNLNSKPRVKIVDEIFPPRPKGVSEQTSKNVEPSPRITSRSTLFKSSSLGRSSAIESKVKMLSPKSATTQDLKGSRHLKESGALDRKYLSRNDRHVASSAVSAPKGDQKLTPRGEAIIKPSAVNNRELKINQDGKLNAPSKSMNNISRKSLEPQERTSSSNDEAVQDALPRSRETANQAEKSRESLINRVRPVVPTALKSPFCQKCEEFGHSIEYCTAGTLQESGAELSVTASSISKEEVHKDNKLKAAIQAAALLKRQIYRKKEVSSQIDEISTLGTELNCEVTSQDQVLVSNTLKNSISTEETREQQEVLENSTSDSSKCTAASDLKKLNSSSTDLCSQLGKSGLVDLNDLKPSLNDLSKKDVAISNVFSKMLAFPEYEYIWQGVFEVHRNEKSPELYTGVQAHLSSSASPKVLEALTKFSPEVSLNEVSRLSTWPSQFHHGGAREDNIALYFFARDVESYERHYRGLLDHMIQNDLALKGVFDGVELLIFPSNQLPESSQRWNMLFFLWGVFRGRRTNHSGSAKKICIPSLNALPVEENSSIADVTLSEHFLSKGINEKSIISDKICNSTPLFTSIDQSPFTVSRNTDINCQTHLCSQQVSLNKPDGRIDSKASSRVPKSCNHLCQPTKSTGSSLEASVLKDERCRESIPPEELGTGVSSKMVEAKTDSAISDKQENTLCWEIPSVSQQERDAAYNISKNELMERMKYDEDQQRTKRKQKEDCPYIDLEETIENYETCGASNIVKDKVSERMNIDEDQQRPKRKQRDGLYIDLEAAVENQGIDAAINITKDKLSERMEDDEDQQRLKRKAKDRHYIDLEAPLLEDMSVEGDEYQPPNDKEVHHVDLSVVGCPKMLWNEVNEKLEDGEGSRKKLRTSFGGTSGLYSSGGRDSFNDSLTSLGNDLGSCSSVEDKGCEVASNEKIIREDFGTMVRTFFPVDTQNTNGSQLRQNTMSLKGIHVREGVIPNLNLALGEETELPLPHPPPAAPKGMLPPFLAGAVDKKNNRPDSLTEDDVGAASLSLSLSFPSSNKEHTQASSKAELLPDGHSVNPPFLLFGRYTDK >CAK8540669.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15629080:15631412:-1 gene:gene-LATHSAT_LOCUS9653 transcript:rna-LATHSAT_LOCUS9653 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFEGYERQYCELSANLSKICTAAGALNGEQKKQKLSEIKAGIDEAEALIRKMDLEARSLQPNIKGVLLAKLREYKSDLNNIKSELKKHVSGNMNPSARDILLESGMADALTVSADQRDRLMFSTERLNKSGDRIKDSRRTMLETEELGVSILSDLHSQRQSLLHAHDTLHGVDDNIGKSKKILSNMSKRMNKNKCIISTIVVVLVLIIGVILYFKLSK >CAK8565068.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:32803695:32804297:1 gene:gene-LATHSAT_LOCUS18308 transcript:rna-LATHSAT_LOCUS18308 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLVHAATRTIEDGPNESGYEDDWDYYWAQEMDIKVQGDYALRRTVEDYQNENVNGDTQSYNWEEDMGIVNDVESDEDEWEYGWEEGIEIEEDIRFVPAAKSCIEELKAVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNIDNSCPLCRFQLPTNKN >CAK8577418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:562629657:562648739:-1 gene:gene-LATHSAT_LOCUS29531 transcript:rna-LATHSAT_LOCUS29531 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVQRVFHEGGRDYFQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKDGLVTVGIGGPSGSGKTSLTEKVASVIGCTVISMENYCDGVDEGNVLDSIDFVTLIKNLEDLTKGEDTLIPEFDYQQKRCIGYKKIMSTSSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKVKCRSKSSDGYPGSSSQGKQAQTDNFIEMYLRPPSASEEAGINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYIVVVSYKRASTTVNYGKVSMSFETIDVLGETFLVTRGTDRKTVGTEALKMGINGPWITKSYLEMILERKGVPRLSTPPLVSNTTVTGSQETTIVAPKPIRVTPNLVTGLEDLSQPWTRSPTKSKIEPFVATWRFMSSDSSHIDNTVLDPSSFRDNIRLAPMPDSYDLDRGLLLAVQAIQALLENKGVPVIVGIGGPSGCGKTSLAHKMANIIGCEIISLESYYKQVKDFKYDDFNSLDLSLLSKNIDDIRNGRRTKVPIFDLESGTRSGFKELEVSEDCGVIIFEGVYALHPDIRMSLDLWIAVVGGVHSHLISRVQRDKSRMGCFISQNEIMMTVFPMFQQLIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKKVAYQDILSILDPAKFCSSVQKFIDIYMRLPGIPSNGQLTDSDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISITTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDVPGPYIQIKGVSKDAVAAAGSMLKLDGSYTTKSYLEIVLERLPTTERTSGGINFQQSTRLLEIMDFIQSQGCSTSSESSSSRVVSPIEGIIEEMQSKIRRLERWLAINTVLWTFLMSAIVGYSLYQRRRQ >CAK8565673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:303573587:303574416:-1 gene:gene-LATHSAT_LOCUS18864 transcript:rna-LATHSAT_LOCUS18864 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLEDLTIQFPYSNVYPEQLNFMKEIKLALDTGDHTVVSTYKDKCKGFTVAMISLLVSYVASKFGESKQILCCTKDAGRRDKIMKTLTLVYDYGCNDLGDDSPSILAVEFSSRKEFCINSDVIEESQLYEDSEKDENKLVAGCREHTASWIREFDIEDVCSFYNKAEGAVLSPGIYDIKDLVDAGYKNGWCPYFVTKNALQAANIVVFGYENVLDPKIAGVVCKELKRNSNSIVIFG >CAK8544922.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712431992:712434243:-1 gene:gene-LATHSAT_LOCUS13564 transcript:rna-LATHSAT_LOCUS13564 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNTDSDTNINIQLNQHDANVESFTSQIKQHEENIEFLNSQSNHLSESVLDLRVGLGRYHSGNVVTSDNGNGAFRTEEETVEQILKKENTAASIFCWIKANAQTSNLAFVKDALGVVATLAKVENDDLSRILSEYVGLETMLAIVCRTNEGVKALEKYDPEGTINCNGGLHGIGCSTGKIINGRFVVICLEDLRPFVGGFVDKDPQKKLAIPKPKLPNGECPPGFLDYAVNMIHLDSNRLSYLTATGHGLRETLFYSLFSRLQIYKTRNEMMLALPYIKDGALSLDGGMIRKSGIFACGSRQDVEVKFPLISGESDVPPDYTEAEGMVRKLKWENSKLAADIQREQQLLDFRKSNSTSQD >CAK8579027.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:667834963:667838491:1 gene:gene-LATHSAT_LOCUS31002 transcript:rna-LATHSAT_LOCUS31002 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSKGVVYKPVRDVNLGPDSTEFYLQANVKAPRMAGIVVKIFTWLLESIFGGFLLYILKGNNLIHKHITNAEIEESPLYVPLHEFEDLKEQEVKCLDSALTSPEKVHLAKDCLPTTLEKPINGTKPSFNRWTVMDYFRAYTSENLTPNMVAERFIASVSESSKPPLQMGFFINYDVEDILKQANESTLRYQKGESISVLDGVLVAIKDEIDCLPYPTTGGTKWLHKRRPCTDDACCVKRLRLCGAILVGKTNMHELGAGTSGINPHYGASRNPYDVNRIAGGSSSGSASVVSAGLCPIALGVDGGGSVRMPAALCGVVGLKPTFGRIPHDGVLPLNWTVGMVGILAGTVEDSLIAYAAISGQIPSHEPSSLPAKINLPLLPFTKSLSDIKLAKYGKWFDDCSDDVRICCSHALTKLHGLYGWKIEDVTLPEIEQMRLAHYVTIASECHTSLDSFRDKNLAEFGWDARVAVKIYGAFSSMEYIKAQKMRNRQLQFYNKIFSKADVIVSPTTGVTAYEIQNDALKTGELDYVNGAALIRYSIAGNFLGLPAVTVPVGYDKLGLPIGLQLIGKPWAEATLIHLAFAMQSICLSEYRKPAVFYDLLRKN >CAK8542694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538174128:538181101:-1 gene:gene-LATHSAT_LOCUS11511 transcript:rna-LATHSAT_LOCUS11511 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLNKLKRIALHKSVAKEKKEFQPSVKFDELAHAAKYMQEMRDCYDSLLAAAAATENSAYEFSESLQEMGTCLLEKTALNNDEESGKVLGMLGNVQLELQKLVDSYRSHVALTITRPSESLLNELRTVEDMKRQCDEKRDVYEYMIAQQKEKGKSKSGKGESITVQHLQAAHDEYEEEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPHVMMVAELQHIDYQFSGLEDDDGKGSFEDDGNEYAYEATEGGELSFNYRSNKDVPTSPNSAEVEESGRSNVRASTTETVETSLDKYNHGDFRLSNRDPPRVSSYSAPIFAEKKFDPAEKVRQLLSSSAAVAKPNAYVLPTPVEIKETKTNSAPRLSASGSSHDLWHSSPLDEKKNVKDFVDGKLSEPAIARAHSVLKESNSDTTSAQLPRPSIDKIKRHAFSGPLTNPLSVKPVSGGFPRLPTPQPSSPKASPGASPPPVSSPRISELHELPRPPGNQTSKATRSSRVGHSAPLGLRNPEHPAANKYTPAVSTSASPLPTPPIIVSRSFSIPSSSQRAVVLNVTNKYLHTHQMPEKVEEAASHPLTPLSQRASTLSDLASHSSEIKGGS >CAK8542693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538174128:538181101:-1 gene:gene-LATHSAT_LOCUS11511 transcript:rna-LATHSAT_LOCUS11511-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLNKLKRIALHKSVAKEKKEFQPSVKFDELAHAAKYMQEMRDCYDSLLAAAAATENSAYEFSESLQEMGTCLLEKTALNNDEESGKVLGMLGNVQLELQKLVDSYRSHVALTITRPSESLLNELRTVEDMKRQCDEKRDVYEYMIAQQKEKGKSKSGKGESITVQHLQAAHDEYEEEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPHVMMVAELQHIDYQFSGLEDDDGKGSFEDDGNEYAYEATEGGELSFNYRSNKDVPTSPNSAEVEESGRSNVRASTTETVETSLDKYNHGDFRLSNRDPPRVSSYSAPIFAEKKFDPAEKVRQLLSSSAAVAKPNAYVLPTPVEIKETKTNSAPRLSASGSSHDLWHSSPLDEKKNVKDFVDGKLSEPAIARAHSVLKESNSDTTSAQLPRPSIDKIKRHAFSGPLTNPLSVKPVSGGFPRLPTPQPSSPKASPGASPPPVSSPRISELHELPRPPGNQTSKATRSSRVGHSAPLGLRNPEHPAANKYTPAVSTSASPLPTPPIIVSRSFSIPSSSQRAVVLNVTNKYLHTHQMPEKVEEAASHPLTPLSQRASTLSDLASHSSEIKVDAGGS >CAK8542695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:538174128:538181101:-1 gene:gene-LATHSAT_LOCUS11511 transcript:rna-LATHSAT_LOCUS11511-3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLNKLKRIALHKSVAKEKKEFQPSVKFDELAHAAKYMQEMRDCYDSLLAAAAATENSAYEFSESLQEMGTCLLEKTALNNDEESGKVLGMLGNVQLELQKLVDSYRSHVALTITRPSESLLNELRTVEDMKRQCDEKRDVYEYMIAQQKEKGKSKSGKGESITVQHLQAAHDEYEEEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPHVMMVAELQHIDYQFSGLEDDDGKGSFEDDGNEYAYEATEGGELSFNYRSNKDVPTSPNSAEQVEESGRSNVRASTTETVETSLDKYNHGDFRLSNRDPPRVSSYSAPIFAEKKFDPAEKVRQLLSSSAAVAKPNAYVLPTPVEIKETKTNSAPRLSASGSSHDLWHSSPLDEKKNVKDFVDGKLSEPAIARAHSVLKESNSDTTSAQLPRPSIDKIKRHAFSGPLTNPLSVKPVSGGFPRLPTPQPSSPKASPGASPPPVSSPRISELHELPRPPGNQTSKATRSSRVGHSAPLGLRNPEHPAANKYTPAVSTSASPLPTPPIIVSRSFSIPSSSQRAVVLNVTNKYLHTHQMPEKVEEAASHPLTPLSQRASTLSDLASHSSEIKGGS >CAK8563825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:632994806:632995396:-1 gene:gene-LATHSAT_LOCUS17195 transcript:rna-LATHSAT_LOCUS17195 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLLRYCLYSLPGKWVYYFERSEHGNRYGTKTDYLFQFLCFLKLHTYTRVQVSIDICGVDYPSRKRRFEVVYNLLSTRYNSRILIQTSADEVTRISPVVSLFPSASRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQHKRRIIQKES >CAK8537309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:219144482:219145402:-1 gene:gene-LATHSAT_LOCUS6608 transcript:rna-LATHSAT_LOCUS6608 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFWLLTLLSLSCLLGFNAQAPATAPSKLPPTTPTATPPVITQPPTVVASPPISTQPPANVAPKSAPVTSPAPKVSPPSSPVVPPLQPPKTSPVSTPTLPPPLPPTTLPPQNISPLPVQTPPAPAPVKATPTPAPAPIKQAPAPTPITSPPLPAPAPAVNAPAPAPESPKHKKGRYKHKHRRHHAPAPAPTIIHKSPPAPPTDIKADDDTTPAPAPSLNLNGAPSNHPQGRNIWATAGFAITVFLTITVYSS >CAK8576024.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:385978403:385979515:-1 gene:gene-LATHSAT_LOCUS28242 transcript:rna-LATHSAT_LOCUS28242 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNIRGLNKAGKVREISSRLQKLAPAISVLIETRVKEKNAICIRRKLRLEGNYIDNYSHHDNGRIWIHWDEKKRNMALVESTDQLIHCKINDVNGNFLFWLTAIYAHNQLQCRKELCQDIERINAQQNGPWILVGDYNNVMKTEDRIGGNDVTEQEYIDLTEMMSNTCLYEKDSGGDYFTWSNKQGDNAIYSRIDHVLCNVEWMQQNGNTTLTNMNPSISGHAMIVLHDSIKAQRPKKQFRFINCCAEMDNFQEIVKNNWDMPLVGNPMFAVWKKLQRLQPHIRKLSKPLAEIHKEIARARNDLNKAHDTLMTDRLDSGKIHMVKKCSDNIIRLQEMDDSMVRQRAKIDWLRLSDGNNKYFHASIMM >CAK8538033.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459458865:459462439:-1 gene:gene-LATHSAT_LOCUS7274 transcript:rna-LATHSAT_LOCUS7274 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTSFILSLGVCFVISTCHYGVNANEITSKLVIDAGSGRLIPDTFFGAFFEEINHAGAGGLWAELVNNRGFEAEGSINGNSNIHPWTIIGENQSSVIVSTESSSCFERNKIALRMDVLCHKKSCPRGGVGISNPGFWGMNIEEGKKYKVVFYVRSLGRINLQVSFVGSDNGVKLASTKIRASGVNVTKWSKMEIILEAKSTNHNSNLQITTNKKGVLWLDQVSVMPLDTYKGHGFRNDLFQMVADLKPKTFRFPGGCYVEGDYLKYAFRWKDTVGPWEERPGHYNDNWKYWTDDGFGYFEGLQLSEDLGAYPVWVFNNGISHHDEINTSAISPFVQEALDGIEFARGSPESKWGSLRASMGHPKPFDLRYVGVGNEDCGKYNYQGNYLEFYKAIKPRYPDIQIISNCDGSQFPINHPADLYDFHIYTNSKDMFSQYTKFDKAPRSGPKAYVSEYAVWREDAGNGSLYAAVAEATFLIGLEKNSDVVSMVAYAPLFVNTNDKFWKPDAIVFDSYQNYGTPSYWLQQFFIDSNGATFLNSTLHNSSSSIVSSAIQYKNSQDGKNYLKVKVVNFGSSIENLEILINNLKSNVQQSGSSKVILTSPDKMDENSFTEPTKIIPKRTSLENASNDMNVELAPYSVTSFDLLI >CAK8532679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:475267639:475268665:-1 gene:gene-LATHSAT_LOCUS2361 transcript:rna-LATHSAT_LOCUS2361 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGKSSSVAGLTASVAKEPETGEFCIEVGALMLADNGICCIDEFDKMDIRDQVAIHEGMEQQTISITKAGIQATLNARTSILADANPAGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDDPEEFART >CAK8564896.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15077874:15078208:1 gene:gene-LATHSAT_LOCUS18151 transcript:rna-LATHSAT_LOCUS18151 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVYTMVNLLQVGCYFVFMLYDTVAFCENLLWFLSCNKIYKIFSAIISFKKKYNRRKFVAHSLGMVAVS >CAK8568529.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:614312963:614322130:-1 gene:gene-LATHSAT_LOCUS21477 transcript:rna-LATHSAT_LOCUS21477 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNAFAALYRHTGSISISAKLRHESVAEIILGKSVPLEYLRRLGSCSPSWLLHQNSYSTGFTSVHGETPSPDYARRRRESLEDKFGLALGTYSSKSFNAIYRFGPFLALYRAAIISFHVFRLTMWQLFLQDIQKRAVKFRETLIRLGPFYIKLGQALSTRPDILPTVYCQELAKLQDQIPPFPTNVAIRSIETQFGAPIDKIFSDISPAPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLSLTLDALLFHMIGDQFKRFAKARKDLLVAVNEMVRHMFDEIDYVLEGKNAERFASLYCASSSGGSIKNNRTKSIKAPKIYWDYTCTTILTMEWLDGIKLTDETGLSKASLNRRELIDQGLYCSLRQMLEEGYFHADPHPGNLVATNDGSLAYFDFGMMGDIPRHYRIGLIQMIVHFVNRDSLSLANDFLSLGFIPEGVDIHLVSDALQASFSDRSHRTSESQDFQGIMNQLYAIMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLIADPSPDMRRILRELVIRNNGSIRWNRLERLVAAISEQASELTGDPNPEKFSSPSVWKLFDMHAVVDSTEDLLLFILSEKGHRVRLFLLRDIVEAADVFLQDEVIDCALNGKPEDQRLFLFEERTILARIGKGFQYIRDVVKLAPGEWTVMLIRMAVKPEVHKFALDIFSALALRSSHRLQVAYWLYISIILHKLSD >CAK8565569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:280875742:280881455:1 gene:gene-LATHSAT_LOCUS18769 transcript:rna-LATHSAT_LOCUS18769 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLATIAVLTLTRFPSSSYQYSKCLFTHTHRFNHFNTPILFLSSKTSHQRRRLHYFSTSAKAMSSDTTTAPYGSWTSPITADVVSGASKRLGGTAVDGNGRLIWLESRPTESGRAVLVLEPQNPGGEAVDITPKEFGVRTLAQEYGGGAFTVSGDVVFFANYKDQRLYKQSISSLDVSPIPLTPDYGGPTVSYADGVLDTHFNRFIAVREDRRESNQNPPTTIVSISLGNKDVQEPEVLVGGSDFYAFPRLDPKSERIAWIQWNHPNMPWDKSELWVGYISEKGEIYKSVCVAGYDPSVVESPIEPKWSSDGELFFITDRESGFWNLHKWIESENKVVPIYSLDAEFSRPLWIFGMNSYEFVQSPKQNKLIACSYRQKGKSYLGLIDDVPGSKLTVLDIPFTDIDNITSGTDCLFVEGASAVHPSSVAKVTFDENKSKVADFNIIWSSSPDSLKYSSYISEPELIEFPTEVPGQNAYAYFYPPSNPTYRAIEGEKPPLLLKSHGGPTAEAHGVLNLSIQYWTSRGWAVADVNYGGSTGYGREYRDRLLGRWGIVDVNDCCSCATYLADNGKVDRERLCITGGSAGGYTTLAALAFKDTFKAGASLYGVADLKSLVEETHKFESHYINNLVGADEKVWFDRSPINHVDKFSCPIILFQGLEDKVVPPSQARLIYEALKKKGVPVALVEYEGEQHGFRKAENIKYTLEQQMVFFARLIGGFNVADNIVPVKIDNFD >CAK8540625.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13081903:13083799:-1 gene:gene-LATHSAT_LOCUS9611 transcript:rna-LATHSAT_LOCUS9611 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTKTGLSRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQDLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQTGNNSATNFGDEEFSPAQRIPRGQWERRLQTDIQMAKKALTEALSPQKIASTNFSASNPSNSNSVSSTKESAQSFCYASSADNIARLLKGWMKNPIKSSRSSTSVNTQNSFNNFVSIGNNDTASSSGTKGEVLSETFESLFGFESLDSSNSEFSHRSLSPDATVLQDESKPEVGSEIMPFSLLEKWLLDEASCPEKVVLGCGDDDDARVF >CAK8568277.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589463854:589466968:-1 gene:gene-LATHSAT_LOCUS21251 transcript:rna-LATHSAT_LOCUS21251 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQILFIFSSITLSSQTKSHYNFVVKEARYTRLCSTKSILTVNGKFPGPTIKVHKGDTIFVDVYNKGKYNITLHWHGVKQPRNPWSDGPEYITQCPIQPGRRFRQKLIFSIEEGTLWWHAHSDWSRATVHGAIHIYPSKNSTYPFPEPYGQIPIIFGEWWKSDVNDVYREFVESGGPPNVSDAITINGQPGDLYPCSKSETFRLRVEQGRTYLLRVVNAAMNLILFFAISKHKLTVVGADAMYTRPMTKDYICISPGQSMDVLLHANQEPNHYYLAARAYSTGEFDNTITTARVQYRESYTPLLTPPSLPYLPNFTDREAAFDFFRSVRGLPERYPHPVPKHISRHILTTVSIKTFPCPNGQSCKGPNGTNLAASMSNISFQTPTSNILEAYYYHIKGVFQKGFPNFPPFIFNFTGDNLPLTLNTPRLATKVKVLEHNTTVELVFQNTNLVAGLDHPMHLHGFNFHVVGFGFGNFNKSKDPMNYNLYDPPLRNTVTVPVNGWAAIRFWANNPGVWFLHCHLERHLTWGMETVFIVKNGKSLDAKLLPPPQDMPPC >CAK8568278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:589463854:589466835:-1 gene:gene-LATHSAT_LOCUS21251 transcript:rna-LATHSAT_LOCUS21251-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVKEARYTRLCSTKSILTVNGKFPGPTIKVHKGDTIFVDVYNKGKYNITLHWHGVKQPRNPWSDGPEYITQCPIQPGRRFRQKLIFSIEEGTLWWHAHSDWSRATVHGAIHIYPSKNSTYPFPEPYGQIPIIFGEWWKSDVNDVYREFVESGGPPNVSDAITINGQPGDLYPCSKSETFRLRVEQGRTYLLRVVNAAMNLILFFAISKHKLTVVGADAMYTRPMTKDYICISPGQSMDVLLHANQEPNHYYLAARAYSTGEFDNTITTARVQYRESYTPLLTPPSLPYLPNFTDREAAFDFFRSVRGLPERYPHPVPKHISRHILTTVSIKTFPCPNGQSCKGPNGTNLAASMSNISFQTPTSNILEAYYYHIKGVFQKGFPNFPPFIFNFTGDNLPLTLNTPRLATKVKVLEHNTTVELVFQNTNLVAGLDHPMHLHGFNFHVVGFGFGNFNKSKDPMNYNLYDPPLRNTVTVPVNGWAAIRFWANNPGVWFLHCHLERHLTWGMETVFIVKNGKSLDAKLLPPPQDMPPC >CAK8565503.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:248446269:248447931:-1 gene:gene-LATHSAT_LOCUS18714 transcript:rna-LATHSAT_LOCUS18714 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLETYEQKQIRMISSSCYGFNFLLLLSIFLGFSTLALGGNFKTDFDNLFGDERVDIRGDGNSMTLTLDEYCGSGIVSKNEYLYGRFDMNIKLVPGNSAGTVTAYYLSSIGAQHDEIDIEFLGNLTGEPYLLSTNVYADGVGGREVQFYLWFDPTEDYHTYSIDWNPDRIIILVDGNPIRVMLNRQSIGVPFPTKRPMRIYSTLWNGDSWATRWGEVKIDLSNAPFIAGFKNFNADACIAKKDKSCRGFNAGKNRGLDIESKTKMKNILSKWVVYDYCKDLRRYAHGLPYECRKENILQLD >CAK8565504.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:248446269:248447892:-1 gene:gene-LATHSAT_LOCUS18714 transcript:rna-LATHSAT_LOCUS18714-2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSCYGFNFLLLLSIFLGFSTLALGGNFKTDFDNLFGDERVDIRGDGNSMTLTLDEYCGSGIVSKNEYLYGRFDMNIKLVPGNSAGTVTAYYLSSIGAQHDEIDIEFLGNLTGEPYLLSTNVYADGVGGREVQFYLWFDPTEDYHTYSIDWNPDRIIILVDGNPIRVMLNRQSIGVPFPTKRPMRIYSTLWNGDSWATRWGEVKIDLSNAPFIAGFKNFNADACIAKKDKSCRGFNAGKNRGLDIESKTKMKNILSKWVVYDYCKDLRRYAHGLPYECRKENILQLD >CAK8530553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:29873457:29873762:-1 gene:gene-LATHSAT_LOCUS411 transcript:rna-LATHSAT_LOCUS411 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKIGLVFLLLVLTLTIVTEASENFSGLVNNANNDLIEDDTEFLMSSKGRHKYISYGALRANGIPCGWRGQSYYDCNKRQQANPYRRGCNRITHCARNTG >CAK8563850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:635023036:635026206:-1 gene:gene-LATHSAT_LOCUS17217 transcript:rna-LATHSAT_LOCUS17217 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEGAPEFVVDQSMYYPAPTNYGFYCTGFESPGEWEDYPRIFGVDGPDIQYTGAQDESFPYVYFTPSYGFAQSPYNPYNPYIPGAMMGVDGSFGGAQQYYSIPNYQSPISAPAYFPQVQSEHFHDSSVDPLFDNSASVNRPDGRGTNHKFNSASAAFTMNSSKPLSSQTSSLVWEPEGPRADALAKKDLTNGSGPNSGFPNFASSPYHQARSADVSFQPKDTFSNGNVLSHRNQLNVAAPMSNGFSDYGLNASGQSAIAKFRPKVHAAKVPGDLNRGSDVLLGELNRGPRTSRPKHHMAVKAYTAKAGDANTQENIIYTDQYNREDFPIDNEIAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQSAFEDSRRKAIGKSGGCPIFLFFSVNASGQFCGVAEMVGPVDFDKDVDFWQQDKWSGSFPVKWHIIKDVPNTNFRHIILENNEFKPVTNSRDTQEIMYRKGLEILKIFKNYTLKTSLLDDFMYYENRQKVMQEEKAKFLSKNFGSPSSISVLEPPRKLTYAFEIPPVSDEKNSKMDDLDSLKHTSISSVGATVNSSDATSNASVDEKAETGEVDSQDISSVLKIGSVTITPKQVPIDVVTVGSMQVKVNGFSESPGVLKVGSTPLDSRSLQAGKGTSDINNGSQP >CAK8566700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453786008:453789835:-1 gene:gene-LATHSAT_LOCUS19812 transcript:rna-LATHSAT_LOCUS19812 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSKRRGSRSSRQRSSSSSRSNPMFPQYQSPYLPQTQDHGVMGYNYETPPPPQSYGGSVAHQQSKSSGKKFTTIGDNYSSLEQVTEALASAGLESSNLIVGIDFTKSNEWTGARSFQRKCLHHIGHEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFNAEDRFCHGFEEVLSRYRELVPQLRLAGPTSFAPVIEMAITIVEQSEGQYHVLLIIADGQVTRSVDTERGQLSTQERKTVEAIVKASEYPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEADFALSALMEIPSQYKATLELNILSNRRRNDIHRIPLPPPQYGTSSFNTPKSSNQNNFRPSAPSHNKPSVVTNPHASSASDNQFCPICLSNPKDMAFGCGHQTCCECGQDLELCPICRSNIDTRIKLY >CAK8532362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:249770480:249771992:-1 gene:gene-LATHSAT_LOCUS2077 transcript:rna-LATHSAT_LOCUS2077 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTTVSYRFNINGNYTDKVEARRGIRQGDPLSPLLFVIIMEYLSRGDKGSMEILQRTITSFLDSTGMKINPAKSKVYFGSVSDPVKYSILSSTAYDEGTLPFRYLGVPVSSKKLSVIHYMPLVDKLLSKITHWSSRLLSYAGGSTISRKSLIAWDKVCKPAVKGGLNVLDLVVWNNMFMMKLLWNICRKTDDLWVRWIHAYYLKNEDVMYRMVKNSDSNIFKTILLQRENIGNMQMVWNEMVQAGRFIGRRVYANLLPATPNVVWAKLILHNRARPRAIYTLWMICHGKLATKARLNRFGMVNNDQCVFCSAVETIDHLFFECATLRKAWVETLLWIGIPHNPGNWNEERNWILNCYGGKGWKVDLVRLALTETLHELWRFKNDTCFNQRNDNRNCTDRIINNIVYRGWSSPKLIPHIAFLMVQ >CAK8572080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:507840199:507840842:1 gene:gene-LATHSAT_LOCUS24684 transcript:rna-LATHSAT_LOCUS24684 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTMLSGNYKRFSLNDALHFDMLQFMDDTVMLCNWQQQLLWRVRLGIAPSKFLRVVMGTSSKRLTYWIPLLDKLRKKLSVWNGKHISLGGRIVLLNLVLANLPIYPFSFFKAPKSIITQIIRIQRECLWGEGEDRRKLCWISWANLCRSKSIGGLDIKQCGLFNRVLLRK >CAK8530743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46457597:46457912:-1 gene:gene-LATHSAT_LOCUS586 transcript:rna-LATHSAT_LOCUS586 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGLKLTQRVPLQLPREMES >CAK8571316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:374782961:374783347:1 gene:gene-LATHSAT_LOCUS23988 transcript:rna-LATHSAT_LOCUS23988 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPNFDLFDVYFRRANLDRDGRISGAKAVSFFQTSGLPKNVLAQIWAFANQNQSGFLGRAEFYNALKLLTVAQSKQELTPEMHFEAALYGPAVSKTLAPQINFSATTTPTPTQKSLYQYRKMNSM >CAK8562972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:562860958:562861257:-1 gene:gene-LATHSAT_LOCUS16429 transcript:rna-LATHSAT_LOCUS16429 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNIITKLLVALAVLYYVFDYFTVPIFLYVAITTAVATATIVSLRATMVIWITVLVLLSFAGNRRKSLVQRGRRITFDVLSHLVRVSFISQKERLKV >CAK8576447.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:479536322:479538510:1 gene:gene-LATHSAT_LOCUS28634 transcript:rna-LATHSAT_LOCUS28634 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLHLPSPSCASLLTNRESYKFCCGLASIQSRKSKAFRVRAVREKTEEIKSPSKTSSPEDVTKKYGLEAGLWKIFSSKEEGDEQQKSKGDQAKELLAKYGGAYLATSITLSLISFALCYALVNAGVDVQTLLQKVGINTDATGEKVGTFALAYAAHKAASPIRFPPTVALTTIVAGWIGKKAEKDK >CAK8542531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:523609700:523610833:-1 gene:gene-LATHSAT_LOCUS11365 transcript:rna-LATHSAT_LOCUS11365 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSVLPSNIHLLLLLVLVSVSWCCNFVKCSNSTTIYDELRAKGLPVGLLPKGITRYEINSSSGEFQVWMEEPCNAKFENEVHYDPNIKGTLDYGKIGELSGMTAQELFLWFPVKGIRVDLPSSGLIHFDVGVADKQFSLSLFEDPPDCNPQVNADNDDVLRAIW >CAK8563980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:643866485:643867504:1 gene:gene-LATHSAT_LOCUS17332 transcript:rna-LATHSAT_LOCUS17332 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSSSFSPTSSSPSTLVSVSQEDFLLFHKMDRDLYTILVTNLSRDPSESMHLLAMWLWLEKVGFHNVVKKIMTLPVILINEVADESITCFTCFNTNANNNAYLVALASASSSSSSGESNDIPLLQSLLEEEISLKFFYEFRLEAARGIERTRQEVCSRALTDIMQQAMMRNSAERMVENSVWFGSIGPANLQFGPVGIASAMAQVQQPTNSAVAVGGGGEGGGIVPADARTLFVTFSKGYRVEEWEVREFFTMTYGDCVDALFMQETPPNEQALFARIVFRKANTIDMILRGASKAKFSINGKHVWARKFVPKRANTGRVMVSGETSGFGNSGFGV >CAK8576600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:496980470:496985925:-1 gene:gene-LATHSAT_LOCUS28775 transcript:rna-LATHSAT_LOCUS28775 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDIVVSASLDQTVRVWDIGSLKRKAGPPSDDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILSTHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYTKDRFLRFYEFSTQRETQVLTIRRPGSLSLNQSPKTLSYSPTENAILLCSDVDGGSYELYCISKDSTIKDSFGRGDMQEPKKGPGGSAVFVARNRFAVLEKSSNQVLLKNLKNELVKKSVLPIATDAIFYAGTGNLLCRSEDRVFIFDLQQRIVLGDLQTPFIKYVVWSNDMESIALLSKHAIVIASKKLVHQCTLHETIRVKSGAWDDNGIFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITKVVGNVIFCLGRDGKNKAITIDATEYIFKLSLLKKKYDHVMNMIKNSQLCGQAMIAYLQQKGFPEVALHFVKDERIRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNVEKLSKMLKIAEVKNDVMGQFHNALYMGDIRERVKILENVGHLPLAYITASTHGLHDVAERLAAELGDNVPSLPEGKVPSLLIPPSPVLCCGDWPLLRVMRGIFDGGLRNADQDADDEEYEAAEGDWVEELDMVDIEGLQNGDVAGILDDGEVAEEGDEEGGWELDDLELPPEAETPKASVSARSSVFVAPTPGMAVSQIWVQRSSLAADHAAAGNFDTAMRLLNRQLGIKNFAPLRSMFLDLHSASHSYLRAFSSAPVVPLAVERGWTEASSPNVRGPPALPYKLSQLDEKLKAGYKSTTAGKFTEALRTFVNILHTIPLIVVESRREVDDVKELIIIVKEYVLALQMELKRRDMKDNPVRQQELAAYFTHCNLQTPHLRLALLNAMTVCYKAKNLATAANFARRLLETNPSIENQAKTARQVLAAAERNMTDATELNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTSRFVPTQEGQLCTVCELSVVGADASGLLCSPAQIR >CAK8565323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:123406697:123409193:1 gene:gene-LATHSAT_LOCUS18545 transcript:rna-LATHSAT_LOCUS18545 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSQGGDTTAADNAAATDKGETNQQNTNNNNNNDNEPSTNPITTPPPTSKPPATNASPPSKPSKPAAIGPVLQRPMEDVRATYTIGKELGRGQFGVTHLCTNKVTKEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELIGAYEDKQSVHLVMELCAGGELFDRIIAKGLYTERAAASLLRTIVQIIHTLHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFFKQGDMFKDIVGSAYYIAPEVLKRRYGPEVDIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHIDFTSDPWPSISPAAKDLVRKMLNSDPKQRLTAQEVLDHPWTKEDGEAPDKPIDNAVLGRLKQFRAMNQFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITIEELKLGLQQQGTKLSEQEVKQLMEAADADGNGTIDYDEFITATMHMNRMNREEHLYTAFQYFDKDNSGFITTEELEQALREYNMHDGRDIKDILQEVDGDNDGRINYDEFAAMMRKGNPEAHTKKRRDSFVSVTQ >CAK8567912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556486215:556488565:1 gene:gene-LATHSAT_LOCUS20921 transcript:rna-LATHSAT_LOCUS20921-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLIPGFRFHPTDVELIMYFLKRKIIGRKFPFDVIAELDIYKYAPWDLPDKSLLKSGDLKWYFFSPVGKKYCTGGRMNRATEIGYWKTTGKDRAVEHKNRVVGMIRTLVFHIGKAPKGDRTDWVMHEFRLEDKDLADKGISQNSYVICRVFQKEGPGPRNGAQYGKPFNEKDWDSEEEIDCLQSVPAAAAVSLPAPILPSSSHISVANDMHPSTSGCIAPNSLSCLSRLMPSGLLHPSAPSTQVDDDILSMLAIFKDDDTLAANENNGSEVCNLFLCC >CAK8567911.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:556486215:556488879:1 gene:gene-LATHSAT_LOCUS20921 transcript:rna-LATHSAT_LOCUS20921 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLIPGFRFHPTDVELIMYFLKRKIIGRKFPFDVIAELDIYKYAPWDLPDKSLLKSGDLKWYFFSPVGKKYCTGGRMNRATEIGYWKTTGKDRAVEHKNRVVGMIRTLVFHIGKAPKGDRTDWVMHEFRLEDKDLADKGISQNSYVICRVFQKEGPGPRNGAQYGKPFNEKDWDSEEEIDCLQSVPAAAAVSLPAPILPSSSHISVANDMHPSTSGCIAPNSLSCLSRLMPSGLLHPSAPSTQVDDDILSMLAIFKDDDTLAANENNGSECHGGCHSREANSGDGRLKASRRKKREMDITSHY >CAK8540303.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:550061659:550061998:-1 gene:gene-LATHSAT_LOCUS9318 transcript:rna-LATHSAT_LOCUS9318 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVPAVKGGMRRKHHRAWTLVEVTKLIEGVSRCGPGKWSEIKRQSFSSYSYRTSVDLKDKWRNLLKSNFAHTQ >CAK8536023.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:899364934:899366394:-1 gene:gene-LATHSAT_LOCUS5426 transcript:rna-LATHSAT_LOCUS5426 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKQSIVLFPFMAQGHIIPFLALAFNLEQKSKNYNIAIINTPLNIQKLQPSIPPHSSIKLITIPFISSDHNLPPSIENTDTVPYSLVIKLIEASLSLKSPFKNIIENILTQQHKVCIVSDIFFGWTSTIAKELGVFHVIFSASSGYGLACYYSLWMNLPHRFTDSDEFPLTDFPEARLIQRNQLPNNISQADGFDDWSVFQRKNLSDWVNSDGILFNTVSEFDSVGLNYFVRKLEIPVWTIGPFVLAKSRGKGSEINPNFYKEWLDSKPLNSVLFVCFGSMNTISSEQMMQLGIALEKSGKNFIWVVRPPIELDINSEFKPQEWLPSGFMERILETKKGIIVNNWAPQVEILSHGSVSAFLSHCGWNSVLESLSHGVPILGWPMAAEQFFNCKLLEEEIGVCVEVARGKSCEVKNEDIVEKIELVMSESSENGLKIRENAFKIREMMKNAVRDENGDGFKGSSVRGIEEFLAAAGKSNKGIVNE >CAK8575899.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:351866818:351867072:-1 gene:gene-LATHSAT_LOCUS28124 transcript:rna-LATHSAT_LOCUS28124 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEDEVEKASHGNDWEVISLTASNYAAAPGPDKVELKNDDKEDAYAPNETGTSNALFMFGHFAFPPSRHEFSIGTCLWRDSK >CAK8577099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537105926:537107621:-1 gene:gene-LATHSAT_LOCUS29233 transcript:rna-LATHSAT_LOCUS29233-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDVKGINWVGNMYQKFENMCLEAEDLMCEDTVEYIENQVQNVGESVKKLYSDIMRDLIPSISCILDENDNDYEWPIDRRTDPRFGKKQVQTFMEGPAKANTKQTTELRKIGLNLDKYGIHAATYDGSGKTGAFFTSSPRKSVKKSNIVSRSRQHIGSMDVKSNRGVDVNEVNEKMVAKEIFNEIPTVETTDTGIPSQCCEISNEDQNHIACVSKPASDEKKQIDTSSYDDQSHKTMQEDLKLEETCVMVTSDDLELVPKEIANLKANKTMRKGFSLSKKSARKQEYKELAVWHGKNGDLMKNLDETCNVSEPEWELL >CAK8577098.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537105926:537107633:-1 gene:gene-LATHSAT_LOCUS29233 transcript:rna-LATHSAT_LOCUS29233 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIMTTMDVKGINWVGNMYQKFENMCLEAEDLMCEDTVEYIENQVQNVGESVKKLYSDIMRDLIPSISCILDENDNDYEWPIDRRTDPRFGKKQVQTFMEGPAKANTKQTTELRKIGLNLDKYGIHAATYDGSGKTGAFFTSSPRKSVKKSNIVSRSRQHIGSMDVKSNRGVDVNEVNEKMVAKEIFNEIPTVETTDTGIPSQCCEISNEDQNHIACVSKPASDEKKQIDTSSYDDQSHKTMQEDLKLEETCVMVTSDDLELVPKEIANLKANKTMRKGFSLSKKSARKQEYKELAVWHGKNGDLMKNLDETCNVSEPEWELL >CAK8577097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537105926:537107633:-1 gene:gene-LATHSAT_LOCUS29233 transcript:rna-LATHSAT_LOCUS29233-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIMTTMDVKGINWVGNMYQKFENMCLEAEDLMCEDTVEYIENQVQNVGESVKKLYSDIMRDLIPSISCILDENDNDYEWPIDRRTDPRFGKKQVQTFMEGPAKANTKQTTELRKIGLNLDKYGIHAATYDGSGKTGAFFTSSPRKSVKKSNIVSRSRQHIGSMDVKSNRGVDVNEVNEKMVAKEIFNEIPTVETTDTGIPSQCCEISNEDQNHIACVSKPASDEKKQIDTSSYDDQSHKTMQEDLKLEETCVMVTSDDLELVPKEIANLKANKKTMRKGFSLSKKSARKQEYKELAVWHGKNGDLMKNLDETCNVSEPEWELL >CAK8577100.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:537105926:537107621:-1 gene:gene-LATHSAT_LOCUS29233 transcript:rna-LATHSAT_LOCUS29233-4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDVKGINWVGNMYQKFENMCLEAEDLMCEDTVEYIENQVQNVGESVKKLYSDIMRDLIPSISCILDENDNDYEWPIDRRTDPRFGKKQVQTFMEGPAKANTKQTTELRKIGLNLDKYGIHAATYDGSGKTGAFFTSSPRKSVKKSNIVSRSRQHIGSMDVKSNRGVDVNEVNEKMVAKEIFNEIPTVETTDTGIPSQCCEISNEDQNHIACVSKPASDEKKQIDTSSYDDQSHKTMQEDLKLEETCVMVTSDDLELVPKEIANLKANKKTMRKGFSLSKKSARKQEYKELAVWHGKNGDLMKNLDETCNVSEPEWELL >CAK8569564.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:5169503:5170075:-1 gene:gene-LATHSAT_LOCUS22403 transcript:rna-LATHSAT_LOCUS22403 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLLRYCLYSLPGKWVYYFERSEHGNRYGTKTDYLFQFLCFLKLHTYTRVQVSIDICGVDYPSRKRRFEVVYNLLSTRYNSRILIQTSADEVARISPVVSLFPSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWNSISDG >CAK8540188.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:543880956:543881192:-1 gene:gene-LATHSAT_LOCUS9217 transcript:rna-LATHSAT_LOCUS9217 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIKWISDKNKSKTSKVKILNCAQAETIHELRKLRDDNCFKNETSEAYIEGRTIGNIIYKCWNHPKLRKNIFNLMMP >CAK8568665.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:629890974:629891270:1 gene:gene-LATHSAT_LOCUS21603 transcript:rna-LATHSAT_LOCUS21603 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALICAQNWLKPTLSQFKDLNINEDFEVSFTTVSKFGGSSVSGSTSGCDSNVVGRGKEPVAGSSQTHT >CAK8578125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608764732:608765991:-1 gene:gene-LATHSAT_LOCUS30164 transcript:rna-LATHSAT_LOCUS30164 gene_biotype:protein_coding transcript_biotype:protein_coding MYDITLPGRPGLTSNFEKQVKEIITWAFAQECCRRGGGVRCSCLKCECRPIISDPEEVERHLKRKGFIKNYWVWTYNGEQLPSNVHAKTTNTYTSSSRSHVGFKESFNLINDMVGNSFGVNVTYDEPQYFDGEEFPNEEAQRFYQLLKEMNTPLFEGSAYSNLSMYVRLLAAKSNWNVPDQCLEYFAKMMLDATPTKDNLPISYYDAKRLVSKLGLEVRKIDCCINGCMLFYDNEFGINDEVLEECKFCKSLRCQVRSKAINRKQKCVTVKSMFYLSIIPRLKRLFASMHSASQVTWHHTNKTSSGIMRHSSDGEACKHFDRVHSDFVVEPRNIRLRLCSDGFTPYVQASAIAYSCWPVIVTPYNLPPEMCMTKPYMFLTCLIPGPSSPKAGINVYLQPLINDLKRLWIGEWTYDISRK >CAK8560806.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:45834805:45840223:1 gene:gene-LATHSAT_LOCUS14453 transcript:rna-LATHSAT_LOCUS14453 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGLISNRNFGSFVASGNSYISQKDSSLQRGGISVSNWIGKERVSRCQYRMHCRIDSPLEKRVSKQIQTFNNKGEIVSPLSRHSSRCLNFKLKACIKSYSYLSSAPFSSSNVGQRKVSTFRASYKSEDNDIGEPKIDRLQSTEGMGEAILLEGNLNQISPWWQQFPKRWVIVLLCFTAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKVGGKLVLGFGVVWWSIATVLTPIAAKLGLPYLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHKFGWPSVFYSFGSLGSIWFALWLRKAYSTPKDDPDLGVEEKRLILGGSVSKEPVTVIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAILANIGGWIADTLVSKGLSITTVRKIMQSIGFLGPAFFLTQLSNVRTPAMAVLCMSCSQGCDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVILYLIGTLVWNIFSTGEKILD >CAK8530147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:3000427:3001295:-1 gene:gene-LATHSAT_LOCUS37 transcript:rna-LATHSAT_LOCUS37 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLKKLVVSYSDWGGSSRGIRAFMESHMPAFKESNPQLEVATEMIRGQHPHLKAFYKNHNDRVVCVKNMDPEEILLHATRLRNALGRKVIKLRTRHVTKHPSVQGTWTTALKY >CAK8536902.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:35920775:35921467:-1 gene:gene-LATHSAT_LOCUS6227 transcript:rna-LATHSAT_LOCUS6227 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTNPRKRLIIKLSYPPGSRNTDENKRRKIEDSVKPIVTCYWVDSSYRTKSSALSQPKNNDNVVEDKKLIKNQVSKTTAEDNDNVVEDKKMIKNQVSNTVMPNNTVVEDKKMIKNQVLKTTALSQPEDNDNVVEDKKMIKNKVFKTRASSQPKNNENVVEDKKVIKNQVSNTVMPNNIDVENKKQVSKAEIAFNGRKESSRGEECGLKKKPMECVKRRQCWLILKKVQ >CAK8533256.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597597976:597598983:1 gene:gene-LATHSAT_LOCUS2899 transcript:rna-LATHSAT_LOCUS2899-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKLKVSTKTLQWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREAFISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLEIWTNGSFTPKEALYEASRNLIDLFIHFLHVEEENFNLENNQHKMTWPLFTFHDHDRFVKDKLTNTQKEITLKSIFIDQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRIEDLKNILNILQIENHFV >CAK8533255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597597480:597598983:1 gene:gene-LATHSAT_LOCUS2899 transcript:rna-LATHSAT_LOCUS2899 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKLGSLKTGRIGSRKHPRKIPKGVIYIQASFNNTIVTVTDVGGRVISWSSAGSYGFKGTRRGTPFAATTATGNALRTVVDQGMQRADVSIKGPGRGRDAALRVIVRSGILLNVIRDVTPIPYNGCRAPKKRRWKCVESRVDSKRLYYGRFILSPLLKGQADTIGIAMRRILLGEIDGTCITRAKSEKIPHEYSTVVGIQESIHEILMNLKEIVLRSNLYGTREAFISFKGPGYVTAQDIILPPSVEVIDKTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANYSIHSYVNGNEKQDILFLEIWTNGKEENFNLENNQHKMTWPLFTFHDHDRFVKDKLTNTQKEITLKSIFIDQLELPPRIYNCLKKSNIHTVFEFLNKSQEDLMKIEHFRIEDLKNILNILQIENHFV >CAK8561270.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:132581579:132582193:-1 gene:gene-LATHSAT_LOCUS14878 transcript:rna-LATHSAT_LOCUS14878 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLSIVDDVAIVDLGQQHHRHNNMLPVYVLFDSRTGNISQNHRSRTPPWSVIDQALLFHSHYHNNVPTRLMSHNHNVSSRLTSQTVSVVDETVVYDSPRGNNFPRRSRIHPHHHHHHHNGPRASPPVQNARASPVVMGVQDTSQHVTKSTTDAESVCCICLVDLSNGSSTPTRLRCSHVFHTDCIQKWINIKKTCPLCRANV >CAK8530312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14044911:14048690:1 gene:gene-LATHSAT_LOCUS187 transcript:rna-LATHSAT_LOCUS187 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDEEIKKTQDERKKMEQQLASLTSLTFDTDLYGASDKDSYNTSIPANEDEDNFEPVANEAPRRIASITGPKSHFIDMPSADNDEVGGGIGFGKPQRIIDREDDYRRRRLNQLLSPDRHDAFAAGEKTPDPSVRTYADIMREEALKREKEETLRAISKKKKEEEEAKKVAPEKSQEQQQKRRNRWDQSQEEGGAKKAKTSDWDAPDSTPGRWDATPTPGRVTDATPGRRNRWDETPTPGRLVDSDATPGGATPGATPGATAWDATPKLSGMATPTPKRQRSRWDETPATMGSATPLPGATPAAAYTPGVTPVGGVELATPTPGALQGSFTPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPMGTPLYQIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEENEEELSPDEQKERKIMKLLLKVKNGSPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKVLVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLSDENQKVRTITALSLAALAEASAPYGIESFDSVLKPLWKGIRQHRGKVLAAFLKAIGFIIPLMEALYASYYTKEVMLILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRTDILPEFFRNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVTNLGSSDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCHEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKSIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPSLEDEHNNVYRRSELMMFI >CAK8579326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691679128:691679585:-1 gene:gene-LATHSAT_LOCUS31279 transcript:rna-LATHSAT_LOCUS31279 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRREYCVFMNYDREYDRLQNNRTAIGSIELDLYLSRKHDEVLARNLEAGSYNKLASLAIVDAFIVEITEEQANVLRSADGVRVVEKNEVIIT >CAK8568402.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:603011737:603014066:1 gene:gene-LATHSAT_LOCUS21361 transcript:rna-LATHSAT_LOCUS21361 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKASEESHGQDSSYFLGWQEYEKNPYHPIHNPTGIIQMGLAENQLSFDVVESWLERNSDTIEMKNDGISIFRELALFQDYHGLPVFKNELVEFMAKIRGNEIKFDSNNLVLTAGATSANEILMFCLANPGEAFILPTPYYPGFDRDLKWRTGVEIVPMHCSSSNGFRITCTALEQAYQQAINLNLNVKGVLVTNPSNPLGTTMTKTELNHLVNFAIDKNIHIISDEIYSGTVFDSPKFISIMEVIKERSLASENISKRVHVVYSLSKDLGVPGFRVGMIYSNNKNVVSMATKMSSFGLISSQTQYLLAKLLGDKKFTLKYMEENKTRLKKQKETIVSGLRNAGIRCLKSNAGLFCWVDMKHLLSSTTFEAEKELWKMILYQVGLNISPGSSCHCSEPGWFRICFANMSQQTLKVAMRRIKLFIDSNSTMFSIKQPFLPM >CAK8541461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:186276484:186285269:-1 gene:gene-LATHSAT_LOCUS10381 transcript:rna-LATHSAT_LOCUS10381 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVIKKTVSNMCARKIPRKFFCSETVKVAGSTDFVPSNGHEKKPIISEVIPEYDIAIVGGGMVGMSLACFLASMPMTKQLSVAIIDNNPALGSGISIQREDPPDPRVSTVTPASISFLQDAGAWKYVEQNRHAYFKKMQVWDYTGYGYARYDAGDVNKDFLGCVAENKVLHSALLSCVKDSDFKTTFYPSRLTSMTLNTNSLSTVKESIPSKELSSAQGHTSKLQLSNGSSIYAKLVVGADGGKSRVRELAGFKTTGWNYSQNAIICTVQHTSANHCAWQRFLPNGPIAFLPMGDNFSNIVWTMSPTESNDRKSITEEMFLKDVNSALDDGYGPHPTSSSLGTLDLFSWLKMDATLSANELFEIPPKAIRLASERMVFPLSLRHANSYASKRVVLIGDAAHTIHPLAGQGVNLGFGDAYSLSRIIAEGIALGSDIGEVNLLKKYEADRKPANVAMMALLDGFQKAYSVDFGPFNFLRAAAFNGANHISPLKRRIISYASGENKLPIFF >CAK8569670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7710133:7712041:-1 gene:gene-LATHSAT_LOCUS22496 transcript:rna-LATHSAT_LOCUS22496 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVPSKRMWFSIPERFQLHVAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKDRPPINFNFLCQFFFLALIGITANQGFYLLGLENTTPTFASAIQNSVPAITFLMAVILRIEQVRLNRKDGLAKVAGTVFCVIGATVITLYKGPTIYTPSTHLNSTATLITEVTTTPMIDFGTWYLGDAKGKNWTLGCVYLIGHCLSWSGWLVFQAPILKKYPARLSVTSYTCFFGLLQFLVIALVCERNAQAWLFHSGGEAFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTFVVAIMASIALGEEFYLGGIIGAMLIVAGLYFVLWGKSEEKKFAKEQLAIASSTTEHSNIRPTSVAKGTSLNQPFLSSSNENV >CAK8560814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:46686602:46687396:1 gene:gene-LATHSAT_LOCUS14459 transcript:rna-LATHSAT_LOCUS14459 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGQTLNGDHGGGSAEEVETSFFAMSFKEPLNSVPPEFDSYSCQTDLDGKNVCISSMQQVRKSILF >CAK8572196.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:519368542:519371546:-1 gene:gene-LATHSAT_LOCUS24787 transcript:rna-LATHSAT_LOCUS24787 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMPVSLRNKLKSLCDYGDGWSYAIMWRFHQTNPMLLNVEEAYYEEELREEIQNMVPLVHLLGEGIVGEAAFTGKYSWLHSVSYENDFCMYQQFNSGIIKTIVAIPVKPFGVAQFGSRKKVLERMDFLDQTQILLEEIDEIGLLNDSENAVLSSEDINGLLASFSSENSCDWNLKSANDENYKDLREVFSSCEERVTSLEFEENSCFDSLLQQFVSETMAQEVHDSSLASLQNIDGVSFRENIQNSMVNVVTEVDLPSSSHTLNGIICNSLEPVDMLEEFLKFGSVDDLCEWFAPSPDETTICKTMIQLEKTLSEPIEFNHPIRADLGLAEKETSVVIHSSENDFLDNTEFDLGSDQGSELWGNLLTPMVNAAGTDNIAFSECVSELNAGAPTDSTRKRLFAELGIEELLRGEANYNNPFSSSNFENALSSNKKQMVEFSPTNRTQVHFANLIGSNLMHPVSDLEESKSVLTKKDTFSKLQVGISVNDRNRINVKRAVPAHAKRLEQPAKHTKKKAKPGESTRRPKDRQQIQDCIKELRGIIPHGGKCSIDSLLDRTIRYMLFLGSVIKYADKLQEPNEPKLIDQANGVIPKDKNHGATWAFEVSNPTMLCPIIVEDMNPPGQMLIEMLCEDQGFFEIVDIIRGFGLNILKAKMNLRKNKLWARFIVEANRHVTRIDVFWSLLHLLQQPNSVGIDSSNKHSNVIDANVA >CAK8578432.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:629110183:629114161:-1 gene:gene-LATHSAT_LOCUS30444 transcript:rna-LATHSAT_LOCUS30444 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKGIEMVALESLGKGFDLASDFRLRFAKGIREGGGDSRKRLVVLDEQNKTDILIPGAGGATIIKGVSENIRCDKGDRIRFKSDVLEFNQMSELLNKKSAVHGKIPSGYFNALFDLSGDWLRDASDIKCLAFDGYFISLYCLHLTASPLVLQEEVKKSVPAQWDPAALSRFIQTYGTHIVVGMAVGGQDVICVKQKHSSKIPPGDIRRHLEDLGDFLFSDVRSPSSLQRKTADSKQKVPEVFNRVMQSNTTQFTSISETSSKDGLTIICSKRGGDVYKHSHSNWLQTVPSNPEAIKFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSPEDLQYFLEFQIPRQWAPMFCELPLRHQRRKTSSLSLQFCFFGPKLQISSTQVVSDQKPVVGLRLYLEGKKSDRLALHINHLSSLPNKMILSSDTSTPSVRSMWRGSNENESSNQFLEPIRWKRFSNICTAVVKHDPNWLNNCGGVYIVTGAQLITKGSWPRNVLHLCLLFTHITNCTIRKSEWGSAPEASRKSSFLTNLSTTFSFTQQSVTAAPPKQLPTSLNSGIYPNGPPVPVRSSKLLKYVETAEVLRGPHDAPGHWMVTAAKLVTDGGKIGLQVKFALLDYW >CAK8570818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:120544034:120545880:-1 gene:gene-LATHSAT_LOCUS23537 transcript:rna-LATHSAT_LOCUS23537 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKNVLMSLSNVFIFILVCCSYMIENVASDDYGTALTKSLLFFEGQRSGVLPKNQRLTWRGDSALKDGQDVGMDLVGGYYDAGDNLKLGFPMAYTITMLSWSAIEFKDKLASKNELDNALFAIKWGTDYLIKAHPQPNVLYGENGDPDSDHQCWERPEDMETPRTSYKIDEQNPGSDLAAETAAALASASIAFQSVDSKYASTLLTHAQQLFDFANNHQGIYHNSIPPAAKIYSSSGYKDELLWAAAWLHRATNMKQYFDYIGNSGDNGGVRSMFSWDDKYVGAQVLIAKLVLEGKAESSGIWAEYKANAEQFICSCAQKSNQNIQKTPGGLLWFLPWANNQYVTSATFAISTYSQYLSSNKASLQCSGGAVSPSDLASFVKSQVDYILGSNPQKLSYMVGYGSNYPQQIHHRGASIVSIKNDSSPVGCKDGLDKWLNKNAPNPNVLEGAVVSPDQNDGFSDNRNNYQLGEPTTTSVAPLVGVLAFLA >CAK8561553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:290327620:290328202:-1 gene:gene-LATHSAT_LOCUS15133 transcript:rna-LATHSAT_LOCUS15133 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKLSCESPLVWSCLNRCSRLVQGAVLTCCVVFMYRTPQGIGMCFAAVCSCRGDVLLLSSSSGSFGFLF >CAK8537316.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227467369:227468952:1 gene:gene-LATHSAT_LOCUS6614 transcript:rna-LATHSAT_LOCUS6614 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKIPMLPTLITENIGSLNPGVDRLAFSMLLDLNVDGDVVDRWIGRTVIHSCCKLSYEHAQDIIDSAFNFESSSFHEDAYPKVHGRFDWPDVLTSLKSLYEISKVLKHKRFTRGALRLDNPKIVILIDDNGIPYDITFSEQRESNFLIEEFMLLANTTAAEVICRAYPEVALLRRHPEPNMRKLKELTAFCQKHGLNLETSSSGHIHQSLEQIKEKLKDDTVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADITDHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSVASDMNTEINPAFFPLTVHPLSTIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8537317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:227467915:227468952:1 gene:gene-LATHSAT_LOCUS6614 transcript:rna-LATHSAT_LOCUS6614-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKELTAFCQKHGLNLETSSSGHIHQSLEQIKEKLKDDTVLNDILISYATKPMQLASYFCSGYLKDNEHEWGHYALAVPLYTHFTSPLRRYSDIVVHRTLLATIEAENIYLKQMGKEVGGKKRCFTGINFDKNAAESVECREALSAAALKHSVPSARILADITDHCNERKKASRNVKDACDRLYIWFILKQQKVLLSEARILGLGPKFMSIYIQKLAVERRIYYDEVEGLTAEWLEATSTLVLSISPNKRVFRRGTTNKWRALSEAVLIACPYDLKVKMNCCNQNEGMGVDSVASDMNTEINPAFFPLTVHPLSTIPVALHAVGGDAGPLNFGVRLYMSSYFD >CAK8536128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909840633:909842429:-1 gene:gene-LATHSAT_LOCUS5527 transcript:rna-LATHSAT_LOCUS5527 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISLAIILSLSFLSLAFALDMSIIDYDAKLEATKTDIHLMRVYESWLVKHGKVYNALGEKESRFEIFKDNLRFIETHNKLEGQSYKLGLTKFADLTNEEYRSMFLGTKSRKSKGLLSNSKKSNRYAFRDNDELPESVDWREKGAVNQVKDQGQCGSCWAFSTVGAVEGINQIVTGDLVSLSEQELVDCDKTYNMGCNGGLMDNAFEFIMQNGGIDTEEDYPYHAKENTCDINKKNAHVVSIDGYEDVPENDEKSLKKAAAHQPISVAIEAGGRAFQLYKSGVFTGMCGTELDHGVVLVGYGTDNGTDYWIVRNSWGPSWGENGYIRLERNVVTANNTGKCGIAMMASYPTKKGLNPPNPGPTPPSPAKPSTVCDEYYSCSTGTTCCCLFEYGNFCFAWGCCPSESATCCNDSSSCCPHDYPVCDSQAGTCRLSKENPFGVKALKRTPATRTSSWTQRKVSVKGNN >CAK8532678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:475220612:475220884:-1 gene:gene-LATHSAT_LOCUS2360 transcript:rna-LATHSAT_LOCUS2360 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVYEWFLQHRERVNITGELILQKARDTMKLVYSHDDSDFNFYIGWLGRFKNRHGIKSFRRFGKSGSVDVQDMEQKLVSIWGKLISSL >CAK8568643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:626196822:626198442:-1 gene:gene-LATHSAT_LOCUS21583 transcript:rna-LATHSAT_LOCUS21583 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCLSSANFLTVGGTGSFPLQRKPVLFSVTPFSRSQNLSSSIVTASSKKKNKKKLTHVTEGNEEEEDAFELLFKQLEEDLKNDDLSIDDMDDDDEITEEEMAMLEGDLEALLGDFDGESLNSDTAETQNEKTSKDGSDNSLNLRTWQLNKLARALKAGRRKISIKTLAADLCLDRDIVLDLLRNPPPNLLMMSLSIPDEPTPSAITTPAENFYQETSADQAESVPKPNLPIHTMQQDWSSRKRLKKIHLDTLERVYARSKRPTNTLISNIVHLTNIPRKRVIKWFEDRRAQDGVPEERLPYQHPAKESA >CAK8577976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599772387:599772770:1 gene:gene-LATHSAT_LOCUS30030 transcript:rna-LATHSAT_LOCUS30030 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSFITALFLVVTMSSMLLEARQLLQTTTQPNFPSIPTLPKPPTLPPLPSIPTLPQASLPPLPTNIISLPKLTMPPLPTFPTNIPTLNIPPLPAVTSLPNIPTSIPTTFPSIPFLSPPPSTSSP >CAK8534793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:769051948:769052700:-1 gene:gene-LATHSAT_LOCUS4307 transcript:rna-LATHSAT_LOCUS4307 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSIAAANFHPFVLSEELQFSLTQFADDTVSLGDSNRENILDVKVMLRGFELLSGLKVNFSKSKVIGVNLDDGFLEVASNFLSCATTSLPFNFLGIPIGANPRRKITWEPLVTKIRNKLSLWRGKHISFGSRLTLINSVLNSIPVFLFSFYCAPKLVLQEIINIQRAFYGKGRNKNVESIGLHGRIFVNQKQMVGWESKTVKLSILLFYLNGLGNSVQILRIWEHRFLLSVMAILRGFFLNHRDSLPIC >CAK8564099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:651673916:651674467:1 gene:gene-LATHSAT_LOCUS17438 transcript:rna-LATHSAT_LOCUS17438 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCYSCNASSILKNMIRVVHLDGFVEDFHQPISAYQVIGNQPKHFVCTSIQLLSSSYKPLKQDNSQLKPGQLYFMLPYSVLQADVSPVDLACLAKRLSAKAKAKNKPCNYNKSPTVILLPSTSPCRVGMEEKVMMNGGRRSPCRMQSWKPILESIAEKSLNRRSESDLQDISINVNKTCTCE >CAK8537816.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:438807234:438813776:1 gene:gene-LATHSAT_LOCUS7070 transcript:rna-LATHSAT_LOCUS7070 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLVSLLWFFTLTISTTTLALKTSSEDISPKFSSNNFHFSSLNRSSFPIDFIFGTSTSAYQYEGGAKEGGKGTNIWDTFTKKYPEKIVDRNNGKVSVDGYHRYKEDVEIMKYMNLDAYRLSISWSRILPNGRISGGINQEGITYYNNLINDLLANGIEVFVTIFHWDLPQALEDEYGGFLSPLIVFDFRDYAELCFKEFGDRVKHWITINEPSTYTTAGYVVGMFPPGRCSDWQNLNCTGGDSGTEPYIVAHHLLLAHAAAVQLYKTKYQVPLLLKSQSTYQRGWIGIALQSYWFVPFSNSKPDERAAERSIDFMFGWFMAPLTTGDYPQHMRSLVGQRLPKFSEEQARLLRGSFDFIGLNYYTSRYAANVPYLNKTIPCYLTDSLANVTAGRNGVPIGPKGASDWFYIYPMGFKKLLVYIKEKYNNPLIYVTENGIDENNDPTLSLDEALKDIHRIKYHHDHLSYLQSAIRMGVNVKGYFAWSLLDNFEWAEGYTVRFGMNFVDYNNNLKRYQKLSAQWFKSFLARA >CAK8567461.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:516136362:516145015:-1 gene:gene-LATHSAT_LOCUS20512 transcript:rna-LATHSAT_LOCUS20512 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVCWPYFDPEYENFSNRINPPRVSVDNASCHKCTLIKFDSVNKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQHGKKITDDKTIDLIEKALGPKSQSKEGVKSWAGKRVGVHSVGDHIAVELIGRDRPGLLSEISAVLASLHFNVVVAEVWTHNRRIACVLYVNDSTRQAVDDPKRLSLVEEQLNNILRGCEDGDKVASRTSLSMSFTHIDRRLHQMLFADRDYESSGVTTTDSECPPSFRPKIAIECCKEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVSHATISSDKPYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVCRAGISTKGEEALNVFYVRDASGNSVDMKTIEALRKEIGKTMMVDVKKVPTYDKEPETKGWAKTSFFFGNLLERFLA >CAK8534145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:698896539:698898478:-1 gene:gene-LATHSAT_LOCUS3712 transcript:rna-LATHSAT_LOCUS3712 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITHYLTMKISDTSFTSKAVAVVDLNKSEPWDLPGKASMGEKEWYFFSMRDRKYPTGLRTNRATESGYWKTTGKDREIFRGGVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHHFRTSKDEWVVCRVFQKSIATKKPQQTTSSQPESPCDTTSMVNEFGDVEFPNLNNIANSSLSSGLIPNMISGQQQNFNINDHLTNNVNTNMNLTMNWPSSSEVPSLPWPSNLLNPNNISVNSLLLKALQLRNYQQQREVAATNHFVPYMPQQGVVYHQLGTDHQSNNSNDPSASSSKVLECMPQHQQKQPFNLDSIW >CAK8561162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108784169:108786746:1 gene:gene-LATHSAT_LOCUS14774 transcript:rna-LATHSAT_LOCUS14774 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQQNIMTYTRLLSFILLHIFLFTLTNAQLPYYKLSYCQNSTEKTNNTAYQSNINKVVSWINSDSAAGTVSNQTTIHSSNNNNDDDGVYGFYDCRGDVRGAFCQFCVNTAARDIGQRCPSSVSAIIWYDLCIVGFSNHNIPGKVYTTPTWNFTGAKTVKDSTELGQTENNMRNLIGTVTSEETNANWAMGEFDWSDNEKRYGWVQCSRDISKDGCRQCLEAMLDIVPHCCRTNVKWAVLSPSCGMEIDDNKFYSLQTGSPSPTPNPGKKKGGSNTKTLIIIIIVSALVAVALLIYCVYYYWRKNGLTKGELLMRRAITPKSFRHCVQSEDSLSEYLPVIPLNVIQQSTDNFSESSKLGEGGFGPVYKGILPDGTQIAAKRLAETSGQGSEEFKNEVIFIAKLQHRNLVKLLGCCFEENEKILVYEYMPNSSLNFHLFDKEKHKHLDWKLRLSIINGIARGLLYLHEDSRLRVIHRDLKSSNVLLDDEMNPKISDFGLARTFEKDQCQTKTKRVIGTYGYMAPEYAMAGIFSVKSDVFSFGVLLLEIIYGKRNGDFFLSEHMQSLLLYTWKLWCEGKSLALIDPFHKNTYVESEVMKCIHIGLLCVQEDAADRPTMSIVVRMLGSDTVALPKPTQPAFSVGRIFKNEDQLSKNSKVDSVDEVTLTSVSAR >CAK8569857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:13756549:13757700:1 gene:gene-LATHSAT_LOCUS22665 transcript:rna-LATHSAT_LOCUS22665 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLHNANATTTHTLHFHSHTFIHSKPTPSFLFLKTKPQIHTQCCINNNNNTIIDIDMVKTKQGTYVPKQNKVVVLWDLDNKPPRGPPYDAALSLKTLAERFGELTDISAYANRHAFVHLPQWVRNQRRERKSLDVLERKGIVNPSEPYICSVCGRKCKTNVDLKKHFKQLHQRERQKKLNRLSSLKGKKRQKYKERFVTGDQKYNDAVRGIVTPRVGYGLASELRRAGVFVKTVEDKPQAADWALKKQMMHSMSRGIDWLLLVSDDSDFSEMLRKAREGNLGTVVVGDVDRALGRHADLWVPWNAVENGEVMDMDLVTKSRDRRRRRRNTTSTTEDDFGDVLFFQEDEEMEMGEDFMLEYSEDEDSDEYTTDEEDDGFYI >CAK8572497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:541698231:541702317:-1 gene:gene-LATHSAT_LOCUS25058 transcript:rna-LATHSAT_LOCUS25058 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSEISASTSSRSLGETPEIAAIHLKLDLVSAAKRNIKFLKSVADSQWLHHRNITVEAIRRYRDLWMPLISDLTVADSSLPMILPPFDVEWIWFCHTLNHVSYREYCERRFSKLIGRAVIYDEENREYALFRCREIWNSKYPFESFENETSSDSQDSDTVVVEDDVFKEVEKQRLLCCKFMEPYRSELVYLIAARQRYKAFLFMMQRFGSECSSRLVPTSDILLMWLTHQSYPTVYMEDLKALALESYMQKVATLSETVKEKEFEETKKLWDRAFNQPYEKAGGDVPLTLEGAITIKSAVSWEESDTDVNSKYRSLLPRFLLEACVFVRPKPRIKALQKDTNREFLRLRMIRCHSELKLDEAISNFPFDSWKEAWHLYCEFGTKGVMLEYRRHGGGNCLKRSSRQDTVSFRWNDLLRADSLTLEKEVSQQVNVIVSITPPVQAPYLLKCVPDRVTDDSGAMISDVFLKMNSYRPQEGRWLSRTVLDHAGRVCFVIRIRIGGGFWRRGGETPTAVKWEDKIIEIREGSWSYVAGSIGRAPEKVVATATPKEHTDQCKTAWRFSTEDELIIQWDSSLSLSSLTFSLINSSSPESSVKLLKGRQMQYKAKKTKSKNKSEEMEEEDEDEDFLTVVRFTEDNQDGKATALLNWRLLVVEVLPEEDAVLMLLLCISILKSVSEMKKHDVGDLLVRRRLKQAKNGTKDWGSVILDSSSFGDSPYVQPWYWNAGLVMTLDEGDQLKRQPVLSHSAVEGSEKLYKNGIIS >CAK8570840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:126538893:126540223:-1 gene:gene-LATHSAT_LOCUS23555 transcript:rna-LATHSAT_LOCUS23555 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQHPTIMEKVTGQIHRRSGVSSGYGGSFRQPAMFQKYSYGNYSNAALAPACRTTVDLSSVATNASPMFVAAPAEKSHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTTADEGLVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLATDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGKLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >CAK8578870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659831457:659832718:1 gene:gene-LATHSAT_LOCUS30852 transcript:rna-LATHSAT_LOCUS30852 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKERAPRVSRNPDLIRGIGKFSKSQMYHKRGIWAIKAKNGGAFPRHDPKPKPETPAEKPPKFYPADDVKKPLLNKHKPKPTKLRASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDISGVNVSNFDDKYFSKDAKKKKSKGEGEFFESDKEEKKVLPQEKKDEQKTVDAALIKAIETVPDLKTYLGARFSLKAGVKPHELVF >CAK8561884.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:404640992:404643681:1 gene:gene-LATHSAT_LOCUS15435 transcript:rna-LATHSAT_LOCUS15435 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPPRRISKSPSPSPWKAQSRSRSRSRSRSVPRQRPRSDSRGRGRSRSRSPERGANPTNPGNTLYVTGLSSRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSHEDAERCIKYLNQSVLEGRYVTVERSRRKRARTPTPGHYLGLKNTRDYGSRGDNRDDFRGGNRGDFRGDYRGDSRGDYRGDSRGDYRSDSRGGSRGDFRGDGRGDFRGDRGRNYGGSGRGDYSHRRSPRRSPYRGGQDHSPQHSPYVGRSRRERSRSIPHSPYSPDRRYAGGSR >CAK8571383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:395445997:395448051:-1 gene:gene-LATHSAT_LOCUS24050 transcript:rna-LATHSAT_LOCUS24050 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFHLSILSIAALALCISAEYSSVNFSYIGPNGPEKWGSLSPAYAACSNGRVQSPVDLVYTDIVLNNQLESLDRNYIPTNATLVNNQFNIEVHFEGKVGDIHINGKNYSLRQLHWHAPAEHRAHGRIHVVELHLVHLTEDNNNIAVLAILYNLGDPDPLISKIEDKLNELKTQSRAGNKNAKISLGTFDVEELNKKIHRYYRYVGSLTTPPCTEGVIWNIIGKVRTISKKQLELLKAPLSEDFFYNARPLQQLNGRKIEMYHYHPNQIEGARKP >CAK8573830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640812944:640813890:-1 gene:gene-LATHSAT_LOCUS26233 transcript:rna-LATHSAT_LOCUS26233 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGSIQKCMACEKTVYLVEKLAADGRTYHKACFRCHHCQNTLKLSNYCSIDGVLYCRPHYDQLYKRTGSLNKSFEGTPKIQKPERPTENENAKTVANAFGGTKDICMCCKKTVYPTEKVTVNGTPYHKGCFKCTYGGCTISSSNFVTHDTKLYCKHHHIQLFKEKGNYSQLDTTTDVSA >CAK8533285.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:600411251:600413263:-1 gene:gene-LATHSAT_LOCUS2925 transcript:rna-LATHSAT_LOCUS2925 gene_biotype:protein_coding transcript_biotype:protein_coding MSTINKNKPFLFPQANSTVLPDPSNFFSSNLLSTPLPTNSFFQNFSLKNGDQPEYIHPYLIKSSNSSLSVSYPTRSSSSAVISQVFNNDLTITSNNKQSTDGKHIISSYSDLGVTLDIPFSSLTVFLVRGSPYLTFSVSLTPLNISTIHAILSFSSNDSLTKFTFHLNNGQTWILYASSPIKLTPHHSEIISDFYSGIIRLALLPDSDSKHEDVLDRYSSCYPLSGNAKLREPFCVEYKFEKEGSGDLLLLAHPLHLQLLSSTENDVTVLDDFKYNSIDGELVGVVGDSWTLKTEPVSITWHSSKGVKEESLDEIVSSLSKDVEGLNSSGISTTSSYFYGKLIARAARLALIAEEVFSFDAIQKVRNFLKETLEPWLEGTFNGNGFLHDRKWGGIITQQGSNDTGGDFGFGIYNDHHYHLGYFLYAIAVLVKIDPSWGRKYKAQAYSVMEDFMNLSIKSNTSYTRLRCFDLYKLHSWAGGLTEFTDGRNQESTSEAVNAYYAAALMGMAYGDPHLVTIGSTLTSLEILGAKMWWQVKEGGKLYEEEFTRENRIMGVLWNNKRDSGLWFASAEAREARLGIQLIPLSPISEVLFSDVGYVKELVEWTLPALKREGVTEGWKGFLYSLEGIYDNESGLEKIRSLKGFDGGNSLTNLLWWIHSRGEAGDDDDE >CAK8538177.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:467120243:467122126:1 gene:gene-LATHSAT_LOCUS7406 transcript:rna-LATHSAT_LOCUS7406 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIDLSGDGGVIKTIVRKSKSDAVAPTEDFPVVDVHYEGTLADTGEVFDTTHEDNTIFSFELGKGSVIKAWDIAVKTMKVGEVAKITCKPDYAYGSAGSPPDIPPDATLVFEVELVACRPRKGSTTGSVSEERARLEELKKQREIAAAAKEEDKKKREEQKAAAAARVQAKLDAKKGPGKGKGKGK >CAK8536238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920878932:920881285:1 gene:gene-LATHSAT_LOCUS5626 transcript:rna-LATHSAT_LOCUS5626 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKIERWWLVGHPDALMWQLVVSMMMFGQLPTIEVYVKLQHNPETLQTQETQSHWYGMSQTSDDEPTQNNLPFIPDEEVGESSDDDIYEELRMQDIFGDSGDEDNEDEDIVVPSTQPIRAQPVSLYNPPTHMQNIDIEDDDTTSVFGSAIQNHIGDEIEISMEFENKEACVLALQHWHITHCVDYWVYQSDNERYVIQCKKQDCRFKCRASFRRRNSKWMIGKLSGSHTCTTTSMAQDHRKLSSEMVCHNIRELVNSDASLKLKVIVAHILEKYGYIISYRKAWIAKCKAVESLYGNWETSYNDLPQWILVMKTFLPGTIIDLQTLPATSSDGSQNSGKRIFHRLFWAFRPCIRGFAYCKPIVQVDGIWLYGKYRGTLLTAVAQDGNTNIFPVAFALVEGETKEAWSFFLRNLRLHVTPQPNLCLISDRHESIKSAYNNPENGWQHPPSSHVYCIRHIAQNFMREIKDKVLRKTLVNMGYALTEASFNYYRGEIRRSNTEALNWIDNIPREKWARAFDRGQRWGHMTSNLTEAINFVLKAMRNLPITALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMAEEVAKANTHNVMQFDRERFCFMVQEKINYNDGRPTGTFSVDLRNRKCDCGKFQTFHLPCSHVIAACSSIRQDYAIHIPEVFTVLNVFKVYKESFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPRSSRIRTEMDDAEKEKRRCGICREIGHMRSKCPNVAGPSNRPNR >CAK8576719.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508813964:508815292:-1 gene:gene-LATHSAT_LOCUS28892 transcript:rna-LATHSAT_LOCUS28892 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVERYLGKLKSHVRNKANPEGSIAEGYRFEEILTFCSRYLENIETRWNQPGRVDDDPIGDIQTGSRVAELFPIFGKPVGGSSYYTLTPIEKLQAHRHILTNCPIVDDYLKQFRSITQNQLKRSQRSAAEIDKKVHREFAHWFRNRICNNLDNIHGPDKDVLISLAYGPFDKVKRFTAFNVNGFKFRTLERDNLLKTQNSGVFGLFGTRSYSSNSDTQMRFGGVPYYGRLVDIIVLSYDGFTVPMFKCEWANTTNPRGIKIDKLGFTSINFTKLLHSGEHEDNEPYIQASEAQMVFYVDDENEQGWSILIHLKPRDLYDMGGNDEIMSPIEPYPSQNLEQIFSNDDIGTSSANDNNN >CAK8571018.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:265636769:265637926:1 gene:gene-LATHSAT_LOCUS23717 transcript:rna-LATHSAT_LOCUS23717 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTSLPPSQTKLEQDQHLKRAPITKPPFTLSEVKKAIPPHCFNRSLIRSFSYVIFDLTIVSILAYLAINYIPTLFGNHYLWTWPIYWVVQGCVLTGVWVIAHECGHHAFSEYQWVDDLVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSQISWYYKYLDNPLGRFLTLAVTLTLGWPLYLAFNVSGRYYDRFASHYDPYGPIYSDRERLQIYISDAGVLVVSYGLYRLILAQGLNWVIRVYGVPLLIVNGFLVMITYLQHTHPALPHYDSSEWDWMRGALATVDRDYGILNKVFHNITDTHVVHHMFSTMPHYHAMEATKAVKPILGEYYHFDGTPVYKAMWREAKECLYVDPDEGSEVKGVYWYRNKLE >CAK8578850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658420730:658422376:-1 gene:gene-LATHSAT_LOCUS30832 transcript:rna-LATHSAT_LOCUS30832 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISFSTPSSYYHSFPSTSPPRVTLLTRISCVSNPTRWIKKPTTDQSETLELVRLLARKITDKEPLLKTLNKHVKVVRTEHCFLLFEELGKQDKWLQCIEVFRWMQRQRWYIADNGVYSKLISIMGKKGQIRLAMWLFSEMRNTGCRPDTSVYNSLISAHLHSRDKSKALDKALGYFEKMKGIERCKPNIVTYNILLRAFAQARNVDQVNSLFKDLDESVISPDIYTFNGVMDGYGKNGMIRDMELVLARMKSNKVKPDLITYNLLIDAYGKKQQFDKMEQVFKSLLHSKVKATLPTFNSMILNYGKARLKDKAENVFQKLTDMGYTPSFVTHESLIYMYGLCGCVSKAVELFDGLIESNVPIKVSTLNYMLDVYCINGLPQEADSLFARARSIKIVPDASTYKLLYKAYTKANTKELLDKLLKQMDKDGVIPNKKFFLDALGTIGSSRVKSASANAGTDSNSTQKIVKSQLQT >CAK8538370.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:479265264:479267350:-1 gene:gene-LATHSAT_LOCUS7581 transcript:rna-LATHSAT_LOCUS7581 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQLAKAEDFENKAEKKLSSWGMFGSKFEDAADLFDKSANSYKLSKSWDKAGSTYVKLANCHLKLESKHEAASAYVDASHCYKKVNVNEAVSCLDNAVNIFCDIGRISMAARYLKEIAELCESEQNIEKALVYYEKSADFYESEEVTTSANQCKQKVAQFSAQLEKYQKSIEIYEGIARQSLNNNLLKYGVKGHLLNAGICELCKGDVIAISNALERYQELDPTFSGTREYRLLADVAAAIDEEDVAKFTEAVKEFDSMTPLDSWKTTLFLRVKEKLKAKELEEDDLT >CAK8542570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:526286778:526287250:-1 gene:gene-LATHSAT_LOCUS11398 transcript:rna-LATHSAT_LOCUS11398 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFTSQPVLFLLVGNQDLWKCFDLFVPMSKCPFLPPWSVVRSQVCSGVFTFVWNLNSAQMEVTGQVTKQVYGLRHEQGCGMITLI >CAK8561599.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:313662218:313663095:1 gene:gene-LATHSAT_LOCUS15176 transcript:rna-LATHSAT_LOCUS15176 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTVGEQLRWLLFTDISEMLPCSVVSSLVVIRLSYSAEFMSIFQHLEKKGENWIPAHNVGLPRAMRWSYRQGALKVDELRPILDELTPADVIWRPFENHRVWRQFDELCLYRGCLRWGDTIVPYLPDRCMRQFGYRQYVPHPPIDHRMAGDIDVDWISYHQSVQNVIRPTAPATTPYDTDDGYLEWYYRVSHPRLVPIPYHDAPAEMPVPVYEAGPSDPSWARVSSLIHRYLQQADAEDDDPQFADLFEALHIARSQ >CAK8539258.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:507701029:507702997:-1 gene:gene-LATHSAT_LOCUS8370 transcript:rna-LATHSAT_LOCUS8370 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVPSSTTILLHSRPFFKFNKPFRNNSHPSFQILELKRNFNFKSDYRKSSCKAVFSDEAPFAAAIGACMLTSLVFPIPVATEEEEESAITSTDTRLAVMGIMSFIPYFNWLSWIFAWIDTGNRRYAIYSLVYLAPYLRSNLSISPEESWLPIASILFCIVHIQLEASIKNGDIQGFQLFSNIMDQQSSGTKKKGRLNRYQDMSKGSKNEKKNLPSAEEQSRDIRGWEDSERPLEYKQHLNDSIDDDGEKRSNR >CAK8535843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:885128521:885129117:-1 gene:gene-LATHSAT_LOCUS5263 transcript:rna-LATHSAT_LOCUS5263 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGDSLPSSFSRTNNIVCSKPPKPNSSDHNNNNNNIQRTISDISFALSKEDFDLTLPPITEVEDAKCECCGMSEECTPEYIKRIRDKYLGKWVCGLCSEAVKEELEKNGGKKDEALSEHMNACVRFNKYGRTFPVLSQAEAMKEMLKKSKMEGRRAKSFNPREKGGGGGEKKSGLARSSSCIPAITRDIKDFKISS >CAK8577467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:566473491:566474406:1 gene:gene-LATHSAT_LOCUS29573 transcript:rna-LATHSAT_LOCUS29573 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTLNQPTFHYYQSEIGMANGDALRWIDSIPAEKWTRAFNGGRRWGHVTTNLVESLNDVFKGTRNLPITTLVRVTYYRLGSLFAERGAKWSVVLNSGQTFTDNCLNVMKEETTKSNTHQIKIFDYANNVFSVKETMDHGEGKPMGHYKVDLLNGWCDCGKFQAYCVPCSHVISACSKVRHNAYALLSDVYRVTNLFGVYSTSFPVLSYDEYWPVYEGDQIFHNPRIRRNKKGRPVSTRIRTEMDNFDKLERKCFMCRQTGHNRTRCPNVGTSNR >CAK8540043.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536789711:536791332:1 gene:gene-LATHSAT_LOCUS9082 transcript:rna-LATHSAT_LOCUS9082 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDCDEESDNTATMSTISQHNFSDNSETICISIVENMREDYGLYVWPCAVILGEYVWQQKQRFSEANVVELGAGTCLPGLVAAKVGASVTLTDDSSRLEVLDNMRRVCDLNKLECNVLGLTWGVWDSSIFDLRPTIILGADVLYDSNAFDDLFATVAFLLHNSPGSTFITSYHNRSGHHLIEFLMQRWGLKCVKLLDGFSILPSFKASQLSGNIQLVEMALMSKDNA >CAK8539971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533019301:533024977:-1 gene:gene-LATHSAT_LOCUS9017 transcript:rna-LATHSAT_LOCUS9017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAACRRLGKIGTNFPLPLTGFGGFGIGASKFSPYIFGSMHYSQFIKSKGKQLFLVDTLALVKKLEAQGVPSMQAEAITAAITEVLNDSLENVSQSLVSNEEMERTEMLQEANLSKFKAEVQSSQGHHFSLLQLETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELTNQNAETNNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >CAK8571509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434820746:434821235:-1 gene:gene-LATHSAT_LOCUS24169 transcript:rna-LATHSAT_LOCUS24169 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGEVFGLRRRGRFAARDVVRSPLNLII >CAK8571510.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434821092:434821235:-1 gene:gene-LATHSAT_LOCUS24169 transcript:rna-LATHSAT_LOCUS24169-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGAICLVNSVNERDLSLLNSYVEVTLHGQLLRGTMAA >CAK8542728.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:541593304:541595507:-1 gene:gene-LATHSAT_LOCUS11542 transcript:rna-LATHSAT_LOCUS11542 gene_biotype:protein_coding transcript_biotype:protein_coding MERYTLPLPQGLHNSLTIPWTQPQQQHQEQQSPSSWSTPNSEPKLNTNDQDIAIAIAMAASTTSLPFFKPEPDNFYNLNSTTTTTTNNNNVVPFVSNHTSTNDNFLMHQNTNTMDSISNPHPFFHNNNNNYFFNNTNNNNNPFEMGFENGFFMGNNNTNASNSPVFMGGSLDLSSASEFPPSLELDASVAPFSASFSMPLELSQPQPQQQQQQQPTTLFQKRRGALEIPRLETVGNKKKRKVEKKWEEDGNGGGEDDVEDFSELNYDSDENGNDLNNSNGTVVTGGDQKGKKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAVDYLKELLQRINNLHNELESTPPGSLLQPSASASFHPLTPTPPTLPCRVKEDLYPNDLLSPKNQSPKVEVRVREGRAVNIHMFCTRRPGLLLSTMRALDNLGLDVQQAVISCFNGFALDVFRAEQCREGQDIPPEQIKAVLLDSAGYHGLN >CAK8560181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:9761506:9762222:1 gene:gene-LATHSAT_LOCUS13878 transcript:rna-LATHSAT_LOCUS13878 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHQTSTFLKPHHNSQSPKPSTTTRRSFIPVHCGGPRSQRGPLVKGRFLSIEAIQAIQTLKRVHRTNPPNQNQLLTNTLTRLIKSDLVATLKELLRQQQCTLALHVFSAFKSEYGAYLSLYAEIVKALGNCGMSEEVDCLIKEIEDEGGIGFDEDQRKGLLNLIKSVVGAKRRDSMVRVYEMMKRSGWGSVVEPDEYVVKVLVNGFKGLGDEELAQEIQNEYDRFCKTKFDSFRV >CAK8540804.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22439709:22441232:1 gene:gene-LATHSAT_LOCUS9773 transcript:rna-LATHSAT_LOCUS9773 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTFNARGCCSSVKRRRISQILTRGKADICMIQETKCNNMEAGFVNSMWSTVDKNWSVQNSVGNSGGILSMWNTTRISAVSSFSGKGYLGLHLVWNNHNLVVINVYAPCNPADKRRLWRDLINIKNNFHDVGWILGVDFNSVKNGEERQGLSGNNNRDMKEFNDFIEEFNVSDLPVFGSRFTWFNSNGKSRSRLDRILVDDRVISLFSLKNQVVGDHDISDHRPMWLKSNFVNWGPKPFRCFNCWFEHKEFIPFVSKSWNSYHVSGSFRNILFKKFQALKSDLRTWNLNVFGWLELEIEENIVEFNKLELSSVDGSTLCDDDVIREMTRHQEKMWRDMRIKENMLAQKSRISWLQNGDSNSKFFHDSLKYRNRSNHLSAITVGGWTIKAPEDIKFEAVKFFKDKYLQHSKAKVSSGIFLDTCLSDEDISFLEADFSLSDVRKAVFNCDGNKCPGVDGFNFRFIKSCWDIIGQDFSNCILEFFKTGVLPKMFASSFIALVPKNSNP >CAK8542313.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:501016454:501016726:-1 gene:gene-LATHSAT_LOCUS11160 transcript:rna-LATHSAT_LOCUS11160 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMNNRTVHHDDKPFPGCLGRTVNLFDLTTATTVNGNKLLTDKPHRDHAASLSRSQSDVSRIASPSFADQIEDRPIVSNLTRASSNKK >CAK8532180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216182154:216185588:-1 gene:gene-LATHSAT_LOCUS1911 transcript:rna-LATHSAT_LOCUS1911 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFGKRIGRAVRERIERRKSSVLREALWHDPFMAASTRAIAERIPLVDLVIHVTDARIPLSSQCHLLRKYQTSSNQIIALNKADLASRSALQVWMDYFKEMNCISCGVNAHNKDSIRQFLSLIQRQVGKLKGTDHANKYTATVMLIGIPNVGKSALANALHQVGRISAAEKGKLKHATVSPEPGETKDIRSYKIASHPNIYVLDTPAVLSPEVPDVDVLSKLLLTGAIGDCLVERKETAQYFLAIHNSSDQYKKWAKLSNNDNDWVFPNSTTECLTTFGLRMKQKNQIPTDHTQDDVVQDVRRILYETVSSFEGNIRCEVEMEALIVRQFTALQEVFHVSTECEEDAHVMVAGKLLNLFRTGRLGHYIFDNLPRNTH >CAK8566918.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472444608:472452645:1 gene:gene-LATHSAT_LOCUS20014 transcript:rna-LATHSAT_LOCUS20014 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSESNAADHVVELIVHDASQPPPPPPSSSDDSRDNVNAQIAPLLSHTDRPKINIFTASYPRRKPRDEVTRLLESESSSPFTQCILWIWNGSRYSGLLCMALSSIVYFLMGVLSNLFSVQAIPLFETAFTRCTIILILSYLWLRRSEQPLFGTSNVRIILLLRALTGCISMLSFVYCFQRLPFAQAIVLNSTTPIMASVMARFFLREKLKIADIASLACSFFGVLFFFWEMLATQEQAVKVAEVSNANAKPSHHIFEILVGIFSSIIGGTSYCLIKAGAKASDQPLLTVFSFGILASPAMGLCTYIFEDFVLPRIESILLMLVLGVLAFFAEVLLARGLQLERMGKVANVQYMEAALTQFWGVALTLVSPALDHLIGIFLIVVSVFFTMYIGPDKETE >CAK8570670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:84766575:84769207:-1 gene:gene-LATHSAT_LOCUS23406 transcript:rna-LATHSAT_LOCUS23406 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSDESPKRLVASVCGVVVFLGFVYVFQGSIFGSQNSSTSEYGRSLKRLGASYLGADDDAGSKQQEESTSLVQGDDDFVPKNFPVCDDHHSELIPCLDRHLIYQLRLKLDLSLMEHYERHCPPAERRFNCLIPPPPGYKVPIKWPQSREEVWKVNIPHTHLAQEKSDQNWMVVKGEKIFFPGGGTHFHKGADKYIAAIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGGYLLASNIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVGRMCWRIASKKNQTVIWQKPLTNDCYMEREPGTRPPLCQSDDDPDAVWGVNMEICITPYSDHDHKAQGSELAPWPSRLTSPPPRLADLGYSSEIFEKDTELWQKRVENYWNLMGSKISSNTIRNVMDMKANMGSFAAALKDKDVWVMNVVPHQGPSTLRIVFDRGLIGTTHDWCEAFSTYPRTYDLLHAWTVFSDVQAKECSPQDLLLEMDRLVRPTGFIIIRDKQPVIEFVKKYLTALHWEEVATADSSSDSDQDGNEVVFIIQKKLWLTSESLRDAAE >CAK8568676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631281280:631289731:1 gene:gene-LATHSAT_LOCUS21614 transcript:rna-LATHSAT_LOCUS21614-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKVSAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLVKAREQQQQQQPQPQQLQHQHQQQQHMQMQQLMLQRHAQQQQQQQQHQQQQQPQSQQQQQPQPQQQQQSRDRAHLLNGSANGLGGNPGTANAIATKMYEERLKVPLQRDSLDDAAMKQRFGENMGQLLDPSHASILKSAATSGQPSGQVLHGAAGGMSPQVQARSQQLPGSTTDMKSDINSVLNPRAAGPEGSLLGISGSNQGNNNLTLKGWPLTGLEHLRSGLLQQQKPFMQSPQPFHQLQMLTPQHQQQLMLAQQNLTSPSVSEESRRLRMLLNNRNIGLNKDGLSNPGADVLSNVGSPLHGGGPPFPRGDTDMLMKLKLAQLQQQQQQLQQQSSISAQQQQLQQHTLSNQQSQTSNHSMHQQDKVGGGGGSVTMDGSMSNSYRGNDQVTKNQIGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPALPHNGNSSKPLMMFGTDGTGTLTSPSNQLADVDRFVDDGSLDENVESFLSPDDADPRDHVGRCMDVSKGFTFSDVNSVRASSSKVACCHFSSDGKLLASGGHDKKAVIWYTDSLKQKATLEEHSSLITDVRFSPTMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCVRVSKGGTTQMRFQPRLGRYLAAAAENIVSILDVETQACRYSLKGHTKTIDSVSWDPSGELLASVSEDSVRIWTLGTGNEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSMELWNMAENKTMTLSAHDGLITALAVSTVNGLVASASHDKYIKLWK >CAK8568678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631281280:631289731:1 gene:gene-LATHSAT_LOCUS21614 transcript:rna-LATHSAT_LOCUS21614-3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKVSAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLVKAREQQQQQQPQPQQLQHQHQQQQHMQMQQLMLQRHAQQQQQQQQHQQQQQPQSQQQQQPQPQQQQQSRDRAHLLNGSANGLGGNPGTANAIATKMYEERLKVPLQRDSLDDAAMKRFGENMGQLLDPSHASILKSAATSGQPSGQVLHGAAGGMSPQVQARSQQLPGSTTDMKSDINSVLNPRAAGPEGSLLGISGSNQGNNNLTLKGWPLTGLEHLRSGLLQQQKPFMQSPQPFHQLQMLTPQHQQQLMLAQQNLTSPSVSEESRRLRMLLNNRNIGLNKDGLSNPGADVLSNVGSPLHGGGPPFPRGDTDMLMKLKLAQLQQQQQQLQQQSSISAQQQQLQQHTLSNQQSQTSNHSMHQQDKVGGGGGSVTMDGSMSNSYRGNDQVTKNQIGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPALPHNGNSSKPLMMFGTDGTGTLTSPSNQLWDDKDLELQADVDRFVDDGSLDENVESFLSPDDADPRDHVGRCMDVSKGFTFSDVNSVRASSSKVACCHFSSDGKLLASGGHDKKAVIWYTDSLKQKATLEEHSSLITDVRFSPTMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCVRVSKGGTTQMRFQPRLGRYLAAAAENIVSILDVETQACRYSLKGHTKTIDSVSWDPSGELLASVSEDSVRIWTLGTGNEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSMELWNMAENKTMTLSAHDGLITALAVSTVNGLVASASHDKYIKLWK >CAK8568677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631281280:631289731:1 gene:gene-LATHSAT_LOCUS21614 transcript:rna-LATHSAT_LOCUS21614 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKVSAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLVKAREQQQQQQPQPQQLQHQHQQQQHMQMQQLMLQRHAQQQQQQQQHQQQQQPQSQQQQQPQPQQQQQSRDRAHLLNGSANGLGGNPGTANAIATKMYEERLKVPLQRDSLDDAAMKQRFGENMGQLLDPSHASILKSAATSGQPSGQVLHGAAGGMSPQVQARSQQLPGSTTDMKSDINSVLNPRAAGPEGSLLGISGSNQGNNNLTLKGWPLTGLEHLRSGLLQQQKPFMQSPQPFHQLQMLTPQHQQQLMLAQQNLTSPSVSEESRRLRMLLNNRNIGLNKDGLSNPGADVLSNVGSPLHGGGPPFPRGDTDMLMKLKLAQLQQQQQQLQQQSSISAQQQQLQQHTLSNQQSQTSNHSMHQQDKVGGGGGSVTMDGSMSNSYRGNDQVTKNQIGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPALPHNGNSSKPLMMFGTDGTGTLTSPSNQLWDDKDLELQADVDRFVDDGSLDENVESFLSPDDADPRDHVGRCMDVSKGFTFSDVNSVRASSSKVACCHFSSDGKLLASGGHDKKAVIWYTDSLKQKATLEEHSSLITDVRFSPTMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCVRVSKGGTTQMRFQPRLGRYLAAAAENIVSILDVETQACRYSLKGHTKTIDSVSWDPSGELLASVSEDSVRIWTLGTGNEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSMELWNMAENKTMTLSAHDGLITALAVSTVNGLVASASHDKYIKLWK >CAK8568679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:631281280:631289731:1 gene:gene-LATHSAT_LOCUS21614 transcript:rna-LATHSAT_LOCUS21614-4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKVSAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLVKAREQQQQQQPQPQQLQHQHQQQQHMQMQQLMLQRHAQQQQQQQQHQQQQQPQSQQQQQPQPQQQQQSRDRAHLLNGSANGLGGNPGTANAIATKMYEERLKVPLQRDSLDDAAMKRFGENMGQLLDPSHASILKSAATSGQPSGQVLHGAAGGMSPQVQARSQQLPGSTTDMKSDINSVLNPRAAGPEGSLLGISGSNQGNNNLTLKGWPLTGLEHLRSGLLQQQKPFMQSPQPFHQLQMLTPQHQQQLMLAQQNLTSPSVSEESRRLRMLLNNRNIGLNKDGLSNPGADVLSNVGSPLHGGGPPFPRGDTDMLMKLKLAQLQQQQQQLQQQSSISAQQQQLQQHTLSNQQSQTSNHSMHQQDKVGGGGGSVTMDGSMSNSYRGNDQVTKNQIGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPALPHNGNSSKPLMMFGTDGTGTLTSPSNQLADVDRFVDDGSLDENVESFLSPDDADPRDHVGRCMDVSKGFTFSDVNSVRASSSKVACCHFSSDGKLLASGGHDKKAVIWYTDSLKQKATLEEHSSLITDVRFSPTMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCVRVSKGGTTQMRFQPRLGRYLAAAAENIVSILDVETQACRYSLKGHTKTIDSVSWDPSGELLASVSEDSVRIWTLGTGNEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSMELWNMAENKTMTLSAHDGLITALAVSTVNGLVASASHDKYIKLWK >CAK8573594.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623458835:623463751:1 gene:gene-LATHSAT_LOCUS26025 transcript:rna-LATHSAT_LOCUS26025 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCYRLDNFIVSAFKPKNANVPLSIHHHSSNFQSPFKVQNFPFRVRCNSAIRATSSSSSPPSTIAEPNGIKINSIPTKPIEGQKTGTSGLRKKVKVFKQENYLANWIQALFNSLPPEDYKNGLLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGKEGILSTPAVSAVIRKREANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADIPDVDLSNVGVTKFGSFSVEVIDPVSDYLELLETVFDFQLIKSLISRPDFRFTFDAMHAVAGAYATPIFVDKLGASPDSISNGIPLEDFGHGHPDPNLTYAKDLVNIMYAEKGPDFGAASDGDGDRNMILGTSFFVTPSDSVAVIAANAKEAIPYFKNSVKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGNLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKDTKPGEKLISVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLREILSKSKPGDKYGSYVLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIYRLSGTGSAGATVRVYIEQFEPDVSKHDVDAQIALKPLIDLALSVSKLKDFTGREKPTVIT >CAK8537540.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390584540:390584943:-1 gene:gene-LATHSAT_LOCUS6829 transcript:rna-LATHSAT_LOCUS6829 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFYFTEKGKTKPLSLRSKTPQNPFSLINFSPPSFRQLCFSSSFRLRTQHQYIKSKPLILLAVEIFLQVLPSRFSQSKINQIVCYYPSNKRCTSWNW >CAK8538106.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:463026580:463029959:1 gene:gene-LATHSAT_LOCUS7341 transcript:rna-LATHSAT_LOCUS7341 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTNASEEDLKPDRLLDVVLSWTFQDVLNDNLYKDKVQKIPETFNSAMDYKRSFIPLLFEETHSDLSSSLHGVSQAPFCEIKNIQESKPKSQKQFTHVNLILLLKNTTEFDRVENVGNYEPGSGDLIAITHIKPKSLNDLNTLKSPYHIAYVKWGGNESSDKISVMSSKCTNEFDFRKNKTQKMYAVYLMNMTTNVRIWKALSSQSKGDHLNIIQKVLQPGLNSGENCRICMSRSNNQASFIIEDIICSQNLNASQEDAVSSCVSMVNCLHANTKLIWGPPGTGKTKTVACLLFSLLRLKTRTLTCAPTNTAVLQVATRLHSIVVDSLGHDSYGLGDIVLFGNSKRMKLDCYPGLEDIFLDYRVKNLMQCYAEWQHTFESMIQLLRDPKEQYFLQMSQKDFDVNKDSVIAYAYDAYQRQTHKRQGLVMKFEVFVQKAWRDITKIYRLDEHDKKECMLITEKFVRQRIEKSRMSGLKFIVQTIMQLFEDPRRQIFSKMGYESFDDFAMNNTLVSTYSTYKQNKGENKYDDSMTFQDYVIVARKDILELYQRVMTMEQFVKQRFGALREKLKFLIHNLCTHMPKSFISVNNMLQALDLLKSLEISLSRAKFKRTVNDFEESVPPCFGPSGWEKNECIRVLSLLSNSIKLPEFKVKDQVEKFCLSNATLILCTASSSIKFYTEEMRPVQFLVIDEAAQLKECESTIPLQLPGLSHCILIGDERQLPALVKSKIADKCEFGRSMFERLVNLGYKRHMLNVQYRMHPSISLFPCKEFYDGNLSDALVVRDESYNKHFLEGAMYGSYSFINIAKGKEQFGRGHSLKNVVEVAVISKILESLKQQFMRTRKKVSIGIISPYNAQVYEIREKVKPYLAVSDTDFSVNVGSVDGFQGGEEDIIILSTVRSNESRKVGFLSNEQRVNVAITRARYCLWILGNSNTLIDSYSIWRKIVIDAKRRDCFHNADEDQKLDQAIEEALFEVKLLEESESPFQKLSLGGKS >CAK8533876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:666620535:666622461:1 gene:gene-LATHSAT_LOCUS3465 transcript:rna-LATHSAT_LOCUS3465 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRESCNHTKHDSSFSQWKILIGPSDWEDYSKGKEGSRRYRIQNLPQNSGPGVYELGVAVSTSGLGREIYKLATRIVVVYLGKADNVRTRLQSYGRNGAHLGNGCSSFESSDQMGHSLFHEIFLQGFSIVYRWAPMQNKGDALQTESQLLSTFDYAWNTVNNGTRRPDDILQMLIKVSSGSRTFSEVAKSLLPFTQKKVGIPIKSSRLPITDSKSDEADNGGYNFLSRVFKFNRSRPKMVQVQDTADFAVEKNGKICGVILDNGSVCTKMPVEKRVRCHEHKGMRINMVSTKAMRRSKSDSENVFAAKAIRRSKSESEKMGESFVDESITKTVICGIVLEDGSTCRKEPVKGRKRCHEHKGKRIRASISINQKK >CAK8566230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:393822223:393824129:1 gene:gene-LATHSAT_LOCUS19382 transcript:rna-LATHSAT_LOCUS19382 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRVDGKAINGTTEVTNSVYMDYLGKEPTETDKMKGFVKITWIESEYENLKNIDRPTQEDVLLEAKLFILLVIATVLFPDKTQNLLHSSWVPFVGDLIKCGTYSWGSACLAKLYREMCKAAEKDVRSMSGCALLLTSWAFTRIPLFAPINTVEPSFPYAQRWTQRGMNYRATPRFHLQGYRNALDHMQEKDFIWRPYIQYPVPRLEDSQIWSTTTYLVCFYIIEMHQSDRVTLQFGFDQQIPPLPRCLKEHHAITMRKAQKVHWQQLNKDEVREWRHRRDVILQGGAIFGERKPSQEYLTWFRAIPYVHVAPDQFLTDPRTQASSSTQQTPASMHQHVPPTQTSQFGGYPSSSAQHNYNFPQFAQQYQPQPYLRPPRQFTPCTAPNFEQSNPYFQYPTNPTFNTTFSQPAFTPDDVYIPTIQQPQADTYPQPPQPSHSFQHFLLTEEQLTQMPDFNIEDILNDDEPGPSSRQTIPPRTHHNEDLSSDSSQSAANERLGRGYRQRRPPRCGTGGHLR >CAK8564684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7043783:7050681:1 gene:gene-LATHSAT_LOCUS17962 transcript:rna-LATHSAT_LOCUS17962 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYTRLGRPKSGGGAAPSLAAHSSSSSWSKFVFLLMSAIFRRRGLLLFAPLLYISGMLLYMGSLSFDVVLVHKHLPRPHPGSLYTSPQLFHKLWPSMEADNTSSPNVLLKAWSLNEDRQWKPCANETLSQIELPKSNGYLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSHFGDIFDENFFIQSLEKHVHVVRELPVDVLERFGNNVSNIVNLRVKAWSSPAYYLKKVLPHLLEMSAVRIAPFSNRLAPSVPSKIQGLRCFANYEALRFSEPIRTLAEAMVDRMIKHSSQSGGKYVSVHLRFEEDMVAFSCCVYDGGAEEKHEMDIARERSWRGKFRRKHRMIKPGVHRVEGRCPLTPLEVGMMLRGMGFDNTTFVYVAAGKIYKEQKYMAPLIQMFPRLLTKYTLATPEELAQFKRHSSRLAALDYTICLHSEAFVTTQGGNFPHFLMGHRRYMYGGHAKTIKPEKRKLVVLFDNPDVRWKVFKQQMKDMLSHSDQRGTEVKKTGESLYTFPMPDCMCKQVEPNYENANIGKNMIL >CAK8543596.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:624483407:624483814:1 gene:gene-LATHSAT_LOCUS12344 transcript:rna-LATHSAT_LOCUS12344 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGFARSVWMLIDHVKIRFSVALLSKSNWRVLSEKDLMWSKLLSFRYGDTKAKIISAFEPANQRKDSLWWKDVRAVGSILKMKLPGSPYSLSYF >CAK8563478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608766773:608769634:-1 gene:gene-LATHSAT_LOCUS16881 transcript:rna-LATHSAT_LOCUS16881 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRMKGFRDWVLSQIVSKSLVSPTPLSGGNGFYEEDRPSEDLNEQAPTANSVALPIPSGTSNSSANQSNQSNSTPQHVSDAEISQSQDSGNGRRKDTLAKVEDLQVKFFRLLQRLNQSKENLLVTKVLYRMHLATLIRTEELDLKRVNLSSSRAGAIANHQEATGMPQLEFSCRILVLGKTGVGKSATINSIFDQEKATTNAFQPATDCIQEIVGTVNGLNITFIDTPGFLPSSPNSVKRNKRIMLSVKRFIRKSPPDIVLYFERLDLINSGYSDLPLLRLITEVFGAAIWFNTILVMTHSSSAIPEGPEGYTVNYDSYISQCTNLIQQYIQQAMLDSRLESPALLVENHPQCPRNITGEKVLPNGQVWRSQLLLFCICTKVLGDVNSLLKFQNGVELGPANNAQVPSLPHLLSSLLRHRPVSNQSGIDDEMEEILLSDKEEGDEYDQLPSIRILTKSQFEKLSKQQKEDYLDELEYRETLYLKKQMKEECRRRKDKLLLEEQEFLNSNNFDDQQAPPELIQLPDMAVPLSFDSDCAIHRYRCLVDNDQWLVRPVLDPQGWDHDVGFDGINLEISTEIKKNVSATVVGQMHKNKQDFNIQSECAATYVNPLGPTYSIGVDVQSAGKDMVYTVHTDTKLKNIKHNIADCGVSLTSFGKKYYVGAKVEDTLLVGKRLKFVVNAGRMEGQGQVAYGGSFEACLRGEDYPVRNDNLSMTMTVLSFNKETVLSGSLQSELRLSRSLKATASANLNSRKMGQICIKMSSSEHLQIALVAVFSILKVLLSKKEI >CAK8563479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:608766773:608769303:-1 gene:gene-LATHSAT_LOCUS16881 transcript:rna-LATHSAT_LOCUS16881-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFRDWVLSQIVSKSLVSPTPLSGGNGFYEEDRPSEDLNEQAPTANSVALPIPSGTSNSSANQSNQSNSTPQHVSDAEISQSQDSGNGRRKDTLAKVEDLQVKFFRLLQRLNQSKENLLVTKVLYRMHLATLIRTEELDLKRVNLSSSRAGAIANHQEATGMPQLEFSCRILVLGKTGVGKSATINSIFDQEKATTNAFQPATDCIQEIVGTVNGLNITFIDTPGFLPSSPNSVKRNKRIMLSVKRFIRKSPPDIVLYFERLDLINSGYSDLPLLRLITEVFGAAIWFNTILVMTHSSSAIPEGPEGYTVNYDSYISQCTNLIQQYIQQAMLDSRLESPALLVENHPQCPRNITGEKVLPNGQVWRSQLLLFCICTKVLGDVNSLLKFQNGVELGPANNAQVPSLPHLLSSLLRHRPVSNQSGIDDEMEEILLSDKEEGDEYDQLPSIRILTKSQFEKLSKQQKEDYLDELEYRETLYLKKQMKEECRRRKDKLLLEEQEFLNSNNFDDQQAPPELIQLPDMAVPLSFDSDCAIHRYRCLVDNDQWLVRPVLDPQGWDHDVGFDGINLEISTEIKKNVSATVVGQMHKNKQDFNIQSECAATYVNPLGPTYSIGVDVQSAGKDMVYTVHTDTKLKNIKHNIADCGVSLTSFGKKYYVGAKVEDTLLVGKRLKFVVNAGRMEGQGQVAYGGSFEACLRGEDYPVRNDNLSMTMTVLSFNKETVLSGSLQSELRLSRSLKATASANLNSRKMGQICIKMSSSEHLQIALVAVFSILKVLLSKKEI >CAK8538526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486171762:486173405:1 gene:gene-LATHSAT_LOCUS7714 transcript:rna-LATHSAT_LOCUS7714 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRVHGVNYGNFMHGLNKENIQLNRKVLSEISMHEPYSFKSLVDISRNAFPGNKNVVLPPRKVSF >CAK8572220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:521755792:521758970:-1 gene:gene-LATHSAT_LOCUS24809 transcript:rna-LATHSAT_LOCUS24809 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNFTVVVMDILGSVFAFIAPLWIAVIFGVVVGWAWKPKWAIEPNSYDWTSFFKFRVPWSNDSELQNQPGFSDSSGSGSEGEKGLRCLVTEGDLQNLCKLVEEKDGGPAWIQMMDRSTPTMSYQAWRRDPERGPPQYRSRTTFEDATPEMVRDFFWDDEYRLRWDDMLIHASTIQECEVTGTMIVQWVRKFPFFCSDREYIIGRRIWNAERTYYCVTKGVPCSSMPRQSKPKRVDLYYSSYCIRAVKSRKDDLRTSCEILLFHHEDMGIPWEIAKLGVRQGMWGAVQKFDPGLRAYKKERDSGAPLSRSAKNAEVHTKVTTDYVRSLEDSTSNLLEIENQDSSDKPTGRNIPKLLVVGGAIVLACTLDQGLVTKAVIFGIARRFGKFGRRL >CAK8531577.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:126473869:126474222:1 gene:gene-LATHSAT_LOCUS1359 transcript:rna-LATHSAT_LOCUS1359 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVMKNLLAMLEAEEAEALKSNNHGTANAFNNHGTASAIPYNNHGTANAFNNNGGNQNFSGARINSGANSGDRKKYRTTNNVGGRTINNSGTFRGNGNGGYTEGNSDASTLNYYK >CAK8541905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:429327355:429328526:-1 gene:gene-LATHSAT_LOCUS10794 transcript:rna-LATHSAT_LOCUS10794 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLIDLEGDFYSCKHCKTAFALVDDIISKSFHCRYGKAYLFDKAVNVTVGEKEDRIMMTGMHTVVDLFCVTCGSIVGWKYEVAKENSQKYKEGKFILERYKVLGPDGSEYMPPLEDAEDV >CAK8565071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:33299793:33309831:1 gene:gene-LATHSAT_LOCUS18311 transcript:rna-LATHSAT_LOCUS18311 gene_biotype:protein_coding transcript_biotype:protein_coding MVANDYFPPWFSVAPMMDWTDNHYRTLARLMSKHAWLYTEMLAAETIVYQKGNLDRFLAYSPDQHPIVLQIGGSNLENLAKATELADVYGYDEINFNCGCPSPRVAGRGCFGARLMLEPKFVAEAVSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGLSPAENRSIPPLKYEYFYGLLRDFPDLTFTINGGINNIDETNAALKTGAHGVMVGRAAYHNPWHILGNVDTAIYGVPSIGLTRRQVLEKYQVYGDSVLLKYGFRPTVRDIVKPLLGFFHSEPGNGLWKRKADSAFQTCTTVKSFLEETMVAIPDSVLDSSFAKSPPGRGDLFANIDNLLPPPYRTRDEDSVLCK >CAK8561936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415074025:415076547:-1 gene:gene-LATHSAT_LOCUS15481 transcript:rna-LATHSAT_LOCUS15481 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEDDEEGFDSYRKGGYHAVRVGDQFAGGRYVAQRKLGWGQFSTVWLAYDTTTSAYVALKIQKSAAQFVQAALHEISVLSSIADGAPSNSKFVVQLIDQFKHTGPNGQHQCMVLEFLGDSLLRLVKYNRYKGLPLNKVREICQCILIGLDYLHREHGIIHTDLKLENVLLVSTIDPAKDPVRSGVSPILERPEVNVNGTVTSLIEKKLKRRARRAVAKISGQRASMGGAGESPQSERRNMHGIDVRCKVVDFGNACWADKPFAEEIQTRQYRAPEVILQAGYSFSVDMWSFACIAFELATGDMLFTPKVGQGFSEDEDHLALMMELLGKMPRKVATGGAKSKDFFDRHGDLKRIRRLKFWPLSKLLIERYAFSESDANELSEFLLPLLDFAPEKRPTAQQCLQHAWLAGKDSVPSEKRNETSVEKVDVGISNLKIRVGK >CAK8538527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486175271:486183453:-1 gene:gene-LATHSAT_LOCUS7715 transcript:rna-LATHSAT_LOCUS7715 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKSENQTSLIFVINGEKFELSSVEPSTTLLEFLRTQTRFKSVKLGCGEGGCGACVVIISKYDPLLDRIEDFTASSCLTLLCSIHGCSVTTSEGIGNSKQGFHPIHERFAGFHASQCGFCTPGMCVSLFGALVNAEKNNCPEPPSGFSKVTGFEAEKAIAGNLCRCTGYRPIVDACKSFAADVDMEDLGLNSFWRKGESKDLKLSRLPQYENHHKNIKFPIFLKDIKHDLLLASKKNSWHKPTSLEELQNLLELNHANGTRIKVVANNTGMGYYKDKEGYDKYIDLKGISELSKIRKDQSGIEIGAAVTISKAIEVLKQQSKSDFISDFVMILEKIADHFGEVASGFIRNTASLGGNLIMAQKNNFPSDIATILLAVDSMVHIMSGTQFEWLTFEEFLERPPLSLESVLLSIKIPNLDTIRSTSSKQRNRFYFETYRASPRPLGNALPYLNAAFLVEVSPSEDSGGSMIDTCRLSFGANGNEHAIRAKNVEELLTGKVLSFSILYEVVNLLTSTIVPKDENSKTAYRSSLAASFVFQFFNPLIEISAGATNFSNGYSSFPFVKDFELKENQKQVHHDKTPTLLSSGKQVLEAGNEYHPIGKPITKSGAALQASGEAVFVDDIPSPPNCLHGAYIYSSKPLARVKSIKLSPELQLDGVRGVISSKDIPSGGENIGSKTIFGAEPLFVEEIARCVGDRLAVVVADSQKLADMAANSTTVSYDNENLEPPILSVEDAVKRSSFFEVPPFLYPKNVGDISKGMAEADHKILSAELKLGSQYYFYLETQTALAVPDEDNCITVYASNQCPEFTHSTIAKCLGIPESNVRVITRRVGGGFGGKAIKAIPTAAACALAAQKLCRPVRMYLNRKTDMILAGGRHPMKITYSAGFKNDGKITALELEILVDAGIYMDISVSMPHNIVTALKKYDWGALSFDIKVCRTNLPSRSAMRGPGEMQGSFIAEHIIENVAATLSIDVDSVRSINLHTHRSLQSFYDHCYGEPFEYTLPSIWSKLAVSANYEQRTKMVKEFNRINIWRKRGISRVPVVYQLSLRPTPGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFALGTIQCNETESLLDKVRVIQADTVSLIQGGFTAGSTTSETCCEAVRLSCGTLVERLKPLKEKLQEEMGSIKWETLILQAYMQSVNLSASSLYVPSNNSTMYLNYGAAVSEVEIDLLTGGTRFLQTDIIYDCGQSLNPAVDLGQIEGAFVQGLGFFMLEEYGTDHNGMSLADGTWNYKIPTIDTIPQQFNVQILNSGHHQHRVLSSKASGEPPLLLAASVHCATRAAIKEARKQLLSWSNMDESDSTFQLGVPANMPVVKELCGLDVVEKYLKWKMSMG >CAK8574243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:671883241:671887860:-1 gene:gene-LATHSAT_LOCUS26603 transcript:rna-LATHSAT_LOCUS26603 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAITSANAPFSTISLYRSRSNFRPIRCSAQSLPLVDNAGKFLEASKKGNVIPLYRCIFSDHLTPVLAYRCLVKEDDRDAPSFLFESAEPGLQISSAGRYSVVGAQPCMEIVAKENMVTVMDHEEGLKTEEIALDPLAIPRRIMDKWKPQLIDDLPEAFCGGWVGYFSYDTMRYVEKKKLPFDNAPIDDRNLPDIHLGLYDNVIVFDHVEKKAFVIHWVRLDRYSSAEQALNDGMDRLETLVSRVHDIIAPRLPAGSIKLITSLFGPKLELSNMTNEEYKKAVLEAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGSILVASSPEILTRVKKRKITNRPLAGTVRRGKTPKEDIMLEKELLNDEKQCAEHVMLVDLGRNDVGRVSKPGSVQVEKLMNIERYSHVMHISSTVTGELLDGLTSWDALRAALPVGTVSGAPKVKAMELIDQLEVARRGPYSGGFGGISFSGDMDIALALRTIVFPTNTRFDTMYSYKNVNKRREWIAHLQAGAGIVADSDPADEQRECENKAAALARAIDLAESSFVNK >CAK8566038.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:371153102:371156482:-1 gene:gene-LATHSAT_LOCUS19206 transcript:rna-LATHSAT_LOCUS19206 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKNGVNYFLDIAFTKGMVEEEEILCPCAVCCNDIWEVRDVVYDHLCSKGFVKGYTEWIYHGEDESLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDAKKFYKLVDDAKQELYPGCEKFSSLSFTIRIYLLKCLHGWSNASFTALLELLKEAMPDLNIPVSFNKTKSMIKDLGLDYKKIDACPNNCMLFWKDHGKDDSCHICGASRWIEYPEVADDLEESIKAHKVSAKVLRHFPLIPRLKRLFMCSKTADTLRWHADHRSRDGKLRHPADAQSWKDFDAKHSDFSLETRNIRLGLASDGFNPFRTMSLSHSTWPVVLTIYNYPPNLCMKAENCLMSLLIPGPKSPGNEIDVYLQPLIEELKILWDVGVETYDISKNQSFQMRAALMWTVNDFPAYAMLSGWSTKGKFACPACNHKTSSRYLKYSRKMCYMGHRVFLDSNHSWRSNSSSFDGKPEHRSAPSLLDSTMILKDLEKIPDVVGQKYQKKRPGPWKKKSIFWQLPYWKDNSLRHNVDVMHAEKNICDNIMGTLLEIEGKKKDHAKARLDLQHMGIRKKLHLKATSDGKKTQIPKACFSLTKQEKSIFCNVLKTVKLPDGLASNISRCVQVNEGKVSGYKSHDAHIILHYLLQVAIKGIAPNQVVIPLLRLCSFFRCLCQKVIDVKTLDYLEVEIAETLCQFERIFPPSFFDIMVHLPIHLANEVRLGGPVQFRWMYYMERYLGDLKSFVRNRSRPEGSIAEAYLVKESLTFCSRYLSSAVDTRMNRMTRNSDDTPSIGHPIGGKKLISLDNKSLNQAHGYILFNCDEVQEYIREHEVNVHNLKKKRKPRKANNQREDFIQWFETRVIEEEVTEWLKVLSRGPNDVVRRYSGYVINGYRFHTTNREAKLKTQNSGVTLEAVTQVIRNAKDENPKKICVTYYGAVKDIIELDYYGHEKYVLFKCDWFVDEKDKYGSPFVYFNKKCYKNDPFVLASQVQQCFFIEDPLNKNKHYVLNALPRETFDMGECLGSDAQEYDISTNLDTLKDDCEVDLVRKDVPDDIFEIPLSELHNQKSIESDHSDTSYESDDEIDYDSSTD >CAK8536112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:908576164:908577282:-1 gene:gene-LATHSAT_LOCUS5511 transcript:rna-LATHSAT_LOCUS5511 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKGQWLWLLYVLLIHIVIVINNIEAISPDGEALVSFRTTIISSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLSGSLSPDLGKLDHLKVLALHNNNLYGIIPPELGNCTELQGIFLQGNYLSGIIPSEIGNLSQLQNLDISSNSLGGNIPVSIAKLYNLKKFNVSTNFLVGPIPSDGVLAHFTESSFVGNRGLCGVQIDSTCKDDGSPGEKSSDQNQNVKKKYSGRLLISTSATVGALLLVALMCFWGCFLYKKFGKNDRVSLAVDVGSGASIVMFHGDLPYSSKDVIKKLETLNEEHIIGVEEKERITTHANFLPQQISQTIMHTGLHLKLYRQYPAANLLHTTACKTKQPTQQLPC >CAK8536580.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:956534361:956534621:1 gene:gene-LATHSAT_LOCUS5936 transcript:rna-LATHSAT_LOCUS5936 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANAQRRSLDKRIRSRIAFFVESSTSEKKCLAEAKKRLTHFIRFAGTTKTTISLFPFFGATFFFPRDRVGIYNNLFFRMPGNHS >CAK8568206.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582145573:582151815:-1 gene:gene-LATHSAT_LOCUS21190 transcript:rna-LATHSAT_LOCUS21190-3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSAELSQGNNGGASIPPPNPQSGPPDHQPSRGSGNNAIFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNAVPIKGNEVNLTLGGIDLNNSGSVIVKADKKLLTVQFPDGHDGRAFTLKAETTEDLYEWKIALEKALAQAPSAANASEQNVKDREPAKSTVIGRPILLALEDADGTPTFLEKALKFMETHGASVEGILRQAADVDDVEHRVREYEQGKSEFAPDEDAHVIGDCIKHVIRGLPSSPVPASCCKALLEACRTDRGVRVASMRAAINDTFPEPNRRLLQRILLMMQTVASQKAVNRMSSSAVAACMAPLLLRPLLAGECEIDNDFDVGGDGSVQLLQAAAAANHAQAIVITLLEEYSSIFGQEGSVSPDIYTDSEESGSESEEGTDDDLSYDDDDDYDDESMQESDEDDLVSESYTETGDSETDDYDDKDHDHSHSSSKSSDASDEVKVNQMTTKSREGSPIEHENAKSSENLMSPKTAANADKSNKPVETSTDLAGMHNSHSPPSQSHMKKSATMSNGPAPRHRNMLGRTSARKNLSMESIDFPIEEDDEIEKLEAAKTELKNQIADEVKENEKLQAKINERKKDLQERRLALEQDVAKLQEQLQKEKSSRATLETRVELQELALVEVDLSNLERMVEELGQRLNVKLEHKYRSTSSIRKTSRQLSNQERKMKHKGDAEVAATSQYERSMSKDSFLSGGESDSEKKLESTPLQNKQPPISKRAPSRGEGANTSALTKLTSRLNFLKVGRSQLVNELQNADRGRESSHSLRSQDKGKGSERQQTLPSPRMNPEKAKVMDSSKSLTEKGKVMDSSKSLTEKGSSKGKSQQSSEKLRKSDSQPGYHSEGWDHQQPAYLERGRSEGHHQSFNVDKGR >CAK8568207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582145573:582151815:-1 gene:gene-LATHSAT_LOCUS21190 transcript:rna-LATHSAT_LOCUS21190-4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSAELSQGNNGGASIPPPNPQSGPPDHQPSRGSGNNAIFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNAVPIKGNEVNLTLGGIDLNNSGSVIVKADKKLLTVQFPDGHDGRAFTLKAETTEDLYEWKIALEKALAQAPSAANASEQNGIFRNDHTDPIDISLDQLKDREPAKSTVIGRPILLALEDADGTPTFLEKALKFMETHGASVEGILRQAADVDDVEHRVREYEQGKSEFAPDEDAHVIGDCIKHVIRGLPSSPVPASCCKALLEACRTDRGVRVASMRAAINDTFPEPNRRLLQRILLMMQTVASQKAVNRMSSSAVAACMAPLLLRPLLAGECEIDNDFDVGGDGSVQLLQAAAAANHAQAIVITLLEEYSSIFGEGSVSPDIYTDSEESGSESEEGTDDDLSYDDDDDYDDESMQESDEDDLVSESYTETGDSETDDYDDKDHDHSHSSSKSSDASDEVKVNQMTTKSREGSPIEHENAKSSENLMSPKTAANADKSNKPVETSTDLAGMHNSHSPPSQSHMKKSATMSNGPAPRHRNMLGRTSARKNLSMESIDFPIEEDDEIEKLEAAKTELKNQIADEVKENEKLQAKINERKKDLQERRLALEQDVAKLQEQLQKEKSSRATLETRVELQELALVEVDLSNLERMVEELGQRLNVKLEHKYRSTSSIRKTSRQLSNQERKMKHKGDAEVAATSQYERSMSKDSFLSGGESDSEKKLESTPLQNKQPPISKRAPSRGEGANTSALTKLTSRLNFLKVGRSQLVNELQNADRGRESSHSLRSQDKGKGSERQQTLPSPRMNPEKAKVMDSSKSLTEKGKVMDSSKSLTEKGSSKGKSQQSSEKLRKSDSQPGYHSEGWDHQQPAYLERGRSEGHHQSFNVDKGR >CAK8568208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582145573:582151815:-1 gene:gene-LATHSAT_LOCUS21190 transcript:rna-LATHSAT_LOCUS21190 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSAELSQGNNGGASIPPPNPQSGPPDHQPSRGSGNNAIFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNAVPIKGNEVNLTLGGIDLNNSGSVIVKADKKLLTVQFPDGHDGRAFTLKAETTEDLYEWKIALEKALAQAPSAANASEQNVKDREPAKSTVIGRPILLALEDADGTPTFLEKALKFMETHGASVEGILRQAADVDDVEHRVREYEQGKSEFAPDEDAHVIGDCIKHVIRGLPSSPVPASCCKALLEACRTDRGVRVASMRAAINDTFPEPNRRLLQRILLMMQTVASQKAVNRMSSSAVAACMAPLLLRPLLAGECEIDNDFDVGGDGSVQLLQAAAAANHAQAIVITLLEEYSSIFGEGSVSPDIYTDSEESGSESEEGTDDDLSYDDDDDYDDESMQESDEDDLVSESYTETGDSETDDYDDKDHDHSHSSSKSSDASDEVKVNQMTTKSREGSPIEHENAKSSENLMSPKTAANADKSNKPVETSTDLAGMHNSHSPPSQSHMKKSATMSNGPAPRHRNMLGRTSARKNLSMESIDFPIEEDDEIEKLEAAKTELKNQIADEVKENEKLQAKINERKKDLQERRLALEQDVAKLQEQLQKEKSSRATLETRVELQELALVEVDLSNLERMVEELGQRLNVKLEHKYRSTSSIRKTSRQLSNQERKMKHKGDAEVAATSQYERSMSKDSFLSGGESDSEKKLESTPLQNKQPPISKRAPSRGEVGRSQLVNELQNADRGRESSHSLRSQDKGKGSERQQTLPSPRMNPEKAKVMDSSKSLTEKGKVMDSSKSLTEKGSSKGKSQQSSEKLRKSDSQPGYHSEGWDHQQPAYLERGRSEGHHQSFNVDKGR >CAK8568209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:582145573:582151815:-1 gene:gene-LATHSAT_LOCUS21190 transcript:rna-LATHSAT_LOCUS21190-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSAELSQGNNGGASIPPPNPQSGPPDHQPSRGSGNNAIFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNAVPIKGNEVNLTLGGIDLNNSGSVIVKADKKLLTVQFPDGHDGRAFTLKAETTEDLYEWKIALEKALAQAPSAANASEQNVKDREPAKSTVIGRPILLALEDADGTPTFLEKALKFMETHGASVEGILRQAADVDDVEHRVREYEQGKSEFAPDEDAHVIGDCIKHVIRGLPSSPVPASCCKALLEACRTDRGVRVASMRAAINDTFPEPNRRLLQRILLMMQTVASQKAVNRMSSSAVAACMAPLLLRPLLAGECEIDNDFDVGGDGSVQLLQAAAAANHAQAIVITLLEEYSSIFGEGSVSPDIYTDSEESGSESEEGTDDDLSYDDDDDYDDESMQESDEDDLVSESYTETGDSETDDYDDKDHDHSHSSSKSSDASDEVKVNQMTTKSREGSPIEHENAKSSENLMSPKTAANADKSNKPVETSTDLAGMHNSHSPPSQSHMKKSATMSNGPAPRHRNMLGRTSARKNLSMESIDFPIEEDDEIEKLEAAKTELKNQIADEVKENEKLQAKINERKKDLQERRLALEQDVAKLQEQLQKEKSSRATLETRVELQELALVEVDLSNLERMVEELGQRLNVKLEHKYRSTSSIRKTSRQLSNQERKMKHKGDAEVAATSQYERSMSKDSFLSGGESDSEKKLESTPLQNKQPPISKRAPSRGEGANTSALTKLTSRLNFLKVGRSQLVNELQNADRGRESSHSLRSQDKGKGSERQQTLPSPRMNPEKAKVMDSSKSLTEKGKVMDSSKSLTEKGSSKGKSQQSSEKLRKSDSQPGYHSEGWDHQQPAYLERGRSEGHHQSFNVDKGR >CAK8542362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:504603825:504604139:-1 gene:gene-LATHSAT_LOCUS11206 transcript:rna-LATHSAT_LOCUS11206 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKLALMLFLLGYTATAVDARFDSTSFITQVLFNGESGYTIKSTTTACCDSCPCTRSIPPQCRCTDIGETCHSACKRCYCTKSIPPQCRCADITNFCYEKCN >CAK8575998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:382202295:382203112:1 gene:gene-LATHSAT_LOCUS28218 transcript:rna-LATHSAT_LOCUS28218 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEESLVMDLHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKRAQEKKHAATTTAPSISSSPDQSSSCQSSLFSNNNHASNKETEEEEENYQVQEIEQSYSMDDIWRDIAMSEEDDINILQQTVYDGTSEENCNNNFCSSIMPSASSSSWNFSNLDPLWVMDEDESKMLVPPFMINKGTLF >CAK8543825.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:641646633:641667964:1 gene:gene-LATHSAT_LOCUS12556 transcript:rna-LATHSAT_LOCUS12556 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMDLKPYTIFTNFLFLFLILMTLPSFSKGGSEALDSEIYEIDYRGPETHSFVPPPNHSHGKTHLPHHKSYADANKAMGLTTMKQKVKKVHG >CAK8571286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:364561624:364563690:-1 gene:gene-LATHSAT_LOCUS23962 transcript:rna-LATHSAT_LOCUS23962 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTSKLLFLFSTLCLLHANATDVHYCDKKANYAVEVKGVQISPDPIARGHPATFTIAAATSKDLSGGKLVIDVSYFGWHVYSETDDLCGESSCPISVGDFVLSHSQVLPGITPPGSYSLKMKLYDGNKNELTCFTFGFDIGFGSSVADM >CAK8543416.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:606866620:606868614:1 gene:gene-LATHSAT_LOCUS12173 transcript:rna-LATHSAT_LOCUS12173 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFNFFRFLFLLLIFPSFSFSATPCPLNITLLRHIGDGSRPSTTSSDTQCHYILQALHLVQADYLHRTGFFVPPPNTSESCWSSFQSFIDEFQPNFNIRSSCGFQTSWISQGCMNITTKQQFEQQVSLPALQSLQTNCNVSLDNNAPCALCTAKRSDALTSLTGVTVSNVSDCRSYTAIYAASLSDQFGPTNIGTAKCLFGLDFSSSSSSSKHHTIVIVLVTVFVFLGLLLCGGFWGYFRYKKKKSVNSKGNSNRTEIILGLSSGLDNMNQSTTLIKFSFDEIKKATRNFSRDNIIGSGGYGNVYKGLLSDGSEVAMKRFKNCSVAGDASFSHEVEVIASVRHVNLVALRGYCTATTNLEGHQRIIVTDLMENGSLYDHLFGSSKKKLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDEKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVLLLELLSGRKALETNEDGQPSAFSDLAWSLVRNNRALDVVEDGMPESGTPEILEKYVLVAVLCSHPQLYARPTMDQVVKMLETDDEPMPSVVERPIPFIAGRLDIEKSTGSNSGQLCSPTGYQAYTLQLQNRRTSTCNEEDEENSERVSNSMEAEGNSERLSNSKEEKGNSERRSVSKD >CAK8540633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:13757204:13765708:-1 gene:gene-LATHSAT_LOCUS9619 transcript:rna-LATHSAT_LOCUS9619 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSTCNLFAVTNELQNHRTQKHSILPPGFPRKVFAEVIGTYLLVFVGSGSAAMNAIDENKVSKLGASLAGGFIVTVMIYAIGHISGAHMNPAVSLAFATINHFPWKQVPFYITAQLTGAISASYTLRALLEPSKQLGATSPSGSNIQALIIEIVTTFTMVFISAAVATDSKAKGELAGVAVGSSVCIASIVAGPISGGSMNPARTLGPAIASASYKGIWVYMVGPITGALLGAWCYVVIQERDHKQDIVTLQSPISLKIHHEMSGIEL >CAK8531162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:85922556:85923633:1 gene:gene-LATHSAT_LOCUS971 transcript:rna-LATHSAT_LOCUS971 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIGVEARQQTPTGLGGLGIPDLEGMLGGLPDASSLSQFMQNPVISQMMQSILSNPQTMNQILGMNTDQRGVPDLNSMREVMQNPEFLRMFSSPETMQQLLSMQQALMTQLGQ >CAK8564967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19659720:19663040:-1 gene:gene-LATHSAT_LOCUS18215 transcript:rna-LATHSAT_LOCUS18215 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMVAGAFLTPVIQVIVERIASGDYKDLFKKRLVEKLEITLNSINQVLDDAERKQYQNPNVKIWLDRIKHEVYEVDQLLDEIATSAQRKSKVKHLFSSLTNQFESRIKDLLDKLEYLLKQNDVLGLKEGTHARNELEVGPESSKRVPTTSLVDESRICGRNDDKEEIINFLLFDNGSDSNQAPIISIVGLGGMGKTTLAQLVYKDHRVQKNFELKAWVYVSESFDVIGLTKAILESFGSSPNTENLDLLQCQLQEKITGKKCLLVLDDIWKINWENCEKLLVFFNEGSSGSKIIATTRNKENALAMQSELFELDQLGESDSWSLFERHAFPDKKGNEYSDLEPIGKRIVGKCGGLPLAVITMGKLLRAKFSKSKWIEILEDDMWGLSEKNTGINPVLRLGYHNLPSNLKPCFAYCSIFPKGYKFDKNKLIQMWMANGLLNSYKSDKSKEELGSEIFNVLESISFFQRSLDHFIMHDLVNDLAKSVSREFCLQIEDDKKVNHISKRTRHIWFSFDSKDGDRILKHIYRSKGLHSLLVDPHSGNSTSINNNVQCDIFSKLKYLRMLSFPGCSYFLRELELADEIGNLKFLRYLNVSWTGIKRLPESICKLCNLETLILEGCSNLTEFPLDFCKLDCLRHINLKGTAIKKMPKNIRKLNHLQTLTNFVVGEPNGSDIEELESLNLQGKLCLSGLNNVSNAAHAVEARLQDKKSLEKIRMTFDFGREEIGGSIVENNESVLKALRPNNNLKRLTIKNYNGNMFPTWLCGFDLPNLVSLKLYNCNGIKIFGNNSTNVPFKFLEVLKFDSMSEWEEWLCIEGFPRLKELFISHCPKLKVALPQHLPSLQKLVISGCKMLDISIPNCDNIIDCNKILINELSSSLKTLLLHNIQSVEFSMDRLIKCPFLEELLLDFTDFVECPSLDLCCYNSLSTLSITGWKFSSLPFSLHLFTNLDSLRLSNCPKLESFPIGGFPSNLSALEIDRCPKLVALRETWGLFQVNSLKDFTISDDFENVESFPEENLLPPDLVFFHLSNCSKLRIINCKGFLHLKSLLRLSISDCPIVKEKYKVDGGERWHTISHIPIVRIDSTNQHLIALCRNLMLKSGKST >CAK8537942.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449333761:449335250:-1 gene:gene-LATHSAT_LOCUS7190 transcript:rna-LATHSAT_LOCUS7190 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIEQPPSTAEATTALFGKYELGKLLGCGAFAKVYHARNIENGQSVAVKVINKKKINAIGLAGNVKREISIMSRLRHPNIVRLHEVLATKTKIYFVMEFAKGGELFAKISSRGRFSEDLSRRLFQQLISAVGYCHNRNIFHRDLKPENLLLDDKGNLKVSDFGLSAVKEQIRVDGMLHTLCGTPAYVAPEILAKRGYDGAKVDIWSCGVILFVLAAAYLPFNDPNLMAMYRKIYTGEFKCPRWFSPDLRRFLSRLMDTNPETRITIDEILRDPWFRKGYKEVKIYEEGFDFGEKVNGEEEDRTVELNAFDLISFFSSGLKLSGLIGDRVCEGERFMLKESPGKVVERAEAAAKAEGLVVRKKKECGVEIEGQNGDLVIGVEVYRLTAEMVVVEVKRFGGDAVAFENVWREKLRPHLCDATTSHQDETQANAVSADSDI >CAK8534255.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:710267569:710268648:1 gene:gene-LATHSAT_LOCUS3815 transcript:rna-LATHSAT_LOCUS3815 gene_biotype:protein_coding transcript_biotype:protein_coding MDESAAAAAAAAAVPKSLMENLLGLLRVRVKRGVNLAVRDVRSSDPYLVIKMYNQKLKTRVIKRDVNPEWNEDLTLSVIDPNHIVSLTVYDHDTFSKDDRMGDAEFDVSAYIEALRMDVTGLSNGTVLKRIQPSKHNCLTEESCIYYNGGKIIQDMILRLQNVECGEVEISLHWIDLPGSKGL >CAK8535288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:834427243:834429083:-1 gene:gene-LATHSAT_LOCUS4760 transcript:rna-LATHSAT_LOCUS4760 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGPLSQDWEPVVLRKKAPTSAARKDDKAVNAARRSGADIETMKKHNAATNKAASSSTSLNTKRLDEDTENLAHDRVPTELKKAIMQARTDKKLTQAQLAQIINEKPQVIQEYESGKAIPNQQIIGKLERALGAKLRGKK >CAK8570761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:109876943:109877317:-1 gene:gene-LATHSAT_LOCUS23488 transcript:rna-LATHSAT_LOCUS23488-2 gene_biotype:protein_coding transcript_biotype:protein_coding METFYVGDRTHSQSQEIYETLADLIQCIKAIEYVPQTSFALHDVDDEEKGDLLFEHSEKLALAYAILTLPRGAPIRITKNLRICGDCHSAITYISMIVEHEIILRDSSRFHHFKNGSCSCKGYW >CAK8570760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:109876943:109877377:-1 gene:gene-LATHSAT_LOCUS23488 transcript:rna-LATHSAT_LOCUS23488 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGIKKRPGCSWVQGRKGMETFYVGDRTHSQSQEIYETLADLIQCIKAIEYVPQTSFALHDVDDEEKGDLLFEHSEKLALAYAILTLPRGAPIRITKNLRICGDCHSAITYISMIVEHEIILRDSSRFHHFKNGSCSCKGYW >CAK8567123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489360520:489361663:1 gene:gene-LATHSAT_LOCUS20204 transcript:rna-LATHSAT_LOCUS20204 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMASSIRNMNMLVGVMIISSLVATCFANFNQDFDLTWGDHRAKIFNHGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRLFKNAETVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSNSFSDSTLQNNNELDANGRRRLRWVEKYFMIYNYCNDLKRFPQGIPAECKRSRF >CAK8567124.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:489360529:489361663:1 gene:gene-LATHSAT_LOCUS20204 transcript:rna-LATHSAT_LOCUS20204-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRNMNMLVGVMIISSLVATCFANFNQDFDLTWGDHRAKIFNHGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRLFKNAETVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSNSFSDSTLQNNNELDANGRRRLRWVEKYFMIYNYCNDLKRFPQGIPAECKRSRF >CAK8540963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:36088502:36088732:-1 gene:gene-LATHSAT_LOCUS9917 transcript:rna-LATHSAT_LOCUS9917 gene_biotype:protein_coding transcript_biotype:protein_coding MLECKHVLRVDQEELETVIPQAGGRVKIVNGAYRGSLARLLGVDTDRFCAKVQIEKGSYDGRVLKAVEYEDICKVA >CAK8540678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15837371:15838533:-1 gene:gene-LATHSAT_LOCUS9660 transcript:rna-LATHSAT_LOCUS9660 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFLSQTSFTKIRAGSLTYSKRSSQQFNSRKVASPFHVSCCHMSSSSSPLDDDKPTVNTDWRTFRAKLVAGEQLLNPELLSSVTNPDTVMDQPSLITIGDKWAHIIHEPERGCLLIATEKLDGVHIFERTVILLLSNGPIGPSGIILNRPSLMSIKETRSTAFDVMGTFSNSPLYFGGPLEEGLFLVSPKDDGVVRISGVFDEVMKGLYYGTKESVGCGSEMVKRNLVEVGDFRFFDGYCGWEKEQLKDEIRAGYWTVAACSPSVVDLGNVGIVGLWDEVLGLMGKRKVK >CAK8561914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409580200:409585454:-1 gene:gene-LATHSAT_LOCUS15462 transcript:rna-LATHSAT_LOCUS15462 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTQLLKHLISTRSQLDSLGSKRWVTYMHRPGDGTPRPVTMIPGDGIGPLVTGAVEQVMEAMHAPVYFEKFDVHGDMKAVPTEVLESIRKNKVCLKGGLVTPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNDKIAAQKTANPVALLLSSAMMLRHLQFPAFADRLETAVEKVILEGKYRTKDLGGTSTTQEVVDAVVDALD >CAK8561915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:409580200:409585454:-1 gene:gene-LATHSAT_LOCUS15462 transcript:rna-LATHSAT_LOCUS15462-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTQLLKHLISTRSQLDSLGSKRWVTYMHRPGDGTPRPVTMIPGDGIGPLVTGAVEQVMEAMHAPVYFEKFDVHGDMKAVPTEVLESIRKNKVCLKGGLVTPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKKEAHQGEDISLSSQTSQVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNDKIAAQKTANPVALLLSSAMMLRHLQFPAFADRLETAVEKVILEGKYRTKDLGGTSTTQEVVDAVVDALD >CAK8537141.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:108124016:108124321:-1 gene:gene-LATHSAT_LOCUS6453 transcript:rna-LATHSAT_LOCUS6453 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSNLESLVVPKSDEKPRDLRSWAKKTDFVSDYSGEVGSSGSEKFELFEQRGRGSSSSTEIEIGQNKDAEIQRVNAWCCCWFKCLGNRNVKPVYMRNEK >CAK8561716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:364841823:364845060:-1 gene:gene-LATHSAT_LOCUS15281 transcript:rna-LATHSAT_LOCUS15281 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSLHKVSLFMASEKPQRLHQIAGTNFQNTPKRAKTMATATATATESPMKEPFTKYVEYLNNLNDKRERVVKASRDITMNSKKVIFQVHRMSKYNKVEVLEKAEKDLAAVTNQYVSRLVKELQGTDFWKLRRAYSPGIQEYVEAATFCSFCKNGALLKLDEMNDTLLPLSDPSLQPLQINILDYLLGLADLTGELMRLAIGRISDGELEFAEKICSFARDIYRELTLVVPHMDDNYDMKTKMDVMLQSVTKIENACYSVHVRGSEYIPLLGSNDSSSFLVGVQDIEL >CAK8561717.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:364841823:364845060:-1 gene:gene-LATHSAT_LOCUS15281 transcript:rna-LATHSAT_LOCUS15281-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSLHKVSLFMASEKPQRLHQTVAGTNFQNTPKRAKTMATATATATESPMKEPFTKYVEYLNNLNDKRERVVKASRDITMNSKKVIFQVHRMSKYNKVEVLEKAEKDLAAVTNQYVSRLVKELQGTDFWKLRRAYSPGIQEYVEAATFCSFCKNGALLKLDEMNDTLLPLSDPSLQPLQINILDYLLGLADLTGELMRLAIGRISDGELEFAEKICSFARDIYRELTLVVPHMDDNYDMKTKMDVMLQSVTKIENACYSVHVRGSEYIPLLGSNDSSSFLVGVQDIEL >CAK8538518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485850379:485864854:-1 gene:gene-LATHSAT_LOCUS7707 transcript:rna-LATHSAT_LOCUS7707 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQQEDEEMLVPHTVPQADLAENNHEPMDVVAQPEIANPVEPAEDPTPSRFTWRIDNFSRMNTKKLYSDVFFVGSFKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAVVNQIHAKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLMNDTLIIEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFLQHDVQELNRVLCEKLEDKMKATVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCPDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDPKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIREADKEKVVCNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLGEQIGKDIYFDLVDHDKVRSFRVQKQTPFNVFKEEVAKEIGVPVQFQRFWLWAKRQNHTYRPNRPLTHIEEAQTVGQLREISNKVHNAELKLFLEVEHGPDLCPIAPPEKTKDDILLFFKLYDPEKEELRYVGRLFVNSIGKPSEIITRLNKMARYDPDEEIGLYEEIKFEPNVMCEPIDQKSTFRASQLEDGDIICFQKVLAIDNEEHIRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFSLEMSRLFTYDDVVERVAEQLGLNDPSKIRLTPHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATSDEVVIHTIRLPKQSTVGDVLDDLKTKVELSRPDAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEEIPEEEKNLGPLDRLIHVYHFTKDTTQNQMQIQNFGEPFFLVIHECETLAEIRVRLQKKLQVPDDEFVKWKFAFFSLGRPEYLEDSDVVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAANQNRHTFEKPVKIYN >CAK8538519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:485850379:485864854:-1 gene:gene-LATHSAT_LOCUS7707 transcript:rna-LATHSAT_LOCUS7707-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQQQEDEEMLVPHTVPQADLAENNHEPMDVVAQPEIANPVEPAEDPTPSRFTWRIDNFSRMNTKKLYSDVFFVGSFKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAVVNQIHAKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLMNDTLIIEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFLQHDVQELNRVLCEKLEDKMKATVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCPDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDPKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIREADKEKVVCNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLGEQIGKDIYFDLVDHDKVRSFRVQKQTPFNVFKEEVAKEIGVPVQFQRFWLWAKRQNHTYRPNRPLTHIEEAQTVGQLREISNKVHNAELKLFLEVEHGPDLCPIAPPEKTKDDILLFFKLYDPEKEELRYVGRLFVNSIGKPSEIITRLNKMARYDPDEEIGLYEEIKFEPNVMCEPIDQKSTFRASQLEDGDIICFQKVLAIDNEEHIRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFSLEMSRLFTYDDVVERVAEQLGLNDPSKIRLTPHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATSDEVVIHTIRLPKQSTVGDVLDDLKTKVELSRPDAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEEIPEEEKNLGPLDRLIHVYHFTKDTTQNQMQIQNFGEPFFLVIHECETLAEIRVRLQKKLQVPDDEFVKWKFAFFSLGRPEYLEDSDVVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAANQNRHTFEKPVKIYN >CAK8535143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:817189760:817191058:1 gene:gene-LATHSAT_LOCUS4619 transcript:rna-LATHSAT_LOCUS4619 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAHEDQDEFVLLDLDSVYGLIDIPPNANYVLRGLDTLNPVLIIEDKFKLIGEYEETIGTCIAFEEHEAPVVHEETGPSEANLFYGRRLIDSSQPPTKQVKPVCQLHKVLKFKLSPDSEIQSRTMEEDN >CAK8577789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588471445:588472074:1 gene:gene-LATHSAT_LOCUS29860 transcript:rna-LATHSAT_LOCUS29860 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKREREMYDNFAELYAIIKATERLEKAYVRDVITPQEYEIECQKLIAHFKTLASTLKDTVPSIERFADTYRMECPAAINRLVVSGVPATVEHRAIAAAGTSTSAAIVAECVQNFITSMDSLKLNMVAVDQVHPLLSDLNGSLNKLTILPPDFEGKIKMKDWIARLSKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >CAK8572207.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:520776207:520778928:1 gene:gene-LATHSAT_LOCUS24797 transcript:rna-LATHSAT_LOCUS24797 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFLITPTATAKRNRSDEEIETKKKLMQPSLHRSDPAAAIASVRHEFGEHGGVNMSIEPSVSFTVMEPETMRRMFNGEIGPDQDFYVYSRHFNPTVLNLSRLMAALEGTEAAYCTASGMSAVSAALLQLVNSGDHVVASSTVYGGTHALLNHFLPRTSNITTTFVEISDIEKVDEAMIEGKTKVLYFESVSNPTLTVANTPELSRLAHRKGVTVVVDNTFTPMVISPARLGADVVVHSISKFVSGGADIIAGAVCGSASLVNSMMDLQQGAIMLLGPTMNPKIAFELSERIPHLGIRMKEHSNRALVFSTRLKKLGLKVIYPGLEDHPHHELLKSIHNKEYGYGGLICIDMETEERANKLMSYLQNYGQFGFMAVSLGYYETLMSCSGSSTSSELNTEEKVLAGISPGLVRMSIGYIGTLEQKWSQLEKAIIRLQECGIANKN >CAK8560467.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:20827674:20828144:-1 gene:gene-LATHSAT_LOCUS14136 transcript:rna-LATHSAT_LOCUS14136 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIISDSMMITAPETQSTTSSNLVAQNEVEFAVCECCGLTEECTPAYIERVRERYQGKWVCGLCGEAVKDEIVRSERLVSTEEAMAKHMNFCKKFNTSGPPPNPAVHLISAMRQILRRSLVRSTPNSPVNKSSREIHGSGLARSESCFSTLSGS >CAK8531519.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118527337:118527594:-1 gene:gene-LATHSAT_LOCUS1304 transcript:rna-LATHSAT_LOCUS1304-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLVSGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTVASSVSLSVQPMFKRSRAQDQQMRLPSVNRVSIDVLNSPR >CAK8531518.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:118527337:118528443:-1 gene:gene-LATHSAT_LOCUS1304 transcript:rna-LATHSAT_LOCUS1304 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPQLQQQSPSLLDALLCEEHNFEDDDSDATVTDSEDPSGAKLHSLPFVLPHNDVFWESDELVLLISKEGETRLCCGNLVGDGSLEEIRVEAVNWISKVCAHYGFSTLTTFLAVNYFDRFITSLKFQKDKPWMTQLTVVACLSLAAKMEETHVPLLLDFQVEESRFVFEAKTIQRMELLVLSTLKWRMHPVTPISFFEHIVRRLGLKSRLHWEFMWRCERVLLHVIADSKVMMSYLPSTLAVATMIHVIKEIEPFNVSEYINQLLGLLKISEEQVNQCYKLMLKLLVSGDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTVASSVSLSVQPMFKRSRAQDQQMRLPSVNRVSIDVLNSPR >CAK8536495.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946895229:946896638:1 gene:gene-LATHSAT_LOCUS5858 transcript:rna-LATHSAT_LOCUS5858 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLIQQIQVSPPPSSLPSPTILPLTFFDIPWFFCHPVKRIFFYHFPHPTHHFLQTTLPILKHSLSLSLQHFFPFSSNLIIPPNSHDAPYIRYLDGDSLSFTVSESSANFNLLISDSQDAQNWHPLVPDLPPPRTEPNGTRVIPLMAIQLTVLPNSGFSISLTFQHVAGDGKSLHHFMKYWASLLKASANNNNNNLLSIDLPFHERDRVKDTKGLRSIYLQELRDSDSKNMEFAGLVRESYVNKVRTTLVLSYEQVQNLKKWVTDKCKDSHRTQHLSTFVVTSSLIWFCMVKSEESESKSDQDDCAVVDDLCYFVILADYRDSSEFSLPKSYFGNCLTSYFVAVKRNELVGENGIFVASNGIENKIRDFKSNALLGAETLMSNYKELSKPGKSVVVVAGSPKLAVYETDFGWGKPLKSDAVHLDTTGSISLSDCRDGGGGIEVGLALERSRMASFINIFQQQLDSICSI >CAK8536496.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:946895232:946896638:1 gene:gene-LATHSAT_LOCUS5858 transcript:rna-LATHSAT_LOCUS5858-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIQQIQVSPPPSSLPSPTILPLTFFDIPWFFCHPVKRIFFYHFPHPTHHFLQTTLPILKHSLSLSLQHFFPFSSNLIIPPNSHDAPYIRYLDGDSLSFTVSESSANFNLLISDSQDAQNWHPLVPDLPPPRTEPNGTRVIPLMAIQLTVLPNSGFSISLTFQHVAGDGKSLHHFMKYWASLLKASANNNNNNLLSIDLPFHERDRVKDTKGLRSIYLQELRDSDSKNMEFAGLVRESYVNKVRTTLVLSYEQVQNLKKWVTDKCKDSHRTQHLSTFVVTSSLIWFCMVKSEESESKSDQDDCAVVDDLCYFVILADYRDSSEFSLPKSYFGNCLTSYFVAVKRNELVGENGIFVASNGIENKIRDFKSNALLGAETLMSNYKELSKPGKSVVVVAGSPKLAVYETDFGWGKPLKSDAVHLDTTGSISLSDCRDGGGGIEVGLALERSRMASFINIFQQQLDSICSI >CAK8560120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8111390:8113053:1 gene:gene-LATHSAT_LOCUS13830 transcript:rna-LATHSAT_LOCUS13830 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKDEKKNEKGKDKIEGKIEKKKDDIEFIIAIYKLNLHCQECGNKIKKHLLTTQGVQSVEMDIEKGEIKAKGKLDPLKVLKIIEKKSNKKVELISPKVKPKEITISKPKEIKDPIVRTISVKVHMHCDKCEADLKHRLIKHKGIFNVKTDMKAQNLTVEGTIEVEKLLSFLKKIMHKNAQVISIKEDKKEEKKDKGKEEKKEEKKEEKKDKGKASGETSKVIEIHHHGNTRDDNKINDNINVPYIIHYVYAPQLFSDENPNSCSIS >CAK8573999.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653403588:653404476:1 gene:gene-LATHSAT_LOCUS26391 transcript:rna-LATHSAT_LOCUS26391-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSFLLNSSNFHSVFYQDKRDDDGIIDLGLSLGTVHHDAYHSSTNLYDDDIMDWPNVKNSSTAHSRSVHENFDEEIEGVQINERWAYVKVNMDGVSIGRKICVLDHGGYSSLAIQLEDMFGSHSVSGIRLFQSGSEYSLFYKDREDNWRAVGDVPWKEFVECVKRLRIARKNKGYVN >CAK8573998.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653403528:653404476:1 gene:gene-LATHSAT_LOCUS26391 transcript:rna-LATHSAT_LOCUS26391 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITLITSTKLFIRHYIIAMDSSSSSFLLNSSNFHSVFYQDKRDDDGIIDLGLSLGTVHHDAYHSSTNLYDDDIMDWPNVKNSSTAHSRSVHENFDEEIEGVQINERWAYVKVNMDGVSIGRKICVLDHGGYSSLAIQLEDMFGSHSVSGIRLFQSGSEYSLFYKDREDNWRAVGDVPWKEFVECVKRLRIARKNKGYVN >CAK8579695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717162434:717162970:-1 gene:gene-LATHSAT_LOCUS31621 transcript:rna-LATHSAT_LOCUS31621 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIIFCSAVTLATITVIVLALVSPVSHNKIHSKPWLDLSLYIQQPQNMTISNTHAVPREEAGAFVFHRILTEGPENTSKVVGKAQGFIIPVERFQQSEFNVLYLTFDAPDHYGSLSVEAVKDKDREEFKVVGGTGSFAFAHGVAVFSQRDEKTSDDGLIYHVKLELEFPNHSGKLL >CAK8535673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:874084078:874087688:-1 gene:gene-LATHSAT_LOCUS5106 transcript:rna-LATHSAT_LOCUS5106 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIYEVDKLKNVGGSNILSKIKQNFEETVGFGKGTTKLYATIDLEKARVGRTRKLEKEHTNPKWYESFHIYCAHLATNIIFTVKDANPIGATLIGRAYVPVEDVLSGEEIDRWVEILDVHKNPIHGNSKIHVKMQFFDVSKDLNWALGIRSPKFPGVPYTFFTQRQGCKVSLYQDSHVPDNFVPDIQLAGGQTYKPQRCWEDIFDAITKARHLIYITGWSVYTEISLVRDSRRQKPGGDITLGELLKKKAQEGVRVLMLVWDDRTSVGLLKKDGLMATHDQETAEFFHGSEVHCVLCPRNPDDGGSIVQNISIGTMFTHHQKIVVVDSELPSQSGLDKRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFPGATIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVPLRDLEDVVITPSPVTFPDDQETWNVQLFRSIDGGAAFGFPDTPEDAAKAGLISGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCYAWSADGIKPEDIGALHLIPKELSLKIVSKIEAGEKFTVYVVVPMWPEGVPESASVQAILDWQRRTLDMMYKDIIQALNAKGIEDDPRNYLTFFCLGNREVKKEGEYEPTERPEPDTDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLANRQPARGQIHGFRMSLWYEHLGMLQESFLHPESEECIKTVNQIADKYWDIYSSESLEQDLPGHLLRYPIGVSNEGNVTELPGFEFFPDTKARVLGGKVDYLPPILTT >CAK8538660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490934332:490934574:1 gene:gene-LATHSAT_LOCUS7836 transcript:rna-LATHSAT_LOCUS7836 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRALPSEIFAADDIFSKPFDLAQVITIQEDQPITAPPPSPTVTTTSQINTNSIPAVTTSPVKPNSPAAGKSA >CAK8540766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20062099:20069211:1 gene:gene-LATHSAT_LOCUS9738 transcript:rna-LATHSAT_LOCUS9738 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFGQAEINWDKLDKTKFYVVGAGLFTGVTVALYPVSVVKTRLQVATKGAVEKNAFSVAKGLLKTDGIPGLYKGFGTVITGAIPARIIFMSVLETTKVYAFKIVKPFGLSETTQAAVANGFAGMTSSLFAQSVFVPIDVVSQKLMVQGYSGHAQYSGGLDVARKIIRSDGIKGLYRGFGLSVVTYAPSSAVWWASYGSSQRFIWRFLDQGAKHDEGTPSVLKIMLVQAAGGVIAGATASCITTPFDTIKTRLQVMGHENKSSIKQVTKALIKEDGWKGLYRGFGPRFFSMSAWGTSMILTYEYLKRLCAIEE >CAK8532535.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:285092894:285093988:1 gene:gene-LATHSAT_LOCUS2231 transcript:rna-LATHSAT_LOCUS2231 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKSTMALFFSLSIFTFSFSLTILLLLNSTTPQTQSPTKPCNDDPYKQLNLILNPNGTVIRPSKPNTNTPPSLDPTLPILSKDLIINKSKPTWARIYLPRKALDHTSNKLPLIIFFHGGGFIFLSAASTIFHDFCFNMAKNLVAVVVSVEYRLAPEHRLPAAYEDAVEALHWIKTNQSDDWLNNHVDYSNVFLMGSSAGGNIAYNTGLRVAMVGDEASKMIKGLILIQPFFSGTHRTRSEIKLANDSHLLLCNNDMLWELSLPVGVDRDHEYCNPMVRDNVVRLKKIREFEWWVMVTDCDGDPLVDRSIELVRLLEKNGVNVVGHFTKGGYHGVQDSEPLKAKELFGEIKKFISSLPNHIME >CAK8532536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:285092915:285093988:1 gene:gene-LATHSAT_LOCUS2231 transcript:rna-LATHSAT_LOCUS2231-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFSLSIFTFSFSLTILLLLNSTTPQTQSPTKPCNDDPYKQLNLILNPNGTVIRPSKPNTNTPPSLDPTLPILSKDLIINKSKPTWARIYLPRKALDHTSNKLPLIIFFHGGGFIFLSAASTIFHDFCFNMAKNLVAVVVSVEYRLAPEHRLPAAYEDAVEALHWIKTNQSDDWLNNHVDYSNVFLMGSSAGGNIAYNTGLRVAMVGDEASKMIKGLILIQPFFSGTHRTRSEIKLANDSHLLLCNNDMLWELSLPVGVDRDHEYCNPMVRDNVVRLKKIREFEWWVMVTDCDGDPLVDRSIELVRLLEKNGVNVVGHFTKGGYHGVQDSEPLKAKELFGEIKKFISSLPNHIME >CAK8560060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6264529:6267958:1 gene:gene-LATHSAT_LOCUS13774 transcript:rna-LATHSAT_LOCUS13774 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVLSNGSPWIKVVSPSFPFQFLHQSHAYTSRILSFPRKFSVNALSQQSLPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLSWLGLDWDEGPGVGGDYGPYRQSERNSLYKQYAEKLLQSGQVYRCFCSNEELEKMKEDAKLKQLPPVYTGKWAYATDKEVEEELAKGTPYTFRFRVPKGSLKISDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALEFPMPNFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPEAMTNYLALLGWGDGTENEFFTLDKLVERFTIERVNKSGAVFDSTKLRWMNGQHLRARPSEDLNKLIAERWKTSGMLTISEGPFVDESIELLKDGIELITDADTALSNLLSYPLHSTLQSHEAESVIQDNLPEFCASFLAAYDSGDLLRALEEGQAGWKNWVKSFGKSLKRKGKSLFMPLRLLLTGKLHGPDIGATVVLLYKAGTSDIIASEVGFVTIDERVKVLRQINWETLSKDHAVKETASTV >CAK8544926.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:712740998:712741444:-1 gene:gene-LATHSAT_LOCUS13567 transcript:rna-LATHSAT_LOCUS13567 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEYVAPKLINGMIKIDIEQEDIETEIQFWDNALILYVVGNDLSMNTVKNFMQRMWNFVKMPDLYYHDDGYFLIRFISQEDKEAVMMKGPYMIRNMAVILKEWQTGFNLKKDMLRTLPIRVKLPQFPLIYGGQKVSAKLEALLANRW >CAK8532910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:548888169:548890166:1 gene:gene-LATHSAT_LOCUS2572 transcript:rna-LATHSAT_LOCUS2572 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPTKNLRDSFKLSYIKNLEWNYQRMEKDRQRQSSTQQKLLEDDNNNVVDTPQTGVAASICHELLLILSCCYCCFCCGACVDEK >CAK8544780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:705434565:705443491:-1 gene:gene-LATHSAT_LOCUS13433 transcript:rna-LATHSAT_LOCUS13433 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAELGQQTVPLSTLVSRTGQDSYNSLKELVDKCRSTDSSDTDKKISMLKFLNKTRQRMIRLNVLAKWCQQVPLIQHCQQLSSTVSNHDMCFTQAADSLFFMHEGLQLARAPVYDVPTAIEILLTGSYERLPKCIEDVGSQYALTEDKQKPALKKLDMLVRSKLLEVSLPKEISGIKVSDGTAMVRVDGEFQVLLTLGYRGHMSLWRILHLELLVGEKNKPVKLEELRRYVLGDDLERRMAAAENPFSILYSILHELCVALVMDTVIRQVQSLRHGRWKDAIRFELISDGGTGHGASSSSVQNADGESESSGLRTPGLKIIYWLDFDKNAGMSDSGTCPFIKIEPGSDLQIKCTHSNFVIDPLTSKEAEFFLDQSCIDVEGLLLRAICCNRYTRLLEIKRELVKKVQVCRTADDVVLQSHMGEPDIEYKQKEDMGCAKDSEGHEVLRVRAHGSSFFTLGISIRNGRFLLQSSQSIVVSSALLECEEALNQGSMTAAEVFLSLRSKSMLHLFASIGRVLGLEVYEHGLNTVKIPKTFLNGSAMLMMGFPDCGSSYFLLMQLDKDFKSLFKLLETQPDPSGKDNLFGDLNQVLRFKKIDIEQMQVLEDEMNLSMGDREKLHSIFPNAACPNQTSGHEIYSDIFLENSTHIARDHHPSVFSLTVDEVFGLEKVSSAPPFPVQNLSSPLNTSLPSHYGSLPMNSHSLKTGIPSSKWEGGMQISQASNNNVTTHYNGSLFSANSVKGPVQSSSVGSVPTGQGRSTVGIQLSASKSEQDLTSVKSPHSVDITSSTAMDEDTANDALSGSRSSLVSPPRPPISRISAPSSRPNVPPVESFKTAGFSSCDMTAVSQGMECAVNFSTSEDGISEHDKKSRKRTTSDMLSLIPSLQGVVKHQGICKRRKISDSRGSQLSLPHSSITPEVIPKAEACSYGSLITEANKGNAPSSIYVAALLHVVRHSSLCIKHARLTSQMDALEIPYVEEAGFRSASSNIWFRLPSARGDSWQHICLRLGRPGCMYWDVKINDQHFRDLWELQKGSSNTPWGSGVRIANTSDIDSHIHYDPDGVVLSYQSVEEDSIKKLVADIQRLADARTFSIGMRKLLGVRADEKLEEFLTNNDVKISGGKMASDTADKLSEQMRRAFRIEAVGLLSLWFSFGSSVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVSSLLDCIRLTAGPLHALAAATRPARAGPIPGVAAALSSFPKQASYISSQGLLLGSSTSTANVGQPASGLGANTGVSNATAGISNQTLSMLAAAGRGSPGIVPSSLLPFDVSVVLRGPYWIRIMYRKQFAVDMRCFAGDQVWLQPATPPKEGRPSGASLPCPQFRPFIMEHVAQELNGLDPSFTGQQVGGLTSSNSTNPNSGTQLMGANGNRINSAAMSRTGNQVASLNRVGNALAGSSNLALMTSAVPPRRPPGTVVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKENEGALLNLDPEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQNSSPAPEELSPSEISEICDYFSRRVASEPYDASRVASFITMLTLPIPVLREFLKLIAWKKGLAQAQVGDVVSAQKPRIELCLENHVGLNGDENADSSSAFRSNIHYDRLHNSVDFALTVVLDSAHIPHVNAAGGAAWLPYCVSVRLRYSFSESVNVSFLGMSGSHGGRACWSRVDDWEKCKQRVAQTVQVSASSAADVSQGRLKLVADSVQRNLHMCIQGLRDGSGVTSSSGAT >CAK8577619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:578578638:578582300:-1 gene:gene-LATHSAT_LOCUS29709 transcript:rna-LATHSAT_LOCUS29709 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISKSSCKSASHRLFKDKAKNRVDDLQLTFMDLQFARKESRTVDAAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDASSPLAAPKPEPNDQTLKAGDKVIFQAGQQQHDFPLVDERKQSTSGVQTVATNNQDGPALEYHQFDLHQDFDHSLYTGFNGTGYSEEEAIPHISSYLPSTCPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFSALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVINEFGGLKRSYYMDPQPLNHFEWHLYEYEISKCDVCALYRLELKLVDGKKNSKAKVANDSVADLQKQMVKLSAEFPPDNKRPAKGRAKINAKVGMGAVYPASHRVTPLNGTYEYGLAAPYDYLAENMGDYYGT >CAK8542758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:543644981:543645900:1 gene:gene-LATHSAT_LOCUS11567 transcript:rna-LATHSAT_LOCUS11567 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFHMKGGVGETSYANNSSLQRKVIMEVKTILEENMILIMSNKSIKGCWKIVDLGCSSGPNTLLSISNIMNIIHKINMKLNHGKPVFQIYLNDVFENDFNNIFKFLPDFYQQEKEKNNGECFISATPGNFYGRLFPNYYIDIFHSSYSLHWLSKAPKILVKNGEPLNKGNIYISKTSPPCVYEAYFEQFEKDFSCFLKSRFEELALDGMMVVTLMGRESIGAITSVEEVIGMVLNEMVQEVNTNS >CAK8575060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:25451769:25453277:1 gene:gene-LATHSAT_LOCUS27351 transcript:rna-LATHSAT_LOCUS27351 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKLRYSVSSCVAASAIAPTTIVSHETTNHHFQNPKPKHPQHFLSLLLHNPSHLQPLQLLHSQIITSSLFHRHPLHNNPTSLLLFNNLIRSYSLSSSPHHALQFFAYTLNSLTHPLSLDSFTFTFLSHTCANFSSSSFGFHLHCLVFKLGFQSHVYVQTGLLRMYSSWGLLVSAAEVFDEMPQRSTVTWNVFIHGLIKWGQLELARSVFDRMLVRSVVSWTLVIDGYTRVNKPLKALALFRKMVEVDGIEPNEVTLLTVFPAVAGLGDIKMCRSVHGCVEKRGFNAVDIRIANALIDLYAKCGCIESASRLFWEMPDWRKNLVSWNSVISGFAIFGMVREAVETFERMEKAGVQPNHVAFLSVLSACSHGGLVEEGLEFFGKMVNDYGLVPDVKHYGCVIDMLGRAGRLEEAEKVAMQVPREVANDVIWRTLLGACSVHDNVEIGQRVTKKILEMEKGHGGDYVLMSNILAGVGRFEDVERLREMIDKRNAFKLPGYSLV >CAK8541317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:119460351:119460868:-1 gene:gene-LATHSAT_LOCUS10246 transcript:rna-LATHSAT_LOCUS10246 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTKKRNRLKQKTMNDLLYVMVNTRLTKNKAERKKRDLTIDDFQDDDDWWYVAEEENVGGNHVNVADLDEDLMQTAGAKSTAHVDEFDVLEIIESDNEEGNTNEGDGEDDNDNDYDGENDEINEDEEVDTMGRNLNYRRICDLY >CAK8578218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612977097:612979409:1 gene:gene-LATHSAT_LOCUS30251 transcript:rna-LATHSAT_LOCUS30251 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMAAQWVHQPYLPRASTNNLGTHHHSSQNHITPILFVSQTPKLSNDPRGRRLTKPLAFAATETQCEQPSIRPLIDLLKSCEQNGSLRQANCIHGHVLKSSFSDRDNLVCLNHIAHVYSKCMDYDSARKVFDGMSKRNVFSWTVMIVASNEHGYYSYALKLFCMMLEHGLLLDGFAFSAVLQSCVGLDSFKLGEMVHAQIVVRGFATHAFVGTSLLNLYAKLGKCEHSVKVFNNMTEINDVSWNAMISGFTSNGLYLQAFNCFLNMIEVGVSPNKTTLLCVSKAVGLLGDITKCSEVHRHASNWGLDCNASVGTSLINMYSKCGFLSNARLFFDSKFMSCLVSAPWNAMITGYSHAGCHLEALKMFTIMRENGVKPDIYTFCCVFNSIAALKCLKPLKETHAMALKCGFNVREISVSNALADAYVKCESFEAGEKVFYRMEKKDIVSWTTMVTAYCQYSEWGKALATFSQMRSEGSAPNRFTFSSVITACGALCLLEYGQQIHGLICKASLDTDSCIESALIDMYSKCGNLIEANNIFERISNPDTVTWTAIISTYAQHGHVEDALQLFRKMEQSAVKVNAVTLLCILFACSHGGMVEDGLRIFNQMEGTYGVVPEMEHYACVVDLLGRIGRLDEAVEFINRMPIEPDEMIWQTLLGACRIHGNAELGETAAQKILSTQPEHSSTYVLLSKTYMESGLLDNGVNLRDVMKERGIRKEPGHSWISVRGEVHKLYARDQWHPQKDKIYTMLEELTRNIKYMHYEPELSIIF >CAK8578219.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:612978306:612979409:1 gene:gene-LATHSAT_LOCUS30251 transcript:rna-LATHSAT_LOCUS30251-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCGFNVREISVSNALADAYVKCESFEAGEKVFYRMEKKDIVSWTTMVTAYCQYSEWGKALATFSQMRSEGSAPNRFTFSSVITACGALCLLEYGQQIHGLICKASLDTDSCIESALIDMYSKCGNLIEANNIFERISNPDTVTWTAIISTYAQHGHVEDALQLFRKMEQSAVKVNAVTLLCILFACSHGGMVEDGLRIFNQMEGTYGVVPEMEHYACVVDLLGRIGRLDEAVEFINRMPIEPDEMIWQTLLGACRIHGNAELGETAAQKILSTQPEHSSTYVLLSKTYMESGLLDNGVNLRDVMKERGIRKEPGHSWISVRGEVHKLYARDQWHPQKDKIYTMLEELTRNIKYMHYEPELSIIF >CAK8576628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498581409:498585912:-1 gene:gene-LATHSAT_LOCUS28802 transcript:rna-LATHSAT_LOCUS28802 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLSLHFNHTSLLQNRKLISHSNRHLPRDSLAPSLLKNTAIHSTNQCIISSKHRFLGLLTPRVVQNYNVIEESEDTDQISEGFDVDIDKEEVSELVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYSFMFLSIATSNMVATALAKQDRAEAQHHISVLLFIGLACGLAMLLFTRLFGATTLVAFTGRKNVHLVPAANTYVQIRGLAWPCLLVGLVAQSASLGMKDSWGPLKALVAASIINGIGDIILCRYLGYGIAGAAWATLASQVVAAYMMGQTLNQKGYNVFSFSVPSGKEFLAIISLSAPVFISLMLKMAFYSLLIYFATSMGTHTTAAHQVMVQIFWICTVCGEPLSQTAQSFMPELMYGVNRRLKKARSLLRSLVTIGAILGLLFGIVGTFVPWLFPYMFTPDQMVIQEMHRILIPYFLALVVTPATVGLEGTLLAGRDLRFISLSTTGCFCLNALLLSILCSRYGLQGCWFSLVGFQWARFFVALLRLLSPNGILYSQDTSQYELQKSKTA >CAK8565780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:332141179:332144356:1 gene:gene-LATHSAT_LOCUS18964 transcript:rna-LATHSAT_LOCUS18964 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPNSATTPAWQEMFRSASSRQPSSTTSPHAPSGLPRAPSNIPHAPSSTRNDSDPDGKNKFSGDPQVRLALYIAMAHAGLGFAIFILYTVSKLLEQYLRPLQWAVLCSIPLRGIHQTIVSFWAKPLTLGLTETVLAVPVAVFRAFVGTLVEIREASFRFILRKPKPPNPHPSIKRSGFSKLLRLLVSFGILIIAYERLGGFGASSLLGLGFVFSSKNVDSTMHALSSLRTNSFRRSAVSAYFTRKVVKRLSVLVAIGLIVVMIVGFLTGLIFFSYKIGIEGKDAVVSLKLHVEESNYSERIGVKKWMEENDVAGMVDSYTTKFYETVSDQIDGLAVQYNLTEIVTGIKHFVISTPANSSTSSTALIKPSRYTEKFLSLKTRVKNREWSQIYEELDSLFRELVISREDLVETAKGFAFKGIDVSQRILASSTTVLGSSSKFVLSIANSIVSGAAEVFNFVSQSMVFIWVLYYLITSESGGVTEQVMYMLPISNSTRIRCVEVLDKAISSVLLATAEMAFFQGCLTWLLFRLYKIHFLYMSTVLAFISPLLPIFPYWLATIPAAVQLVMEGRYIIAIFLSVTHLFLMDYGASEILEDVPGNSAYLTGLSIIGGMTLFPSALEGAIMGPLITTVMIALKDLYAEFVLEEPHDKAKKKTS >CAK8566055.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:374143488:374144879:1 gene:gene-LATHSAT_LOCUS19223 transcript:rna-LATHSAT_LOCUS19223 gene_biotype:protein_coding transcript_biotype:protein_coding MESDMDPNVLMDRYELGRLLGQGTFGKVYYARSKVTNQSVAIKAIEKNKVVRTGLVDRIKREISVMKLARHPNIIQLFEVMATKTKIYFVMEYAKGGELFNKVSKGKLKEEVAHRYFKQLINAVDFCHSRGVYHRDIKPENILLDENGNLKVSDFGLSALVESNQEDSMLRTPCGTPAYVAPEVIKRKGYDGAKADIWSCGIVLFVLLAGYLPFHDSNLIEMYRKISIAEIKYPSWFRPEVCKLLGDILNPKPNTRISIAEIKEHCWFKNGPNARNKKPKVENSTLSVCSSSTVLSDQNDENDGLEAEEAKEESFVPISINAFDIISLSVSFDLSRFFKDDVQTKEARFSSKLPASVIISKMGDIAKKLRMKIKKKAAGLLKLEGLDEGRKGVLSIDAEIFEITPNFHLVEVKKSNGDTLEYQKILKEDIRPALQDIVWVWQSDQPLQSQLSDQQLQIHDQQQ >CAK8544058.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660691850:660692668:-1 gene:gene-LATHSAT_LOCUS12767 transcript:rna-LATHSAT_LOCUS12767 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAQEPLIVGRVIGEVLDSFTTSMKMTVSYNKKQVFNGHEFFPSTINTKPKVEIDGPDMRSFYTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGKEIVSYEIPKPNIGIHRFVFVLFKQKARDSVRTTPSSRDHFNTRNFASQNDLGLPVAAVYFNAQRETAARRR >CAK8577961.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599241325:599241672:1 gene:gene-LATHSAT_LOCUS30017 transcript:rna-LATHSAT_LOCUS30017 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSSLLDSRDLSVIPSLPKGSLPPFSSFPSTDLSFKIPPVSSPAPTPVSTTPKSPRSLFSFFPFFSQTLSISKP >CAK8572318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529166857:529169091:1 gene:gene-LATHSAT_LOCUS24894 transcript:rna-LATHSAT_LOCUS24894-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGQSHNLEQSVFDHNHNLGLGETHALELRSAHEHQLSLGETHDHELGLEHPDECEFGLELNHDQEGDDGQAYEDNHKVAIDKKPELDEQDELSFPGQNHDLVFPGDNDLTVSEGQGLDENIDMAVVQHPEMSIGSANDMGVHPSQYMVSSEPYVIQARKVDISPTYELSVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFSIRTIHESHTCGGISHLGHQQASVQWVASSVEQRLMENPNCKPKEILEEIHRVHGITLTYKQAWRGKERIMAAMRGSFEEGYHLLPQYCAQVKRTNPGSIASVYGNPSDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLLATGFDGDGALFPLAYGVVDEENDDNWTWFLSELHNLLEINTENMPRLTILSNRQQGIVDGVEVNFPSAFHGFCMRYLSDSFSKEFNNTMLVNLLWEAANALTVNEFEAKIFKIEEISQDAAYWIRRVPPRLWATAYFEGQRFGHTTANIVEALSSWILEASGLPIIQMMEFIRRKLMTWFNERRETSMQWTSVLVPSAERCVAEALERAQTYQVLRANEAEFEIISHEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDSNASQALEVIINPPKSLRPLGQPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >CAK8572317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:529166833:529169091:1 gene:gene-LATHSAT_LOCUS24894 transcript:rna-LATHSAT_LOCUS24894 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKANQDMMFGQSHNLEQSVFDHNHNLGLGETHALELRSAHEHQLSLGETHDHELGLEHPDECEFGLELNHDQEGDDGQAYEDNHKVAIDKKPELDEQDELSFPGQNHDLVFPGDNDLTVSEGQGLDENIDMAVVQHPEMSIGSANDMGVHPSQYMVSSEPYVIQARKVDISPTYELSVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFSIRTIHESHTCGGISHLGHQQASVQWVASSVEQRLMENPNCKPKEILEEIHRVHGITLTYKQAWRGKERIMAAMRGSFEEGYHLLPQYCAQVKRTNPGSIASVYGNPSDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLLATGFDGDGALFPLAYGVVDEENDDNWTWFLSELHNLLEINTENMPRLTILSNRQQGIVDGVEVNFPSAFHGFCMRYLSDSFSKEFNNTMLVNLLWEAANALTVNEFEAKIFKIEEISQDAAYWIRRVPPRLWATAYFEGQRFGHTTANIVEALSSWILEASGLPIIQMMEFIRRKLMTWFNERRETSMQWTSVLVPSAERCVAEALERAQTYQVLRANEAEFEIISHEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDSNASQALEVIINPPKSLRPLGQPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >CAK8570289.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34408830:34421942:1 gene:gene-LATHSAT_LOCUS23051 transcript:rna-LATHSAT_LOCUS23051 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHQHPLCTRTHQIGSLLLVAATFFLTRLLDAPCNLSSTVSQQKFLGLHRFPESQELSLKIYVYDANEIDGLKELLQGRDGKITPEACLKGQWGSQVKIHKLLLESRYRTRKKEEADLFFVPSYVKCARMMGGLNDKEINQTYVKVISQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVEDGMTKAGSTIVQPLPLSKRKYLANYLGRAQGKAGRLQLIELSKQFPEKLECPDLKFSGGEKLGRKDYFEHLRNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQIELPFQNIIDYSQISIKWPSSRIGPELLQYLESIPDEDIEAIIAHGRQVRCMWVYAPDSEPCSAMRGIMWELQSKVRQFHQSAETFWLHNGSIVNRNLVEFSKWKLPVPLP >CAK8578929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662531545:662532213:-1 gene:gene-LATHSAT_LOCUS30907 transcript:rna-LATHSAT_LOCUS30907 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQLVHELLVESNTRRLLFQNPVDHRSQTNSPVLTNKNNSTDSHFGALEFDSNVVMIVAVLLCALICSLVLNSIIRCALRFSNVAINNNETSSPQLVNKGIKKKVLKTFPVVSYLDELKLPGLDAECVICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKEHSSCPKCRQCLLQTCRKVGESQVQPIVLPVPEISIRIQPLDHEAVERNYREESK >CAK8566247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:397757152:397757592:1 gene:gene-LATHSAT_LOCUS19397 transcript:rna-LATHSAT_LOCUS19397 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEKNLNLQLWHACAGGMIQTHILCCVAFVKFLGDPEIDEFFSKITLIPLRNSELKNEDSDGSNGNVSESFETPASFAKTLTQSDAINGGGISVPRYCAKTIFPQFDYSTEPPVQIVIAMDVHSEVWKFRHIYRGKPMRHLLTG >CAK8575543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:158205362:158206654:-1 gene:gene-LATHSAT_LOCUS27799 transcript:rna-LATHSAT_LOCUS27799 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQYHFDIAAYNQNREIEENYIVNRFRERRNKISEDNAPRSRKYLNRDHAAANQRLIDDYFANEPTYDDAMFRRRYRMKKNVFLRIVGDLSSSDNYFTQRVDAANKEGISPLAKCTTAMRMLAYGVAADAVDEYIKIGGTTALECLRRFCKGIIRLYEQVYLRAPTQDDLQRILHVSEMRGFPGMIGSIDCMHWEWKNCPKAWEGQFTRGDKGTTTVILEAVASHDLWIWHAFFGCPGTLNDINVLDRSPVFDDVEQGKAPSVNFFVNQRPYNMAYYLADGIYPSYPTFVKSIRLPQSEPDKLFAKFQEGCRKDIERAFGVLQARFKIIREPARLWDIADLGIIMRSCIILHNMIVEDERDSYSQRWTDFEQSRESGSSAPQPYSTEVLPAFANHVRARSEFRDPNVHQELQADLVKHIWTKFGMFRD >CAK8579333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:692225653:692228941:-1 gene:gene-LATHSAT_LOCUS31286 transcript:rna-LATHSAT_LOCUS31286 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASATGIKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNIYELRYFNIAENEGEEED >CAK8569357.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:696275371:696275652:1 gene:gene-LATHSAT_LOCUS22218 transcript:rna-LATHSAT_LOCUS22218 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAAIRRASFSSRLTSSKASNVPKGYLAVYVGEQMKRFVIPMSYLNQSSFQDLLSQAEEEYGYDHPMGGLTIPCTEDVFLHITSCFDGQ >CAK8571053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274481202:274483471:-1 gene:gene-LATHSAT_LOCUS23749 transcript:rna-LATHSAT_LOCUS23749 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLASLMDGEHVGPFNLGNPGEFTMLELAQVVKETIDSSATIEYKPNTADDPHMRKPDISKAKELLNWEPKVPLREGLPLMVSDFRNRILNEDEGKGMK >CAK8542072.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469118951:469120453:1 gene:gene-LATHSAT_LOCUS10944 transcript:rna-LATHSAT_LOCUS10944 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSAFLNGIIEEEVYVHQPPGFESEKFPEHVFKLMKSLYGLKQAPRAWYERLSNFLLEKNFIRCKVDTTLFYKTFKKDLLICQIYVDDILFGSTNVTLGKEFAQCMQAEFEMSMMGELRFFLGIQVNQTPDCTYIHQTKYVKELLKKFNITDSKMTKTPMHPTSTLGKEEVSKKVDQKLYRGMIGSLLYLTASRPDILFSVCLCARFQSDSRESHLTAVKRILMNFEVLITRLNHLKDLNLSFCIKEIGELYELDQDLENARSYFERAAKLFDIRGDSTTSMIQCKQKVAQFSAQLQQYQKAIKIYEDIAQQSLNNNLLKYGVRGYLLNSGLCELCRGDIVAITNALKCYQDLDLTFSKTREYKFLADLAASIDNEDVANFTRVVKEFESITRLVYLYILRPK >CAK8561706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:361942448:361945086:1 gene:gene-LATHSAT_LOCUS15271 transcript:rna-LATHSAT_LOCUS15271 gene_biotype:protein_coding transcript_biotype:protein_coding MAINASSPNHPPIVAAVSPPWTQIVASAAPHSTTVVVTLSVTSGMEDIDNNSGENNANIVERIVWNKPSNAASSSVMDAESWPALSESAKAPAKSPPPPPLEVGETSLVASTLSQLQGTGTMLPFPRDQDRDTASMNNRPPMPIHQRPYRHPNPNVTSNGGHLPQGSIAVPGSHNHNSAPNQYPPRGVFGPNDQQHQRNSFRYRGGQHQRGRGDGFHHQNYGNSFHHQNYGNRQVRGNQDWNVHQRNFNSGDNYRSPRFVPPSVGPPPSNNQYYVPPPVNAQYYVPPPVNAQYYVPPVNAQYYAPPPVNNAQYNAQYYVPPPPQPVWPFGGSYGYHEPPPQVVYSSSLPMEPSRGVPFVPSIPPNPIIYQQPTDPKLYTKIVNQIDYYFSDQNLINDAYLKGIMDDQGWVSLNTIADFKLVANLTDNIQIVFDAVRTSSVVEMQGGKIRRRHGWWKWIASSTQSENVTGSEVVGELANRVQNIDLETTEDLDHSASAEN >CAK8575343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:88810952:88811230:1 gene:gene-LATHSAT_LOCUS27613 transcript:rna-LATHSAT_LOCUS27613 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGMFEVFKLYGLVSKVFIATRLDKKGRRYDFVRFRKVNDERILAVKLDNIQIQGRKLHTNVPRFQRTTSVKKDQGGHKGGFGVAATTMI >CAK8576978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529289983:529293451:1 gene:gene-LATHSAT_LOCUS29129 transcript:rna-LATHSAT_LOCUS29129 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPQQSRVDEEDEELLGCGTTISGQSGSTSRSAGLGTTAGDNAALKLNHLDIQDDDAASQGAVASKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESRGRTTYNEVADELVAEFSDPSNSVLTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGLRNRIERKTAYLQELEEQFVGLQKLIQRNEQLCSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSSPFELHDDNYVLKAMNFCESERPQSDNVTHNVMNGGEGSSMSGMYQSQVPPSVSNLSVRPPTSPPLPGILKARVKQEH >CAK8572241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524147750:524148894:1 gene:gene-LATHSAT_LOCUS24827 transcript:rna-LATHSAT_LOCUS24827 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMKAKSGEEDNKFEVLPEECIANILLLTDPVDSCKFSIVSKDFCSASESDIVWEHFLPSDLISIISESQSGSSLLATSPSKKSLYLTLSDNPIIIDNGKKSFQLEKQSGKKIYMLSARDLSVTWGDTPTYWDWITLPESRFQEVARLHYVWWFEIYGKINTRVLSSNTQYAAVLVFKLIDPRQFDVIPVELTIDKFEDRISTKQVWLDPNYDKERDDELLGLESPKLRSDGWLEIEIGEFFSSGEQDEVIQIGVLEIKAGRSKSGFILEGIEIRPKN >CAK8572242.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:524147762:524148894:1 gene:gene-LATHSAT_LOCUS24827 transcript:rna-LATHSAT_LOCUS24827-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGEEDNKFEVLPEECIANILLLTDPVDSCKFSIVSKDFCSASESDIVWEHFLPSDLISIISESQSGSSLLATSPSKKSLYLTLSDNPIIIDNGKKSFQLEKQSGKKIYMLSARDLSVTWGDTPTYWDWITLPESRFQEVARLHYVWWFEIYGKINTRVLSSNTQYAAVLVFKLIDPRQFDVIPVELTIDKFEDRISTKQVWLDPNYDKERDDELLGLESPKLRSDGWLEIEIGEFFSSGEQDEVIQIGVLEIKAGRSKSGFILEGIEIRPKN >CAK8544262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674435120:674436780:-1 gene:gene-LATHSAT_LOCUS12955 transcript:rna-LATHSAT_LOCUS12955-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMNKNQQSNWWWLDTHSNTKRSPWLQSTLSELNEKTIAMLKLIEEDADSFAKRAEMYYKKRPELVSMVEDFYRTHRSLAERYDQVKPDTGISHLVSGVSPFASAKYQIEKLMSFADTGYDTYSENFNVDESVESEVDDPEHEEKEETKYHSYTKEERVSSSVAVNDDVMNLKNEIKRIKEENEFHKEQLKQKDTVCDEVMKLREEIEKLREENEVQKEQLKQKDEEKIEVIKQLSLAVDLLKQDNVSMRSFIAKESTKKWKFPFEFSKFGGTFSVKLFNGTPRNKSSVELSTRRG >CAK8544261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:674435120:674436792:-1 gene:gene-LATHSAT_LOCUS12955 transcript:rna-LATHSAT_LOCUS12955 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMAGMNKNQQSNWWWLDTHSNTKRSPWLQSTLSELNEKTIAMLKLIEEDADSFAKRAEMYYKKRPELVSMVEDFYRTHRSLAERYDQVKPDTGISHLVSGVSPFASAKYQIEKLMSFADTGYDTYSENFNVDESVESEVDDPEHEEKEETKYHSYTKEERVSSSVAVNDDVMNLKNEIKRIKEENEFHKEQLKQKDTVCDEVMKLREEIEKLREENEVQKEQLKQKDEEKIEVIKQLSLAVDLLKQDNVSMRSFIAKESTKKWKFPFEFSKFGGTFSVKLFNGTPRNKSSVELSTRRG >CAK8531520.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:119120610:119121632:1 gene:gene-LATHSAT_LOCUS1305 transcript:rna-LATHSAT_LOCUS1305 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQDIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTDSIVHGARPSLQYMTWFSSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8531521.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:119121147:119121632:1 gene:gene-LATHSAT_LOCUS1305 transcript:rna-LATHSAT_LOCUS1305-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQSQSQPTHQYSPDMSFDPTPATYIPENSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSMYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8574683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6490214:6493653:1 gene:gene-LATHSAT_LOCUS27007 transcript:rna-LATHSAT_LOCUS27007 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLQTRGLLSLPANPKTRVLLQQPNGLKHRFLSLKPKTLGGSSLTSNGFSKLNSFSPKVNGFGQNERNLFICKAEAAAASDGQQPVFGEAEVEKKPKILGIEVDTMKKILPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYTVILPFIAFFGAFGFVLYPLSNYIHPEAFADKLLSVLGPRFLGPLAIIRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKGMMSIVVLMGFAICGLYWWTNNFVPLPERSVKKKKKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFIMMLLSQYIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPIAPGLASLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLGAAKSLDTQFTALRKEEELEKEMERAAAVKIPVVSENDGGNGSLTSDSSNSPSEASTPRNN >CAK8574684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:6490214:6493653:1 gene:gene-LATHSAT_LOCUS27007 transcript:rna-LATHSAT_LOCUS27007-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLQTRGLLSLPANPKTRVLLQQPNGLKHRFLSLKPKTLGGSSLTSNGFSKLNSFSPKVNGFGQNERNLFICKAEAAAASDGQQPVFGEAEVEKKPKILGIEVDTMKKILPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYTVILPFIAFFGAFGFVLYPLSNYIHPEAFADKLLSVLGPRFLGPLAIIRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKGMMSIVVLMGFAICGLYWWTNNFVPLPERSVKKKKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFIMMLLSQYIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPIAPGLASLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLGAAKSLDTQFTALRKEEELEKEMERAAAVKIPVVSENDGGNGSLTSDSSNSPSEASTPRNN >CAK8542075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:469261731:469262210:-1 gene:gene-LATHSAT_LOCUS10947 transcript:rna-LATHSAT_LOCUS10947 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMIEKQNKSIVEILYKALLGQGATEFQTVSKLLASDLEYWFHGPPKCQHMMKVLTGEIHHKKGFKFEPRSVSSIGDCVIVEGWEGEAYWVHVWTLKNGLITQFREYFNTWLVVRDLRPLRWEEDHHHHHKHDSMMTLWRSQPRDLYRRSLPGLVLAI >CAK8573533.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:618186595:618188263:-1 gene:gene-LATHSAT_LOCUS25969 transcript:rna-LATHSAT_LOCUS25969 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTLEKTKPKHRKGLWSPEEDHKLRNYILKHGHGCWSSVPIKSGLQRNGKSCRLRWINYLRPGLKRGKFSKQEEETILTLHHMLGNKWSQIAQHLPGRTDNEIKNYWHSYLKKRVAKANEMESQKHLQYAASSSDTITSSHSLQKLATQEPHNYNNIIAKETHQSSLPKLLFAEWLSLDHVNGSNSSNSVESLVMRNGFDQGFQEAAMHDDVSEYHNSVMFNSQIKFANQMMGNGFVHCMPEVDLSNNFNLSNDAMYV >CAK8563376.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:598698010:598700087:1 gene:gene-LATHSAT_LOCUS16796 transcript:rna-LATHSAT_LOCUS16796 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLHFHAFAGNPLNSIPADPLSPSAALKSLNAIILQNDHSSPSPNFKVLPFRNGSTLASSAVDSGDSPPVWHLAWIGLDDLRRIFESSGAQLNGDSFVYLGSRAEDDAIYWAIDVSAKVPQLGTDTNVELRFVELRTLMVATDWEDSKAMENLAIAGHAKALLEWHNISQFCGHCGEKTVSVEAGRRKQCSSDSCKKRIYPRVDPVVIMLVIDRENDCVLLGTRPKVISRVWSCLAGFAEQGESLEEAVRREAYEESGIEVGEVVYHSSQPWPIGSNSIPCQLMVGFFAYAKSREITVDKKELEDVKWFSREDVRKSLTLAEYKKAQRTAASKVEQMCKGVEKSRSLAGDFNVESSEFAPIFVPGPCAIAHHLISSWAFSDQNVH >CAK8537073.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:77119746:77120078:-1 gene:gene-LATHSAT_LOCUS6389 transcript:rna-LATHSAT_LOCUS6389 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGNWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMHYFENPVTEKIMYIDDEDMKINPNDGWFI >CAK8532110.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:206189280:206189799:-1 gene:gene-LATHSAT_LOCUS1846 transcript:rna-LATHSAT_LOCUS1846 gene_biotype:protein_coding transcript_biotype:protein_coding MINKILPTSNLRVHTFAELKASTKNFRPDILLGEGGFGKVYRGWLGGITFAVKKLNSEVTKVSRNGSQKYIF >CAK8532996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:562070724:562072273:1 gene:gene-LATHSAT_LOCUS2649 transcript:rna-LATHSAT_LOCUS2649 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVASSFTRLSTHFTMRILSTTTSAAGALSKPSSLTSRRFILPVSQAILQSLTPTAHFGVSRAGYSSYSPLNSGSSSSSSFSDRPPTEMAPLFPGCDYNHWLIVMDKPGGEGATKQQMIDAYVQTLAKILGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELYVNGEIVQRPPERQRRVEPQPQRHHDRPRYNDKTKYVRRRENQR >CAK8543512.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:613748738:613748971:1 gene:gene-LATHSAT_LOCUS12266 transcript:rna-LATHSAT_LOCUS12266 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSVSGKISFAGAFFLFFAISSLAIIFVFTIVPETKGKSLEQIEMMFQNENESRVKEMELEDVEQLVQNKTGLTN >CAK8571626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:451030928:451031302:1 gene:gene-LATHSAT_LOCUS24268 transcript:rna-LATHSAT_LOCUS24268 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFVLKVYVSAKHMTANVVDWNHKRVVASASTVEKSISDAFELGKNCDKKAAGCVGEVLAMRLKTEEPEIGVGGGVYMDVEKEIEKKSVDTGVEVWTVVDALRRRGVKVFVDNEHSVVRLKS >CAK8571706.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:463881381:463885690:1 gene:gene-LATHSAT_LOCUS24343 transcript:rna-LATHSAT_LOCUS24343 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEVLGSKGLIKKQEFVRIILQCLYSLGYTKSASCLELESGVSNKSKEFQLLESHVLDGNWDECAGFLDSIRDMLGESVEPALFLVFRQCVLEYLKRGEVTLALDVLRKRVSGLRVDRRKIHGLANTVMGFNDMELAVVDDGDVGCDLRRRLLADLEKMLPPPVSIPGGRLEHLVETTVTAWVDSCLFHTSSNPVSLYEDHRCGREQFPTITTQILTGHKNEVWFVQFSNNGEYLASSSNDCTAIIWKVQENGKLTLKHTLSGHLRAVSFVAWSPDDTKLLTCGNIEVLKLWDVETGTCKHTFGTDGFVVSSCAWFPSSMQFVCGSFDPEKGICMWDCDGNELRAWRGMRMPKVVDLTVTPDGEYLISIFLDKEIRILHLETNAERVISEMHPITSISVSADGKFFIVNLNSQEIHMWDVAGTWKKPMKYTGHKQCKYVIRSCFGGLNSTFIASGSENSEVYIWNTRNSKPIEVLSGHTLTVNCVSWNPKRPQMLASASDDHTIRIWGPNL >CAK8577627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:579136699:579137962:-1 gene:gene-LATHSAT_LOCUS29717 transcript:rna-LATHSAT_LOCUS29717 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAIFFVCLLSLSSAKASSNIGVNYGVLGNNLPSPSRSIDLLTMMKAGLVKIYDANPEILRLLSSTKLKVSIMIPNNKITCIAANQSIADEWVKNNILPYYPKTLIRFLLVGNEVLSYNTEQGHKMWHDLVPAMRSIRRALKSQNIRDIKIGTPLAMDILQTTFPPSSGTFRPDVRDSVIVPMLKFLQRTNSFFFIDVYPYFPWSQDPNNINLDYALFRGNFISIDRGSGLIYKNLLDQMLDSLIFAMSKLGYSDIQLIISETGWPNSGDIEELGANIFNAAIYNRNLIKRMTAKPPIGTPARPGVPIPTFIFSLFNENQKPGPGTERHWGLLHTDGTPIYDLDLTGKKTITDPAPLPTAKNNVTFKGKARCVAAEGLNKLEMEREGKCN >CAK8568454.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607006814:607007386:-1 gene:gene-LATHSAT_LOCUS21410 transcript:rna-LATHSAT_LOCUS21410 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKNPYSPESDFTNQWSLEPSEYLKFDDDQWPDDNPEPFLAEHVTSQDNELVADFGESGSRIGGPASGSEREKKEVKDKVAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYRCSRDGCQVKKRVERDVDDPSYVITTYEGTHTHPSFH >CAK8532137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:211315350:211321105:-1 gene:gene-LATHSAT_LOCUS1870 transcript:rna-LATHSAT_LOCUS1870 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQKIRVENPIVEMDGDEMTRVIWRMIKDKLIFPFLELDIKYFDLGLPHRDATNDRVTIESAEATLKYNVAIKCATITPDEGRVKEFNLKQMWRSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDAVIRGPGKLKLMFVPDGHEETKELEVYNFTGAGGVALSMYNTDESIRAFAEASMNIAHEKKWPLYLSTKNTILKNYDGRFKDIFQEVYDTQWSDKFKAAGIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFIAQGFGSLGLMTSILVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWSQGLAHRAKLDGNGRLLDYTEKLEAACIGTVELGKMTKDLALLIHGPKVSRSHYLNTEEFIDAVAEELKTRLTTQAKL >CAK8571522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434914165:434914654:-1 gene:gene-LATHSAT_LOCUS24178 transcript:rna-LATHSAT_LOCUS24178 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGVICLVNSVNERDLSLLNSYVEIIAIVGLQRGIPSKRESSARVDYVPALCTHRPSLLPIEWSGDVFGLQRRGRFAARDVVRSPLNLII >CAK8571523.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:434914511:434914654:-1 gene:gene-LATHSAT_LOCUS24178 transcript:rna-LATHSAT_LOCUS24178-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWPFLVGGVICLVNSVNERDLSLLNSYVEVTLHDQLLRGTMAA >CAK8539480.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513745583:513755952:-1 gene:gene-LATHSAT_LOCUS8570 transcript:rna-LATHSAT_LOCUS8570 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNEEHDNRELYAVLNLSPEASDEEIRRAYRQWAQLYHPDKNQSPNFKEAATENFQRVCEAYEILSDPNKRQVYDIYGMEGLKSGLELGPSLDKAEEIKAELDRLKRMRELEKRAARIQSSGTIMSKISLPQYLDGDGLFKGMAMTSEMQSQLSKRSVAVIGGNLAVDEHVGGGTANAVLSHQLSEASSIEFMASAGLRSLLGVQTSRLISSHSSATAGLTMSLKDGSWNLSNAWTRQLSDTTNGSIQLLLGSQSSVAVGWQKKDERRTASGEVKFDTGSFETSVHYTHRFSSKSHGCIAGRVGSSSIEMEVGGGRKLSKFSTVRWLYVVGIQGISWRFELYRGGQKLVVPILLTNYLNPVFATGAFVIPASFYLILKNFFIKPYYLKRNKQKALEKEGKSSAQVQEGRAAAEKAQKLQQNVANRKRNKQLEMDGLVIMKALYGSDTILNNLYSSNETSFESTSGVIDVTTPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEHKLLYVEYAYASNQHKVLVGDYEELLIPQGIRRI >CAK8533162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586356095:586356947:-1 gene:gene-LATHSAT_LOCUS2809 transcript:rna-LATHSAT_LOCUS2809 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCHTMEECLRRASLIHIVFSWTLDDLLNENLFTYQVPKIPKTFSSANDYMNSFFPALIEETHSDLYSSLLSVPQASFCEIRTMKTSEKFNPPHELLYKITLKNIADEVYTVGKYEPEVGDLVAFTNIRPKSADDLMRIKRYCLMACICGSRDEFTEEITILLSKEMHNNFDLRTNKAQKLYVVYLINMTTNIRIWKALNSEMEGSNMNIIKKVLQPYSTVRITNLFIHHMLF >CAK8568404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:603276523:603279434:-1 gene:gene-LATHSAT_LOCUS21363 transcript:rna-LATHSAT_LOCUS21363 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRTLNFPGLRKILLQPGYYLSSSKIGTYNGFTSQSSPLPIPSDEEEYANVDWDSLGFGLMPTDFMYVTKCDAGQSFGDGQINRYGNIELSPSAGVLNYGQGLFEGTKAYRKENGKLLLFRPEENAIRMKIGAERMCMIPPSIDQFVDALKRTALANKRWVPPPGKGSLYLRPLLLGSGPILGLAPAPEYTFLIYASPVRNYFKEGSAPLNLYVEEDFDRASRRGTGGVKTISNYAPVLMAQNRAKSRGFSDVLYLDSDSKKNLEEVSSCNIFIAKGKKISTPAINGTILPGITRKSVIEIATDLGYQVEERAVAVDELTEADEVFCTGTAVGVAPVGSITYRNRRVEYKTGSGSICEELGKTILGLQNGSIEDKKGWIVQFD >CAK8575208.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:52656794:52662831:1 gene:gene-LATHSAT_LOCUS27492 transcript:rna-LATHSAT_LOCUS27492 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTSPQWQDKASGFFSSSGVKLKEARESAGTFVGEVTKDTKSNVAEVAGRVGTIVKSRWALLQQPSTRHAVQDRMISAAATTGAFLRRGLSGTKEKVVVGKSKVEEVAKVTAQKSKTILTDIERWQKGVARNDVFGVPIEVTVQRQDCIKPIPQILIKCADYLIVSGLNLPYLFKSEGDKKVIHQLVSLYNQDSTASVPEGSNPVDVAALVKYYLASLPEPLTTLELYNEIKGARSNIYSMRNILKKLSSVNYMTLEFITALLLRASQKALLNKMDPRSLALEMAPVIMWQKEHRPEFYRQYWSHVSESPSKQSLDPESGSTTRDLLADDGEAIDASSFIPLDDGMPVDYGAIEVVQMLIEHHNAVFTDANETVWK >CAK8538492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:484807583:484810940:1 gene:gene-LATHSAT_LOCUS7682 transcript:rna-LATHSAT_LOCUS7682 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVASNGEEKGAEDNAAVDFRGRPVDKTKTGGWLAAGLILGTELAERICVMGISMNLVTYLVGNLHLDSAFSATIVTNFMGTLNLLGLLGGILADVKLGRYVTVVIFASIAAMGVCLLTLATTIPSMLPPPCSEVRKKHHECIPATGKQLALLYAALYTTALGGGGIKSNVSGFGSDQFDTRDPKEEKKMIFFFNRFYFFVSIGSLFSVIVLVYVQDNIGRGWGYGISAGTMVVAVCVLLCGTPFYRFKKPQGSPFTVIWRVLFLAWKNRTLPLPSQPCFLHGYVEAKVPHTDRFRSLDKAAILGEANSKDENKETPWLVATMTQVEEVKMVIKLIPIWSTCILFWTVYSQMNTFTIEQATFMNRKVGSLVIPSGSLSAFLFITILLFTSLNEKITVPMARRFTHNVQGITSLQRVGIGLIFSILAMAVSAIVEKERRDNAVKKDTKISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPEKMKSMSTGFFLTTLSMGFFVSSLLVSLVDKVSKKRWLKSNLDKGRLDDFYWMLAMLGVLNFVLFLFLAMKHEYKVQNNNLETNDIVEKELVIVGADGMEEA >CAK8577925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:597568823:597570874:1 gene:gene-LATHSAT_LOCUS29988 transcript:rna-LATHSAT_LOCUS29988 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCYYHQKTLAFFFVTLSILLIITSHAAPLSFNYDNLSSDKTIAFNFSGDVSQDSQSLQLTRYEKDSLGRVTYSKLFHLWDINTGEVNDFTTRFSFTINTPNKTHHGDGITFYLAHPNFPLPVPRDGSGIGLVSRNQLSNPNYTKENPFVAVEFDTFVNDWDPKYDHVGIDVNSISTSYTTQWFTSMDERGYDAEVSYNSSSNNITVTFTGYQDNNIIQQHLSSVVNLKDHLPDWVEFGFTSATGFWWEYHTLNSWSFKSSSDFEADKDGTNTGLVVGLSVIGCAAVFICVIGLVCFVKRKLMNRGMEDVSPTDLEMDNDFERSSLPRKFTYEELATATNNFAKEHKIGEGGFGGVYKGFIRDLKTHVAIKKVSKGSYQGVKEYASEVKVISQLRQKNLVQLFGWCHKQNDLLLVYEFMENGSLDSYLFKGKGLLTWPVRYNIARGLASALLYLHEECEQCVLHRDIKSSNIMLDTNFNTKLGDFGLARLMNHETESKTTVLAGTYGYLSPEAATRGKASRESDVYSFGVVALEIACGRKAIEPSLSEEHVYLVDWVWELYGVGEILKAGDSRLYGEFNEKEIERLMIVGLWCTHIDHVQRPMIRQVVQVLSFDAPLPTLPLQMHSSFNSVSSKYKTSGFENNKNGTSSSSNSTFTGSSQSSTAFEVVSPSAALLNTYNTI >CAK8540279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548727291:548730086:1 gene:gene-LATHSAT_LOCUS9298 transcript:rna-LATHSAT_LOCUS9298 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEMDKTTKEKESKTPPPTSQEQSSTTTSTGAVNTDWASFQAYSPMPPHGFMAQSPQTHPYMWGVQHIMPPYGTPPHPYVAMYPHGGIYAHPSMPPGSYPYSPYAMPTPNGIAEASGNTPASIETDGKPPEVKEKLPIKRSKGSLGSLNMITGKNNEHGKTTGTSANGVHSKSGESGSFEGTSEGSDANSQNGSQLKSGDRQDSFEGEPSQNGSSAHTSQNGGLNTPHTLVNQTMSIMPIAATGTPGAVTGPATNLNIGMDYWGTPAPSNIPALRGKVPATAVAGGIVTGGSRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLKEENASLRLEISRIRSEYEQLLSENAALKQRLEEQPSKDQNIGSDTQQSGQTEDVQAGH >CAK8540278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:548727291:548730086:1 gene:gene-LATHSAT_LOCUS9298 transcript:rna-LATHSAT_LOCUS9298-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEMDKTTKEKESKTPPPTSQEQSSTTTSTGAVNTDWASFQAYSPMPPHGFMAQSPQTHPYMWGVQHIMPPYGTPPHPYVAMYPHGGIYAHPSMPPGSYPYSPYAMPTPNGIAEASGNTPASIETDGKPPEVKEKLPIKRSKGSLGSLNMITGKNNEHGKTTGTSANGVHSKSGESGSFEGTSEGSDANSQNGSQLKSGDRQDSFEGEPSQNGSSAHTSQNGGLNTPHTLVNQTMSIMPIAATGTPGAVTGPATNLNIGMDYWGTPAPSNIPALRGKVPATAVAGGIVTGGSRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLKEENASLRLEISRIRSEYEQLLSENAALKRLEEQPSKDQNIGSDTQQSGQTEDVQAGH >CAK8570976.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:211876979:211879086:-1 gene:gene-LATHSAT_LOCUS23680 transcript:rna-LATHSAT_LOCUS23680 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLARLVSACLRPVRRYARMNKDVDNDSDGASTTGDALVWCKDLEKHSCGEFSFAVVQANEVIEDHSQVETGSDAVFVGVYDGHGGADASRFVNDHLFHHLIRIAQENCSISENIIRNAVSATEDGFLTLVRRSFGIKPLIASMGSCCLVGLIWKGTLYIANLGDSRAVIGSMGGDSKKIVAEQLTKEHNASKEEIRRELRDLHPGDSQIVIMKHGTWRVKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPDPIRQPVLSAEPSISSRVLQPNDKFVIFASDGLWEHMTNQEAAEIVHKNPRNGSARRLLQAALTEAARKREMRYKDMQKVEKGIRRFFHDDITVIVIFIDHELQKKNADVPDLSIKGFIDTVGTSKFRSFQEMQ >CAK8577757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586622140:586625031:-1 gene:gene-LATHSAT_LOCUS29831 transcript:rna-LATHSAT_LOCUS29831 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRISRGGVASALMKLGVIGGIGSYVAGNSLYNVEGGHRAIVFNRLIGVKDKVYPEGTHFVIPWFERPVIYDVRARPHLIESTSGSRDLQMVKIGLRVLTRPLPNQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNIALDDVSLTSLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSAELIGKAIANNPAFIALRKIEAAREIAHIITNAANKVYLNSDDLLLNLQEMNLETGTN >CAK8538550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:486991431:486992783:1 gene:gene-LATHSAT_LOCUS7736 transcript:rna-LATHSAT_LOCUS7736 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIQTFLPSFSVPTSKPKRRFRLLTISASKSNRPSIAGRKLRAAVIGGGPAGSSAAEALATGGVETFLFERNPPSTPKPCGGAIPLCMLEEFEIPHHLIDRRVTQMRIFSPSNIAVDFGKTLKPNEFIAMLRREVLDSFLRSRAESAGATLISGLVTSLNLPTSPTAPYTINYTTKNSPQNSLAVDVVIGADGANSRVAKSIDAGDYTCAIAFQERIKLSDEKMAYYENLAEMYIGNDVSPDFYAWVFPKCDHVAVGTGTVRSKHDIKLYQRAIRERAWSKINGGKVIKIEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGNGVVRASEGGEKMINEGDLMREYLKDWDAKYVNTFRFLDLLQRVFYGSNASREALVELCGDEYVQRMTFDSYLYKKLARGRVCDDVKLFMNTIGSLVRCGDLGTHMKGLIL >CAK8536240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:920902318:920904351:-1 gene:gene-LATHSAT_LOCUS5628 transcript:rna-LATHSAT_LOCUS5628 gene_biotype:protein_coding transcript_biotype:protein_coding MALELKKWCLLEGLKSLKHVKLAHCRLLRLNLHHDNYLLNIILRSSLNFSNTHYPILVFHKTTLNPNTFLYNTMIRGMVSNDRFHEAVQLYSSMHKASLVPDNFTFPFVLKACARLNLFQLGLTIHSLVIKTGFDYDVFVKTNVVCFYSKCGFLSDARKVFDDIPDKNVVSWTAIICGYIEFGQCREAVDLFRGLLETGLRPDGFVIVRVLRACARLGDLESGRWIDRCMSECGLHRNVFVATSLVDMYAKCGSMEEARCLFDGIVEKDVVCWSAMIQSYAYNGLPREALELFFEMRKVNVRPDCYAMVGVLSACARLGALELGNWAKGLMDEEEFLSNPVLGTSLIDLYAKCGSVEEALRVYKMMKEKDRVVFNAVISGLSMYGHFGAAFGVFGQMGKYGIRPDENTFVGLLCACTHAGLVDDGRRYFNSMSHVFGVTSTIEHFGCMVDLLARAGLMVEAHNLIKGMPMKPNAIVWGALLGGCRLHRETQLAEHVLKQLIELEPWNSGHYVLLSNIYSASHRWDEAEKIRSTLNEKGMQKLPGYSWVEVDGVVHEFLVGDTSHPLSQKIYEKLESLLKDLKEAGYNPTTEFVLFNVEEEEKEYFLGCHSEKLAVAFALISTCAKDVIRVVKNLRVCGDCHEAIKLISKVTGREIVIRDNNRFHCFSDGACSCRDYW >CAK8569898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14622422:14625588:-1 gene:gene-LATHSAT_LOCUS22700 transcript:rna-LATHSAT_LOCUS22700 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKPKFECETESVTDLSNGHGELLRDIEELSKALYLNKTPIKPSTIASVRNNRSKSVEITRISKPPLNSNPRFVSQDVLVDDKKLSSKWNWKKPLKALTLIGSQKFVCRFSLHVHSIEGLPSSFEGVRLSVHWKRKNSILQTCPSRVLNGYVEFDETLIHRCTVHGSRTVSGRSVKYESKCFLIYASVVGEPEHSLGKHQVDLTRLLPRSLEDLWGNQSSGKWSTSFRLTGKALGARINVSFSYQVIKDELMGFGCNNVNVGNVINLKTGLSSADNVVGFSPDNRDINLRRDQNDAVSSNEAVMNSGSGFSQSITFLYQKLDEGSFNNSARADSESSQGSNLYASDDTDFSISEQAVESSEGDSFELDQTGIQIVDMSKVEIINVDEIIKDDDMVVDNSARCDSLETVCSRNVDVEDSSKLKFSFSCVDLPCTKVEDSVSETSEFLGQEHYLSFKSDYKAHKKSHSLDDIIDTVASDFLKTLTLGSDSFRSSCDGDPLSPREQLLRQFEDEALASGNFTFDFDPNEEELEEDTLRHNFGDCAVGSDLSLIICAAEEEFERENQSLGQRRKAKILEDLETDSLMQQWGLDERDFQNSSRTWSGGFGSPIELSDEEPSILPSIGEGLGSFVQTTSGGFLRSMCPSLFKNVKNCGNLIIQASNPVVLPAKMGNDILDILLNMASARVQELCDYITKLMPLQDITGKSIKHIVSDTDTNTEAPGRQGLREHDLFEEFPCSYLTDKDKCSDTVTLEAIAPMSIDKIEALLIEGLRIQSGMSNEEAPSCIHSTYTEHSEETENDLDGLMGLSVTLDMWSRLDSGIIQGEHNLERILKILKAHHSKITEFDNEGLRNCPDKTKVDGRKHGYLGNYTTVAFIIQHRDPLRNYEAVGVPMLVLTQVERVHTHTTEKETLQSRFKIKEIHLAGVLTKPGKRQVWGTASQQQSGLRWLLASGMCSTLKHSSSKSKAIIVRSAPLFTNKLMNQDIFWSISCDKDNMDTNAHVRNVDIIFPN >CAK8543641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:628592164:628592484:-1 gene:gene-LATHSAT_LOCUS12387 transcript:rna-LATHSAT_LOCUS12387 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYTTLWNGDSWATRGEQVKIDWSKAPFTAGFRNFNANACIPIPSNNFLGFNGGENKGLSDETRKKLKEIYSKLIVCDYCRDFIRFSRGLPYECHNRLTDRQDEY >CAK8569139.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:675200835:675202427:1 gene:gene-LATHSAT_LOCUS22023 transcript:rna-LATHSAT_LOCUS22023 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKSRAHSHLHHSLFQHLPYTLRLCSSSPLLSHETNENNTPQNTNLSPEDSTIIDKFHTVIKDHYRKNPNPNPNPVSPSHNFTIPDLSIDFSKISTVHSISPSITRRVIEKCGGVRHGIPFLQSLAFFNWATALEGFPSSPEPYNEMIDLAGKLRHFDLAWNLIDLMKSRGVKITISTFSILVRRYVRAGLAAEAVHAFNRMEDYGCTPDKVSFSIVISSLCKKRRASEAQTFFDSLKHKFEPDVIVYTSLVHGWCRAGDISKAEEVFSDMKVAGVKPNVYTYSIVIDSLCRSGQITRAHDVFSEMIDAGCDPNAVTFNSLMRVHVKAGRTEKVLQVYNQMKRLGCAADTISYNFLIESHCKDENLDEAVKVLDTMVKKGVAPNASTFNSIFGCIAELHDVNGAHRMYAKMKELKCLPNTLTYNILMRMFVDSKSIDMVLKLKKEMDENQVEPNVNTYRILIMMFCEKGHWNNAYKFMKEMVEEKSLKPNLSIYEMVLEQLRKAGQLKKHEKLVEKMVSRGFVAPRPL >CAK8571921.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:493591435:493594790:1 gene:gene-LATHSAT_LOCUS24543 transcript:rna-LATHSAT_LOCUS24543 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTNSSNSSQPYKPYRHFKTLTAHNLAVSCVKFSNDGTLLASGSLDKTVIIWSSATLSLLHRLTGHSEGISDVAWSSDSHYVCSASDDRTLRIWDANGGDCVKILRGHTHIVFCVNFNPQSNYIVSGSFDETVRVWEVKTGKCIHVIKAHDMPVTSVHFNRDGSLIVSGSHDGSCKIWDTNAGALLKTLIDDKLPAVSFARFSPNGKFILVATLNNTLKLWNYATGKFLKIYSGHENKVYCVTSTFSVTNGRYIVSGSEDRCVYLWDLQLRNLIQKLEGHTDTVIAVSCHPRENKIASAGLDGDRTVRIWVQDS >CAK8573240.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596698930:596700441:-1 gene:gene-LATHSAT_LOCUS25712 transcript:rna-LATHSAT_LOCUS25712 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTGSLCANNWARSTSLVPPGNGLQTNLSLDPSSFYDIGIEMPFKEINEPSRTQSVIPCESNEDIKNTDQETSKLQDWDPSAMLSNLSFLEEKIHQLQDVVHLIANNKKCQPFEQPRELVTQEQQLVTADLTSIIVQLISTAGTSNGVQPQNNIGNKLFDQSIHKDVPNNCEMEQNHNMDEHEHKDEEDMEEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKETGSEPKLIKRYSCPYGGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCHTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEDNKGLAEPPDRCATKENSAMVGNMNFCFGSNPSSENGVDNIMDVKGNIDDPISYFSSLNFEGCNFGAFNEFSQPSFEDSEGSFSFLMPSSFNYAPKSGGESCSDTL >CAK8573241.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:596698930:596700441:-1 gene:gene-LATHSAT_LOCUS25712 transcript:rna-LATHSAT_LOCUS25712-2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTGSLCANNWARSTSLVPPGNGLQTNLSLDPSSFYDIGIEMPFKEINEPSRTQSVIPCESNEDIKNTDQETSKLQDWDPSAMLSNLSFLEEKIHQLQDVVHLIANNKKCQPFEQPRELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRNTLTDTSPLVGQLSQLRGINLPFGTGTSNGVQPQNNIGNKLFDQSIHKDVPNNCEMEQNHNMDEHEHKDEEDMEEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKETGSEPKLIKRYSCPYGGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCHTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEDNKGLAEPPDRCATKENSAMVGNMNFCFGSNPSSENGVDNIMDVKGNIDDPISYFSSLNFEGCNFGAFNEFSQPSFEDSEGSFSFLMPSSFNYAPKSGGESCSDTL >CAK8570532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:56740148:56742045:-1 gene:gene-LATHSAT_LOCUS23272 transcript:rna-LATHSAT_LOCUS23272 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVSAYAHSPVHKAIILKDYANLKEILEVLPKLGNPYDIKTEAASIAEDGKAAKISAVVDRRDVLNGDTPLHLAVKLGDVVATEMLMAAGANNRLKNSEGWSALREAIIRKQDKIAFTMIQYSYNEMDEKWYRRFPRYFATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANMRADMTLAGFDGLRIKRSDQSVIFIGDESDDERMQPGFMCLVSHEKKEVVVPYLSRPSKPDEREMKQYLAKKSTEAKVVRVAIDVSQSLLVPQVTWRRKERKESVGPWKSRVYDMQNVVLTIKSKRVPGAQHAQPQAKLAPKEKENEKLEDMLTDDERKQLEEAEKNYSDEKNYSEEKNAKKGKKGKSSGGHKDKDHHHHSHKAKTTKMPSTNSASTSNTGSVKEENGDTEYKRGMMPVLWLSQNFPLKIEEFLPLLDILAEKVKAVRRVRELLTTKLPKDTFPVKIAIPVVSPVKVLVTFTKFEELPKVDEFESAPSSPTSADLENPPAQPSSWFQWIRTPSQSNSSAAAESYSTSQDLFTIPSNYTWTDLNTKMKASNKNKTRDTKPS >CAK8531951.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:183858591:183862757:1 gene:gene-LATHSAT_LOCUS1704 transcript:rna-LATHSAT_LOCUS1704 gene_biotype:protein_coding transcript_biotype:protein_coding MVESYPFPIYFILLIITIPRLISTVGKTEQWKSSLPTELSTNNNISEKLIYNPKALEEASTDYGNLVHELPAAVFRPTTVNDIAILIKLSFNSSVPFRIAARGQGHSTRGQAMARDGVVVDMKGLREQDRAVVKNNIKMKNNVNEGIKVFGDSKVGYYVDVGGEQLWIDVLYETLEYGLAPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQISSVHHLDVVTGKGEFVTCSKQKNSELFHGVLGGLGQFGIITKARIALEVAPKRVKWIRLLYSDFSVFTKDQESLILRKNGKLDYLEGMVLMHQGPINNWRSSFFPLTDHKRILSLVTQHRVLYCLEIAKYYDHHSENTINKEIQTLVQGLNYIPGFYYQKNVSFVEFLNRVRSGELKLQSQGLWDVPHPWLNMFIPRSRIMDFNSGVFKNIIPKRNITTGPVLVYPMNRNKWDNKMSATIPDDEDEIFYAVGFLHSSGFDNWKAFDAQNIEILKFCKDAEIKYKLYLPHYSTQEEWRNHFGPKKWKSFVQRKYQFDPRMILSPGQRIFNNN >CAK8572753.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:560314858:560317011:-1 gene:gene-LATHSAT_LOCUS25281 transcript:rna-LATHSAT_LOCUS25281 gene_biotype:protein_coding transcript_biotype:protein_coding MNNITILSLKPCIPPNKFLFPKTFSTKSTTILRANLQPPNSTNTTTQQQQQQLNLSVLRFTLGIPGFDESYLPRWIGYAFGSLLILNHFLGSDFSTITPPQLRTEVLGLTLASFSILLPYLGKFLKGAQPVDQTTLPDGTQQIFVMSTDIADGRKEDLAWTSYILLRNTNAIAALILIQGEICARGYWNVIDDSSKEILLGQFSNKIEKAGLNNLKETLYFPQDADSEFQDLVPKGARSLLVQPILQVSMESATDSQKPAGFILLVSATRYAFGIKDRAWIAAVANKLRGNSIIKL >CAK8533700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:651251593:651252792:1 gene:gene-LATHSAT_LOCUS3303 transcript:rna-LATHSAT_LOCUS3303 gene_biotype:protein_coding transcript_biotype:protein_coding MSETMYPKLLFEHTHLRIHQKDEYFMNFPPPSSLSSPSSPSSPTQSSSSSSTITPTTSSSSSSTKISPAVLFIIVVLAVLFFISGLLHLLVRFLIKHPSSSSSAQSNRQHHNEISTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQFKEIVGLNEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLQTNGFSIENPIFDFDDLREDDSCPCNGENGFGNRQKEIVVEDVVDKGVLPVRLGKFKKMNADTGRENEEVGETSSSKLDARRCYSMGSYQYVVGNSELRVTLDALKHESKVHHDDRRMNKGIENGENLCVESDLEGKKISNVSKGESFSISKIWLWPRKGKFSSSSLEAHNGMALPSYLNKDLQHHGMRETNGV >CAK8569690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:8196122:8198289:1 gene:gene-LATHSAT_LOCUS22513 transcript:rna-LATHSAT_LOCUS22513 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATCLLFFLLPLLITTIISPVASAGKGQWQLLQKNIGIVAMHMQLLHNDRLIIFDRTDFGLSNISLPDGKCRNDPTEITVKIDCTAHSVEYNVVSNTFRPLFVQTDVWCSSGGINPAGTLVQTGGFNDGDRAVRMFEPCNNCDWKEFAGGLNARRWYATNQILPDGRQIIIGGRKQFNYEFYPKNDIGAVNLYSLSFLVQTNDPGAENNLYPFVFLNVDGHLFIFANNRAILFDYNKNLVVKTFPQIPGGEPRSYPSTGSSVLLPLKNLQSRTIEAEVLVCGGAPRGSYQKAEAKEFIGTLNTCARIKITDPDPKWVVETMPGGRVMGDMVMLPNGDVLIINGAGSGTAGWELGRDPVLSPVLYKTKNPTGSRFESQNPSNIPRMYHSTAVLVRDGRVIIGGSNPHIGYSFANVLFPTELSLEAFSPPYLEPGFANVRPRIVSPIIGSQKYGQKLKLRFQVKAMLDQKLVYVTMLAPSFNTHSFSMNQRLLVLESNKVVKMEGTTFEIEVTVPGSPVLAPPGYYMLFVVHKEIPSEGVWVHLV >CAK8578362.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:623952905:623953291:1 gene:gene-LATHSAT_LOCUS30379 transcript:rna-LATHSAT_LOCUS30379 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAVGSAAKAVAEYQYPWREKLAKYKDELAKGVWGYWELGAWKPLSISARHRARLRKEVLLAGEDWTFDPERKEMKTRRKGHKVDRIAAEKRANTARLMEKMPDMLLDYKKKKWQKKMKEEDKGKL >CAK8536169.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:913793914:913795546:1 gene:gene-LATHSAT_LOCUS5562 transcript:rna-LATHSAT_LOCUS5562 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAKRYAVVTGSNKGIGLEIVRQLASAGIKVVLTARDEKRGLQALEKLKASGLSDFVVFHQLDVADASSVASLAHFVKSQFGKLDILVNNAGIIGTIINNKELFNLAIINRGALSTDNRRKALTQTYELTEECLQTNYYGSKLTAESLLPLLQLSDSPRIVNVSSTLGQLESIPNGCAKSIFSDADNLTEEKVDKVLTKFLKEFKEGSLDEDNGWPKTLGAYIVSKAAMNAYTRILSKKFPTLSINSVCPGYVVTDITANTGLLSVEEGAASVVRLALFPNGGPSGFFFYRSEVSSF >CAK8571843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:482488467:482488966:1 gene:gene-LATHSAT_LOCUS24467 transcript:rna-LATHSAT_LOCUS24467 gene_biotype:protein_coding transcript_biotype:protein_coding MATISATTTTASISRACLAQKRPLAVSPSPVLGLPTIAKVGRVRCSMEGKPSSVNESSSKMGMTASLLSAACAAAMSSPAAMALVDDRMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFIYASGLDEDEESGLSL >CAK8576498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:484523945:484524337:1 gene:gene-LATHSAT_LOCUS28681 transcript:rna-LATHSAT_LOCUS28681 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIVLRIHHNGEFVDGDLRVYEGVKADEMKVDVDRWSYFELIGSLKDLGYRDFEKIYYNDSTFGMNSLNDDAGALDIADLYKAHLGVDIYIQHKLDHPDYYNGPIEAKLENGDNVNEKPDVVEEVLSK >CAK8567654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533877158:533878290:-1 gene:gene-LATHSAT_LOCUS20687 transcript:rna-LATHSAT_LOCUS20687 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFRIFSFTFTCNPNWQKIKRLFDPLNLNLQDRPDIIARISKMKFDNLLADMTKKGVLGKVLAYMYTIEFQKIDLSHAHILIFLHPSNKHPALEDIDKMIYAEVPYPLKQPKLYNLVKNHMVHGPCGLANPKSPCMKDGKCSKYYPKKFQHVTVVDQDGYPIYIRRDQGNAIEKNRVTLHRGHGVPHNPSLLMKYETHINMEWCNPSTSIKYIFKYINKGSDRISHVIAQNNTGTDGNIDEIKQYLDY >CAK8567655.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533877158:533878161:-1 gene:gene-LATHSAT_LOCUS20687 transcript:rna-LATHSAT_LOCUS20687-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDNLLADMTKKGVLGKVLAYMYTIEFQKIDLSHAHILIFLHPSNKHPALEDIDKMIYAEVPYPLKQPKLYNLVKNHMVHGPCGLANPKSPCMKDGKCSKYYPKKFQHVTVVDQDGYPIYIRRDQGNAIEKNRVTLHRGHGVPHNPSLLMKYETHINMEWCNPSTSIKYIFKYINKGSDRISHVIAQNNTGTDGNIDEIKQYLDY >CAK8535440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:848386804:848387349:-1 gene:gene-LATHSAT_LOCUS4904 transcript:rna-LATHSAT_LOCUS4904 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNINKKKFLRTLFSSNKSCGCLKIKPSNVLEPSLRPKISIYQNQNKNPSSCTSSATTDDDDDKVLASSPVCEAKTIRHNNNTILKSGAKLVDSIAVEKESEDPYEDFRNSILQMILERKIYTERELEELLECFLQLNAKCHHQVIVEAFMEICEEIFPKKLQGEGEESQSNDNQIVGKS >CAK8562802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:538427052:538427847:1 gene:gene-LATHSAT_LOCUS16268 transcript:rna-LATHSAT_LOCUS16268 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASTSASEPVGYPGGPYDSSLLVKYEQYVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPREMERWISRSGLASLQRTSLTKIDTNLVSAFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIREVFWNPPDISEALAVEWAVDYLGVSHRIAQQQVRECRGSYYKLEWLYDWFVEHRAASRWDYATRAYLLMLVGSTIFADKTFTFVEARYLSLFIDLDGLSG >CAK8541388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:148117662:148119034:1 gene:gene-LATHSAT_LOCUS10313 transcript:rna-LATHSAT_LOCUS10313 gene_biotype:protein_coding transcript_biotype:protein_coding MNISKLPVQHQEIILRIIVIGECHSGQIADEVAAKFKEVNYSQDRELFIEFCLHTMLYQRVSQSGGFPPGLSVTQVNCDTYTLETMLLHPII >CAK8540065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:537954538:537958755:1 gene:gene-LATHSAT_LOCUS9103 transcript:rna-LATHSAT_LOCUS9103 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDICRRSSSLSNQAMDLCFRSFPCLSDPAQRSSSCLKLVLLLTHLIYAGVLFLFGGDLVEKTKKEPWFTALYFLLFVVTLGQYYAISISNPGYVLDVMKNFNKRKVVHTKTPEASNQPASSKNGSVVISIEGSQSEKSVAGSNATHWSKLVSELYPPGSTIRTWTCTYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGNCIGQGNHCQFWWYLCEETAVCIWTGFLYISHLKAHITMVWWQDAIMILLLIALSVSLVFLLLLLLFHSYLILTNQTTYELVRRRRISYLRGIPERVHPFSEGVCRNIYSFCCSHRFEPIPTPQEIEEKSRQYTCSDVATCRCC >CAK8564041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:647374962:647378481:-1 gene:gene-LATHSAT_LOCUS17386 transcript:rna-LATHSAT_LOCUS17386 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFHLSKTFIILLSLFHSIQSQSQPWSPLDAPKELVQMLIRDPFTLSLASTDFGHIIHQNPFATFAPSSIHDISKLIKFSNSLPIPFTIAARGQGHSVNGQSMTSDGVVVNMTELNNGIVVFDDYVDVGGEQIWIDVLHACLEKGLTPLSWTDYLYLSVGGTLSNAGISGQTFRFGPQISNVHELDVITGEGDLVTCSTNKNSELFYAVLGGLGQFGIITRARIALEPAPQRVKWLRLLYNNFSIFSEDQEHLISFNGINETNAPNYVEGMLLLNQPPIDLSFYPEIDRSRITSLVTQHDIIYVIEVVKYYDENSQDHIHQEIETLVQGLNFVPTFIFEKNVSYEEFLNRVHSDELALRKEGLWDIPHPWLNMFVPKSRMADFDEGVFKGIILKQNITAGLVIVYPTNKAKWDDNMSAVTPNEEIFYIVSLLHTSEFDKLEEFQIQNQQILQFCKDVDIGMKQYLPLKKTHEEWIEQFGDKWEVFEKRKALFDPNKILSPGQGIFN >CAK8571722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:465274105:465275519:-1 gene:gene-LATHSAT_LOCUS24359 transcript:rna-LATHSAT_LOCUS24359 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCASPPSSHQFVVISPATTSHNRRLTGSHATRHLLRMSLDNNNKNNSNSNSSSLLSAVTNLLWGRSLPPGLLVTTVRTAWNSTWQLMMSQLAPSDPTGGYSRPASKFRFSGPPPNGLHLYVGLPCPWAHRTLIVRALKGLEDAVPVSVASPGMDGSWEFKRVGGVDTGQVISPSLDKANGCKTLKEVYRLRRGGYDGRSTVPMLWSKESKDVICNESYDVIQLFNSGLNGLASNPDLDLSPPELKDKIEEWYQLIYPNVNNGVYRCGFAQSQEAYDRAVNELFCTLDKLEDHLSNSRYLCGDTLTLVDICLFTTLIRFDVAYNVLFKCTKKKLYEYTNLHAYMRDIYQIPKVADTCNFQEIMDGYYKTLFPLNPGGIRPVMPSTSEHEILYRPHGRESLSTSAKPVFVK >CAK8579075.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:672728797:672734364:1 gene:gene-LATHSAT_LOCUS31047 transcript:rna-LATHSAT_LOCUS31047 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVIATTGWYKGRVKAVPSGDCLVVVAVSTPKPGQTLPERTITLSSLIAPRLARRGGVDEPFAWESREFLRKLCIGKEVAFRVDYNVPSINRDFGTVFLGNQNVALLVVSGGWAKIKEQGQQRGEVSPYLAELLRLEEQAKQEGLGRWSKTPGAAEASIRKLPPSAIGDPSNLDAMGLLSANKGRPMEGIVEQVRDGSTIRAYLLPEFQFVQVFVAGIQAPQMGKRVAPESIVEPEVKAGETNGGVSGEPRASLTVAQRLAVSTSAETVADPFALEAKFFTEMRVLNRDVRIVLEGVDKFSNLIGSVYYPDSESAKDLALELVENGFAKYVEWSANMMEEEAKRRLKTAELQAKKNRLKLWTNYVPPPTNSKAIHGQNFRGKVVEVVSGDCIIVADDSIPYGSPLAERRVNLSSIRCPKIGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKVGTADGSAVPAGAPEARTMDFGSVFLLSASKAEGDNAPSAVASTGSQQIGVNVAELVVGRGFGSVVRHRDFEERSNFYDTLLAAESRAISGRKGIHSAKDSPVMHITDLTTTSAKKAKDFLPFLHRSRKVPAVVEYVLSGHRFKLLIPKETCSIAFSLSGVRCPGRDEPYSGEAIALMRRKIIQRDVEIEVETVDRNGNFLGSLWESKTNVAVTLLEAGLAKLQTSFGSDRIPDFHLLEQAEQSAKREKLRIWENYVEGEEVSNGTTVEKKQQEVLKVVVTEVLGGGKFYVQPVGDSNIASLQKQLANLDLKETPLLGTFNPKKGDTVLCLFGADKAWYRAMIVNGPKGPVESPNDIFEVFYIDYGNQEEVPYSQLRPIDPSISHSAGLAQLCTLAYVKVPNLEDDFGQEAAEYFSELTLSSGKVFKAKVEEKDTSSGKIKGQGTGTVFAVTLVDEESKISVNATLLQEGLARLEKRNRRDRKERQLALENLETFQREAQTKRRGMWQYGDIQSDDEDNAPPARKPGGRK >CAK8563612.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620512787:620516494:1 gene:gene-LATHSAT_LOCUS17006 transcript:rna-LATHSAT_LOCUS17006 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCTFPCPAFITPSPHPRTTLSHSLSQSQRPLHSLSPSQHKLNQVNKKYAGTRVHASLSETGEYYSQRPPTPLLDTINYPIHMKNLSTKELKQLADELRSDVIFSVSRTGGHLGSSLGVVELTIALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMHTIRQTNGLAGFTKRSESEYDSFGTGHSSTTISAGLGMAVGRDLKGRKNDVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAAPVGALSSALSRLQSNKPLRELREVAKGVTKRIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVAILREVKSTNSTGPVLIHVVTEKGRGYPYAEKADDKYHGVAKFDPPTGKQFKAKAATQSYTTYFAEALIAEAKADKDIIAIHAAMGGGTGMNLFHRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGSDGPTHSGTFDVTFMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVELPNEYKGIPLEIGKGRILIEGERVALLGYGTAVQNCLAAASLVERHGLRLTVADARFCKPLDRSLIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPVVLPDRYIDHGSPNDQLCMAGLTPSHIAATVFNILGQTREALEVMS >CAK8565438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:199174929:199176074:-1 gene:gene-LATHSAT_LOCUS18655 transcript:rna-LATHSAT_LOCUS18655 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKSQKIDCCVNGCMLYYKDTIADRECRFCHEPRYAPRKPGMGNYNDVPVKRMHYLPITPRLKRLYASIETAKDMIWHHHNNSSSCVLRHPSDGKSWKNFDEIHPDFANEPRNVRLGLCSDGFTPYIQASSSPYSCWHVIVTPYNLPPELCMTKPFMFLTCLIPGPHNPKAHIDVYLQPLIDELQNLWNQGVLTYDIPTQQNFVMKAALMWIINDFPAYGMLSGWSTQGKLACPVCMDGNKAFTLEYGGKNSWFNCHRRFLPRNHAFRRSKKRFTKNKVVKEEPPSILTGEEIYRWVRDLWELVDNRVSKLKGYGESHNWSKLSIFWDLPYWKDNLLRHNLDVMHIEKNFFDNVFNTVMNIKNKTKDNEKARMDLAIIC >CAK8534394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:722928261:722928970:1 gene:gene-LATHSAT_LOCUS3944 transcript:rna-LATHSAT_LOCUS3944 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRNMSIILVIFGLVATIVERTEAADHTVGGSTGWTSTAGAKFYSDWASNNTFKQNDVLVFNFFAGAHTVAETNKADFDNCNVNQNTNAIIATSPARVTLNRTGDFYFICTVSTHCQSGGQKLTIKVPASSSSTPPSPTPPSSTPPSSGTTPTSPTSGGTPSPSSPAQPGATPPSPGSATALVATFPAFVALVVNLLV >CAK8565134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:44240721:44246766:-1 gene:gene-LATHSAT_LOCUS18370 transcript:rna-LATHSAT_LOCUS18370 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDRDDNRIFEANFSTDGAKKLGDIVKEKLKEFMGEYSDDTFVEYVIVLLRNGKSKEQAKTELDVFLADKSDAFVCWLWDHLHLNLALYVKPKKLQDEAPKRKLTSEIETRSDSNSKLERGNSNKSSRTRHNKDWKGLVRGEAEARAPTISSSKVDLAHLEEKVRPKVDHGPRSPSPQPEPTVQRKRGRTDEKHRTKRDVASQLNVASQLNVAASRRLLQFAVRDAVGTSRTTNLGTSVEPSLKRLRSVVSTSSGDSALVEHHQRVQSTARVPNAMATVIKAVAEAAEDVKSKSSGSVFDRLGCGMDSSADNSQLDYQQQEKNQSMYLQRPDYDRQFASDTTMIEHETGFPSDSNSDNEGRHNLNVIGHGVTGPSQVSSSVGNRGSDSLMEHSVAKYAGDSLRLNQNREQDQSAAARNTSRKVVNISVNVNTWKPEQYQEPRVVAEFNGHKTLNNGTGNPRSNVQLVKENTQAMKISNGNVNIAPDVQKESSKAHCTTGSSVAGRPSEDVDSRTIFVSNVHFAATKDGLSRHFNKFGEVSKVIIVTDAATGQPKGAALVEFMLKEAADSALSLDGTSFMSRLLKVVKKSAAHQESAPTTTWPRVVRGTSFPTPRFPRPPFARGMPGSFRARPPMKLGARSMQWKRGAQTNSADSGSSANTGNFTTMPAPRGLTYIRTQSKPEGLGSGMT >CAK8575404.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:110325100:110335744:1 gene:gene-LATHSAT_LOCUS27673 transcript:rna-LATHSAT_LOCUS27673 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKVKYDRQLRIWGEQGQAALEKSNICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVEVGDLGNNFLVDESSLGQSKAKCVCSFLQELNDAVKAKYVEEYPDALIETNPSFFSQFTLVVATQLVESSMVKLDRICRNANVILIFARSYGLTGFVRISLKEHTVMESKPDHFLDDLRLNNPWPELKRFAEGFDLNVQDPIVHKHIPYVVILVKMADEWAKSHGGRLPSTREEKKEFKELLKAGMVAQDEDNYKEAIESSFKVFAPRGISSELQQMLDDSSAEVDSSSSDFWVLVAALKDFVTNEGGGEAPLEGSIPDMTSSTEQYVNLQNIYQAKAEADILAIERVARNALKKIGRDPNSILRATIKSFCKNARKLKVCRYRLIEDEFNSPNLPELQKYLTDEDYSNAMGIYILLRAVDRFAANYNSFPGQFDSAMDEDISRLKSTAVGLLNDLGCNSATLAEDLINEMCRFGAAELHAVAALVGGIASQEAIKLITRQFVPISGTYIFNGIDHKSQLLLL >CAK8566937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:473939223:473939483:1 gene:gene-LATHSAT_LOCUS20032 transcript:rna-LATHSAT_LOCUS20032 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFNPFVIRFRQLSQLPNIGECSFILKERPSNLHQYNLPTTEQVAKIIVGGDSYSMEYGRDINVIRHDENLKKVQETKGYYDLL >CAK8571950.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496128936:496129925:1 gene:gene-LATHSAT_LOCUS24565 transcript:rna-LATHSAT_LOCUS24565 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMFMCRLITKPIISNVPCNGKKLNLRQIQKENVVLVMGATATGKSKLSIDLANYFPSEIINSDKIQIYEGLDIVTNKITKQEQKGIPHHLLGTHNPNIEFTSNDFREKSTSAIDSITSHGNLPIIVGGSNSYLEALIDDDDYNFRSRYNFCCIWVDVSMPILRSYIEQRVDQMFNSGMINELRPFYNPTGDYSKGIRKAIGVPEFDEYFRMESFVDEKTRKILLEKAVSEMKINTWKLARKQLGKIDFLKNVKRWEIHRLDATPVFRKRGKEANETWKKIVAEPSAMIVANFLYNSVNLVNSSSSSSSSNLRVQKSQSDLMAAATC >CAK8562917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:557070151:557071734:1 gene:gene-LATHSAT_LOCUS16378 transcript:rna-LATHSAT_LOCUS16378 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLYYPVTTTFKNEVEYLDNDENPLMGCYASLYPNLPILEKSATSSWILPFPDHETETIRDNKKVKRSTVTIPIWFANFSSHSNSFFNSINRSNSVNSIPRLHFRDHIRTYKQRYFAAEAVEEAAEESFNYNNCEAEEDGSCADGMRLVQLLIACAEAVACRDKSHASVLLSELKSNALVFGSSFQRVASCFVQGLIERLTLIQPIGNNSVGLDAKSMMNIMDAASEEMEEAFKLVYEICPHIQFGHFVANSIILEAFEGESFVHVVDLGMSLGLPHGHQWRGLIQSLANRSGSQRVLRRLRITAVGLCIARIQVIGEELSIYAKNLGVHLEFSIVEKNLENLKPEDIKVNEKEVLVVNSILQLHCVVKESRGALNSVLQMIHGLSPKVLVMVEQDSGHNGPFFLGRFMESLHYYSAIFDSLDAMLPKYDTKRAKMEQFYFAEEIKNIVSCEGPLRMERHEKVDQWRRRMSRAGFQGSPIKMVVQAKQWLLKNKVCDGYTVVEEKGCLVLGWKSKPIVAVSCWKC >CAK8569485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:2566220:2566483:1 gene:gene-LATHSAT_LOCUS22331 transcript:rna-LATHSAT_LOCUS22331 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNHDPKNWDDGLMWISRAVNDKGCRATLMRMVAAEMIYCIWNYINDIVFGNSVDNTSIITKIIDSVVYRSWQNRKLRSHLVKFMM >CAK8577531.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:571654852:571655784:1 gene:gene-LATHSAT_LOCUS29630 transcript:rna-LATHSAT_LOCUS29630 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELSLRGGMARSINNNSEISHQIADSSSQLASDSHNIKETEERQARELKAGLHPLKRKFVFWYARRVPGIRNQSYEDNTKKIVEFNTVEGLCVCYCHISRPASLPSPTDLHLFKEGIRPLWEDSANCNDGKWIIQFKKAVSGCFWEDLVLALVGDQLDYGDNICGAVLSIRFNKDVVSVWNHNASDNQAVMALRDSIKRHLKLPHSYVMEYKSHDASLRDNSSYRNTWSRGKIMGNSKEHHKCNNKDSRPESNLQQQFTVTRKMRVKLRGINHSINKSKKGQCVTTSFTVVKSKNIAVIAIHNEISIK >CAK8570410.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:43874705:43875246:1 gene:gene-LATHSAT_LOCUS23158 transcript:rna-LATHSAT_LOCUS23158 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQGRMMVSNYYPFCPQLNLTVGLNSHADPGALTILVQDHIGGLQVRTQQGWVHVKPFDGALVINIGDLLQIISNEEYKSVDHRVLANSSNESRVSIVVFLVPGNKEKLFGPLPELTSAEKPNLYREFTFNEFLPRFYKKELDGKALTNFFRQ >CAK8576120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:409060191:409060460:1 gene:gene-LATHSAT_LOCUS28334 transcript:rna-LATHSAT_LOCUS28334 gene_biotype:protein_coding transcript_biotype:protein_coding MMVETKKHIAYPMVYLLLKLALLLHVATATVERSFSAMNFMKNQLRNRMSYEFLNDCLITYIESDIFDSVENEKIIQHFQNMKTHREQL >CAK8571506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:432811105:432813232:-1 gene:gene-LATHSAT_LOCUS24166 transcript:rna-LATHSAT_LOCUS24166 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSIIIFFFLTVYIVPCLTYNDTHALTLFRQQTDTHGQLLANWTGHDACSAAWRGVVCSPNNRVTTLTLHSLNLRGPIYALSPLTHLRLLDLHNNRLNGNVSASLLSNCTNLKLLYLAGNDFSGQIPSEISSLVNLLRLDLSDNNLAGEIPEEISRLTNLLTLRLQNNALSGNIPDISSAMPNLTELNMTNNEFFGNIPNSMLKRFSDESFSGNEGLCGSKPFQVCSSTEKTPPSPEPVQTVPSNPSTFPETSVIARPRSQHHKGLSPGAIVAIVVANCVALLVVTSFVVAHCCAKGRGVNSNSIVGSEGGSGNGKGKRKSYGSEKKVYNTNGGGGDSSDGTSGTDMSKLVFFDRRNGFELEDLLRASAEMLGKGSLGTVYRAVLDDGSTVAVKRLKDANPCARHEFEQYMDVIGKLKHPNIVKLRAYYYAKEEKLLVYDYLSNGSLHALLHGNRGPGRIPLDWTTRISLVLGAARGLARIHTEYSAAKVPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYRAPEQTEQKRLSQQADVYSFGVLLLEVLTGRAPSLQYPSPGTRHRKDEEEENVVDLPKWVRSVVREEWTGEVFDQELLRYKNIEEELVSMLHVGLACVVQQAEKRPTMVDVVKMIEDIRVEQSPLCEDYDESRNSLSPSIPTTEDGLV >CAK8534485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:733537942:733539964:1 gene:gene-LATHSAT_LOCUS4028 transcript:rna-LATHSAT_LOCUS4028 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLKNRPLKVPIAQFKSLCDYWSKEAIQAISETNTRNRAQLKRMPRMGPKNFALTREKMREKEKGEPTQSEMFIETRKGSKGKELDVETGKVISQLQEMVEKEEIDTEAFKSVFGKERPGRVRCYGRNITKTSLKRKAEINALKQAHNEEVSTLRHEFQDQIDRLQNAFKTVIQQCNPQINLESIEDLLGLSHRDANSSPKEMRPQIHSSTSTHAPCHGKQGINEDVEKDDINDEIQEDDVDDGFQEDVAGDEFQEDDIDVDDEFQEDDIDSEFQEDEVDEEFLEDDICDEFQEDDMDDEFQEDKRE >CAK8575147.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:39189024:39192989:-1 gene:gene-LATHSAT_LOCUS27433 transcript:rna-LATHSAT_LOCUS27433 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAYNLNQPQQHPQSYYEYDPSQIHAQPYDQSYAAAAAYQPSYYAAYNQQYAYYPTDTTTHLHHQQAHGYYQTEPAPVHPPGVNPEPVQPNLTIGSSSQYRGRGGRSFRRGGRGRGQFGHGRGRGVSGGRHFPSHSSGPAISDVPGSSSVSAQVQTASVQPPPRGVFCDMCKIECNTPEVMELHLKGKKHLKNIRVHEAKQRHGGINAPQSGQIPTSQLNSTDQPIIAQESQDPGKNISSEIATDSQKGDIISQNNVGETSDVPAEENSGARGRGLKRKLRGGKARKQTRTADGSQSEPAVAITCELCNVKCDTQRVYQAHITGKKHLKRAYGPQAPAGLVRNQALVGVANQTLSEVGSQALAGVAGLQALYPPDINALATAINAQVQQGDNDPQVLLAQLLVNALSQAQGSGAAPPNGSLAAQTPTPTSVAGSSYDPQLAQIQVSEIAAHENPTGESKNETPTAPLESNAQEGSNVGTQIEGGSSETK >CAK8538710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:493482256:493483692:-1 gene:gene-LATHSAT_LOCUS7881 transcript:rna-LATHSAT_LOCUS7881 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQVVFIPSSSLSHLASTLEFAKLLIDRDNRLRITVLLMKLPNNSEAESYIDSLPISGSLLVINLPQTSVPPNTDRASAMIALVESQKPNVKQAVSNLTAEEQQHGPLAAFIVDMSCTTMIDVAKEFSVPSLVFFTSGVASLGLMLHLHTLFERDNFDSTQLLQRNELAVPSFVNSVPVNSFPSSVLHKERESFFMSYVGGLKKPNGIIVNSFEELESHAVQSFFSHPDLAGLPTIYPVGPLLNPQPKTKGTVDSDDIIKWLDDQPPSSVVFLCFGTRGSFYADQIQEIAHAIENSGVRFLWSLCKPSLKGVMPVPSNYPLSDLVSLLPEGFLNRTAEIGKVVGWTPQTQILAHQAIGGFVSHCGWNSILESIYFGVPIAAWPLYAEQQTNAFELVFELKIAVEIALDYRVEFNGEHSYLLTADKIEKGIKSVMEKDGEIRKKVKEMSEKSRKTLLDGGSSYTYLSHLIDYIMNQV >CAK8573908.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:646229640:646229963:1 gene:gene-LATHSAT_LOCUS26303 transcript:rna-LATHSAT_LOCUS26303 gene_biotype:protein_coding transcript_biotype:protein_coding METTLKSSLIFLSLFLLVSFFISLLPEVSEARPLQGKEGVKGVFRTLKGDGPSPGVGHRLIRGMKDSGPNLGGVEHIKLQNHGSHKLNIAHIIGGIKDSGPSPGEGH >CAK8574459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:682650109:682650531:-1 gene:gene-LATHSAT_LOCUS26803 transcript:rna-LATHSAT_LOCUS26803 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSKEDEKLNPHPEQETHNHDHHNHNHNLLSAASGGAEKLRSTSNGGASKREFSALLKDGPPGQIAAQTFTFRELALATKNFRPQSFLGEGGFGRVYKGRLESTS >CAK8569061.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667523073:667523408:-1 gene:gene-LATHSAT_LOCUS21954 transcript:rna-LATHSAT_LOCUS21954 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKYEIEKFTGVNDFGLWHLKMLALLVQQDLLEALKGSDNMERGRHIGYLEEFGKEFAKEFGKEYAKESYSKKFEKTKRNLQHERNKSYWLTVALIVSCVLFAIVFNMM >CAK8560044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:6098909:6104671:-1 gene:gene-LATHSAT_LOCUS13761 transcript:rna-LATHSAT_LOCUS13761 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGILTDWPWKPLGSFKYVLLVPWVIHSSYSVMVKDKSERDVSTFLVFPFLVWRIIHNQIWISLSRYRTAKGNNRIVDRGIEFDQVDRERDWDDQILFNGLLYYLACYTLKGASYLPLWRTDGVIIAALLHTGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHISYFLLFAIPKLTLVFSNKASVVAMVGYVTYIDFMNNLGHCNFEVVPKWLFDIFPPLKYFMYTPSFHSLHHTQFRTNYSLFMPLYDYIYGTMDKKSDEVHELALKRKEETPNVVHLTHLTTPESIYHLRFGFAHLASKPYTSKWYLWLMWPLTAWSMLLTWVYGRTFIVETNRFDDKLNLQTWAIPKYTLQYLLQWQKVTINKMIEDAILGADKKGIEVVSLGLMNQGEELNIYGGLYVNRHPKLNVKVVDGSSLVVATVLNSIPKGTTQVLLRGKLTKVAYAIAFTLCQQGVQVATMHEDDYMKLKKSFINSSEITNLVKTKSCTQMIWLVGDGLTEEEQMKAPKGTSFIPYSPFPPKKHRKDCSYHCTPAMLTPKCIENVHSCEDWLARRVMSAWRIAGIVHSLEGWSEHECGYKMNNIDKVWNSALKHGFQPLNVSLKKLDHYN >CAK8530471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23400429:23402321:-1 gene:gene-LATHSAT_LOCUS333 transcript:rna-LATHSAT_LOCUS333-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQEVEEPQIKDDEVLIKVHATALNRADTLQRKGAYPPPQGASPYPGLECSGIIESVGKNVSKWKIGDQVCALLSGGGYAEKVAAPEGQVLPIPSGISLKDATSFPEVACTVWSTIFMMSRLSKGESLLIHGGSSGIGTFAIQIAKYIGAKVFVTAAGSEEKLAFCKSIGADVGINYKTEDFVARVKEETGGQGVDVILDCVGASYFQRNFDSLNIDGRLFIIGFQGGVTTQVNLSPILSKRLTVQGAGLRSRSPENKAVIISEVEKNVWPAIAEGKVKPVIYKTFPLSEAAEAHRLMESSQHIGKILLLP >CAK8530470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:23400429:23402321:-1 gene:gene-LATHSAT_LOCUS333 transcript:rna-LATHSAT_LOCUS333 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQEVEEPQIKDDEVLIKVHATALNRADTLQRKGAYPPPQGASPYPGLECSGIIESVGKNVSKWKIGDQVCALLSGGGYAEKVAAPEGQVLPIPSGISLKDATSFPEVACTVWSTIFMMSRLSKGESLLIHGGSSGIGTFAIQIAKYIGAKVFVTAGSEEKLAFCKSIGADVGINYKTEDFVARVKEETGGQGVDVILDCVGASYFQRNFDSLNIDGRLFIIGFQGGVTTQVNLSPILSKRLTVQGAGLRSRSPENKAVIISEVEKNVWPAIAEGKVKPVIYKTFPLSEAAEAHRLMESSQHIGKILLLP >CAK8565025.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:27993057:27994352:1 gene:gene-LATHSAT_LOCUS18266 transcript:rna-LATHSAT_LOCUS18266 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPPKNKSVPPPPLSLVVSTPVSQSVLRSHNVSKNLSTEENVGIKYLGETSDATEDGSIAKKSNEIELEKPRSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEIKFWESSLILYAMGADLSMNVVKNFMTRTWNFVELPNMYFNDEGYFILRFKSFTDRDEVMLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQSIEYEWRPLFCNKCQKVGHCCEKPKMRKQWIPRNVKQPEANEMSNKSGDANKEPLEIGNVNLDIVQSRKENDGSTAAEVTSIRNKDVEKVMEKWTEVIKSGRDRGKKVGNVEAAGVFSHDNGFDALKILKDLLEAQNTGQ >CAK8578611.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:641511126:641514156:-1 gene:gene-LATHSAT_LOCUS30607 transcript:rna-LATHSAT_LOCUS30607 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSQHDDVFFDAFHQCPFHHCSGTTHHNMPESPPSSSGQRDPDPPSSPSSTKLRRRSIYRLSLDKESPDTVSCTNSNNPATTKSQNPAVLKENENFYEEGKFHLSPSPSGVIEDDRNEESTLTTATHDETLGDSADSAGELNDLSSNLLDYATGFVIRAIMFQIRVFFMLMKAPVSFIFHTWIFCVDPFGTMRKGKVFYLWILGRVWSSVCEFIGPSVLQWLNENKSFWNVAFRCGWGFLWSIYVCSILFALLVSSLVFSGFLVKALVEKPFQMKQVLNFDYTKQSPVALVPIISCFGVAGEHRSDESGIAVDRWVNKRVIPSKQKVQLTVSLLVPESGYNTKLGVFQIRVDFLSSNGKIITSSRQPCMLRYRSEPIRLVTTFLKIVPLLTGYISETQTLDAKMNDFVEGDVPTSCLKVTLEQRAEYLPGAGIPQIYDSSILVESKLPLIKRVLWYWKIGLFIWIAMTVFVMELLFVLVCCWPMIIPRTRQRSGSARRTSSQNNLQAPS >CAK8540411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:558756672:558758021:-1 gene:gene-LATHSAT_LOCUS9416 transcript:rna-LATHSAT_LOCUS9416 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGKGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAEKAIRIAEGKYIYFNYWDYVQAFTQAFYYENPKNKHSWFFSINEEMVNKPIPNWFYEWWAKFGPSLEILPKEILNLYNPWCDNSPLIVNILSDNLITGQCPLLFFIKFQIPWIWRWTITISRNKFNILILERNFFYKWWNKMSAKDVQNKIKLIEEAIAEDLNKKVKEQSSQEMSMENLKNFFKRKYPNESEDEIMVRILDHMKNQFFYTFPSKASKDEDSSMKTSSSMGSMDSHNFEGVAGEGQADEATAEDFWDAMIQSLKEKRKAKN >CAK8542610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:530391795:530392394:-1 gene:gene-LATHSAT_LOCUS11435 transcript:rna-LATHSAT_LOCUS11435 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHGATHRTQTSSTTPSSFSETYQPKPPHEKLYTDFKIYWPFSIPLTSEAAAIRIIRNLENLGLYYTLFVWIILFIILIPHHKTSLILLVIMTYVITIYHLLLRACPNNIVFHRRIDKRFVFGLLVFATVVLLILTDAGIRLAVTLACAVPLVLVHAVLWVSHYVFEVVSDDVCCCCDKELASLVGHSEFEGDGLENA >CAK8572672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554549024:554552556:1 gene:gene-LATHSAT_LOCUS25206 transcript:rna-LATHSAT_LOCUS25206 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIATTTAIGTFSTTPALNRTAGPTFSANFTPRTFLATPLSSNHLFSKLPRTSSKRSPTSRHFKRFSPVMQWQDCTVKKEVDVPVSVAYACYSDREAIPEWMPFISTVKILPDKPDLSRWSLKYKAFGQNIEFSWLARNMQEKIPTPNQKIHWRSLEGLPNRGAVRFFPKGPSSCIVELTVSYEVPQLLSPVASALQPFLESLLQRGLERFANFAKSYK >CAK8572673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554549024:554552556:1 gene:gene-LATHSAT_LOCUS25206 transcript:rna-LATHSAT_LOCUS25206-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIATTTAIGTFSTTPALNRTAGPTFSANFTPRTFLATPLSSNHLFSKLPRTSSKRSPTSRHFKRFSPVMQWQDCTVKKEVDVPVSVAYACYSDREAIPEWMPFISTVKILPDKPDLSRWSLKYKAFGQNIEFSWLARNMQPTPNQKIHWRSLEGLPNRGAVRFFPKGPSSCIVELTVSYEVPQLLSPVASALQPFLESLLQRGLERFANFAKSYK >CAK8532301.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:243151041:243154672:-1 gene:gene-LATHSAT_LOCUS2025 transcript:rna-LATHSAT_LOCUS2025 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDWEEEKLVPIELKLQEPPKLKWEDEDVDEDDVKDSWEDDDEPAPAPAAPAVKTTEKAPKKSSEKAADKKGKKVEPVKEEPLDPLAEKLRQQRLVEEADYKSTKELFGGANDEKNIDTFIPKSESDFLEYAELISHRLRAFEKSYHYMNLLKSVMRISMTSLKGADAKDIASSVTAIANEKIKAEKEFNAGKKKTGGKKKQLNVDKPDEDFVAADRYDALDDFDFM >CAK8574128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663997977:663998351:-1 gene:gene-LATHSAT_LOCUS26502 transcript:rna-LATHSAT_LOCUS26502 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVFGDVLAFDATYGTNKYHCPLVIFSGVNNHNHNIIFGGAIVANEKEETYVWVIEQILEAMSGKSPISVIIDGDLAMKKAIQRVFPNAYHRLCAWHLIHNEMSNIGVPEFISQFRKCMLGD >CAK8539473.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513664965:513667350:-1 gene:gene-LATHSAT_LOCUS8564 transcript:rna-LATHSAT_LOCUS8564 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTNCERDRDITITRSNRKHESSSSVKGSKFKSGSSSSGITKSIHPPIESHWDLPTPKGKKRASFSIKSMLSYSLTKFGRSKSMEIVLQGIHDPKDEKIVENFREMLSREGLLPHNHNDYHTLLRFLRMNDFDITISKEMFLNYLKWRKEFRVDMIHKEFKFTEYTEVKKCYPHGYHGVDKCGRPVYIERLGMIDVNKLWQVTTHERFIKHHVLEQEKTLRVRYPACSLAAKRHIASTTSIVDVHGIGMSNFSKPARYLFMEIQKIDSSYYPETLNKLFIVNAGSGFKILWKAVKAFLSERTVAKIQVLGPDYSSVLLETIDPSNLPTFLGGNCICSDYGGCLMSDQGPWKNQEFLEMIQTVSLTEKTDENNNVVSEGFLMHKKVDMENKDDVRTDLPCRLALQKIDRLEDSLGDIKNKIKTLEDALQETKMALKELGQHIEEQKM >CAK8539474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:513665754:513667350:-1 gene:gene-LATHSAT_LOCUS8564 transcript:rna-LATHSAT_LOCUS8564-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTNCERDRDITITRSNRKHESSSSVKGSKFKSGSSSSGITKSIHPPIESHWDLPTPKGKKRASFSIKSMLSYSLTKFGRSKSMEIVLQGIHDPKDEKIVENFREMLSREGLLPHNHNDYHTLLRFLRMNDFDITISKEMFLNYLKWRKEFRVDMIHKEFKFTEYTEVKKCYPHGYHGVDKCGRPVYIERLGMIDVNKLWQVTTHERFIKHHVLEQEKTLRVRYPACSLAAKRHIASTTSIVDVHGIGMSNFSKPARYLFMEIQKIDSSYYPETLNKLFIVNAGSGFKILWKAVKAFLSERTVAKIQVLGPDYSSVLLETIDPR >CAK8536870.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:31261092:31262036:-1 gene:gene-LATHSAT_LOCUS6197 transcript:rna-LATHSAT_LOCUS6197 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLGSWFSPFACRVQIALNIKGLEYDNIEETWHPKSELLLHSNPVYKKIPVFSHGDKIICESAIIVEYIDEVWKDNGTPSIFPSNAFDSAIARFWVAYIDDKFFNSVRNGLIAQDEESKKKHFELVEEVFMRLEEVINKCNNEGMEFFGGDKIGYIDIGFGCYMSWVRATEKIEGIKLLDGAKTPALVKWAEAFADHPAVKGVLPETDKLVEFAKGYVKILVDKASK >CAK8569084.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:669904157:669905260:1 gene:gene-LATHSAT_LOCUS21975 transcript:rna-LATHSAT_LOCUS21975 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELGCIYATLILHDDGIPITAEKISTILKAADVTVESYWPSLFAKLTQSKNVDDLVLNSGAAGGPAVVSAGGAAPAAGGAAAEAPVETKKEEAKEESDDDMGFSLFD >CAK8537867.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:443049923:443052736:-1 gene:gene-LATHSAT_LOCUS7120 transcript:rna-LATHSAT_LOCUS7120 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILCCLLGLLTIIVSIVSVAAETHYHQFNIQTATVNRLCRTRNILTVNGQFPGPTIVARDGDSMVIKVTNAGPYNISLHWHGFRMLRNPWADGPSYVTQCPIQPGGSYTYRFTIQNQEGTLWWHAHTSFLRATVYGAFIVYPKLGSYFPFNRPNREFPILLGEWFDRDPMTLFKQTQFSGGAPNTSVAFTINGQPGDFYPCSSRGTVRFQVNAGETILLRIINSGLNQELFFSIANHRMTVVAMDAAYAKPFTTGVLMIGPGQTINVLVTADQPPGRYYMAARAYQTANASFDNTTTTAILQYNNAPSSRPILPVLPAYNDTPTSTAFTSRIRGLSENQVFQNFDVSLLFAVGLGLINCTNPNSLRCQGPSGTRFAASINNNSFVLPTTTSLMQAYYQGVQGVFTTDFPPVPPIQFDYTGNVPRGLWTPIKGTKLYKVKFGSNIQIVLQDTSIVTIEDHPMHVHGFHFFVVGSGFGNFNPRTDPARFNLVDPPVRNTIGTPPGGWVAIRFKADNPGIWFLHCHIDSHLSWGLATALLVENGVGPLQSVIPPPPDLPQC >CAK8541826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:410078998:410079342:1 gene:gene-LATHSAT_LOCUS10720 transcript:rna-LATHSAT_LOCUS10720 gene_biotype:protein_coding transcript_biotype:protein_coding MVASASEKQAEQVIVKVMVDKEKSKVLFAEAGKSFVDVLFSFLALPLGTIARMVSEKTNIEAVRFGCLSSLYESVSDLDEQYLASHACKERLLKPDNSMKPYWQQIKYLLVELT >CAK8530771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48798910:48801069:1 gene:gene-LATHSAT_LOCUS613 transcript:rna-LATHSAT_LOCUS613 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAIFSSLRRRRSPTLEAFLAPVDLSDVALVQTLVAVVNELVSCFTKCSFFFQRKNTRSLIRKVEVFQLLLEYLNDSGSKGCNLPSTALLCLKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPVKEVGLSKDVREQVELLLKQSRRAKLFIDMGDDALRIRFFSFLDEFENGRVPESDELRWFYVEKLGIVDAGCCRGEIEALEEQIVNHEGDIEPTISVLKGLVAMTRYCRFLIFGFEEDELDLENGIQKKPKMGLITQEIAETFLTVPKDFCCPISLDLMRDPVIISTGQTYDRSSISRWMDEGHTTCPKTGQTLAHTRLVSNRALRNLIVQWCNAHGIPLDPPEVMDAMGEAFGSASPTKAALEANRATANLLIQQLANGSQSGKTIAAREIRLLAKTGRENRAFLAEAGAIPYLRNLLSTPNSVAQENSVTALLNLSIYDKNKSRIMDESGCLGSIVDVLRFGHTTEARENAAATLFSLSAVHDYKKIIADETGAVEALAGLLQNGTPRGKKDAVTALFNLSTHTENCVRMIEAGAVTALVEALGYEGVAEEAAGSLALIVRQPFGAKAVVKQEEAVAGLIGMMRCGTPRGKENAVAALLELCRSGGSAATEMVVKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHYGGLGVGYAYASNSAQTRDTSFAAGDVSVPMSISVPVL >CAK8561938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:415307204:415309311:1 gene:gene-LATHSAT_LOCUS15483 transcript:rna-LATHSAT_LOCUS15483 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAREFSAALFSCSKPHVSLFQRLFLRRKTSKIFVKGLAFSTTEEKLAEAFSQYGNVLKADIVLNKAKNRSKGFGYVTFSEEEEARKAQIDMNGKILHGRVLYVDMDPPDEQKKTYKHATKNENVDVHMD >CAK8538379.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:480094410:480100464:-1 gene:gene-LATHSAT_LOCUS7590 transcript:rna-LATHSAT_LOCUS7590 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSFLTFFFLLLFIILFFTTLVSGATLSKDEVEALEDIAKTLGKKNWDFSVDPCSGQKNWTSSVQVKGSENAVTCNCTYFNATVCHVTSILLKSQNLPGTLPPELVRLPYLQEFDVSRNYLNGTIPKEWGSMNLVNITLLGNRLTGSIPTSITNISTLQSLVLESNQFSGNLPTGLEKLTQIQRLLLSSNNFNGELPATMAKLTTLQDIRLGDNQFTGKIPDFIRSWTSLKKLVIEASGLSGPIPSGISVLEKLNDLRISDLNGFEHSSFPELSNMTLKTLILRNCNINGTLPQYLGSMTSLKNLDLSFNKLSGPIPNNYDPLRKVDYIYLTGNLLTGPVPSWTQKADNLDISYNNFSQSQGSQTCQDGNVNLFSSSLTRNDSGKVSCLTSFVCTKTSYALHINCGGKPVTVNGSSYDDDGDAAGPARFHQSGTKNWAFSTTGNFMDNDGGDYYTWSNKSALSMANAELFMTARVSPTSLTYYGFCLGNGNYTVNLHFAEIMFTDDQTYNSLGRRVFDIYIQGNLVQKDFNIAKKAGGIGKAVRIPFTAVVADSTLEIRLYWAGKGTTSIPFRSVYGPLISAISVESDFPPPPENGSSSISTGAVIGIVAAVAIVIILLVCVLRWKGCFGKKNSLENEVKGLDLQMSLFNLRQIKGATNNFDLSNKIGEGGFGPVYKGCLSDGTLIAVKRLSSKSKQGNREFLNEIGMISALQHPNLVKLYGCCVEGDQLMLIYEYLENNSLARALFGTQEQQIRLDWPTRYKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEILHGSNNTTLRQKEEAFHLLDWAHVLKEEGNLLELVDKRLGSNFKKEEAMVMINVALLCTNVTSSLRPAMSSVVSMLEGRIEIEELVLESSEVLDEKKMEAMRKYYQDHSISMEGPWTATTSSSVATDLYPVQLDSSYLEKRV >CAK8560230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:11227638:11230860:1 gene:gene-LATHSAT_LOCUS13921 transcript:rna-LATHSAT_LOCUS13921 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAGDGVDESYRLLPSLYLTFLSIWFVSACSWTAYTYKIRHFQWNNLQWTLSLIPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVSFLLISHGYCIMCEHLSLNERRSTAALACVFYLTLVGYKASVPYFTVLLLLNYFISFYFIFHHISQNLLVLREQLSIIENEDVRAMYDAVYKKYIMFKKFQGAMQMVAMAETMIYMNIYDSSENYWLRLLIREWAQFCIFVYIGWIFRSQEMAPHFSVIPATKSKSQTLVPPIYSIEMDAATFKEFSSHEWHIGLPISTSHDEPSKNEVLVIIQHPRAQRLRKLDPFSDASDCFAVSDLSTNSSSCQTQQRQLGT >CAK8567565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:525655250:525660123:1 gene:gene-LATHSAT_LOCUS20606 transcript:rna-LATHSAT_LOCUS20606 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRTGPYPFLESNSLSLSTKLVLPVPLHFARHRQSSNEGKRKHKGVCSSSLHGAASPSSMESHEEDLSTLSVCLEEETDHVVRFKMSDFKVLDHVSIGLGGRADEVVFEGEVKDSGSPLYNTRVILRQLYSTQAQRRGKRAIEVLKKLVHRKLLYHSYSMQVLGYISLPASGGSGSFILVHGYHGSFSLRHWLQQSDWLQTLEATLALDEESVRKVGEDTTGGPAISRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHISPIDRHIKVGILGNSADFCEDGSNSGSMENMDRRQMMIAFDMRCVGFIMAKMVMGELMDPLIFAKFKLFLTKGNDPSCLRQLMLEILGRNSPYGNAGLQMLDRNWGAGWHLLSLLLATKPSQRISCLDALRHPFLCGPRWRVVPSMDIIRWGLGCTAMRISEEYIYRQPQRSRLAHFIDLLEMLNPNPKPKNWLELLPGKWRLLYCTGKHVGLTIRQPTVRVLVGDLHLTVKRESKLKSNLSFVSDIGFTVMIGQDWPHDKAGKRGKVQVSSSFTLRAGRRLYLKQDSTSEKLSFGPSGNEEALAQKFTSKKWRKITPFKEFPSSLPAAKLASGDIDVTMNLDDPLNQNIDTAKNVLQELRTQIPPEIFDLSKVVCGTYVDSRLLVLRGVNGSALLFTRSFVDSNSSS >CAK8564761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:8872528:8873187:1 gene:gene-LATHSAT_LOCUS18031 transcript:rna-LATHSAT_LOCUS18031 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTFAGFSSNHVLTFSIFIFLAMSSITFACDEKNSTKSNISYTSKNSTQKDNFKTFIKNSCNSTTYPYICYKSLSPYASKIEENPLKLCNFSLSAALKAARAASSAVSKLLESNENHLSSIGEGVVQDCFGNLKDSIEQLQDSMDAMTHLDAEFDREFQISNIKTWVSSAITNDQTCYDGFDEMNVDSTLRDEIRRTVLNVARKTSNALYFINNNIY >CAK8570499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52080377:52084226:1 gene:gene-LATHSAT_LOCUS23242 transcript:rna-LATHSAT_LOCUS23242 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMGTVVLFFNLYSFWLLITSSQLCLAGDTLNAGQKMTGSETIVSSERIFDLGFFTPSSSGGSQSYLGIWYHKQEGSEQSQKQIVVWVANRDNPVAVGSIGVFRIAEDGNLVVEDTSPNKTRYWSSKSKSKVKVSSNSSPKNRTVKLMDSGNLVLYDDDEHKEVKVWESFENPTDTFLLGMKMDGNMKLTSWRSDDDPTSGDFSFKMEQNGVNRFIITNRDQIYWESEEYETMNFKVNNDQSDDISTEVYYLLTNFSVLRLNKAFPMKQYGNSRLFLNSKGMIQWAEVDLFENQPLVKWMQPKTNCLRYNFCGNFYSCKDDDHDYPCQCLPGFYMYNLGDGNSSARDKVVCRRRKSAPCIGNDTAFLNLTMIKTGRPDIKKMVESENDCRSMCITMCPLCQAYSYAPPPTDQRVVNLIDCWIWTHTLTTMKEDYTNWDPNRRLIVLVDKSDLAPTPRTCEPCGTNVVPYPLSTGSNCGDPKYFNFRCNTSMGQLSFTTDDNVNYRVIRVDSDLTQFTILNQNSSLEPYNHKGLKCTGNLNVSSPFFLSDDTLCSRQEEVNWEPPSKEPICNNSTDCQGLKHSTCTRNICLCNANYQWSGEFLNCTDSIRSSPIATKTSAGGNSKSSFYLILGLVLAGVVTLACLIIFAYACRRRISHMIKKDNESVQRNTRGRFYDSERHVKDLIDMEGLEENDNEGIEVPYFDFESILIATDEFSDANKLGKGGFGPVYKGNLGGQEIAVKRLSTVSSQGLQEFKNEVVLIAKLQHRNLVKLRGYCVKGEEKILLYEYLPNKSLDLLIFDSTKSIVLDWQRRFDIILGIARGLLYLHQDSRLRVIHRDLKTSNILLDEEMQPKISDFGLARIFGGKESEASTERVVGTYGYMSPEYALDGYFSTKSDVFSFGVVLLEIISGKRNTGFYGSKEISSLLGYAWRLWREDKLLDLMDPSLHDTYNQNQFIRCSQIGLLCVQDEPDDRPHMSNVVTMLDNVTTILSIPKQPTFFTRKDLSSTASTSLQDDATIQEGR >CAK8567062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:483962669:483964114:1 gene:gene-LATHSAT_LOCUS20149 transcript:rna-LATHSAT_LOCUS20149 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPIINLENINGEKREATMEKIKDACENWGFFELVNHGIPHDLMDTVERLTKEHYRKCMEQRFKELVAEKGLEAVQTEVKDMDWESTFHLRHLPESNISEIPDLSDEYRKSMKEFALRLEKLAEELLDLLCENLGLEKGYLKKAFYGSKGPTFGTKIANYPPCPKPDLVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGIWVDVPPMHHSIVINLGDQLEVITNGKYKSIEHRVIAQSDGTRMSIASFYNPGSDAVIYPAPTLIEENNEIYPKFVFEDYMKLYARLKFQAKEPRFEAFKESNVVNLGPIATA >CAK8564309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:667475491:667476816:1 gene:gene-LATHSAT_LOCUS17629 transcript:rna-LATHSAT_LOCUS17629 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNDRVKFNVGGRVFETTSTTLANAGRNSMLGAMLDENWNLLDNGSERFIDRNADCFSVILDLLRTGELYIPNNIPEKLLYREALFYGVLDHVRSAKWGQFDGNRLRLSRSVQGQAPGDGTAIRGGPDGGCCVAHGCMVHVYDWMLDQHNPVNLDYQRVNDVGWVDPNNIVIGVSERLGRGDGGMGLFSSHNGELRYKFHVSHENHVKSYTAGALSFSSDYKIFSSCKGRSNEYGVGVWDQVTGKQVDFFYEPLGWSLGDADKLQWLEGSNCLLVATMFPRKDNCYISLLDFRAKKMVWCWSDIGATLVVDEKRVRDAIAMEGNRSICVVNEFEELGFMDLRSSAAASVRWSSRSRLMKGKMPEEPCYPKLALHGGQLFSSMNDCISVFCGPEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPTPPIL >CAK8579620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713128347:713128907:-1 gene:gene-LATHSAT_LOCUS31551 transcript:rna-LATHSAT_LOCUS31551 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVSIHYGRKFVKDSVVYYLGGDKHVVDIDLDRWSFFEAIAIVKEICNLKSTDYWLWWYNIISGKHVRIVSDIDIAEVYEKDVETNCSVDLFVEHKVVVADNVVNDVDNVNDNVDNGNVDNVDEVDNVIEDNVHDNVGNGIVDNVDDIEADNVIEDDVHDNARNVNVDDIEVNNVIEDDVHDNV >CAK8566699.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:453776373:453778058:-1 gene:gene-LATHSAT_LOCUS19811 transcript:rna-LATHSAT_LOCUS19811 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSCTKIMFIIILFFLSCVGLCNGANHNTPSQGRKGQWQLLLNNTGVVGMHTALTYKNTVIMFDQTGGGQSRYKLRKRFNGTSCRTNNNNDLTDSTCYAHSVEYDINANRIRPLRLDTDPWCSSGSFLSNGTLLQTGGYRQGAKRVRFYRPCGNNQHCDWIQSRKSLSDERWYASSQILPEHDRAVLVGGRRVFTYEFVPKSSPNEKSFELPFLHQTNDRNSAGNNLYPFTHLSSDGNLFVFANRDSILLNLRHNRVIKTFPRIPGNGSRNYPSSGSSVLLPLDHKDRFQKAEVMVCGGSSTGALNAAKNKRFISGLRSCGRMVITGNKHTWEMEYMPKPRLLHDMLILPTGNILIINGAKHGCAGYDNARNASLEPYLYTPKKKLGKRFTVLKKTMIPRMYHSSATLLPDGRVLVAGGNPHGRYTFQNVAYPTELRLQAFVPHYMEKRYHTWRPSNLTIENHAVGYGKEFNVSFLLGRRPSDEVRFSVYSPPFTTHAFAMNQRMLNLRCMRMVRSKEGRVEAVLEAPPSSVVAPSGYYLLTVVNGGIPSMSQWIQFAHA >CAK8578602.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:640856549:640857097:-1 gene:gene-LATHSAT_LOCUS30598 transcript:rna-LATHSAT_LOCUS30598 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGHWDTYLPAVNDKVKEKIMSALRVSDRGENIIGLCGPDKRVNHSINTVIRRAGRDQLFQEIVFATVTKKPDITNIQTQLGITIGLNFDDNTNLAETTCFMCFGNNRRMRIAERANLLCAKMKELQTILVVMYDLHGRLDLGEIGIPFGEDHNGCKILLTSSNLEVLSKQMKVHKLIQIS >CAK8564565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:682089785:682091831:-1 gene:gene-LATHSAT_LOCUS17861 transcript:rna-LATHSAT_LOCUS17861 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAELEQPLTRLLPLGLGLLYLGKKESVEATAELSKTLGVKMRKYWDTTLLSCAYAGTGNVHMVEYLLGQCSQQHLEEDEVDQGPPAVLGIAMVAMAEELGLEMAIRSLEHLLQNGEQNIRRAVPLALALLCISNPKVNVMETLSRLSHDSDLEVAMAAVISLGLIGAGTNNARIAGILRNLSRYYCNNTNLLFCVRIAQGLVHMGKGLLTLNPYHSDRFLLSPTALAGLVIMLYACLNMKTAFFRNYHYVLYFLVLAMQPRMLMTVDENLKLLSVPVRVGQAVGVGQAGRPKIITGFQTHSTPVLLAVGDMAELATEKYIPLSPILEGFVILKNNPDYVVE >CAK8568130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575410750:575411661:-1 gene:gene-LATHSAT_LOCUS21123 transcript:rna-LATHSAT_LOCUS21123 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSADSSSSDRDNYLRHLNKLSHKISKPILNNNTNNKKPCSFEQQPQINNNNPLQHQPPVYNINKNDFRDVVQKLTGSPAHDRISTPPPIQQPKQQSSRLQRIRPPPLPHITNRPPPLLNVRPQLPPPQNFNFNGVNFNQGFPGFGRPQAPLSPLPPFPTVHAAAESPISAYMRDLQNFVSTMDSKSFSGFSPLPPPPVSSHQPQPDQQQQQQEEQEQQRENQPPPQQVAAPPAPPLVAQSLLQFQMPSSPVPFGCLNSQLASYPLLSPGLMFSPNSANLGFPQLPLSPTVPAPSPRWREI >CAK8542975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:563473877:563476787:1 gene:gene-LATHSAT_LOCUS11769 transcript:rna-LATHSAT_LOCUS11769 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQTVREWSGINTFAPATQTKLLELLGKLKQEDVNSITILVMGKGGVGKSSTVNSIIGERVVSISPFQSEGPRPVMVSRSRAGFTLNIIDTPGLIEGGYINDMALNIIKSFLLDKTIDVLLYVDRLDAYRVDNLDKLVAQAITDSFGKGIWNKAIVALTHAQFSPPDGLPYDEFFSKRSEALLQVVRSGASLKNNAQASDIPVVLIENSGRCNKNDSDEKVLPNGIAWIPHLVQTITEVALNKSESIFVDKNLIDGPNPNQRGKLWIPLIFALQYFFLVKPIEGLILNDVSNEKKPAWETRDVARDEK >CAK8543516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:614371592:614374154:-1 gene:gene-LATHSAT_LOCUS12270 transcript:rna-LATHSAT_LOCUS12270 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAFRQNRISKLTSSTNYNLFKHKPQYPPSIVSVLRNTSNRDINEETTKNITSIVYKDSWFDLVAINHLSKSVQAATGIRNDESGYESLVEAAIMAKQKFSSIEQQEVIIQALNRLFPESVFLLIRKLLPPCKFTREHFAIFTTLFFTWLLGPSEVRESEINGRREKNAVYVKKCRFLEKTNCAGMCINLCKIPTQSFIKNTLGMPVNMIPNFDDMSCEMIFGQDPPSSTDDPSLNQPCYKQCKAYKSHETNCLN >CAK8534212.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:706598698:706605064:1 gene:gene-LATHSAT_LOCUS3772 transcript:rna-LATHSAT_LOCUS3772 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAETHKREPDSENKDEVSASKKSRVESSEDNASKEVSFRIEADAAEDKGLRQTMEDEWVVLLDAARDYPGSLRCAHFAIYDGHGGRLAAEYAQKHLHGNVLAAGLPRELLDVKTAKRSILDGFRKTDESLLQASAEGGWQDGATAVCVWVLGQKVFVANVGDAKAVLARSVVSVGSQDNSDKVHALKAIVLTREHKPIFPQERSRIQKAGGVVSSNGRLQGRLEVSRAFGDRQFKKVGLVATPDIHSFDLTDRDHFIILGCDGLWGVFGPSDAVDFVQKLLNEGLSVTVVSRRLIREAVRERRCKDNCTAVIIVFKRN >CAK8541479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:196554252:196554539:1 gene:gene-LATHSAT_LOCUS10398 transcript:rna-LATHSAT_LOCUS10398 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETECYDVLGVTPTASESEIKKAYYMKARHVHPDKNPNDPLAAQIFQVLGEAYQVLSDPAQRQAYDAYNKSGHHRFSRMFIRFDLIAASFLLH >CAK8579613.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:712643799:712645187:1 gene:gene-LATHSAT_LOCUS31544 transcript:rna-LATHSAT_LOCUS31544 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMNRLLIKMQRDPNFNYHAKCEKLKITNLAFADDILLLCKGDEISMEMILETFGKFSNSTGLLMNPNKCKIYFGGLDTETRKTLKELSGFQEGVLPFKYLGIPLSSKRLTINHFMPLVDKIVARIHHWFSRLLSYAGRMQLVKSITYAMAQYWMHCLPLPKCVIKKVNAICQSFIWTGKDTVSRKCPMAWKTACSPTAQGGMNILNLQTWNNVLLLKCLWNICNKTDTLWIKWIHAHYLKGNDMMTYVTKTHNSWIIRGILKQREYMDKVWHEWNQAMTAQKFKVVAFYKILIDNGTRVPWRKLIRFNKGQPQTVQCLWQACHGKLATKERLKRFGMIEDNICSLYKAEEETMNHLFFHCPRTRHIWKETLEWFNIQHEPQQWEAELIWITDLTKGKGWKADILKMLTAETVYSIWKYRNSIIFENIVKNTNMVTKIIDNVIYRGWQNNRIRKHVICFMM >CAK8532785.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:515825457:515827183:-1 gene:gene-LATHSAT_LOCUS2457 transcript:rna-LATHSAT_LOCUS2457 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRKSHAPHILVLPYPLQGHINPMLQFSKRLIQNGAKVTLVNTISIWNKINNNIDLNSFEIESISDGYDNGGLSSAESMESYKETFWKVGPKTLSQLLDKLQSSNKPVDCVVYDAFLHWTFDVSKSFGIPVAVFLTQACSVNSINFHAFMKWIELPISKSEIVLPGLPKLEASDLPSFLYQYGTYPGYFDILTNQFSKIDQADWVLVNTFYELEPKVVDWLAKKWRLKTIGPCVPSMFLDKRLQNDKDYGISIFGPNSEACIKWLDNKPKNSVVYVSFGSLAGLSEDQTNEIAYGLRDSGVYFIWVIRDSEKHKISKEFMELSLEKGLIVNWCPQLQVLTHEGVGCFVTHCGWNSTLEALSVGVPVIAMPLWTDQITNAKLIVDVWKIGVRAVKDEKEVVRREILEDCVKKIMETEKRNEIKKNAMKWKSLAKNSVDQGGSSDKNIVEFVKGLALYQTT >CAK8568318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:594315440:594316787:1 gene:gene-LATHSAT_LOCUS21285 transcript:rna-LATHSAT_LOCUS21285 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRVGIEQKEDRLSGLPDSLIDHILSFLPTKDAVATSILSKHWKPFWRSQQQTLYFDDTSFPDTFAFRQFFKSFMNMRDYSLPILSFHLICHSLSYDDFHRFAFDAIMNEVENLIIDFRLPTILPPLVLTNVDLSVLKLKRVTLDKVPYVKLPFLKVLHLESVTFTCNEYLKSLLRGCPLLEELETKDLRVENPAIMSRTEISAIDNLIRANISDYLIDFDWLHNVEHLHLHLNRTPHGVYGHMFHNLTHLDLIFNFDRDEQFAVFRWKWLIELLQNTPILQTLIIHEVSKVDVLHCLKEWEWGWEWGWELEWEDPKIVPACLLSHLTTCYHSTMNCELGFAKYIMQNSRLLSTMTIQSDKLLDTNAKLQMLRDLSSCPMISPTCKLLFV >CAK8565310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:113038140:113038445:1 gene:gene-LATHSAT_LOCUS18533 transcript:rna-LATHSAT_LOCUS18533 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSKYQMVLETYSLILIVNNGHTDTITRLKMFWVITLQCQVMDGKLIHLLRWLNAVASEAEKDTKLEHSVAFVDELEDEVTDICCLLLQVKVLLLLISS >CAK8572696.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:556619398:556622904:-1 gene:gene-LATHSAT_LOCUS25229 transcript:rna-LATHSAT_LOCUS25229 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDDAAVKMVKTNGGLFGNSLINHWNGKPYSQRYYEILEKRKTLPVWHQKDDFLQVLKDNQTLILVGETGSGKTTQIPQFVLEAVDLETPDKRKKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFFGAPLMKVPGRLHPVEIFYTQNPERDYLEAAIRTVVQIHLCEPAGDILVFLTGEEEIEDACRKISKEVANMGDQVGPVKAVPLYSTLPPAMQQKIFDAAPPPVKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFIRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDASWCYDNFVNNRALKSADNVRQQLVRIMARFNLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLSNFPQCEAKRVLEKLYKKREKEKDESRNRK >CAK8537094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:88409922:88411786:1 gene:gene-LATHSAT_LOCUS6410 transcript:rna-LATHSAT_LOCUS6410 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESELSFRHSKMGVEVESQSFEFNDSDQNSPSFKSRNQKAKEYDNRDSKNVKKNEQDFDSYNSIVDLKKGYEAQVMDSHEPVSHSSKDIGSFMMFANDKESVKKSVAAPISNPQQVDKFQNPVDVFVDRTVPECEPEMEVCYKENSYHVVKDICVDKGAFTKHKFMFDESVDGAAYNFFPLENFEYNRNPKDNTGMKVLNQPETDDSDEASSNHDQHNDVIHKDDSEIEELVDNFTKAMESREDTQDSIPTGGKDDQLSVEHNSHSQLKDSSNMIEEEVLASPALGLTVDEPESDYQFGPSAPAVCVKKELHQFGGCNCDETQLPLTTIEGSSGDFSEIQLAETSQIRSDLGESSFSAAGTVSGRISYSGSIPYSGSISIRSDSSTTSTRSFAFPILQSEWNSSPVRMEKPDKSRYRKQRNWKTSLLCCKF >CAK8563063.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570474639:570476392:1 gene:gene-LATHSAT_LOCUS16510 transcript:rna-LATHSAT_LOCUS16510 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSFLQYCLRAIVKKRQSHSEMELKRYLLECIPFAAMVIVEILDVGLTTLSKAAMSTRGMNHFVFVLYSNALATFILLPSSFLINRTTRPPLSFSLLAKFFLLGLVGITIMQNCVFTGISYSSPTLGSAMSNLTPAITFVLAIIFRMEKLDVGSSISRAKMVGTVLSISGALLVTFYRGAPVRSFQTQPSTSQQFQSLFEETSNWVIGGLFLFTASLSLSIWNIAQAAILRGYPSQLTIVAFYCLFGTIQCAVVSLIAVKDRNAWNPSAGIELISIIYSAVFGSVVTFSVLTWCIDRKGPVFVSMFKPVGIAIAAFMSAAFLGETLHVGSIMGAVVIATGFYTVMWAQSKEGNVNGLEVNASLSSSSAEENPLLESQ >CAK8563064.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:570474708:570476392:1 gene:gene-LATHSAT_LOCUS16510 transcript:rna-LATHSAT_LOCUS16510-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRYLLECIPFAAMVIVEILDVGLTTLSKAAMSTRGMNHFVFVLYSNALATFILLPSSFLINRTTRPPLSFSLLAKFFLLGLVGITIMQNCVFTGISYSSPTLGSAMSNLTPAITFVLAIIFRMEKLDVGSSISRAKMVGTVLSISGALLVTFYRGAPVRSFQTQPSTSQQFQSLFEETSNWVIGGLFLFTASLSLSIWNIAQAAILRGYPSQLTIVAFYCLFGTIQCAVVSLIAVKDRNAWNPSAGIELISIIYSAVFGSVVTFSVLTWCIDRKGPVFVSMFKPVGIAIAAFMSAAFLGETLHVGSIMGAVVIATGFYTVMWAQSKEGNVNGLEVNASLSSSSAEENPLLESQ >CAK8570644.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:80803695:80804351:1 gene:gene-LATHSAT_LOCUS23380 transcript:rna-LATHSAT_LOCUS23380 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDWVELPPHSQDYKDGVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWEVRDVVYDHLCSKGFVKGYTEWIYHGEDESLMDLDDDSDNETSSHDDIDGLLFETFKDVAEGGGVHEGLNEDAKKFYKLVDDAKQELYPGCENFSSLSFTIRIYLLKCLHGWSNASFTALLELLKEAMPDLNIPVSFNKTKSMIKDMGLDYKKIDACPNNCACRKEHL >CAK8576265.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:440063710:440066397:1 gene:gene-LATHSAT_LOCUS28468 transcript:rna-LATHSAT_LOCUS28468 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHTLSALPKPLLFSSFTKKSPPFLTKSTTPRNRNRKTFPLTVRSVAAPPTKTEPNQSSPEPERNEIDDEFEDESSSSKFSWRDHWYPVSLIEDLNPSLPTPFQLLGREIVIWYDNSKSQWVVFDDKCPHRLAPLSEGRIDEGGNLQCSYHGWSFDGSGGCAVIPQASPEGPESRAIRSPRACATKFPTLVSQGLLFVWPDENGWEKANASKPPMLPDDFVKPEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMDSNGSWGFSGANEGNPRISSKFVAPCYYINKIEIDTKLPIVGDQKWVIWICSFNVPMAPGKTRSIVCSARNFFQFTVPGPAWWQVVPRWYEHWTSNKVYDGDMIVLQGQEKVFLAQTEQGGDINKQYTSLTFTPTQADRFVLAFRNWLRRHGNGQPEWFSKSSQPLPSTVLSKREMLDRFEQHTLKCSSCKGAYEGFKTWQKILIGATVVFCATSGIPSDTQLRVVLAGLAVVSAALAFAVNQLEKNFVFVDYVHAEID >CAK8574872.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12858461:12860494:-1 gene:gene-LATHSAT_LOCUS27171 transcript:rna-LATHSAT_LOCUS27171 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRNAKEDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGAGGFSEASDGGPTTFRFNPRSPDDIFSEFFGFAKPFGGMGDMGGMGGHPGTSGFPRGMFRDDIFSSLRNATAGEGSGNVPRKSAPIERTLPCGLEDLYKGTTKKMKISRDVSDSSGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQKGLIPADLVFIIDEKPHSVFKRDGNDLVVTQKVTLVEALTGYTAQITTLDGRNLTVPVNTIISPSYEEVVKGEGMPIPKEPSKKGNLRIKFNVKFPSRLTSEQKTGIKRLLT >CAK8535761.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880286997:880290663:1 gene:gene-LATHSAT_LOCUS5186 transcript:rna-LATHSAT_LOCUS5186 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNCNRVEHFKESTMKIQTEIPIHQVRLPPQRTSLKKLKQRLSEIFFPDDPLHRFKNQPSFTKFLLTLQFLFPIFQWGSHYNLKLLRSDIVSGLTIASLSIPQGISYAKLANLPPIIGLYSSFVPPLIYALLGSSRHAGVGPVSIASLVMGSMLSESVSFTKDPTLYLQLAFTATFVAGLFQSSLGILRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTNKMQIIPVLHSVYHQMNEWSWQTIVMGFGFLAFLLITRHISLRKPKLFWASAAAPLTSVILSTILVFLLRNKTHQISVIGHLSKGVNPPSVNLLYFNGPYLALAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMIAIGIMNIAGSCTSCYVTTGSFSRTAVNYNAGAQTAVSNIIMASAVLVTLLFLMPLFYYTPNVVLAAIIIAAVIGLIDYQAAHKLWKVDKLDFLACMCSFFGVLFLSVPLGLSIAVAISVFKILLNVSRPNTLVLGNIPGTQIFHNINQYKEALRVPSYLILAVESPIYFANSTYLRERILRWVREEEERVKENNGNALKCIILDMTAVTAIDTSGLETLDELRKMLETRSLQFVLVNPVGNVMEKLHLSKILDTFGFKGVYLTVGEALADISTTEKAEP >CAK8573096.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:583464979:583466722:1 gene:gene-LATHSAT_LOCUS25582 transcript:rna-LATHSAT_LOCUS25582-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSIKCMIFHMFIILSMSEVFYAQEESSDVTPMEKQELQALFSTIQGFVGDSWNGSSLYPDPCGWSPIQGVSCDIFNGFWYVTVLNIGPIHDNSLVCSDKKLEFRPELFNLKYLKALSFFNCFQSPNSLPVSIPTGNWEKLSESLESIEFRSNPGLIGNIPSSFGVLKNLQSMVLLENGLTGRIPLEIGNLVKLKRLVLSGNNFSGNVPDNFGGLRDLLILDLSRNSLSGTLPLTFGKMISVLKIDVSHNFLEGKLLNEFSSLKNLTLMDLRNNRFCCGLVDSLQEMNSLEELVLSNNPLGGEMRVLKWENLKNLVILELSNMELRGEIPESLSELKKLRFLGLNDNNLTGKVSPKLESLPSLNALYLSGNNLKGEIQFSKGFFGKLGRRFGAWSNPKLCVPLGVMSSNNVPFGVKPCHQQEEVHLVKSNAKKEILNSDMNMNNTSNFIASMGLSRCGNCGFWWIFLVLGLVLNCNIVF >CAK8573095.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:583464979:583467085:1 gene:gene-LATHSAT_LOCUS25582 transcript:rna-LATHSAT_LOCUS25582 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSIKCMIFHMFIILSMSEVFYAQEESSDVTPMEKQELQALFSTIQGFVGDSWNGSSLYPDPCGWSPIQGVSCDIFNGFWYVTVLNIGPIHDNSLVCSDKKLEFRPELFNLKYLKALSFFNCFQSPNSLPVSIPTGNWEKLSESLESIEFRSNPGLIGNIPSSFGVLKNLQSMVLLENGLTGRIPLEIGNLVKLKRLVLSGNNFSGNVPDNFGGLRDLLILDLSRNSLSGTLPLTFGKMISVLKIDVSHNFLEGKLLNEFSSLKNLTLMDLRNNRFCCGLVDSLQEMNSLEELVLSNNPLGGEMRVLKWENLKNLVILELSNMELRGEIPESLSELKKLRFLGLNDNNLTGKVSPKLESLPSLNALYLSGNNLKGEIQFSKGFFGKLGRRFGAWSNPKLCVPLGVMSSNNVPFGVKPCHQQEEVHLVKSNAKKEILNSDMNMNNTSNFIASMGLSRCGNCGFWWIFLVLGLFVLCYIM >CAK8569597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6408303:6410274:-1 gene:gene-LATHSAT_LOCUS22431 transcript:rna-LATHSAT_LOCUS22431 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMESSVNGGFSQHLQSFGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLRGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEVDDDLEFENTQWHGSDMASDETQKSHKSRHRMHRSLGSSHKSMSRSFSVDSQSKGSISMPHGWTKVDLSKLEMAALWRYWRHFNLVDAVPNPSKEQLVDVVQRHFMSQQMDELQVIRGFVKAAKRLKTTMCK >CAK8573459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:613622609:613623237:-1 gene:gene-LATHSAT_LOCUS25905 transcript:rna-LATHSAT_LOCUS25905 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKKVVLKLEIYEDKIKQKAMKAVSGLSGVESVEVDMTDKKLTLKGDTDPMSVMAKVKKICHAELIYVGPAKEEKKDEPKKKDDEKKDSEKENVINPYVFYGTHPYYNHHIMIPQYNPNYCVVSVEEVPNSCVII >CAK8566550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434311103:434312441:-1 gene:gene-LATHSAT_LOCUS19673 transcript:rna-LATHSAT_LOCUS19673 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQPLWSPPELDAAFGFPSEFPYEFEISSPAVESVAGSSSTESTESSDEEESFFAGLTQRLSQSTLNETRNKLAAEPINTWNKPQATVHHSAKTRDMAGSPQSILSGIGSWSSGSNRSGNGSPNGNSRVPSPVTAPFTDPWEVIYAAAGQVARLKMNNLVGPTHVNLVQQEVKKKQECSSVWETDPNWLVHQRQLLIQNRKRELGYETGRCNVPMSFQPHPHNQRVQQYAGSGFRVPVSIPGGSSVKKPSGGTGVFLPRHYDNNTTTPSASHKRAGCVPVMQPVKTVNGLNSNIGDMNVAAQHRFTNCFTNDFDLLLARRNALLKQQRLIALREEAASCEIRLPQEWTY >CAK8575781.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:322703674:322704384:-1 gene:gene-LATHSAT_LOCUS28016 transcript:rna-LATHSAT_LOCUS28016 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPNLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDATLASGTTALATLVIGRLLVVVNAGDCRTVLCRSGKAIEMSRDHKPVCIKEQRRIEASGGVCL >CAK8562974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:563005829:563006504:-1 gene:gene-LATHSAT_LOCUS16431 transcript:rna-LATHSAT_LOCUS16431 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPKNTTKVLFSYGGKLLPRCPDGKLRYTGGHTRVLTLSLPISFSELMVKFVELCGSSVTLKCPLPNGDLETLISITSDEDLANIIEEYDRASSSLPHPLKIRAILSPPKSLKKVSPPQSSSSSATYSPSGSLYASAESPPYAAVNRLNCSPVSLGFPIGVRNGVVKGGCYTGQLHGSPRFLYRFGNNYCQ >CAK8575329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:81069326:81072281:-1 gene:gene-LATHSAT_LOCUS27601 transcript:rna-LATHSAT_LOCUS27601 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKPASAKTILFLCIACFLAGTLFTGQMWTSPSSNHESTALPARLDIGHKRKIIESESGDVIEEVAKTHQAIKSLDKAISTLGMELTESKTTSQTGVQHLRQQHGSNHSIQKAFVVIGINTAFSSKKRRDSIRETWLPNGNKLKELEKEKGIVVRFMIGHSTTPGSILDKSIDDEEAEHNDFLRLDHVEGYHELSSKTRLFFSTVTSMWDADFYVKIDDDIHLNLGKLVATLAKYRSRPRVYIGCMKSGPVLYQKGVKYHEAEYWKFGEEGNKYFRHATGQLYAISRDLADYISNNWPILHRYANEDVSLGAWLLGLEVEHVDERSMCCATPPDCEWKARAGNVCVASYDWKCSGICKSVERMKDVHKACGEGDGVVWNVEI >CAK8574186.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:668153976:668154293:1 gene:gene-LATHSAT_LOCUS26553 transcript:rna-LATHSAT_LOCUS26553 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLAQGGCSTLEDGVVLARCLGEALSKNPKEGEEEYKIIGECLKKYAKERRWRCIDLITRSYIVGSIQQSGSKLVNFFRDKILATFLADELLKKSDFDCGQLK >CAK8560783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:42249142:42249657:-1 gene:gene-LATHSAT_LOCUS14432 transcript:rna-LATHSAT_LOCUS14432 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAKANTHNVMQFDRERFCFMVQEKINQNDGRPAGTFSVDLRNRWCDCGKFQAFHLPCSHVIATCSRIRKDYTIHIPEVFTVLNVFKVYKKSFLGLPHEENWPKYEGFTLCHDDSMRRNKKGRPTSTRIRTEMDDVEKEKRRCGICREIGHMRRKCPNVVGPSNRPSR >CAK8560845.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49453579:49456167:-1 gene:gene-LATHSAT_LOCUS14489 transcript:rna-LATHSAT_LOCUS14489-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQLGDGGKSPQCSQSVLPEEETDQIVNSGGGEVALKEGIKADQTVNAGGGEVTLKKGSTSTRKRKVSSLSSDNDVGKRSKLSRSILSEEEADQRREVALKKGPWTKEEDEILKDHIKKHGEGNWKAVQKESGLARCGKSCRLRWLNHLRPEVKKGPFTAEEERIIIECHFLKGNKWAYMTTLLPRRTDNEIKNFWYTRFKKRKRDGLPIYPDEITSKYSLNDRQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVKQCSDSSHNTLYMPSAVVQQRYFFSSRSAAVPEVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSEPIYGSMNFEPPSFQNSQTQQPTWSDMDVPPLPSFEYVDTPVQAPPIEFCPPVPDFPDWGQLTDAIELPSFEYVDTPVQVPPIESCPPVQAPPIESCPPVPDSPDCCHLIDPIDYDHDAIVANQINFLRQVRSEIKAFNDQLPAYYTSNKKII >CAK8560844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:49453579:49456167:-1 gene:gene-LATHSAT_LOCUS14489 transcript:rna-LATHSAT_LOCUS14489 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSKQQLGDGGKSPQCSQSVLPEEETDQIVNSGGGEVALKEGPWTKEEDEILKDHIKKHGEGNWKAVQKESGLARCGKSCRLRWLNHLRPEVKKGPFTAEEERIIIECHFLKGNKWAYMTTLLPRRTDNEIKNFWYTRFKKRKRDGLPIYPDEITSKYSLNDRQESADTLPNESNQHDETETFNFDISDLDLKYYKFRPDMMPPLFDSQDYKPISDLVKQCSDSSHNTLYMPSAVVQQRYFFSSRSAAVPEVFDQYGQYPMLSTPCDPILNTNLLHGYDNPITGFNAASNISSSEPIYGSMNFEPPSFQNSQTQQPTWSDMDVPPLPSFEYVDTPVQAPPIEFCPPVPDFPDWGQLTDAIELPSFEYVDTPVQVPPIESCPPVQAPPIESCPPVPDSPDCCHLIDPIDYDHDAIVANQINFLRQVRSEIKAFNDQLPAYYTSNKKII >CAK8565251.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:81929551:81930513:1 gene:gene-LATHSAT_LOCUS18480 transcript:rna-LATHSAT_LOCUS18480 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCKILRKSIHTFLQNYQNFSTKASFLALPFSASILLSQALVPLSSSLFPQTYNHLKALFHAAGFPSSHLFTILNLKVSQTITSSIFTFPFTITFFLIAKAFIIQSLNKSEKNPSYNYKPILHTYFYNTFFILSANASSFCLLFLAFSFSEGPGNSWPSLTVFLSAAAVVFFSVILANALVVCNMALAVSGMEGRGGYMAILKACILLRGKTTMALFLALPVNVGLAAIEALFQFRVVRGYYIGETSWFFIALEGVFIAYLYSIFIIIDTIVSCMFYKSCKIRETWIDKEDKHFLRIESIEDENYYGYLQVKNFQELP >CAK8570305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35205250:35215969:-1 gene:gene-LATHSAT_LOCUS23067 transcript:rna-LATHSAT_LOCUS23067 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKSFQGVNVFMSRNLVPPEVFDTLHDAVKNNGAQIHLCCDPSRNGPNDYHIISCSKHEKFEDLKSKGCKMLGPRCVLLCAKERRPLPKQGFTCCFTMDGVKILASGFDADEKVKIEELVTEMGGALQTKLSSDLNFVIVKNVLALKYKWALNILKKPIVTYEWLKQCSDEHRVVPQESYKVLPFSGLKICVTGIPADKRKEMEKLILQNGGKYSAELTKKCTHLISDAPEGDKYKVAKRWGHIHIVTMKWFDQSVARRACLNEESYPVQNGSLSSRKVTRDLTVKHSQDRDIGKMQSGSSSRAADSNMLVSDCAESMDVDPEATQSEHMSSFSNVPLFVKEADAEAPPLLTSDELNLDGAVANDSESDDNDLYLAECRILLVGFEASEMRKLVNMVRKGGGSRYMYFNDKLTHIVIGNPTEIEKKEVRSIAALGVVYVVKTSWLEDCDRVKKQVPVLRRHIACDLLLPKANSVKGTVTGIMPMDQSKSSSFRQSFQTNQVAGIKDFGVTIPESLDKNKQEKHSTGMNVVTFGKASDRTMPQTQHPDKKLRVQKSTQHDSNVHAKSINVFKGKTFCFSNLFPEDRRAEIVQWIGQGGGELISGQTKQIIHYIVECHGVKPRSTGDYESTYISSHWIRSCLEDGSLLDVDSHIIYSPLPCRVPLPGFESLRFCVSQYEEKDRILLWNLCKVLGATFADKLTKKVTHLLCKFTNGPKYEASCKWGIRSVTSEWIFECVKQNGVIATDQFLPKEVTSQDREAGVCTVSQFPTQAVRMINDMPSQFPSQSQSLRSTTNKNVNRGVDNHRTRSKTSSINSKKARLVEEPDQCNRVPSAVNSGIHVSDLNFSEDNKLKDAREVTHAGPDVATAIEDLLEQTSKMHDQRSPGNTGCERSIYSSDCSAIGQDNPNPHTVYGLSKHWLNRNGINGDNGETPQDRKAGMYDGFSETQTESQVVSYEEDLSGRQMLIDRVLTRSSMQ >CAK8542469.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518350854:518352997:1 gene:gene-LATHSAT_LOCUS11308 transcript:rna-LATHSAT_LOCUS11308 gene_biotype:protein_coding transcript_biotype:protein_coding MELHYFYILHLTVIMFLSMFPQSFNCQQVYLNSTVFDCSNNPSVPKGYLCNGFKKSCTSFLVFKSNHPYDNPARIGNLLGSEASTIASINKISINEKIPSKKSIIVPVFCSCAGNIYQHSSSYSVKQNDTYYELVKETYQGLTTCQALMGQNYYAPVNIAVGAELTVPVLCACPTKNLTAKGVTSLLVHMVNYGDTVKSIGEAYGVDEHSMREANELPELQSANSTVVLFASTPIVVPLRSKSCKKNPERFYCKCFEELQADGSSKGVFCDESHRQKIPAKLVAASGIGIGTGFLCLFLLGYKLYQCIKKRRERTRKERLFGQNGGYLLQEKLSSYGNGEMAKLFTAEELQRATDNYNRSRFLGQGGYGTVYKGMLPDGTIVAVKKSKELERNQIETFVNEVVILSYINHRNIVKLLGCCLETETPILVYEFIPNGTLSQHIHRKDHESSLTWENRLRIACEVAGAVSYMHFSASIPIFHRDIKPTNILLDSNYSAKVSDFGTSRSIPLDKTHLTTFVGGTFGYIDPEYFQSSQFTDKSDVYSFGVVLVEMITGRKPISFYDEDDGQNLIAQFISEMKENQYPQILDSRVQKEAGKDTILSISNLAIRCLRLNHKKRPTMKEVSAELETLRKAQSSFQIKHDVDESSSDDEQSFGHIINETTDQESREESISLSLQIESTSF >CAK8573852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642638140:642638862:1 gene:gene-LATHSAT_LOCUS26253 transcript:rna-LATHSAT_LOCUS26253 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEDCMLRDSLTWIQNPQAQGNATVFVAKFFGRATLMSFLSSVPPNQRSGLFQSLMYEAVGRTINPVNGAVGLLWTGRWRFCQHGVEQVLRGNGGALTPLPDHESTEKGSYSDRRQYHREQQVMIEKENYNEVANKTLSEESESSTLGSRTGSEDYSYVYANSQIQTQTNQLLTLFL >CAK8538828.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:497174629:497181782:1 gene:gene-LATHSAT_LOCUS7984 transcript:rna-LATHSAT_LOCUS7984 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTFVSKARTAFHSAAAKAERVLLDFKSDRDQEKQSPNSLTKQSEVESPSSEKDSKVRSETKPKKWRPPHIGIKQDWQDRFKNIRIGRKEEAEDTDKTGDANMAIPFYDENLYILNVKNDLEAKASEAMPTVEGLTAATKDPIPPSSVLKQLSIAVEAGSKAKSLKDFIASPGSSSPARERVGLSLSAVKALVLREKEDKLTSEFSSDEKVVHLINSLFDPEGDFLRRKINSSSEENDITSLPRDIHGAPAESLVIKLAEIIGNYKTLRKMTLFWFRVVAELRKFWSKEQYLPGVPQNEIPDLKTCLLYQQFQVINCCISRKRLRIIATESLESMMMQASSNIKESANDDDGAPAGPVLYARLNTGERVLRLGADCRSGDLTLLETGEPVYSPVTQEGPLLTEDLIRETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTDNEACIEDSDVFDSGESLSIRGQLSRRMQKEGNLWRELWLTSKPVPAVKQAPLFDEDLAVEGILNAFEDIHPLELFGQLFVSLLGLGFAIAEPMLSSNKDFSKLFCDCKEYIVATCQNNKWSEKVDDLVQVYDTVETMLLNPEEALKMMKQTEESNTVTDEPKSRFKRLSFIFSSKDKVLKKTPSKDQTNDEEKSTRQSFSSFFENKSSLFSKKPPRSGSPSPSEKPPLETDWTIV >CAK8571886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:489650602:489651006:-1 gene:gene-LATHSAT_LOCUS24509 transcript:rna-LATHSAT_LOCUS24509 gene_biotype:protein_coding transcript_biotype:protein_coding MERESQKTNHWIVRRTGEYDNEVRHTSLNGEKYVVNFYKKECSCRLWMLTRLPCCQAMSCMKDQHLEIDEFVPECYKKEQYVVFYAHVIYPLNGEALWAKINVVDL >CAK8572759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561237979:561239503:-1 gene:gene-LATHSAT_LOCUS25287 transcript:rna-LATHSAT_LOCUS25287 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERFAQGPGEKAPAAPVAPAATATQPAQPSKKSKK >CAK8561383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:180499749:180500390:1 gene:gene-LATHSAT_LOCUS14983 transcript:rna-LATHSAT_LOCUS14983 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIRMVLESIYDPEFPNTSHFRSGRGRYSALRRIKEEWGTSRWFLEFDIRKCFHTIDRHRLIPISKEEIDDPKFFYSIHKVFSSGRLVGGEKGPYFVPHSVLLSALPGNIYLHKLDQEIGRIRQNYEIPRIRSVLLRTGRIDDQENSGEEASLNAPQDNRAIIVGRVKSIQRKAAFHSLVSSWHPPPPPHKHPLAKGGTRKCLSFSRIHRP >CAK8577808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:589899966:589901537:1 gene:gene-LATHSAT_LOCUS29879 transcript:rna-LATHSAT_LOCUS29879 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSCFLSTVLTILISISSAISQSPAQNFLNCFSHNSRASKVIYTPNHTSFLTILNKKIIYKKFQTASTPKPLAIITAKDASHVQATIKCAKSNNIQIRIRSGGHDYEGYSYVSDVPFVIIDLFNINSVDVNLQEETAWVDSGATVGKIYYNIAKKSNYLAFPAGVCFSLGAGGHFSGGGYGNMMRKYGLSVDNIIDAIIVDVNGNIFDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVQVPSQVTVFNVKRNVDEGATDVVYKWQLVAPKLHKDLFIRVQPNVVQIGNGTKSIVQVSFIGQFSGTTDKLLVLISESFPELGLKKSDCFSMPWINTTLFAYGKPIGTPLEALLEEPQAGYFKGQSDYVKRPIQKVNLESMWKKMIEGETLFMQWNPYGGRMEEILSSETPFPHRAGNLFKIQYFNSWIDGSPESIERHVNFSRSFYKFMTPYVSNSPREAFLNYRDADIGANHPSNTTKLDIARIYGSKYFKGNFERLVNVKTKVDPENFFRYEQSIPTRTY >CAK8541498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:206115502:206116541:1 gene:gene-LATHSAT_LOCUS10415 transcript:rna-LATHSAT_LOCUS10415 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYYEARVEKFRCWLTKSWMYFVEQTIFSKEQESGAMCICGYAEVWRTLTRCTSSWSSLEEVMPYRSAGLLRMEWCRCDAKPTMKNYSMFLNGMEFRRHTSAFDNSWFIDSSEGCGFTHLQLL >CAK8543421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:607040301:607041581:1 gene:gene-LATHSAT_LOCUS12178 transcript:rna-LATHSAT_LOCUS12178 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFKSVFSDDPNPSGSEESNNAPHRESPDAPVSPSGAWNLGNIIKTLSEKSETVIEVYRRDLQEFGTGLKKEIEVAHGSLETVGHVIDRFGNTVIKGTAQIISQGKDAILDSDSDSDNSNNNKDKRHSSFNSKLYNRFDSRVRAIQGDAKTFTEEPLDLERYNKWKLDFSLEGKIEEMVGLLKENEAMESVHKRVVPNVVDSETFWLRYYYKLYKVKKAEDVRARLVRRMSREDDEELSWDVEDDKYDDDDDDDDDDDDEDDNDEKNGREGGFDGNGVVGVKRLDDSDEEETKVEKRNSISENKMKEESKVNENLELVQEKGDGRQVDDVKDSVVDSDKRMTVESNVGHGKSLPVVSRDKEHEEVEEKDLEWDEIEELGRFDEKKGMGSGSPSKIDIRKRLSSAAEEEDLSWDIEDDDEDELTKA >CAK8575556.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:167358202:167360984:-1 gene:gene-LATHSAT_LOCUS27812 transcript:rna-LATHSAT_LOCUS27812 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQKHTKAQFLINFILVASSFCGFYVIVSVLIIGTSNPKLFVHLQNSSSSQDTAENTPASATATTIRNTTLDDIVFGIAASKSSWTKRKEYVKLWWKANNTKGCVFLDSLPKNNDDSSSLPPLCVSQDTSRFRYTCSGGLRSAIRVAHAVVETVALNHTGVKWYVFGDDDTVFFLENLVKTLSKYDHELWYYIGANSEIYEQNRLFGFGMAFGGAGFAISSSLAKVLAKVFDSCLERYPHLYGSDGRVHSCLAELGVGLTHEPGFHQVDLTGNTFGLLAAHPVTPLVTLHHSDYTDPIFPKMTRTQALQHLFKAANLDSQRLLQQTICYNKKFSWTISVSWGYAVQIFNDHMFLPEAVSVQETFKQWKNGNVLAKTYMFNTKPFDTNPCERPTIFYLDSVSSGKNGIITSYYKRFLRDCRKERRSPNKLEVIKVVSDKLNLNIKQLQAPRRQCCDILPSSAGDKLDIAVRECKDGELIYMH >CAK8535030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:805135962:805138325:1 gene:gene-LATHSAT_LOCUS4515 transcript:rna-LATHSAT_LOCUS4515 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIFSRVIPKTQTLTSLISRSLSTTTTTTVPSSSAIALLRRLRPLSAASVTSRGVLFLPNSFRSFSTRATTSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLAQVIGGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRRENVVNRDMQTRPPVQNPGPNMAGPPHNAGGFPPNNAGGFPPNNAGGYPPNNAGGYAPAPPSNQGGYAPPNAGGYAPAPPSNQGGYAPPNAGGYAPNNTTGGYPPPNMGGPPPNMGRPPPPPNSGYGMPQNNYPGNNGGVQQNQNMGGLPPNAGWANNR >CAK8564894.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15066482:15077828:1 gene:gene-LATHSAT_LOCUS18150 transcript:rna-LATHSAT_LOCUS18150 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRKKSTPEEDEQDKIQRKGKRKLASTVDDDDADEEMDEFEVDGFLVGSDEDEEDSSEEDNSKQTRKKKKRKRSSKNIVLDDDDLELIRENKKQMNDGKLKRLKKTGRVTEPMEQSSDDEGSLNKLFEDVSDDAEDDMSDFIVDEEPAIYGKGESLRPKKFKGTKHSSSLSKEAKRRSGKSGDDPKNMDVAGAGNSVADSDLPERIQMIEDIVGSIPVDRTSIEEESSWILRQLESNINPLFSEAKSCRLGDSVNREDIVRFLELYHIKKYDIPFIAMYRKEQCPSLLRDGKQDDLESTLLNDGEGKPKLNWHKILWIIKELDIKWLHLQKRKSMLQRYYNKHFEDECQMSFLAEESSFHKQIFDSITNMLEKAETEKEIDDVDMKFNLYFPPADEFLSSGYKRPLMKTYYSDCRKAGLSSLARKIGNPEKFSSLVTLKKVGIACEEDPEESPEEMAAIYTCETFRTSEAVLKGARHMASLMLSCEIPFRKHVRSIFMDKALVSTSPTLKGNIAIDSFHEFAGFKWLKDKPLLKFEDSQWLLIQKGEEEELLKVEIKLPDDAVKELMVIFNDAYLKDTEGTSTQLWNEQRKSIVQDTISSILLPSMEKEARTLLNAKAKICSLMKYGMQFWNRISVAPYLNNDNAAAQERGVVACCWGNGKPGTTFVMLDSKGELVDIMHAGSLTLRSQNVNDQQRRKSDQKCVLKFLTIHRPKVIVLGAANATCIRLKEDINEIISMMSEDNFQDVNQEMNGLPAVVLGDECLPHLYEESDISMSQFPRQYGIVKRAVALGRYLLNPLAMVATLCGVNKEVLSWKLNPLERFLSNDEKMEMIEWIMIDITNQVGIDINMGIKHDWLLAPLLFVSGLGPTKAGVLHRELLGGTDVRNRKDLAKFGLNTKRVFCNAVGFLQVSGDDPNFVDTAGNILDRTRIHPESYSLAEELAKAVVTIHYADANDTQVNAIECIQNEPKLLESFDLNEYADRMETEKGEYKRVTLFDIKMELVHGFKDPRSPYQEPTQEDEFYMVTGETGVALIEGERVQATVRRVLARQAFCVLESGISGVLFKEDFSDDIGDIPLTEKLREGVVLKCKIKLIDKSRCQVNLTCKVSELKSVGDQSFRDMDPYYCQGNIDMLSQQESTEKKDVNKNFLSRKISHPHFQNITADKAKEFLAEKTVGEFIFHPSSRGLCYLTLSLKFFDSLYVHKDILEGEKSDDMNSLVELGRTLKVGDEVFESIDKVIELYVNPLVVHLKELINFRKFKKGTKAEVDELLKHEKEEYPNRIPYGIGISYEHPGVFILSYIRSTNPHHEYIAIHPKGFKFRKQIFNNVEQLMAYFQNHINDNVTRANDQSKDYNDSGGGRGRGRGRGGGGGSCYKCGESGHMARECTQEGGGGGGRGGGGGTCYKCGESGHMARECTQEGGGGRGGGGGTCYKCGESGHMARECTQEGGGGGGRGGGGTCYKCGESGHMARECTQEGGGGGGRGGGGGGACYKCGESGHMARECTQEGGGGGGRGGGSCYKCGESGHMARECTQEGGGGGGWSSSGGRRGGRGHGRGRGSSYSSFSHDDSADVNDGGGFGTSNGGSGWGGTGGKSWGGNSTSEEKGGWGVTAADNGGSGNDNSGWSSAHGKNATSPGGESGWGATGGKSWGGNSSNKESNTTEGGWGVTTGSGNETGGTSWGANSTNKESNATKGGWGSTTGSGNEIGGKSWGGNSTNNESNTTGGWGVAAGSGNETGGKSWGGNSTNKESNTEGGWGVVTTGSGNETGGKSWGGNSTNKESNTTEGGWGVTTGSGNETGGKSWGGNSTNKESNTTGGWGVTTGSGNETGGKSWGGNSTNKESNTTEGGWGVATGSGNQNSGWSSGHGKNAAPSGGETGWGGTNGGSGWGGTGGSGGKSWGGSSTYEENNTAEGGVSGYGGGGGRGSGRGGGACYKCGESGHMARDCTQEGGGGGGRGGSGRGGGRGGGACYKCGESGHMARDCTQEGGGGGGWGGGGRGGGRGGGVCYKCGESGHMARECTQEGGGGGGGGWGGGGRGGGRGGGVCYKCGESGHMARECTQEGGGGGGRGSGGGGTCFKCGESGHMARECTQEGGSGGGGGGRYGGGGGGNCYKCGESGHFARECPSSTS >CAK8564895.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:15066482:15077828:1 gene:gene-LATHSAT_LOCUS18150 transcript:rna-LATHSAT_LOCUS18150-2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRKKSTPEEDEQDKIQRKGKRKLASTVDDDDDEEMDEFEVDGFLVGSDEDEEDSSEEDNSKQTRKKKKRKRSSKNIVLDDDDLELIRENKKQMNDGKLKRLKKTGRVTEPMEQSSDDEGSLNKLFEDVSDDAEDDMSDFIVDEEPAIYGKGESLRPKKFKGTKHSSSLSKEAKRRSGKSGDDPKNMDVAGAGNSVADSDLPERIQMIEDIVGSIPVDRTSIEEESSWILRQLESNINPLFSEAKSCRLGDSVNREDIVRFLELYHIKKYDIPFIAMYRKEQCPSLLRDGKQDDLESTLLNDGEGKPKLNWHKILWIIKELDIKWLHLQKRKSMLQRYYNKHFEDECQMSFLAEESSFHKQIFDSITNMLEKAETEKEIDDVDMKFNLYFPPADEFLSSGYKRPLMKTYYSDCRKAGLSSLARKIGNPEKFSSLVTLKKVGIACEEDPEESPEEMAAIYTCETFRTSEAVLKGARHMASLMLSCEIPFRKHVRSIFMDKALVSTSPTLKGNIAIDSFHEFAGFKWLKDKPLLKFEDSQWLLIQKGEEEELLKVEIKLPDDAVKELMVIFNDAYLKDTEGTSTQLWNEQRKSIVQDTISSILLPSMEKEARTLLNAKAKICSLMKYGMQFWNRISVAPYLNNDNAAAQERGVVACCWGNGKPGTTFVMLDSKGELVDIMHAGSLTLRSQNVNDQQRRKSDQKCVLKFLTIHRPKVIVLGAANATCIRLKEDINEIISMMSEDNFQDVNQEMNGLPAVVLGDECLPHLYEESDISMSQFPRQYGIVKRAVALGRYLLNPLAMVATLCGVNKEVLSWKLNPLERFLSNDEKMEMIEWIMIDITNQVGIDINMGIKHDWLLAPLLFVSGLGPTKAGVLHRELLGGTDVRNRKDLAKFGLNTKRVFCNAVGFLQVSGDDPNFVDTAGNILDRTRIHPESYSLAEELAKAVVTIHYADANDTQVNAIECIQNEPKLLESFDLNEYADRMETEKGEYKRVTLFDIKMELVHGFKDPRSPYQEPTQEDEFYMVTGETGVALIEGERVQATVRRVLARQAFCVLESGISGVLFKEDFSDDIGDIPLTEKLREGVVLKCKIKLIDKSRCQVNLTCKVSELKSVGDQSFRDMDPYYCQGNIDMLSQQESTEKKDVNKNFLSRKISHPHFQNITADKAKEFLAEKTVGEFIFHPSSRGLCYLTLSLKFFDSLYVHKDILEGEKSDDMNSLVELGRTLKVGDEVFESIDKVIELYVNPLVVHLKELINFRKFKKGTKAEVDELLKHEKEEYPNRIPYGIGISYEHPGVFILSYIRSTNPHHEYIAIHPKGFKFRKQIFNNVEQLMAYFQNHINDNVTRANDQSKDYNDSGGGRGRGRGRGGGGGSCYKCGESGHMARECTQEGGGGGGRGGGGGTCYKCGESGHMARECTQEGGGGRGGGGGTCYKCGESGHMARECTQEGGGGGGRGGGGTCYKCGESGHMARECTQEGGGGGGRGGGGGGACYKCGESGHMARECTQEGGGGGGRGGGSCYKCGESGHMARECTQEGGGGGGWSSSGGRRGGRGHGRGRGSSYSSFSHDDSADVNDGGGFGTSNGGSGWGGTGGKSWGGNSTSEEKGGWGVTAADNGGSGNDNSGWSSAHGKNATSPGGESGWGATGGKSWGGNSSNKESNTTEGGWGVTTGSGNETGGTSWGANSTNKESNATKGGWGSTTGSGNEIGGKSWGGNSTNNESNTTGGWGVAAGSGNETGGKSWGGNSTNKESNTEGGWGVVTTGSGNETGGKSWGGNSTNKESNTTEGGWGVTTGSGNETGGKSWGGNSTNKESNTTGGWGVTTGSGNETGGKSWGGNSTNKESNTTEGGWGVATGSGNQNSGWSSGHGKNAAPSGGETGWGGTNGGSGWGGTGGSGGKSWGGSSTYEENNTAEGGVSGYGGGGGRGSGRGGGACYKCGESGHMARDCTQEGGGGGGRGGSGRGGGRGGGACYKCGESGHMARDCTQEGGGGGGWGGGGRGGGRGGGVCYKCGESGHMARECTQEGGGGGGGGWGGGGRGGGRGGGVCYKCGESGHMARECTQEGGGGGGRGSGGGGTCFKCGESGHMARECTQEGGSGGGGGGRYGGGGGGNCYKCGESGHFARECPSSTS >CAK8560638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:33229825:33231228:1 gene:gene-LATHSAT_LOCUS14298 transcript:rna-LATHSAT_LOCUS14298 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYILITPILCFVIRWLLFKTGLIYITKKLQRKVQDCFYVYQYLKVPELNENMQRNELYRKVSLYLHSLPSLEDSDFTKLITGHNQNDIVLSIDSNQIIEDRFLGANLFWLNQKTEPGQTGVFVLKIRKTDKRRILRSYLRHIHDVADEIAKRDLRLFANVTDGETRWKSVPFNHPATFDTMAMENDLKNKIKSDLESFLKSKPYYRRLGRAWKRSFLLYGPSGTGKSSFVAAMANFLCYDVYDVDLSQVRGDSDLKFLLLETTPKSIILVEDLDRYLTEKLTVTVAGIQNFMDGLLSSCSGEERILVFTMSSKENIDPIFLRPGRVDVHIHFSWCDFSSFKTLACNYLGVKDHKLFPQVEEIFRHGASLSAAVISELMIANRNSPSRAIKSVIGALQMDGDGRLSGDTIVRQIEGSDVEESQKQGSLCGRDGFSTVRDLRKIYSLFRLRTGKRNRTANLAPNDEE >CAK8536253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:922210480:922211574:-1 gene:gene-LATHSAT_LOCUS5640 transcript:rna-LATHSAT_LOCUS5640 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREGVNDGFPKLGPNDSLLPGLIDDVALNCLAWVSRSDYASLSCINKRYSKLINSGYLYGLRKELGVVEHLVYLVCDPRGWVAFDPKISRWMALPKIPCDECFNHADKESLAVGCELLVFGRELMEFAIWKYSLVCRGWVKCQEMNQPRCLFGSSSLGSIAIVAGGSDKYGNVLKSAELYDSASCTWELLPNMHTPRRLCSSFFMDGKFYVIGGMSSTTVSLTCGEEYNLSTKCWRRIEGMYPYVNVGAQAPPLVAVVDNQLYAVEHLTNMVKKYDKEKNTWEVLGRLPVRADSSNGWGLAFKACGDKLLVVGGQRGPEGEAIVLNSWCPKTSVTNGTIDWQVLGMKEHVGVFVYNCAVMGC >CAK8544138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:666651822:666652481:-1 gene:gene-LATHSAT_LOCUS12841 transcript:rna-LATHSAT_LOCUS12841 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYSQLTTEQHRSSHRRNRSCCCCLFSFFWKLLVSLVFLFALIFLIFYLIVQPRAFKFYVTKAELTQFDYSNDILHYNMVLNFTARNPNKKLGIYYDKVEAQAFYEGARFANVDVITHMNSFRQDKKSSDPMSAVFSGQQLLMLHSDQVSEFNKDKSVGVYDIYVKLYFRIRFKLGDSISHNYKPKVKCDLNVSLSSRNNATFTFTRVLPTKCDVMF >CAK8576606.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:497456968:497466151:1 gene:gene-LATHSAT_LOCUS28780 transcript:rna-LATHSAT_LOCUS28780 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAISVTHPAITTLTSVTFSRKRGNILLSGNKPRTISMSLRNGNSNKQQLEREKLYLGLDFGTSGARFAVIDIGGTIQAEAKRHYPLYSNGESRDWVRSWKETLFLLLEDIPLNLRKHIVSISIDGTSATTMIVDSDTGEPLWRPFLYNESCPEALPAVKSIAPPNHTVCSASSTLCKLVSWWNRDGLNEKSALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEADSYPSWLISQPYSHLLPLVVAPGTPIACLKEELRNKFSFQKECVVCTGTTDSIAAFLAARVTQPGKAVTSLGSTLAIKLLSNTRVEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQLFTDDQLKKLSEQINPSQISLLDYYPLPKAGERFPIADPDLAPRLLPRPENDAEYLHGILESIARIEAKGYGLLKELGATPVDQVFTAGGGANNEKWTQIRERVLGLPVSRANQTEAAYGTALLAIKGDQQNIL >CAK8574749.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:8534096:8535100:1 gene:gene-LATHSAT_LOCUS27063 transcript:rna-LATHSAT_LOCUS27063 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEAKQKMKSPLKTTPMSQPDNLSKHTKTKLDEERLISKTTPKSPLETQSKFLQHKEKEKVVHETKKIGKSKDESTSQPNRHAKPSSSGTKDKKHHGVRETVERKIMFATSNSSGKDIGVMSSKDPSSSISHERTAPSSEEREKRNEKAPIQKGIKDDITKFVHKISASVHPTQPMDDKKFSVITLTGDNRGATMHVGSESDKKDGSIHIHRDYKPESEESIEVSTDGEGNSNNEEDSMELGEVGKAYVNSNIQSINNSLMFHGSISERDPGVQVTLPQKPLEPVNHDDDKDTHNQRTEFNMSWSQKPTFQPAVRRYGCKFSRGANNEDIDIM >CAK8530600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:33970954:33971343:1 gene:gene-LATHSAT_LOCUS453 transcript:rna-LATHSAT_LOCUS453 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVQNKMKLIQEAIEEDQNNKVKEQSSQQMSMENLKNFFQRKYPNESEDEIMIRILDHMKNQFFSTFPTKASKDEDSSMKTSSSMESMDSHNLDGLAGEGQADEATAEDFWDAMIQCMKVKGKAKN >CAK8570779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:112762659:112771448:1 gene:gene-LATHSAT_LOCUS23502 transcript:rna-LATHSAT_LOCUS23502 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEVIETEQLRTITFQRLKSHTMNLLELLQNPHNQNQKHCSVTVIPELFRFLQNCLPSTLQPFFDYTLFPLVLLLDAAIQCRSTQKFDSQEKYTMSDIPKTPFKVSDSVAEGIVHCLEELLKKCCLNSVNQMVVILKKLTYGALLSPSEASEEFREGILLCFRAMLLNLNSCSDASCSCKTIPGLPAISDDIYDHRLNENFKNVSESEECLLAFLRSQTASAAVGHWISLLLKAADTEAARGQRGSARIRIEAFKTLRVLVAKVGSADALAFFLPGIVSNLSKVLHGAKTMISGAAGSMEAIGLAIRGLAEFLMIVLQDDANASVLDMEVSASFDPNECKSTLSLLEELRHLQVKDSVKTKVVEDRIVESEKLPCSQTQIQEMGSTAPDGEDLSLHVTRTKDWIQKTTAHVNKLLSATFPHICIHSSQKVRKGLVDAIKGLLLECFYTLGDSRLMLLECLSALAVDESDDVSSTAQDFLECLFSQSWKSCIEHDAAKIFIRHLEKLPKVVLSNDEPLAVLHAQQLLTIIFYSGPRLLVNHLQSPLGVAKFLDVFAACLSHNSVFSGSLGKITLASQSSTVGYLPSVAELKSGSNFFSHGLPLLNSGVCENSMCGLIDKKYVQEPDKTAQKKYELPRMPPWFGYVGSLKLYQPLARILRLVGLSILADHSGEGLLSHLTDTLLGNFRKLVTELRLKEYNNESWQSWYERTGSGQLLRQASTAACMINEMIFGLSDKAINDFARIFHRSPLSKGVLVQSYKLDCADHESFWKMPKDTAVKSYLVDCIGGILHEYLSAEVWSVPVDRRVADLQLNVIIDGVGIFNLCLGTNFISSGFLHSSLYFLLENLSSSSYQVRNAADSVLHIVSTTSGYEMVGQLVLENADYVVDSICRQLRHLDVNHHVPNVLASILSYIGVAHKILPLLEEPMRCVSIELEILGRHQHPDLTIPFLKAVEEIVRASKREACQLPPQAESFSTDVRSTISNAEEATQDQWEAISFKLNDSRRYRRTVGSIAGSCITAAIPLLASFKQEICLASLDIIESGLLALAKVEAAYKIERNIKEAIEETLESLSLYHLKDTLEATEEGADENRLLPAMNKIWPFLVTCIQNRNPVAVRRCLNVISNVVQICGGDFFTRRFHTDGTHFWKLLTTSPFCKMSNFKDEKTPLQLPYRSSSVNSEDSLAETSYLKVQIAVLNMLADLCNNKRSATALELVLKKLCGLVVGIACSNVVGLRDASLNALHGLASIDPDLVWLLLADIYYSVKKKDALPSPPRPDLPEISEIIPLPSSPKEYLYVQYGGKSYGFDIDLASVEFVFTKIDSHYNV >CAK8575137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:37253304:37255946:-1 gene:gene-LATHSAT_LOCUS27424 transcript:rna-LATHSAT_LOCUS27424 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKKSKSNSQSVGTSLQSEEAEETERNGRSSISEYEEQRLRRIAENQARLKAMGLPQMVSSLKTLPQINKKKKEKVEDGDEEYRPENEEERETESDSSSEHDSEFENASGSRKRKAKNKSLKVKSRLIGKKHGGNLDYVVDEDEALMQAIALSLQSSAEPSVCSDKNVENICKAEKKENIHVKEDKERKNKKSFTSRLQMTEDELIVHFFQLDESGKGTVTKRDLERAAITHDFEWTDNELVDMIRCFDSDGDGRLSLDDFRKIVVRCNMIKDSQNS >CAK8534355.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:719998302:720003488:-1 gene:gene-LATHSAT_LOCUS3905 transcript:rna-LATHSAT_LOCUS3905 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTRSNSKSNANPQQQGLKEKLKALTLLYEQQKFQNGTFKPQPEENHGENLKNNAVAREKNGNRIMVFVRVRPLAKKEKEAGSRCCVKIVNSSHIYITEFATPNDYLRLKRIRGGHFTFDACFSDSATQHQVYSTSTSELVEAVMQGKNGTVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFSKIRTRSYDGNHVVHLSYLEVYNETVRDLISPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAVLQVVVEYRVRDAAMNIIHRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGTCNTVMIANISPSILSFGETQNTLHWADRAKEIRTKAPDANKDLLPVPNTETDQAQLILELQNENRQLRIQLARQKQMLLKLKAQSLAAYSSPTPPSASSFLSTPPTSIQPNEKQRTRPSVTYLTPKTENNGEKIAFTVGTLYQKVKSLESEIVRMKKDHSLMIKQKDDVIRELSQKSGKKVTAAGEMGNRVLTRSNIRPKVENTGELRSPSHRFHSPLQMAKKRSFWDITAANSPSVTALNGRKTRSHITSEPTAHPSMLLKPGFAKEKGNIWK >CAK8568734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:636902997:636903587:-1 gene:gene-LATHSAT_LOCUS21666 transcript:rna-LATHSAT_LOCUS21666 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIEFQKRGLPHAHLIIFLHPSNKYPSPYDIGRIISTEIPNSDTDTELYNWVKSHMIHGPCGIGNRSVPCMRDGKCSKYFPKEFHPQIIADHDDFPLYGRRNSGYSVLKNGIQLDNHHVIPYDSGLSMKFQAHVNMKWYNQSTSIKYLFKYINKGYDKITATIVQSDDPIPHAQRSIDEIKQYIDYRYVSPCEAC >CAK8535431.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:847709462:847709962:1 gene:gene-LATHSAT_LOCUS4895 transcript:rna-LATHSAT_LOCUS4895 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRATLISSVMTNFPLHYFAFFKVPKSVVQKIVAIQRNFLWSRSKEKKGITWVSWSNVYKSKEDGGLGIRDVGRFNTALMAKWIWRLLPEKDAIWVGILDGRYGRFAMDLLRGKLPKYKGFPSLWWRDIFKANGLFGPGKFGELISYKLGNGDGVLFWLTRLLGN >CAK8571927.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494253310:494253669:1 gene:gene-LATHSAT_LOCUS24548 transcript:rna-LATHSAT_LOCUS24548 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSLLKLVGVLFVVVLQLFVNGVVGCLENERYALLQLKASLVWDDDSFLLTTWDSKSDGCCAWEGIRYNNHTGHVEMFHLSRSQFGRFPGKINASLMELRHLKSERFGLKRIGLKQK >CAK8571684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:461795895:461799713:-1 gene:gene-LATHSAT_LOCUS24323 transcript:rna-LATHSAT_LOCUS24323 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKNSKHNPSNLVAKLMGLETLPKGEPNLSKDYSQEIYGHLGWPLKHRKVEDRFMMCNKEVHHSSAEQIGYRDGYETWLQSHRGRWREDFDEGKMALVHQKFIEAKNMSTHEALRQSKQFEDALDILSSNNDLLVRFLDSQKLYQLPSSPPDDTNRITLIKPLKMFGNDKSSGKGKKNDRLIKKPANSDQTNVRENINRGYSPESTRIVVLKPSPGRTHMVSPRTQSPQSFYQGNGNDEVLESIKMSKEMTLQMHPNDKTLHSSIFSGGYSSDESLFDKSYHDLESMSPMPRHSWDCIINVCGSPYSTQSLGRATCSPESSVCIEAKKRLSERWNVITSVEKGHQEQSCATGNSTLGEMLSLSLVKKLVTSEVESTNKHQEEPSKSVSCSQSFNEEMSINDSPQNVTLSNSVPASSTVYEPGLGDDADKEHGSKVVAKSKRKRSSFKGKVASFLFSMSKKSTKKKSSPSQPKDESESAVTETSTTQVNSPGVLGDNVSQSFNVGGFEESFAAKCESLGKNSTDSASSEQEDMITLEPGSSESKLVVLEVSAEKQDQPSPISVLESTFEDYNTAHESLQCMKSAHMESPEPLKSNLIDKSPPIESVARTLSWDDDSCGELTSHYYHPLKPLRTSSLETKLEEHEWLLLVQKLLSASGLDDDDDQEQQYDSFHTRFHSLESPLDPSLRDTYANLNDKKDNTQPLNEAKRRKMRSNQKLIFDCVNAALLEVVSCDDGSENYFKMYCGTNRRTFQLQEGSSLMDHIVAYMKELIANGMRFVWGGDSHSLVVENVVRKEVVHVGLVEVMRMEIEALGREIEETLIEELVENLVLDITSR >CAK8565338.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:139268724:139269020:-1 gene:gene-LATHSAT_LOCUS18559 transcript:rna-LATHSAT_LOCUS18559 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFNPFVIRFRKLSQLPNIGECCLILKECPSNHHQYNLPTAEQVVAIIVGGDSDSMEYARDINVICHNGNLKKVQETKGYYDPLQYPILFPFRTHG >CAK8571960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:497346715:497347225:-1 gene:gene-LATHSAT_LOCUS24572 transcript:rna-LATHSAT_LOCUS24572 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPNDKATLSAPNVTFIVVTLNSSMHLNSQCESICKIG >CAK8539972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:533033192:533034199:-1 gene:gene-LATHSAT_LOCUS9018 transcript:rna-LATHSAT_LOCUS9018 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSDENSLVPIYLQEVDLTGEYKELISTLPLEKGWIKMPFHQYQGCWIGTTALQNVLSCQQHFQALDTDILLVTAPKSGTTWLKALTFALLNRNKYPNIHLNNHPLLISNPHDLVPFFEYDLYINKNVLPNLNSLSHPRLFSTHLPYDLLPESVKESRCKIVYLCRDPKDNFVSIWHFINKLRPESIGKLTLDELFESFCRGVSPFGPFWEHILGYWKESLMERSNKVMFLKYEEMKMKPDLYLKKLAKFMECPFSKEEESKGVVDDILNLCSFENLSELEVNKIGKVAIGVENKCFFRRGQVGDWKNLLTTEMVEQLNTILEKELVKHGLSF >CAK8561126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:98690779:98695904:-1 gene:gene-LATHSAT_LOCUS14742 transcript:rna-LATHSAT_LOCUS14742-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAETDMEDTKPSVVMVDNGDQAQFRFAEFSKNELALDEKSCKEAMDLFGETKHLLMANVSSMGNGTMEEAERYWFAFILYSIKKLTQKNEESGKEEIENTGLTLCRILRAAKLNIAEFFKELPQFVVKAGPILSNLYGPDWENKLEAKEMHANTIHLKILSKYYKRVFEEFFVSTDANVENNSSVTIRVSEYHRFGWLLFLALRVHAFSRFKDLVTCTNGLISILAILIIHVPARFRSFNIHDSSRFVKKSGKGVDLLASLCNLYNTSEDELRKTIEQANNLVADILKKKPCLASECETENLENFDRDGLTYFKDLMEESSLSSSLNVLESDYDHMTRNNGELDERLFINEDDTLLASGSLSGGSISAGGVKRKFDLMTSPTKTIMSPLSPQRSPASHANGIPSSANSMIAATPVSTAMTTAKWLRTVISPLPSKPSQELERFLTSCDKDITSDVIRRAQIILQAIFPSSPLGDRCVTGSLQSANLMDNIWAEQRRLEALKLYYRVLATMCRAEAQILGNNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRYEESLPRELRRHLNSLEERLLESLVWEKGSSMYNSLAVARPALSVEINRFGLLAEPMRSLDEIAMDINFSCGGLPPVPSLPKPEPMSAQNGDPRSPKRLCTEHRNVLSERNSFTSPVKDRLLHLSNLKSKLLPPPLQSAFASPTKPNPGGGGETCAETGISVFFSKIVKLGAVRISGMVERLQLSQQIRENVYCLFQRILNQWTSLFFNRHIDQIILCCFYGVAKISQLNLTFREIIYNYRKQPQCKPQVFRSVFVDWSSARRNGSCKQRTGQEHIDIISFYNEVFIPSVKPLLVEIGPGGATTRSDRIPEANNKNDGHLAQCPGSPRISPFPSLPDMSPKKVSATHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGNRKVRGPLNFDDVDVGLVSDSMVANSLYLQNGSSASSSGAPLKSEQLDS >CAK8561125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:98690779:98695904:-1 gene:gene-LATHSAT_LOCUS14742 transcript:rna-LATHSAT_LOCUS14742 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAETDMEDTKPSVVMVDNGDQAQFRFAEFSKNELALDEKSCKEAMDLFGETKHLLMANVSSMGNGTMEEAERYWFAFILYSIKKLTQKNEESGKEEIENTGLTLCRILRAAKLNIAEFFKELPQFVVKAGPILSNLYGPDWENKLEAKEMHANTIHLKILSKYYKRVFEEFFVSTDANVENNSSVTIRVSEYHRFGWLLFLALRVHAFSRFKDLVTCTNGLISILAILIIHVPARFRSFNIHDSSRFVKKSGKGVDLLASLCNLYNTSEDELRKTIEQANNLVADILKKKPCLASECETENLENFDRDGLTYFKDLMEESSLSSSLNVLESDYDHMTRNNGELDERLFINEDDTLLASGSLSGGSISAGGVKRKFDLMTSPTKTIMSPLSPQRSPASHANGIPSSANSMIAATPVSTAMTTAKWLRTVISPLPSKPSQELERFLTSCDKDITSDVIRRAQIILQAIFPSSPLGDRCVTGSLQSANLMDNIWAEQRRLEALKLYYRVLATMCRAEAQILGNNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRYEESLPRELRRHLNSLEERLLESLVWEKGSSMYNSLAVARPALSVEINRFGLLAEPMRSLDEIAMDINFSCGGLPPVPSLPKPEPMSAQNGDPRSPKRLCTEHRNVLSERNSFTSPVKDRLLHLSNLKSKLLPPPLQSAFASPTKPNPGGGGETCAETGISVFFSKIVKLGAVRISGMVERLQLSQQIRENVYCLFQRILNQWTSLFFNRHIDQIILCCFYGVAKISQLNLTFREIIYNYRKQPQCKPQVFRSVFVDWSSARRNGSCKQRTGQEHIDIISFYNEVFIPSVKPLLVEIGPGGATTRSDRIPEANNKNDAQCPGSPRISPFPSLPDMSPKKVSATHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGNRKVRGPLNFDDVDVGLVSDSMVANSLYLQNGSSASSSGAPLKSEQLDS >CAK8543099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:578443191:578443553:-1 gene:gene-LATHSAT_LOCUS11883 transcript:rna-LATHSAT_LOCUS11883 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSKKQQQHYESKRMQIKTPPLMHPVQPHSIIFKYYKYIVIIKGKKTVQKAMEKIIKNRAQSLPRMLQQSSNGPLFTLSLSLSSSCKSCFLTSTLYLSIPSNKWFARGGKEGGALAQLT >CAK8565446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:214712068:214714188:1 gene:gene-LATHSAT_LOCUS18663 transcript:rna-LATHSAT_LOCUS18663 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDTIMEVREDFMVSPAGDSEPTFRTAHFLKPISNSIAEPPFDFTPSSTCSVFDPKEWPLKFHFSGWRLPPDKWCYWVDELKPLYESVWKKAGIFEAIMSSKCYMHKNQNLLHGVVEKWCCETNTFVFSFGEATITLEDVMVLGGYPIIGLPVFAKVEDQEMREVEKKLIRARQKPWQTRKSKATTTIWMDMFLDAGSEIEHEAFLVTWLSIFVLPHSNLVNQSLFPIAIHLARGNPIALAPAVLAGIYKDLTLFKKTIVDLSKHVARGDSFPLEVTFQSPFYLVQIWVWERFKNLQPQPMLINHEDPLLLRWHKVQALKIDDVKLALDSAVDDFLWRPYGKYADKCGMFYPDDETWVPFSKELDKAMLSFAICLRVSGLVGFDSIEQYLPHRVAMQFGVDQDIPSYVLRLNETKEVSWKNYRRLIIDKNLYFPPRFFEADVTMRYARWWKESVLSHGDFIKKIVQKKRSSSSRKHRPCLGKLIRSSNEVGVPPGFPPNLVDCLNFGKFCYEPASENSTRDCVKSDENIDSPSVSAEDCKPVLKEYKCGGKIHESDHLIGQCSSASLGDSEQILPLKRTITNDNIELSIGDLEEDFEDENESKRFSIRKKVLSYNDETVAQQDFWFHSDTAAQTEAKETVEKKDNDEVIVYLKSQNLKNQEELARLARQQEEILRLMALSEKRDEELRQLLLSVLRNQQPPPPSS >CAK8537856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:442202926:442204011:1 gene:gene-LATHSAT_LOCUS7109 transcript:rna-LATHSAT_LOCUS7109 gene_biotype:protein_coding transcript_biotype:protein_coding MRYISSLRSPKKEEHEVPEAITPILPPSFNKSYTNEDFYLFDELEEYLNIEEQQQQKLSPQNTCNSSNELHWDFMEWNEFPFDEGKDDNISKCNFEVKRENYNDGFWEVDDENSVALKLNLNLNYQDVLDAWSDRGSPWADDCSLSLSSSNNCSYMGEVPILEEERTRREASVLRYKEKRQNRLFSKKIRYQVRKLNADKRPRIKGRFVKRH >CAK8534745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:762921339:762923065:-1 gene:gene-LATHSAT_LOCUS4261 transcript:rna-LATHSAT_LOCUS4261 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSRKQGVMTPCAACKLLRRRCAHDCVFAPYFPADEPHKFGSVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQVDVLQTQLAQAQAEVVHMKMHQSSSSSSENLYQSSKPFSSQTNNMGQSLWSF >CAK8532532.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:282427536:282429560:-1 gene:gene-LATHSAT_LOCUS2229 transcript:rna-LATHSAT_LOCUS2229 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKLFQSQPSMPSSLNNPPLQSPHHHRRNYHHILIPLLAGTAVLLTLLLFFIFLFRRTRKKRTAPFSFTDENQPHRLSYSLLRRATNSFSVPLGHGGFGTVFSGTLSSKPIAVKLMDSSSQQGEREFHNELFFSSKLRSLYIVPPLGFSSDPKQCRFLLVYPLMQNGNLQDALLKRKCSELFDWGKRFEIVLDIAKGLCYLHSFDPPVIHGDIKPSNILLDCDFAAKIGDFGLARLKSEPVRVDVELLDIDSEDEMKKRGEDLECGGVRVLIDDCGSVESVHTTFFEDGANLGLEQSPMASPMTMAVTASPGFDKGSVKSEKDVVSVEDGRRNGKGVASSSVRDWWWKHENEVVGLMPVGEGKKVKDYVMDWIGREVKSEKSELVEEKIEKKKKNKKHKLEWWESMDEENVGGVMKKDKRKSVREWWKEEYCEEAAKEKKKKNKNKNKKKKGVENDDGISIDDNWWMSDDTLYGDRKKEKRRSRNNRGSVDWWLDGFSGELWRTRRNSFDSASGDIPKSGGVSSTPSMRGTICYVAPEYGYGENVSEKCDVYSFGILLLVIISGRRPLQVTGSPFSEFQRANLLSWARHCARNGKLIELVDESIQSLNKDQALICIKVALLCLLKSPTRRPSMKEVVGMLSGELEPPQLPVEYSPSTPSRLPFKCRKKGQGR >CAK8569326.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:694510577:694511118:-1 gene:gene-LATHSAT_LOCUS22188 transcript:rna-LATHSAT_LOCUS22188 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGKLITELGIKTPAEKFYKLFAEELHEAQVHCERVHQTKLHEGEDWHDTDTVKHWTYVIDGEVHTCYDSLEQVDEENKKNTWKLFGGDIDKHYKTFKLTLQVIDKAEDATAVAKWTVEYEKINEDIHPPNGWMDYISQCTRDVDAHLSKARA >CAK8573493.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616007527:616008951:-1 gene:gene-LATHSAT_LOCUS25937 transcript:rna-LATHSAT_LOCUS25937-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLEWKELGKEGCNDKWKNQKVRRRKNFLVRRMGLAKHFLLTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINKLYKIVIFRNNTLIELLRTSGFTPAELVMSQEKLVQEAVDALLDNGIRGQPMRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDSISVPTQDMLIGLYVLTSGNRRGICANKYNWFNCRNSQNEKMSNNNFKNLKYMKKKEPCFCNSYDAIGAYRQKRINLDSPFWLRWQIHQCIMSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8573492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616007527:616009215:-1 gene:gene-LATHSAT_LOCUS25937 transcript:rna-LATHSAT_LOCUS25937 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPCPILKGAIHLIGSYPNFSFARPVVKKPTFLRLRGSFEYEIQSWKDSIPLFFDTQGFDTFRNREISSGAGAIRQQLVDLDLRIIMDSSLLEWKELGKEGCNDKWKNQKVRRRKNFLVRRMGLAKHFLLTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINKLYKIVIFRNNTLIELLRTSGFTPAELVMSQEKLVQEAVDALLDNGIRGQPMRDGHKKVYKSFSDIISGKEGRFRETLLGKRVDYSGRSVIVVGPLLSLHRCGLPPEIAIELFQTFLIRGLIRNHIASNIGVAKSQIREKEPIVWEILHEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEAHLLMFSTTNLLSPTIGDSISVPTQDMLIGLYVLTSGNRRGICANKYNWFNCRNSQNEKMSNNNFKNLKYMKKKEPCFCNSYDAIGAYRQKRINLDSPFWLRWQIHQCIMSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEIRCIYIRTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8563104.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:573827813:573829412:1 gene:gene-LATHSAT_LOCUS16547 transcript:rna-LATHSAT_LOCUS16547 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRLVTCFGGRILGKFSCFMLCMLLEWVLLISLYVDGFLAFFTTELSRFFDLETPCWLCAKMNHVLAHNTPNFYYNNSICLAHKKDLSSMAFCHNHKKLSDIRQMCEGCLLSFATQKESDCDTYKSLVGVLNKNLDCFVDGQNIQLTLKDGEVLQAEKSTQNCACCGAPLKPKSSISRRNSGSLSYSPAALPPIYPTAVPKSEESRGVDSPQILSAKKDSKLPQNEDDSSLKDQNNKLKEVPKEATLPLLTGSNDLNAESLKTPSLPWSSKLFGVPLTDSAPTRKSSLEKTGYVSDSSEVSSQNEDDDPVLHTLKRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQEEKAALQMDSSQYQRMMEEQIEHDEEVLQETNELLLKLEEEVKTLDTELEVYKAKYGYLTEDDVKAHGGNSFSTESIEGKDDAEQYLDLQQSGSSNAYNGEGKIKESLKDFRMERTYLFGKKKMETETLLTESGI >CAK8571673.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:459847789:459848214:-1 gene:gene-LATHSAT_LOCUS24313 transcript:rna-LATHSAT_LOCUS24313 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLCILVVLALAVVATSARNVPAGEGGLKDEKTFGEFGGFPGMGSNGFPFGGLGTDGGGGLGGTRGGGGGLDGIGGGSGGLGGINNGGLGGMGGDGLGGGGLGGTGSDGPGGFGGPGGGGQGGPSGGGLGDSGGGVFPHP >CAK8533489.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:624848146:624850006:1 gene:gene-LATHSAT_LOCUS3112 transcript:rna-LATHSAT_LOCUS3112 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQGFLVLGIILCMISYVSSYRYRNNWGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYQIKCANDPKWCLHGSIVVTATNFCPPGGWCDPPNHHFDLSQPVFQHIAQYRAGIVPVVYRRVSCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSLSIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFLVTTGNGHSIVSFNVAPPSWSFGQTYTGRQFLY >CAK8574860.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12602107:12607701:1 gene:gene-LATHSAT_LOCUS27160 transcript:rna-LATHSAT_LOCUS27160 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSFNEHIAALRRLGVKGVEIRKPEQLLTVNSLIIPGGESTTMAKLAEAFNLFPALREFVQMGKPVWGTCAGLIFLANKATGQKTGGQELVGGLDCTVHRNFFGSQIQSFETELLVPELVSEEGGPETFRGVFIRAPGILNVGPDVQVLADYPVPSDKVPSSDSSVEGKKENAEEGSKVIVAVRQGNILATAFHPELTADTRWHSYFLKMGSLVGKEASSSIVPEQVTQVSTSFKQPPLHDLPIFQ >CAK8532598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:314459126:314459374:-1 gene:gene-LATHSAT_LOCUS2286 transcript:rna-LATHSAT_LOCUS2286 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFSQNTLAILLLSTVLFFIILFHHSKTKKIKQPPIVSGAWPIIGHLPLLAKSQPTHHFLGALADKYGPIFTIKLAPRKLL >CAK8568089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572608255:572609665:1 gene:gene-LATHSAT_LOCUS21085 transcript:rna-LATHSAT_LOCUS21085 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSHLSFVFGVLGNISSFVCFLAPLPTFYRVCKKKSTEGFQSIPYVAALFSAMLWLFYAYIKTGETLLITINAFGCVIETIYLAIYVTYCPKKVRMSTLRMIVLMNFVGFGAIVVLTYVLAKEEEGRIKLLGWICVVFATSVFAAPLSIIRVVIRTKSVEFLPFPLSLLLLISAVMWLLYGLSLRDIYVTLPNVVGLTFGIVQITLYAIYRNSKPVNDEKLPEHKGDAVINNEIDAKKIEVSIDIEIADKKEEQKDEQHEDKKEEQVSEDKIEVKNEKEKIDINKSGCEV >CAK8530892.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:59643026:59644075:-1 gene:gene-LATHSAT_LOCUS723 transcript:rna-LATHSAT_LOCUS723 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQQDQVALREELDSLKGKIDYILEAITTARKEDELREVAAVNNNGQVQGSTSRLSVPIPNPMTYGMPMNFTTTIEGTTSQPIPAPGVTVGAIPQAQHTVVQILVPHAEENLLDQYDDLKNYHATIPTSIPIAAQDSEAIKMCRDLAEKIRVMEGNNSNSLNSLELCLVPNVVIPPKFKAPEFSKYKGLSFPNIHLKMYCHKMTAYARDEKLMIHCFQDSLSGASLEWYIQLEHNNMRTWADLADAFVKQYKYNTDLAPNCTQLQSMAQKDNKSFKEYVQQWRELAARMHPPLVDRELIDIFMGTLQGQYYDKLIGSMSIGFSELVIISERIEEGLKSGKIQGGSNS >CAK8560700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:36805206:36805397:-1 gene:gene-LATHSAT_LOCUS14355 transcript:rna-LATHSAT_LOCUS14355 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVMKMKGGSPIRVVKNTRICCECHTVAKYISLARNCEILLRDAGRFHHFKDGKCSCNDCW >CAK8577722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:585256831:585257358:1 gene:gene-LATHSAT_LOCUS29803 transcript:rna-LATHSAT_LOCUS29803 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFFFFVVISLLSVTSSYKIKVVDVDAICKQTKDVSFCTNLLNSKPDGVGQDLVSLAQYTLDVARSNTTNTIILIQWLIAQSGSDFEAQIPYKKCLSYFEDVVGDIDYFCDMLKVRNYKEMFHAADLILMYIDFCLKGSGPDEPPYHGNPMVPKNANVLNQVVTITSFIVEKLD >CAK8566203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:390911443:390915508:1 gene:gene-LATHSAT_LOCUS19357 transcript:rna-LATHSAT_LOCUS19357 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEEQVSLAGTLPFDRAVEQAIVSIKKGSYLLKCGSRGKPKLCPFRLSPDERNLIWCSGQQEKHLRLSGVTKIVQGQGNNIRTQKQSETEKECHSFSLIYANGEHSLDLICKDKSQAATWFVGLKAVISRCQQPKAFSSLRSCKGVQSCVSSPAGILRRKKNLGLIDDTSQFTQVHSVCASPSLSLSERCFSDGMSYASDNFYSSASSLSSIHGIADNSVPSSPYINPDIHSHIKTSRFEKEYKKDLSSYRSIMAPTSPHVGNNSSNVLKDVMIWGGGIGCLVGIVNERFVQNSNYSLVPKLLESTAMLDVQNVALGGNHAAIVTKQGEVFCWGQGKWGRLGQRIDMDTSSPKIVDSLSDIRVKNVACGEYHTCALTDSGEVYAWGNDVSCSGLVDEGRIRSQWLTHKLSLPVEGISISSVSCGEWHTAMVSSCGRLFTYGDGTFGVLGHGNYQSTSSPKEVQSLKGLCVRSVTCGTWHTAAIIEVIADRFKYNTSTGKLFTWGDGDEGRLGHSDNGNKLVPTCVSQLVDYDFVQVSCGRTMTLALTSMGKVFAMGSSKFGQLGNPHVKDRAVIVEGMLKQEYVKKISCGSYHVAVLTSSGSVYTWGKGENGQLGLGDTENRYTPSFVETLRDRQVETITCGPSFTVAICLHKPISISDQSSCSGCRLPFGFTRKKHNCYNCGLLFCGTCSSKKVMNASLAPVKSKAFRVCDSCFDRKQGNSSAHSTMDSSKSRNYNHQHLQKHKNKSYDLTEDRGETNVTNGPLLSLGQSCYRKNMPSGRKVWKNQQESQQDLEDSSSKFGSLPQCGQIPCSAQFRSNCTDNSAVHDSSPLNVESTDMKSDKLLIEVQRLRAEARRLEKQCELQNHEIQECQHKIEESWSVAKEEAAKCKAAKEVIKALALRLHTLSGKDNHGLEQKAGLQEFLPNLAQIHTDSNTPRNTNMDSLSNSPIVFSSALRSKFGRSMLLKNDNSMHNNASLTIPESQQDTADSLKAEWVEQYENGVYITLITSPSGEKGLKRVRFSRKRFAQKEAERWWEENQAKVYHKYGIE >CAK8565302.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:110962457:110963395:-1 gene:gene-LATHSAT_LOCUS18526 transcript:rna-LATHSAT_LOCUS18526 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQNLLFAFVEMWHPETSSFHMLFGKMTITLDGVSCLLHIACKGAFCNLEEGFSESNAITQSIRLMGVPLAEEAKEVCVCRGPYYRLDWLKKIFVCLRAESIYDCAARAYMLLLLGCTILTDKTFTLVEAKYLPLFENLSSCGRYCWGAASLVTLYIYLRDASFYSCRQLRGYASLLQCWIHEYFPTVGKKGFLKIAGIGSPLPRAMKWMYQKGTQKVDELRAVLDGLTHADVIWCPFQDHRQHQLIDDICLYRGGLKWYDTTVLYLPERCLRQFGYRQYIL >CAK8563331.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:593146513:593151027:1 gene:gene-LATHSAT_LOCUS16755 transcript:rna-LATHSAT_LOCUS16755 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDESKFDVQLKLWALRIPCQFCKAATRILNGYLLDKPRVKPIAEDPTCEKNRYLILSDKVQNQDLSDIPKQKLDELKDLCEIEVLPYSLTLGYSYWSADQVLKQILPNGVEVPSSFETIGHIAHLNLHDELLPFKDVIAKVIYDKNYPRIKTIANKVGNITNEFRVPEFEILAGEHDMITEVKQYGATFRLDYSLVYWNSRLEHEHKRLVSMFQAGEIICDMFAGIGPFAIPAAQKGCLVYANDLNPNSIHYLKINAKINKVDDHISSYNMDARKFISQLMEVPNSEHKMENDVPVSVTCHTCKVQDNTESNSENELLRVDTKDLGNCSNTNTNTTLEDIQGPAGHTAKSAIGVKRSCSSSDEANGKAHGTDILEGGGKKGSANKRRRGFDISVPKTWEHFDHVIMNLPASAVQFLDAFRGLIQRKYWKGHLPWIHCYCFIRATETPESIIAVAESALNASILDSRFHRVRDVAPNKAMFCLSFRLPEACLCEDSQ >CAK8562335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:475149517:475151710:-1 gene:gene-LATHSAT_LOCUS15840 transcript:rna-LATHSAT_LOCUS15840 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEKPSSKGQAWFCTTGLPSDIVVEVDDMTFHLHKFPLMSKSRKLHDLITQQEAEAITHSAVPQEEADEDEIVEEQCHVTFTDFPGGSEAFEMAAKFCYGVKMELSPSNVASLRCAGEFLDMTEDYSEDNLISKTEKYISQHVLKSLGNSIKTLKSCERLFPMSDDLGITERCIDSVISRASSADPALFGWPVSEAIASASKQILWNGIDAAGRRNGAGESWFEDLALLRLPLFKRLILAMRDAELNPEIIETCVMYYAKKYIPGVSRSSRKPLSSSSSVLSETEQKEILETVVSNLPHEKNLNSSTATRFLFGLLRTANILNASEACRNSLEKKIGLQLEEATLDDLLVPSYSYLNETLYDVDCVERILSHFLNGFEARNVAVETVAEVIEGGETAARTPAMMLVGKLIDGYLSEIASDANLKPERFYKFAISLPDQARLFDDGLYRAVDVYLKAHPWLSESDREKICGLLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAETLIAAETGTEIGRHSAALEREEEDGGEPLRLGFESEHVQEENSTWRVTVRENQVLRFDMDSMRTRVHQLERECSSMKKVIEKIEKPATHGGGWRTSLGKKLGCKFKTQVCDSHQSAVVDTRKGRQRNSQQQQHSHHE >CAK8578138.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:609388527:609389812:1 gene:gene-LATHSAT_LOCUS30177 transcript:rna-LATHSAT_LOCUS30177 gene_biotype:protein_coding transcript_biotype:protein_coding MINAHANLHKRKSSLIADGNHAAAFKTSRKGTYMDSLERKDDAVVDDNNNNEEKDGGEAADDEKNKPSTRCFSHGFHLMEGEMNHGMEDYVSAQHRKLNGYDLGLYAIFDGHAGRDVAKYLQNHLFENILNEPDFWENPVHAVKKACKTTDEEILENIADWRGGSTAVAAILINGVKLLVINVGDSRAILCKNGIAKQLTVDHEPEKEKDLVERRGGFVSKRPGSVARVDGELAMTRAFGDGKLKEHITAEPDVMIKKIDDETEFIILASDGLWKVMTNQEACNCIKDVDDAQKAARKLVKEAKSMGSYDDISCIVVMF >CAK8577956.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:598904590:598907114:1 gene:gene-LATHSAT_LOCUS30012 transcript:rna-LATHSAT_LOCUS30012 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGGSTSLNLTQLFKRYRGDDKPPEGLGSSREYNVDMIPKFMMANGALVRVLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEANDPKSHEGLDLNQVTARQLISKYGLEDDTIDFIGHALALHLDDSYLDKPAKDFVDRVKVYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDAGKAIGVTSEGETAKCKKVVCDPSYLSDKVQNVGKVARAVCIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVTTEAETDQPQVELKPGIDLLGPVDEIFYDIYDRYEPTNDHATDGCFISTSYDATTHFETTVKDVVEMYSKITGKVLDLSVDLSAASAAAEE >CAK8542958.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:562414247:562414954:1 gene:gene-LATHSAT_LOCUS11753 transcript:rna-LATHSAT_LOCUS11753 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYTFNGTSVSKENLEKKKNDEVAEESWVECNKCKKWQHQICALYNSQKELDCSAEYICVVCRLREIENGVHVPLKKATLYGAKDLPSTVLSDHLEKRLFKRLMEARVDGEVKGNENLDKVLAAESISIREVLSVEEAIS >CAK8563763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629937875:629938892:-1 gene:gene-LATHSAT_LOCUS17140 transcript:rna-LATHSAT_LOCUS17140 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKDQSNKTKSDKTNIYVLYRKGALEMTRLASEHFKEATKAYQQKDHFSAKQHSMADREKIRMVEEHNYNAAKEIFKINNRKNKIWRIDLHGLHGSKAIQVLQSRLNEIIATQSKSLEVITGVGRHSHGKPVLPVIITKFLNENNYQFEEIRPGALKVWIFSSQNKLASH >CAK8536629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3019000:3019795:1 gene:gene-LATHSAT_LOCUS5975 transcript:rna-LATHSAT_LOCUS5975-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPSFIQVSTFSKKLTTPQSNSHFAITKTNTQFSCSLSPSPSHNILQKSLPLAASLAILLWSTPAHAGFMSGISGLEAVPGPQLPKIDFLNRINENQKRYAENDARIKESPLVKKLLEQSKLNKEKNSKEIENKYCLRGAEWGVGDCSAEGMSIEEREKFIAMLKEKVGEK >CAK8536628.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:3019000:3019795:1 gene:gene-LATHSAT_LOCUS5975 transcript:rna-LATHSAT_LOCUS5975 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPSFIQVSTFSKKLTTPQSNSHFAITKTNTQFSCSLSPSPSHNILQKSLPLAASLAILLWSTPAHAGFMSGISGLEAVPGPQLPKIDFLNRINEENQKRYAENDARIKESPLVKKLLEQSKLNKEKNSKEIENKYCLRGAEWGVGDCSAEGMSIEEREKFIAMLKEKVGEK >CAK8537969.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:452170868:452174794:-1 gene:gene-LATHSAT_LOCUS7215 transcript:rna-LATHSAT_LOCUS7215 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYTGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >CAK8568134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:575780755:575783917:1 gene:gene-LATHSAT_LOCUS21126 transcript:rna-LATHSAT_LOCUS21126 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGCLNRTTFQSLKLSSTPSSSSSPFLFPSLYKTKTPTIHSPKFPFPRLTKPLSTSSAAVVQTSPATTNTSSDQGGKPQWKATIDFKWIKDNKETVADNIKNRNSDADLELILNLYDKLFILQKEVERVRGERNAVANKMKGKLEPSERQILIEQGKNLKEGLIALEEDLVKLNDELQREAQCIPNLTHPDVPIGGEDSSTIRKMVGNVPKFNFPVKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALLNWTLSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRAKNTQVYSIDDSDQCLIGTAEIPVGGLHMDSILADSMLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKIEMFIFCRPEESDYYHGELIKIEEDMFSSLGLHFKTLDMASEDLGAPAYRKFDVEAWMPGLERFGEISSASNCTDYQSRRLGIRYRPSETLVPGPKKSKGNLAPPQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLNVISRKS >CAK8562053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:432078378:432089413:1 gene:gene-LATHSAT_LOCUS15586 transcript:rna-LATHSAT_LOCUS15586 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSHSRFLLQTLLNRLQNIEKGVELDHHWVEFDDVRYHIQVSMKNPHIFLLSVSLPTPSSETIFVSGLPFGAIEAIKAAYGSLVQILDPPRDGFNLTLKINLSKVPANQEQRQALLVKVASIREVVLGAPLRVILKHLASRTVAPDMDPLVALVHRPKESFFVFPQADKVTVMYPMRFNDSIDTVLATSFLQEFVEARRTAGLNNTPPCSWSHTPPPELKEVSPDALSANAGFVSFVIFPRHVEGQKLDRTVWSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPDPESSKKTSHNRSFQRLSLKDSRTHSFS >CAK8534455.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:728069991:728074115:1 gene:gene-LATHSAT_LOCUS4000 transcript:rna-LATHSAT_LOCUS4000 gene_biotype:protein_coding transcript_biotype:protein_coding MASDISFEDLKNENVDLENIPIDEVFQQLKCTKEGLSSEEGEKRLQIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFTGIMVLLIINSTISFIEENNAGNAAAALMAGLAPKTKLLRDGKWSEQEAEILVPGDIVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDNTNNVGHFQKVLTSIGNFCICSIAVGMLIEIVVMYPIQHRTYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFPANMDKDSVVLYAARASRIENQDAIDASIVNMLGDPKEARAGITEVHFLPFNPVDKRTAITFIDQNGDWHRSSKGAPEQIIELCELKGETLKKAHKTIDEYAERGLRSLAVGLQTVSEKTKESAGEPWVFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGIETGRRLGMGTNMYPSSSLLGQCQDADIASIPIDELIEKADGFAGVFPEHKYEIVKRLQDKKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYMALMTAVFFYLIHETNFFSEIFDVPPIAHSEEQLNSALYLQVSIISQALIFVTRSRSWSFVERPGLMLLGAFIAAQLVATVIAVYAHWDFARINGVGWEWAGVIWIFSIITYIPLDILKFLIRMGLTGSAWDNMLQNKTAFTTKKDYGKGEREAQWAAAQRTMHGLQSHEAPKNSHHHEHSEITS >CAK8571929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:494596813:494597451:1 gene:gene-LATHSAT_LOCUS24550 transcript:rna-LATHSAT_LOCUS24550 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLVRVSRRVEWGAHRPTPGARKCRSTPNRRALPSTIVMMTSPRAFQQPRLGPPSQSASVDVSSRLADRHEPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPIFSLGRNLPPDWGCIPKQPDSPTAPRGATGSEHNGALTLSGAPFQGTWARSAAEDASPDYNSNAEGDRFSWWAYPGSLAVTKGILVSFFSSAY >CAK8573426.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611608462:611609340:1 gene:gene-LATHSAT_LOCUS25873 transcript:rna-LATHSAT_LOCUS25873 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSYTLSLFLLFLTLVRTSHAGGIAIYWGQHIEEGTLYETCATRRYTHINIAFLERFGNGRIPKLNLAGHCNPSTKSCTRIGDHIRYCQSRGITVLLSIGGGIGRYSLASREDARDFSLYLWNTFLGGTSFSRPFGDAVLDGIDFDIELGSAQYWQYLARYLKDYHGVYLSAAPQCPFPDRFLGRAIETGLFDFVWVQFYNNPACEYFNDRKTNLERSWKQWTTAIPYGEVFLGLPAAEGAAGSGFIPADVLTSEILQVIQYTSKYGGVMLWSRYFDDRTGYSASIIDSV >CAK8541620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:302209780:302210755:-1 gene:gene-LATHSAT_LOCUS10525 transcript:rna-LATHSAT_LOCUS10525 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGSSRWSPTTEQLMILEELYRSGIRTPSASQIQQITTHLSFYGRIEGKNVFYWFQNHKARDRQKLRRKLNKQLQLQQQQQQQQHHQQHQQQLQGHHCQLNHDNMMISNHFVGGTFGYPFGSSTQDFSLFNSPSLLFEGSYAANTSEGPINSKWNGYNNQQNLVEKKEIAFNNYGWNSLMENVNHEPPSCCTKPLKTLDLFPLTTTRIKED >CAK8566541.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:433767834:433768163:-1 gene:gene-LATHSAT_LOCUS19665 transcript:rna-LATHSAT_LOCUS19665 gene_biotype:protein_coding transcript_biotype:protein_coding METPESNTQPITTTSSLSLPKLCKKTNSHKIENLIDYSHVLEDAQISETIPPLLSSYNIFKRQRSVTRSIRNLISTNRPHMKEYVQSSRLDQCSLRATNQEQYVDLEIP >CAK8535297.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:835763721:835765359:-1 gene:gene-LATHSAT_LOCUS4768 transcript:rna-LATHSAT_LOCUS4768 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASASASEPVGYPGGPSDTSLLVKYEQHIANRIWFGQERGSKKELKVAGHGLKLMQRVPLQLPREMEGWISRSGLASLQRTSLTKIDTNLVSAFVERWHLETSSFHMPFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAVEWAVQYLGVSRRVVQQQIRECRGSYYKLEWLYDLFVEHRAASRWDYATRAYLLMLVGSTIFADKSFTLVEARYLSLFIDLDGLPGYSWGAAALVTLYRYLGDASMFSCKQLGGYPTLLQCWIHEYFPTLGKKGENWMPANNVGLPRAMRWSYRQGSLKVDDLRPILDELTPADVIWRPFENHRVWCQFDELCLYRGCLRWGDTVVPYLPDRCMRQFGYRQYIPYPPLDHTMAGDIDVDWISYHQSVQNVIRPTAPATTPYETDDGYLEWYYRVSHPRLVPPPYHDVPVEMPVPIYEAGPSDPSWARVSSLIHRYLQQAGAEDDDPQFADLFEALSIARSH >CAK8539788.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525263212:525265545:-1 gene:gene-LATHSAT_LOCUS8850 transcript:rna-LATHSAT_LOCUS8850 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAKHSFFFLFITILFSLLQSSVLAEKKSYIVYLGSHDHGEGVTEADFDRVTDTHHEFLQSYVGSYEKAKESMIYSYTRNINGFAAILDEKEASDIAEHPNVVSVLLNRGRKLHTTRSWEFMSLEHNGVATTGSILRKARYGEDTIIGNLDTGVWPEDPSFGDHGIGPIPSRWKGTCQGDDLSIGFHCNRKLIGARYFNKGYLAGAGPDEKYNKTLNTVRDYQGHGSHTLSTIGGNFVYGANVFGLGNGTAKGGHGSPKARVAAYKVCWPQSIKAEGCYDADIIAAFDMAIHDGVDVLSLSLGGFPQEYFEDGLSIAAFHAVKKGITVLCSGGNAGHGFGYVTNIAPWILTVAASTLDREFYATVSLLDGQSFKGASLFSALPENKFYPLISAADAKLDEASVQNQKAALCMNGTIDPKKVRGKILVCLRDINARFEAGLVAFQAGAVGMILCNDKDNGNDIFNDPHFLPTSHITYEDGVAVFEYLNSTKNPLGYIHPPITKLNKQPAPTMAGFSSRGPNSITPEILKPDITAPGVDIIAAYSEGIGPTELAIDKRRVPFMTMSGTSMSCPHVAGIVGLLKTLHPDWSPSAIKSAIMTTARTRDNTGRPIYDHSNVKATPFSYGSGHIRPNRAMDPGLVYELSINDYLNFLCFIGYNQTRIKMFSPTHYRCEDISIMDFNYPTITIPKLYGSVTLSRKVKNVGSAGTYTAKVRVPAGVSISVKPEKLKFEKIGEEKSFKLRVEVTRSGVATVFGGLTWTDGKHYVRSPIVIGGVKG >CAK8564224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659288134:659288535:1 gene:gene-LATHSAT_LOCUS17547 transcript:rna-LATHSAT_LOCUS17547 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVTTVSYRFNITGEYTDVLQAKRGIRQGDPLSRMLFVLIMEYMNRLLVKMQRDPNFNYHAKCENLKITNLTFADDVLLLCRGDEISMQMILKTFRKFSKSIELMMNPNKCRIYFGGLDNENKKALKELSGF >CAK8534498.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:736354407:736358899:1 gene:gene-LATHSAT_LOCUS4041 transcript:rna-LATHSAT_LOCUS4041 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLFVALSFSYVFAESAFPVHIGSVLSAIGGGGGGSSGSSREPKYKIEFHPEESPFHPDDDQESIVLPDKNGQKFICYLPKVEKEKSGKPPIQQNVSSMIVETEKRVKQKTPDELLEVLKGPCVIRQEGWWSYEFCYHKKLRQLHLEDDKVVQEFVLGVYDPEATAAFNQNLSNISTFKDPRSKDASQRYHAHQYTNGTICDLTNKPRETEVRFVCSEPRAMISSITEISTCKYALTVHVPMLCKHPLFQEERPVWHTIDCNALPKDYKVSKVRQEDEDMEIVMVTDSEIDDSEQ >CAK8567621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:529563597:529566398:-1 gene:gene-LATHSAT_LOCUS20658 transcript:rna-LATHSAT_LOCUS20658 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGDEDCQFFDAQEDVVSMGYADSDDDNDKKLSVDFDYDVWIRSPKSVRERRGEFMKSMGMSLDGIVVENSLEVEKEEMIDRVRGSSGSEEEFSSSRLLMSCCSSVSFSEKFGLVNSNNFPCKDESLEIGVGTNVNVKVNDGSDHLVVARKSEDSMNVNVSGVSPSRGLVGRDFEDSDGDAVTGILNRVRRGWLRRLKSMTCMVDTQGEGDDYNGRGEGRMSVSGCRLQKVKVRQNKKKIKELSSLYLRQDIQAHEGSILTMKFSPDGQYLATGGEDGVVRVWQVVEEERCNEVHIPEIDPSCIYFTVNNLSQLTPLFMDKEKLSQLKSMRKTSDSACVVFPPKIFRLLEKPLHEFRGHGSEVLDLSWSKKNYLLSSSVDKTVRLWKVSHEHCLKVFSHSNYVTCIQFNPIDEDYFISGSIDGKVRIWAIPDCQVVDWTDVLDIVTAVCYRPDGQVGIVGSLAGNLRFYKISDNHLQLDSQLCLIGKKKLPGRGIMGFQFLPQDSNKVMVTCADSQVRILDGLNVICKYKSLNTGSPMCASFTSDGKHILSACEDSNVYLWNIDQEESKATKSKKIRSCERFFSNASVAVPWHGLKPQITENEQPNVSDKKSPQVAIQLHSTPPASFSLGQEFFLESFPKGSATWPEEKLPVSSSKAKKTSFMHNSEYKFLKSSCKSTNRAHAWGMVIVTAGWDGRIKSFQNYGLPVPA >CAK8532145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:212618977:212619579:1 gene:gene-LATHSAT_LOCUS1878 transcript:rna-LATHSAT_LOCUS1878 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLSIAFAVLVLLVLVTSNSEAAISCSDVIKDLKPCVSYLVSGSGQPPSACCTGAKSLASAVSTSEDKTAACNCIKSTAKSFNINSQLAKSLPGNCGINIPISISPDADCSKIG >CAK8544323.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678568696:678569573:1 gene:gene-LATHSAT_LOCUS13013 transcript:rna-LATHSAT_LOCUS13013 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTVSNLFKSHDIPVVDADVVAREALKKGSGGWKKVVAAFGEEILLDNGEVNRPRLGQIVFADPDKRQFLNRLLAPYISSGIFWEVGKLWLKGYKVIVLDVPLLFEAKIDKFTTPIIVVWVDPETQIQRLLARDKSSEEDGRNRINAQMPLDDKKSKANIVIDNTGSLDDLNEQFQKVLVRVTGPLTWCEFWRSRQGVSIILASLSSGVVLCAKAFNNNTS >CAK8544324.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:678568696:678569573:1 gene:gene-LATHSAT_LOCUS13013 transcript:rna-LATHSAT_LOCUS13013-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTVSNLFKSHDIPVVDADVVAREALKKGSGGWKKVVAAFGEEILLDNGEVNRPRLGQIVFADPDKRQFLNRLLAPYISSGIFWEVGKLWLKGYKIQRLLARDKSSEEDGRNRINAQMPLDDKKSKANIVIDNTGSLDDLNEQFQKVLVRVTGPLTWCEFWRSRQGVSIILASLSSGVVLCAKAFNNNTS >CAK8565947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:357467231:357468581:1 gene:gene-LATHSAT_LOCUS19120 transcript:rna-LATHSAT_LOCUS19120 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGVKQLVLPAVPCVVDTWTGAFGFTKMTELERSKFLDYTFLDFQGTIMCQKLLTKPPSPDSVLPIEFQQKLAAISESSSANKSPVSEVYQAGEIVTKETSDTPILDAFAGESVNDNVSNEPAVIDCVTMADQPVLEDEQHCQNGTSTVQGSFEKWKNVIHYYRRRKVKG >CAK8566974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:477065152:477066699:-1 gene:gene-LATHSAT_LOCUS20068 transcript:rna-LATHSAT_LOCUS20068 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVESAHWKLKQMLENSIGDMVKCWEAMNDNLKLQLGNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVDYVGTNKEKCRCTLRTTYRLPCACELTRYRIDGIPIPIEVVHVHWRKLSMEVNLDEDVDDGSEVDMSSAIDELWKRFKSLDVVGKRALKSRVFELAFPTMTSMCPPPEKIKTKGGVKRKDKKPVGYDVYRDPSYHEYVDQASQSQPSQTSKKTKLSQSSQKKSQPSQASKKIKLSQSSQSSKQFILQFPNRIRSYIDDVVNVVSDGNCGFRVIASLHGIGEDVWAMVRRDLGLEIIHNERSSLYANLFTDQLAVVRESLMIEEVVPQPPHKWLTLPDMGYVITNPIYCIGFVNRNHWVQVNMKEGFPLPPATVDWKKFRSPVATSWMLGFAGRLQHWQQLTPILPTHYTL >CAK8565624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:294242901:294243434:-1 gene:gene-LATHSAT_LOCUS18820 transcript:rna-LATHSAT_LOCUS18820 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNLKSSNVLLGSDFESCLSDYGLNVFLNPKTIDEPSATSFFYRAPECRSFQRPQPQSADVYNFGVLLLKLLTGKAAYQDLVQAHSSYIPIWVRSVREEEIESRDDPASSGNEVNIAMACVLVSPENRSTIRKFLKMIRDARGETHVSYNNSSDHSPGRWSNTVQSLPRDEHLNI >CAK8572725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558362551:558363298:1 gene:gene-LATHSAT_LOCUS25255 transcript:rna-LATHSAT_LOCUS25255 gene_biotype:protein_coding transcript_biotype:protein_coding MENRERKIMVAVDESEESMYALSWSISNLISDTNNNSKLVLLYVKPPSAVYSLDSQGYIFSSEAIDALERYSTELAKSVMKRAEAICRNFDAASINIEKVVGTGEAKSVICNAAKKLEVDTLVMGSHGYGFIKRTLLGSVSDYCAKNAKCPVVIVKQP >CAK8530624.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:36167258:36168111:-1 gene:gene-LATHSAT_LOCUS476 transcript:rna-LATHSAT_LOCUS476 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIKVDDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVESAFTEVLTQIYRVVSKKALEIGDDPAALPKGQTIDVGSRDDVSAVKKSGCCSS >CAK8536983.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:50334684:50337764:1 gene:gene-LATHSAT_LOCUS6304 transcript:rna-LATHSAT_LOCUS6304 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLHNLNSLIQKNLTLFLGFNRDFETLSSMLTTIKATLEDAEEKQFADRAIKDWLLKLKDAAHVLDDILDECATQVLEMECKELSHKVQSSFLFSFHPKHVAFRYKIAKKMKNIRERLDEIAEERSKFHLTRIVREERSGVLDWRQTTSIISRPQVYGRDESKEKVLEFLVGDGSQLDDLSVYPIVGLGGLGKTTLAQLVFNHERIVNHFELRIWVCVSDDFSLKRMTKAIIESATKKACEDLDMEPLQIRLQDLLQRKRYLLVLDDVWNEKQEKWQTLRSMLACGGKGASIMVTTRLAKVAEIMGTMPPYELSTLSDIDCWELFKQRAFGPNEVERAELVVIGKEILKKCGGVPLAAIALGSLLRFKREENEWLYVKESKLWSLQDEDCVMPALRLSYLNLPVKLRQCFAFCALFLKDERISKKFLIELWIANGFISSNEMLNEEEIGNGVWNELYWRSFFQDIETDIYGKITSFKMHDLVHDLAQSFSDEVCCILDGDGMPSTSERIRHLSFYRGGSFRGVNLVRLRSIKSLKTYTIPGVDGNRLLPHILKFYSLRALDLKLIKEVPFSIGHLKYLRYLNLSSGDFETLPESICKLWNLQILILDKCYKLQKLPNNLIRLKALQHLSLNLCEKLSNVPPQIGKLTSLRTLSMYVVGKKRGFLLAELGQLSLKGELHIKHLERVKTVTDAKEANMFRKHLNHLWLSWKRTEESQLQENDEQILEVLQPDIHVLQELGVEGYTGVHFPQWVFNPSLKDLRCVYLKDCQSCLHLPQLGKLPYLKELSVSNMSRIKYLDEESYDGGVVACFIALEHLSLEKLPNLIRISRENRENMFPQLSALVIIECPSLLGFPSLPSLNYMCIQGKCNQDLLSSIHKHDSLESLVFYNNEELTCFPIGMLRNLISLKSLMIWSWSEIEVLDEALQHMTALESLILRDLPNLTSLPESLGNLGFLRDFRISNCPKLTCLPISIQSLTGLESLGIYSCSELEKRCEEEKGEDWPKIAHVQNLEIRNTRLIF >CAK8531363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104946190:104947700:-1 gene:gene-LATHSAT_LOCUS1160 transcript:rna-LATHSAT_LOCUS1160 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVSAVEKIIGYTFRNKKLLEQALTHTSYPEAVSYERLEFVGDAVLGLAISNHLFLAYSSVDPGTLSLLRAANVSTEKLARAAVRNGLHRYLRHNTLSIVDIINEFVDAIECEDDCVVVKYGGSVKAPKILADIVESVASAVYVDVDFDLKKLWVIIRGLLEPIVTLQDLEQKPQPVTMLFEICQKNGKKVDIEQQRNGARSTASVYVDGKLVASASSNQKDIARLEAAKAALHKLQRLLPATTMMPDCCVDIDGTFEVEAAKQKLYAICGMKKWPKPIYSIEKDEGTPQDKKFISAVQIATPAAILKMFGDERSRVKHAENSAASLMIRALRQHQYV >CAK8533046.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:570135789:570136276:1 gene:gene-LATHSAT_LOCUS2698 transcript:rna-LATHSAT_LOCUS2698 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKFPLQFLLFFMIISCLLLSSSSRRILSKDEKSSPMQTKLAKESAIGPIKGEDLEMDDYPGTGANNHHDPNAPGRV >CAK8535779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880848598:880849620:1 gene:gene-LATHSAT_LOCUS5202 transcript:rna-LATHSAT_LOCUS5202-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQYIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTGSIVHGARPSLQYMTWFTSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQPQPSHQYSPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSTYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8535778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880848277:880849620:1 gene:gene-LATHSAT_LOCUS5202 transcript:rna-LATHSAT_LOCUS5202 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPINRNSFTFPYAKKWSVPGMNYEKCPRHSIIQYRNLIDHLGPNDFVWMPYEGLDHQPNPDDAAVWTAKTPIIRFTTVEMHQSDRVKLQFGMHQYIPDAPVDLGKWHQKRVDAQWMIGDWKEFAKELRVLWKRRRQYVLTGSIVHGARPSLQYMTWFTSVTTTQPFLSQPTYLSDPRERGSSSYPQIPTDTPHQQQPPYEDHQQQPPYEDTPHSMPTYTPYQQQPPYMPPIQSQPQPSHQYSPDMSFDPTPATYIPDNSFDPTPSNYTSNHPLFNYHTPQQPTHLDQPNSTYTFGQPYRPYSTHPPRQSYQNMGIALDYGSACDMGPPGYWGQMIQDLADTPGPSQQNPPPQLNTQHPDTPQQHRRRPRRNTRPPQCGTGGHLDRADH >CAK8535732.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:878345255:878347669:1 gene:gene-LATHSAT_LOCUS5161 transcript:rna-LATHSAT_LOCUS5161 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAVARPLMAKIKQTTGIVGLDVVPNAREVLIGLYSKTLNEIQKVPEDEGYRKAVESFTNHRLRVCQEEEDWEKIESRLGCGQVEELIEEAQDELKLIGYMIEWDPWGIPDDHEIEMVENDAPIPKHVPQHRPPPLPKEFQETLEALMSQPGKTEKLEALVSKSVKDNAAANSNEESSKP >CAK8571342.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:384788105:384795681:-1 gene:gene-LATHSAT_LOCUS24010 transcript:rna-LATHSAT_LOCUS24010 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKEVSAVTLGGKGSSLSSSSVYATATGQSQVRIDSSALERLSSTPSLKQKINIPEFLTLEETRAFLIVLLNKLLLCNSSKHVPLCISEALNLNHKSFHFEEELDVTEDEQSLLINNACLGLIGVSAVLDHQSTALSAFADVAAAFSCEALKADVSVFNLMDSGDGHSSKEEVGVAGDMRILLNGSKFVGKETVSSVVKIPKIHGIIREKAKSVHARMRVELNSGHKLGKIELGSEHTVCNVLLPLAMALRELGECSFARAQSNLLSIGGDDLRSKVGEIFGKECPTDASLVSSFNESFSLYSGKVYDKFAHEINVLFGLVWKIVAWELVTAYAVLEAAELNEKIQGATENGENSKVEKKKKKAVLGKGTSSILQLIKDRLQSDEKSDVEKLGLLETWVADFLLFLDLARPEFNEFLLKVKDVVESNESRRLPKIPKGTRDFAKEQMTIRKKAFSVIEEVFERHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARFVAMNGLTSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGPAEKMAPDFEVVRILTELLDELNIGDFEVKLNHRKLLDGMMQICGVPPEKFRTICSSIDKLDKQSFEQIRKEMVEEKGLTAETADRIGTFVKEKGHPLTLLSKLKQEGSAFLENAGSVDALNDLEILFKALDKSKRLDKVVFDLSLARGLDYYTGVIFEAVFKGGAQVGSIAAGGRYDNLIGMFGSKQVPAVGVSLGIERVFAIMEQQQKDQNQLARPTKTEVLVSILGNDVTLAGELAGELWDAGVKAEFLVNKRRPKHFDYAKESRIPWMILVGEQEIKEGTVQLKSLESGNDVNINIPRGNFVEELRKRLNL >CAK8540041.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536691180:536691575:1 gene:gene-LATHSAT_LOCUS9080 transcript:rna-LATHSAT_LOCUS9080 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKAFVSLVFNLIGLEEVQTNKDATLPYLVATSISSESSTTITTTNVIEKNKDAGLCCVCLSMLNNKDEIRVLPCSHEFHKVCVNSWLKGHHKTCPLCRFSMGAEEKSHHAEMFSEEMLIWFSSFHIAGM >CAK8573090.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:582619564:582620532:1 gene:gene-LATHSAT_LOCUS25578 transcript:rna-LATHSAT_LOCUS25578 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLSRLLFRMQKNPDFNHHAKCERLQITHLTFADDLLLFSRGDYGSMDILHLTVNKFLESTGLKINSSKSRVYFSNVSANVKCAILQLTSYQEGTFPFRYLGIQVTSKRLAIIHYMSLLDRLLSRITHWSSRLLSYAGRLQLIKSVLCAITTYWMQCIWFPKTVINRINAICRSFLWTGGNTISRKSPVAWDNVCKPHVKGRLNVMNLEIWNRMFMIKLLWNIFAKSDDLWVRWIHAYYLRHEGILTRTAKASDSGIFKTILLQRDSLVNIQNTWNEILQTGKFLGRKVYQSLLPITPNVTWAKLLFFTIEPDPRQLLLYG >CAK8570797.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:115596181:115598965:-1 gene:gene-LATHSAT_LOCUS23518 transcript:rna-LATHSAT_LOCUS23518 gene_biotype:protein_coding transcript_biotype:protein_coding MVDESSITRKLSKRDFDEIKWTNMIPECPIYHPSEQEFQNPLVYLQKIAPEASEYGICKIVSPVTASNSADIVIMKEKKDFKFETIVQPLRLSKWNEKDMITFSKRGRKYTYREFEALANKAFSSRFGSFEDISSSRIEKAFWHEMIHGEKGTVEYGVNIEGSVFSCDPDDRLGTSKFNLKKLARLPQSPLRLVDKEIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHSGGNKTWYGVPSYAASEFETTVLNHVYCNKVLTEHGENGAFQFISHKTTMFPPNVLLQNEVPVYKALQKPGEFVVTFPKSYHAGFSHGFNCGEAVNFAIGDWFPLGAAASKRYAQLKMLPIIPYEELLCKEAMLIYKSSKIRSFKKKLEDTPSYRAIVPSFLHLMQFYKNSLSQLNSSRNVSSSSDTLLTSLTCSICHRDCYIAYLLCKKCFYYPICLFHDVLPQNCLCGRKYSVFKRNDMLELERAAKSFQREKECIDALSLTSIFSYNDIKEFTKDLKQNNNWHEEKSGRRGVNSLGAISKRKRRVADNIQHKVEKKTKIRHRNNVPPSVMSTKRPQILYNSKQKT >CAK8569349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695830738:695833038:-1 gene:gene-LATHSAT_LOCUS22210 transcript:rna-LATHSAT_LOCUS22210 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTTGTGGGDGDGDGDEQQLIKTITTMLTNHQNPQHLQPFIPHLTLPIIISILSWKPLHSHPQTLVSFFKWFQTNAPSTLSVSPKPLLTLLPPLLSRRKFSDAKSLLLDFISSDHPRHSLHSRLLRSDHSIPKPVLDTSIAAYVLSQQPQLAFEIFNKMRRLRFRPNLLTCNTLLNALVRSNSSHSILLSRQVFQDSIKLGVQPNTNTFNILIHGYCSNNNFNEAFRLINQMGEFGCCPDNVTYNTVLNALCKRSQLSKVRDLLQQMKSSGLVPNRNTYNILVHGYCKVKWLKEAAEVIELMTGNDMLPDVWTYNTMVRGLCDEGKIDEAIRLRDEMENLRLVPDVVTYNTLIDGCFEHWGSVEAHKLLEEMKSRGVKENAVTHNIMVKWLCKEGKIDEASNVMAKMVESGFSPDCFTYNTMINSYCKAGKMGEAFKMMDEMGRKGLKTDTFTLNTILHILCSEKKLEDAYKLTINARKRGYILDEVTYGTLIMGYFKDEQADRALKLWDEMKEKGIVPTVVTYNTIIRGLCLSGKTDQAVDRLNELLEKGLVPDEATCNIIIHGYCWEGAVEKAFQFHNKMVEHSFKPDIFTCNILLRGLCRGGKLEKGLTLFNTWISKGKPIDIVTYNIVISSFCQEGRLEDAFDLMVEMERKNMEPDRYTYNAITSALTNAGRNEEAAKFISKFAEKGKDVKAQDTSPEVGTSDMMYSEQICSLCTQGKYKEAMKLFQQAEQKGVCLNKYTYIKLMDGLLKRRKSISKAAR >CAK8573375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:606694824:606698717:-1 gene:gene-LATHSAT_LOCUS25829 transcript:rna-LATHSAT_LOCUS25829 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALIQMQDVPSAISSLQFYANTQPSIRGRNVYVQFSSHQELTTVDQSQGRGDEPNRILLVTIHHVLYPITVDVLYQVFSPHGSVEKIVTFQKSAGFQALIQYQSQQSAITARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPSLPTEQKGRPSQAGYGDAGNMYGVQGSGARAGGYPQMPNASAIAAAFGGGLPPGITGTNDRCTLLVSNLNPERIDEDKLFNLFSLYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAMLFDKRLEVNFSKHPNITQGADTHDYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEEIVTLLEEHGTIVNSKVFEMNGKKQGLVQFETEEQATEALVCKHATSLSGSVIRISYSQLQNI >CAK8567662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:534529458:534529790:1 gene:gene-LATHSAT_LOCUS20694 transcript:rna-LATHSAT_LOCUS20694 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMYNRAYSDRHGLKEELVRGVKKFVKKALNQPICKSEGGIRCPCINCKCCKISKATNVRLHLYRDGFQPDYWIWTQHGEVELNIDTKGGSNSSEHVRQADQFEAMY >CAK8573748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:634738035:634740196:-1 gene:gene-LATHSAT_LOCUS26156 transcript:rna-LATHSAT_LOCUS26156 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSSSPSPSLLCLDAVDDVYFSVVSDDETDPAPVSDHKYAEALQFQEALMSSVITSSQPRPPLPPPCVASSSGTVDEELLFNETLVDSLITSQYVSSSTSPELLRVASSSSKAVEVNTVVTKEQKQEPIIICEICAEAKTDDEMFRNQRCYHSFCSECVVKQVATKIQENITVVSCPGLNCEGVLELETLRPLLPKELIDRWDDAMCETLLLTVPKFYCPFKDCSAMLLDEDEEGGEGIRESECPFCHRLFCARCHVSWHPGVGCEEFLTLNVDERGRDDLLVRELANQNKWKRCSRCRFYVEKKDGCLHITCRCKHEFCYACGEPWTNTHGGCQRN >CAK8577311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:554659536:554666906:-1 gene:gene-LATHSAT_LOCUS29430 transcript:rna-LATHSAT_LOCUS29430 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTVDPTPAASSAGASSPTVPMNVGSIDGSNHGQGSKAASISCVGSQPPWTSVSTSIGGSTFGSSRSSCRPWERGDLLRRLATFAPLNWLGKPQIISSLACAQKGWTNIGEEKIACESCGACLNFSSLLSWTSAQAQDASESFARKLDSGHKAHCPWKGNSCPESLVQFPPTSQSALIGGYKDRCDGLMQFHYLPVVAISAIELMSVTRGPQIERFLSQSQNFMSGVDFKPDNISELESSQDEAYCSFTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGNSFGPSKTQLRLTQDPGPKAVSTSTKMDARKGKASLKESRLDYRSPMLDCSLCGATVRILDFLTVPRPSRLAPNNIDNPDTSKKIGLTRGGSAASGINGWIAADDAEKDQAEDRDEVATTNERKTLANTDLDLNLTMAGGFHCPPFGRTATSENIHDVDMGRDLMIGQPSGSEIGDRAASYESRGPSSRKRNLEKGGSSDNRPALRLQHQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARESDFFDTYCSPHQRDSSGAGPSHSLGLEGYVAGNRVSSFHQGSDRLIGIQSTRDSNRASSVIAMDTIYHSINDDSMESVENYPGDLDDVHFPSSSTYGNVDMNETSELNNSIQAQQSTCLQTATEVVPGEVGVSSTNYGDGIFNAETVTAHARDGISLGISGGSVGMCASHEAEIHGADISVHRTHSVVGEMEHRVEDAENQGQTGESVPNPGLMDEVIPDDINRDYPVGDSQEMMSHSAGRADSGSKIGCSAKAESVESGEKISQSCKLPPANNSRPSQSCNAIIYSDCGNTKEIMKDGKSSFSNNCALVQSDFATANRIGPPKGESNYEEAVEFDPIVHHNPCCPWVNGNVAAAGCASSVPITSSDTIVLSGWQLTLDALQSLGNVIPTVQSESAASLYKNDQQAPRKKLLHNHSISKSHGQP >CAK8573838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641651654:641654155:1 gene:gene-LATHSAT_LOCUS26240 transcript:rna-LATHSAT_LOCUS26240 gene_biotype:protein_coding transcript_biotype:protein_coding MIISYKMKVAAKLCKLLFTTISFLLLFSGLGVDSMDYDYFATTKCITEPHKAQYGGGIIVNPELDHNIENWTVFGNGTMEERLSNNGNRFMVASNRTQTLDSFSQKVQLEKELIYMFSAWIQLSEGSDIVSVVFKINGSESVRGGHVMAKNGCWSFLKGGIVANFSSSAEILFESNNPSLEIWADSVSLQPFTKEEWRSHQDNNIEKVRKSMVRFHVSNANETALEGAKVVIKQTKANFPFGCGMNYHILTNRDYQDWFTSRFKYTTFTNQMKWYSTEIIQGQENYTIPDAMLKFTKEHGISVRGHNIFWDDQKYQPEWVKSLSSDELREAAAKRIKSVVTRYRGELIAWDVVNENVHFHFYEDKLGENASKLYYLSAYELDPTTTLFMNEYNTIEYSGDRVASPANYFNKLKEILQSPEASEMSFAIGLQCHFATGRPNLAYMRSGLDFLGETGFPIWLTETSVDPQPEQAEYFEEILREGYSHPAVKGIIMFAGPAQAGFNTTLLADANFQTTPTGKVVDKLITEWGIGPYTAIADGRGTVDISLHYGDYDVTVTHPLAQHSKKLNISVRKEFSHKNVKMHA >CAK8573839.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:641651672:641654155:1 gene:gene-LATHSAT_LOCUS26240 transcript:rna-LATHSAT_LOCUS26240-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAKLCKLLFTTISFLLLFSGLGVDSMDYDYFATTKCITEPHKAQYGGGIIVNPELDHNIENWTVFGNGTMEERLSNNGNRFMVASNRTQTLDSFSQKVQLEKELIYMFSAWIQLSEGSDIVSVVFKINGSESVRGGHVMAKNGCWSFLKGGIVANFSSSAEILFESNNPSLEIWADSVSLQPFTKEEWRSHQDNNIEKVRKSMVRFHVSNANETALEGAKVVIKQTKANFPFGCGMNYHILTNRDYQDWFTSRFKYTTFTNQMKWYSTEIIQGQENYTIPDAMLKFTKEHGISVRGHNIFWDDQKYQPEWVKSLSSDELREAAAKRIKSVVTRYRGELIAWDVVNENVHFHFYEDKLGENASKLYYLSAYELDPTTTLFMNEYNTIEYSGDRVASPANYFNKLKEILQSPEASEMSFAIGLQCHFATGRPNLAYMRSGLDFLGETGFPIWLTETSVDPQPEQAEYFEEILREGYSHPAVKGIIMFAGPAQAGFNTTLLADANFQTTPTGKVVDKLITEWGIGPYTAIADGRGTVDISLHYGDYDVTVTHPLAQHSKKLNISVRKEFSHKNVKMHA >CAK8536537.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:953498829:953500572:1 gene:gene-LATHSAT_LOCUS5896 transcript:rna-LATHSAT_LOCUS5896 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLVVSKQGRQYEGKVTVFVLVTCLVAAMGGLLFGYDLGITGGVTSMEPFLVKFFPGVYKQMKDESEHESQYCKFDNELLTLFTSSLYIAALIASFFASTTTRMLGRKASMFAGGLFFLVGALLNGFAINIEMLIIGRLLLGFGVGYCNQSVPVYLSEMAPAKIRGALNMGFQMMITIGILVANIINYFTSNLERGWRISLGVGAVPAILLCIGSFFLGDTPNSMIERGQKERAKKILQKIRGIDNVDEEFQDLIDASEEAKKVQHPWKNLTQLRYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGNDASLMSSVITGGVNVLATFVSIFTVDKFGRKILFIEGGVQMFLCQIIVGSMIAVKFGVSGEGSFTHIEANLLLFFICLYVAAYAWSWGPLGWLVPSEICSLEVRSAGQATNVAVNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVLIMTIFIVMFFPETNNVPIEEMNKVWKSHWFWSKFVPDVVVDHDRKAVV >CAK8538949.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:500133579:500136502:-1 gene:gene-LATHSAT_LOCUS8094 transcript:rna-LATHSAT_LOCUS8094 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFEGLTDKSKLESQPELFIHIIPDKTNNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTTGEALGRGTKITLILKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTIEKEISDDEDEEEEKKEEEGKVEEVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCDELMPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLRKKGYEVIYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEEQKEKFDNLCKVIKEVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPDNSIMEELRKRADADRNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDAVEADADMPPLEEADADAEGSKMEEVD >CAK8565021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:25677615:25678550:-1 gene:gene-LATHSAT_LOCUS18262 transcript:rna-LATHSAT_LOCUS18262 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAQHRCVFVGNIPYDATEEQLIEICQEVGPVVSFRLVIDRETRKPKGYGFCEYKDEETALSARRNLQGYDINGRQLRVDFAENDKGNDRNKDQGRGGPRMTPIVDPQKQVGIPAVQGESAQAAQHQPIGLHFAVTAAAVMTAALGGAQTGTLSNQSGLQNQSALTNDPLTLHLSKMSKSQLTEMISEVKGMAIHNKELSRQLLLSRPRLPKALFQAQIMLGMVTPSMLQMENLRQGSDQTSQLINEGHIGQTPQTLAQTVAGLPPYGQSKLQSGLTPYFQEGQANPLPHNPLAPKSINRKSKASAAA >CAK8565759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:324284712:324288743:1 gene:gene-LATHSAT_LOCUS18944 transcript:rna-LATHSAT_LOCUS18944 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEYYPLFETRKGSGRFIFIIFSFSVFVGICSIWIYRLSYISKEFEDGNWIVWIGLLFAELWFGFYWFLRQNLRWNPIFRQPLPQVLSQRYEKVLPKVDIFVCTADPEIEPPMMVVNTVLSVMAYDYPTEKLSVYLSDDGGSEITFYAMLEASEFAKHWLPFCKRFKVEPRSPNAYFKTLDTTDSNNAKELLAIKKLYQEMESRVENASKLGKVPEEEYSNHNEFSQWDSYSSKRDHDTILQILVDKNDLNARDEDGIVMPTLVYLAREKRPHFPHNFKAGAMNSLIRVSSMISNGKIILNVDCDMYSNNSQSLRDALCFFMDEDKGHEIAYVQAPQNFENLTKNDIYGGALFIIHEVEMFGVDGFGGPMYIGTGCFHRRDVLCGRKFNKQSRKDWNNNANDENINHMIEASLQEIEEKSKTLASCAYEENTSWGKEMGLLYDCAVEDIVTGLSILCKGWKSVCYSPTRKAFLGLSPTTLPEALIQHKRWSEGGFQIVLSKFSPLWYPYGLISPGLQLAYCHYNLWALNSFPTLYYCIIPSLFLLRGIPLFPQISSPWFIPFAYVIVSDSTYCLIEFLRVGGTIKGWWNDLRMWLYKRTSSYLFAFVDTMLKFIGFSNSGFIVSTKVAEENVSQRYENEIMEFGNSSPMLTLLATIAMLNLFCLVGMLVKVVVLSGEGFRVFETMLLQVLLSGILVAINIPIYEGLFLRKDKGRMPLSVVVKSTTLALSACVLFSCIF >CAK8564794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9655810:9657490:-1 gene:gene-LATHSAT_LOCUS18059 transcript:rna-LATHSAT_LOCUS18059 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLSITSQNGQKDNGRVTLYVVLSCMMAAMGGVIFGYDIGITGGVTSMEPFLKKFFHKIYLQMKSDVKVSNYCKFNSQLLTSFTSSLYVAGFFTSFLASYITRVFGRKPSIVAGGAAFLAGTALGGAAFNVYMLIVGRLLLGVGVGFANQAVPLYLSEMALPRFRGAINNGFQLSIGIGALSANLINYGTEKIKGGYGWRVSLAMAAVPATFLTLGALFLPETPNSLIQTSQDNQKAKLILQRIRGVEDVQAELDDLTKASSSTSKTSEQQSFKIILKRRYRPQLVMAIAIPFFQQVTGINVIAFYAPLLFRTIGLGESASLLSSVMTGIVGTGSTFISMLIVDKLGRRTLFIVGGIQMLVSQCIVGGIMAVHLKDHGGLSKGYAYIVLVMICIYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVSFLFTFVIAQTFLAMLCHFKSGIFFFFGGWVVVMTVFVYYFLPETKNVPLEQMEKVWREHWFWKGIVGKTNDSYDAL >CAK8578875.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660181079:660182624:1 gene:gene-LATHSAT_LOCUS30857 transcript:rna-LATHSAT_LOCUS30857-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSISHRKRTLLKVIVLGDSGVGKTSLMNQYVYKKFTQQYKATIGADFVTKELLVDDKLVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNIHKTFDTLSNWHADFLKQADTENPDAFPFVLLGNKIDVDGGHSRRVTEKKARDWCASRGNIPYFETSAKESYNVDDAFLCVAKVASENEHELDIYFRGISEAPSEAVEQGSGCAC >CAK8578876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:660181079:660182624:1 gene:gene-LATHSAT_LOCUS30857 transcript:rna-LATHSAT_LOCUS30857 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSISHRKRTLLKVIVLGDSGVGKTSLMNQYVYKKFTQQYKATIGADFVTKELLVDDKLVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNIHKTFDTLSNWHADFLKQADTENPDAFPFVLLGNKIDVDGGHSRRVTEKKARDWCASRGNIPYFETSAKESYNVDDAFLCVAKVASENEHELDISYFRGISEAPSEAVEQGSGCAC >CAK8538103.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:462890357:462893752:1 gene:gene-LATHSAT_LOCUS7338 transcript:rna-LATHSAT_LOCUS7338 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSSNSHANEDDNENAAFGFLDIVFKWTLKDVLNENLYRKQVKKIPYTFDSPADYKKSFILPLLEETHSDLYSSLQGVSQAPFCEVSKVERDGKQFKLPNALFYQISLKTTPDNNGKYDPEPGDLIAFTDVKPKRVSDLNTQRCAYNVAYVVAPKDEFSGEVSVLSSKPMLEFGFRKNDNKKLYAVNLMNMTTNIRIWKGLNSQSEGEHLDIIKKVLQPCLNSGENCKICGQSLFIKDKIISSQNLNESQEEVVSSCVSMINCCHANTKLIWGPPGTGKTKTVACLLFSLLKLKTRTLTCAPTNTAILQVATRLHTLVMDSLEHDTYGLGDIVLFGNGKRMKLDSYPGLGDIFLDYRVKNLMQCFAPFTGWKHTLESITQFLHDPRKQYFLEYDHKTLEEFVSEKHSHVLSGYNAYKRISQMTFEEYVQTVWKGIEDEYLSDEKEKIENIMTLEQFVKKRFRELSEKLKFLIQALYTHLPKSFISLSIVKEMFKALESLKSIGISLFLANFKQSDDGFEKESIPSCFLPSDIEVDKFLRILRLLSSAILLPELNGRVQIEKFCLSNASLILCTVSSSIKLYTEGMNQVKFLVIDEAAQLKECESTIPLQLPGLQHCILIGDEKQLPALVKSKIADDCGFGRSLFERLVILGYQKHMLNVQYRMHPSISSFPCQEFYDQKISDASFVMEESYNKCFLKGELYASYSFINVAKGKEKAGRGHSLMNTVEVAVISEMIKNLKEEFVRTKKKVSIGIISPYNAQVFEIQDKIKEYTSVSDTGFSVSVRSIDGFQGGEEDIIIMSTVRANGSGKVGFLSNRQRTNVAMTRARYCLWILGNASTLASSDSIWSKLIVDAKRRNCYHNAEEDEKLARVIDNVVFELEILGESESKFKNLSLCENSETGSSFSRGRKPWGPSIKLANQLRGVRSLRKDIESLL >CAK8563079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:572284364:572284699:-1 gene:gene-LATHSAT_LOCUS16524 transcript:rna-LATHSAT_LOCUS16524 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSTGDLFCYPGGFLHSVESGAGKRRVFAIGNYVNQRLLRPLQPRNEAIFLLYDHRLKLEDPPEIVLSLTYLDFSGSKNPRRDKSASDQASSQDRSNPPFKPTYKTSFH >CAK8561351.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:165759287:165760516:-1 gene:gene-LATHSAT_LOCUS14952 transcript:rna-LATHSAT_LOCUS14952 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTQATETANPTAKTKTAATSSKRTGGGGEIVEVEGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAQLPAWNPTATCSTPQQQQQTNELYRRQSPVDNSPVTASTSHRSAALERRIPQEQFSSQPLEIDYNNSSGKHGFLHGSLDTDIADTIKSFFPVETPTASFHSYPQPPDLLSRTAATTQQDLRLSLQSFQDPIMLHHQSQNHHDHHHNHQVLFAGNSSLGFDGGGTNMWSEQQQHQEEHDNGRLHRMMAWNANVNAADGGNSGQGAGFVFSTPAPAFGGFGQLFSQRGPLQSSNNPSIRAWIDPSMAGTANMTDHHHHYLSPMIHQASVSGGGFSGFRIPTRIQGEEEHDGVSDKPSSASSDSRH >CAK8542838.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552661174:552663288:1 gene:gene-LATHSAT_LOCUS11642 transcript:rna-LATHSAT_LOCUS11642 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLQSYIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPSELSISELERLTRVFTQKIHDLIGTHMDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEYGKTVSGQRFVIQGFGNVGSWAAQLIDEKGGKIVAVSDITGAIKNSKGIDIPSLLKHTKETRGVKGFHGADSIDSNSILLEDCDVLIPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTKGFKDVKDMCKTHECDLRMGAFSLGVNRVARATVLRGWEA >CAK8543576.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:622072167:622072523:-1 gene:gene-LATHSAT_LOCUS12324 transcript:rna-LATHSAT_LOCUS12324 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNSAIHCSAIPFLKSEERNSAHNRKLAFHKVKVFTPLQHLPLTRFQLGCCYVLAIRGGTTSRVL >CAK8564741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7974022:7974501:1 gene:gene-LATHSAT_LOCUS18013 transcript:rna-LATHSAT_LOCUS18013 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSMLSTNALTTTIQKHQTHNLKPSNLCFQGFKPLTRSTKLTQNKKRFSSLVVKAELNPALVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDSRAKEYVSLLKSNDPVGFNLVDVLAWGSIGHIVAYYILATSSNGYDPSFFG >CAK8530112.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:1390853:1393386:-1 gene:gene-LATHSAT_LOCUS6 transcript:rna-LATHSAT_LOCUS6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAGSMLVVYDDPSDQHSLSLGDTSSTEESPAETRLNLEPINDDIPYIGQRFPTHDSAYDFYTDFAKRSGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPAKSSTESKPQRNRKSSRCGCQAYMRISKTTEFGPPEWRVTGFANHHNHELLEPNQVRFLPAYRTISDSDKNRILMFAKTGISVHQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDPEEESLDLLKMCRNIKDKDPNFKFEYTLDANNHLENIAWSYASSIQLYDIFGDAVVFDTTHRLTAFDMPLGIWVGINNYGMPCFFGCVLLRDETVRSFSWAIKAFLGFMNGKAPQTILTDQNMCLKEALSAEMPITKHAFCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESVDDFELGWREMVCSFGLHSNRHMLTLYSSRSLWALPYLRSHFLAGMTTTGQSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQTGEQQTMQQNLQNVCLKTGAPMESHAATVLTPFAFSKLQEQLVLAAHYASFSVEDGFLVRHHTKVDGGRKVYWSSQEGVISCSCHQFEFSGILCRHSLRVLSTGNCFQIPDTYLPIRWRRISVPSSRLLQNASSDHAERVKLFQNMVSSLVTESAKSKERLDVATEQVSILLSRIREQPISLQCARDISTINRNL >CAK8564522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679476763:679478935:-1 gene:gene-LATHSAT_LOCUS17821 transcript:rna-LATHSAT_LOCUS17821 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVFSTHKIWRSVASYARNPCARYFSTLSIARKLHQSEFSYDFMKCYSLGFRRMSRFATGFNPLREKPLDSILDIHRLKDRYPDDIASIWDDYHIGRGHIGATMKAKLYHLLEHRAKECRYFVIPLWKGSGYTTMFVQVQTPYMLFTGLEDYKARGTQASPYFSVCFYTEFAESKDLVLIRGDIVFTSKLTDSEAKWLMETAQSFYLNDVRYKLVERFNKDTRDFEFKDVLQALDMPIL >CAK8535459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850058203:850061164:-1 gene:gene-LATHSAT_LOCUS4921 transcript:rna-LATHSAT_LOCUS4921 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKLSNLICFCSIITLCYAVTNPNDFKVLNDFRKGLENPELLKWPEKGNNDPCGPPSWPYVFCSEDRVTQIQAKNLGLRGTLPQNFNQLTELYNLGLQRNNLTGILPSFSGLSKLEFAFLDYNSFDAIPLDFFIGLTSLRVLSLEENQLNVSTNGWSFPSDLEKSVQLTNLSLVHCNLVGSLPDFLGTLPSLTNLRLSNNKLSGSIDVIALMVFLTQIWLHGNKFSGRIPNNIGNLTSLKELNLNSNQFVGLIPQSLAEMKLELLVLNNNMLMGPIPKFKAANFTYDDNLFCQAEPGLECSQQVTLLLDFLDNLNYPSFLISDWSGNKPCTSSTGPWFGLSCNASEVSLINLPRHKLNGSLSPSLAKLESLLEIRLAGNNISGVVPSDFTKLKSLRLLDLSDNNIESPLPNFRDGVKVITVGNPFLNNQTGGSVSPVISGGPSSENNSTHSPSSYNHQPVPSSDHRRFKTVPTLVGVAVFAVVAFFIVYLFLCFFKKDKNSSDAPSSIVVHPRDSSDPYNVVKIAVSSNTGSLTEKSGMSSLTNLSGETKNSHLIEVGNHVISVQMLRKVTNDFASENELGRGGFGTVYKGELEDGTNIAVKRMENGVIGNKAVEEFQAEIDVLSKVRHRHLVSLLGHSIEGNERLLVYEYMPLGALSQHLFHWKKLDLKPLSWSQRLVIALDVARAMEYLHSLTRETFIHRDLKSSNILLGDNFRAKVSDFGLVKLAPNGEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDESRSEEIRYLAEWFWRVKSNNEKLMAAIDPALEQNEETCESITIVAELAGHCTAREPYHRPDMSHAVNVLSAVVEKWRPVSNELDDSYSAVHGTRPLPQMLKIWKDAENGEFSYSSTSASVEDSKGSIAVRPTGFADSFTSADAR >CAK8535458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:850058203:850061164:-1 gene:gene-LATHSAT_LOCUS4921 transcript:rna-LATHSAT_LOCUS4921-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKLSNLICFCSIITLCYAVTNPNDFKVLNDFRKGLENPELLKWPEKGNNDPCGPPSWPYVFCSEDRVTQIQAKNLGLRGTLPQNFNQLTELYNLGLQRNNLTGILPSFSGLSKLEFAFLDYNSFDAIPLDFFIGLTSLRVLSLEENQLNVSTNGWSFPSDLEKSVQLTNLSLVHCNLVGSLPDFLGTLPSLTNLRLSNNKLSGTIPATFSQSSVQVLWLNNQEGEGGFSGSIDVIALMVFLTQIWLHGNKFSGRIPNNIGNLTSLKELNLNSNQFVGLIPQSLAEMKLELLVLNNNMLMGPIPKFKAANFTYDDNLFCQAEPGLECSQQVTLLLDFLDNLNYPSFLISDWSGNKPCTSSTGPWFGLSCNASEVSLINLPRHKLNGSLSPSLAKLESLLEIRLAGNNISGVVPSDFTKLKSLRLLDLSDNNIESPLPNFRDGVKVITVGNPFLNNQTGGSVSPVISGGPSSENNSTHSPSSYNHQPVPSSDHRRFKTVPTLVGVAVFAVVAFFIVYLFLCFFKKDKNSSDAPSSIVVHPRDSSDPYNVVKIAVSSNTGSLTEKSGMSSLTNLSGETKNSHLIEVGNHVISVQMLRKVTNDFASENELGRGGFGTVYKGELEDGTNIAVKRMENGVIGNKAVEEFQAEIDVLSKVRHRHLVSLLGHSIEGNERLLVYEYMPLGALSQHLFHWKKLDLKPLSWSQRLVIALDVARAMEYLHSLTRETFIHRDLKSSNILLGDNFRAKVSDFGLVKLAPNGEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDESRSEEIRYLAEWFWRVKSNNEKLMAAIDPALEQNEETCESITIVAELAGHCTAREPYHRPDMSHAVNVLSAVVEKWRPVSNELDDSYSAVHGTRPLPQMLKIWKDAENGEFSYSSTSASVEDSKGSIAVRPTGFADSFTSADAR >CAK8544915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:711819882:711821878:1 gene:gene-LATHSAT_LOCUS13557 transcript:rna-LATHSAT_LOCUS13557 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTTLSPVDPTEPLLSPNVSHFPSSLEENSIFIFLSFSGSLTPIRVMEWDTIESVKFKIQRCESLPFLTNKQKLVYAGRELARSDTLLKDYGVTDGNVLHLIIKLSDLQLINVKTSSGKEFTFQVERGRDVKYIKRRIAKTEKQFDDPEDQELVCNGERLEDQKIIDDICCKHNDAVVHLFVRKKHAKVHRRPLELSIVATDLADKKTKDVCRDSCDRKFDVGGGEDTLSIQGIVPRKLPDRDFLLEPIIINPKIELASVMQNMVNTAYDGLASENHPIGSAEGTGGAYFMLDSTGQKYVSVFKPIDEEPMAVNNPRGLPLSLNGEGLKKGTRVGQGAFREVAAYVLDHPISGRRKLFGDVKGFAGVPPTLMVKCLHKGFNHPGDLIAKIGSMQMFVKNNGSCEDIGPGAFPVNEVHKITVLDMRLANADRHAGNILVSAAEHNDQPVLIPIDHGYCLPTSFEDCTFEWLYWPQARKPYSAETVEYIKSLDAEEDIALLKFHGWDLPIECARTLRISTMLLKKGVERGLTPFAIGNMMCRESLNKESVIEEIVEEALDSVLPGTSEATLLDAVSQIMDQRLHNIFNSPF >CAK8566318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:406365225:406369048:1 gene:gene-LATHSAT_LOCUS19455 transcript:rna-LATHSAT_LOCUS19455 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIASFRILLRHIFYTHNCFFPPPPQPTALLFNRRPTLRHRLLSTNYYSYKVVAKKDERLVDNNNTDVVNSTTIAAIVTSLGGPPAAVGIVRLSGPHAVSIAGRVFRPVRNKWQPTSHVVEYGVVMDSDGHVVDEVLAVPMLAPRSYTREDVVELQCHGNEVCLRRVLRTCLEAGATLAQPGEFTLRAFLNGRLDLTQAENVGKLIAAQSVAAADAALEGIQGGFSSLVRSLRSRCIDLLTEIEARLDFEDEMPPIDMNGVMDKIQRMSQDVENALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEASININGIPITLLDTAGIRDTDDIVEKIGVERSEAVARGADLIIMTASAVEGWTSEDTKLLERIQSAKKSTGSSTPVILVVNKIDCEPCAETEWDKGCYSHEIFSKRVFTCAVTSQGLQDLEKAVLEIVGMDGIAAGGRRWTINQRQCEQLVRTKEALTRLQSSIKEELPLDFWTIDLRDAALSLGQISGEDISEEVLSNIFSKFCIGK >CAK8540130.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:540996347:540998050:-1 gene:gene-LATHSAT_LOCUS9163 transcript:rna-LATHSAT_LOCUS9163 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHNTILSFIPKCKTMIQLKALHALILTTPTTINTMIIPLSKLIDFCVDSQFGDINYANSLFTQIHSPNLYIWNSIIRGHAKSNNPTMSLLLYKQMLQNEFSPDHFTFPFLLKASSFIADQVIGKCIHSCIVKSGFEANVYVATGLLHMYVSCKNMEYGLKLFDNIPKLNVVAWTCLIAGYVNNNQPREALEVFKDMGNWGVEPNEVTMVNVLIACARSRDIDTGRWVHERIRKAGYDPFVCASNSHVILATSILEMYAKCGSLNVARDLFNKMSKRNIVAWNCMINAYNQYERHNEALDLFSDMLADGICPDKATFLSVLSVCAHQCALALGETVHAYLLKSNIATDIDLATTLLDMYAKNGELRSSQKIFNNSLEKKDVVMWTSMINGLAIHGHGNEALSMFQMMQEDSTVVPDHITYIGVLFACSHVGLVEEAQRQFNMMTKRYGIVPEREHYSCMIDLLSRAGHLGEAKRLMDTMPIQPNIAIWGALLNGCQIHENTSVASQVKIQLTELRPVQSGIYVLLSNIYANAGRWEEVNMTRKGMQRKRIAKTIGHSSVEMKLLTS >CAK8539794.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:525521207:525527012:-1 gene:gene-LATHSAT_LOCUS8856 transcript:rna-LATHSAT_LOCUS8856 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFSTPQQPQPQSLFQPQQQQSPFQQQSNLFQQPQQQQQQQQFQQQQQFQAQQQQQQLFLFTNDKTPASYSTNWADLHPDSQKFLLQIEERILEYRDESQRLDQCNRLYDSSVSNDGFEVDASHIVQELGGISTAMERQKTLLHELMSVVKDMLRNTEVAVRSFMILRPRFHHPSGGASSATAPSQTPGATTPSLNSQPTSTSIVPVFDFYSGLPKKPSPFLQQTILRFEKYLGECHQWIQELEQLLLLESEKNASNNGSSLLQSLPKVMTNVHDFFVHVAAKVESIHQYMESMKSAYLVDQRRRGEVNDPFLEADRRETARQEAASKRVHPTLHLPANSQPSTQVAGLFSSSGTQGALTAQQTAATTSSLSTGSGLSLFSTPTSAPSSSMSSLFATPTTPAQGTSWFGSSSATPQTSLFGSASSSAMPQTSLFGSASSSLPGATSTPSLFGNNTPLFSSTPAANSLFSTPFASGAATGSGASFGGSKNPRPKSRTARR >CAK8579317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691397303:691397809:1 gene:gene-LATHSAT_LOCUS31271 transcript:rna-LATHSAT_LOCUS31271 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQRKQWDCGSTLYDSYELNSFKRQIDSAIANSPRTHSMPHLPQRAKLQLQFHDSPPSSQIINNNNKASSFKISRTFQKLLRFVFKSGSNKSNNKIRSSSFRSYPKDQEQLVYDKLYESEPVLLSTIPELPDFETAGLSPDISNFVRKSASERFTAAATVGVSCSA >CAK8541310.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:112153277:112153754:-1 gene:gene-LATHSAT_LOCUS10239 transcript:rna-LATHSAT_LOCUS10239 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHQTVCCMCGDVGFPDKLFRCNKCRNRFQHSYCSNYYGEQAEIEQCDWCQSEGKNSRHTGSNSKKPVTEIVGTTTNRLEYPGEKIMKQHDREESGSEKGKSPSPSPRTATRRYKLLKDVMC >CAK8534126.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:697112278:697113651:1 gene:gene-LATHSAT_LOCUS3694 transcript:rna-LATHSAT_LOCUS3694 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKPISPFRLSSLLRSQKDPSLAFQLFLNPNLQNRPFHYSLLSYDLIITKLGRAKMLPQMELILQQLHNDTRHRVPEPLLCHVISFYARARLPSRAIQTFLSIPSFRCTPTLKSFNSLLNALLTCRQFQTIAQFSSRLTQFAPPNTCTYNILIRSSFFQGYVIRALELFDEMRSKGVRPDEVTFGTLIHGLCKNSRLHEAFQMKKLMLEEFKLKPCVSVYTNLVKGVCEIGELDWAFRIKDEMDRKNLRLDANVYNTLINALCKAGRKEDALRVLEEMKESGCHWNSVTCNVMIGEFCREKNFKEAYRILDGVEGIKPDVFGYNMVIGWLCREGKWSEANDLFLDMPRRKYVPDVVTYRTLFDGLCRGMQFQEAAFVLDEMLFKGYVPLSKSLNGFVGALCKEGNFELLSTVLSGLASKRDFCNESIWNTVVSVVCNQEKLAVEPFETFDALVVA >CAK8577261.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:550784933:550786831:1 gene:gene-LATHSAT_LOCUS29382 transcript:rna-LATHSAT_LOCUS29382 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQNQPENHQSDAEPSDPSLNQPPPESPEPELAGGEEEEEEGECGFCLFMKGGGCKDTFVDWENCVKEAEDKNEDLVEKCSQVTAKLKQCMDSNSDYYEPILRAEKHAEEQVAIELEKEKKDSEATNNRQEAPSQSNQNDRELELKATPTPPKQDEKEQSNSEAEKRSPDQPASEAPKAEDKEEEEEEEGECGFCVFMKGGGCRDTFVNWENCVTEAEENKEDIVEKCSQVTFLLKECMDSHSDYYAPILVAEKQIEEQAVIELEKEKLDSATTNNEQEAPSHSNQK >CAK8572133.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:513715081:513715736:1 gene:gene-LATHSAT_LOCUS24730 transcript:rna-LATHSAT_LOCUS24730 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIRLARHGRIHSPFYRVVVADSKYPRDGRNLEVVGYYNPIAAKDDEKQLSIKLERVKYWLSVGAQPSERVKSLLFHSGLPLKRKGYYPEQPVDANANEADGISPEAVLSIGLQV >CAK8541366.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:137731535:137732760:1 gene:gene-LATHSAT_LOCUS10291 transcript:rna-LATHSAT_LOCUS10291 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIPLVERIHFTLTQSFHHKKFSIPNKFVEKYGKGLPKDVYLNTPIGEQWKINLVKRDGKIWFGNGWKEFAEFHSLSYYHLIVFRYESTSHFEVQIFNKTALEINYPLKRIKSEKIFNSEEVVDHTHKKHKEKHANKTLERAKSFKRCNPSFVLVMRASYVESRFQLTIPAKFGKEHFDLDKKRGYIYFQVLDRGLVWCARYSIRKFATQLKFELTRGWKEFSMDNSLKVGDVCNFELILRTKMTFHVHIFRKTNEVGTDLSTSPSKTK >CAK8536213.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:917713112:917713537:1 gene:gene-LATHSAT_LOCUS5603 transcript:rna-LATHSAT_LOCUS5603 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVRGTSSNRKKSRGSIVKLIEKLQKRLLLVRNKSSSTYVPEDVKEGHFAVIAEGMKEQESERFVLPLSCLTNPIFVKLLEQAEEEYGFDHEGALTIPCKPSELHKMLQQWQHEEEGGAHETGTTWNSCNNSMVFQNY >CAK8535772.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880565769:880567259:1 gene:gene-LATHSAT_LOCUS5197 transcript:rna-LATHSAT_LOCUS5197 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIENDAVARYPPNPYAVSHPHNQGYGYQKHPFRNSSYSPHPVVNESAKDFNGGDEEDEYRLGGKDDVVDDDDDDDDDENDVDQNRRVRISMNHVDEDDGVDVDGDENDDNDQQQSEEYGYLKHPMRNSPYSRPFENEGNNDGDEEDEERLVGEHEGDGDDDVDQNNNFQLSRNHVDEEDNNDGDGDRDEDNIDGNGDGDQDRDENGDDNDGDNDNKQKIYAMMNEDEFEWHPKKQKLKSLMSTYEFAARVPEPSAAASSATKPSFGARNSLSEWTEKETFVLLDAWGDRFLQHGRKSLRSDEWQQVAEKVSEVSKVDRTDTQCRNRLDTLKKKYKKDKIKFSEMGGGDRKWVYFKKMDKLMSSPPQQAGFSCGLDSRESVLMKPRVFVHHANGLDDTRDNPTNTEVTGIEVLERPRAKKRRKGRGSDEASSFKLLADSIQNFSKIYEKIENNKRQQIMELEKMRMDFLTELETQKRQIFERLQSEISKLEQRDD >CAK8574119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:663465905:663466360:1 gene:gene-LATHSAT_LOCUS26494 transcript:rna-LATHSAT_LOCUS26494 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFAVAEEQLASQRLRQKLEEVNVAAQTHLAPVRDHVNYTLQKAYCAYECFDRSRRQEEITHCVENCSIPLTNVQQTFDNEMAKFQEKLNRSLMVCQDKYEGAKLQQKTGAMNVVVSCADEAIQDSIKMLPLLTNKLKSSFGIRDDTGSS >CAK8566162.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:386638785:386640059:1 gene:gene-LATHSAT_LOCUS19321 transcript:rna-LATHSAT_LOCUS19321 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVFMKKGLLTLMGTHLVKFLPKQKQQKSLCVSISIRSKTTSIQYVESRYRDPTFEKFMEKYKNLLKVIAIQDLILANPKNQSISVDFLSNLSQKLHLNRGATAFLRKFPHIFHIYYEPSKLQPFCRLTDAALDVSRLEAEAINASLPLVVERLVRILSMSASKTVPLRAIFKVWRELGLPDDFEDSVIAGNPSVFQLSDADELNTHLLKLVGDVPSNGFRASVEDWRVVECCKEECNVNSMEMQYSFKHAYPPGMRLSKNFRAKVKEWQSLPYLGPYEMVSEKKKTSKAGMMAMEKRAVSIVHEFLSLTVEKMVEVEKISQFRKWFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGCLRQPNPVYDARRKLLDLVALERRGLPAVNSKLQDRSSGNEVEQGDNMQGRDILSSFD >CAK8571002.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:257348277:257350601:-1 gene:gene-LATHSAT_LOCUS23704 transcript:rna-LATHSAT_LOCUS23704 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEDFLLKETKPHLGGGKVSGDKLTSTYDLVEQMLYLYVRVVKAKDLPSKDVTGSCDPYAEVKLGNYKGTTQHFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGRVWFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKVKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLQPTDKGRYPEVFVKAILGNQALRTRISQSRNINPLWNEDLMFVAAEPFEEPLILSVEDRVAPNKEEVLGRCAIPLQFMDRRLDHKPVNTRWFNLEKHIVVEGEKKKEIKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSGIGVLEVGILSAHGLMPMKNKDGRGTTDAYCVAKYGQKWIRTRTIVDSFMPRWNEQYTWEVFDPCTVITIGVFDNCHLHGPEKAGGAKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIQLAVRFTCSSLLNMMHMYSLPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSALIAAGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTVFLYLFLIGIWHYRWRPRHPPNMDTRLSHADSAHPDELDEEFDTFPTSRPSDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAATILYVTPFQVVALLAGIYVLRHPRFRQKLPSVPLNFFRRLPARTDCML >CAK8565598.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:288156273:288156860:1 gene:gene-LATHSAT_LOCUS18795 transcript:rna-LATHSAT_LOCUS18795 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAYLFKYIIIGDTGVEKSYLQLQFTDNRFQPVHDVTIGVEFGVRMINIDKKPIKLQIGDTAGQELFRSITRSYYRGAACALLVYDITRRETFDHLAFWLEDVRRHANSSMTIMLIGNKCDLIKKRVISIEEGEKFAKENGLMFMEVSAKSAENVEEAFVKTAGKICKKIKDGEFDVLNVVLFKCIFNCECSSF >CAK8573820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:640040428:640040817:1 gene:gene-LATHSAT_LOCUS26223 transcript:rna-LATHSAT_LOCUS26223 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTTKKSKLKHFLLGPVRVLKKARQFYMKSVIECAGGYGFGAVNHIPHLPKSIIVNVDEGEDIVTKQNLSVLRCKNNAEVRKMGKIDEDQPCCFENKQISLKNNVLDLFPMKRTSVVKNVTVDHELC >CAK8571458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422904173:422906650:-1 gene:gene-LATHSAT_LOCUS24123 transcript:rna-LATHSAT_LOCUS24123 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSELKKTIEEMEIVDGHAHNLVALDSNFAFIHAFSLAHGDALASTQHSQSFKRNLRDIAELYGCDSTLEAVEQHRRVSGLESITSTCFKAARISTVIFDDGIDLDKIIDTEWHKTFTPHVATLVRVERLAEKILNQGLPDGSSWTLESFTTAFLSKLESVAGEIVGLKSIAAYYFGLDININVTKKEAEEALQQVLAVWKPVLVANKNLVDYIFLLSLEFAQSHDLPMQIHTGFGDRGLNLRMSNPLYLHNVLEDKRFAKCRIVLLHTSYPFSKEASYLASLYSQVYLDFGLAIPKLSVHGMVSAVKDLLEQAPLNKVMFSTDAYAFPELFYLGAKNARKVVFTVLCDSCIDGDLTVPEAVEAAKDLFARNSINFYKITS >CAK8571459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422904173:422905711:-1 gene:gene-LATHSAT_LOCUS24123 transcript:rna-LATHSAT_LOCUS24123-2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVTVAGEIVGLKSIAAYYFGLDININVTKKEAEEALQQVLAVWKPVLVANKNLVDYIFLLSLEFAQSHDLPMQIHTGFGDRGLNLRMSNPLYLHNVLEDKRFAKCRIVLLHTSYPFSKEASYLASLYSQVYLDFGLAIPKLSVHGMVSAVKDLLEQAPLNKVMFSTDAYAFPELFYLGAKNARKVVFTVLCDSCIDGDLTVPEAVEAAKDLFARNSINFYKITS >CAK8571460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:422905811:422906650:-1 gene:gene-LATHSAT_LOCUS24123 transcript:rna-LATHSAT_LOCUS24123-3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSELKKTIEEMEIVDGHAHNLVALDSNFAFIHAFSLAHGDALASTQHSQSFKRNLRDIAELYGCDSTLEAVEQHRRVSGLESITSTCFKAARISTVIFDDGIDLDKIIDTEWHKTFTPHVATLVRVERLAEKILNQGLPDGSSWTLESFTTAFLSKLESYP >CAK8544887.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710488379:710496130:1 gene:gene-LATHSAT_LOCUS13532 transcript:rna-LATHSAT_LOCUS13532 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRSNSDTQLTIPERVLSAAGAAFLSAVIVNPLDVVKTRLQAQAAGIPYHDAYRKPSFQTNTMPQDVKCTRVSKSQALPYKGTLDVLYRVIRQEGFTRLWRGTNASLALAMPSVGIYMPCYDIFRNFMEDYTTQNAPNLTPYVPLVAGSLARSLACISCYPVELARTRMQAFRVTRDGKLPGVWKTLFGVINPDKGTNILQNLHRYRFWWTGLGAQLSRDVPYSAICWATLEPIRKKILGLMGEKPSAATVLGANFSAGFVAGTLASAATCPLDVVKTRRQIEKDPERALKMTTRTTLLEIWRDGGLRGLFTGVAPRVGRAGPSVGIVVSFYEVVKYALNHRYSTSS >CAK8572932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:572009648:572010742:1 gene:gene-LATHSAT_LOCUS25434 transcript:rna-LATHSAT_LOCUS25434 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKGKLLKKLKSIKQIGYLKPDRILQVKSSDGYADFLPKISSFNISNPFVSGENEGKKNVQNCENLKEGEPEIVDVSELMKGLEDDEEMEGLDDDGCEDNKENICYKGTESKQSEVLRDKKPPLLPRGNSDRKRKISFSESEDLSFRRPDLNSGSLFDPKLLAAFEEAVKEHCRMTEEQRRARVEEESLQKVKFVDDEVEVDPLLLFEEKCPPGGDGTVIFYTTSLTGIRKTYEDCNRIRFLLQSFKVLYLERDVSMHREFKEELWSILGERIVPPRLFVKGRYIGAAEEVMSLHEQGKLKKILEGVPLDWSNGPCDACGGLRFVMCFKCNGSHKIFAKEEKDTNECLQCNENGLVVCAYCG >CAK8562284.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466336649:466339862:-1 gene:gene-LATHSAT_LOCUS15792 transcript:rna-LATHSAT_LOCUS15792 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSTPNDATPDNATPDDADGSSSRRSSPTSGEGNQSSGSSHKAVSSNDNKSSNRSKGDDRMVIVGAAVGSALFVLLFVGICFLCCRKKKRKNNYYYGPGPHGDPSLAKGSGYYHSGPHPHPNWQGGPGPGPHGMEHVVRVQQHTPNGSGVWGPPYPMMNSGEMSGNYSYGMAPPGALPPPSPGLSLTLKGGTFSYEELASATKGFANENIIGQGGFGYVHKGILPNGKEIAVKSLKSGSGQGEREFQAEIDIISRVHHRHLVSLVGYCVSGGQRMLVYEFVPNQTLEYHLHGKGRPTMDWPTRMKIALGSARGLAYLHEDCSPRIIHRDIKASNVLIDDSFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVMLLELLTGKRPLDLSNAMDESLVDWARPLLSQALEEDGNFGELVDPFLEGNYNNQEMIRMAACASSSIRHSAKKRSKMSQIVRALEGDVSLEDLKEGMIKTPGHGSVYTSSGSEYDTMQYNADMMKFRQAVMSSQEFTSGEITSKEMGRH >CAK8562283.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466336649:466339862:-1 gene:gene-LATHSAT_LOCUS15792 transcript:rna-LATHSAT_LOCUS15792-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSTPNDATPDNATPDDADGSSSRRSSPTSGEGNQSSGSSHKAVSSNDNKSSNRSKGDDRMVIVGAAVGSALFVLLFVGICFLCCRKKKRKNNYYYGPGPHGDPSLAKDYLFRTSEQDHSAQWSGYYHSGPHPHPNWQGGPGPGPHGMEHVVRVQQHTPNGSGVWGPPYPMMNSGEMSGNYSYGMAPPGALPPPSPGLSLTLKGGTFSYEELASATKGFANENIIGQGGFGYVHKGILPNGKEIAVKSLKSGSGQGEREFQAEIDIISRVHHRHLVSLVGYCVSGGQRMLVYEFVPNQTLEYHLHGKGRPTMDWPTRMKIALGSARGLAYLHEDCSPRIIHRDIKASNVLIDDSFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVMLLELLTGKRPLDLSNAMDESLVDWARPLLSQALEEDGNFGELVDPFLEGNYNNQEMIRMAACASSSIRHSAKKRSKMSQIVRALEGDVSLEDLKEGMIKTPGHGSVYTSSGSEYDTMQYNADMMKFRQAVMSSQEFTSGEITSKEMGRH >CAK8544388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:681552248:681552823:1 gene:gene-LATHSAT_LOCUS13074 transcript:rna-LATHSAT_LOCUS13074 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEDGRGFYIWLLQFICLLGLLVLGLWLSLRPNNPSFSITLVSIDCLSDQNDTIFYTLEIDNPNKDSSIYYDDIILSFLFGQPEDKVGETTIGSFHQGTNKNRIVSGVITGKPGPFKPLFKAISNATAELKASLMTRYRCKTWGIKSKFHKLHLNGILPIDSDGKLSRKKKKYPLSRNSKKLGRSKVKKH >CAK8562146.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:446979243:446982003:1 gene:gene-LATHSAT_LOCUS15670 transcript:rna-LATHSAT_LOCUS15670 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHGGAEVRASHILIKYEGSRRKASWKDPEGYVIKNTTRDSAVAQLKAMQADIVSGKVTFEDVASRYSDCSSAKRGGDLGSFGHGQMQKPFEDATFALNVGEMSDIVETESGVHIIKRTK >CAK8567079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485394201:485394932:-1 gene:gene-LATHSAT_LOCUS20165 transcript:rna-LATHSAT_LOCUS20165 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYKMVTTLLLIMLATLASSDLSKDREECADKLVTLASCLPYVGGDANTPTIDCCTSLKLVLDKTKKCICILIKDRNDPKLGFTLNATLAVHLPSACHIPSNISQCVDLLHLSPKSPEAQVFEGLGNSSKTNSSTPGSSVEKGSSSEEKSGGGLGKRWVVTEMVCAILPFVFIVG >CAK8561176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:111654344:111655138:1 gene:gene-LATHSAT_LOCUS14788 transcript:rna-LATHSAT_LOCUS14788 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFEMINDINDKKQLWKLAVKVHHKWKVTPATKKYFEMLVADKRGHDIHVIVSTMFRQSFDSALLVDVTYTMSNFQVLPNDLLFRPSNQKYILKFTGGTTIRDVGKHDIPDKICNLTPFADIILEKWQRNLLTDVI >CAK8534682.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:754771157:754777737:-1 gene:gene-LATHSAT_LOCUS4205 transcript:rna-LATHSAT_LOCUS4205 gene_biotype:protein_coding transcript_biotype:protein_coding MALPILASPQLSFNKMFLPQNRSLSLISCNFNISRSIKCKATNDVANDDRENIRRRSAMFQPSIWTDDYIQSLNSEYKDEIYAKKLRVLREEVRMMFNKMENEVDQLEFIDVLQRLGVDYHFTNEIKKILDNIYNTQTFKFKKNLHATALEFRLLRQHGYHISTDVFVCFDLKTDQAIDAEGMLSMYEASFHSFEDETILDEARDFTTKFLKDYLNQHGDNDYMPLLISHALELPLHWRIPRWEARCFINIYERQQNKSNVLLHFAKLDFNILQSIYQEELKYTSKWWKDTQIGEKLRFVRDRFVENFVWNVGMSFKPEFEYFRKILTKANALITIIDDIYDVYGTLEELELFTEAIERWDLNDIDSLPYYMKICYFTLYNFVNEVETSNKIGCSITPYLKKEWTNLCKSYLIEAKWFHSGYTPTFEEYIENAWISISAPLMLIHAYFVIPHSFTQEDLVCLEENNNIIRYSAMILRLANDLGTSKREIETGDIPKSIQYYMNESEASEIQARDHIKFMICAIWKKMNKEAHNSSLSQSFIDLSVNLSRMALFIYQHGDGHTIQDPEIQNRIQSLIWKPILIDG >CAK8541973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:445138872:445140959:1 gene:gene-LATHSAT_LOCUS10850 transcript:rna-LATHSAT_LOCUS10850 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKSAGPSAKAKANSKDVSKKESSSNKPKPKPAPKASTYIDDNDLPASDDDDDFGEELEQKHRPDVKPLEVSISDKEMRKREKKDILAAHEAEQARKEALRDDCDAFTVVIGSRISVLDGDDGADANVKDITIENFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKSALEAVVSANAELVKVRQEVADLQNAAFGEEGVDKDDDINDEEEYAGKKLLELYDQLRVMGSDAAESQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCSEIIHLHDLKLHFYRGNFDDFEIGYEQRRREVNKKHEIHVKQMKAARKTGNKAQQDKVKGRAKLAATKEALKSKSKGKVDEDELEVEGPPKWRDYSVKFHFPEPTELAHPLLQVINVSFSYPNREDFRLADVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLNPSVGEVKRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHVIPIVKLSGGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTVRNFPGTFEDYKEDLLKEIKAEVDD >CAK8578974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:665625026:665630201:-1 gene:gene-LATHSAT_LOCUS30951 transcript:rna-LATHSAT_LOCUS30951 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHESKPVLTLDFHPLSSTLATAGADFDIKLWSIKPSGSPKKLPVVTYLNSLSYHSSAVNVIRFSPSGELLASGSDGGELLIWKLHSMDTGQTWKVLKMLRSHIKDIMDLEWSADGAYMISGSVDNSCIIWDVNKGTNLQTLDTHAHYVQGVAWDPLGKYVASLSSDRTCRVYISKPHKSKGVERINYACKHVISKAEQPLLKNSKSTKYHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKIGTAAESVNAAYIFSRKDLSRPAIQIPCASKAVVAVRFCPIFFNLKGTNSDGLFKLPYRIVFAVATLNSLYIYDTESTSPIAIFAGLHYAPVTDITWSSDAHYLAFSSQDGFCSLVEFEDGELGSPYCLSKGNVSEQDSKNTLQTANDTVLPTGSVVGADVAESRKTEVEEKVDGMDIEVSRDIGAFTSVSSKTIAQDNPDHMIIKPTEIVSAVIADSRKNKAEHKTDDMVIEGVEAEHKTDDMVIEGVAADSRKQSPNLDGKQSEADKPQPSLNDIKPGPAEKTGNQLSSLKSTPASNKPARKRITPIAIEP >CAK8537759.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:427583123:427587477:-1 gene:gene-LATHSAT_LOCUS7015 transcript:rna-LATHSAT_LOCUS7015 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHIPCLEDNYSYLIVDESTKEAAAVDPVEPEKVLQVSNSLGLTLKFVLTTHHHWDHAGGNEKIKELVPGIKVYGGSIDNVKGCTNAVENGDKVHLGADITVLALHTPCHTRGHISYYVTGREDEVPAVFTGDTLFIAGCGKFFEGTAEQMYQSLSVTLSSLPKPTLVYCGHEYTAKNLLFALTVEPDNLRIQQKLTWAQNQRQAGQPTIPSTIEEELEINPFMRADLPVIQAKVGRSSAVEALGELRKWKDNWTG >CAK8561561.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:294752277:294766288:-1 gene:gene-LATHSAT_LOCUS15141 transcript:rna-LATHSAT_LOCUS15141 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSSTHVQADRDNKPSSIPEPRVDALPPPSPPPVQGSHSLESLLSEDPYARYSTTVEQFEGEIDGDNGVHDLKNDASLLAKHLDVSEEEGWIAIPYKELPEDWNHVSDIQSLHPLDRSFLFPGEQVHIVACLSACKNDTEIITPFKVAALMSKSGIGHSPNKENGNIEHKNKSVAGEEHLSPSVQDENKENLPKAKADHPTDVSSGESLLRMEVHKRQTASLLDKFKSSHFFVRICESDEPLWSRHGSSKKSISSETNGQNISSIEVKETVKHESSISAVIDRANFDATISGGVARNSVKCCALPNGDIVVLLQVNVGVNFLRDPCIEILQYEKYEGKILSSENQDNSLYTNQDPCGDLLKWILPLDNLPSGSRPLSPLLPTNSGTSSTSQRYNSSPSSGPQIFSFGSHFRSYSMSSLPQNTIPPPGPLKAASSKPDFDIDEWDQISSQKFLKKKNEAEELLSFRGVSLERERFSVCCGLQGIYTPGRRWRRRLEIIQPVEIHSFAADCNSEDLLCVQIKNVAPVHARDIVIFIDAITIIFEEATKAGPPTSLPFACTEAGNDHSLPNLSLRRGEEHSFILKPATSVCNHLKVLDETPHFSKLQSGSSASKSSLSSNSLDRSKISSIDDQYAVMVSCRCNYTTSKLFFKQPTSWRPRMSRDIMISVASEMSGETPGPYERNSQLAVQVLTLQASNLTSEDLTLTVLAPASFISPPSVVSLSSPATPSSPFIGFTEFLGRINDDGRPQTVSKNDDVIPNSGVSCTHLWLQSRVPLGCIPSQSTSTIKLELLPLTDGTITLDSLQIDVKEKGVTYIPECTLKINATSSISKGII >CAK8566211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391441234:391442024:-1 gene:gene-LATHSAT_LOCUS19364 transcript:rna-LATHSAT_LOCUS19364 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGSVRAACPQRVLACLIEKGIEFEIVHVDLDKGEQKQPEYLLLQPFGQVPVIEDGDLRLFESRAIVRYYAEKYADRGPDLLGTTLEEKAVVNQWLEVEAHNFDDLCFNIMFNLVILPRMGKTGDIGLVQSSEEKLEKVFDVYESRLSKSTYLAGDNFSLADLTHLPGIGHLIEEAKMGHLVSERKNVSAWWEKISSRPAWKKLKNLVG >CAK8562766.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:534219316:534220611:1 gene:gene-LATHSAT_LOCUS16235 transcript:rna-LATHSAT_LOCUS16235 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILAVTVLVYIQDHLGRVWGYGLCACAIIVALLVFLSGTKRYRYKKLMGSPLTQIAAVFMGAWRKRKLEFPSDSYLLYNVKDIKDPQDARNKKLMLPHSKQFRFLDKAAIKDPNTDGNMVRKWELSSLTDIEEVKLVIRMLPIWATTIMFWTIHAQMVTFSVSQATTLKRHIGKSFQIPPASLTTFLIGSILVTIPIYDRIILPIRRKLFNKSQGLTPLQSIGLGLVLSTCGMVAAALIDLKRTRMAHLHDLTHNTSTLQQPQFFIVGSGEAFIYVGQLDFFLRECPEGMKTMSTGLFLSTLSLGFFISSLLVFLVQKVTGHHHPWLTDDINQGKLYNFYWLLAFLSAINLVIYLFCAKRYVYKDKRLDEQVIELEKDLDNVDHA >CAK8579008.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:666929242:666930613:1 gene:gene-LATHSAT_LOCUS30985 transcript:rna-LATHSAT_LOCUS30985 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSPSLPFCPPPKDQKGENKVEFFDFTSLAKEGKVPKEFIWPTENWVKSSGENIELPLIDIGLIKSDESAMAKAAEMVREACIKHGAFEVTNIGVDVDFNDLVLRETGNIFKLPLDKKISAIAKDSGFSVAHAERYTTVLPWKETFTFMYNHNSKNETQVIDVVDSLLGQDFQQTGLVYQKYCDAMNELTKVLLELLAISLDVDRKHYSSFFEDAVSMMRCNFYPPCSANLSGALGNGPHCDPISLTLLLQDQVGGLEVFADNKWLAVPPKPNTFVINIGDTFMALTNGLYKSCLHRVFVSNKVERKSLTFFVNPRGDKTVSPPDELLGKEESRKYPDYTWNELYQFTQKTRRVDAHTLDSFVAWRSSSDASN >CAK8578937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:662888202:662888885:-1 gene:gene-LATHSAT_LOCUS30915 transcript:rna-LATHSAT_LOCUS30915 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQHVHEILVESHSRRLLFQSPIDQQSLKINTPVFTNNQNSTDSYFGNTEFDANVVMIIAVMLCVFIFSLALNSIIRCACALRISNVSIDNISSSSSNLSPQLAKKGIKKKVLKKFPKVTYSTELKLPGLDTECVICLSEFTNGEKVRVLPKCNHGFHVRCIDIWLREHSSCPKCRQCLLQICRKIGGSQVQPIVLPVPEIIIHIQPLEPEILERNYREI >CAK8542744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:542305974:542308095:-1 gene:gene-LATHSAT_LOCUS11554 transcript:rna-LATHSAT_LOCUS11554 gene_biotype:protein_coding transcript_biotype:protein_coding METTNKDEPEISKLDFNDSDIEYISYGGEHHLPLIMNLVDEELSEPYSIFTYRYFVYLWPHLSFLAFHKGRCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIKVMMESGCDEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPRPELHTQYGSHTHSDHRDSQIHE >CAK8574957.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:18070721:18072914:-1 gene:gene-LATHSAT_LOCUS27254 transcript:rna-LATHSAT_LOCUS27254 gene_biotype:protein_coding transcript_biotype:protein_coding MKENKQMNNTILSIILSVFITLSIGVEQTEAARAFFVFGDSLVDNGNNNFLATTARADTYPYGIDSETHRASGRFSNGLNMPDLISEKIGSEPTLPYLSPELDGERLLIGANFASAGIGILNDTGVQFINIIRIRDQLTYFKEYQQRVGALIGEDGAQKLVNQALVLITLGGNDFVNNYYLVPLSARSRQYALPDYVVFLISEYRKILMNLYELGARRVLVTGTGPLGCVPAELAMHSRNGECGADLQTATNLFNPQLVQLINQLNSEIGGPNHVFIYANAFAMHLDFISDPQAYGFVTSKVACCGQGAYNGIGLCTPASNLCPNRDVYAFWDPFHPSERANRLIVDKFMTGAAEYMNPINISTILALDSRV >CAK8576574.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:493818683:493820591:1 gene:gene-LATHSAT_LOCUS28750 transcript:rna-LATHSAT_LOCUS28750 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSTQTVVSTVSLLAATAMFLHSLLHNYLPDKLYNYFHLKLSQFKTSLSNEFTMVIEEYENLTHNKLFQSAEIYLPEVDQYIKRFKAVMRPNEQNVSIVTDRNREIVHMFKGVYFKWRLIIQAIPPTYVKRPGGRNEHHTMVKSSVRRFEVRFHKKHRNMALSEYFPKVMKEAKEILEERKTPKLFTLTNERELKRFGNMWHSVALNHPATFKTLAMDSELRNRIFDDLDLFLDREELYKSVGQPWKRGYLLYGPPGCGKSSLISAMANYINFDVYDLQLGDVLGYTELRSLLMSTQNRSILVVEDIDCTLQLHNRLGFRVPPVTLSGFLSLIDGLWSSCGEERIIVFTTNHRGKLDPALLWPGRIDVHIPLSYCSPCEFKQLALNYLMMKEHPLFFEVDKLLEKAKITPAEVGEHFLQNEDPEIAIRSLVELLEKMGRNHCESKAIKDVTADPFKSCDGFETEGC >CAK8539291.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:508520524:508522375:-1 gene:gene-LATHSAT_LOCUS8400 transcript:rna-LATHSAT_LOCUS8400 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIIIIPIILSFTLLCTPSTAQPEPAISTLAPAISTLAPAISTLAPALFVIGDSSVDSGTNNYLSTFARADHLPYGRDFDTHQPTGRFSNGRIPVDFLALRLGLPFVPSYLGQSGNVEDMSHGVNYASAGAGIIASSGSELGQHISLSQQIQQFSDTYQQFILSMGEDAATNFISNSIFYISIGINDYIHYYLLNVSNVNNMYLPWHFNQFLASSLRREIKNLYNLSVRKIVVTGLAPIGCAPHYMWEYGIQNGECIEPINDMAVEFNFLMRYIVEKLAEELSNANIIFCDVYEGSIDILKNHDSYGFTVTSEACCGFGKYKGWFMCLSSEMACRNASNYIWWDQFHPTDTVNGILAANIWNGEHTKMCYPMHLQDIV >CAK8560933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:59699794:59700876:1 gene:gene-LATHSAT_LOCUS14569 transcript:rna-LATHSAT_LOCUS14569 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDEDVDDGSEVDMSSAIDELWKRFKSMDVVGKRTLKSRVFKLTFPTMTSMCPPPEKIKTKGESRKKTKPVGYNVYRDPSYHEYVDQSSQKKSQPSQATKKLKLSQSSRSSKQFILQFRNHIRSYIDDVVNVVSDGNCGFRVIASLHGYGEDGWPMVRQDLGLEIIHNKRSSLYANWFTDQLAVVRESLMIEEFGPQPPHKWLTLPDMGYVIANRYNVVLVCLGIVCWTFFPMTTSFSPNVSIYCISFVNRNHWVQVNMKEGFPLPPVTVDWKKFCSPAATSWMIGFAGRLQHWQQLMPILPMHYEL >CAK8532977.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:559022062:559024164:1 gene:gene-LATHSAT_LOCUS2631 transcript:rna-LATHSAT_LOCUS2631 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVLQRELENLDKDADSRKSAMRALKSYVKDLDFRTIPIFLAQVSETKETGTLSGEFTISLYEVLARVHGVKIVPMIESIMQSIIQTLASSAGSFPLQQACSKVVPAVARYGIDPTMPEDKKKNIIRSICKPLSDSLMSSQESLSCGSALCLKALVDSDNWRYASHEMVNNVCQNVAAALDGKSTQTNSHMGLVMSLAKRNSLIVEAYARLLINSGLQILHVGGELSDGNSQKRFAAIQMVNYLMKCLDPRSIFSEVEQVIEEMEQCQFDKMAFIKGAALEALQTAKKVATDKKLRCMKSPASVTGSNSNLSRRDYMEGDSSLGDGDLTPASNSPESRTLDFFPGHESLTESPISTFQSSHNLNYLRRSVNRKLWSRENGGVDVSLKDGLFSSNADEGNGFFDNTTDHKFFNGNGDLTEEFAGFVQGISRSTNSSPLRSRSQVIEGIQIFDTPKRLIRSLQDPTDDSSDCSEKPIRLYKSLSSGNIILTPLSYSKYDHNGIAHCVKYDNSNENRSSCGDDMQYQDEQESVSSTDDILGNSDTQKHSLKVHENRIVPQTLAVEKPLQKKKHKFFCGLSFLIVAMATPLIWINSQEEAHFLVPT >CAK8574300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674481286:674481789:-1 gene:gene-LATHSAT_LOCUS26658 transcript:rna-LATHSAT_LOCUS26658 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDYIEKDRSRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8560102.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7592314:7594776:-1 gene:gene-LATHSAT_LOCUS13814 transcript:rna-LATHSAT_LOCUS13814 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLLYSLIVQSISVVAQTKTTIAIGDSYTADTNISPWLLSPSGDFAFGFLPLKNTDTFLLSIWYAKISDKTIVWYANRESPAPKGSKVQLTAKDGLVLTSPNGDRLWNTEGLNRAVSRSVFNDTGNFLLEDGDFNQLWETFKVPSDTMLPSQVIEKGGKLSSRLRETNFSKGRFELLLQSDGNLAMHSINLPSGYVNENYYESQTDGSGTSSAGTQLVFDRLGYLYVLGKNNEKYNVSGEESKVSTTDFYLRVTLNFDGVFTLYKHPKNSTESEGWTIVWSKPDNICSHTVSSGSGVCGYNSFCTLGNDKSPTCQCPKSYSLVDPDDPYGSCKPDFIQGCEEDELSKRKDLYDFEVLIDTDWPLSDSVLQRPFTEEQCRESCMDDCMCSVAIFRLGDSCWKKKLPLSNGQYDPTLNGAKALLKVRKDNTSLQSLDFPPIPTNLNKINNREALVVVVSVLFGSSAILNAVLIVAICASTSMILQYKKKLRRVSRSDTHVETNLRCFTYEELEEATNGFDKELGRGAFGIVYEGVVNNNTCSETRVAVKKLNNFLLDQAHREFRNELNVIGLTHHKNLVRLLGFCEGGSERLLVYEYMSNGTLSSLLFNADEKQKPSWKLRLELAIGIARGLVYLHEECITRIIHCDIKPQNILLDDYFNARISDFGLAKLLNMNQSKTNTGIRGTKGYVALEWFKNMPITAQVDVYSYGVVLLEIISCRRCVQEMDDENEEKAILTDWAYDCYKDGAVDALVEGDNEALDDREKLEKLVMIALWCVQEDPYLRPTMRNVVHMLEGTVEVQVPPYPSQISIQYSIS >CAK8544048.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:659956885:659959670:-1 gene:gene-LATHSAT_LOCUS12758 transcript:rna-LATHSAT_LOCUS12758 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVNSVNGVVEKVEKLIIDTDPGIDDSMAIMMAFRCPEVEVLGLTTVFGNAATEDATRNALLLCEIAGRGDLPVAEGSFEPLKGGRPRVADFVHGKDGLGNLFLPDPVASKIDKSASEFLVEKVSESPGEITVLALGPLTNVALAIKRDSSFASKVKRIVVLGGAFFALGNVNPAAEANIYGDPEAADIVFTSGADVVVVGINITTQVQFTDADLLELKESKGKHASLLSDMCKFYRDWHVKSDGVYGIFLHDPVSFVAVVRPDLFTYKKGVVRVETQGLCVGHTLLDQGLKRWNTSNPWTGYSPISVAWTVNVDGVLSYVKELLMKP >CAK8566205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:391258005:391258220:1 gene:gene-LATHSAT_LOCUS19359 transcript:rna-LATHSAT_LOCUS19359 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTKVSDHLSLLNGIVSELETIGVNIDDEYKALRLIWSLPSSYEHIKPVLIYGKETLSFEEVTSKIIYE >CAK8568713.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:634660162:634668880:1 gene:gene-LATHSAT_LOCUS21645 transcript:rna-LATHSAT_LOCUS21645 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQANQNQVQPPREGEEEEDEDPSKMEDEELIAKVNKLMDKITSSPDNPKPNVLHALASILETQETRYMEDNSHSSSTNARAAHNIGRLGGIIRENDDFFELISLKFLSETGYSTAVRAAAVRLILCCSLTWIYPHVFEEPVLENLKNWATDDSTEEQNLKPELGRKEASDSEMLKAYSTGLLAVCLVGGGQIVEDVLTSGLSAKLMRYLRVRVLGESSGSQKDISHLSENKHSSGNTPVRGRDDGRGRFRQLLEMSHLGDTRINEEGSLDDQGPEQGQDRSASGQACQEDPWIDDEPSDGLSKGADVGEVDSDGEDRWRCRDIRDGRIKYGEHEDNGRDESSRRRTNRGLGRSRGKGRANDGLLESEPILSSAGSASRLGQGRSGRDRSSLKNSDVKRVPDSKKALTSTVSEAFVSEREDNDACFQECFIGSKDISDLVRKAVQAAEAEARSDNAPEEAVKAAGDAAADLVKTAALEEYKSTNNEEVAFLAASRAASTVIDAASAVEVSRKSVCISTETENVSGRDTESSEDVEDYFIPDIQILTQLREKYCIQCLALLGEYVEVLGPVLHEKGVDVCLGLLQQSSKHQDPSKVAFLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRMAQTFFGLSSCLFTIGSLQGIMERVCALPSDVIYHVVELALQLIECNQDLARKNAALFFSAAFVFRAVLDAFDSQDGLQKLLGLLNDAVSIRSGVTSGALGSSNSGLLRNDRTSSAEVLTSSEKQVAYHTCVALRQYFRAHLLLLIDSIRPNKSNRSAARNIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTGWREVEKFLASNGHITMLELCQAPTVERYLHDLLQYALGVLQIVTLVPSSRKMIVNATLSTNRSGIYVILDAANISNSHVDPEIIQSALNVLINLVCPPPSISNKPPVVSQGHQFAPSQTSNGALSETRDRYADRSAQVSSHVDPRERNGESSAIDRGNAAALTTQSVNSTPQTPVPSASSGLVGDRRITLGAGARCAGLATQLEQGYHQAREAVCSSNGIKVLIQIISSRVYSSPAALDCLRALACRVLLGLARDDNIAHTLTKLQVGKKVSDIIRDSGSTTLGTEQGRWQAELSQAAIELIGIVANLGRASTLVASDAATPTLRRIERAAIAAATPITYPSSELLLLIHEHLQASGLGQTAALLLKEAQLTPLSSLLAPSSLAQQPTTQEASSTQVQWPSGRTPSGFLTSKLKFNSKNEDACLKSDAMSAKKKSLTFSSSFGSHTRHQVIDSRQSSARKLLRNGKDSSETCISENPSESSVKPNTETGPQFKTPITLPSKRKLSDLKDIPMLSSSGKRLNVGDPGLRSPISSSAVRKSSLHTDAAGLLTPASNFRSHHGRFAADYVDENQHCISSLSQTTPSCQVVNDLQPNNLERVTLDSLVVQYLKHQHRQCPAPITTLPPISLLHPHVCPEPKRSLDAPSNVTARLGTREFKFMYGGVHGNRRDRQFVFSRFRPWRTYRDDAGALFTCITFVGDSSHIAVGSHTGELKFFDSNNSNVVESFTGHQSPLTLVQSFVSGETQLLLSSCSQEVKLWDATSILGGPTRSFEGCKAARFSNSGNTFAALSSESTGREILLYDIESYQLKEKLSDTSTISTGRGHVYSLIHFSPADSMLLWNGVLWDPRVSTPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVASLDQTSITFNARGDVMYAMLRRNLEDVMSAMNARRVKHPLFSAFRTLDAINYSDIATTPVDRCVLDFATEPTDSFIGLITMDDQGEMYSSARSYEIGRRRPTDDDSDPDDAESEEEDEDEDEDDEDPLLGPGFGGESDSDADDMSNDDDSVSDPDDDDDEDGGFIMNDVDFDGEADMLDMVSDGDEDDDDSQGLSESSDDYDFGY >CAK8573551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:619429586:619432419:-1 gene:gene-LATHSAT_LOCUS25987 transcript:rna-LATHSAT_LOCUS25987 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLNCNPCLAKYSTFGGLNSPLHFASAKGHNEIVALLLENGADVNSKNYSGQTALMQACRYGHWEVVQTLLLFRCNVMRGDYLSGRTALHFAAMNGHVRCIRLVVADFVPSAPYEALRARSNAGNGGGSNVRSKNEESALSKFVNKTADGGITALHMASLNGYFDCVQLLLDLDANMSSVTFHYGTSMDLIGAGSSPLHYAACGGNLKCCQVLLARGASRMSLNCNGWLPLDIARMWGRHWLELLLAPSSDALTPTFPHSNYLSLPLMSALNIAREYGLQSSTTSSDEIDFCAVCLEKPCSVAAEGCGHELCVRCALYLCSSSNVSSETVGPPGSIPCPLCRHGIVSFVKLPGSQAKENKLHVSLGLCTPCMLHPRDVDQPSLSQASETQRNCVDSVPSELLCPITCSPFPSMTIPMCTCNDGSCPSFEPRNIEAQDEPPRHSQASTTDHDKLEGPRLDKTTCSNMLWGRRSYSRENQCNSEINA >CAK8578818.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:656875056:656876799:-1 gene:gene-LATHSAT_LOCUS30802 transcript:rna-LATHSAT_LOCUS30802 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDNFSDTVIKTRSALTDMTNRPLKRQLSSISGDVGVENLLKKKCLREDKGKNLCVELPLSGNNSSQEPSLPSGGTDDSNDSFVGFSQKSQGGSQNYDFIDRAFERDDDRENCVVDNLGLPKCAGVAVVPSIVSGSNFPGLERCAGLKDHGGANLDAHVGGDVSVELLKNCTCSFCSKAAYIWSDLHYQDVKGRLTALRKSQKEARLVVQKVSGINDTRTIINEQQGATDPSDLESTLMHQWKSLFVYMESILGHESRQLESSFEKLKDLRENCKNDLESTTNSSSGNH >CAK8564932.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:16443600:16444478:-1 gene:gene-LATHSAT_LOCUS18182 transcript:rna-LATHSAT_LOCUS18182 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWMKADRLGPIYEKGVLEFLKYADQNLPGNNGIFYCSCVNCGNIKKGTEDEILHHLCCDGVYQNYTICTWHGEVEKNQNLASQSNEVNEEEYMDDCLEDMFCDIGKSSFKKAHIYDDLCSDKDTPLYKGCTSFTRLSAVLKLFNPKANNGWSDKSFTELLHFLKQMILEDNDFPDRCYDAKKILCPIGFKYIKIHACPNDCILYKKEFENFDQCPKYGVSRYKLKDNNGDDNNNGNVSRKRPPAKVRWYLPIISRFKRPFANADDAKNIRWHANERKYDGNICHVADSL >CAK8543973.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:652919826:652929788:-1 gene:gene-LATHSAT_LOCUS12691 transcript:rna-LATHSAT_LOCUS12691 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHRKHQVSLRGASAKEITRDDLLQKVSRERELRNYAKRAAAAALFVQSVWRRFKVTKMVTLQLQQEWETSVNSYTGVMSANWISNNLLRPFLFFVTRFSNRHQKVHSKKIDSMRMCFTILLKSLNSSDSKLNYCFLAIGTTEERIIWRYQAHRLTSLGFSILSEFSECNSGAQDITIVTSLAMRILVMLTDLKGWKGIPDDNRLDADLAVKGLVEFTASSKSGSYVSIARYISALDNYSSQMKVVIQADEKFFITASAISLAVRPFSLTNFDRERPCMLNGNHAAKQYILHLMTIPLLVQHIPPVLLPALKHKSIMFTCLQALLVMTDFERGRFMEMSELVKSEILVSFKAIPPVGWSLANFICLATGKENDHVDSESFNQGLDCALYVHVIVILTESLLACLDNIEWLKKKKSLQTDAESSTHESLIMSYMDQFRPVCQQWHLTNLLASVNGDASNKAETSISNSLEYLGKLDLCDVALFYSNLLRVFSALSPIRGALPVLNMLSFTPGFLVRLWGELEDSFFSEHKHMSDNHSSESGNHKAFEKIPKQASKDGATKWVSVLHKFTGKSQTATDCRDPVGSHSAPSKVNLEPSDVWDIEPMRKGPQGIPKSMFAMLHLFCATYSHLLLVLDDIEFYEKQVPFKLEQQRRIASMLNTLVYNGLSHVNGRHNRPLMDCAVRCLHLMYERDCRHPFCPPDLWLSPARKNRPPIAVAARTHEIISANLRSDDSSSSPSLGSVITVTPHVFPFEERVEMFREFIKMDKASRRRAGEIYGPGSRAIDIEVRRGHIVEDGFQQLNSLGSKLKSSIHVSFVSECGLTEAGLDYGGLSKEFLTDISKEAFTHENGLFSQTSTSDSLLIPTASARFLDNGLQMIEFLGRIVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRSLMYVKNYDGDVKELFFDFTVTEESFGKRHVVELKSGGKDISVTNENKMQYIHAMADYKLNQQIFPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGHYDIDVDDFKNNTRYTGGYNEGSRTIKIFWEVIKGFEPKERCMVLKFVTSCSRAPLLGFKHLQPPFTIHKVACDVPLWATFGGQDVERLPSASTCYNTLKLPTYKRPGTLRTKLLYAINSNAGFELS >CAK8577563.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:574758019:574759237:-1 gene:gene-LATHSAT_LOCUS29661 transcript:rna-LATHSAT_LOCUS29661 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDPAFVQELEHRPKLSIIEAKGIPEIDLSPLFPHQLPNPSAIESLVKEIGSACKEWGFFQVTNHGVPLSLRHKLEEASRLFFAQSLEEKRKVARDAINPTGYYDTEHTKNVRDWKEVFDFLAKDPTLIPVTSDEHDGRVTQWTNQSPQYPPNFRAIIEEYIEEIEKLAFKLLELIALSLGVEAKRFEEFFKDQTSFIRFNHYPQCPSPHLALGVGRHKDAGALTILAQDEVGGLEVKRKSNQEWVLVKPTPDAYIINVGDIIQVWSNDAYESVDHRAMVKKEKERFSIPFFFNPGHDAEIKPLKELINYQNPSKYKAYKWGKFLVNRINSNFKKQDGENLQIYHYKLT >CAK8576620.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:498290238:498291530:1 gene:gene-LATHSAT_LOCUS28794 transcript:rna-LATHSAT_LOCUS28794 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLNAEASEFHPSNQVQKLHPPYLTFAPLTHQPSYPFLYYYPAATKHHFHSSTYFSFRLHPNLTTVTPTFPPSSDLKKVIAVEAASTEGSDSKQVLRDGVMEDRRSYGLRIPKLEWRKKGIDVAEKEPELKNESSRKNHHRKHVNIHEQLHSRASTDRKNKESSFPVVPVRHDGDETTVMIKNIPSKYTRDMIVKFLENHCKVENAKDQESGVENTFSFDFVYLPIDFRTGLNKGYAFVNFTKASAAWRFVQTASNQKWELFLSHKIRDVVAARLQGKEKLEKHFVSVNFPCESEEVLPLCFSPPRDGVIKGTQRTLGRLLYKL >CAK8569637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:7083255:7086854:-1 gene:gene-LATHSAT_LOCUS22465 transcript:rna-LATHSAT_LOCUS22465 gene_biotype:protein_coding transcript_biotype:protein_coding MKQENEEGRDLKKPFLHTGSWYRISGRRESSSLFGSTQAIRDSSISVIACVLIVALGPIQFGFTAGYTSPTQSAIITDLGLSVSEFSLFGSLSNVGAMVGAIASGQIAEYVGRKGSLMIASIPNIIGWLFISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNLRGSLVSVNQLSVTIGIMLAYLLGLFVEWRFLAILGIIPCMLLIPGLFFIPESPRWLAKMGMTEEFERSLQVLRGFETDISVEVNEIKASVASANRRSTVRFSELKQRRYWLPLMIGIGLLVLQQLSGINAVLFYSSTIFQNAGISSSDVATFGVGAVQVLATILTLWLADKSGRRLLLIVSSSAMALSLLVVSISFYLKEYISPDSDLYATLSLVSVAGVVVMVIAFSLGLGAMPWIIMSEILPINIKGLAGSFATLANWFFSWLVTLTANLLLDWSSGGTFTIYTAVCVFTAGFVAIWVPETKGKTLEEIQQFFR >CAK8536225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919260337:919263692:1 gene:gene-LATHSAT_LOCUS5615 transcript:rna-LATHSAT_LOCUS5615 gene_biotype:protein_coding transcript_biotype:protein_coding MERDMEMELSKFKRVCVFCGSSPGNKTSYKDAAIELGRELVSRNIDLVYGGGSIGLMGLISQAVYDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMARNSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSFLSFIDKAVEEGFISPTARHIIVSAPTPKELVKNMEEYFPQHERVASKLTWESDQLD >CAK8565166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:53454109:53454453:1 gene:gene-LATHSAT_LOCUS18401 transcript:rna-LATHSAT_LOCUS18401 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLAEAMNSVLKATRNLPITALVQSTYYRMGSLFGKQGHKWTKMLATRKVFTDDCNKGMDDEVAKANTHNVMQFDRERFCFMVQKKFNQNDGRPTGTFSVDPRNCWCDCGKF >CAK8577793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:588709098:588711133:1 gene:gene-LATHSAT_LOCUS29864 transcript:rna-LATHSAT_LOCUS29864 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTITQRQTIHVKKKALRNKSLGISFDEKDLKDYVTGFHKRKKKRRKEANKQQEEARRRKRNEGRLKRKLERDLTYGIVPPNADAETGEIDQNEDEVTEQVESQSIAATKTYENDDLKVTVVTKEINPEEESFPTERKEAVSAVSPHPVVADKKKSKSVPINNKKPFKKVAKHKSRPKQICKRDKRKGKKPGRK >CAK8533294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:601028165:601028845:1 gene:gene-LATHSAT_LOCUS2934 transcript:rna-LATHSAT_LOCUS2934 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGEMTITLDDVSCLLHLPIRGVFWSPQDISEALVVEWVVDYLGVSQRVAQQQVRDCRGSYYKLEWLYDQFVEHRAASRWHYATRAYLLMLVDSTIFADKTFTLVEARYLSPFIDLDGLSGYSWGATALVTLYRYLGDASMFSCKQLGGYPTFLQFWIHEYFPTLGKKERIGYQLIMWVSLER >CAK8576834.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:517467284:517468726:-1 gene:gene-LATHSAT_LOCUS28997 transcript:rna-LATHSAT_LOCUS28997 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSMTTLTDFGSLEDDGEYATNAITAKQPRFSVFSESHIKRLQEADINEAIKILSISRSVACLLLSYYKWNLVDVCESWFNDQQKVLKTIGLSNQPQIVELGFPKSECNICFETFSRNQIKSSWCGHPFCVKCWNKYIDISIKDRNCFKLKCPQPSCDAAVDEDMILRLASKSRKVNYGYFLLRSYVENNNDKKLKWCPAPNCRYAVSFEPVFHDSSSSRSRINYDVTCFCCHVFCWNCGEQGHSPLDCETVAKWMKKISSEIKITTSGWIIANTKPCPSCKIPIQKNKGCNHMICKCGFQFCWSCLQVRCICYAIQGEHIRVFEDIMHRNHPKNHLDRYSYYHQGWANNEISRQLALRILTKMSKSLNKYGTNLDLLYTWKLVVEFRGILKWSYVYGYYLPEDESAKIEFFDHIQGIAQAVLDRLHYCADIVYVYGRQAEEFQRYLESLTRVTQSYFMNLVKELENGLDVVRVKNYTG >CAK8576094.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:405148925:405152119:-1 gene:gene-LATHSAT_LOCUS28309 transcript:rna-LATHSAT_LOCUS28309 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLFNFKPLHHQRITTKSKPPFSSPLFLSSSINNHFSPTRVCFPLLTSHTIHNRKFHVQAQKAYSAGSNSESVASDETYQEKFSWSSVILPFVFPALGGLLFGYDIGATSGATISLQSPELSGIAWSNLSAIQLGLVVSGSLYGALLGSLLAFAIADFIGRKRQLIGAALLYLLGSAITATAPELGVLLAGRLVYGLGIGLAMHGAPLYIAETCPSQIRGTLVSLKELFIVLGILLGYFVGSFQITTVGGWRFMYGFSAPLAVLMGLGMWTLPASPRWLLLKAVQGIGSFQDLKEKAIVSLSKLRGRPPGDKESEKQIEESLVSLKSAYEDQESEANFLEVFQGPNLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADAAKVSVVIGLFKLVMTSVAVLKVDDLGRRPLLIGGVSGIALSLVLLSAYYKFLGGLPIVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGRGISMAVLTNFASNAVVTFAFSPLKEYLGADNLFLLFAAIALVSLVFIVTSVPETKGLSLEDIESKILK >CAK8564411.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672652787:672654339:-1 gene:gene-LATHSAT_LOCUS17718 transcript:rna-LATHSAT_LOCUS17718 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYSLLLLTIALTFVNAYSKDYYYNTKKPNLNKIDSCWRAKPNWSSNRKALADCAIGFGKDAIGGKYGAIYIVTDSSDDPANPKQGTLRYGAIQTKPLWIIFDRDMVIRLKNELIMNSYKTIDGRGVKVEIGNGPCITIQGVNHVIIHGISIHDCKPSNAGLVRSSPDHVGRRRGADGDAISIFASSNIWIDHCFLARSSDGLIDIIHASTAVTVSNNYFTQHDKVMLLGHNDDYTADKIMKVTIVFNRFASGLIERMPRVRFGYAHVANNKYDAWKMYAIGGSANPTILSEGNFFTAPNDNNAKEITKREAKGKGNWKSWKWRSSKDAFSNGAYFVPSGYGSCAPNYTPSQSFVAVPAYMVPAITLNAGPLNCYVGRSC >CAK8530363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:16813539:16818868:-1 gene:gene-LATHSAT_LOCUS231 transcript:rna-LATHSAT_LOCUS231 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFDTEKLTTKTNHNTVEQEVDEEELSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLISCSVLSFLNQFFAYRTEPLIITLISVQVATLPIGHFMAAILPSTKFTLPGFGSKLMSFNPGPFNMKEHVLITIFANAGSAFGSGSPYAVGIVNIIKAFYGRSISFHAAWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDNDHRISRAKFFFIALVCSFSWYVIPGYLFTTLTSISWVCWVFSKSVTAQQIGSGMKGLGVGAITLDWSAVASFLFSPLISPFFAIVNVFVGYALIVYTVIPIAYWGLDVYNAKRFPIFSSHLFTAEGKKYDISAIVNDKFELDVAKYTEQGRIHLSVFFALTYGFGFATIASTLSHVACFYGREIMERYRASSTGKEDIHTRLMKRYKDIPSWWFYALLAVTLAVSLALCIFLNDQIQMPWWGLLFAGALAFVFTLPISIITATTNQTPGLNIITEYVFGLIYPGRPIANVCFKTYGYISMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTILAGTINIGVAWWLLNSIENICHDDLLPEGSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGNYSVMNWFFLGGAVGPIIVWLFHRAFPKQSWIPLINLPVLLGATGQMPPATPLNYNAWIFFGTVFNFFVFRYRKIWWQRYNYVLSAALDTGVAFMTVLLYFSLSLESRSITWWGTAGEHCPLASCPTAKGISAPGCPPNL >CAK8571676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460229590:460232916:-1 gene:gene-LATHSAT_LOCUS24315 transcript:rna-LATHSAT_LOCUS24315 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMNRQGHPGDRKQDGSDKKEKKFEPAAPPARVGRKQRKQKGPDAASRLPTVTPVSKCKLRLLKLERVKDYLLMEEEFVAYQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >CAK8540880.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:28193105:28193795:-1 gene:gene-LATHSAT_LOCUS9839 transcript:rna-LATHSAT_LOCUS9839 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEIHLETHIKKNGEFVDKRSKKTQEEYDRRLALSLSEHPELPPPPQGYPVDPCLGFQTWYDAARRKRKNGRVYGAGGYAKTIKRRDRTFRMRLDDGEGSSRPPILTADMLETVRNLTQTEAAREAAARQAEMEEMRRRQAQMEEELKRKTAEYEEAMQTANERALKFEQFMAMHMNQGVGGRNEEDEEDDEEVD >CAK8570479.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49645150:49645803:1 gene:gene-LATHSAT_LOCUS23224 transcript:rna-LATHSAT_LOCUS23224 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFESTFSFWSSNILEPQTSFSRPPRSSSNLSNRKPSLMNIKIPSITKPLPPQNVEEDNKHYRGVRRRPWGKFAAEIRDPNRKGSRVWLGTFDTAIEAAKAYDKAAFQMRGSKAILNFPLDVATDESAEYAESSVSLSSSKYIKVGEKRERDEGNYNEIEIKSRSNNNNKQVKIEEGVSVCPLTPSCWKGFWDTDVMGTIFSVPPLSPLSPLMGC >CAK8533570.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:637581282:637581682:-1 gene:gene-LATHSAT_LOCUS3190 transcript:rna-LATHSAT_LOCUS3190 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNDEGGSSSTKTVGKRGVTRLQKIHKAKSNGKRIEVQWNARGQPIKHNSKSFASYIGVTVRRLVPISLDNWSAKENKEAVGVYKQNIWDEIEVTH >CAK8537850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:441691452:441694163:1 gene:gene-LATHSAT_LOCUS7103 transcript:rna-LATHSAT_LOCUS7103 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSRKTLGRILLKGDNITLMMNTGK >CAK8530770.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:48341978:48342886:1 gene:gene-LATHSAT_LOCUS612 transcript:rna-LATHSAT_LOCUS612 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQSLFSSSILKLLICILFYSITISAQLSPVQPPQTSTPAPGFNTVPLVPVSPSGGPAPIDITPKTSSIDIILILQKAKRFSVLVRLLKATQLITQLNSQLVTSPSSSEASDSSSAGLTLFAPEDSAFSKLKPGFLNSLSDRHKVELLQFHTLSSFISISNFNTLTNPVQTQAGDDAKRLQLNVTTSGGGHVSLTTGAVNATVTGTVYADNKLAIYQVDKVLVPLDLLLPAKAPAPAPVSKGGSSDDGSKSSTSKDDDDDENKDSPSETSSGAGYSNVKGMWMAFVVGMVLLGYEAMLSI >CAK8563623.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620955037:620955759:-1 gene:gene-LATHSAT_LOCUS17016 transcript:rna-LATHSAT_LOCUS17016 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDPEYKSSSTPVPASASRGVDFFKFDLILRFLLFAASLAAVLVMVTSNQTEYLPLPLPAKFRYSPAFVYFVAAFSVSGLYSIITTLVSLSAIRKPSLKTKLLLQLIFWDAVMFGILASATGTAGSMGYLGLKGNKHTNWNKICNIYDKFCRHVGASIAMGFIGSIVTLLLIWISAYSIHSRVPK >CAK8573349.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:604957455:604958809:1 gene:gene-LATHSAT_LOCUS25808 transcript:rna-LATHSAT_LOCUS25808 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNSNVDGKQKIMFAVTSIKGIGRRFANICCKKADIDMNKRAGELSAAELDNLMTVVANPRQFKIPDWFLNRKKDYKDGKFSQVVSNHHDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >CAK8542980.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:564034639:564036078:1 gene:gene-LATHSAT_LOCUS11773 transcript:rna-LATHSAT_LOCUS11773 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSNATVESNASDRIKLNVGGKLFETTLSTIRSGGPDSLLFALSNRSSDDPNPVFIDRDPEIFSVLLSLLRTNHLPSTALRFSKQELADEANFYGIDSHLRAATSPPPFSGIDASIVATVRPASEGFPSTFTAADNGSVWIAHGGQLSSYDWNLIHAATVRTHLDDIKSICRVWPEIAAVGTASDAGLHFYNFSGSRHVGSVHWSDPTDPRIFKARVNAITASENSVFASFDCSHRENCILEVDKAKIQIVSQLGRQSGNQAKHMVPMKLTWIPTTGVLVGSAVTGGAFGYSGYIRLWDHRSGEVVWETHEPGASGRSSRFGDSFSAIEVDVEKLLLFKLCSKSGDLAMADMRRLGDDPWVYLKEKNPSLWTDGGDGSTSSVVHCYQGQVFVGRGGELEVWSRVQEVVECESEREKESDGEGVYRRNFVDKREDSAKGVIKKIEGGGDRLFISREDVEGIEVWESSHSAGAISVL >CAK8568910.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:653615705:653639568:-1 gene:gene-LATHSAT_LOCUS21818 transcript:rna-LATHSAT_LOCUS21818 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCFRSLRFFAVFAVAVVVFGRYCVCNESKSNELEHDFAITDFDWNLFHQDYSPPAPPPPPPHPPSVSCVDDLGGVGSLDTTCNIANDANLTRDVYVAGKGNFNILPGVRFHCEIPGCMITVNVTGNFSLGDNSSILAGAFGLGAENAVFGNFSVVNTTAMAGPPPPQTSGTPHGVTGGGGGHGGRGASCLVDTTKLPEDFWGGDAYSWASLQQPDSFGSSGASTSKESDYGGLGGGIVSMVVHKVIEMNATLLADGGNGGTKGGGGSGGSIYIKGHRMTGSGMISACGGNGYAGGGGGRVSVEVFSRHDEPTIYVHGGSSFACPENAGAAGTLYDAVPRSLIVDNYNMTTDTETLLLDFPYQPLLTNVYVRNKARATVPLLWSRVQVQGQISILQGGVLSFGLPHYASSEFELLAEELLMSDSVMKVYGALRMSVKMFLMWNSKMLIECGEDITVATSLLEASNLIVLRGSSVIHSNANLGVHGQGLLNLSGPGDWIEAQRLVLSLFYSIHVGPGSVLRGPLENATTDDVTPKLHCDNQDCPYELLHPPEDCNVNSSLSFTLQICRVEDVLVEGLIKGSVVHFHRARTISIESSGTISASGMGCTGGLGHGNILSNGICSGGGHGGNGGKACSSDYCVKGGISYGTPDLPCELGSGSGNGSSTGTTAGGGIIVIGSLEHPLSSLSIKGSVNADGEDFDPAIRRGKFVNFDNFTGGPGGGSGGTILLFLHSLAIDESAILSSIGGYSGISGGGGGGGGRIHFHWSDIPTGDVYQPIATVKGDIQSGGGKGKGLGGSGADGTVSGKACPKGLYGTFCEECPAGTYKNATGSDSSLCQVCPVHELPHRAVYISVRGGITETPCPYQCVSDRYHMPDCFTALEELIYTFGGPWLFGLLLTGLLVLLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFIGPNTFSEPWHLPHTLSEQIHDIVYESAFNTFVDEINAIAAYQWWEGVIFSALSVIGYPLACSWQHWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVNATSDLMLAYVDFFLGGDEKRTDLPPRLHERLPMTLLFGGDGSYMAPFTLHNDNILTSLMSQSVQPTTWYRLVAGLNAQLRLVRHGRLRVTLRPVIRWLETHANPALSVHGVRVDLAWFEATSIRYGQYGLVVYALEEEGYPDTRGSIDGTLRTEETSRVPTVKREHHSGLASGADLSSGGRIENNCLRRKIQGVSLDVNNLQMLDEKRDIFYLLSFILQNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPFGILLPFPVGINALFSHGPRRSAGLARLYALWNLTSFINVVVAFLCGYIHYNSQSSSSKRQPSIQPWNIMDDNEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFELFWQS >CAK8576054.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:393825126:393825515:-1 gene:gene-LATHSAT_LOCUS28271 transcript:rna-LATHSAT_LOCUS28271 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHDQELQPSTKQDYEVRQKQQREEKQHNNVSLDLKIKIPSYEEEKDIDSLNDGFKTPTTMEHKIQAILPPPPRKPKQHRPSKKRKGHFNSQVILDLSQEIEYLFSTTPLDLDLGPSNGKNHKKVKLF >CAK8544716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701698173:701703184:-1 gene:gene-LATHSAT_LOCUS13371 transcript:rna-LATHSAT_LOCUS13371 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSDMKGAKEAVGAQQALTSNTNDNGAVDFFYSAQGFQPLFTQLQLSFSASNLLDLDIASKSDPMVVVYEKKGDGKLEELGRTEVIMNCLNPEWIEKINIAFHFEIVQPLVFHVYDIDTKYHSVPTKTLKLNDQEFLGEANCTLSEIVTKPSRSLTLRLQNKSENISQRNQGAITIHAEETVAARSAVEIVFHCSHLDNKDIFSKSDPFLRISRMVETGGSVPICKTEVIDNNLNPKWKPLCLSVQQFGNKDNPLVIECFDFNSSGNHVLIGKLQKSVADLEKLYHERKGVNFVMPSKHHEQEKVLKGQLFVDQYCEKQQFSFIDYISSGFELNFMVAVDFTASNGNPQQPDSLHYISGSGRLNSYQNAIMEVGEVIQFYDSDKRFPAWGFGGMIPGGTVSHCFNLNGNPASSEVVGVEGIMEAYASALHTVRLSGPTLFGPVINMAAQMAAESLSSYNSTKYYVLLIITDGVVTDLQESISALVKASDLPLSILIVGVGSADFKSMEILDADNGHRLVSSTGRVATRDIVQFVPMREVHSRQISVVQALLEELPNQFLTFMRSRDIKPLNSYFPHVASSNQ >CAK8542676.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536786501:536787811:1 gene:gene-LATHSAT_LOCUS11495 transcript:rna-LATHSAT_LOCUS11495 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGAGNSDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPAAYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTPKLAGLLENFIKKYVQCYGCGNPETEILITRNQMIQLKCAACGFVSDVDMRDKLTTFIIKNPPETKKGSKDKKAMRRAEKERLKEGEAADEELKKLKKEVVKKKVVPKESTAKSTSSRKKGNGSDEDHSSPTRSPTDEKDKAEDEDDVQWQTDTSLEAARQRIQEQLSAVTADMVMLSTNEAEEKAASKVSDNPDNGNSMNFKTLVAEIKADLKKGVKPKELVAHWATLPVSPQEKMSALYEALLGDVEKSFSKAVIRQRSYLAAAAAEEEGMPLLLLRAIEEFFSKFTSNSNAVKEVAAVVKALYDADALEEEHIMQWYQEGLKGDRKNSQIWKIMQPFVEWLQNAESEED >CAK8574097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:662186280:662186831:1 gene:gene-LATHSAT_LOCUS26474 transcript:rna-LATHSAT_LOCUS26474 gene_biotype:protein_coding transcript_biotype:protein_coding MADENSCKKRLRNDSSESEIESSEAKKLRDDLLEFLNDSDSAPSMQELDSVMKSLQEEISASSSTSVRVTSDSGESQAQIGYLLEASDDELGLPPPGYPSVHEVVNEEKKDETDLARVLSDSSGIGELWEFENRIPSYDSFDLGNGFGGFGYDSFDGIFNHSDVYYDSNEFSDSWRHGTLPAQ >CAK8579446.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:699699376:699705329:-1 gene:gene-LATHSAT_LOCUS31393 transcript:rna-LATHSAT_LOCUS31393 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSPLKVNMELHSKNRQNLLKSLRQHLSQSSLPLHGFVLLQGGDEQTRYDTDHLELFRQESYFAYLFGVKEPGFYGAIDIASGDSILFAPRLPDEYAVWMGKIKSVSHFKEHYKVSTVCFTDEIATVLQQNYQGSGKPLLFLLHGLNTDSNNFAKPAEFQGIDKFDKDLTTLHPILTECRVIKSDLEIDLIQYANDISSEAHIEVMKKAKAGMKEYQLESIFLHHTYMYGGCRHCSYTCICATGDNSAVLHYGHTAAPNDKTLEDGDMALLDMGAEYHFYGSDITCSYPINGKFTGDQSLIYNAVLDAHDAVISSMKPGVSWVDMHILSHKVILESLKKGRILVGNVDDTMVACLGHVFMPHGLGHLLGLDTHDPGGYPEGLERRKEPGLKALRTARVLQEGMVITVEPGCYFIEALLLPAMNNPETSKFFNKEVINRFIGFGGVRIESDLLVTATGCYNMTKCPRKIQEIEAVMAGAPWPVKKTSTTVENGLESKA >CAK8544726.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:702503756:702508324:1 gene:gene-LATHSAT_LOCUS13381 transcript:rna-LATHSAT_LOCUS13381 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMGFGVSPISTITTTTTTSLRKHRHKLQVHQPPGNSDVLSSESDQFNRASSSISVETNQNGSAIKEAVKHKAPSSLSSTVVDDEEAGLAALWDDGYGNRTVEDYFALSKEICEFDGGPPRWFCPIESTHPSKDSPTMMFLPGMDGTGFGLSLHHQALARFFEVRCLHIPVRDRTPFEGLVKLVEEAVKLEYALSPKKPVYLVGDSLGGCLALAVAARNPTVDLILILVNPATSFGRSQLQPLLPILEAMPDELHVAVPFLLSFVMGEPLKMASVNIGNGLPPTKIMEQLSYNLTSLLPCLPELADIIPKDTLLWKIKLLKSAAAYTNSRLHAVRAEVLVLASGKDNMLPSVNEAQRLAGTLKNCKIRIFKDNGHTLLLEDCIGLLTIIKGTCMYRRTRRHDLVMDFIPPSMTEFRYAMDQVVGLFRSVTGSVMFSTLEDGKIVKGLSGVPDEGPVLFVGYHMLLGLELISLTDEFLSQKGIALRGIAHPDLFTGKIESTSSEFSMVDWVKIFGGVPVSASNLFKLLSTKSHVLLYPGGAREALHFKGEEYKLIWPDHPEFVRMAARFGATIVPFGAVGEDDIAEIALDYNDLMKIPILNDYIKDLNRDSVKIRDEISGEVANQNLSFPVLLPKIPGRFYYLFGKPIRMKGMENIVKDKENANELYLRIKSEVEKNIDYLIKKREEDPYRNLIDRKMHQTFYPSETDQTPTFKP >CAK8579662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715086865:715091929:-1 gene:gene-LATHSAT_LOCUS31590 transcript:rna-LATHSAT_LOCUS31590-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTSNESIQLCIFDLRRGQNEGQELDKILFFFPSGLPFSKQLSVIGLSEGLITFTRIFSPEAACDSIEAERHSHVFHEPEPDIWMVMVVAKSNDSEPIWRDDALRKVLKEIHSLFVMFHGSVRAMLEKEPGGGLVRRHLYSFIMDYLRACIKRSPWEDCCCDFLVGKKFLLPSFRDCLKERGTVQMLTITREAAIEVQSLVRAFESSAINTPCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPRALSSGASSWSYLRKGNVTSNVVTETNVTHPSSMSESFYGSSDTSHGEDNNYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVLLQQTGERMYLCAYQHRSLTLMLLIPISSIPNGEQGVSAVKQQVLENASLKILKIEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPTTKVTTLTKESLLAMNKLRQEVELEKSRAKLDDNNCEKDLEVCIRAKNNAWVISRVTRGKELYMVLEKANETLLYASDAVEKFSNRYCDGTFSLD >CAK8579661.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:715086865:715091929:-1 gene:gene-LATHSAT_LOCUS31590 transcript:rna-LATHSAT_LOCUS31590 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTSNESIQLCIFDLRRGQNEGQELDKILFFFPSGLPFSKQLSVIGLSEGLITFTRIFSPEAACDSIEAERHSHVFHEPEPDIWMVMVVAKSNDSEPIWRDDALRKVLKEIHSLFVMFHGSVRAMLEKEPGGGLVRRHLYSFIMDYLRDFLVGKKFLLPSFRDCLKERGTVQMLTITREAAIEVQSLVRAFESSAINTPCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPRALSSGASSWSYLRKGNVTSNVVTETNVTHPSSMSESFYGSSDTSHGEDNNYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVLLQQTGERMYLCAYQHRSLTLMLLIPISSIPNGEQGVSAVKQQVLENASLKILKIEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPTTKVTTLTKESLLAMNKLRQEVELEKSRAKLDDNNCEKDLEVCIRAKNNAWVISRVTRGKELYMVLEKANETLLYASDAVEKFSNRYCDGTFSLD >CAK8537211.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:140122203:140123168:1 gene:gene-LATHSAT_LOCUS6517 transcript:rna-LATHSAT_LOCUS6517 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGRGQPKKTVSPPPKLTIPQTTQPEQSQDTNEPHAKQHSQHVEALMGTKEQAEQRKLWVDVLNDNRNPSKGVAMKYVPPSIVAGEIQITIEDEDVATELKFWENSLIMYVLGGDLSMNTVKNFMERMWNFIKLPDIHYHEEGYFILKFQSHMDMDQVISIINDRNGAKLVQPIEYEWKPKFCEQCQRFGHICEAPKPVKVWQPKQKQKAEHVRIDDVERMTPAMAAAEPSSTPTTEGTNLHIQKNANLENWTTSTKSGRDKHKSTPKDKVLLNCTNGFGLLGDMNVSKMLVDGDPC >CAK8577363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:557300659:557301045:1 gene:gene-LATHSAT_LOCUS29477 transcript:rna-LATHSAT_LOCUS29477 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTAGSAAKAVAEYQYPWREKLAKYKDELVKGVWGYWELGAWKPLSISARHRARLRKEVLLAGEDWTFDPERKEMKTRQKGHKVDRIAAEKRANTARLMEKMPNMLLDYKKKTWQKKMKEEDKGKL >CAK8537201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:131826220:131826969:-1 gene:gene-LATHSAT_LOCUS6507 transcript:rna-LATHSAT_LOCUS6507 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSGYPAYLAARLASFYEHAGKVKCLGGPERTGSVTIVGVVSPPGGDFSDPVTFATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSMALESFFEQFDPDFINIRTRAREVLQREDDLNEIVQVCSFAVNHLVVQ >CAK8566610.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:442117644:442119643:1 gene:gene-LATHSAT_LOCUS19730 transcript:rna-LATHSAT_LOCUS19730 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMIRRNKKAKVMKINGETFKLKTPARANDVVKHYPGHALLDSQAVKQFGLRAKPLEPYQELKPKKIYFLVELPKLQPEPVPRRARSTGIRGMNAMERLDFLMLSKRSVSDVSLVKRSSLGDGRAGLVRDGSTRVKMRLPKAQLDRLVEESCDGTEVAEKILSLYMGNNAAVEGGGGGNEAKFEVHNQRSRRKRVSFSPVEEGEIHEEAAAQ >CAK8540491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:9038811:9039348:-1 gene:gene-LATHSAT_LOCUS9493 transcript:rna-LATHSAT_LOCUS9493 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSTVFYSFGSLRSILFAFWLQKAYSSPKDDPDLGAEEKRIILEGGVSKAPVSDIFFHVFNTHYEKQEVNNRP >CAK8579113.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:675924537:675925508:-1 gene:gene-LATHSAT_LOCUS31081 transcript:rna-LATHSAT_LOCUS31081 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFIKNGVSRLPPGFRFQPTDEELVFQYLKCKIFSCPLPASIIPEVNVCKYDPWDLPGGCDEHERHFFSSKETKFRNSNRMSRTTKCGYWKATGSDKRISSSTCNGIVGIRKTLVFYQGKSPNGSRTNWILHEYRLVNAETNSSNSTQNYGNEIGDWLLCRLSTRKRNLEYGSTSTHNNNNNNNNNATPNSRLMFDFMMVNNNKTCSSTSSSCSSSSNNNIEVSSNLQDHEQDYADHF >CAK8569352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:695981152:695981692:-1 gene:gene-LATHSAT_LOCUS22213 transcript:rna-LATHSAT_LOCUS22213 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTEQLQISCMIGILSLGNLKDANILVDEIKKQTQASEVEFPKTDLMQFINFLLQTMERDAFPLFNMLRANFKGCIEREPAFNELLDDIAEKFYGVQRRNPMGMFGDIFKMMGAE >CAK8533419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:615935735:615937012:1 gene:gene-LATHSAT_LOCUS3044 transcript:rna-LATHSAT_LOCUS3044 gene_biotype:protein_coding transcript_biotype:protein_coding MENILQEVPIQTPIIEPQSTKTPTPPPPTVHHHHKPSNELRKPVTPDHLKLPKAFKYPERYTSPTDMMMSPVTKGLLARNKRAAAKLPPGIGAAKYQPKVPEMCLQDVSTFQNKLPMMIDEKINST >CAK8579569.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:708214549:708214854:1 gene:gene-LATHSAT_LOCUS31504 transcript:rna-LATHSAT_LOCUS31504 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNPDHITSLYPEVIESNPDIPSYPSSSSQSNLCPSIDFNDLVQNLFLDDVAPTVDTPNSPLAPLETTEEILTKIPDTILNLIDKEYSVELAFGDFTVV >CAK8567500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:518932847:518935242:1 gene:gene-LATHSAT_LOCUS20547 transcript:rna-LATHSAT_LOCUS20547 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQIIKCRAAVAWEAGKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDHALPVFTGECGECPHCKSEESNMCDLLRINTDRGVMLNDNKSRFSIKGQPVHHFVGTSTFSEYTVVHAGCVAKINPEAPLDKVCILSCGICTGLGATINVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVNEFVNPKDHDKPVQQVIAEMTNGGVDRAVECTGSIQAMTSAFECVHDGWGVAVLVGVPSKDDAFKTHPMNFLNERTLKGTFYGNYKPRTDLPNVVEKYMKGELELEKFITHRIPFSEINKAFDYMLKGESIRCIIKMEE >CAK8570066.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:22838971:22842195:1 gene:gene-LATHSAT_LOCUS22850 transcript:rna-LATHSAT_LOCUS22850 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAVLHSEPLHFECNNTKHMTDEDVYPPNSNSEPLPGEESNQSINTNDLQDKGYMEHGCQHYRRRCRIRAPCCNEIFDCRHCHNEAKNDINIDYKHRHDMPRHQVKQVICSLCGTEQEVQQNCTNCGVCMGKYFCQTCKLFDDDISKQQYHCNGCGICRTGGHENFFHCNKCGCCYSTLLKNSHPCVEGAMHHDCPVCFEYLFDSRNDVIVMPCGHTIHKSCLNEMREHYQYACPLCSKSVCDMSKVWEKLDMEIAATPMPESYQNKMVWILCNDCGKTSHVKFHFVAQKCLNCKSYNTRQTRG >CAK8530775.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:49210864:49212440:-1 gene:gene-LATHSAT_LOCUS617 transcript:rna-LATHSAT_LOCUS617 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQTSLNSVSLFVSKTYMMVSDPITDVIVSWSSTGRSFVVWNQPEFSEHLLPKFFKHNNFSSFVRQLNTYGFKKIGQEQWEFYNENFVRNQPDLLKNIQRRRPVFSHSAPNAQSQRDAASGSGSAAVPLTESMRRNFKTQIEKLRHEKEQLLRDRQRQHEEWNQNEMRLHYSKDRLQQLEINQQSLISFVGQVLQKSVEEASLLPSTVNTGTKRSYLGNSHYNNLANVELWKEPSEELARANAESASVLSITMERLVLLESSLTFWENLVKEVTDTSYDTSSNLDFDDSMNSAQLDFEVQPNSSGNRMNSVTDLAVVPDPGDFFVYDPIVPEPAAIAVSDPIAPEPVVTVVPDSDTSEEQPVGIIPGTTEFNTQFWQKYLVEDPYEFESENPREISKYCWSIKETKKPQIDQAWKA >CAK8535700.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:875472307:875472735:1 gene:gene-LATHSAT_LOCUS5132 transcript:rna-LATHSAT_LOCUS5132 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSKIIISYNGRSTPKCGHSLSMKMFVSKSDANPGRKYWKCRFWGKEEVCQLFYWDDKYFGSIDRKRIEEGDDGCSRCNVMIEHLRKFGKYFRKEFGRGFGTKPCSKEMEEMRNKLENTRKKLAFVVVVLICSWVYFVIV >CAK8570294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:34621876:34623651:-1 gene:gene-LATHSAT_LOCUS23056 transcript:rna-LATHSAT_LOCUS23056 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIISSELADASYRNAKLYSLKGTDVAPGLSSHNFVPDKHRSMYMNDSYSSESYEKYFLDSPTEELIEPSSSGVSGNSVHADCDSYQIRASSGTSVVTDNPFDTYVSSTRLHDAYQLNFESDLLENESPDCFEFDGEMRLKLQELERALLSDNNDDDDDDEENMFRTVQSMDIDPDFAECANLVQNMSHHDSPKESLSSDSNLSSSSCTKEISQISPRTPKQLLYECACALSEGNEGKASAMIDDLRQMVSINGDPSERIAAYMVEGLAARLASSGKCIYKALKCKEPPSSDRLASMQILFEVCPCFKFGFIAANGAIAEAIKDDKKVHIIDFDINQGSQYITLIQTLASRPGKPPFVRLTGVDDPESVQRSVGGLSIIGQRLEKLAEVLGLPFEFRAVASSSSIVTPSMLNCRPGEAIVVNFAFQLHHMRDESVSTVNERDQLLRMVKSLKPKLVTVVEQDVNTNTSPFLPRFVEAYNYYSAVFESLDATLPRESQDRVNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMRMAGFISSPMSTNVEEAIRELIRQYCDKYKIKEEMGALHFGWEDKNLIVASAWK >CAK8561929.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:413241412:413242426:-1 gene:gene-LATHSAT_LOCUS15474 transcript:rna-LATHSAT_LOCUS15474 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAKSDSKAVDSRLKRKGAGTGRKQSKKAAKDPNKPKRPPSAFFVFMGDFREQYKKDHPNNKSVAAVGKACGEEWKSLTEEEKAPYVARALKKKEEYEITLQAYNKKLEGKDDEEGSDKSKSEVNDEEEDEEDEEDDDDE >CAK8566820.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:465283903:465285332:-1 gene:gene-LATHSAT_LOCUS19925 transcript:rna-LATHSAT_LOCUS19925 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFMQRVRCWEYRQQSSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSLAEERAGRKLGGLRVLNSYWVNEDSTFKYFEVILVDVAHTAIRNDPRINWLVNPVHKHRELRGLTSAGKENRGLRGKGHRNHKNRPSRRATWKKNNTLSLRRYR >CAK8576966.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:528315404:528318639:1 gene:gene-LATHSAT_LOCUS29118 transcript:rna-LATHSAT_LOCUS29118 gene_biotype:protein_coding transcript_biotype:protein_coding METQPHVNLQHATVSSSDADNLPNDAVADVVADVSNHRVTEEKTDVSRDEVIRILQAIASSGRFWHDWDNLKSMLSFQLKQVLSEYPEAKMTSEQQYASLRESYSDLVNKLNDALTCFIDGPPFTLQRVCEILLDAKNIYPNLSKLALALEKNLLVTSTLTICTDPYPQEPVQETDTIEKPSEKQQEQQSDGAQNGTEPLVTDSDEVMVEADTTDDVTIEMETFEDAKSSENDPEPNANDAQAL >CAK8531920.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:176726062:176726595:-1 gene:gene-LATHSAT_LOCUS1677 transcript:rna-LATHSAT_LOCUS1677 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSENPVQHTSSFTATDSSSAGSMDPIFHVIRILPFSFLRPPRLRLKLPSISLPSSNVVFALVLLTYFMVVSGIVYDIIVEPPGIGSTQDPYTGSVRPVVFMSGRVNGQYIIEGLSSGFMFVLGGIGIIMLDLALDRNRDRSVKVSYASAGVTSIVIAYVMSMLFVRIKIPAYLS >CAK8562739.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532585029:532585376:-1 gene:gene-LATHSAT_LOCUS16210 transcript:rna-LATHSAT_LOCUS16210 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHMATLLKLHLQLTRPIAGSSSSILLILKLLAGFRFFRDEALYQSRLFLFRFGQIAFNTEHQVSNVARMERALRLIFPRHAAVADSDSTSSESDRELQNQQEEMFYSLSMMAL >CAK8536607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:2033705:2035150:1 gene:gene-LATHSAT_LOCUS5961 transcript:rna-LATHSAT_LOCUS5961 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPEIEVAVVDIAAPRINAWNSEHLPIYEPGLEDVVKECRGRNLFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSAKIVVEKSTVPVKTAEAIERILTHNRKGINFTILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGQKAIHTLRDVYAHWVPSDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAQVSHSIGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECHGLPEVANYWKQVIKVNDYQKSRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIFDPQVSEEQILKDLAMKKFDWDHPAHLQPTSPTTSKKEVTVVWDAYEALKDAHGICIMTEWDEFKNLDYQKVFNDMQKPAFIFDGRNVVDVKKLREIGFIVYSIGKPLDAWLKDMPAVA >CAK8564062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:648758143:648761590:1 gene:gene-LATHSAT_LOCUS17403 transcript:rna-LATHSAT_LOCUS17403 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQNNRYTVPTLFFLALFLLSIFFYSFFFFNRITLQTPLSYPSDLYIQVKPSLLSPPPPPPQFSSITSTVGASVADHESVDVTDSENADDAGVGLKGCDLYTGTWVEDENYPIYEPGSCPYVDEAYDCKNNGRNDTHYTQWRWKPYGCDLPRFSAKDFLSRLRGKNLMLVGDSMNRNQFESILCILREGLHNKSKMYEIHGHNITKGRGYFIFKFEDYDCTVSFVRSHFLVREGVRLNAQGSSNPTLSIDRIDKTSNRWKRADILVFNTGHWWAHGKTARGINYYKEGDYLYPKFDQVEAYRKAIATWGKWIDNNINPEKQTVYYRGYSSAHFRGGDWDSGGSCNGETEPVLSGSILNNYPLKMKIVEEVIQRMKIPVKLLNVTRLTNFRKDGHPSVYSKSLVDGKKVSMRRQDCSHWCLPGVPDAWNELIYATLVLQQTNSRN >CAK8575017.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:22179905:22181168:-1 gene:gene-LATHSAT_LOCUS27310 transcript:rna-LATHSAT_LOCUS27310 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSTNLKVLVIHHDTILLDQIQEMCDRFHYLVTKCTSPSYAFNLLAESNGYFDILLIDLCMPNMDSYSFVQYVTLHHKIPVIVISSDATRSSVIKSIVNGACGYWLQPLHEKQFKTMWQHAARKTLMENKEHQDLGLLKVRTDKEPEVLGFLETQSHPEDENLEILKAQSHKEHEVLEILEAPRHAKKSRLSWTQQLHQQFVNAVNQLGLDEAKPRNILRIMDVTDLTTAQVASHLQKYRNYLKRPSCGKRSKKSPRIEIPAECINKTSLESEDAHSMLQQDQSSQLNSTLHSHNIFETQQQSYDVTNYHPVSDICYSYETQQHSIDVGDGRVSDIMSNDFPDPSDVLFDLDELISLLI >CAK8574808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9818364:9818963:1 gene:gene-LATHSAT_LOCUS27114 transcript:rna-LATHSAT_LOCUS27114 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLTKHTAMSTQYFITIFFFFLISCHTITSTSSLENEEAKKVLGLNKKEKLSHLKFYWHSIASGKNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELRSKVVGKSQGFYVYASQEEFSLFMGMNFALIEGKYNGSTFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHYLNLKTAYAIDEYNVYVFHY >CAK8574809.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:9818394:9818963:1 gene:gene-LATHSAT_LOCUS27114 transcript:rna-LATHSAT_LOCUS27114-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQYFITIFFFFLISCHTITSTSSLENEEAKKVLGLNKKEKLSHLKFYWHSIASGKNSTSIEVVPTPKKLNSSTSFGSFNMIDNPLTLGPELRSKVVGKSQGFYVYASQEEFSLFMGMNFALIEGKYNGSTFTILGSNPISHKVREMPVIGGTGLFKLARGYAQVTTHYLNLKTAYAIDEYNVYVFHY >CAK8531609.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:130107607:130108218:1 gene:gene-LATHSAT_LOCUS1387 transcript:rna-LATHSAT_LOCUS1387 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNPGSIEWATLLGACRKHGNVKLAMKAVAAPYVMLSNMYASDGRWEEAARLKRLMHERGVKKKPGCSWIEIDKKVHVFVAEDTSHPRIKEIHKYMGELLRKLKQAGYVADIRLALVKDEEVESEEKERRLWHHSEKLVIAFGLISTEEGALILVVKNLRICCDCHNAIKLISVIFGREITVRDTRRFHCFKEGQCSCRDYW >CAK8561120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97787170:97787469:1 gene:gene-LATHSAT_LOCUS14738 transcript:rna-LATHSAT_LOCUS14738-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAKDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRMAHSSIMTPENIELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8561119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:97787161:97787469:1 gene:gene-LATHSAT_LOCUS14738 transcript:rna-LATHSAT_LOCUS14738 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMKAMAKDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRMAHSSIMTPENIELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8567089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:485941436:485942720:-1 gene:gene-LATHSAT_LOCUS20174 transcript:rna-LATHSAT_LOCUS20174 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNEIRQAQRAEGPAALFAIGTATPQNCVDQSTYPDFYFRITNSEHKTELKEKFQRMCDKSMIKKRYMHLTEEILKENPSMCEYMATSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPLPDVEKPLFELVWTAQTIVPDSDGAIDGHLREAGLTFHLLKDVPSLVSKNIEKALVEAFQPLNISDYNSIFWIAHPGGPAILDQVEAKLGLKPEKMQATRHVLSEYGNMSSACVLFILDEMRRKSKEDRLATTGEGLEWGVLFGFGPGLTVETVLLHSMAT >CAK8539059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502662675:502663757:1 gene:gene-LATHSAT_LOCUS8193 transcript:rna-LATHSAT_LOCUS8193 gene_biotype:protein_coding transcript_biotype:protein_coding MREHDNSYHNSSAEFIMKDHHHHRPSSSLSGKKRKETESSSSGINNNDNTPRACTECGRKFWSWKALFGHMRCHPERQWRGINPPPNFRRHQQHQQYQQQQPRIHSHSPSQFSIMTPEDHEVASCLLLLANSNSKTNNNSINKTAKLKAVAAVTTVDDSSSVMDQEINNSNTHFVCSCCNKVFGSHQALGGHRASHKNVKGCFANTTSSPATTVTASTSKMLTWTQQTQGGGGEHAFGGGGGADHHHDEYNHHSMMNLMTVPRDGYNADVEGEAVNENSGGHKCGICLRVFTTGQALGGHKRCHFDSSNSNSNSVAPTTSNKSSSLNVDLNFPFPPLPPPPPSPPDDDEPSLTLDLKLGL >CAK8539060.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:502662723:502663757:1 gene:gene-LATHSAT_LOCUS8193 transcript:rna-LATHSAT_LOCUS8193-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHHHHRPSSSLSGKKRKETESSSSGINNNDNTPRACTECGRKFWSWKALFGHMRCHPERQWRGINPPPNFRRHQQHQQYQQQQPRIHSHSPSQFSIMTPEDHEVASCLLLLANSNSKTNNNSINKTAKLKAVAAVTTVDDSSSVMDQEINNSNTHFVCSCCNKVFGSHQALGGHRASHKNVKGCFANTTSSPATTVTASTSKMLTWTQQTQGGGGEHAFGGGGGADHHHDEYNHHSMMNLMTVPRDGYNADVEGEAVNENSGGHKCGICLRVFTTGQALGGHKRCHFDSSNSNSNSVAPTTSNKSSSLNVDLNFPFPPLPPPPPSPPDDDEPSLTLDLKLGL >CAK8567886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:554533760:554534180:-1 gene:gene-LATHSAT_LOCUS20901 transcript:rna-LATHSAT_LOCUS20901 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPLSHLSLFVVGLNNSEVQLWDTTSDKHLRTLRGGHRQRVGSLAWNNHILTTGGMDGRILNNDVRVRSPIVDTYRGHEQEVCGLKWSTYHCVIYVHNLYNPLI >CAK8573705.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:631410305:631410919:1 gene:gene-LATHSAT_LOCUS26114 transcript:rna-LATHSAT_LOCUS26114 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSIGKVNLIGVLIFLLSLLLLVVVQSSAAALNGNTMKIHPAPRKRNITIQFGTNGSNPASEAQALLGISGKKLRRLPHVFSRVLELPFRSDADVAIEEAPDCFRFVVETEGIGEVRTHTVEIHPGVTKIVVRDGESLELSLDQLELDMWRFRLPDSTLPELASAVFVDGELIVTVPKGHDLQNVEGGDNDRGMGGRLVLVQ >CAK8544057.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:660643067:660643807:1 gene:gene-LATHSAT_LOCUS12766 transcript:rna-LATHSAT_LOCUS12766 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEFKIPPVSFASAGNPSIGDPNSQQRRVPRPPFQPNSGIPFMSFDIGSAAVSTSSGPIYTGPGIGGGSVNFDDEEPLLDELGIHPDQIWSKIRSVLNPFRVNHTVHKDADLSGPILLYMAFCLFQLLAGKIQFGVILGWIVVSSILLYVVFNMLAGRTGNLDLHTCTSVVGYSMLPVVIFSALSLFLPQGGYLGISIAAVFVLWATRASTGLVVSLTDGGDEHRGLIAYACFLIYTLFSLLVIF >CAK8534856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:778418152:778418526:1 gene:gene-LATHSAT_LOCUS4363 transcript:rna-LATHSAT_LOCUS4363 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKTHCYVTYSSTEEAVETRNAVYNLQWPPNGGRLLVAEYVDPEEVKMKLEAPPTPTASVNSVPTRERLPSPPPLPEKVEPPIVTLDDLFRKTTATPRIYWLPLSEEQVAAKLAAQGKSTRQ >CAK8578312.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:619573421:619574380:1 gene:gene-LATHSAT_LOCUS30334 transcript:rna-LATHSAT_LOCUS30334 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSTFDLVSDDSDHQFNLHNIGGNCFRNSKSAVYKRIMKEWKILEKNLPDSIHVKAYERRIDLLRAVIIGAAGTPYHDGLFFFDIAFPEDYPHSPPKIHYISFGYRLNPNLYPNGFVCLSLLNTWSGKKCERWDPSTSTLLQVLVSIQALVLNEKPLYNEPAYRVLSRSLFESKSRAYSGDVFVLTCYSAVCLIRKPPMNFEEFVKEHFRERGYVLLAACKEYANGRVRPGFYGYNSNTEVVKVTASLQKLLRNAYGVMFKRFLECGASLEGFVAELEVEKEIKGKRSNGGKGIIMKAMGKIKQALGWKKEQKENTA >CAK8563434.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603457000:603458297:1 gene:gene-LATHSAT_LOCUS16846 transcript:rna-LATHSAT_LOCUS16846 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLNVLAFVLLAIANLLHGSLAQTRHVVGDATGWTIPNNGASFYVNWASKNTFTVGDTLVFNFPTGQHDVAKVTKSNFDGCNGANTLSMLTNGPATVTLNETGQQYFICTFGTHCSMGQKVSINVVKASTSPVAAPKPSASPPKQAPVQAPTPTTTASPAPTPTTTASPAPAPAPVTGPVTYTVGDTLGWTVPTNDPAAYTSWASRKSFKVGDILVFNFPLNVHNVEEVTKEKYGSCNSSSPIATFSNPPVRVTLNKTGTHYFICGVPGHCSGGQKLSINVGGSSSSSPASSPSPSATSPSPSASSPSPSASSPSPATGATPPPSSTVTPSSQSPGGSLSPPPENSSAASLGVTGLFVAILSVAAAFFC >CAK8563433.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603457000:603458297:1 gene:gene-LATHSAT_LOCUS16846 transcript:rna-LATHSAT_LOCUS16846-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLNVLAFVLLAIANLLHGSLAQTRHVVGDATGWTIPNNGASFYVNWASKNTFTVGDTLVFNFPTGQHDVAKVTKSNFDGCNGANTLSMLTNGPATVTLNETGQQYFICTFGTHCSMGQKVSINVVKASTSPVAAPKPSASPPKQAPVQAPTPTTTASPAPTPTTTASPAPAPAPVTGPVTYTVGDTLGWTVPTNDPAAYTSWASRKSFKVGDILVFNFPLNVHNVEEVTKEKYGSCNSSSPIATFSNPPVRVTLNKTGTHYFICGVPGHCSGGQKLSINVGGSSSSSPASSPSPSATSPSPSASSPSPSASSPSPATGGSLSPPPENSSAASLGVTGLFVAILSVAAAFFC >CAK8569925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:15964367:15967646:-1 gene:gene-LATHSAT_LOCUS22724 transcript:rna-LATHSAT_LOCUS22724 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWNLFHARISSTHAILQLFLLHIFLFLVKQAVSENLEYSTCDMGYLNSVLSSSSQVHAADDSPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEVVGLFGVFDGHGGARAAEYVKQNLFSNLISHPKFISDTKSAIADAYTHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVAMIKPIEDAEVAAKRLMKEAYQRGSSDNITCVVVRFLMNNQGSSSRNSSG >CAK8561274.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:133018016:133018759:-1 gene:gene-LATHSAT_LOCUS14882 transcript:rna-LATHSAT_LOCUS14882 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVLKEDRCPVYAVTTDGKPIYTYKIDGHFIWDVDPTRCDPDCDCWMHDNDIERDIILPKTKKKGRCKPSPPPQRRPDPDNGPWVGIHRKKKPLCIYEEGLKILRKEGLLPPDDPTLITWSPTDHCKPLHPPDVAQPIPCFMYSTKTSEYDRQFPASERKMDPITGRTSKPFIHPSEVQPYGKLKTLTQAEEVLNWQSENMVSQNEILQNLDKKVDKIAEKIDETGEDLKVLSQKMQKHYRSLKA >CAK8561971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:419356000:419363997:-1 gene:gene-LATHSAT_LOCUS15512 transcript:rna-LATHSAT_LOCUS15512 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLNPEPILSQTSSIFPNKTPNPTRFHPHPFPNLFLQDRNRRILHRKLSLTVKALLDSTTVEQFGVPEFDVRNPSLSSSYRSSTLTKPNQTVLEAQTRVCTGPTQTRPLDDEQAFKVFDTILRSARGEIKDEEEVSKAQMGAFFAAMTIRANAFPEATQWSEGEIRAMKTFWPLLVRVLPPDVVFLADPEGLMMGLGSSIGPQFVGNGTTEMRLVGALREVLAGGHLGFEEVQGVLKEVLPFQEGGEKTHGASEALLAAFLIGQRMNRETDRELKAYCLAFDEEIGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGITEEQMLKFMGANISLSPFNAKKLLEDDEVGFAYVSQHEARPSLYSLIGIREHIKKRPPLATTEKVQQYVKASGKEAIVTGFYHGGYEESLLMLMKRRGVHSGLVVKGEEGALSMTTRLRSVSTTKGLPVNYCSGFRSVDISSTSEPGGVTRQGFSLEVNAKDYGFEPTDTPRTDRSVSRNLELGLSALSGEKGPAYDRIVLNAGMVDHLLGAEGAEDISAALDRAREAIDSGSALKRLLNYIKLSHKVT >CAK8539439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:512818051:512818755:-1 gene:gene-LATHSAT_LOCUS8534 transcript:rna-LATHSAT_LOCUS8534 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMKLVWSPERATNSYIDTVQAVSTTHCHLSSESGVAELLSAMAAGWNAQLIVETWSRGGVIPTSLGLSIASRHTGGRHVCIVPDERSRSEYAKIMREAGMSPEIMVGEPEEVMDGLVGIDFLVVDSRRKDFSRVLRLAKLSGRGAVLICKNANFISKMDSSYIWRSVVAKGSRRLVRSVFLPVGKGLHMAHLSAAGGGENSSLAMKHKGNVIPNRWIKHVDQRSGDVHFIRK >CAK8543525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:615203268:615204116:-1 gene:gene-LATHSAT_LOCUS12277 transcript:rna-LATHSAT_LOCUS12277 gene_biotype:protein_coding transcript_biotype:protein_coding MENYIETDLIINHKDTELRLGLPGNDDEQGKCSCSTESVVRSNKRSFSPETSVEESSICNASSSSTTSDHDQCTVQPSKVQVVGWPPIRSFRKNSLQQKKVEEECGMYVKVSMAGAPYLRKINLKVYKSYSELLKALEDLFNCTLGEYSEREGYNGSEHAPTYEDKDGDWMLVGDVPWNMFIYSCKRLKIVKA >CAK8563490.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:609816985:609817300:-1 gene:gene-LATHSAT_LOCUS16890 transcript:rna-LATHSAT_LOCUS16890 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFLFIMRCVFGVWGRVDFACLHSLVMTFCCMKIMGSAWYKDWNSLGKIVVAQVYEVWLDSSELVYSYL >CAK8576744.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510550842:510551117:1 gene:gene-LATHSAT_LOCUS28915 transcript:rna-LATHSAT_LOCUS28915 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKIHPLVFRLGATQSHYSIWFAKPKMYSENVKEDKIIRYCINNFIQKTVPESCEFETDISGYGMGRIKIQKRIDLIKVIIYMGLPDSLK >CAK8578089.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605883876:605884559:1 gene:gene-LATHSAT_LOCUS30130 transcript:rna-LATHSAT_LOCUS30130 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLGARVNGISPNSLEIDIPMHANSTLKTEKESNNIDYFQRAQWLRAAMLGANDGLITVASLMIGVGAIKEDISVMLLAGFAGLVAGACSMAIGEFVSVYTQYDIEVAQMKREREANNNTNGVVDDGSTEREKLPNPFQAALASALAFSVGAVMPLLAAAFIKDHRVRMGVVAAVVSLALLVFGGVGALVGKTPVMRSCVRVLIGGWMAMAITFGFTKLIGTTGL >CAK8531318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:100531172:100532618:-1 gene:gene-LATHSAT_LOCUS1120 transcript:rna-LATHSAT_LOCUS1120 gene_biotype:protein_coding transcript_biotype:protein_coding MAETISSFWGPVTSTIECCEKNYAYSSYIAEFYNTISNVPTILLALIGLINAFRQRFEKRFSVLHVSNMTLAFGSMLYHATLQHVQQQSDETPMVWEILLYMYILYSPDWHYRSTMPIFLFLYGIAFAGVHSFARFGIGFKVHYVFLCLLCIPRMYKYYIYTADACAKLIAKLYVATLLLGSLFWLCDRVFCKEISQWPVNPQGHALWHVFMGVNSYFANTFLMYCRAQQRGWSPKIVCLFGVLPYVKIEKPKSH >CAK8542605.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:529824220:529825602:-1 gene:gene-LATHSAT_LOCUS11430 transcript:rna-LATHSAT_LOCUS11430 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSHATTHISFSKTTTFTIKPQCITLIQPLKPNTKQHSHETLKTIHKTTNISSSKSTTSPTKPLPLPTKVSKKWQEYQGITNWKGLLDPLDNNLRSEILRYGHFVEAAYKSFEFDPSSPNYATNKFPKTTLFKKCGLPKTGYKLTKHLHATSSIQLPSWIDKAPSWVATKSSYIGYIAVCNNKHEIKRLGRRDVVIAFRGTTTCLEWLENLRATLTNINSLQCNNNSPGPSPRGIFIDHEKNNQPMVESGFLSLYTSKCSNEVPSLQETIRREIDRILKTYKGENLSFTITGHSLGSALAILTAYDIRTCFKTSFHRKPLVTVISFGGPRVGNRSFRSLLEKESIKVLRIVNSDDVVTKMPGFVFDSDVDDDMEGDVDGEVDDVAWYLPRWIQKRVEEMRWVYCEVGEELRLCSRDSPYLKSVNIATCHDLKTYMHLVDGFVSSSCPFRSTARRFLHR >CAK8540777.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:20571171:20578980:1 gene:gene-LATHSAT_LOCUS9747 transcript:rna-LATHSAT_LOCUS9747 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSSSSASPEAILEWLHKEMGYRPRGQYAGGGGKPHSPSVESIRKVCRGNMIPVWNFLVTRAKSEKTVRNVRRNITVHGDGEGSTGRKKEKMISGEGSGSAETREAALMERDLVAKEVERLRNIVRRQRKDLRARMLEVSREEAERKRMLDERSNYRHKEVTLEAYDRQCDEASRIFAEYHKRLCYYINLAKDARRSDVDSSIEMTNSFSAKNEKESVYSTVKSGKSADDVIVIETTREKNIRKACESLVAYMVEKIQSSFPAYEGSGVLSNPQAEAAKLGFDFDGQIPDEVRTVIVNCLKSPPLLLQAITAYTSHLKSQISREIEKIDVRADAEILRYKYENNIVMDVSSSDGSSPLQYPLYGNGKLGVDVPPGGSQNQLLERQKAHVQQFLATEEALNSAAEARDLCEKLMKRLHGGTDVTSRSSQNIGSLRQLQVDVWAKEREVAGLKASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDSRRSELESIYTALLKANTDAASFWSQQPSTAREYASSTIIPACSAVFETSNNANDLIEKEVSAFYRSPDNSLYMLPSSPQALLEAIGSSGSSGQEAVANAEISAAILTAKAGARDPSAIPSICRVSAALQYAAGLEGSDAGLASILESLEFCLKLRGSEASVLEDLLKAINLVHIRRDLVQSGHALLNHAYRVQQEYERTTNFSLNLAAEQEKAVMEKWLPELKSGVLNAQQSLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELLL >CAK8544750.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704306384:704306812:1 gene:gene-LATHSAT_LOCUS13405 transcript:rna-LATHSAT_LOCUS13405 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFCVNGCGFYASSSNNNLCSKCYNDYLKENIEKSNDHESCVFKSTSSSSMTHNIDSICEVVAAASLTDNQNIKIEKNRCKSCNKKVGLLGFNCRCGNVFCKMHRYPEEHACKVDLKKIGRQILDNQNLLCVSDKLRHRV >CAK8568459.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607750367:607751796:-1 gene:gene-LATHSAT_LOCUS21415 transcript:rna-LATHSAT_LOCUS21415 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQTLVCVKQVKQNITDEWDETMPLPGDIIEGFSTENIDVADETFLHAKTSLELSSQLGKINSCAESIWVKVRRGDSLMKLQTFIVQQKVSVLRRKYTIQALTDQRHIADLADLTINQCIELQVMTRRVMNTEDKGFRRDAIKFDWKMKVKTYLPHQSSSVVSSILFMPLISEHCIDAVTARCMAWFSAAISSGVPLVFVNIQTELIPPKENTNLFSNQQIHYTTQLIHGIRLWFLPGLKEIAIELIPQPNEARFGMEIKRTEEGFVCIYSVTKDTSADRGGLRELHEEATANGFLLVISRLDDKSTIPTSVCSDGLVHCCDHAEIKDLLVSAIDQYVTIQLHLMAWPNQTRPSPNHSVGFTALLPPERSFATHPYD >CAK8568460.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:607750367:607751796:-1 gene:gene-LATHSAT_LOCUS21415 transcript:rna-LATHSAT_LOCUS21415-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQTLVCVKQVKQNITDEWDETMPLPGDIIEGFSTENIDVADETFLHAKTSLELSSQLGKINSCAESIWVKVRRGDSLMKLQTFIVQQKVSVLRRKYTIQALTDQRHIADLADLTINQCIELQVMTRRVMNTEDKGFRRDAIKFDWKMKVKTYLPHQSSSVVSSILFMPLISEHCIDAVTARCMAWFSAAISSGVPLVFVNIQTELIPPKVVENTNLFSNQQIHYTTQLIHGIRLWFLPGLKEIAIELIPQPNEARFGMEIKRTEEGFVCIYSVTKDTSADRGGLRELHEEATANGFLLVISRLDDKSTIPTSVCSDGLVHCCDHAEIKDLLVSAIDQYVTIQLHLMAWPNQTRPSPNHSVGFTALLPPERSFATHPYD >CAK8543248.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:592291624:592292484:-1 gene:gene-LATHSAT_LOCUS12018 transcript:rna-LATHSAT_LOCUS12018 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQFPDLGKHCTVPDCRLIDFLPFTCDRCNQVYCLEHRSYIQHHCTKPNKQDVTVVICPLCAKSVRLIPDQDANILWEHHVNTDCDPSNYEKVTKKKKCPTQGCRETLVFSNTIKCRDCEVEHCLKHRFGPDHKCPGPKKLETSFSFMSLLNLSSGSSSKEESKSNWTSSLLELASKLSGKNQDEKCPLCDAKFSSVTSLVDHAKKVHEGSSIKRNGVKKVSISACPKCSKGFLDPNSLVEHVERDHGGSS >CAK8569608.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:6804512:6805274:1 gene:gene-LATHSAT_LOCUS22442 transcript:rna-LATHSAT_LOCUS22442 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTNMWIAEDEVWNKLIEAKPEATEWKNKPILFYYKLAKLYGKDRVTGEREGTTAEMRAKKAANVEKSHGITIEEIYHLAETNEVILEGFDDDERHSNNSPTSPSITNSQDVSLFRTKKRVNKVIEDDTSMIEISKTFKKMVDVFEMNSMELVKQSKNANGGDIWAELVEIGVEPSSLPIVYMYLVKNANALKAFNEIPIDKRKEMLHLIVPHYSF >CAK8573947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:649402963:649406357:1 gene:gene-LATHSAT_LOCUS26341 transcript:rna-LATHSAT_LOCUS26341 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSFNGFSFLFLVFVFLLSLSSISAFSSTGSINHPIKFFIGGGGEVNLGSWQNKATQVALAPGPQDDNTLILAANRTNRPDILRGFHRYRGGWDISNQHYWASVGFTGATGFVLAVLWFISFGLALVIHLCCGWGFNIKDKGSNRSQRICLILLLVFTFAASTGCILLSVGQNKFHGEALDTLHFFVNQSDYAAQTLRNVTEYLSLAKTINVNQIILPSDILDDIDKLNVDLNTAADTLSEKTYENSVKIRRVFNYVRSALFVMAGVILVLALTGLVLSFLGYQHAILLFVITGWLLVATTFILCGVFMILNNTISDTCMAMGEWVENPHRESALSDILPCVDQRTTNKTLIQSKQVVTNIASIVNTAIYSTANVNVTQGNPGFYNQSGPSMPPLCYPFDDQLRERQCSNQEVSSDNASMVWKNYVCEVSESGICTTVGRVTPEIYLQLAAAANESYALEHYAPVLLSLQNCNFVRDAFTGITSSYCPPLIHYLKVINVGLGLISVGVLLCLVLWILYANRPQRGEVFATLSLEKIKNRFNKNHNSRVLALPNASVV >CAK8538988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501044997:501046358:1 gene:gene-LATHSAT_LOCUS8130 transcript:rna-LATHSAT_LOCUS8130-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDSTTLFINTLLFFFFLQFQSFLSLSDSSIFPNEALPTKSGYLPITPTSTSSIFYTFYEAQNSTSPLSQTPLLIWLQGGPGCSSMVGNFYELGPYLVTNSLTLQPNPSSWNRIFGLLFLDNPIGTGFSVASTPEEIPNDQNSVAEHLFAAITRFVQLDHVFKYRPIYITGESYAGKYIPAIGCYILEKNAVLEESERVNLAGVAIGNGLTDPVTQMVTHADNAYYVGLINERQKNELKKLQLEAVELVERRDWSGATRARNHVLDVLQNMTGLATLYDYSRKAPYEDELVAKFLNIGAVRKALGVEVDESFVYEKCSKIVWAALYADLMKSVKYMVEKLLKEEMRVLLYEGQRDVRVGVVQVEAWVKSMKWEGIEEFVNGEREIWKVNGDVAGYVQKWKSFTNVVVLGGGHLLPSDQPLNSQVMIEDWVLEKGLFGSVLERNVSTNSVYDG >CAK8538987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:501044982:501046358:1 gene:gene-LATHSAT_LOCUS8130 transcript:rna-LATHSAT_LOCUS8130 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITMLKDSTTLFINTLLFFFFLQFQSFLSLSDSSIFPNEALPTKSGYLPITPTSTSSIFYTFYEAQNSTSPLSQTPLLIWLQGGPGCSSMVGNFYELGPYLVTNSLTLQPNPSSWNRIFGLLFLDNPIGTGFSVASTPEEIPNDQNSVAEHLFAAITRFVQLDHVFKYRPIYITGESYAGKYIPAIGCYILEKNAVLEESERVNLAGVAIGNGLTDPVTQMVTHADNAYYVGLINERQKNELKKLQLEAVELVERRDWSGATRARNHVLDVLQNMTGLATLYDYSRKAPYEDELVAKFLNIGAVRKALGVEVDESFVYEKCSKIVWAALYADLMKSVKYMVEKLLKEEMRVLLYEGQRDVRVGVVQVEAWVKSMKWEGIEEFVNGEREIWKVNGDVAGYVQKWKSFTNVVVLGGGHLLPSDQPLNSQVMIEDWVLEKGLFGSVLERNVSTNSVYDG >CAK8530566.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:31002654:31003827:-1 gene:gene-LATHSAT_LOCUS423 transcript:rna-LATHSAT_LOCUS423 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCEKMVTFPPPTTNQWPQNQIHDGKIMEKQGQELLQQHHQQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGYRRNNKRSSNGPSTTTLIKRPISTIEIATNSTSSTPSSTSNQMNPMVYGLSSTNNPCHDVNLPFSRFNINSRLSTSSGYDPQPQMNFIGLGFSSGFDNNGYTNGFNTSNNNYDSIFGSSTSASNNTSVMPSVLSSTLLQHKFFDDGLKYGSDAGSNSGFQDLEFGSKMQNQMELMGGFYDPASSLYLNTTSSSGIGVWNDQGANTIGSSVTSLI >CAK8532881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:542541799:542542428:1 gene:gene-LATHSAT_LOCUS2543 transcript:rna-LATHSAT_LOCUS2543 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKMPTLLITDQDPAMKVAIENIFTTSTHRFCMWHIMKKVSEKIGGSMNANEEFNTSLKSCVWGSETPNEFKATWKSIMTKFDLENNDWLSHMFDIRDMWIPAYFKDIFLTGILRTTSRSESKNSFYGNFLNPNVSLVEFWMRFDSAIEAQRHKELLADNNSIHSTPKLIMDRGIEIHARDVYTRENFYIFQNELWLACVDRGIENKK >CAK8537912.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:447190931:447192398:1 gene:gene-LATHSAT_LOCUS7161 transcript:rna-LATHSAT_LOCUS7161 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGDNVSSNLLNAQSHIWNHIFNFINSMSLKCVVDLGIPDIINNYGKPMSLSKLISSLPIHPSKKPCIYRLMRIMTHSGFFSQQNVTENELEIEYTLTDASRLLLKNNPKSVAPFVQAMLSPIMTNPWQQMSTWLKNEESTAFETIHGEYFWEYAAHDPILNRLFNESMACDAPLVSDLLIEKGKGVFDGLESLVDVGGGTGNLGKALAKSFPQLEYTVFDLPHVVDGLQGTDNLSYVGGDMFQEIPQAHAILLKWILHDWNDKECVSILKKCKESLEKKGKEGKVIIIDMVVDNQHTNEKFETQLFFDMLMMVMQTGKERTEKEWVKLILSAGFSDYKITPILGLRSMIEIYP >CAK8566690.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:451381516:451382681:1 gene:gene-LATHSAT_LOCUS19802 transcript:rna-LATHSAT_LOCUS19802 gene_biotype:protein_coding transcript_biotype:protein_coding MATSESGDGSHNPAFDPDLDTPDNPDHEFAEFGAGCFWGVELAFQRVVGVVKTEVGYSQGHTPDPTYKLVCTGSTNHVEVVRVQFDPKVCPYSNLLDLFWSRHDPTSLNRQGGDVGVQYRSGIYYYNETQARLAQESKEAKQLEQKNKIVTEILPAKRFYRAEEYHQQYLEKGGGQGLSQSAEKGCTDPIRCYG >CAK8538007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:457560358:457560909:1 gene:gene-LATHSAT_LOCUS7250 transcript:rna-LATHSAT_LOCUS7250 gene_biotype:protein_coding transcript_biotype:protein_coding MTDECTANRLRVSYARILVEMDITKELPQSITIADHAGEKMQQSIEYEWRPLFCNKCQKIGHCCEKPKMRKQWIPRNVKQPEANEMSNKSGDANKEPLEIGNVNLDIVQSRKENDGSTAAEVTSIRNKDVEKVMEKWTEVIKSGRDRGKMVGNVEAAGVFSHDNGFDALEILKDLLEAQNTGQ >CAK8563760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:629520561:629520803:-1 gene:gene-LATHSAT_LOCUS17137 transcript:rna-LATHSAT_LOCUS17137 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRGRPRLVPPSTTNPTAAISDQQATEKETIVDDAVRNDSEENGSLAEENEEIVSDTENLGHHITEVRMEGETS >CAK8562694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:527409663:527410487:1 gene:gene-LATHSAT_LOCUS16169 transcript:rna-LATHSAT_LOCUS16169 gene_biotype:protein_coding transcript_biotype:protein_coding MANADEVSALKSIKLHLLGEFSPLPSPVSQPWSFDFDFQFQTNQSTSDSSISLDHYFAELFEFDSKPQIIEPESPEALTSHAQPTIETKPQLKRKPSLEIALPNKTEWIQFRKPDPKPEAVVQKPEVAEKQHYRGVRQRPWGKFAAEIRDPNKRGSRLWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVNAMAAAENSGENKKRCREEEEEDAVEVKKEKTIEFDVNCFKEMPLTPSAWTEFWDVDIKGMFGVPQLSPLSSFCFSPLVVV >CAK8540800.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:22335366:22352268:1 gene:gene-LATHSAT_LOCUS9769 transcript:rna-LATHSAT_LOCUS9769 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSMGPPPPQNPNLQPQTLTPSPPPPNSDSHSSQSTVNDSSQPEPPPPSDSTSQAELPPPSDSTTISSLPEPPPPSHSTANSSLSEPPPPFHSTDTQPPKPSQGTAVPYKIPAWSAAPCHEFYLEVLKDGSIIDKFNVHEKGAYMFGRLDLCDFVLEHPTISRFHAVMQFKRRGDAYLYDLGSTHGTFLNKNRVEKNTYTDLRVGDVIRFGRSTRMFIFQGPSELMPPEANIKLKREMKMREALLDRETSLRRAKMEASAAAGISWGMGEDAIDEDEDNVEEITWQSYKGQLTEKQEKTREKLIKRMEKISNMKKEINSIRVKDISQGGLTQGQQTQIARNEQRMAEIMEELENLEETLNDSIRESLGARTGKLSHGKKKGAVEDEEEYFSDDDDEFYDRTKKKPSKKKPGDNQSIETADTLLDKRDTIMKEINDKKELLMTEKNKVLPETESTTQDDVDDSLDAYMSGLSSQLVYDKSAQLEKELSTLQSELDRISYLLKIADPTGDASKKRELKAQDPKPINPEEIASTIKRKPPAEAQKNSEPCAKADDNKRLVETKKSSDACVKANDTKPHAETQKVKDACVKEDGSIKGEEPAATVVTLEKSQPGHDRSDNENAVFVVPKPQWLGAVEDRVPDDKQQPTTSLHPPEMDESDQFVDYKDRNKILGGDDDNSTSLESRIESAAPGLILRKRKQVETIGTSNSDASQQSTSSTSGEQMAEDAVALLLKYKKGLYAADDNDGRDECQEKRPKRVLGPEKPSFLSDETDNATWVPPKGQSGNGRTSLNDKYGY >CAK8578069.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:605006490:605006819:1 gene:gene-LATHSAT_LOCUS30111 transcript:rna-LATHSAT_LOCUS30111 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQDTVGEQLRWLLFIDISEMLPCSVVSSLVVIRLSYIAGFMSIFQHLEKEERTGYQLIMWVSLER >CAK8567791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:544937694:544939259:-1 gene:gene-LATHSAT_LOCUS20814 transcript:rna-LATHSAT_LOCUS20814 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSNIGFSSLFRVSLNTDPLSKQRKHKSPCSFTVPLNYYSTRVNKICCKLSESGTEVSKSKDMMEEYNIAMKSMMRNPYEYHHDLGMNYTVITDNLIVGSQPQKPEDVDHLKNEEGVAYILNLQQDKDAEFWGIDLQSIIKRCREVEIRHMRRPAVDFDPNSLRSALPKAVSSLEWAISEGKGKVYVHCTAGLGRAPAVAIAYLFWFCDMNLNEAYDMLTSKRPCGPNKKAIRGATYDLAKNDPWKEPFESLPDHAFEDIADWERNLIQNGVRALRGT >CAK8562745.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532937267:532945623:-1 gene:gene-LATHSAT_LOCUS16216 transcript:rna-LATHSAT_LOCUS16216-2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSNFYKNPSISYNKNLSLSSVLQNLHAYNIATGNVTSDDQPHPAPTPTPTASVKRRRHPRPPPSRHNPHKDEFDEFPSSMSHYDYIQKRRKEVDSSKNCDRVELTEDVLGNPNSAVPLVDYASDESASSECEETHTLPNSGHKQEFNGVKSKNEQRFPVSGEPVCLICGRYGEYICNETDDDVCSMECKNELLEFLKLNEVEGSSNEQAKDCSSSGIRDALPVPVFSDGTWDYNRHRWSKTRSSLSTYECWKCQRPGHLAEDCLVKSCSETTAGGSNRSSSIPKDLFGLYRRCHQLGKDLLAANCNACHSSSNLATCIDCSIVLCDGAGHLDDHIKKHPSHQKYYSHKLKRLVKCCKSTCRVTDIKDLLVCHYCFDKAFEKFYDMYTATWKGAGFSIISGSICCEDHFMWHRMNCLNADAEGSSYIVKNNGLRGKPTQLSDFIF >CAK8562746.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:532937267:532945623:-1 gene:gene-LATHSAT_LOCUS16216 transcript:rna-LATHSAT_LOCUS16216 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSNFYKNPSISYNKNLSLSSVLQNLHAYNIATGNVTSDDQPHPAPTPTPTASVKRRRHPRPPPSRHNPHKDEFDEFPSSMSHYDYIQKRRKEVDSSKNCDRVELTEDVLGNPNSAVPLVDYASDESASSECEETHTLPNSGHKQEFNGVKSKNEQRFPVSGEPVCLICGRYGEYICNETDDDVCSMECKNELLEFLKLNEGSSNEQAKDCSSSGIRDALPVPVFSDGTWDYNRHRWSKTRSSLSTYECWKCQRPGHLAEDCLVKSCSETTAGGSNRSSSIPKDLFGLYRRCHQLGKDLLAANCNACHSSSNLATCIDCSIVLCDGAGHLDDHIKKHPSHQKYYSHKLKRLVKCCKSTCRVTDIKDLLVCHYCFDKAFEKFYDMYTATWKGAGFSIISGSICCEDHFMWHRMNCLNADAEGSSYIVKNNGLRGKPTQLSDFIF >CAK8573675.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:628892030:628892524:-1 gene:gene-LATHSAT_LOCUS26090 transcript:rna-LATHSAT_LOCUS26090 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYAVRKGQVIKNKNEDVIQQTFICNLEGFRQDRVYQRKHGPKHETHCGCGAKLRVHIDIISHLWYVIVFTFKHNHEMLKEKHCMVLAANRKLSKSDKIQIKDLGNAGIKVTQMIGTFVNATEGYDKVGFLKKDVHNQMSRQVPRQISSLNSHRISSYHPVIL >CAK8561300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:141158746:141159775:-1 gene:gene-LATHSAT_LOCUS14907 transcript:rna-LATHSAT_LOCUS14907 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSTYADTSGQEINLNKSDMFYSRNISNAAKEDLASIMGACRMLGTGKYLGLPFMIGRRREIMIKSVLQAISPYIMSLFIIPYGVCNDIEKMLNSFWWGEGSNNRGIHWMAWDKLTCSKKEGGLGFRDFKAFNMPMVAKQANLGYNRNFVWRSIWNANYVLSLGCKWSIGDGSHVKVINEPWIKGKKEGCLSGPQKQDAGEILQVPLLEEVKKDMMIWKAEQNGSYIVRSGYRLWKSLWVRQENGGMNEDWNSL >CAK8542470.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:518394396:518396493:1 gene:gene-LATHSAT_LOCUS11309 transcript:rna-LATHSAT_LOCUS11309 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSTTILLLFLFPYSVICQQLYLNTTVTDCSNNPSAPKGYLCNAPQRSSSCNSFLVFRSKPPYDNPITIAYLLGSEASTIASINNISTDTKLPSNKTIIVPTLCSCSGNIYQHNTPYTVKKGDTYFQLVTETYQSLTTCQALMGQNYYASVNITIGAELTVPLLCACPTTKQMVKGITSLLVYTVNHGETVESIAEAYGVNEQSILEANELQVAPPENRRVNLFALTPILVPLSGKSCKEDPDSFYCTCSQGRLADGSCNESHGQKFPAKLVAALGVGIGALFLILFLLGYKLYQYIQKRRASIRKEKLFRQNGGYLLQEKLSSYGNGEMANLFTGEELQRATDNYSQSRFLGQGGYGTVYKGMLPDGTIVAVKKSKQLDRNQVEAFVNEVVILSQINHRNIVKLLGCCLETETPLLVYEYINNGNLSQHIHRRDNESPLSWEIRLRIACEVAGAVAYMHFSASIPIFHRDIKPTNILLDSNNGAKVSDFGTSRTIPLDKTHLTTAVGGTFGYMDPEYFQSNQFTDKSDVYSFGVVLVEIITGRKPITFNDEDEGQNMTAHFLSAMKDNQLSDVVDKTVLKEARKDDILAIANLAMRCLRLNGKKRPTMKEVSAELEALRKVQSFIYIQDDQKKPSDEQLFEHSTNDIFRESIVESFSLSSQMESTSL >CAK8541056.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:50425246:50427009:-1 gene:gene-LATHSAT_LOCUS10007 transcript:rna-LATHSAT_LOCUS10007 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDWKEFAWDPSGLEEMKNEGDSMDLRLGEASDSLEKSVRDDGRKGDDSKGVSSFSGSSKRSRLQNGLMNMICSVDGCKEDLSDCREYHKRHRVCEKHSKTPVVLVGGKQQRFCQQCSRFHSLAEFDEVKRSCRKRLDGHNRRRRKPQPPSLFMAAEKFMYNYKGPRILHFGSPQAYVNPVMRNIWPAAALTEAEPGYDHHRLLYRIDKYKQDKGHPLWQETVPKAGDVNQAAPGVSISQPIRGGVGSSTGGKGGRKLSSDSKPGSFDSGCALYLLSTLQSQSSELSLMQSSINDSPTQSSSGTLRFDATNEYLCSGKVKDKPNNGQVFVLDANTTNLQCNGMLQMGPNNGSTENENSLTLPFFWE >CAK8565881.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:346445497:346448242:-1 gene:gene-LATHSAT_LOCUS19058 transcript:rna-LATHSAT_LOCUS19058 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGYSKDGTVDYLGNPANRKKTGTWKACPFILGNECCERLAYYGMSTNLVLYFKERLHQHSATASKNVSNWSGTCYITPLIGAFVADSYLGRYWTIASFSIIYVIGMTLLTLSASVSGIKPTCQGKENCHATDLQSVVCFVALYLIALGTGGIKPCVSSYGADQFDDADEKEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVAMAIAVVSFFSGTKLYRNQKPGGSALTRIIQVIVASIRKYRVEAPSDKSLLYEIADTESAIKGSRKLDHTNELRFFDKAAVLEQSDNLKDSINPWRLCTVTQVEELKSILRLLPVWATGIIFATVYGQMSTLFVLQGATMNTHVGNSSFKIPPASLSIFDTLSVIFWVPVYDRIIVPLARKFTGHKNGLTQLQRMGVGLFISIFSMVAAAILEVIRLKTVRKHDYYDLEEIPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLLTVALGQYLSSLLVTIVTNISTKNGKLGWIPDNLNYGHIDYFFWLLAVLSVLNLIVFLWVARLYTYKKTVGTLR >CAK8573684.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:629610688:629613304:-1 gene:gene-LATHSAT_LOCUS26095 transcript:rna-LATHSAT_LOCUS26095 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGHGFARVCIRMRIFVYYYAGIKNRKKEKVVFDDQSGTWKWRHGYDRANDEEAIPIIEAKPTDEWQVLYTSQMTQKAKKYHDGFLGLDSCGSQGAQVRLFDASRKLLDSRFLKKDDVIKPGESIAFDTYLVDISKDQVSHTPDSSVQGKNCTNIKRMEKIDRQKASLDIDSHVTVGKREWKVLYTTQLTQKAKKYHDGFLQLEFCGSLGRQVILYDLSKRHLERRFLKKDEVI >CAK8571052.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:274458978:274460798:-1 gene:gene-LATHSAT_LOCUS23748 transcript:rna-LATHSAT_LOCUS23748 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFCLNPKTPSFSIYHNRSPSSTANSSTTTTPSIRKAIARIEAAEALISKWNSETSDYAKLTSLFYENKNEAFQYIRRVNHLQRAMHSLLKLEPSSPKLIHAQNLMQIAMKRLQKEFYQILSMNQAHLDSESVSVKSSDTSFGSYDDGTDEKDIGAAEDRISEVECVSSDVVDDLRIIADCMVSNGYGKECVKVYVKVRKSIIDEGIYKLNVEERSFSNVNKMDWEVLERKIKSWLEAVRISVKTLFVGERNICDRIFASSTIREACFGKISRDGATLLFRFPEFVAKSRRSVPEMIFRMLDMYDAITVLLPEIESIFSFNSTAAVKSQAYNSQHRLIESVKNILSEYEHLILKNCSKLAANFGGVHSLTTRTMQYLTKLADYNHVLSEIFLDIRSPLTSALPESYLYSLELDNSTTDIATGFSVQMTRLFEVLLSEIEGMSKQCKDVSLSYLFLANNLWHVVEKVRASNLQDVLGDDWLLRHIAKVKRLMENYERVAWDGIFSSLPENPTLSMSVAEARGTFMKFSLEFEKAYQKQNSFVGPESDFRKEIKASLAKKIVPVYRDLCDTHKTMAGRPENEMNEYVTLTPEDVQNCLGNLFSGGKV >CAK8572679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:555180957:555181316:1 gene:gene-LATHSAT_LOCUS25212 transcript:rna-LATHSAT_LOCUS25212 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGSSKKRKIICCEEDEEKEKEDEAKMETFFALVRSMRETRDRWKNKMNEDLKEKNRIVGVWKPTFQLEDFAEEGADQSDRCRNRDPRVEIIDGVSTKSNNDKEDDAENGIDLKLSL >CAK8570629.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75970184:75970402:1 gene:gene-LATHSAT_LOCUS23365 transcript:rna-LATHSAT_LOCUS23365 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYSDLSGPILCPVLGRIIPLFISNSRIRPIRLIGLCASLITFLYSPVPRIQFDPSTAKSQFVESL >CAK8531065.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:77258812:77261534:-1 gene:gene-LATHSAT_LOCUS881 transcript:rna-LATHSAT_LOCUS881 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSFSSSSTPPPPSFSYISAPSSSSLFDDDSSEDSCSICLESFSVHDPSTVTCCKHEYHLHCILEWSQRSKECPICWQSLSLKDPASQELLVAVEAEKGLRSRNDNSSSHTNFPVAFERFNGDHDASRSDDIDFDEQIMQHLYAAANRARFVRRQRRQGSSGAGPSEVSGVQPTRTTSPASNSSLTTGLPSTADLHPEVARNISPETDVSYRPRVLYSPSPPPDGRKLNTSEVFSLPESIKSKLSAASARYKESISKSTRGLKEKLLARNVTVKELSKGVQREMNAGIAGVSRMIERLELASKRSNSPIVPVRNEGTSGFQNETSGGVVHNVSSVAPSHISSTAVVGRMEIPPCVQSGHDAVKI >CAK8535253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:830640079:830647744:-1 gene:gene-LATHSAT_LOCUS4726 transcript:rna-LATHSAT_LOCUS4726 gene_biotype:protein_coding transcript_biotype:protein_coding MITASKIKSVDFYRKIPRDLTEASLSGAGLSIVAALTMVFLFGMELNEYLSVSTSSSVIIDKSSDGDFLRIDFNFSFPALSCEFASVDVSDVLGTSRLNLTKTVRKFSIDSNLKPTGFEFHSSPATNVVKHDDKVDEESPEGAFVFTTDNFDKYAHQFPITAVNFYAPWCYWSQRLKPSWEKAAKILRERYDPEVDGRILLGKVDCTQDAGLCRRHHIQGYPSIRIFRKGSDVRSDHGHHEHESYYGDRDTDTLVKTMENVVASLPSQKLALGDKLNLIENAKRPAPPSGGCRIEGYVRVKKVPGNLFVSARSESHSFDASQMNMSHVIHHLSFGRKLSLRVMNDVKRLIPYVGNSHDRLNGLSFINTNDLGANVTVEHFLQIVKTEVITKKDYQLVEEYEYTAHSSVAHSLHIPVARFHLELSPMQVLVTEHQKSFSHFITNVCAIIGGVFTVAGIAESIFYNTVRMMRKIELGKNI >CAK8538042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:459991140:459991689:-1 gene:gene-LATHSAT_LOCUS7283 transcript:rna-LATHSAT_LOCUS7283 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIFGYPFRRFFLGNPPIYRYPGSTALLDWIESPTAHILKINVPGLSKDEIKLQIEEGNVLHLRGESLKEENHGKETVWHVAERGTGKEGFSRMIELPENVKLDQIKAHVENGVLTVIVPKDSASKLHKVRNINITSRL >CAK8542375.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:506657885:506658352:1 gene:gene-LATHSAT_LOCUS11219 transcript:rna-LATHSAT_LOCUS11219 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGTSQTQYPPISQESTHQPIPIESGNISFEAPPPTPNDNMEVNSETTENRQPRLKSIIWDHFTKVKVGEKVKAKCNYCSKLLNGSSNDGTIHLKVHMDYCPKKKLLKPSEKGQTFLTPKTMQGKQELSIGIYDAEIAKKELAHAIILHEYPL >CAK8572382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:533483559:533484863:1 gene:gene-LATHSAT_LOCUS24953 transcript:rna-LATHSAT_LOCUS24953 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYAYSSVSTYSTTRTGSPDAAVMKPFAPFVPKSNGSYSSEGAVTKKIIVPVTSRPYDQPAAEKYASSGDEPDDELHHQSNYKQGRKPIGTGSIRSASRDGYTKGYGGDKEGRRPLESNIKNGNYEYDSPNRYGGDRGDRGDRGAPVIRGGNIQKGYYDNSNGYGGDYKEGHKPIGGGNIQKGYYDSPNGYGGDYKEGHKPIGGGNIQKGYYDSPNGYGGDYKEGHKSIGRGSIQKGYYDSSNGYGGDYKEEHKPIGGGNIQKGYYNSPNGYGNYGNNYGNRPKISSDWSEAPRKGIQLSEPTHDIDKAMEVLRIEAAKRNHHYESNNDHHHYYDSPGPTAKDNWGASASANGSPRFADRTGSAGIMRPHGNVNQPQSRQRSSPIHVTFVDDGRDYGDDDYQRKGDIGRYGDRGAVMDSREAERKFKGTRI >CAK8543468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:610098943:610108175:-1 gene:gene-LATHSAT_LOCUS12224 transcript:rna-LATHSAT_LOCUS12224 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGDRGNHQHNANGNDNGKFSNSNRNRQNHSSPWKLKIAQQRKSLPIASVEKRLIEEVRKNDILIIVGETGSGKTTQIPQFLFDAEFCRDGKVIGITQPRRVAAVTVAKRVADECGVELGQKVGYSVRFDDSTSNSTRIKYMTDGLLLREALLDQYLSKYSVIIVDEAHERTVHTDVLLGLLKRVQVARSNSIGDGRNLNNGNKSSPLKLIIMSASLDARTFSEYFGDAKAVHIQGRQFPVDLFYTRFPETDYLDAALITIFQVHLGEAPGDILVFLTGQEEIEAVERLINERLTKLPEGSQKLLPVPIFAALPSEQQMRAFAPAPSGFRKVILATNIAETSITIPGIKYVIDPGLVKARSYDPGKGMESLIVLPTSKSQALQRSGRAGREGPGKCFRLYPENEFEKLEDSTMPEIKRCNLANVILQLKALGVDDILGFDFIEKPSRTAIVKSLEQLFLLGALTDECHLSDPVGSQMARLPLDPVYSKALILASEYNCLEEMLITVAMLSVESIFYTPRDKYEEVRTATKCFASPEGDHITLINVYRAASDLLEKRTMDTNKAKSEKVLRKWCKENFINSRSLRHARDIHRQIQGHVQQMGLKLASCGDDMLQFRRCLVASFFLNAAVKQPDGTYRALASGEVVQIHPSSVLFRKKPECVIFNELIQTSNKYVRNLTRVDNLWLTELAPQFYAMQN >CAK8540035.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536551406:536554765:1 gene:gene-LATHSAT_LOCUS9074 transcript:rna-LATHSAT_LOCUS9074 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHLLSHSCSLPKTYNHSFTKNPFPQNLLFPLKSQPTMKPRVLRAVQSQSTAKTPLEDTKNTHFHHCFSKSEDGYLYCEGLKVDEIMESVEKRPFYLYSKPQITRNVEAYKNALEGLTSIIGYAIKANNNYKILEHLRNLGCGAVLVSGNELKLALRAGFDPTRCIFNGNGKILEDLVLAAEAGVFVNIDSEFDLENIVAAARIAGKRVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKEHPVELKLVGAHCHLGSTITKVDIFRDAASIMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHAGAVLPKPRDLIDTVRELVLSRGLKLIIEPGRSLIANTCCLVNRVTGVKTNGSKNFIVIDGSMAELIRPSLYDAYQHIELVSPAPANAEIATFDVVGPVCESADFLGKGRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSLSKIRHGETFEDHIRFFEGL >CAK8538852.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:498140899:498143591:-1 gene:gene-LATHSAT_LOCUS8007 transcript:rna-LATHSAT_LOCUS8007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPSSQPPSQEASSTPNLVQHNVRQKTDIAWAHCTKSPDGKNLVCIYCHKAFGGGGIHRVKQHLAGVVGNVEICKSVPTEIRFRINQHLNERSKKRKTPDVAESESFSAEGGELQMQMHPRIGASKKNDARIGTYFLPRTTPGAQPTLKSVMQSKEVVEKCDLAIAKWFIDASIPFNAANSPYFQPAVDALCCMGAGYKVPTMHALRGNLLNKWVDDVKIQLEQYRSIWKDTGCTLMADGWTDRCRRTLINFLVYCPKGTVFIKSVDASGASKTADTLFKLFKEVVLYVGPENVVQIVIDNAANYVAAGKLLEKEFPKLYWSPCAAHCINLMLQDMGKLEEVSGAVSHASKITKYIYNHCFALYLMRQNTGGREILRPAPTRFATNFIALQSILSHKDALRSMVTSKEWTTTTYSKDVKAKQFVEQVLDSSFWSTCVDIVKITEPLVRVLRIVDSEDKPAMGYLYRAMYKAREEIEKRFRRNKLKVEPYLRILDNRWDAQLRKNLHAAGYWLNPSCRFSQEYEKHKSTTQGLLDVIEKYAYDSKELRTKLTVEMSLFKNCEGSFGRTTAVENRDEVLPDQWWETYGTEAPSLQKLAIQILSQTCSASGCERNWSVFEHIHSKKRNRLEHQKLNDLVFVRYNLRLQNRNKKKQNYDPINFEILGDHSDWVLEDSPPFLTIEEVEALRKDLASMTIQPMSDDIDQLNLDEVDVEDDEQLNSGENNQNNNIIDGENVANAIDFTADGFDIEEGDPNIEIILPPWN >CAK8561583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:310313267:310313961:-1 gene:gene-LATHSAT_LOCUS15161 transcript:rna-LATHSAT_LOCUS15161 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLWTCARVSIGQVLLSVRCKSGNGQHAQEALCRAKFKFPGRQKIIVSRKWGFTKLDQDEYLKLKSENRIVPDGVNAKVLGCHGPLANRQPGRAFLPMSS >CAK8576329.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459516313:459532416:1 gene:gene-LATHSAT_LOCUS28526 transcript:rna-LATHSAT_LOCUS28526 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRIAYFYDGDAGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSTDYVEFLHRITPDTQHLFSDELSKYNLGEDCPVFDNLFEFCQIYAGGTIDAACRLNNHLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKFHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDAKEIGEREGKFYAINVPLKDGIDDSSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKRFNLPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPENDYIKYFGPDFSLKIPNGHIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFNEDLQNPDERIDQHTQDKQIQRDDEYYEGDNDNDHQTDL >CAK8563195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:582309280:582310146:1 gene:gene-LATHSAT_LOCUS16629 transcript:rna-LATHSAT_LOCUS16629 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSHMIHDAVENNQWQCLKIGRDGPKVSHLMFADDLILLWYRYRQSNKCGYGYPFFILQCFGPSYKYGKCNIMFSRNTLIATRKFIIAKYGFRETTSLGTYLRVPLFGKSPKFKDFWYLIEKVQTKLVHWKCSQLSFAGRVTLAKAIIEALPTYTMMYVPLPKAYVNKIHQCQRSFIWGDTNDKKQTHSICWSIINKDKKVDGFGLRNLNFMNKSCMAKLGWKLKSQDHLLWSRLLKSKYVARHLKSGDLIAKPSNSCIRNSIVELRHKLDELAYWKVGNEANLNI >CAK8577397.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:560810022:560810699:-1 gene:gene-LATHSAT_LOCUS29510 transcript:rna-LATHSAT_LOCUS29510 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVLQVPIPLRSFSVSASSNSNGAVSGSSSSDKGGGPVIIELPLDKIRRPLMRTRSNDQIKVQELMDSISQIGLQVPIDVLEVDGNYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHMR >CAK8531352.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104152744:104156802:-1 gene:gene-LATHSAT_LOCUS1150 transcript:rna-LATHSAT_LOCUS1150 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLFVFLASFSFILSSLTLSFANTDPSDVQALEVMYNALNNPTELTGWKIGGGDPCGDSWKGVTCDGSSVDSIDLSGLGLHGTLGYLLSDLMSLKKLDLSDNKIHDTIPYQLPPNLTSLNLAGNNLTGNLPYSFSAMVSLTYLNLSHNALSPSIGDFFANHSRLDTVDLSFNNISGDLPPSFESLTNLSSLFLQNNQLTGSLTVLAGLPLDTLNVANNNFSGWIPHELKSINNFIYDGNSFDDGPAPPSPPSPESTSPPPSEPRKRNHHSGSGSQTKTHGSNSDDHKGASVGAIVGIVLGSVLVCSIVLVALVFCIRKLKGKEKGSRTSNGSLLPGIVNVTPQMQEQRVKSASVITDLKPRPPSETVTTDRMPVKSGSVRQMRSPITSTSYTVASLQSATNSFSQEFIIGEGSLGRVYRAEFPNGKIMAVKKIDNAALSLQEEDNFLEAISNMSRLRHPNVVTLAGYCAEHGQRLLIYEHIGNGNLHDMLHFTEDSSKALPWNARVRIALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRARAEQSLVRWATPQLHDIDALSKMVDSCLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSDESGFGYKTPDHEGIDISF >CAK8579619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:713123193:713124778:-1 gene:gene-LATHSAT_LOCUS31550 transcript:rna-LATHSAT_LOCUS31550 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVDATAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >CAK8576405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470989530:470990102:1 gene:gene-LATHSAT_LOCUS28594 transcript:rna-LATHSAT_LOCUS28594 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQKSRVKWTLEGDLNTRFFHASLKSRIRNNSISTIKWGNRLIEDPTNIKVSAVQFFKEKFQSILVKRPKLDLHDVVKLSSVEKDMLEVDFMKKDMYEVVFECDGNKCTSADGYNFKFLKHCWEIVGSDVTNSVLEFFNTTSLPKAFVSSFIALVPKVDNPLCFDEFRPINLTGCILKVVSKLLSKRLN >CAK8567810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:546852869:546853654:1 gene:gene-LATHSAT_LOCUS20832 transcript:rna-LATHSAT_LOCUS20832 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRSSSPASTSQNSSTSSPTTPTTSSLPSPPPLPTNISLIQPSQKRKHKPKVVRVFRSVFRSFPIITPACKFPTITTGPNDAHHHHHKNIGGNIGTKVSGTLFGHRKGRVSLSVQENPKCLPSLVIELSMQTSVLQKEMAAGMVRIALECEKRQEKDKTLLTEEPLWTMFCNGKKTGYGVKRDATEEDLHVMELLKAITMGAGVVPTKNEVDDVDGREMAYMRASFEHVVGSKDSETLYMLSPEGNNPGPELSIFFVRI >CAK8570621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:75729893:75730474:1 gene:gene-LATHSAT_LOCUS23357 transcript:rna-LATHSAT_LOCUS23357 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKREDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINPNDGWSIKTRYLESRGYPGLHGKSRPNLEILLTVTESVTITHHYQNNNPESFINFSKCHINKILLPREWGLNPNAYCLFSIWVETPFSW >CAK8531203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:88907670:88908674:1 gene:gene-LATHSAT_LOCUS1010 transcript:rna-LATHSAT_LOCUS1010 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGDRKTTLMDVLAGRKTGGHIDGDIKVSGYPKKQETFACISGYCEQNDIHSPHVTIYESLLYSAWLRLPSGVDSKTRKMFIDEVMDLVELNPLRNSLVGLPGVSGLSTEQCKRLTIVVELVANPSIIFMDEPTSGLDVRAAAIVMRTVRNTVDTRRTVVCTIHQPSIDIFEAFDELFLMKCGGQEIYVGPLGHHSVHLVKYFESIEGVSKIKDGYNPATWMLEVTTTT >CAK8568266.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:588162109:588164883:1 gene:gene-LATHSAT_LOCUS21240 transcript:rna-LATHSAT_LOCUS21240 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMVAASYEDSRRKRMEENRKRMEALNLTQLSQSLHKSSSPISKPSPSKPRTVKKELVVVRRSGRVANLPAPDYKEILIDRVIMSGNRSSRGGYNMYRDYSKRVYASDEARVEALDKAEKLQSELNSPHPIFIKTMLQSHVTGGFWLGLPVHFCKQNLPEKDEAMTLIDEDGDEYPTTFLAYKTGLSAGWRGFAIAHKLADGDALIFELVNRTTFKVYIIRVNPSEGEDLE >CAK8577647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:580937770:580940267:1 gene:gene-LATHSAT_LOCUS29735 transcript:rna-LATHSAT_LOCUS29735 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVKRLGKCISLVSKRGFSTTSSIQKDAVENGGKSLNLYTAINQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADQFGKNRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYQSGNQFNCGGLTIRTPYGVVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPKEAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDITLVGWGAQLSIMEQACIDAEKVSTAALKEKVLGHVIWGATVYEVDNTVQQLWEFDSSPTSNFIIQGRGVRYGTSATGLSIGYTEVILFSIYILFGRSNLLGCNFLVL >CAK8563972.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642688137:642693119:-1 gene:gene-LATHSAT_LOCUS17324 transcript:rna-LATHSAT_LOCUS17324-2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGFSGLKGKEISLISIVLMCSTIILWSWERTPGLSAFLPPQSPLHVYSDHLVSRSSGKAPDLHKHVSQHDSSIPKKEITDELQEKDLGLASQTISAQSSSGKSMGESITNTTSHIEQIPSSVSNEEIKHEKLLEAGKSHGIQTSSNLTTSEAKSNEAKGESNSKQEEPKIDLVMNNPQKSSLPVTKESWNNATENNACNYAKGKWVPDNKRPLYSGFGCKQWLSPMWACRMMQRTDFAYEQLRWQPKDCQMEEFEGSKFLKRMQNKTLAFVGDSLGRQQYQSMMCMVSGGVEMSDVEDVGKEYGLIVAEGSARPNGWAYRFSSTNTTILYYWSASLCDVEPIDVNNPNTDYAMHLDRPPAFLRQFLHKFDVLVLNTGHHWNRGKLNANRWVMHIGGVPNTDRKLAVIWAAKNLTIRSVVSWVDSQLPKHPGLKVFFRTISPRHFFGGEWNTGGSCDNTKPMSVGKEILEEESSDPVTASAVKGTRVKLLDVTGPSQLRDEGHISRYSLTAKPGMQDCLHWCLPGVPDTWNEMLFAQI >CAK8563971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642688137:642693119:-1 gene:gene-LATHSAT_LOCUS17324 transcript:rna-LATHSAT_LOCUS17324 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGFSGLKGKEISLISIVLMCSTIILWSWERTPGLSAFLPPQSPLHVYSDHLVSRSSGKAPDLHKHVSQHDSSIPKKEITDELQEKDLGLASQTISAQSSSGKSMGESITNTTSHIEPCNYAKGKWVPDNKRPLYSGFGCKQWLSPMWACRMMQRTDFAYEQLRWQPKDCQMEEFEGSKFLKRMQNKTLAFVGDSLGRQQYQSMMCMVSGGVEMSDVEDVGKEYGLIVAEGSARPNGWAYRFSSTNTTILYYWSASLCDVEPIDVNNPNTDYAMHLDRPPAFLRQFLHKFDVLVLNTGHHWNRGKLNANRWVMHIGGVPNTDRKLAVIWAAKNLTIRSVVSWVDSQLPKHPGLKVFFRTISPRHFFGGEWNTGGSCDNTKPMSVGKEILEEESSDPVTASAVKGTRVKLLDVTGPSQLRDEGHISRYSLTAKPGMQDCLHWCLPGVPDTWNEMLFAQI >CAK8576712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:508436227:508438425:-1 gene:gene-LATHSAT_LOCUS28885 transcript:rna-LATHSAT_LOCUS28885 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTINLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDNQFFQDHPGAAPITTAQGEELRKLIGAPVYIECSSKTQKNVKAVFDAAIKVVLQPPKQKKPKRKGHKACSIL >CAK8571813.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478678703:478680166:1 gene:gene-LATHSAT_LOCUS24442 transcript:rna-LATHSAT_LOCUS24442-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIFEKQSSSSPEASNYASSSGLSGDDKEIGYRASITSNHPYLLAKNSYLLHFLSNKIDQNFARMVMAEVLGSFILMFCVCGIIANTQGQNNAAGLLEYAAAAGLTVVVIIFSIGQISCAHVNPAITIAFATIGRFPWFKVPIYIIAQTIGSLMATYIGSLVYGIKSDIMMTQPLQGCNSAFWVELIASFIIMFLVTALTSEPQSVGHLSGFVAGIAIGLAVLITGPVSGGSMNPARSLGPAIMSWKFKDIWIYILAPNLGAVAGALMFRFLRLQDQHCNSPNMSEVGHPIPFCAS >CAK8571814.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:478678703:478680166:1 gene:gene-LATHSAT_LOCUS24442 transcript:rna-LATHSAT_LOCUS24442 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIFEKQSSSSPEASNYASSSGLSGDDKEIGYRASITSNHPYLLAKNSYLLHFLSNKIDQNFARMVMAEVLGSFILMFCVCGIIANTQGQNNAAGLLEYAAAAGLTVVVIIFSIGQISCAHVNPAITIAFATIGRFPWFKVPIYIIAQTIGSLMATYIGSLVYGIKSDIMMTQPLQGCNSAFWVELIASFIIMFLVTALTSEPQSVSVGHLSGFVAGIAIGLAVLITGPVSGGSMNPARSLGPAIMSWKFKDIWIYILAPNLGAVAGALMFRFLRLQDQHCNSPNMSEVGHPIPFCAS >CAK8567795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:545893079:545894416:1 gene:gene-LATHSAT_LOCUS20818 transcript:rna-LATHSAT_LOCUS20818 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNISRLTKTMSMALFVLVIVGSANAQLSTNFYSTSCPKLSSTVKSSVQSAISKETRIGASILRLFFHDCFVNGCDGSILLDDTSSFTGEKNANPNRNSARGFEVIDNIKTAVENACPGVVSCADILAIAAADSVAILGGPTWNVKLGRRDAKTASQSAANTGIPAPTSSLNQLTSRFSALGLSTKDLVALSGAHTIGQARCTNFRARIYNETNIDTSFASTRQSNCPKTSGSGDNNLAPLDLQTPTSFDNNYFKNLVQKKGLLHSDQQLFNGGSTNSIVSGYSSSPSSFSSDFAAAMIKMGDISPLTGSNGEIRKNCRRKN >CAK8535891.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:889032247:889032864:1 gene:gene-LATHSAT_LOCUS5310 transcript:rna-LATHSAT_LOCUS5310 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLLNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLSSRLDAVSARLDTQAKMSTISKSMGNIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPLKEKDAEKVDEDDLSRRLAELKARG >CAK8568429.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:605804216:605805181:-1 gene:gene-LATHSAT_LOCUS21387 transcript:rna-LATHSAT_LOCUS21387 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFFHNANATTLHSLNLTSSLLFPNNHNLSSKPRFQSSIKPNTPNPKHVPNKKVIILWDLDNKPPRGPPHDAALSLKTLAERFGDVVSISAHTKRHSFFNLPKWNPNQNPNPNSILCRVCGHECKSISDLEIHFRRVHLNRRRKLREKLRSVKLSRSRVGVVRRIHPYNEAAGNVVVPRVGFGMASELRRAGVFVKVVKVGEKVNAADLWLEREMMNGEIGWLVLVSDDREFAEMLRKVREVNLKTVVVGDYWDRDLGKNADLWLPWIVVENGKVDGMGLNFNGKKKTITEGLDDELEGDENLGYEYVTEEEQLDDERF >CAK8576341.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:463478562:463478930:1 gene:gene-LATHSAT_LOCUS28536 transcript:rna-LATHSAT_LOCUS28536 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRKLSSEMVSHSIRGLVNRDASLKVKVIIAHILEKYRYIISYRKAWIAKCKAVESLYGNWETSYNNLSQWILVMKTFLPETITDLQTLPAISNDGSQISGKRIFHRLFWAFRQWLCLL >CAK8565678.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:304353052:304355793:-1 gene:gene-LATHSAT_LOCUS18868 transcript:rna-LATHSAT_LOCUS18868 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSFAIDHLLPLLREEANLLRGVHKDFADIKDELESIQAFLKDADKKAASAEGVKTWVKQLREAAFRIEDIIDDYLIHAGQQPRDPGCVDLLHKFKTILSRHRIASEIQDIKSSVRGIKERSERYGFQRSLEQGSSGSRRSQIAKWHDPRVAALYIEEADVVGFETQKDMLIHWLVKGREERTVISVVGMGGQGKTTLANKVLDNKDIIGHFDYRVWITVSQSYNVEGLLRDMLLKLYRQNGRDHPKDLFQMDRGSLTDEVRNNLQQKRYIVVFDDVWNVHFWDDIEFAVIDNKNESKVLITTRNLDVVASCKRSSLVEVLELQPLSQEQSMELFNKMAFRFDYGGCCPEEFIDIVNEIVEKCKGLPLAIVAIGGLLSTREKNVFEWQRFKENLSLELKKDTHLIGIEKILGLSYDNLPYYLKSCLLYFGIYPEDYEVKSNRVIRQWIAEGFVKEERGKTLEEVAEGYLTELIHRNLVQASSTRIDGKAKACRVHDLIRDMILEKFEDLNFCKHISDDGQSSFSGIIRRSSITPTFDDLLLHIEGSHLRSLFIFENEESYVYFEERIPTATTYRLLKVLDYESAPSLNVPKNLESLIHLKYLCFRHSYKVDEVPKSIGLLQNLETLDIRDTFICELPKEISKLRKLRHLISSKLSLIQLKDCIGEMASLQTLRYIDLGMDGATEIIKELGKLRQIRDLGLVNVGTEEGSILSSSISEMHHLEKLSVLSSNTGNDFDFIDLHLISTPTMLRKLTLRGRLQKLPDWMLELQNLVELKLMYSRFTKDPIKSLKSLQNLLKLSIGIASCVGFQLHFEDEWFQKLKELYVGYADELTDIIIDKGALPSLKKLELRVLHGLKNIPTGIEHLEKLEVLHIQSMQHEPVQPLSIEDMNWITELVPHVEISSYHSQWE >CAK8570306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35317560:35331622:1 gene:gene-LATHSAT_LOCUS23068 transcript:rna-LATHSAT_LOCUS23068 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNSNFDAAAASDSSKPPSSSCIRIGDRQLFHVELRPGETTIVSWKKLLKDANKANNEGSTSAAPEQVPKANPALGARIASGQAENNEQDAPQTNRFSAVIEKIERLYMGKDSDDEDLPVVPDDQYDTEDSFIDDAELNEYFEVDDSVIKHDGFFINRGKLERINEPPPLPNQPAKKRCRKDILKNQGENIDGRVSNKHVKVGKTAAGKTAPLPVKNTFNSSQNLTVPGEHYEDLKSQNQLEVSRTTLKKEPSDIRPISGPSASLKVSNNDVSSVVEAKDADKPKTILQSKNRVDKYKDASGVHNTSHQKYQEKKVSTPSKSQPGRIPSSIDDLENTGRSKDKNGINELPDLNLSEGKSVMQAPKSKNILKKAGSSVRPKSTILEKAIQDLEKTVAESRPPTTENQEVDSTSQAIKRRLPREIKLKLAKVARLAQAGQGKVSQEVVNRLMSILGHLIQLRTLKRNLKIMINMGLSAKKEKDDRFQQKKKEVVEMIKMQAPSIESEQQQQAGAPGGQELGPDGKAISKRNFTMDTALEDKICDLYDLFVDGLDETAGPLIRKLYVELAELWPNGCMDNHGIKRAICRAKERRRALHSRNKDQEKMKRKNLLESRPEENVQFDASPQQNMRKRLAPESSSHTSLNKAVSNKVTDVRVRHSPVNGMKKEKAKANSSSSPDDVRVANGVLIKKVKKRKTECELEGTHRRPEKPVSLKEEERPRSQKQSAVAPPKSNLQPTSLPSVEQSS >CAK8570307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:35317560:35331622:1 gene:gene-LATHSAT_LOCUS23068 transcript:rna-LATHSAT_LOCUS23068-2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNSNFDAAAASDSSKPPSSSCIRIGDRQLFHVELRPGETTIVSWKKLLKDANKANNEGSTSAAPEQVPKANPALGARIASGQAENNEQDAPQTNRFSAVIEKIERLYMGKDSDDEDLPVVPDDQYDTEDSFIDDAELNEYFEVDDSVIKHDGFFINRGKLERINEPPPLPNQPAKKRCRKDILKNQGENIDGRVSNKHVKVGKTAAGKTAPLPVKNTFNSSQNLTVPGEHYEDLKSQNQLEVSRTTLKKEPSDIRPISGPSASLKVSNNDVSSVVEAKDADKPKTILQSKNRVDKYKDASGVHNTSHQKYQEKKVSTPSKSQPGRIPSSIDDLENTGRSKDKNGINELPDLNLSEGKSVMQAPKSKNILKKAGSSVRPKSTILEKAIQDLEKTVAESRPPTTENQEVDSTSQAIKRRLPREIKLKLAKVARLAAGQGKVSQEVVNRLMSILGHLIQLRTLKRNLKIMINMGLSAKKEKDDRFQQKKKEVVEMIKMQAPSIESEQQQQAGAPGGQELGPDGKAISKRNFTMDTALEDKICDLYDLFVDGLDETAGPLIRKLYVELAELWPNGCMDNHGIKRAICRAKERRRALHSRNKDQEKMKRKNLLESRPEENVQFDASPQQNMRKRLAPESSSHTSLNKAVSNKVTDVRVRHSPVNGMKKEKAKANSSSSPDDVRVANGVLIKKVKKRKTECELEGTHRRPEKPVSLKEEERPRSQKQSAVAPPKSNLQPTSLPSVEQSS >CAK8563725.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626914532:626915636:-1 gene:gene-LATHSAT_LOCUS17104 transcript:rna-LATHSAT_LOCUS17104 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLEDVNKIKTYSWGSTTLAHLYRSLCNNSIVNSGNWTECGVLLQAWGWSRMTNLAPIQQNNFEFPFAKRWSPLGMNYDNCPHYSITQYRNLIDHLGQDDFIWRPYLGLEAFHEVERQDSVVWCAKVPIINFTTVEMHNSDRVKLQFGMLQDIPCPPKCIPDKYHTGKVSDQWEYNLWTKYAKHECREWRHRNNYVLSDTVFPYEMKQSIQYMTWYRSVSIGFISHPRYLVDPRQQDSSSRPQQPTQPYFQPPTQPHYQPSTQYHFQPPTQPHCQPSTQYHFQPSTQYHFQPPTQPHCQPSTQYHF >CAK8568616.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:624740021:624740792:-1 gene:gene-LATHSAT_LOCUS21558 transcript:rna-LATHSAT_LOCUS21558 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDCERLSLSKLARAQMPYTFWHFLLKALHNVEDCGVLYIEISKVYQNFNEIPTFHNSTKLKLHSINSNWDLLFRMLNHCPNLQNGTDFGYGRQFNAPQNWVDPKFVPQCLSLYLKTCTIWYFEDELDELQLATYILNNARVLQSMKFSCYATLKKERELSLCSKASPKCELIFHVLP >CAK8535300.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:836235495:836237133:-1 gene:gene-LATHSAT_LOCUS4770 transcript:rna-LATHSAT_LOCUS4770 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNMNGQDQQDSLVYTDNFELRDFVDDPNFDQFIDLIRGENENAICNFGSDLINDCFIDNHQPLSIPLMPLLDHNNNNINNIVNVYDPSSSTIGSFACYDEEVNGEGDNIGDDSPATTTTTSIDDTKSRAKTDRSKTLVSERRRRGRMKDKLYALRSLVPNITKMDKASIIGDAVSYVHDLQAQSRKLKGEVSGLEASLSVSENYQGSINNTINVIQSHPIICKKIIQVEMFQVEERGYYAKIVCNKGEGVAASLYKALESLANFNVQNSNLDTISDTFLLTFTMNAKGSEQEMNLQNLKLWVAGALLNQGFEFMPSF >CAK8540559.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:10810813:10813134:1 gene:gene-LATHSAT_LOCUS9555 transcript:rna-LATHSAT_LOCUS9555 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSHVRTFSTRVSHSHHNNHCENHKFLSPSSFVGSWRAPKDPKEAEAMLAQLRRDYAKQVKEVRKEYIREMEAMELEKQRKDEARRESLRVANEERKKLKAQAAELRAQERNIAQQQFRETLLKERAEKLENWRMKVKMHEEKKNEKKELLHKRSSLWVDEAELEKEITNVVVATRYL >CAK8563439.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:603980531:603986071:1 gene:gene-LATHSAT_LOCUS16851 transcript:rna-LATHSAT_LOCUS16851 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLRVHSVDAESTLDPEIIFSAPESQNPKFSERRGVLHLFRTASESSLPNSSSLSSLLFIVAVPNYLSFDDFIRFCGPHHLDHLHHLLFIRNDGMEDRYSVLIRFDDQLAADGFHSKFNGKKFSPGEAEICHILFLLSVEYSECEEVAGIPPAGCTEIPTCPVCLERLDPDTSGILTTLCDHSFRCPCVSKWTYLSCQVCQFCQQQDEKQACFICGTLDDVWVCMICGFVGCGRYKEGHAIRHWQDTQHCYSLDSKTQQIWDYVGDNYVHRLNQDQSKIDGKSEEMHFRCMSQEGDCDTCECHEDLEVNGAFFNSKVEVIMDEYNRLLTSQLETQRQYYESLLIEAKSKAESSISEAVEKAATSGMLDIQNELEKCTEERNAVAEVNRKLIKNQESWREKVKEAEEREAASMKSKNERILDLEEQIRDITIFLEAQKTIEKMSDSNGIKEGTVLPVVHEQPSPGNSKRNRKLGRRRH >CAK8566325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:407048830:407052248:-1 gene:gene-LATHSAT_LOCUS19462 transcript:rna-LATHSAT_LOCUS19462 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSTFTFVTIIFLFLNLALTQPPPFACDSSNPSTRSYPFCNPKLPITQRTRDLVSRLTLDEKLSQLVNSAPPISRLGIPGYQWWSEALHGVGNVGPGIFFNGTITSATSFPQVILTAATFDSHLWYRIGQVIGVEARAMYNGGQAMGMTFWAPNINIFRDPRWGRGQETAGEDPMMTSNYAVSYVRGLQGDSFQGGKLRGHLQASACCKHFTAYDLDNWKGVNRFHFDAKVSLQDLADTYQPPFRSCVEQGRASGIMCAYNRVNGVPSCADYNLLTKTVRKQWGFHGYIASDCGAVGIIHDQQGYAKSAEDAVADVMQAGMDLECGNYLTDHAKSAVQQKKLPIYQIDRALHNLFSIRIRLGLFGGNPTKLPFGMIGPNHVCSENHLYLALEAARNGIVLLKNTASLLPLPKASSISLAVIGPNANASPLTLLGNYAGPPCKYITILQGFRHYVKDAVFHPGCDGGPKCPSAQIDQAVEVAKKVDYVILVMGLDQSEEREERDRVHLDLPGKQLELINNVAKASKRPVILVLLCGGPVDISSAKYDDKIGGIIWAGYPGELGGIALAQIIFGDHNPGGRLPITWYPKDYIKVPMTDMRMRADPLTGYPGRTYRFYKGPTVYEFGHGLSYTKYSYEFVSVTRDKLHFNLSTTHLTVENSETIRYKLVPELSEETCKSMSVSVTIGVKNHGNMVGRHPILLFMRPQKQRIGSPMKQLVGFHSLLLDAGEMSHVGFELSPCEHLSRANEAGLKIIEEGSHFLLVGDEEYLIDVIV >CAK8569394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:698856127:698862772:-1 gene:gene-LATHSAT_LOCUS22250 transcript:rna-LATHSAT_LOCUS22250 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSPALRIGDLNDFIAPSQACIVSLKGVKKPNKVEVSIADRQVKKSEPVKISLKDCLACSGCVTSAETVMLEKQGLDEFLSNINQGKAVIVSVSPQSRASIAAHFGISPVQAFKKLTRFFKSLGVRAIFDTSCSRDLTLVESCVEFITRYRQNQLLDDERSKSSLPMIASACPGWICYAEKQHGSFVLPYISSVKSPQQTIGTIIKRYVCEDMKLRPEEVYHVTVMPCYDKKLEASRDDFVFQLEPHAEGHEGEDNLILEVDSVLTTGEILELIQSKEVDFKSIEEASLDKLLTNVNEEGHLYGVHGSSGGYAETIFRYAAKTLFGRHIDGPLNFRNIRNSDFQEVTLEVEGETVLKFALCYGFRNLQNIVRKLKTGKYDYHFLEIMACPSGCLNGGGQIKPISGQSAKGLSQLLESVYMENVLEAEPFDNPIIKDLYDKWLERPGSEKARRYMHTQYHPVEKSITSQLHNW >CAK8531263.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:94364942:94366372:-1 gene:gene-LATHSAT_LOCUS1068 transcript:rna-LATHSAT_LOCUS1068 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFAADHVAPFPDTGDLLFAAGLLSNRNNPQKLRPIRSVPPPTHLVNANPSSNLHPPEPPSQTQAPTESGSGHSPLLNREEPGSSLDDEDNVDDVEKSSGSTKEFGSRKRRKKSARKLESFVEGLVKRVMEKQEQMHKELVEMIEKTEKERMKREDEWRRDEMERIKREDEARAQERSRSLALISFIQKLLGQEIQVPQQAMNLLGHEIQILSQPAEGSGKKEEYGVEVNNNKRDFNGDMSNNRWPDVEVQALIAVRTALEHRFGGSLTGTKGSIWEEISEALRGKGYNRSAKKCKEKWENINKYYKRTVGSGKKRPLNSKTCPYFDELDNLYRNGSFNSLGNALSNTNNVSMSEVKEQGEI >CAK8565339.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:139270092:139270298:-1 gene:gene-LATHSAT_LOCUS18560 transcript:rna-LATHSAT_LOCUS18560 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTHQISSQQQRARRAKNERKRRQNMNVEQRENHLLIQCGNYRRRKEQDKQAQTSRTINSRRRVPF >CAK8574933.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:16228104:16232673:1 gene:gene-LATHSAT_LOCUS27231 transcript:rna-LATHSAT_LOCUS27231 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDIRSLLTFSAIFRVILIVYGEWQDSHMEVRYTDVDYIVFSDAASLVASGFSPYNRTTYRYSPLLAFLLVPNSFLHRSWGKFLFSSADILVGYFIYYILKLQKVPENLCNYSVMAWLFNPFTFTIGTRGNCEPIVSAMILWIIVCLMKGNVLQSAIWYGLVVHFRIYPIIYSIPIILVLDPNFFPSGQKPVLRNWSAVQKERPEDPNGWFTPLNLLKSIFTWNRVIFGLVSGSVFLFCTGLFFFLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHNISVVEKLVSFLPQFLVQLVLIFSFAQDLPFCLFVQTVSFVAFNKVITAQYFVWFFSLLPLILPWSKMKLKWGGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWVAGLMFLAANIFILVMIIRQHKYSSVFKALEYTHSKHTAKLE >CAK8541597.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:285657058:285657729:1 gene:gene-LATHSAT_LOCUS10506 transcript:rna-LATHSAT_LOCUS10506 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSPTIISIPPPFPFPPSPQSAGQVVVLPAPPPPFQPFATAPSSVFQITVHPAPPQPPPFAGESSSVDLSPLEFLLALIAIVAIPALIYTFIFAYGCSSSDRRRSDDISDAQSVASELSRHDVESVPAGESAGLKYQEICPTKISGECPVCLSVFADGEEVRELSVCKHSFHSSCIDLWLSNHSNCPICRTTVTDDAATVKSSGPNRDGDLQLVPDDASSLV >CAK8570394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:42731553:42732611:1 gene:gene-LATHSAT_LOCUS23143 transcript:rna-LATHSAT_LOCUS23143 gene_biotype:protein_coding transcript_biotype:protein_coding MANTATISFPLTPPKPKTTTLSSFPTKSNLNLKSKLNPFSFSITHSTRPNTPPALKSFPDDTITTTTSSFSYRNLKARLRSGETLYGIFLLTFSPTLAEIAGLSGYDFVVIDMEHGHGTISDTLPCLHALAASQTAAILRVPETTATWAKKALDLGPQGIMFPMVESAKSAAKAVSYCRFPPAGVRGSAHPIVRASSYGIDEGYLNNFLDELLIMCQVESKEGVKNIDKIVAVDGVDCIQMGPLDLSASMGYLWDPGNRKVRETLREAERKVLKRKTENDDVFLSGFALPFDGPRDLKSRGYHMVSGASDVGLFRSSAVEDVVRFKESLVEDGEDGDREYFDKDDDEKYWSE >CAK8575947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:369881094:369882964:1 gene:gene-LATHSAT_LOCUS28172 transcript:rna-LATHSAT_LOCUS28172 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKQVSTMIRQGFISDPTISSFSPSRTFSSPSPSPPPSTTRPSQPQTQTQSLFDMMSEDQNRDAKLSDEKRRKMQDRVKKLLEEAPFSGGDVKLTVVGKEGLKVSMEVQKSVLADKSRFFAEKLRCDGGDGNGNGMTHSVEISDCDDVDVYVEAVVLMHCVDLNKRLRFIAEDGVSKVLNLLKVSAAIMFDLGVMSCLEYLEAIPWTEDEQEEIISQLGHLQLHDSATEVLLRVSSSSPSTAARADDVFTSLLSGVLQAKDDKARREMKSMLSKLLNEDAYNDSNKLDVSKDTLYHLCQRCIGSLVLCLSEANDSPERPDRGILMSEIAREADNIQWIVDILICKRMGDEFVKIWAEQKELAALHSKVQIIYRYEISRITAQLCIGIGRGHILVPKEIRFSLLSTWLEALYEDFGWMKRGSKSVDKKLVEDGLSQTILTLPLLQQQLLLLNWFDRFLNKGDDCPNIQKAFEIWWRRAFIRKYSPESDSSQLQIALMDYPK >CAK8575354.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:92174228:92177982:1 gene:gene-LATHSAT_LOCUS27624 transcript:rna-LATHSAT_LOCUS27624 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLRSVTGESAIDRENGEELMRVQPGVDIALANLPRESPGTLYITTKQVIWVSDADRSKGYAVDFLSISLHAISRDPEAYSVPCLYTQIETEADEDLNSDNSDSEESTRVHDLSIVREMRLIPSDPTQLDSLFQVFCECAELNPDPNNEEQEEEHHDWIFSADQMEDAGAEDEDYLSHNPTNTLGHSNGHQDLAHNILELQIDDERFEDAEETEHEGDSHHH >CAK8533195.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:589520196:589523711:-1 gene:gene-LATHSAT_LOCUS2840 transcript:rna-LATHSAT_LOCUS2840 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSKEENLRQDSSLRSSSSASASSWNSYPDPHSGYGQGGYPYTYESQQPSYQPYYDTRPPPPPQNYDHEPHTSGRVPRQDDKRKLERKYSRIADNYNSIDEVTEALARAGLESSNLILGIDFTKSNEWTGKHSFNRKSLHHIGNGPNPYEQAISIVGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSRYREIVPNIRLAGPTSFAPVVEMAMTIVEQSGGQYHVLVIIADGQVTRSIDTDQGRLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNMPARVFDNFQFVNFTEIMSKNIPPSRKEAAFALAALMEIPSQYKAAIELDLLGSLKASAPQRVALPTPSYGSASFSTSKPYGSASFGASKPSHAAASFERSAPSYADDSKLVGAAPSAPSSTYENQICPICLSNPKDMAFGCGHQTCCECGQDLLTCPICRSPISTRIKLY >CAK8568091.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:572938586:572940117:1 gene:gene-LATHSAT_LOCUS21087 transcript:rna-LATHSAT_LOCUS21087 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHDSWVFVFGLLGNVISVMVFLAPLPTFYQIYKKKSTQGFQSVPYVVALLSAMLWIYYAIVKREHSLLLLTINSFGLVVESVYIAIFLVYASNKVRLSTFKLILVLNVFGFGAMLVSTLCLTMGSKRLSIIGWICLVFNITVFASPLCIIRHVIKTKSVAYMPLSLSFFLSLNAIMWFFYGFLIKDYYIALPNTLGFVFGIVQMVMYLIYRNSTQVMVSEKSMKLQEQNGHVIDIVKIVDTTQGDNGGALPVSTISEDPNNGK >CAK8530317.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14224068:14227553:1 gene:gene-LATHSAT_LOCUS191 transcript:rna-LATHSAT_LOCUS191 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKRRHRSLFLSSPRFDVTSSTFIYIHHTFLLAPSFSQTKLWLLLLLISLLLPQRHSHLPGHNAAFRVKATLATGGGDLLSYPKNSNDVVIGKDLVVVDESNGTSTQDLGITSIFFPADDNEFDLDSPAEGFASIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMKEEDLDRLELPLMVDSKANAEKLSTAFTVTVDAKHGTTTGVSAHDRATTVLALASRDSTPGDFNRPGHIFPLKYRDGGVLKRAGHTEASVDLTVLAGLDPVSVLCEIVDDDGSMARLPKLRQFAKRENLKIVSIADLIRYRRKRDKLVERSSAARIPTMWGPFTSYCYKSLLDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVQSMKLMTNNPSKYIGLKGYGLTVSGRIPLLTLITSENRRYLETKRVKMGHIYGTEHNSGNVKTNSVEDSNSNSNGVTSL >CAK8530318.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:14224193:14227553:1 gene:gene-LATHSAT_LOCUS191 transcript:rna-LATHSAT_LOCUS191-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFNLSSSSSTPLSSSRVSNHFKLFNDFPPAKLNYKFASGHNAAFRVKATLATGGGDLLSYPKNSNDVVIGKDLVVVDESNGTSTQDLGITSIFFPADDNEFDLDSPAEGFASIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMKEEDLDRLELPLMVDSKANAEKLSTAFTVTVDAKHGTTTGVSAHDRATTVLALASRDSTPGDFNRPGHIFPLKYRDGGVLKRAGHTEASVDLTVLAGLDPVSVLCEIVDDDGSMARLPKLRQFAKRENLKIVSIADLIRYRRKRDKLVERSSAARIPTMWGPFTSYCYKSLLDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVQSMKLMTNNPSKYIGLKGYGLTVSGRIPLLTLITSENRRYLETKRVKMGHIYGTEHNSGNVKTNSVEDSNSNSNGVTSL >CAK8566943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:474511721:474512577:-1 gene:gene-LATHSAT_LOCUS20038 transcript:rna-LATHSAT_LOCUS20038 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLYFESIANPSLKVLNIPELTRIGHKKGVIVLVNNMFAPKMIFVARLGVDVVVHNLTKFINGGGDSITRAVCGSKSFVNSLMDFQQGGIMLLGPTMDAKVTFEISERILHLGFRMKEHGRRALEYATRLKKLRIKVHYQGLKNTYNTKF >CAK8530543.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:28675861:28679181:-1 gene:gene-LATHSAT_LOCUS401 transcript:rna-LATHSAT_LOCUS401 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMTDSDWETSSDSSGSDDQEDIDYLYGGQAQSILSSLEESIGKIDDFLSFERTFGYGDVVRSLSDTSGQMGRVVGVDVTVDLENVRGNILKNVNSKKLLKIRSISEGDYVIKGPWLGQVQRVVDRLTVLFDDGTKYDITTLEKDKILPQTPNFLEDSQYPYYPGQRVKVKSSTGSKSARWLCDNWRDNHDEGTVCSVEAGLVYVNWATSILLGSNSNVNAPACWQDSKNLTMLSCFSHANWQLGDWCMLPAADQQEQIEKMIRDSPDGYLPNKHSMAREYRRRNVNSSLEELFIIGKIKTKVDIIWQNGEVTLGSDSQNLIPVNVVNTHEFWPHQFVMEKGTSDDDDKHSNQRWGVVLSVDAKEHTVNVQWRTVPTSKPDDLAGEPTLETLSAYELVEHPDFSGCFGDIVFKTAQKQVGYRAEKNNANLMSDLNVEVSLIKLDQINYQNKSNDNCHLSCIGNISGFKDGYVEVKWATGLTTKVAPYEIFRIDKHEGSTATPVSYETNVVELTQEIIDHGSLSSDQKGKGLLDRNGDKDKCDKHSGESSSFSLPQAAFELFSSIKAGVFQKLGLTSFYGAVSTVPTFEEENAPDFLGKKDLEACSPETDSHPVSLLESTEDSTPYCEVLKIHETGNVTSDQLKQFDVIDTCSDHHFFNEGKGSPLSQVKKDWAKKVQQEWSILEKNLPETIYVRVFEERMDLMRAAIVGASGTPYHDGLFFFDVSFPPEYPSEPPMVHYISGGLRINPNLYESGKVCLSLLNTWSGTAAEVWNPESSTVLQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLLTSKSMLYLLRNPPKHFEALVEDHFRQRAEHILVACKAYLEGGSIGGEKSEHENQKGTSAGFKIMLAKLFPKLVEAFSGKGIDCSKFVDMQK >CAK8536742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:12390079:12391890:-1 gene:gene-LATHSAT_LOCUS6076 transcript:rna-LATHSAT_LOCUS6076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPILPLTTFSLTKPNTETTPKHQTAIFHILSLIPKCTNLTDLKQVQAYSIKTHLQNNTNVVTKFINFCTSNPTEASMDHAYHLFDQITLPKIVLFNTMARGYARVNDPLRAMILFSRVLFSGLVPDDYTFSSLLKACSKVKALEEGKQLHCFALKLGVSDNVYVVPTLINMYTACGDIDASRRVFDKIEEPCVVAYNAIITSLARNSQPNEALALFRELQERGLEASDVTMLVVLSSCALLGSLDLGRWVHEYVKKYGFDRYVKVNTTLIDMYAKCGSLEDAVNVFRDMPKRDTQAWSAMIMAYATHGDGFQAISMLKEMKKEKVQPDEITFLGVLYACNHNGLVEEGFEYFCSMTDEYGIVPSIKHYGCMVDLLGRAGRLDEAYKFLDELPIKQTPILWRTLLSACSNHGNVEMGKQVIERIFELDDSHGGDYVILSNLCARYGKWDDVNHLRKMMLNKGAVKIPGCSSIEVNNIVHEFFAGDGVHSISPTLHRALDELMKELKLAGYVPDTSLVFHADMEDEEKEIILRYHSEKLAITFGLANTPPGTTIRVVKNLRVCGDCHNAGKFISLIFGRQIILRDVQRFHHFKDGKCSCGDYW >CAK8574173.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:667653803:667655794:-1 gene:gene-LATHSAT_LOCUS26544 transcript:rna-LATHSAT_LOCUS26544 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGNRFFTIAEFLLRKYSASNSLSETKKLHALIITSGLLSSSQLCSSLATTYAQCHHVSYASQLFDKLLRPSLFSWNTMMRMYVQMGRPNDALNMFDEMTHSGRSLPDNFTYPIVIKACSELLFFDMGVGVHGQTLKYGFDRDVFVQNSLLAMYMAAGEKEAAKLVFDLMLEPTVVSWNSLISGYFRNECAEEALRVYSRMMDEGVEPDCATLVSVLPVCGVMKNVEVGREIHALALERGFWGNVVVRNALLDMYVKCGQMKEARLLLNGMNEKDVVTWTTLINGYVVNGDAKNALMLCRMMQSEGVKPNLVSVTSLLSACGDLVSLKCGKCLHAWAIRQNLESEVVVETALIDMYAKCNDSSLSYNVFMKTSKKRTAPWNAVLSGFVHNKLARNAVQLFKQMLAENVRPDSPTLNSLLPAYAILADLKQAINIHCYLIRLGFLLKLEVASMLVDIYSKCGSLGYAHQVFDIIPFKDKDIIIWSSIIAAYGKHGHGEMAVSLFYQMVQSGEQPNEVTFTSVLHACSHAGLVDEGLSLFNLMLKKYQVIPRVDHYTCIVDLLGRAGRLNDAYNLIRTMPITHNHAIWGALLGACVIHENVELGEIAARWTFELEPENTGNYVLLAKLYAAVGRWRDAEMVRDMVNEVGLRKLPAHSSVEVSNM >CAK8564171.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:655042097:655042630:1 gene:gene-LATHSAT_LOCUS17500 transcript:rna-LATHSAT_LOCUS17500 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGQLDRFKKQQEKCQSTLSGIAASQVGGRKPSNPVVAHASINGRNSTTGIKFSKDTERLQQINTIRKAHVGAQMKRVIDMLFETRKAYTPEQIIEACYVDMRANKDVFDNLRKNPKVHYDGQRFSYKAKYGLKDKTELLQLVRKYPEGIAVIDLKDAYPSVMEDLQALKVAGQI >CAK8561332.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:154376321:154376703:1 gene:gene-LATHSAT_LOCUS14933 transcript:rna-LATHSAT_LOCUS14933 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLETADSDVQNSSPSVRVLEPGNPAVTAKVFIMQQLIAKETCDCGTLRSIGKGVVVSISCFFFNPT >CAK8539939.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:531837473:531838977:1 gene:gene-LATHSAT_LOCUS8989 transcript:rna-LATHSAT_LOCUS8989 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIFSLGTGGRCEEEDNQENNTININPPPPPGPEFWYNNPNKTDDSVAGYRGFEIWNQQQQHHQFLPLQQDLYTGVALGVGPSRVLSSDDNRSAFVVASGAVGGISCQDCGNQAKKDCPHARCRTCCKSRGFDCQTHVKSTWVPAARRRERQQQQLAAPKPPQQYGDTVTETRQRDLNNNQIPNSALACARLPPNPSGLIDEANFPAVVNSPAEFRCVRVTSVEDAEEEIAYSTAVNIGGHVFRGILYNYGPDMNNNYISAGNTPPSGGEAAALPLNLISPVPGEQLVDPAMYPAPLNTFMPPSGTQFFPNPRS >CAK8565632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:295802767:295805545:1 gene:gene-LATHSAT_LOCUS18827 transcript:rna-LATHSAT_LOCUS18827-2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIQTLRSIISSGIFGKLIGNIIYAWSVYLKGAVYVTSFMPIQIAISVILGVIFLGDTLHIGSIIGATIISIGLYAVLWGKATEEIEEDVGFLGSPSTENAPLLQSYTTQTFEKYIYLSSRSQLSFCLTLDLQFSSTIRCLSIDLVLGHVPCTLHIFNVLSKHLTLPFHILN >CAK8565631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:295802767:295806917:1 gene:gene-LATHSAT_LOCUS18827 transcript:rna-LATHSAT_LOCUS18827 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIQTLRSIISSGIFGKLIGNIIYAWSVYLKGAVYVTSFMPIQIAISVILGVIFLGDTLHIGSIIGATIISIGLYAVLWGKATEEIEEDVGFLGSPSTENAPLLQSYTTQTFEKYIYLSSRSQLSFCLTLDLQFSSTIRCLSIDLVLGHVPCTLHIFNVLI >CAK8539842.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528628190:528630666:-1 gene:gene-LATHSAT_LOCUS8902 transcript:rna-LATHSAT_LOCUS8902 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRTSKHSTKSSLLSSKSKSSFSTPTHSSSQTMKTTIKIYGVSLSLILINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINYDRPTILAMGTFCWALSTAAVSACHDFMQVAFWRAINGFGLAIVIPALQSFIADSYREGVRGAGFGLLSLIGNVGGIGGGVMATVMAGQTFWGIQGWRCAFVLMASLSALIGILVLLYVDDPKKRFSPTQDASDSSERGDSIYSGNASVTSVWRHSWAATKSVIRVQTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDNNTSATLLSLFAVGCALGSFIGGSIADRLSQTYPHSGRIMCAQFSAFMGIPFSWFLLRMVPQSVTSFLTFSVTLFFMGLTISWNATAANGPMFAEVVPVKHRTMIYAFDRAFEGSFSSVAAPLVGILAEKMFGYDSKSVDPIKGSSPEALALSKGLLSMMAVPFGLCCLCYTPLYFIFKKDRENARMQAIKEEEMM >CAK8577590.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:576469585:576470170:1 gene:gene-LATHSAT_LOCUS29682 transcript:rna-LATHSAT_LOCUS29682 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLSPAPTTAATPLSARPWNSSSLYSLKNACFTTPRLCCIGNQYQHPVSNLEQVPPIRDDHTMHRRTLMGLSGAAVLGLSWSDEQSARAAARRPPPPPPKEKKDPNISGVQAKVLASKKRKEALKEEVARLREKGKALNINKEPPPPVVTPTPIPASE >CAK8541499.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:206585037:206601738:1 gene:gene-LATHSAT_LOCUS10416 transcript:rna-LATHSAT_LOCUS10416 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISDSPPESKPMEENTQTPITDSISEIDFKTMRKTKSGVKRLIMTLTVLVSFILLSPLLLKSIEIYRAPLPFDEIDSFSSNIESNRLLFPCGFRAIFVGFNFRVSNHEVGEVIQSKMSELSLGDSRCGCSGDYSVSAVLDLADAEVNAVDFGGKLRENDKEVDELVKRLVSVYGEEKVYSVVVVNGEEEVKAVVGKYRHAWILGKVSEEDAVVRVAEIFSKVFVNGGSEDGLIHNEFMPVGADGRIVLSFSLLNAEPQDWVYDWDFNEIDETLLQPVIEALQPIADVTVESQVLYHTPKASFSYWDDEHGSHIFTTQDLPFFVNSNEWHLDTSVAAGGRSKVLQLVVYIPSAKECPLQLELPNGDLSKTNGFISPMWGGVVVWNPQSCIKDVESKDLVRHMISPQDLQKLFEVLMGQIRQLLGLKSDDLYVGESGTSTLLGSERGFTEWELDFLSRKHFCFNLLSCATTLKSLSKLVQSLPRMIIMDEIGKQVTFSLEAAKSAQTNATVGMYDASAVSSRQSRTLAEDAFFHPSIMSISYYSFEHCFAIYSPFFLPVIMHIILAALREWKRYKQENRKYLAWKAKAKAAS >CAK8571680.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:460948945:460963703:-1 gene:gene-LATHSAT_LOCUS24319 transcript:rna-LATHSAT_LOCUS24319 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDGKERMAGVERLHQVLEASRKSLSSTEVSSLVDCCLDLLKDSSFRVSQGALQALASAAVLSGDHFKLHFDTLVPAIVDRLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTCKNWRVREEFARTLTSAIGLFSSTELPLQRAILPPTLQLLSDPNPVVREAAILCIEEMFAQAGSQFRDELQRHDLPSSLVKYINARLEGIQPKVQSSNGISSGYNIPGEINPSSVNPKKSSPKAKSSSKGTSLFGGEGDVSEKAIEPIKVYSEKEFIREIEKISSTLVPEKDWSIRIAALQRIERLVLGGAADYPCFFGILKQLVGPLSTQLSDRRSTIVKQACHLLCFLSKDLLGDFEACAETFIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIVDCAKNDRSAILRARCCDYALLILEHWPDAPEIQRSADLYEDMIRCCVSDAMSEVRSTARMCYRMFAKTWPERSRRLFSSFDPSIQRLINEEDGGKHRRHASPSIRDRSAPMPSTNQASNPANLTGYGTSAIVAMDRSSSLASGTSISSGVLLSQAKSLGKGTERSLESVLHASKQKVTAIESMLRGLDLSNKHNSSALRSSSLDLGVDPPSSRDPPFPASVSASNHPTILSTTESSGLGVNRASSRSSGMGLSNIITQIQASKDSDKFSYYSNNGIEPSSEFSSYTNKRATEKLQGRSSVDENSYIRETRRYTSPNVDRQYMDTLYRDGNFRDSQNSHVPNFQRPLLRKNVTARVSAGRRRSLDDSQLSIGEMSNYADGPASLHEALSEGLSSGSDWSARISAFNYLHSLMEHGQKGVQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIRTCRKPFESYMERMLPHVFSRLIDPKELVRQACSTTLEAVGKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHTMNPEGAANIGILKLWLAKLAPLVHDKNTKLKEAAITCIISIYSHFDSSAVLNFILSLSVDEQNSLRRALKQRTPRIEVDLMNYLQNKKERRTKSSYDQSDVVGTSSEEGYAGLSRKAHYMGRYSGGSLDSDGGRKWSSQDSNLIIASHGQPASDEAEEHLYQNLQTECDNGIVGSKTKDLAYTVNSMDQSFGFQTDQLGYVDSSVNFEGISSDVDVNGLMSLEHLNISELNHNHHSAEDVKGNCMANIGLSIPQILHMICSGGDGSTISSKRTALLQLVEASAENDHSVWIQYFNQILTVVLEVLDDSDSSIRELALSLIVEMLKNQKDAMENSVEIVIEKLLNVTKDIVPKVSNEAEHCLTIVLSQNDPFRCLSVIIPLLVTEDEKTLVTCINCLTKIVGRLSQEELMAQLPSFLPALFEAFGNQSADIRKTVVFCLVDIYILLGKAFLPYLEGLNSTQLKLVTIYANRISHARTGKSIDAAND >CAK8530494.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:24472641:24474182:1 gene:gene-LATHSAT_LOCUS352 transcript:rna-LATHSAT_LOCUS352 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTTVSYRFNINGQYTDRINTRRGIRQGDPLSPLLFVIIMEYFSRLLLKMQRNPEFNHHAKCERLQITHLTFADDLLLFSRGDHVSVEILYSTLNKFLYSTGLKINPSKSRVYFGNVPASVKCGILHLTSYKEGSFPFRYLGIQLTSKRLAVIHYMPLLDRLLSRITHWSSRLLSYAGRLQLIKSVLYAITTYWMQCIWFPKTVINKINAICRSFLWSGGNNISRKSPVAWENVCKPHVQGGLNVMNLEVWNNMFVIKLLWNIYAKSDDLWVRWIHAYYLRHEDILTRMVKGSDSGIFKAILIQRDKLMTIQSTWDAMLQMGKFHGRKVYQSLLPITPNVPWAKLILHNRARPRAIITLWTICHGKLATKSRLFRFGMINNNKCAFCNEEETIDHLFFCCVELKQIWSGILKWLGIQLTPKRWQEEMQWALSNYGGKGWQSDLVRLALTETLHEIWLYRNEACFNHRTDNRNCLDRIIYNIMYRGWTSPKLRPRIARFILPRITFLISKST >CAK8565592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:286352803:286357409:-1 gene:gene-LATHSAT_LOCUS18789 transcript:rna-LATHSAT_LOCUS18789 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFGKIAGLFTNRTVAGVDKTGNKYFTRIEEIDGIMKEKRWVVFKGEQDPTSIPVEWICWLNGQRKRAPTPEEQIELDARREQVRQNVALLKKEEEERNAKEGSRVRRVVNTENVGGPDLKSFIRQLQVPSEGNEVDESPGTKNEKNDLRNSQDNNTTKNAKEELESSEPSGSGASYRPGTWQPPF >CAK8578854.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:658617976:658619556:-1 gene:gene-LATHSAT_LOCUS30836 transcript:rna-LATHSAT_LOCUS30836 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIYLAFFLLSLAFLWLWRTKKNTNKLPPGPKGLPILGSLLKLGPNPHRDLHQLSQKYGPIMHLKLGLVPTIVVSSPQAAELFLKTHDLVFASRPPTEASKHISWEQRNLSFGEYGSYWRNMRKMCTLELLSHTKINSFRPMREQELDLLIKFLREKSNDGTKVDLSAKISSLSADMSCRMVFGKKYADKDLDEKGFKAVIQEGMHLAATPNIADYIPYVGLLDLQGLSKRMKAIGKIFDDFFEKIIDEHIQFGNKDDKTKDFVDVMLEFLGTQESEYRIERPNIKAVMLDMLAGSMDTSATATEWAISELLKNPRVMKKLQKELETVVGLKRKVNESDLNKLEYLDMVIKESLRVHPVAPLLIPHQSIEDCEVEDFFIPKKSRIIVNAWSIMRDPNAWKDPEKFWPERFEGSDVDLRGQDFQLIPFGSGRRGCPGLQLGLTVVRLVVAQLVHCFDWELLNKMLPSDLDMAEEFGLTMPRANHLIAIPVYRLSSDGD >CAK8537247.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:176595437:176595646:1 gene:gene-LATHSAT_LOCUS6550 transcript:rna-LATHSAT_LOCUS6550 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREVPIEVHYESFGTYYEIYEDYLVIRSIKKEICCIYIQTTIGHISFYREIEEAIQGFSRADSSYSI >CAK8578128.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:608869338:608871236:1 gene:gene-LATHSAT_LOCUS30167 transcript:rna-LATHSAT_LOCUS30167 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPMRLVLLGTAWSRSCSCGAFQHNMAPETSLLLSHCYNRDLPRAMQTLDTMEKRGVWADAIAYSELIKCCLAHKAVKEGKHVHHHIFSNGYQPKTFLVNTLLNMYVKFNLLEEAQILFDKMPERNVVSWTTMISAYSYVKLNDRAMELLIFMLRDGILPNMYTFSSVLRACERLCDLTQLHSSILKVGLESDVFVRSALIDAYSKLGELLEARGVFREMVTGDSVVWNSIIAAFAQHSDGDEALCLYKSMRRAGFSADQSTLTSVLRACTSLSLLELGRQAHVHVLKFDQDLILNNALLDMYCKCGNMEDAKFIFNRMAGKDVISWSTMIAGLAQNGYSTEALNLFDSMKVSGPRPNYITILGVLFACSHAGLVNEGWYYFRSMKNFYGIDPGREHYCCMLDLLGRAGKLDEMVTLIHEMTCEPDVVMWRTLLDACRAHRNLDLATYAAKEITKLDQHDTGAYVLLSNIYANSKRWDDVADVRRTMRARGIRKEPGCSWIEVNNQIHAFIVGDKSHPQIDEINRKLSQYIRRLTDAGYVPDTNFVLQDLGEEQSEYSLRHHSEKLAIVFGIISFPREKTIRIWKNLKICGDCHIFAKLVAKQEQRHIVIRDPIRYHHFQDGVCSCGDYW >CAK8568915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:654275037:654276754:-1 gene:gene-LATHSAT_LOCUS21823 transcript:rna-LATHSAT_LOCUS21823 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTIGNLALKIPVASKAARSVVHPSSSPCFCKIKLNNFPLQSAQVPFIPHENHSPDSHIQPIAATFHLSKADLDKLAGKSIFVKKLCLKISIYTGRSGTTCGVNSGRLLGKVSVPLNLSGAVTKATVFHNGWITVGKDAKGASAQFHLNVKAEPDPRFVFRFDGEPECSPQVFQIQGNISQPVFTCKFSFRNNSGDRNQRSRSLQSEVGSSRSWLSSFGSERERSGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSCSNPGSWLILRPGDGTWKPWGKLEAWRERGGSDGLGYRFELMPETNGGMSAGGIVIAESTLSLTKGGKFVLDLSSRCGGGGSNGRATPGSATSPVCSPRGSGDYGYGLWPYCMYRGFVMSASIEGEGRCSKPTVEVSVPHVNCTEDAAAFVALAAAVDLSVDACRLFSQRLRKELCQQMDLLG >CAK8579148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:679784931:679785464:1 gene:gene-LATHSAT_LOCUS31116 transcript:rna-LATHSAT_LOCUS31116 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKISLLSLFTLCLISAQAARFDIVNQCSYTVWPAATPSGGGRQLNSGQTWSIDIPAGTSSGRIWGRTGCNFDGSGRGSCQTGGCGNVISCTLSGQPPLTLAEFTLNGGNNQDYFDLSVIDGFNIPMQFAPTSNGCNKVRTCREKSCPDAYQYPSDDTKTVSCPGGTNYRVVFCP >CAK8537536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:390025610:390027404:1 gene:gene-LATHSAT_LOCUS6825 transcript:rna-LATHSAT_LOCUS6825 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPTPREEFVYMAKLAEQAERYEEMVEFMEKVTAAVESEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDEHVAVIRDYRSKIETELSNICNGILKLLDSRLIPSAALGDSKVFYLKMKGDYHRYLAEFKSGAERKDAAESTLTAYKSAQDIANTELPPTHPIRLGLALNFSVFYYEILNSPDRACGLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKGNDEPQ >CAK8576078.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:400398997:400404102:-1 gene:gene-LATHSAT_LOCUS28294 transcript:rna-LATHSAT_LOCUS28294-2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRIGRSLSRSSRVKNLLHGDSRLGAFSGVPRIDVCSEGVEGGLGFFRGFVSSSVARNNGFVSNLSDFKSGAANPRLLRLFSSEAPKKKNYENFYPKGQKEVPKGNDKKNESKEDSKSNTEDQGGFQEAFMKQFQSFLTPLLVMGLFLSSFSFGPREQQQQISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRNSPRDQTDSEVLQGNLPAKGSTGHYKYYFTIGSVESFEEKLEEVQETLGVDPHDYVPVTYSSELVWFQELMRFAPTLLLLGTLLYMGRRMQGGFGVGGGGGGKGARGIFNIGKAHVTKVDKNAKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRKRGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDNALLRPGRFDRQITIDVPDIKGRDQIFQIYLKTIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARTDEAQVTMDHFEAAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVTGWFLEHTDPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMTCMTLGGRAAEKVLIGTISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDSMEMTKPYSSKTGAIIDTEVREWVNKAYERTIQLIEEHKVKVAEIAELLLEKEVLHQEDLLRILGERPFKSAEPTHYDRFKLGFQDEEKVVETTVNEAKDDGDSPLEPEVVPT >CAK8576077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:400398997:400404102:-1 gene:gene-LATHSAT_LOCUS28294 transcript:rna-LATHSAT_LOCUS28294 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRIGRSLSRSSRVKNLLHGDSRLGAFSGVPRIDVCSEGVEGGLGFFRGFVSSSVARNNGFVSNLSDFKSGAANPRLLRLFSSEAPKKKNYENFYPKGQKEVPKGNDKKNESKEDSKSNTEDQGGFQEAFMKQFQSFLTPLLVMGLFLSSFSFGPREQQQISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRNSPRDQTDSEVLQGNLPAKGSTGHYKYYFTIGSVESFEEKLEEVQETLGVDPHDYVPVTYSSELVWFQELMRFAPTLLLLGTLLYMGRRMQGGFGVGGGGGGKGARGIFNIGKAHVTKVDKNAKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRKRGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDNALLRPGRFDRQITIDVPDIKGRDQIFQIYLKTIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARTDEAQVTMDHFEAAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVTGWFLEHTDPLLKVTIVPRGTAALGFAQYVPNENLLKTKEQLFDMTCMTLGGRAAEKVLIGTISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDSMEMTKPYSSKTGAIIDTEVREWVNKAYERTIQLIEEHKVKVAEIAELLLEKEVLHQEDLLRILGERPFKSAEPTHYDRFKLGFQDEEKVVETTVNEAKDDGDSPLEPEVVPT >CAK8532226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:224193044:224194783:-1 gene:gene-LATHSAT_LOCUS1957 transcript:rna-LATHSAT_LOCUS1957-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDKKKEDRVMQNSSHSSKNCNKKLDPMNKGKEVDDNDDSIGKLVQEFIVFSFREDGSFDVAIESNSPKSESFTSVDDGKHVNSKPVIRKLNYVEGAEKVGNKHREKNTSNSNHHDIDEHVQVCIDTLKEGVAKESINLSPTQEKKNIIRSCQREEIEDGRLVTNGSRDSNQSEGSRGSFAFPKLAWDLVESPVKMPKSENLHQKKQKIRCVGFQCFRFR >CAK8532227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:224193044:224194783:-1 gene:gene-LATHSAT_LOCUS1957 transcript:rna-LATHSAT_LOCUS1957 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDKKKEDRVMQNSSHSSKNCNKKLDPMNKGKEVDDNDDSIGKLVQEFIVFSFREDGSFDVAIESNSPKSESFTSVDDGKHVNSKPVIRKLNYVEGAEKVGNKHREKNTSNSNHHDIDEHGVAKESINLSPTQEKKNIIRSCQREEIEDGRLVTNGSRDSNQSEGSRGSFAFPKLAWDLVESPVKMPKSENLHQKKQKIRCVGFQCFRFR >CAK8578968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:664967124:664967709:1 gene:gene-LATHSAT_LOCUS30945 transcript:rna-LATHSAT_LOCUS30945 gene_biotype:protein_coding transcript_biotype:protein_coding MEETHGEDYVSDLPEDDFNRQGNHRTGITDLKKRGHVSRSWIKIDQDGNSEVVTLDKATIMRDCSLPSRDLRLLDPMFIYTSSILGREMAIVVNLEQIRCIITADEVILMNSLDGTVGRYRSQLCNRLRKEKSDDLPFEFRALELALELTCTSLDAQVFSIS >CAK8536514.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948634615:948634959:-1 gene:gene-LATHSAT_LOCUS5875 transcript:rna-LATHSAT_LOCUS5875 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRKFNMRKIYMALHRSTQKLEWRTLFYGNVARPKAIVNMWLACRERLATRTRLHKFCLIDTTKCYFCNEDETQKLLLFECKEMKVIWERVLDWIQIRNHLFRWSQKIKWII >CAK8536515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:948634615:948634920:-1 gene:gene-LATHSAT_LOCUS5875 transcript:rna-LATHSAT_LOCUS5875-2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRSTQKLEWRTLFYGNVARPKAIVNMWLACRERLATRTRLHKFCLIDTTKCYFCNEDETQKLLLFECKEMKVIWERVLDWIQIRNHLFRWSQKIKWII >CAK8571955.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:496376181:496376411:1 gene:gene-LATHSAT_LOCUS24568 transcript:rna-LATHSAT_LOCUS24568 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDRICSSLTLHMIIWCIRSWQTQGIGDKVENSLGVDSQGFKQVNSKSKRKAREKNAVGSKYATRLMPGNANNSS >CAK8576328.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:459444882:459447771:-1 gene:gene-LATHSAT_LOCUS28525 transcript:rna-LATHSAT_LOCUS28525 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENTVTSNPSHGERPKVVVITGPTGSGKSKLAVDLASHFPLEVINSDSMQVYTGLDILTNKLPFSEQNGVPHHLLGTVNPNTEFTAKAFRDSAIPIIDAILSRNHLPVIVGGTNYYIQALVSLFLLDDSTHELNESYLGDSPGITGCDNGIAAENDCSSNNYDLLKDIDPVAANRIHPNNHRKINQYISLYSRTGVLPSKVFQGQAAEKWGQADNLRYDCCFISVDASLPVLDRYVEQRVDHMMDVGLLNEVYDIYSMNADYTRGLRQAIGVREFEHLLQTCAFKNINQKEKELTDGVSLENGEPVLGGNLMEWFRTSSDTKSIKLLEEAIEKVKVNTRRLVRRQKRMLSRLQTLFGWDIHYVDSTESISSRSNDVWNSQVVETAMKIVTSFLSENGNLSASNGNGMKTIQRDLWSQYTCKACGDRVLRGIHEWEEHIRGRGHRKRISSLKSKAKYLTFVDPKREPSEP >CAK8569897.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:14621201:14621866:1 gene:gene-LATHSAT_LOCUS22699 transcript:rna-LATHSAT_LOCUS22699 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRNPVAANSSSPDSDFSNQQWSFEISDYLSFDDIDWQQIDPMTDQSSVYQAYEVAGISNIEWSSTHTRDTNNIKMSGGENIWKKEVKERVAFKTMTEVEILDDGYRWRKYGKKMVKNSPNPRNYYRCSVEGCPVKKRVERDNDDSRYVITTYEGMHTHPTSS >CAK8566583.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:438811365:438812661:-1 gene:gene-LATHSAT_LOCUS19704 transcript:rna-LATHSAT_LOCUS19704 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEAQSRGGQRWSLTGMTALVTGGTRGIGHAIVNDLASFGATVYTCSRTESELNNSLQQWQSQGFSVTGSVCDVSSRPQRENLVQQVSTTFNGKLNIFVNNVGTNFRKPTVEYTAEEYSELMTVNLDSAFHLSQLAYPLLKESGNGSIVFISSVAGVVSLGTGSIYAASKAAINQFTKNLACEWAKDNIRSNSVVPWATRTPLVEHLFKNQKFVDDIMSRTPLKRIAEPEEVSSLVTFLCLPAASYITGQVICVDGGLTVFGFQPSMRIT >CAK8544767.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704964569:704966314:1 gene:gene-LATHSAT_LOCUS13422 transcript:rna-LATHSAT_LOCUS13422 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTATIHIPRVKLGSQGLEVSKLGYGCMGLTGVYNDAVSEDVAISLIKHCFNKGITFFDTSDVYAAHVNEVLVGKALKDLPRDQIQIATKFGIVKMESGKVVVNGSPEYVRSCCEGSLRRLGVEYIDLYYQHRIDTTVPIEDTMGELKKLVEEGKIKYIGLSEASTDTIRRAHAVHPITAVQMEWSLWTREIEPDIVPLCRELGIGLVPYSPLGRGFFGGKAITESIPATSFLAMQPRLQGENLDKNKILFSRMEKLAEKHGCTSSQLALAWLLHQGDDVVPIPGTTKIKNLESNIGSFKVKLNKDDLKEIEDAVPISEVAGSRTTDAFAKCSWKFANTPTKA >CAK8544768.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704964569:704966314:1 gene:gene-LATHSAT_LOCUS13422 transcript:rna-LATHSAT_LOCUS13422-2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTATIHIPRVKLGSQGLEVSKLGYGCMGLTGVYNDAVSEDVAISLIKHCFNKGITFFDTSDVYAAHVNEVLVGKDLPRDQIQIATKFGIVKMESGKVVVNGSPEYVRSCCEGSLRRLGVEYIDLYYQHRIDTTVPIEDTMGELKKLVEEGKIKYIGLSEASTDTIRRAHAVHPITAVQMEWSLWTREIEPDIVPLCRELGIGLVPYSPLGRGFFGGKAITESIPATSFLAMQPRLQGENLDKNKILFSRMEKLAEKHGCTSSQLALAWLLHQGDDVVPIPGTTKIKNLESNIGSFKVKLNKDDLKEIEDAVPISEVAGSRTTDAFAKCSWKFANTPTKA >CAK8570036.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21650396:21650962:-1 gene:gene-LATHSAT_LOCUS22825 transcript:rna-LATHSAT_LOCUS22825 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFMVVFYSKGRFVKDPKIRYEGGDVYAFNGQDPDYWSFFEARDLIKLIEPEFDIVSVKMWWKHEEGSFEHDLKPFKDDGDASELAMYVIGNKSDIEIFCEPKFVGEDTFMDRFRERGKGTKCDEDVNRLVEDNDDSSDEFVRIVHFDDSEEERMKGFVEGLDEVFDNAAKVEQKMKLLQMKRVLLI >CAK8543693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631859934:631867284:-1 gene:gene-LATHSAT_LOCUS12436 transcript:rna-LATHSAT_LOCUS12436-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSFRSGSSSIWRNNDADEIFSNSFHQEDDEEALKWAAIQKLPTFARMRRGLLNSLQGEAIEIDVKKLGLQERKDLLERLVKLDENDNEKFLLKLKDRIDRVGIDLPTIEVRFNHLNIEAEAHVGSRSLPTFSNFMINIVEVLLNSLHVLPSRKQHLSILKDISGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGKVTYNGHEMNEFVPQRTSAYVDQNDLHVGEMTVRETLAFSARVQGVGPRYDLLAELSRKEKHANIKPDPDIDVYMKAVATEGQKENLVTDYVLRILGLEICADTFVGNAMLRGISGGQRKRVTTGEMLVGPNKALFMDEISTGLDSSTTFQIVNSMKQYVHILKGTAVISLLQPPPETYNLFDDIILISDSHIIYQGPLDHVLEFFESLGFKCPSRKGVADFLQEVTSKKDQEQYWEHKDQPYRFVTSEEFSEAFKSFHVGIRLGDELDIEFDKSKNHPAALTTKKYGVGKLELLKACLSKEYLLMKRNSFVYIFKLCQLFIMAMIAMTIFLRTEMHRDSVAHGGIYVGALFYAVVVIMFNGMAEISMVVSRLPVFFKQRGYLFFPPWAYALPGWILKIPLTFVEVAVWVFLTYYVIGFDPNIERFFRQYLVLVLVHQMASGLFRFVAAVGRDMTVALTFGSFTLAILFSMSGFVLSKDGIKKGWIWGFWISPMMYGQNAIVNNEFLGDNWKHVLPNSTEPLGIEVLKSRGFFTESYWYWIGVGALIGYTLLFNIGYILALTFLKPFGKHQTVIPEESQSRKRSNVLKYIKDIFSEHSMKVINGSTSPSTLSSRQETVAETNRSRKRGMVLPFEPHSITFDEVTYSVDMPQEIRNRGVVEDKLVLLKGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYISGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVHESLLYSAWLRLSPGINAETRKMFIEEVMELVELKQLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGPLGHHSSNLISYFEGNQGVNKIKDGYNPATWMLEITSSSKEVELGIDFADLYKNSELYRRNKTLIEELSTAAPGSSDLYFRSQYSRSFVTQCMACLWKQHWSYWRNPIYTAIRFLYSTMVAVLLGTMFWNLGSKIEKVQDLFNAMGSMYAAVLLIGVKNASSVQPVVGVERTVFYRERAAGMYSAFPYAFAQVVIELPYVFVQALVYGLIVYAMIGFEWSVAKVLWYLFFMYFTFLYFTFYGMMSVAVTPNSHISNIVSSAFFSIWNLFSGFIVPRPKIPVWWRWYSWANPVAWSLYGLVVSQYGNVKHNIESSDGGVTTVEEFLRDYFGFKHDFLGVVAVVNVAFPIVFAFIFAISIKMFNFQKR >CAK8543694.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:631859934:631867284:-1 gene:gene-LATHSAT_LOCUS12436 transcript:rna-LATHSAT_LOCUS12436 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSFRSGSSSIWRNNDADEIFSNSFHQEDDEEALKWAAIQKLPTFARMRRGLLNSLQGEAIEIDVKKLGLQERKDLLERLVKLDENDNEKFLLKLKDRIDRVGIDLPTIEVRFNHLNIEAEAHVGSRSLPTFSNFMINIVEVLLNSLHVLPSRKQHLSILKDISGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGKVTYNGHEMNEFVPQRTSAYVDQNDLHVGEMTVRETLAFSARVQGVGPRYDLLAELSRKEKHANIKPDPDIDVYMKAVATEGQKENLVTDYVLRILGLEICADTFVGNAMLRGISGGQRKRVTTGEMLVGPNKALFMDEISTGLDSSTTFQIVNSMKQYVHILKGTAVISLLQPPPETYNLFDDIILISDSHIIYQGPLDHVLEFFESLGFKCPSRKGVADFLQEVTSKKDQEQYWEHKDQPYRFVTSEEFSEAFKSFHVGIRLGDELDIEFDKSKNHPAALTTKKYGVGKLELLKACLSKEYLLMKRNSFVYIFKLCQLFIMAMIAMTIFLRTEMHRDSVAHGGIYVGALFYAVVVIMFNGMAEISMVVSRLPVFFKQRGYLFFPPWAYALPGWILKIPLTFVEVAVWVFLTYYVIGFDPNIERFVAAVGRDMTVALTFGSFTLAILFSMSGFVLSKDGIKKGWIWGFWISPMMYGQNAIVNNEFLGDNWKHVLPNSTEPLGIEVLKSRGFFTESYWYWIGVGALIGYTLLFNIGYILALTFLKPFGKHQTVIPEESQSRKRSNVLKYIKDIFSEHSMKVINGSTSPSTLSSRQETVAETNRSRKRGMVLPFEPHSITFDEVTYSVDMPQEIRNRGVVEDKLVLLKGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYISGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVHESLLYSAWLRLSPGINAETRKMFIEEVMELVELKQLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGPLGHHSSNLISYFEGNQGVNKIKDGYNPATWMLEITSSSKEVELGIDFADLYKNSELYRRNKTLIEELSTAAPGSSDLYFRSQYSRSFVTQCMACLWKQHWSYWRNPIYTAIRFLYSTMVAVLLGTMFWNLGSKIEKVQDLFNAMGSMYAAVLLIGVKNASSVQPVVGVERTVFYRERAAGMYSAFPYAFAQVVIELPYVFVQALVYGLIVYAMIGFEWSVAKVLWYLFFMYFTFLYFTFYGMMSVAVTPNSHISNIVSSAFFSIWNLFSGFIVPRPKIPVWWRWYSWANPVAWSLYGLVVSQYGNVKHNIESSDGGVTTVEEFLRDYFGFKHDFLGVVAVVNVAFPIVFAFIFAISIKMFNFQKR >CAK8561626.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:329931084:329935036:1 gene:gene-LATHSAT_LOCUS15201 transcript:rna-LATHSAT_LOCUS15201 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVMDPKYADKTWKILEDAIHEIYNHNASGLSFEELYRNAYNMVLHKFGERLYSGLVATMTAHLKDIAQSIEAAQGGSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSARKTPVHELGLNLWRENVIYSSQIRTRLLNTLLELVHGERTGEVIDRGIMRNITKMLMDLGPSVYGQEFETHFLKVSAEFYQVESQRFIECCDCGDYLKKAERRLNEEMDRVSHYLDPETEKKINNVVEKEMIENHMLRLIHMENSGLVNMLCDDKFEDLGRMYNLFRRVTDGLSKIREVMTSHIRESGKQLVTDPERLKDPVEFVQRLLDEKDKYDKIINVPFNNDKSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVNEDDVEVTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPDLGDGPTLTVQVLTTGSWPTQSSVTCNLPAEISALCEKFRSYYLGTHTGRRLSWQTNMGFADLKATFGKGQKHELNVSTYQMCVLMLFNNADKLSYKEIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMSKDVVEDDAFFVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLLSRFLANPTEVKKRIESLIERDFLERDDNDRKMYRYLA >CAK8530941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:65684593:65687188:1 gene:gene-LATHSAT_LOCUS768 transcript:rna-LATHSAT_LOCUS768 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVERLDFGKMGYGCKHYRRRCRIRAPCCNEVYSCRHCHNETTSMLKNPIDRHELVRQDVEQVVCSVCDTEQPVAQVCTNCGIRMGEYFCNICRFFDDDTGKQQFHCDDCGICRVGGSENYFHCQKCGSCYSIALRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYHEMIKRDKYCCPICSKSVIDMSTIWKRIDEEIEATTMPDDYRNRKVWILCNDCNDTTEVSFHIIGHKCGHCNSYNTRNIAPPVLPQ >CAK8576795.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:515639309:515645013:1 gene:gene-LATHSAT_LOCUS28963 transcript:rna-LATHSAT_LOCUS28963 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPGKFAPSNGSIYVCNLPHGTDDNMLAEYFGTIGVIKKDKRTGRPKIWLYRDKETNEPKGDATVTYEDPHAAVAAVEWFNNKDFHGNAIGVYIAESKNKDDQTYNSIADPVVAGDIVGPEETESDVNGVNGGGVGQIDASSKPWQQEGDWMCPNTSCSNVNFAFRGACNRCGSARPAGASGVAGGGGRGRGRSGQDSGGIGRPAGATAGLFGPNDWSCPMCGNINWAKRLKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETKRRRRQAEDDGELYDEFGNLKKKFRAKTQHSEAARVLPGSGRAGWEVEDLGIHRDATEKSRDRGRDRDDGEQRSREPIEKERQSSRNRERDRGRDRDRDYGRDRDRSRHRY >CAK8572734.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:558790236:558790520:1 gene:gene-LATHSAT_LOCUS25263 transcript:rna-LATHSAT_LOCUS25263 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDDKMYESTRISVLVNSNPTSEFDVGRGLRQGDPLAPFLFLIAVEGLSLMMSKSVQLNKFEGYEFGSGEVFVSHLQYANDTLIMERSAGKI >CAK8566914.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:472228634:472231920:-1 gene:gene-LATHSAT_LOCUS20010 transcript:rna-LATHSAT_LOCUS20010 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHHYHIFLFTFFTLFCITQSFDYADALSKSLLYFEAQRSGRLPYNQRVNWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSAIEYRQQIIEAGELRHVMEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDEKNPGSDLAGETAAAMAAASIVFRKTDPHYSQLLLHHAHQLFEFGDKYRGEYDGSVGVVKNYYASVSGYMDELLWGAMWLYKATDREHYFDYIISKAHTFGGIGWSITEFSWDVKYAGLQIIASKFLMDEKHKKHADILEQYKSKAEYYICSCLNKNNRSSNVERTPAGLLYVREWNNMQYVSTASFLLTVYSDFLKSENQKLNCQNGIVGHEEILSFAKSQVDYILGSNPLNMSYLVGYGPKYPKRVHHRGASIVSYKENKGFIGCTQGYDNWYGVQEPNPNILVGALVGGPDNEDNFVDQRNNYVQTEACTYNTAPLVGVFAKFLQIGNRSLVQDSDSLLVASF >CAK8563522.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:612383841:612384242:1 gene:gene-LATHSAT_LOCUS16922 transcript:rna-LATHSAT_LOCUS16922 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDFTLEPPHSLKYKLKSSLCFSCCFSHRRVKPRIVRSSSLGTRDKSRSTEFSHLKEKCSNFISRLSRHRRRHSADFHYDPLSYALNFEDDAHGEKSVNNLKSFSARLPASPPSTPLPNSANASVEIAARS >CAK8537182.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:124702422:124702862:1 gene:gene-LATHSAT_LOCUS6491 transcript:rna-LATHSAT_LOCUS6491 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVVHSLGLVEHSNENPRRKNKAKRKHHHQDKTKENIKLSTPNAVNILPPNECRIHEKRDQVKETNWVGEEKVNAKVNVFQGGEDGDESCFTANEFYVSKDKSIRYSRRKGIVKGKKPFVAPFPRLYRDLKWKPSLATVDDLSP >CAK8574506.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1150373:1151074:-1 gene:gene-LATHSAT_LOCUS26847 transcript:rna-LATHSAT_LOCUS26847 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKACELCNQQASFYCLSDSAFLCGNCDYAVHRVNFLVARHHRHILCSKCEAFTGIHITGTELHRFPSTCRSCSPENHSDDTDSQCSSSESCVTAPKRTITQRMKTSSSLSSVTGDGSQIGTKSVGSAAEELFVKWSRELALGFAVNGNRVAVEALRVCLRKWKLLPLKVSAAASFWLGLRLCRDSCFATFQNLIRLEKISGVPAKLILAAHAKLARVFMHQIERQEGSDEC >CAK8574874.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:12909789:12910580:1 gene:gene-LATHSAT_LOCUS27173 transcript:rna-LATHSAT_LOCUS27173 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLNNPFRTSILYHKDHPFTTTTQKTTRFHVITNATSKTNRFKELIESGTVRTILPKDASTVMNSEGFVLLDVRPNWEREKARVKGSLHVPMFVEDRDNGPLTLLKKWVHFGYIGAWTGQYLTTFNSEFLSQVENVLPGKDTKVLVACGEGLRSMTATSKLYKGGYRNLGWLVGGFNRSQDKDFLEVEGKEKLKYATIGGASYIFLQLLILLQTVSK >CAK8573363.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:605762923:605765154:1 gene:gene-LATHSAT_LOCUS25822 transcript:rna-LATHSAT_LOCUS25822 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVYQVWKGSNKFIFGGRLAFGPDARSLVITFSLIIVPVIIFCAFVARHLRHEFSSYYSGYAILVAAILFTIHVLVLLGLTSARDPGIIPRNSHPPEEEFRYESSGVAGQQTPTLQFPRTKEVMVNGRPIKVKYCETCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSATILCVYVFSLSAYYIKILMDNNNNGTVWKAIKESPASVILMAYCFISLWFVGGLTGFHLYLIGSNQTTYENFRHRADGRINVFNRGCLNNFMEVFCTEIKPSRNNFRAFVQEEVQRPLTPVFARGHEQGDLSGDRRPKVEHDLDIGEDLLKISQRRNIEELDEDIQSRGSNGAPHITADPDSILSSDHRATTIRSDARHSSWERSGNWEIAQDVFANSNVTESRQHVTSKEMRQ >CAK8578830.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:657286714:657288150:1 gene:gene-LATHSAT_LOCUS30813 transcript:rna-LATHSAT_LOCUS30813 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSHRGGRETSPDRAKICRMKQKAKAIRKVQVVYYLSRNGLLEHPHFMEVILSHNQPLRLKDVFDRLMALRGSGMPSQYSWSTKRNYKSGYVWHDLSLKDIIHPSEGGAEYVLKGSELVEGFQQLNLSEKQSIPPQQEQNFTSYNNSKSKVALSGRHQPKLESEDHPYEEYEEELLEKEYDHLDGEKTSYTSSTTTPHSRCSRGVSTEELDEVVITNSHNTPPPPNPNSATTLAEKLKQRDERRVNNVITTNYPKRLGNESLTSAPVPQSRYSVLLQLIACGSSGAEMKAKQNGGESRLSNVGTSKRRESVDEEKLYSGDHGHHGDDDDICMTENPRLLGNLQSEEKEYFSGSIVESMKANRVAFQGEPVLKKSNSYNEERRSRLGMEELQLKETKEGKGGVREKCIPLIKSSKESRK >CAK8542383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507346496:507348440:1 gene:gene-LATHSAT_LOCUS11224 transcript:rna-LATHSAT_LOCUS11224-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQNDVESQNVNHGENIENWLPISASRKAKWWYSTFHNVTAMVGAGVLGLPFALSQLGWGPGITVIIVSWLVTFYSLWQLVQMHELVPGRRFDRYFALGEHVFGSKGRVGYWIIMIQQLIVQVASTIVYSVTGGKSLKKFCEILDPSRFEDIRQTYYILFFVFLQLLLSQIPNFNTLKGISLLAAFMSVCYSMVAFGSSLAKGFEHHPTPIHYGVRSHTTAGITFDVFNALGTIAFAFAGHSVVLEIQATLPSTEEKPSKVPMWRGVVVAYSVVIVCYLTVAVSGFWAFGDLVEDDVLVSLERPAWVIAVANLMVFFHVIGSYQVFAMPVFDTMESCLVQKFKLSPSRNLRIIARSIYVALVGFVAVSFPFFGGLLGFFGGLAFAATSYIIPCVLWIKAKKPKVGSFHWTASVICITLGCMIAIVAPVGGIRTIVVSIKTYKFYS >CAK8542382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:507346487:507348440:1 gene:gene-LATHSAT_LOCUS11224 transcript:rna-LATHSAT_LOCUS11224 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSRVQNDVESQNVNHGENIENWLPISASRKAKWWYSTFHNVTAMVGAGVLGLPFALSQLGWGPGITVIIVSWLVTFYSLWQLVQMHELVPGRRFDRYFALGEHVFGSKGRVGYWIIMIQQLIVQVASTIVYSVTGGKSLKKFCEILDPSRFEDIRQTYYILFFVFLQLLLSQIPNFNTLKGISLLAAFMSVCYSMVAFGSSLAKGFEHHPTPIHYGVRSHTTAGITFDVFNALGTIAFAFAGHSVVLEIQATLPSTEEKPSKVPMWRGVVVAYSVVIVCYLTVAVSGFWAFGDLVEDDVLVSLERPAWVIAVANLMVFFHVIGSYQVFAMPVFDTMESCLVQKFKLSPSRNLRIIARSIYVALVGFVAVSFPFFGGLLGFFGGLAFAATSYIIPCVLWIKAKKPKVGSFHWTASVICITLGCMIAIVAPVGGIRTIVVSIKTYKFYS >CAK8569249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:687381383:687384955:1 gene:gene-LATHSAT_LOCUS22127 transcript:rna-LATHSAT_LOCUS22127 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPHRTWMYNRLLPGRSAHRYEFLKGVEEFINFACQQKEYLKNGIIRCPCKLCKNEDHLTPDEANTHIHQHGFAPEYWNWTCHGEIIAHINDSDEDDGIDMIAPCSNSQQGSYEHVHRYQDMVFDAAGFNREQHFIQQEEEPPNMEAKLFYDMLNSTQQPLWPGCKNTTELSAAIKMLSLKSKHNMSQACFDDMVKFMKESSHPENVIPSNFRETKKLVSGLGLSKIKIDCCIGGCMLYYKEDINLNECKFCNEPRYKTCILRKRKRNSKDVPRKRLHYLPLIPRLQRLYASARSAEHMRWHYEHRREEGVLCHPSDGEAWKHFDQVYPAFSLEPRNVRLGLCADGFTPFGQSAKPYSCWPVIVTPYNLPPELCMMMPYMFLTLIIPGPDNPKGKIDVYLQPLIDELQQLWNDGVVTYDASKKQNFRLRAALMWTINDFPAYGMLSGWSTAGIFACPICKGGLKAFSLEKGKKRSWFDCHRQFLPRDHAFRRNKVMFYKNRIETREPPPRLSGEQVWKEVCGLPKVTNMRNCIVPGRGISHNWTKRSIFWDLPYWRHNLLRHNLDVMHIEKNVFENVFHTVMDNKEKTKDNKNARLDLEKYCHRRELLLKKNSNGNYMKPKAKYCLSNEQKIDVCEWVKGLKMPDGYASNLGRCVDLKQKKLFGMKSHDCHIFMECLLPIAFSALPEPIWKTLTELSQFFRDLCSTVLREDHLLQMEKNIPLILCKMERIFPPALFDSMEHLPIHLADEAKMGGPVQYRWMYPFERFLNKIKKIVKNKRNVEGCICEAYLVQETSYFSSHYFLPPAQYSSVIGINKGIHQDHMQPTLSIFEHLNGQTAGKYCERWLEDREMEAAQLHVLLNCKEVKPFIDMYVQSLKSMYGDVNDDIIDKQLEADFPHWFQKYVMMNCKHKRQNSDLYDLARGPLRLAKSWPIYFANGYKFHTTSWGEGKTTYNSGVCVSGIGQDETSNDYYGILTEILELQWPSQTTKKLVLFYCDWFDPSRHGMRIHRQYKIVEVRKGRKYSKFDPFIFPKAATQVYYSPYPGRPRDKFDWLVAIKTKPRGVVDDRHTLEVAFQVQESQVSATIEDDPIDLLQDDEVDGEEVSLSTIQKNEDEEDSNDDDENLIAI >CAK8564079.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650047585:650051943:-1 gene:gene-LATHSAT_LOCUS17419 transcript:rna-LATHSAT_LOCUS17419 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPSGIKGISTLFSFTPRQVLLSNRTMKNGADNGTVNSEQKGKLLLHQSIALYLEHSGFSKTLKKFLSEANIEKDNTEGSTVDLEELCCKYLETSSKDAKSAITDLKEQGLPSKNEVEKSKGKKKKKSNLISESVLNNVEDNQLESPTVVTENKVKGDLSTDAKVDNGAETEKRSKHKVKKNKKSNIEEDAKEQIAVTENKEKEELPVDAKVENGTETEKKSKHKKKKKDKSKSEGDAEEQIGDPDVIVPEKENFKASNEEATPGEKKDSKKRKRPNSKENGEQDTEIKEDEETKRRKIEDLKEPQGGDQSAKSDGHYENGAEKSSEQKSQKKKNKESVEKKPAKAAFQRVQVDKIEFVDERLQDNSYWAKDGAESGYGAKAEEILGQVRGRDFRHEKTKKKRGTYRGGVIDLHSHSVKFNYSDEE >CAK8564080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:650047585:650051943:-1 gene:gene-LATHSAT_LOCUS17419 transcript:rna-LATHSAT_LOCUS17419-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPSGIKGISTLFSFTPRQVLLSNRTMKNGADNGTVNSEQKGKLLLHQSIALYLEHSGFSKTLKKFLSEANIEKDNTEGSTVDLEELCCKYLETSSKDAKSAITDLKEQVAGLPSKNEVEKSKGKKKKKSNLISESVLNNVEDNQLESPTVVTENKVKGDLSTDAKVDNGAETEKRSKHKVKKNKKSNIEEDAKEQIAVTENKEKEELPVDAKVENGTETEKKSKHKKKKKDKSKSEGDAEEQIGDPDVIVPEKENFKASNEEATPGEKKDSKKRKRPNSKENGEQDTEIKEDEETKRRKIEDLKEPQGGDQSAKSDGHYENGAEKSSEQKSQKKKNKESVEKKPAKAAFQRVQVDKIEFVDERLQDNSYWAKDGAESGYGAKAEEILGQVRGRDFRHEKTKKKRGTYRGGVIDLHSHSVKFNYSDEE >CAK8539166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505839146:505840395:1 gene:gene-LATHSAT_LOCUS8286 transcript:rna-LATHSAT_LOCUS8286 gene_biotype:protein_coding transcript_biotype:protein_coding MNSINNYRELKSLSMIRLQESIILLLSHWYALQMAIKNQWGGCDSLHKSHELASNLFSWLSKSNEPICVEDLENILHEFMLLTFNTEIEDGSIEQVAEQLIVIHEDCLLRHSS >CAK8561485.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:241740864:241741515:1 gene:gene-LATHSAT_LOCUS15072 transcript:rna-LATHSAT_LOCUS15072 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQKLLSLEPRSWDYISQLQPKVGLVERVDDGRDFGNLMSVIQYCKENSEGVVKGENGDSIFLKELNKKQDVKKPKIAVVGSGPSGLFAALVLAELGADVTLIERGQPVEKRGHDIGSLIVRRILDLESNFCFGEGGAGTWSDGKLVTRIGRNKGSVIAVSFT >CAK8565722.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:312942464:312942850:1 gene:gene-LATHSAT_LOCUS18910 transcript:rna-LATHSAT_LOCUS18910 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKILNLFRSISNSSNNNVIIQIFGVLKEWILIVLSNVIFPNSTISGLNIIGYGIALCRVMIYSYIKIRMSELTK >CAK8567390.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:510241021:510241512:-1 gene:gene-LATHSAT_LOCUS20450 transcript:rna-LATHSAT_LOCUS20450 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEDDSFKKPGAVPFKWEIKPGLPIPHHPHNPESPSFKLKPPPLPGSYKLSPVEPRTRSFRSNSKVRSDRWRFERPLLSQPEIVTSSGCFFSPFMKRLRSKKTVPKRVVELDYTSELDTIGRWSLSSTKSLSPFRPSMVSSSVASSPQPVGDVEWAGFGLF >CAK8574220.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:670647147:670650732:-1 gene:gene-LATHSAT_LOCUS26582 transcript:rna-LATHSAT_LOCUS26582 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEARLDQLPYALLATIMTKLDIASICSLSSTCSTFRSCAKHILSFLPNFHLIDIAPSGDLLTPLLPPNPYMKSLKVDCDRLDDSAISYLVKPSLHELSLFNCSDFSGKLLSEIGARCKDLRSLYLGSVAEKRGRAIHISDLEELLTGCSQLEVLILMFDVSLFLRQNLARVWASASEKLTSLEIGYISSVTVIELFSSNLGSHQPPNPIQPSILPGILKLCLSVNYITDAMVATISKGLVFLTHLDLRDAPFVEPRITFDLTNAGLQQINQLGRLKHLSLIRSQEFIICYFRRVNDLGLLLMADKCANMESICLGGFCRVTDTGIKTLLHSCSRLYKLKVTHGTQLSDLVFHDISATSLTLTHVSLRWCKLLTNHAVLSLTSNRELKVLDLRDCRSLGDEALRAIGVLLKLKILLIDGSDITDAGLSYLRPTVINSLYALSLRGCKRLTDKCITILFDGCGKLELRDLDISNLPNLSDNGVLQLAKSRIPFLDLRMRQCPLIGDTSIMALASMMTDDAGWHESGLRLLDLYNCGGITPLAFRWLKKPYFPRLKWLGVTGSVNRDMVDALARSRPFLYVACDGEELGPDPCDMSDGLYTHDYDEVDEFEQWLLEADVNTDDEEMDDAEDVEELIM >CAK8532383.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:253548354:253548902:-1 gene:gene-LATHSAT_LOCUS2094 transcript:rna-LATHSAT_LOCUS2094 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFFFVVVLLVCVTSCYSVKFVDINTICKNATNPSFCSNLLNSNPSESRDLVNLAQYTIGVIHSNVTNIIDEINNLIKQSVGNFSAEVHYKACAASVEQKGGALGVVHAVQDLLNKGDYTFLQYLMDFVQIQMSICVSGNAPRDPPFDDTSSLPKHVDVANKLQTSADITRTCLRNLHSQ >CAK8532847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:534499280:534506247:1 gene:gene-LATHSAT_LOCUS2511 transcript:rna-LATHSAT_LOCUS2511 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTIEEVTVYFPYDNIYPEQYAYMVELKRTLDAKGHCLLEMPTGTGKTIALLSLITSYTLSKPQSPLKLIYCTRTVHEMEKTLAELRLLHDYMVKSIGPAAKMLALGLSSRKNLCINNRVLAAESRDSVDAGCRKLTASWVRAVAAENPDVPSCEFFEQYERAGDAAVLPPGVYTLQDLRVFGKQKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAAIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTIDGARRNINRMRQEIDKFKATDAGRLRAEYNRLVEGLALRGDLPAADGWLANPALPDDILKEAVPGNIRKAEHFVQVLRRLVQYLEGRLETENVEKDNPVSFVSSILNQAGIDQKTLKFCYDRLHSLMMTLEITDTDEFLHVQTICDFATLVGTYARGFSIIIEPFDERMPHIPDPVLQLCCHDASLAIRPVFERFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLDMASVVPDGIVCFFVSYSYMDGIVNSWNESGILRDIMQYKLVLIETQDVVETTLALDNYRRACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMYGVPFQYTLSKILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDANLNLSTDMAVHIAREFLRKMAQPYDKTGGGSGRKTLLSQEDLEKIVVEGSMNNMF >CAK8561137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:101653602:101654930:1 gene:gene-LATHSAT_LOCUS14751 transcript:rna-LATHSAT_LOCUS14751 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQVLSTTTIHAQNHNLNGSIDQTIDLTPWDLQFLPFGYNQISFVYRQSNKSDILNQIQHLKQSFSSALDFFHIFAGRLKITHHGDNIISCSIKCNNKGALFVHASAKYITVDDIIESTHPPHVHHSLFLMTGVKNYQGTSHPLLAVQVTELNDGIFIGVTSSHVVGDANLFSNFNNLWANISRGSFEVFKTPIFERWFPKGIEYPIRFPLKIETQSNLKEKKLNPPERIFHFTKENIAKLKFKANLEVGKKNISSLQAVLAHLWRSFTRSKKLDYQTDVSFSIDISVRQRLNPPLHENYFGNAVIEYLVTMKAGELLDDGGLGKGALKMNKVIALHTDEKIRSHYEKWLVKPNFYVTPKDVSHHNGLVIAYSPRFDVYGNDFGWGKPVGVRTGGADKREGKVHVFAGVEEGSMELEVCLSYEILEEMESDPEFMDFVST >CAK8544425.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:683684956:683691824:-1 gene:gene-LATHSAT_LOCUS13106 transcript:rna-LATHSAT_LOCUS13106 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIQNEPPEQTVNFIGESTNSQFPHNTFPNHATAPIPNPNFSIPRPPPPRKRPWGASPDQVDIHGHVKVYVAPVPRTLSEADLRLVFEGYGTIVEVVLLRDKATGARQGSCLVKYSTFNEAEMAIKALSNQYIFPGELVPVVVRFADRERERFGLRGGFCRNTEKKEPLEAVDKIFVSYINKEASRQDIEEIFSPYGHIEDVYIARNRGYGFVKFSNREMALAAIKGLNKTFTMRGCDQPLIVRFAEPKKSRMGESRGNYLPVNANYGPSSQEPAVWPLPNFCDSNTGGSVAHTAPHHSRLPHQQVNVHMPNWEPGATVLQQQFLPQHVHSQLAPMPLRPIQPPNLPSQPFITEVQRQFHPADLPVQNIEQHLSSQLPTQTEHTNLVVVSTSPDLCTNPEDEEFPECDWSEHYCPDGNKYYYNCVTCESRWEKPEEYDKESQKQHKQDDHSIPCPQESQKQHKQDDHNIPCPQESQKQHKQDDHSISCPKESQKQPKQDDHGIPCPQESQKQHKQDDHNIPCPHLSLSSSQEVSQKQQETNHDHMQSETSPVVEKV >CAK8531148.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:84473068:84474470:-1 gene:gene-LATHSAT_LOCUS958 transcript:rna-LATHSAT_LOCUS958 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGLSSRAFFCCVVVFILIGGVPFSSNAQLDPSFYSSTCPNVSSIVRGVLTNVSQTDPRMLASLIRLHFHDCFVQGCDGSVLLNDTATIVSEQTAPANNNSIRGLDVVNQIKTAVENACPNTVSCADILALSAEISSDLAQGPTWQVPLGRRDSLTANKTLATQNLPGPTFNLSLLKSTFAIQNLNTTDLVALSGGHTIGRGQCRFFVGRLYNFNSTGNPDTTLNTTYLQTLQSICPNGGPGTNLTDLDPTTPDTFDSNYYSNLQDGKGLFQSDQELFSTTGADTIAIVNSFINNQTLFFENFVASMIKMGNLGVLTGTQGEIRTQCNAVNGNSSSGLASVVTKESSEDDLASSF >CAK8540039.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:536669879:536670733:-1 gene:gene-LATHSAT_LOCUS9078 transcript:rna-LATHSAT_LOCUS9078 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNHVRSNSFPSQSHPNSTRIEQELSEIKTWETTSTSTSDSITTGLSLLEDLYTSLEGFLNMASTQKAISQHQGENFVEELLDGSVKILDICGITRDTMLDIKENVQALHSSIRRRKGDSSIESSVAEYNFFTKKVKKNVTTLITSLKQMESKFGASTLLNQDQEVVYVIRVLREVIVMNMSIFQSILSFLASKSKATKWMKMAKLMHKRTISCEEENLNELQRVDASLRTLLREGSDIVKMQVAIESFEALESATEGLEKGLESVFRRLVKTRVCLLNMTQ >CAK8571915.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:492885578:492886836:-1 gene:gene-LATHSAT_LOCUS24538 transcript:rna-LATHSAT_LOCUS24538 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKVTLEIFSKLEHKWLSHCKDTSTKTRILSIDGGGTTAIVAGAALIHLEDQIRLQTSDLHAQISDFFDIVAGTGIGAILAAMITAADAFGRPLYTAREAVSIITERNSELYKLKSAGIFRRRQRRFSSKNMDNVLKQVFFRKEDARMLTLKDTCKPLLIPCFDLKSSAPFVFSRADASESPSFNFELWKVCRATSATPSHFKPFNFNSVDEKTSCSAVDGGLVMNNPTAAAVTHVLHNKRDFPKVNGVEDLLVLSLGNGSSNSKTCENRTCSTPSVVDIVLDGVSETIDQMLGNAFCWNRTDYVRIQAFGLGKDGGAEEVVLKERGLESLPFGGKRLLTETNGNRIDSFVQRLVATGKPSPPFSPCKDSAVATLANGR >CAK8563014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:566499613:566503126:-1 gene:gene-LATHSAT_LOCUS16464 transcript:rna-LATHSAT_LOCUS16464 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSHQPQGLYVAFSSRNLPWSKSMKLKQCFTKRHLIGRADWHHMLKQTNCLSVGPPHICGSKPKPLTITGFKGNDQNDASEARAKELKVHKNSVRVEEKGEVKSESPKTRNVPLTGANESLAASSAIHKLFKKWLTIMHSPPSNQGVEETLGEPPPEVLSKTSQGMQRTEKSQILKVVWSTFQSLDATIKIPLLIFAPFFLAVNAIYGPEVSKELTPLWIIGPFVVALYIMMVRVLCSIYVYSFKQTVKVIKNLPSYCNSAQNYVFGGKLKEDIKALILQPILNIKSADYKQLTIKLMKQLAEWIGEKYLDFLESIWPCYCRAIRFLKSSNLI >CAK8530190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4853160:4854086:-1 gene:gene-LATHSAT_LOCUS78 transcript:rna-LATHSAT_LOCUS78 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLPFVDHGQGFIVVGSCSINGILGIVSHNEGFALWNPTIDEYKVIPPSPAESVPYRNFSWLIHGFGYDHVKNDYKVLRRIFFYQLNRHDCECLGLDEENVPWKDVSYEPVWEIYSLRSDSWRKVNINIPMTVPYIFMIPNNDDRIDRCYTKGMCHSLYKVSEYIFQTCLMSFDVCNEVVFTTPMPSYMNDNMDPEWNYKHLMILIKGFIALSSHHGETNTIHISILNEIGVKESWTKVFILGPLACVAYPFAGGKNGDLFLRKENGELACFDLDTQIINELGVEAYKSHIIVYNKSLLSIRSIHD >CAK8578865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:659574003:659576558:1 gene:gene-LATHSAT_LOCUS30847 transcript:rna-LATHSAT_LOCUS30847 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQNHRLLSLSKPNFLNKINAIQTLHFSTLLHQCIQPHDPYIPPIIEQRKTGRELATLLQSSCPNTPIPYYKKIHAKIVVLGFHQHDIFLINTLLHTYSKLNLVSDAQKLFDIMPHRNLVTWSSMVSMYTQHNYSVEALLMFVEFMRNCNEKPNEYILASVVKACTQLGGLNQAFQVHGLVVKGGYVQDVYVCTSLIDFYAKHGCIDAARLLFDGLEVKTSFTWTTIIAGYSKQGRSEVSLKLFGQMREGDVCLDKYVLSSVLSACSVLEFLEGGKQIHCYVLRRGIVMDVSMINGFIDFYFKCHKGESGRKLFDRMLDKNVVSWTTMIAGCMQNSFHWESLDLFLEMARIGWKPDAFGCTSVLNSCGSLLALEKGRQVHAYAIKVNIDNDDFVKNGLIDMYAKCDSLIDARKVFNLMAAINLVSYNAMIEGYSKQNKLCEALDLFREMRLSLFPPTLLTFVSLLGVSASLYDLELSSQIHGLIIKYGVSLDEFSGSALIDVYSKCSRVRDARLVFEEILDKDIVVWNAMFSGYTQQFENEESLKLLKGMQMSRLKPNEFTFASVITAASNMASLRHGQQFHNQVIKMGYDDDPFVANSLVDMYAKSGSIKEAHKAFSSTNWKDIACWNSMIATYASHGEAEKALQVFERMIMEGVKPNYVTFVSVLSACSHAGLLDLGLDHFDSMSQFGIEPGIEHYACIVSLLGRAGKVYEAKEFIEKMPIKPAAVVWRSLLSACRVSGHVELGTYAAEMAISCNPADSGSYVLLSNIFASKGMWGNVRRLREKMDMSGVVKEPGCSWIEVTNEIHKFIAKDTSHRDSTLISSVLDNLLLQIKGFGYVANTEALLLDD >CAK8579226.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:685132907:685140630:1 gene:gene-LATHSAT_LOCUS31189 transcript:rna-LATHSAT_LOCUS31189 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGEKGRPFKKPKASSKFEDSRKGFAEDDDEDDAYDEDEGRTKDFSKLELKLDHTNRPLWACDNGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIKFIHDSTANYGKVKLVLKKNRYFVESPFPEVLKTLLRDDAICRARIISEASHGDRFTISKAAGEIEGTHDELLNEVEVAAAAEEKETHAFEVDPAQVENVKQRCLPNALNYPMLEEYDFKNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSSIREEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFTEYLKKENSKKRQALYVMNPNKFRACEFLINYHERARGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILHAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPSDEGSRLSYHHLEDQLALLGKVLNAGDDAVGLEQLEEDSDELALKSARRSQGSMSAMSGAKGMVYMEYSNGRKGQMIKSKPKDPAKRHFLFKRRYGPT >CAK8575238.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:57670493:57672319:-1 gene:gene-LATHSAT_LOCUS27517 transcript:rna-LATHSAT_LOCUS27517 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLNVVGNAVKFSKEGSISITAFVAKPESFRDIRFPDFLPVPSDGHFYLRVQVKDSGSGVNPQDIPKLFTKFAQNQLATRNPVGNGLGLAICRRFVNLMEGHIWIESEGIGKGCTVSFIVKLRIPDRSNEFKLPYKPKALVNHGSTNIAGLKILVMDDNGVSRSATKGLLMHLGCDVTTVGSSEECMRVVSQEHKVIFMDVCTGLDGYELAVRIQEKFVNRQDRPLIVALTGNTNKWSRENCTRAGVNGLVLKPVSVEKMKGVLIELLERRFVFETV >CAK8573288.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:600848717:600850944:-1 gene:gene-LATHSAT_LOCUS25755 transcript:rna-LATHSAT_LOCUS25755 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHILLLFATLSLIMFRFFLNRKKNKPPGPTGLPIIGNLHQLGAQPHSSLSNLAKIYGPIMSLRFGSVNVTVASSPTTAQEILQKNDQFFANRPIPESVAAQPNVNDTLAWAPADPRWRNRRRICTTQIFTSQRLDLLQHLRHRKVEQLVQHLHKKAAKRTTVDIGELAFATMLNLVSNTVFSEDLVDSEFESAGEFKELVWRIMEDAGKVNVSDYFPVLKRFDLQGVKRHVEVSYVRLHEIFDRMIRKRVEDRESSHHGGGGAGAGDFLDVLLDHCQQDEGSVFSVESIKPLILDLFIAGSDTSGSTTEWAMAELLHNPKIMKKARNEVTQIIGKSNQVKESDIPSLPYIQSIVKETLRLHPPVPLLLPYIASNDVRINGYTIHKGNQVLINAWSIGRNPEFWDDPLVFKPERFIDSEVDFKGRDFEYLPFGAGRRICPGIPLANRMIVLMLAVLLHSFEWELPEGVGPDTLDMREQYGITLKKLVPLSAVPISVLE >CAK8567868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551824433:551825694:1 gene:gene-LATHSAT_LOCUS20885 transcript:rna-LATHSAT_LOCUS20885 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHYILFLCKKEKKSMERQGFNSMLFTRGLEYRRGLGKSIDSFDPIDKNNDDPDISDGSDISDLLEIDLSDTPDTDYLYNPFADTSDISDPLEISDTSDPSDTYYIDYIDGSDISDPLETSDLDYINDPDGSDRSDPLETLDPNYINDPDGSDISDPLETLETSDPDYINDPDGSDRSDPLEIYDTSDTDICKKDSHDNSKIDMLAKMNQYSHLWVLCETCSGLNFKQFLVAKMSIYEYCGEHLKMISSDRIELLIDPGTWNLMDEDMVSLDPIKKFDSIEKIPILQWDPIAFDLIFVDPPEE >CAK8532019.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:192535729:192537463:-1 gene:gene-LATHSAT_LOCUS1764 transcript:rna-LATHSAT_LOCUS1764 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNKFPFTPSQWQELEHQALVYKYMASGISIPPDLLFTIRKSFLDSPLSSRLLPNTQQHHFGWNYLQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTTNNASTFANSSITKNSSSLSFDTQQHQNYPQNSIYGSNLQHSFMYPQTTSRSSASSGIGLSFEDNSASLFLDSNSCSQNNGDYRNRYVYGQKEEVDEYAFFKEPSGSTTMKSFSASSMEHDPWQLTPLTMSSSSSSSSLRQRSFSSLSNDYSCLQLQSLNEHSKQQEQEEPQKIVHRFFDELPHKGRDQWLDLDDKSSTTQLSISIPSSAHDFPTFTSRNQHDG >CAK8569227.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:685181908:685184362:-1 gene:gene-LATHSAT_LOCUS22106 transcript:rna-LATHSAT_LOCUS22106 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRIVMSLLPFLLLLLINDHGSFARDMNQVDQPYLDGWLKDTPLQNQKSSPNSNQVYLDGWLKDTRAEKENSNQVYLDGWLKDTRGEKENSNQVYLDGWLKDIRGEKEKLNHDSNQVYLDGWLKDARAEKEKSNPDSNQVYLDGWLKDNRAEKEKVNFDSNQVYLDGWLKDTRAEKAKINPNSNQVYLDGWLKDTRAENAKDAPDSNQVYLDGWLKDTQTEKAKTKTDSNQVYLDGWLKDIRAEKSKANPDSNQVYLDGWLKDTRAEKAKVNPDSNQVYLDGWLKDTRSEKLNVNPNSNQVYLDGWLKDTRANNEKSNLNSNQVYLDGWLKDIRDLKEKSTIDSNQVYLDGWLKDTRAEKENSSPNSNQVYLDGWLKDSHVENEKSISNSKQAYLDGWLKDSHAENHMKIGHDLAESNENLSSKVDHTEAFKVAFFGIEDLYVGNVMTLQFPIREYAKFLPRKVADDIPFSKSQIPSLLQLFSLTKDSTQGEDMIDIINQCEFRPNKGETKACPTSLESMLEFVHSVIGAETNYDIHSTSYPTTSGAQLQNYTVMDISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKIFKVLLKGEYGDIMDALGICHLDTSDMDPNHFIFELLGMKPGEGPLCHFFPVKHVLWVPRPPHTTK >CAK8571890.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:490445885:490446379:-1 gene:gene-LATHSAT_LOCUS24513 transcript:rna-LATHSAT_LOCUS24513 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSTIFADKTFTLVEARYLSLFIDLDGLSGYSWGAAALVTLYRYLGDASMFSCKHLGGYPTLLQCWIHEYFPTLGKKGENWIPANNVGLPRVMRRSYKQVAWKVDDLQPILDELTPADVI >CAK8576449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:479767693:479772462:1 gene:gene-LATHSAT_LOCUS28636 transcript:rna-LATHSAT_LOCUS28636 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYKWLINKYPKVIEDANINTTPTVEYHNLYLDMNSIIHPCFHPNDDNINSCPPTTFVEVFANMFDYIDHLVTIVKPRKLLYMAIDGVAPRAKMNQQRTRRFRTAKDDEMREAEEERLRKQFEMEGKQVVPKQECEVSDSNIITPGTEFMHQLSKALKTYISSRISSHSLWKDIMVILSDANVPGEGEHKIMSFIRKQRGLPDYDPNTVHCLYGSDADLIMLGLSSHEPHFSIIREDVPNFYDKVEQNAVKRFKLLHIWLLREYLELDMKIQDPPKNCIVDFERIVDDFIFICFFAGNDFLPQLPSLDIFEGAIDLLMTVYKKEFHKLGGYLVDITKMGEKHSVFVKLSRVEKFVIMVGTYEEKIFNRRSAIRAKKLRRLITDHENSKQDEQDACNFIDIENENSSDCALRIKKAAASNNICPVSDEEILKNTNELKDEIRKCIKEKGDLYKSGDYLTDKIKLGTDGFKERYYKEKFSVDGSTNIEVKRKEVVQKYTEGLLWVLEYYFSGVASWTWFYPFHYSPFASDFKGMGQVRVKFEKGVPFLPFDQLLSVLPQRSAYALPKAYAQLMLDDQSKIFDLFPQQFEVDIEGKRFRWMGVCKLPYIDERRLLAETRELVKGLSENEAMRNSVEVDRLFVSSTTKLAEKIRSLSSNKSDTSISDGIGGIISLCHMRVEDRQQEDFVFCVKYELPVNDASHYQHLLFGVNLPERTVFENDINETVLWHEHQQYPNRFERPCSHNQDSWRSSNREASTSKFPPNRTTFGGRSFSQNAPHEFIHKGGGVGWGSGRGKPERVNHETLNDRMSSFSPFHKQQSNDHGGSYYRHQYLNNVPYGWGRGRTHQNSNNVYSSIESKDNVPIGRGRGRTHPNSSNSLRPQWSESNDRSRW >CAK8532667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:470765462:470767159:-1 gene:gene-LATHSAT_LOCUS2349 transcript:rna-LATHSAT_LOCUS2349-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFEVDLTIIDLMKRWIDESLEKANVCLQRAKETETWNPKSKSEPYAKSVVELVNLAKKIVQDFFQIPIAITEDLVQELADGLHKIFKEYAMFIAACGLKENYIPSLPPLTRCNRNSKFHKLWKIASPCNVSCEDPHIYGIYESSHPYSCTSRGIQRLYIRLNTLHYLLSHISSLDKSLTLTQGVVPSDRLRCSTNINNTQGKSTSYFETVNNSISAACQHLSEVASYRLIFFDSNSFFYDSLYVGDVANARINNAVTILKHNIKLMTAILTERAQPSLIKEIMKACFHAFLLVLLAGGTTRMFNESDHVSIQEDFQCLKQEFYNYGEELIAKSVVDKEGEVVEGVIGLMGMNTEELMENLSNFSSEIGSGMKLPMPPTTGKWNRTDPNTILRVLCYRNDRVANHFLKRTFQIAKRR >CAK8532666.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:470765462:470767216:-1 gene:gene-LATHSAT_LOCUS2349 transcript:rna-LATHSAT_LOCUS2349 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQDSADCEDGGKTIVREMVPFEVDLTIIDLMKRWIDESLEKANVCLQRAKETETWNPKSKSEPYAKSVVELVNLAKKIVQDFFQIPIAITEDLVQELADGLHKIFKEYAMFIAACGLKENYIPSLPPLTRCNRNSKFHKLWKIASPCNVSCEDPHIYGIYESSHPYSCTSRGIQRLYIRLNTLHYLLSHISSLDKSLTLTQGVVPSDRLRCSTNINNTQGKSTSYFETVNNSISAACQHLSEVASYRLIFFDSNSFFYDSLYVGDVANARINNAVTILKHNIKLMTAILTERAQPSLIKEIMKACFHAFLLVLLAGGTTRMFNESDHVSIQEDFQCLKQEFYNYGEELIAKSVVDKEGEVVEGVIGLMGMNTEELMENLSNFSSEIGSGMKLPMPPTTGKWNRTDPNTILRVLCYRNDRVANHFLKRTFQIAKRR >CAK8542843.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:552886600:552890156:1 gene:gene-LATHSAT_LOCUS11647 transcript:rna-LATHSAT_LOCUS11647 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMVTPLTRVSTFFRPNSHNALPFSPKPRNLSLPNFFTFTSPSLSMAASSQSTAPVASSGDVNVEKDDIFQLILAHQEKAARLPPLEEIRTVLDRSVRGTLSTFSKKYDGYPSGSMVDFACDANGCLILAVSDLAVHSKDLAANPKCSVLVARDPEDRTDLVITFHGDAIFVPEKDNKAIRAAYLARHPNAFWVDFGDFRFVRIEPKVVRFVSGVATALLGSGEFSGDEFKSAKVDPISQFSKPVASHMNKDHGEDTKMIVQHWTSVPVDFADILDLDRLGFNVKAGYEGDTFKLRVPFPRPAEDRKDVKTLIVEMLQAAKPQV >CAK8575617.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:211975921:211977342:-1 gene:gene-LATHSAT_LOCUS27866 transcript:rna-LATHSAT_LOCUS27866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbC MKTLYSLRRFYHVETLFNGTLPLTGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLILKAFYFGGIYDTWAPGGGDVRKITNLTLSPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALAVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDLEPVLSMTPLN >CAK8567244.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:497735894:497740423:1 gene:gene-LATHSAT_LOCUS20313 transcript:rna-LATHSAT_LOCUS20313 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGGFFRYADGVDKLLLFFGTLGCIGDGIQTPLTMLVLGSLIDDYARGGSQHTVSIHTINKYALKLVAVAIGVAVSAFIVGVCWTRTAERQTSRMRIEYLKSILRQEVGFFDKQTNSSTTFQVIATITSDAQTIQDTMSDKVPSCLVHLSAFFSSFIVALFLSWRLAVAAFPFSIMMILPALIFGNSMKELGGKMKDAFGDAGSIAEQAISSVRTVYSYVGEKQTLKRFSSALERCMQLGIKQGQTKGVVIGSFGLLYATWAFQSWVGSVLVRTKGEKGGHVFCAEICIIWGGLSLMSALPNLASILEATIAATRIFEMIDRKLAIDSTRGKGRILKHTRGEITFKDVEFSYPSRPDTMILQGLNLKVQACKTIGLVGGSGSGKSTIISLLERFYDPTCGEILLDGFDIKRLHLKWFRSLIGLVNQEPILFATSIRENILFGKEGASMEDVITAAKAANAHDFIIKLPDGFETQVGQLGAQLSGGQKQRIAIARALIRDPKILLLDEATSALDSQSERVVQDALDLASRGRTTIIIAHRLSTIRKADSIVVLQSGKVVECGSHNELLQLNNGQGGIYTKMLHLQQTSQNENAQHLINKSPRAMENIASSNSSWQSTPVHHAFSSAQPFSPIYSISVIGSSFDDDYSGENLEKPYSSNISYWRLLQMNAPEWKHALFGCIGAIGSGLCQPFYSYCLGIVASVYFVDDNSFIESQIRLYSIIFCCIAAVNFISGIIQHYNFSIMGERLLKRVRENILEKVLTFEIGWFDQEENSSAAICARLATEANLVRSLVAERMSLLVQVSVTASLAFVLGLIVTWRVAIVMIAMQPLVIACLYSKTVLLKSMSGNAKSAQRDASQLAMEATTNHRTIAAFSSEKRILNLFKTAMDGPKKESIKQSWISGSILSMSQFITTASIALTFWYGGILLNHKLVESKHLLQVFLILMGTGRQIADTGSMTSDIAKSGRAISSVFAILDRKTQIEPEDTRNRKMKKTMKGDIKLKDVFFSYPARPDQMILKGLTLEIEAGKTVALVGQSGSGKSTIIGLIERFYDPFKGSIFIDNCDIKELHLKSLRSHIALVSQEPTLFAGTIRDNIVYGKENASEDEIRRAARLANAHDFISSMREGYDTYCGERGVQLSGGQKQRIAIARAMVKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQSVDSIAVIKNGKVVEQGSHSQLLKNTSKNGAYYSLVRLQQNHST >CAK8533421.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:616134016:616134813:1 gene:gene-LATHSAT_LOCUS3046 transcript:rna-LATHSAT_LOCUS3046 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSYENRKGSVKKLKVHFDLPEDEDYPTDHIKMKHSSSSISSESSLDSDDSDKDRENFEESNNGGYGSPVWSFQGGPVTHSPPLQLMNPNPNPNSGYDPNRIPSSAFGPKPVSPMEWSVASNESLFSLHFGNNSFSREQFLASRKSGELSRTNELTGTSSTTLTISQEVNHKNDDNEIDNERPSLSSDSSRDSTDTLDKSTNISSEMDNIRTSNVEETTLDKTHENPIEIEIVPNEEPKNYATNVLYRSTESDMSNRSFQFPM >CAK8562305.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:469435806:469438268:-1 gene:gene-LATHSAT_LOCUS15812 transcript:rna-LATHSAT_LOCUS15812 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMQYYIQLFFFCLLSTIAVLAIQTRNKNKNHLTPPSPPSLPIIGHLHLISKLPHQTFHELSTHYGPIFQLFLGSKRYVVISSPEIVKEFLKTNETYFSNRFRSSAVHYLSYGSKGFLFAPYGDYWKFMKKMCMSELLGGRTLDQLLPLRQQETLRFLRLLQKKGEAGEAVDVGGELLTLTNNIISRMTMRKVCSENDTDSEEIRKMVRDAAELAAKFNISDHIWFCKNLDLQGMNKRLKGIMERFDTMMERVIREHQEERKKRKEKGEDGGHVRDLLDILLELHENKKSEIKFSRENVKAFILDIFMAGTESSAITIEWAMVELINNPHVMQKARQEIDSITQKSRLIQESDLPKLPYLQAIVKETLRIHPAAPILGRESTESCIVYGYEIPANTVLFVNLWSMGRNPKVWENPLEFKPERFMSQDIKFDVRGQNFEFMPFGTGRRTCPGTSSTLQVVPTNLAAMIQCFEWKVAGDGKVNMEEKAAMSLPRAHPLMCVPIPRFNCFPFGV >CAK8537051.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:67535324:67535620:-1 gene:gene-LATHSAT_LOCUS6368 transcript:rna-LATHSAT_LOCUS6368 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLGLLGMPRCIPDYPDAYAGWNALNSFGSYISVVGIRRFFVVITITSSSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >CAK8543868.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:645489690:645490052:-1 gene:gene-LATHSAT_LOCUS12593 transcript:rna-LATHSAT_LOCUS12593 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKFLKGCVKKWKKMRNHFLATPCNYWFRLSSSIPKDVPKGHLVVYVGENCKRFVIKVVLLNHPLFKALLDQAREEYDFIADSKLCIPCNEHFFLSILSFVSSPHHERVFNLFVFEMRN >CAK8530641.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:37314032:37314313:-1 gene:gene-LATHSAT_LOCUS491 transcript:rna-LATHSAT_LOCUS491 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQTKVRFLGHEIDQGTIIPIQRSIEFASKFPNIITDKKQLQRFLGSLNYIADYYKNLAKDTAILHTRLGKNPGPWTNKHSQAVQRIKNKV >CAK8533358.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:607430786:607433155:-1 gene:gene-LATHSAT_LOCUS2993 transcript:rna-LATHSAT_LOCUS2993 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCEAEVALIIFSNRGKLYEFCSSPSMLKTLDRYQKCSYGAVEVNKPAKELESSYREYLKLKARFESLQRTQRNFLGEDLGPLGTKDLEQLERQLVLSLKQVRSTKTQFMSDQLVDLQNKLEEININSRNQYRQTWEGGDQSMAYGNQNAHSQSFFQPLECNPTLQIGTDYRYNSVASDYFSCCIKTKDKNKTVFGFDNVVNAACAFICYLCCYKNSSDSNY >CAK8531829.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:159912566:159913777:-1 gene:gene-LATHSAT_LOCUS1594 transcript:rna-LATHSAT_LOCUS1594 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSTQHTQIIEVSGDLPDVARKICGEATCEFSDAGSISKDAEERSTSMRKLLIAVVLCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWAGGWEPNPRQSFGFFRIEILGALVSMQLIWLLAGILVYEAIARLIAGPQNVDGFLMFVVAAFGLVVNIIMALVLGHDHGHGHGHGHDHGHGHDHGHGHDHHGHIHGISVSTNHDIRQTQDEHHHTHHHDDHMHHHDHVHKEGAVPLLGESKGMPEKKKRNINVHGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIVDLICTLIFSVIVLATTINMLRNILEVLMESTPREIDATQLQKGLLEMEEVVAIHELHIWAITVGKVLLACHVKINPEADADAMLDKVIDYIKRVHNISHVTIQIER >CAK8530178.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:4331523:4334145:-1 gene:gene-LATHSAT_LOCUS66 transcript:rna-LATHSAT_LOCUS66 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTAYTLAVALICFVVLMILTPSIPQSQDYHNFADQRTFFGIPNALDVISNFPFLIIGSIGLVLCHHGNYFKLSLQGELWGWTCFYVGVAAVAVGSSYYHLKPDDARLVWDRLPMTVAFTSIIAIFIIERIDERKGMISIIPLVLAGVISIAYWRFFDDLRPYALVQFVPIIAIPIMAILLPPMYTHSTYWLWAAGFYLLAKVLEATDDLVYKWTNHIVSGHTLKHLFAAMVPVFLTLMLAKRSEEPERRSLYTIWKISWTKANDGDSNLESYTYSRVQVEEPQQ >CAK8578333.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:621645997:621646761:1 gene:gene-LATHSAT_LOCUS30353 transcript:rna-LATHSAT_LOCUS30353 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLELRLFTPSINSDLLNSLEEEAQDQQQHQHRPLTIVYDGKVCVSDATENQARSILMLANKEMEERVRTPSGSSSEPSSPAIFTSNLYSPGTSPSMKKSLQRFLQKRKNRIQEASPYHFNEQN >CAK8544710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:701495739:701496265:1 gene:gene-LATHSAT_LOCUS13365 transcript:rna-LATHSAT_LOCUS13365 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQTLLPKKVGKGKGEIGSVSQEF >CAK8569123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:673866826:673867155:-1 gene:gene-LATHSAT_LOCUS22007 transcript:rna-LATHSAT_LOCUS22007 gene_biotype:protein_coding transcript_biotype:protein_coding MMKERFTKLLLGKDMSGGGKGVSAAVTISNSIINLYATIFGQSLKLEPLNPEKKAMWKREMKVLLSVCDYI >CAK8574309.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674564133:674564828:1 gene:gene-LATHSAT_LOCUS26664 transcript:rna-LATHSAT_LOCUS26664 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTVRRGVPWFTYLNKVYDWFEERLEIQAIADDITSKYVLPHVNIFYCLGGITLTCFLVKVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVILGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRDSGSVGQSTLTRFYSLHTFVLPLLTVVFMLMHFPMIRKQRIFGPL >CAK8576071.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:399282849:399285101:1 gene:gene-LATHSAT_LOCUS28288 transcript:rna-LATHSAT_LOCUS28288 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMDPPYTNGFKFNHIPNSIDSDDHTFGFIDDLFLLPPPDPNNTSISTITNAEEDSPLDETDFSATVLRYINQMLMEEDMEKKPCMFHDPLALQAAEKSFYEVIGETYPSSSSYASVQNRYNVESPEDSLNSNFSSYSNCGTTTSTSCTSGSSSVEFESYWRNFDVSEYKPSILQTTFPSDFVFQASSMNGTSSSNFGLANNGFLVSSKDGFSDSNLLGKSESVLQFERGVEEANKFLPKGNPLVIDMEKNNSFIPSFRKVSQEVVVKAESNEGEHFSAEARGRKNHERGDETDLQDERSNKQSAVYIDDSELSELFDKVLLGTGCGKEGAPMCDSKEVRPNGLDTSLQQKEEANSSGGGKGRGKKQGNKKGVVDLRTMLIRCAQSVSSDDRVTAKELLKQIRQHSSPLGDGSQRMAHCFANALEARLSGTGTQIYTALYSKRNSSADMVKAYQMFISACPFKKLAIIFANHTILNLAKEVETLHIVDFGIRYGFQWPALIYRLSKRPGGPPKLRMTGIELPQPGFRPAERVQETGLRLARYCERFNVPFEFNAIAQKWETIKVEDLKIQKNELLVANCICRFKNLLDETVVLNSPRDAVLKLIRNSNPNIFIHTTVNGSYNAPFFVTRFKEALFHYSTMFDVLDINVAREDPMRLMYEKEFCGREVMNTIACEGSERVERPETYKQWQVRNIRAGFKQLPLDKHLINKLRCKLKDVYHSDFMLVEDGNCMLQGWKGRIVYASSCWVPA >CAK8570943.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:183671965:183673056:1 gene:gene-LATHSAT_LOCUS23650 transcript:rna-LATHSAT_LOCUS23650 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPLYETRRHTSNYPPQLQLQQNQQHQANEDSKGTLLSLLSIQGVTQLKEKWTEYNEPKRLRKLVSLFVSPTAKYVAVVAGNRIMILSKEDDYQESYAIFTGSDFGTFSVGAWSEDDEILGVADDYNTQYFIKFNGEVVAEITKKHLKISSPIVGLFSDNDSDMHESYLFTAITSDGSLQQIEISYGQGISTFPKYAFYHRSHLRNNVFCFDHHQELNLFVAVHTLSRSCHLSLWHKNSSKELEQVFSLQFEGLYSKPKGYRGQLIYPKLLILPQATFTATSGLGKRKERLLAVVNALLHRCYKYPTATIAEVPQSLKKELSGVCRDCFSVDSVNKHVDFVREYKQDFERDLDPRSTSTFLW >CAK8532630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:334021751:334022811:-1 gene:gene-LATHSAT_LOCUS2316 transcript:rna-LATHSAT_LOCUS2316 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDAFASASASEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKKLKVAGHGIKLTQRVPLQLPREMESWISRSGLASLQRTSLTKIDTNLVSTFAERWHLETSSFHMSFGEMTITLDDVSCLLHLPIRGVFWNPQDISEALAIEWVVDYLGVSQRIAQQQVCECRGSYYKLEWLYDRFVEHIAASRWDYATRAYLLMLVGSTIFVDKTFTLVEARYLSLFIDLDGLSGYSWAATALVTLYRYLGDASMFSCKQLGGYSTLLQCWIHEYFPTLGKRGEN >CAK8534967.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:798131173:798131636:-1 gene:gene-LATHSAT_LOCUS4457 transcript:rna-LATHSAT_LOCUS4457 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLGNFTKTRTVQVQNSMLSIIRSSFKTARGGSRRSDLSKGGGGQINNINGIKEEKKGLTNNSKNSVSE >CAK8535509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:855980027:855980775:-1 gene:gene-LATHSAT_LOCUS4964 transcript:rna-LATHSAT_LOCUS4964 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVESKEWYLTAYCPEGVPTSDHLKLRTVSLSLDSDSIPDNHLVVETLLLSVDPYLRGRITGSLEGLFISQYQLNQAITTFAVARVIRSKDSRYSEGDILLCPSSPVAEYAIVPSSQIARKIDTENGISLSDYLGALGVSGFAAWVGIEVLGDPKAGSNVFISAASGAVGMNAGQLAKIRGCRVIGSTGSDDKVT >CAK8566709.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:454134597:454135622:-1 gene:gene-LATHSAT_LOCUS19821 transcript:rna-LATHSAT_LOCUS19821 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSIGIPACFSSTSNDDHHPHHQGAVTRSGRSLYMSVYRTKLADQCRLITITWCKNLMLHGLSVSVENPEKETQYSCKVELKPWYFWRKQGSKRFAVDGKEVDVFWDLKSAKFNGETEPASEYYVAVVCDEEVVLLVGDLKKEAYKKTGCRPSLTDPILVSKKEHIFGKRKFSTKAKFHEKGRCHEICIKCKNKGNTNVVGDGDSVKSGSVKVKVEPEMEIRFDGELMIHVKHLQWKFRGNESVYLNKMRVEVYWDVHDWLFSPGLKHALFIFKPVFSCNSLSPMSLFSPSLSCSSSSTTPLSNQRSSNGTCESVEGHGGDSLSSPSSEFCLFLYAWKVE >CAK8531742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:144868687:144869082:1 gene:gene-LATHSAT_LOCUS1509 transcript:rna-LATHSAT_LOCUS1509 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPGINAKMRVILVDWMVEVHRRFKLMPETFYLTLNIVDRFLSMKVVPRKELQLVGISSMLNASKYEEIWAPEVTDFVCISDNAYVREQVLVMEKTILGNLEWYLTVPTPYVFLASTPSDKQMENMIYT >CAK8564654.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5373889:5374134:1 gene:gene-LATHSAT_LOCUS17937 transcript:rna-LATHSAT_LOCUS17937 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFTLPSTFSKFQFYPFSSSSCSRLVCRGASNVQHVAKYDLDFVLHDALDASGIDTTHARVKTRVLILQTFTFFLCMFD >CAK8539901.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:530693240:530694373:-1 gene:gene-LATHSAT_LOCUS8957 transcript:rna-LATHSAT_LOCUS8957 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKHLLLVLCLGVCVGMCRSWVEDVAEENPESFSHWALNAVSQVLESENDDATQNMKLKAEDAASRATETMKSVASGASEYSSQKATNAKEAIGSDDIIRMPIDKVTEAKDTVQDTYENAKQKLSSASDKASNIAHDVYNVKNDIRGEMDCGGNDNNAFGVYDEAKDEVSKAYISAKNSMGEEAKAKYEAAKEKASEATGNLGAKMRNTPLL >CAK8540960.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:35648542:35649386:1 gene:gene-LATHSAT_LOCUS9914 transcript:rna-LATHSAT_LOCUS9914 gene_biotype:protein_coding transcript_biotype:protein_coding MILGERNLLELSGKDHNRVRGALVSFLKPESLKHYVGKIDEEVRSHLQMHWEGKQQVKVLPLMKTLTFNIIFSLLFGLESGKQRDQLMNPFRALIKGVWSVPINIPFTSYNRNLRESERIQNLLKEIVRQKKVEHEKNDTNSRQDLISCFLSMVGDDKQVLTEKEIIHNAMLVMVVGHDTSAILITFIIRILGNDPDICATVLQEQEEIEKGKLLGEALIWEDI >CAK8569398.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:699035768:699036976:-1 gene:gene-LATHSAT_LOCUS22254 transcript:rna-LATHSAT_LOCUS22254 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCGAKCLSHSPPPPFPSRCSNLSSSSPNNYRPAVILPGLGNNSGDYQKLEATLNDKYGVSTVVAKVSRLDWFRNAAGLIDPNYWRGTLQPSPVLDWYLKRVHDAVEEAKELAPPGSTLSLIGHSAGGWLARLYMEQFGVSHISLLLTLGTPHLPAPKGVYGVIDQTRGLLDYVEQNCSKPVYTPELKYVCIAGRYIEGAPLFGNSNPTLEPVVPTNGSQLVPEVATVMEAASTSSPNITLRARFVGQGYKQVCGKADVWGDGVVPQVSAHLEGALNISLDGVYHSPVGSDDATRPWYGSPHVLEQWIQHLFN >CAK8538793.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:496568308:496570282:1 gene:gene-LATHSAT_LOCUS7952 transcript:rna-LATHSAT_LOCUS7952 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFILTIIIPILLSATISAEYVRPLPRNTLNIPFPWDSKSNPYSYPQQVHISLAGEKHVRITWITDDKHSPSFVEYGTLPGRYDSISEGEFTSYNYLLYSSGKIHHTVIGPLEYGTVYFYRCGGQGPEFELKTPPAVFPITFAVAGDLGQTGWTKSTLDHIDRCKYDVYLLPGDLSYADCMQHLWDSFGRLVEPLASARPWMVTQGNHEEENILLLTDEFVSYNSRWRMPFEESGSASNLYYSFEVAGVHVVMLGSYADYDVYSEQYQWLKEDLSKVDRKRTPWLLVLFHVPWYNSNRAHQGAGDDMMAAMEPLLYAASVDLVLAGHVHAYERSKRVYNGRLDPCGAVHITIGDGGNREGLAHRYINPQPKWSEFREASFGHGELKIVNSTHAFWSWHRNDNDESVKADDIWITSLINSGCVDQKRHEPELRSMLVTP >CAK8539865.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:529633872:529636944:1 gene:gene-LATHSAT_LOCUS8925 transcript:rna-LATHSAT_LOCUS8925 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDNSSPDNSTPDNSTPDNSTPDDADNSSSPPSQSPPPQSPPPQSPPPQSPPPSPPAQSSSPPSESSPSQPPPSPSQSEPSPPPPSSNNPSPQSPNTSFQPPTPAGGRSSPGSSGSGNSPSTPQKSLPNSSSENSDGNSNYHDNNNTSKAIIGSAIGVGSVLIIIAVTCIFLSRRRKRKQMYYYGDHPSHGKGNNNYYNSGPPPNYYGGPHGEHVVRLQNGMGPNGMGPNGMGPNGMGPNGMGPNGMGPNGMGPNGGGGGGGWGAHPPMMNSADMSSNYSNGPPALPPPSPSLALGLKGGTFTYEELAAATDGFTNLIGQGGFGYVHKGILPSGKEIAVKSLKSGSGQGEREFQAEIDIISRVHHRHLVSLVGYCISGGQRMLVYEFIPNYTLEYHLHGKGRPTMDWPTRMRIAIGSAKGLAYLHEDCHPRIIHRDIKAANVLIDDSFEAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDATNAMDDSLVDWARPLLNRGLEEDGKFGELVDPFLEGNYNPQELARMAACAAASIRHSAKKRSKMSQIVRSLEGDVSLDDLKEGMKAGGIAAHTPSSGSSEYDTMQYNADIQKFRKAVFNSQEYGTTSFSSGEVPKP >CAK8561152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:106742288:106744526:1 gene:gene-LATHSAT_LOCUS14765 transcript:rna-LATHSAT_LOCUS14765 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKSNSYENFKHYVAMICLQFGYAGMNIITKVSLNQGMSHYVLVVYRHAFATASIAPFAFMFERKGQPKITFRIFIQIFILALLGPVIDQNFYYAGLKLTSPTFSCAMSNVLPAMTFVMAVLCRMEKINIKQVRCQAKIFGTIMTVAGAMLMTLYKGPIVEMIWVKHRHPQNETHEKTTTGSSERDWILGCTFLIIATFAWACLFVLQAKVIETYKHHQLSLTSLMCFIGTLQAIAVTFVAEHNLSVWRIGWDMSLLAAAYAGIVTSSLAYFVQGLVIRKKGPVFATAFSPLMMIIVAVMGSFILAEQIYFGGVIGAILIVIGLYSVLWGKHKEETERKLENDIPLPIKGVQMGANSGPVIDDTDQVKHGQVGDTNNMLSSLAITMPTKVPTTNNNNVNQQ >CAK8565847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:343144179:343144686:-1 gene:gene-LATHSAT_LOCUS19026 transcript:rna-LATHSAT_LOCUS19026 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCIYKQPPSSPFASVSKLLLNNHKAIFKNPSSFSLCCKVHALKEDSKQYEVDPEKAKEALKELDQKIQSISNKQVSSPKLKVPDMKPRREEMTSENGKLEISESFLGLVAGGLVLFTILYNVLFITVIKPSIDGP >CAK8567549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524124988:524128922:-1 gene:gene-LATHSAT_LOCUS20593 transcript:rna-LATHSAT_LOCUS20593 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIAMVVINLIKDLWRGLHFVLSIQFWRMALLWTLSVLFSHFQLLKDSLFSHKIVYPRCSSSMFPNTPVCVITGATSGLGFSTACKISKEGFLVVIVGRSEKLLSETVRKIKDLNEDAQLKAFQADLSSVESIIKFKNSLRQWLLDSNLHCSVQILINNAGILATSPRVTAEGYDQMIGTNYVGAFVLTKLLLPLLENSPVSSKIVNVTSFTHRAVTNMQVDEGAVSGKKFLSSKHYRYAQVYEYSKLCVLLFSFELHRQLTQMGKSHQIFVNVADPGIVKTNIMREVPACLSWLAYFILKRLRLLQSPDCGKDPIIDAAFAYPGTSGAYFFGGKGRTINPSALSRNAKIAHELWETTCNMLLVTPFGNERNSF >CAK8567550.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:524124988:524128907:-1 gene:gene-LATHSAT_LOCUS20593 transcript:rna-LATHSAT_LOCUS20593-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVINLIKDLWRGLHFVLSIQFWRMALLWTLSVLFSHFQLLKDSLFSHKIVYPRCSSSMFPNTPVCVITGATSGLGFSTACKISKEGFLVVIVGRSEKLLSETVRKIKDLNEDAQLKAFQADLSSVESIIKFKNSLRQWLLDSNLHCSVQILINNAGILATSPRVTAEGYDQMIGTNYVGAFVLTKLLLPLLENSPVSSKIVNVTSFTHRAVTNMQVDEGAVSGKKFLSSKHYRYAQVYEYSKLCVLLFSFELHRQLTQMGKSHQIFVNVADPGIVKTNIMREVPACLSWLAYFILKRLRLLQSPDCGKDPIIDAAFAYPGTSGAYFFGGKGRTINPSALSRNAKIAHELWETTCNMLLVTPFGNERNSF >CAK8544193.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:671239581:671240138:-1 gene:gene-LATHSAT_LOCUS12893 transcript:rna-LATHSAT_LOCUS12893 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDQTFTENRNKGMTDEASKSSSHNVIQFDRERFCFMVAKSINQRDGRPLGTFSVDLRKGWCDCGRFQAFHLPCSHVIATCVSIRQDYNMHIPNVLKVLSVFKVYSESFLGLPHQQNWPTYEGFTICHDETMRRNKKGRPNSKRIRTEMNDLEKENRRCEICREIGHMRRKCSNVAGLSNRLV >CAK8544741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:703666238:703669240:-1 gene:gene-LATHSAT_LOCUS13396 transcript:rna-LATHSAT_LOCUS13396 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVTNLETDHDSDFLELDPTGRYGRYNEILGKGASKTVYRAFDEYQGIEVAWNQIKLYDFLQNPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDTSNRNINFVTELFTSGTLRQYRLKHKKVNIRAVKHWCRQILQGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECSHPAQIYKKVSSGKKPDALYKVKDPETREFVEKCLATVSLRLSARELLDDPFLRVDDYEYNLGSVDVEEFVDLGPLVSQSFFSLDRSYSNISTEYSNGFGYEADCYSHPAEIEHGIELFECQDDETSKDVDISIKGKRKDDGGIFLRLRIADKEGRIRNIYFPFDIELDTALSVATEMVAELDITDQDVTSIAGMIDGEIASLVPKWRRGPGIKETANYENQSFCENCVSNHTTVTDFLSRNQSGKNLQLLQCCMHGCASMHGRFEEITFQSEEYDNNVNDDAPNMSSESDFLQYQESWSHHHESRELSPVESTRSPSDEHYELGNHSTIGSLHCGVVENDYEKEIQHELRWLKAKYQMELRELRDKQIGLEPTSETTSETVNRFDNRIHFDNHRDYDSREHKSQTDLNARRAQNCGAMYCDEENMATAKSFYTKLLLQDTLHRTVSLPVDAVDV >CAK8572413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:535101150:535101614:-1 gene:gene-LATHSAT_LOCUS24984 transcript:rna-LATHSAT_LOCUS24984 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREFHFQHLGICHKLYYFITKTLASQALKTVTLGCSTPYNSTSTASKGSDSEANKVVRSETPCNKAEDKDSGCMKIDEKVREDAARLAKKTSLRKSVSINDNVEEILPNKKNKRRSKSFQKSSSLDQEEEPKPLRSILKVDSDLKDKFNSIC >CAK8532815.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520857689:520858347:-1 gene:gene-LATHSAT_LOCUS2481 transcript:rna-LATHSAT_LOCUS2481 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDNCPHFSITQYRNLIDHLAQEDFIWRPYLGLQAFHQVERQDSAVWSAKVPIINFTTVEMHNSDRVKLQFGMLQDISCPPKCIPDKYHNGKVSDQWEYNPWTKYAKHECREWRHRNNYVLSDNVFPYEMKQSIQYMTWYKFVSIGFISHPRYLNDPRQQDSSSRPQQPTQPYFQPPTQPQCQPPTQPHF >CAK8562847.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547303681:547304181:1 gene:gene-LATHSAT_LOCUS16311 transcript:rna-LATHSAT_LOCUS16311 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILIECGSSQREDVEVDHGDGDESLCVPAIGTCFYCLEEVKTYYQEYALKKGFGRRIISSKEGDDEELNYLILSCSREGSNISKISCTLKTFPSREKNCPAKICIKLKQDGLWYITQFEVNYSHETSPTKARLFKAYKKMNLHVRRTIQTNDDAGVRINKTF >CAK8569751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9772056:9775334:1 gene:gene-LATHSAT_LOCUS22566 transcript:rna-LATHSAT_LOCUS22566 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSVFEQTIQYPIARRDDSVVDNYHGVNIPDPYRWLENPDAEQVKEFVQKQVGLTDSVLKTIDVRAKLGDTIKKVYDHPRYSAPFKRADKYFYFHNTGLQSQSVLYVQDSLEGEAEALLDPNLLSEDGTVSLNSFSVSKDAKFLAYGLSSSGSDWATIKVMRVHNKLVQPDTLSWVKFSSTSWTHDSKGFFYSRYPAPTIKDGDVVDAGTETDTNLYHELYYHFLGTDQSQDILCWRDPQNPRYMFDGTVTDDGKYVMLIIGEGCDPVNKIYYFNLSELPNGLEGFRNEKTFLPFVKLVDNFDASYELVANDDTVFTFLTNKDAPKYKLVRVDLKEPNAWTDVIPESETDVLKSAFAVNGNQLIVSYLSDVKYVLQVRDLETGSLQHQIPIDIGTVSEISAQRQDSVVFIGFSSFLTPGIIYQCNLGGTQIPDMKIFREIEVPGFDRSEFQVNQVFVPSKDGTKVPMFIVARKDIILNGSHPCLLYGYGGFNISLTPYFNVSRIVIARHLGSVFCLANIRGGGEYGEKWHKAGALSKKQTCFDDFISAAEYLVSAGYTQPKKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTEFGCSDKEEEFHWIIKYSPLHNVRRPWEQHPNKSIQYPSTMLLTADHDDRVVPLHSLKLLATLQHVLVTSLDKSPQTNPIIARIECNAGHGAGRPTQKIIDEAADRYGFMAKMLEAHWIE >CAK8533645.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:645515979:645518528:1 gene:gene-LATHSAT_LOCUS3256 transcript:rna-LATHSAT_LOCUS3256 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSTNPQYKEITIRRRIASIFNKREDDFSSLKDYNDYLEEVEDMTFDLIEGIDVAAIEAKIAKYQEENSEQIMVNRARKAEELAAAMAAIKAQPAQTDNDDANLNSQAGVGTVPQGQYAPTVAGGQPRPTGMMGPQPLPLGGSDAGYIVENEETFRRRARAGGWSKEISRKRAFEEAFGSIWVS >CAK8574143.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:665584081:665588608:1 gene:gene-LATHSAT_LOCUS26516 transcript:rna-LATHSAT_LOCUS26516 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGLIYSFVAKGTVVLAEHTQYTGNFSTIAVQCLNKLPSNSTKYTYSCDGHTFNFLLDNGFVFLVVADESIGRSIPFVFLERVKDDFKKRYGSSITNGSGHPLADDDEDDDLFEDRFSIAYNLDREFGPSLKGHMQYCLTHPEEMSKLSKLKAQITEVKGVMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGGILILVIILWVIACGGFKC >CAK8560097.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:7499179:7499669:-1 gene:gene-LATHSAT_LOCUS13809 transcript:rna-LATHSAT_LOCUS13809 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKLAKQVLLLLAITFTTQLIQGIRTEDLVSHKSSQTFTEQSLKGSNVAMRMMNSRRLMIGSITPTCTYNECRGCKYNCRAEQVPVVGNDPVNSPYHYRCVCHRG >CAK8535658.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:872772029:872773994:-1 gene:gene-LATHSAT_LOCUS5094 transcript:rna-LATHSAT_LOCUS5094 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKVFCCGIASDRSGRGKKQPPWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTESLLDWNRRMNIAIGSAEGILYLHVQATPHIIHRDVKASNVLLDSDFQARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSFGILLLELASGKKPLEKLSSSMKRSINDWALPLACEKKFSELADPRLNGDYSEEELKRVVLVALVCAQSQPEKRPTMLEVVDLLKGESKEKVSQLENNELFKNPLAVGNNDEIFVSAAEGSSDFISEEKDSKPEMEENKEP >CAK8562158.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448941813:448944596:-1 gene:gene-LATHSAT_LOCUS15680 transcript:rna-LATHSAT_LOCUS15680 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHAYMDSQNPNHKNSLYPEVIVSNPEESSAFIFNPNSTSSSLYPSIDDVGDLVTDLFPDNRSPSAPPIAAEDVLIKVPGAILHLIDQQCSFELAIGDLTIIRLSQGDNTVAVYARVADDIQWPLAKDEAAVKVDDSHYFFCFRVPKEREDSDSSDSSDEENKKQIRRKGSRKGKGNDGWDLLSYGLTIASKGQEDLVKELDEVLMECSSFSIQEVSEKAKKKGEALDGSLAMEISPAEMETVKEKKELMEERCAAYWTTLAPNVEDYNGTAARLIATGSGQLVKGILWCGDVTIDRLKWGNEFLKKRMGPPTQAEISPRTLRRIQRAKKVTKMTESVATGVLTGVVKVSGFFTSSVANSKAGQKFFKLLPGEVVLASLDGFSKLCDAVEVAGKNVMSTSNTVTTEVVHHRYGEEAAKATSEGLDAAGHAVGTAWAAFKIRQAFNPKSVLKPTALAKSAAKVAADYKAKKSK >CAK8562159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:448941813:448944575:-1 gene:gene-LATHSAT_LOCUS15680 transcript:rna-LATHSAT_LOCUS15680-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNPNHKNSLYPEVIVSNPEESSAFIFNPNSTSSSLYPSIDDVGDLVTDLFPDNRSPSAPPIAAEDVLIKVPGAILHLIDQQCSFELAIGDLTIIRLSQGDNTVAVYARVADDIQWPLAKDEAAVKVDDSHYFFCFRVPKEREDSDSSDSSDEENKKQIRRKGSRKGKGNDGWDLLSYGLTIASKGQEDLVKELDEVLMECSSFSIQEVSEKAKKKGEALDGSLAMEISPAEMETVKEKKELMEERCAAYWTTLAPNVEDYNGTAARLIATGSGQLVKGILWCGDVTIDRLKWGNEFLKKRMGPPTQAEISPRTLRRIQRAKKVTKMTESVATGVLTGVVKVSGFFTSSVANSKAGQKFFKLLPGEVVLASLDGFSKLCDAVEVAGKNVMSTSNTVTTEVVHHRYGEEAAKATSEGLDAAGHAVGTAWAAFKIRQAFNPKSVLKPTALAKSAAKVAADYKAKKSK >CAK8540087.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:538858795:538861724:-1 gene:gene-LATHSAT_LOCUS9124 transcript:rna-LATHSAT_LOCUS9124 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDKCSNSNAIEPVVFQGLEARKVGQNRRALGVINQNMIPNKRAVSERNEVCEKKKVDPVHRPITRRFAAKIASTQKLKAEGSNKRSNLTNSNGFGDCIFVDDEHKLVEDQPVAMALEKTEPTRNESDQMDEIEMEDMMEEHVLDIDISDANDPLAVSEYIEDLYAYYRKVESTGCVSPNYMAEQFDINERMRAILIDWLIEVHDKFDLMHETLFLTVNLIDRFLEKQSVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDRAYTQKEVLEMEKLMVNTLKFNISVPTAYVFIKRFLKAAQADRKLELLAFFLVELSLVEYAMLKFPPSQLAAAAVYTAQCATFGFKQWSKTCEWHTNYSEDQLLECSSLMVGFHKKAGTGKLTGTHRKYCTSKFIFTAKWEPASFLLENCTY >CAK8538938.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:499838838:499839276:1 gene:gene-LATHSAT_LOCUS8083 transcript:rna-LATHSAT_LOCUS8083 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQVFFMVFSLLMVGNMSYGRKDLEEYWKNKMNEQAMPEAIKNLIKVPAQEKQDDSFVKNFDVHPNIILYHQKHDKQVPSEEKEDDSFVRDFDVHPNIILYHQKHDKHVQP >CAK8544215.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:672124297:672125390:1 gene:gene-LATHSAT_LOCUS12914 transcript:rna-LATHSAT_LOCUS12914 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKELGVEKFEKFTCKIENFSRFNRSVYHEYFVLCGYPWKINLYPKGNKEDNYLSIYLEAVKTASMSDGWSRHVKFKLAVFNQFNTNFSIIKECKTMFEASNVSWGWNCFMPLVEFHDPENVFIVNDGCIVGVEIIVYKSTYEKQLSQASNFTFENQTGYMEVEDSVPNLQTHDLTKDPDAELGFAAIGRVIYFLKSRKVKDMNEQACKELQALWCDLAKFKFDLTWLEPHAQSALGMKFFVEKVLSVEKLKEKIIFLEFETARLKEKLVTDEFNMDIEIDLLKAKGLKEIDLDSKLGCGF >CAK8570042.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:21717846:21718019:1 gene:gene-LATHSAT_LOCUS22829 transcript:rna-LATHSAT_LOCUS22829 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKFDPRVNRHVLFTEAKMK >CAK8530748.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:46816345:46817627:-1 gene:gene-LATHSAT_LOCUS591 transcript:rna-LATHSAT_LOCUS591 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNSTFNGNANAVLNVNDDATKIYDDSSIEDHVPLLQKLVAEVVGTFFLILIGCGSVVTNLNNDNVVTLPGIAFVWGFCVMTLVYSLGHISGAHFNPAVTIAHASTKRFPLSQVPPYIIAQFIGSLLASGALKLIFSGKENQFVGTLPAGSNLQAFVIEFIITFYLMFIISGVATDDRAIGELAGLAVGSTIILNVLFAGPITGASMNPVRSLGPAIVHHEYRGIWAYVFAPILGALAGTWAYTFIRITNKPVRELTKSGSFLKDSRCK >CAK8543026.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567758278:567759264:1 gene:gene-LATHSAT_LOCUS11813 transcript:rna-LATHSAT_LOCUS11813 gene_biotype:protein_coding transcript_biotype:protein_coding MSERISIGNIKDKILKYTMIEESKARLRKESKNIITFSEADSEKGKMVEEAEPKLQKELRVITPSIQSKDLLTPRLDSNTKPTSNLLEKGEHSSSSQNFIVDFLPKQEVKKFLCLFCNKKFSSPQALGGHQNAHKRERDLKKMEQKTNEEEMNSVLSYRPSFVYSYPHSDPIHYQGYHSFCSNLQHPINTQMNNIAPSWLGSPSDGYGGMYMPNTPSPPPPLVMQISKPPLTPLDFGMIDFLGGNQTSAMSITQGKNIVELDFFVQANQTPSSNEGTKRNSDAQFSSYDLSMKTHDFIGGSQLLAETNVGSSSTSESTLKELDLNLKL >CAK8564413.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:672671991:672672203:-1 gene:gene-LATHSAT_LOCUS17720 transcript:rna-LATHSAT_LOCUS17720 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNNIGDMVKCWEAMNDNLKLQLRNIRASFQKSFYEVEHAHVSPFYNNLRGSVSRDALRRIAEELKRVD >CAK8573975.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:650926424:650929637:-1 gene:gene-LATHSAT_LOCUS26368 transcript:rna-LATHSAT_LOCUS26368 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGHRRAKRYDCVVKENGDSHLSNDDEHDPWTAWAYKPRTITLLLIGAGLLIWASGALDPERDASGDVVTSVKRGVWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKYLHPDLGIELPERSYGADCRIYIPENPASRFKNVYDTLFDEFVLAHIIGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPFQGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNTYLQDSKPVKKVGAYCWLSLAICIVELLICIKFGYGLYPKSMPLWLVVLWSSVGVGIVTFLGLWSWQLLHRRSLERKRR >CAK8563619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620684523:620687149:1 gene:gene-LATHSAT_LOCUS17012 transcript:rna-LATHSAT_LOCUS17012-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELLQIDPSELRFVFELKKQSSCLIQLGNNNDHYIAFKVKTTSPKKYCVRPNIGLIKPNEKCDFTVTMQAQRTAPPDMNCKDKFLILSTVVPFGTTEDEISSAFVNYSGKYIEEKKLKVVLISPPSSPVLLPVNGDMKQDPSYQINVLKDRVISGIENIPPPLKATAEVKGLEPVLDTREDRTDEDNVPKHAENEGDMKPAIDDDVQLNLAKGCEELKSKLCLMDSKLREAEVTMMKLNEEKHAYNKEKDMLKKELEALKRKSNAKVQKGFPLLFVCMVAIVGVAVGYYIQS >CAK8563618.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620684523:620687149:1 gene:gene-LATHSAT_LOCUS17012 transcript:rna-LATHSAT_LOCUS17012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELLQIDPSELRFVFELKKQSSCLIQLGNNNDHYIAFKVKTTSPKKYCVRPNIGLIKPNEKCDFTVTMQAQRTAPPDMNCKDKFLILSTVVPFGTTEDEISSAFVNYSGKYIEEKKLKVVLISPPSSPVLLPVNGDMKQDPSYQINVLKDRVISGIENIPPPLKATAEVKGLEPVLDTREDRTDEDNVPKHAENEGDMKPAIDDDVQLNLAKGCEELKSKLCLMDSKLREVRAPEFRLSIMNAEVTMMKLNEEKHAYNKEKDMLKKELEALKRKSNAKVQKGFPLLFVCMVAIVGVAVGYYIQS >CAK8567325.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:504969994:504970555:-1 gene:gene-LATHSAT_LOCUS20389 transcript:rna-LATHSAT_LOCUS20389 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQQSLKKPKLFWASAAAPLTSVILSTIIVFLLRNKTLKISVQIGHLSESVNTPPINLLYSNGPYLALSIKTSIVTGILSLTEGIVVGRTFATLKNYQVDGKKEMMAIGFMNIASS >CAK8559925.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:1252286:1257163:1 gene:gene-LATHSAT_LOCUS13658 transcript:rna-LATHSAT_LOCUS13658 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTQTNKDQEEEQVVTPWEVSGKDGGKIDYDKLIDRFGCQRIDQSLIHRVEQVTSLPAHVFLRRGVFFAHRDFAEVLNAYEKGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVDECRRLARENAKDIIACGFDISKTFIFSDFDFVGGAFYRNMVEVAKRVTYNQAVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSGKDNLRCLIPCAIDQDPYFRMTRDVAPKLGYHKPALIESLFFPALQGETGKMSASDPNSAIYVTDSAKDIKNKVNRHAFSGGQDSVEKHRQLGANIEVDIPVKYLSFFLEDDAELEHIKKEYGAGRMLTGEVKQRLVQVLTELVERHRTARANVTDEMVDAFMAVRPLPYMFD >CAK8561353.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:167467726:167468469:-1 gene:gene-LATHSAT_LOCUS14954 transcript:rna-LATHSAT_LOCUS14954 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAGKFDARMNDLMAGDGNEFYTISDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGHDVIQQAQSGTGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVASNFNKLTKWRKSTASLTLCIFFEEMPSIAF >CAK8575311.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:75396242:75400658:1 gene:gene-LATHSAT_LOCUS27584 transcript:rna-LATHSAT_LOCUS27584 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNGVLLEGDVVDGAVDYHGQAAIRSKSGYWRSAWFIIGVEMAERMSNYGIQGNLISYLTGPLKQTTATAAVNVNIWAGTATLLPLLGAFVADSFLGRYRTIVVSSLIYILGLGLLTLSAMLSSLTFCSPQSQVILSFISLYLVAIGQGGHKPCVQAFGADQFDEKHPKEHKARSSFFNWWYFTMVGGCTALLSILNYIQDNYSWVLGFGIPCVVMTIGLLVFLLGSMTYRYIIKETDTSPFLRIGRVFVAAIRNWRNTLSTTSFEEECDGMLHKTSSQQFNFLNKALLTPNGSKKEKTCSLSEVEEAKALLRLVPIWTSCLVYGIIFAQVFTFFTKQGKSMERTIFPGFDIPPASLQSINGIAIILFSPIYDRIFVPTARAITGKPSGITMLQRIGTGIFISIFTMVIAVFVEIKRLKTAKEYGLVDDPNAIVPMSIWWLVPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSGFLISIIGNLSGKDGNESWFCDNINKAHLDYFYWLLAGLSAVGFTLFLYFSKYYTYNHKGIITQA >CAK8578575.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:639136888:639137598:-1 gene:gene-LATHSAT_LOCUS30573 transcript:rna-LATHSAT_LOCUS30573 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFMKLFDSFWFEINILNINTASSITSTSLEENLKDCQKNETPSAEEEPKLTRIRTVHNRSMSDQSITSFNHDSLSPDSVLIPSKLQTIFSGKEVTDSEDKNLVPTQTLLLPKENSINKVVKKKRESKSLSDLEFEELKGFMDLGFVFSEEDKDSNLVSIIPGLQRFGKKDEEQEEDDVSDESVIQRPYLSEAWEVHERKKEKALMKWKAPAMKNEIDMKNSLRLWAHNVASTVR >CAK8563937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:640220701:640221616:-1 gene:gene-LATHSAT_LOCUS17292 transcript:rna-LATHSAT_LOCUS17292 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALTEAIFNYYHGEIRRTNIEASNWIDNIPREKLARAFDGGQRWGHMTSNLAEAINSILKATRNLSIIALVQSTYYRMGSLFGKRGHKWTKMLSSGKVFTDGCNKGMADEVAKANTHNVMQFDRERLCFMVQEKINHNDGRPTGTFSVDLRNRLCDCGKFQAFHLPCSHVIVACSSIRQDYTIHIPEVFTVLNIFKVYKESFLGLPHKENWPKYEGFTLCHDDFMRRNKKGRPTSSRIRTEMDDAEKEKRRCGICREIGHMRRKCPNVAGPSSRPKR >CAK8544278.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:675421956:675422267:1 gene:gene-LATHSAT_LOCUS12971 transcript:rna-LATHSAT_LOCUS12971 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLASLTENKPVVIFSKSTSVMSHTVKALIRSFGANPVVVEIDKMANGYQIERALIQLGCRPSVPAVFIGQQFIGGTDEVISLNVQNKLAPLLLNAGAIFIW >CAK8539779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:524865699:524868770:-1 gene:gene-LATHSAT_LOCUS8842 transcript:rna-LATHSAT_LOCUS8842 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLTGGAVGAVMGEAVKHALLTIKKGREFAPTLESNIETLKALAPLVEEMKGYNNLLDRPREEIERLEKHIIEGNELVQKSKKLTLWNFLSFPRYQSKLQKKDEGLKRHLSVNVQVENKKDLIEVLAKVNGILEILMRKENLGQFDGNQVRGLCGAPDEPECLGMVEPLNKLKMELVKDGVSVLVLTGLGGSGKSTLAKKLCWHPQIKGKFGGNIFFVTVSRNPNLKNIVQTLFEHCGCRVPEFQTDEEAINRLGLLLRQVGRKPILLVLDDVWPNSEGLVEKFKFQMSDYKILVTSRVAFRRFGTPCQLDPLDYGPAESLFHHFAQLNHNSSYMPDKNLVREIVRGCKGSPLALQVIAGSLCQQPFEKWQNMKEFLQSQSILEFNSTTTNLLCFLQQSLDILEDINQKECFMDMGLFPEDQRIPVTVLIDMWAELHGLDEDGTQAMAIVHDLITRNLINVIATRKVARETDKYYNNHYVMMHDLLRELAIHQSKGEPIEQRKRLIVDLNGDSRPDWWIGQNQQGMISRVYSFISGMFVKPKQLKVDARVLSISTDETFSSDWCDMQPDETVVLVLNIRSEKYSLPDFTEKMSKLKVLIITNYGFHRSELTKFELLGFLSNLKRIRLEKVSVPCLCRLKNLRKLSLHMCNTKNAFESCSIQISDAMPKLVELSIDYCNDLIKLPDGFCNITTLKKLSITNCHKLNAMPQDLAMLENLEVLRLCSCSDLVEMSESVRDLIELRCLDISDCVSLPKLPNDIGDLQKLEKFYMKGCSNLSELPYSVLNFGNLKHKISVICDEEGAALWGHFPNIPNLKIEMPKVDINLNFLHGTRS >CAK8542021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456110308:456116543:1 gene:gene-LATHSAT_LOCUS10896 transcript:rna-LATHSAT_LOCUS10896 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKLIMASSSTHFLCNCIPPFKSPTPFNSPTTLTRTPPPPHYFPRLRLRVSLKASPHNKNPITDDDSVDTLPPKPPSLLPQFRNGWFKFDELGMEMLSIALPAAVALAADPIASLIDTAFVGHIGAVELAAVGVSASVFNLASKVFNVPLLNITTSFVAEEQALIGKEEDSGGTEENGMFQRKKLLSSVSTSLGLAAALGIAETVALSLGSGTLMTIMGIAVDSPMRGPAEHFLTLRAFGALPIVIALAAQGTFRGFKDTKTPLYAVGAGNFLNVILDPILIFLCGLGISGAAIATVISEYLIAFILLWNLNGKVLLIPFDFDGIKFFSYLKSGGLLIARTLAVFITMTLTTSLAAKQGPIPMAGHEICMQVWLSISLLTDALALAGQALLASSYSLGNYEQARLVIYRVIQIGLGAGITLSIILFVGFGAFSTLFSSDSEVLDVTRSGIWFVAGSQPVNALAFVIDGIYYGVSDFEYAAYSMVLVGLISSIFMLVAAPVVGLPGIWTGLFLFMMLRVLAGVWRLSSRSGPWDVIWYKDGAED >CAK8542022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:456110329:456116543:1 gene:gene-LATHSAT_LOCUS10896 transcript:rna-LATHSAT_LOCUS10896-2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTHFLCNCIPPFKSPTPFNSPTTLTRTPPPPHYFPRLRLRVSLKASPHNKNPITDDDSVDTLPPKPPSLLPQFRNGWFKFDELGMEMLSIALPAAVALAADPIASLIDTAFVGHIGAVELAAVGVSASVFNLASKVFNVPLLNITTSFVAEEQALIGKEEDSGGTEENGMFQRKKLLSSVSTSLGLAAALGIAETVALSLGSGTLMTIMGIAVDSPMRGPAEHFLTLRAFGALPIVIALAAQGTFRGFKDTKTPLYAVGAGNFLNVILDPILIFLCGLGISGAAIATVISEYLIAFILLWNLNGKVLLIPFDFDGIKFFSYLKSGGLLIARTLAVFITMTLTTSLAAKQGPIPMAGHEICMQVWLSISLLTDALALAGQALLASSYSLGNYEQARLVIYRVIQIGLGAGITLSIILFVGFGAFSTLFSSDSEVLDVTRSGIWFVAGSQPVNALAFVIDGIYYGVSDFEYAAYSMVLVGLISSIFMLVAAPVVGLPGIWTGLFLFMMLRVLAGVWRLSSRSGPWDVIWYKDGAED >CAK8535224.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:827521618:827522227:1 gene:gene-LATHSAT_LOCUS4697 transcript:rna-LATHSAT_LOCUS4697 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSSTPKKSTSRSNKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGSSKGAGDDE >CAK8532937.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:552550563:552555217:1 gene:gene-LATHSAT_LOCUS2596 transcript:rna-LATHSAT_LOCUS2596 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVATRSIQTTLPSSGSTRDRAQKLLKPSTFASKVFQPKGNKSSKLVFRSSRISARKSASVEVVPVSPEDDPKIEEHLQHLRGVQPLGDTSNGIWSKPTVKRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPINLTTGQEFTFTIQRGVGTADCVSVNYDDFVNDVAEGDMILVDGGMMSLMVKSKTETLVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAEVVHELKNYLKSCDADIHVIVKIESADSIPNLNSIITASDGAMVARGDLGAELPIEEVPLLQEEIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATIEGGQMPPNIGQVFKNHMSEMFAYHATIMSNTLGTSTVVFTRTGFMAILLSHYRPSGTIFAFTDEKRVQQRLALYQGVCPVYMEFSDDSEETFRRALDFLQKQGMVKLGEEVALVQSGRQPIWRFQSTHNIQVRKVE >CAK8575509.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:145980892:145982339:-1 gene:gene-LATHSAT_LOCUS27766 transcript:rna-LATHSAT_LOCUS27766 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAIVPEWASEPCIMGIDEARRGPVLDPMVYGCLYCPLSYKKTLATLSFADSKTLKEEKREELFEALKHNDSIGWVVDVIDPKELSSKMLKKNKINLNEISHDSAMGLVDRVLKIGVLLTEVYIDTVGDPGKYEAKMSKNFPSIKFVVAKKADSLYPVVSGASIAAKVTRDRAVRDWVLDETVDNIHRNFGSGYPGDPATKSWLENHKHSIFDFPTLVRFSWGTCSTYFKSGAEVLW >CAK8566307.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404923093:404923731:-1 gene:gene-LATHSAT_LOCUS19444 transcript:rna-LATHSAT_LOCUS19444-2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIQPCDVGIIRAFKMHYRRRFYRRILEGYEVGQSDPGKINVLDAINLAIPAWTIDVRKETIVNCFRHCKIRSASDVARNLDESTFDKETQDLETMINQCGYRNKMDIDNLMNYPGENEACSEAQSLEDIVGTIIENNAEDDDGDDTMSLELVTRKEALMAWNTLYNFMIQYKNTTPELLDAIRKVRDELQIDLNFKGKQTTIESYFNRV >CAK8566306.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:404923093:404924133:-1 gene:gene-LATHSAT_LOCUS19444 transcript:rna-LATHSAT_LOCUS19444 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVFNMDETGLFYRLQVNHSLATKQLEERKQDKERLTVVICWNEDGFEKISLWIIGKYGKPRCFKNVNMNSLDCQYRANKKAWMTSVLFDEYVHSFDQMIHGRRVLFVVDNCPAHPRNIEGLRNVELFFFPPNMTSKIQPCDVGIIRAFKMHYRRRFYRRILEGYEVGQSDPGKINVLDAINLAIPAWTIDVRKETIVNCFRHCKIRSASDVARNLDESTFDKETQDLETMINQCGYRNKMDIDNLMNYPGENEACSEAQSLEDIVGTIIENNAEDDDGDDTMSLELVTRKEALMAWNTLYNFMIQYKNTTPELLDAIRKVRDELQIDLNFKGKQTTIESYFNRV >CAK8564631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3444330:3446975:1 gene:gene-LATHSAT_LOCUS17916 transcript:rna-LATHSAT_LOCUS17916 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIHQENSEINGIPGSGSCLYDLFCTETPSLNSQSQRERWMISGPQERLDNLMRQAGNKYCADCGSSDPKWVSSSLGVFICIKCSGIHRSLGVHISKVLSLNLDDWTDEQVDSLVNLGGNTVINKKYEACLPTYVKKPKPNSSIDERSDFIRRKYEFLQFLDSEENLSCPFIPSHGRTSSSGQSSSSNNIPLPEKKRYDKQTTKNRIGLSFRNSWGRKDSEVKTAKKSTSLAGMVEFVGLIKVNVVKGTNLAIRDIVTSDPYVILSLGNQSVKTRVIKNNLNPVWNESLMLSIPESIPPLRVIVYDKDAFKNDDFMGEAEIDIQPLVSAAKAYEKSSINESMQLGKWVASGDNTLVKDGIISLEEGKVRQEISLRLQNVERGVLEIELECVPLTQ >CAK8533883.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:667307529:667309669:1 gene:gene-LATHSAT_LOCUS3471 transcript:rna-LATHSAT_LOCUS3471 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRINISRATTAFAFVSLLLSAVFRFSAADGICSRKCIAEQCDTMGIKYGKYCGVGYSGCIGEKPCDDVDACCMAHDDCVGEFGMTNVKCHNKFKKCLTKVQKSGKVGFSKKCPISTVVPTMIRGMDLAIMLSQFSDNAQQL >CAK8541468.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:192902447:192902818:1 gene:gene-LATHSAT_LOCUS10387 transcript:rna-LATHSAT_LOCUS10387 gene_biotype:protein_coding transcript_biotype:protein_coding METQFAIKKDATELIGNTPWVHLNNITEGCVALIAAKLEYLQSCCNVKDRISLSMIEDAENKGLITPGKTALIEPTSGNTGIGLASVAALRGYKLLVTIPSFVSLEKNYPASFRSGCISDRSC >CAK8544751.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:704419855:704420169:1 gene:gene-LATHSAT_LOCUS13406 transcript:rna-LATHSAT_LOCUS13406 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILHQRENMPHLQGLWQHLLRGDRFVSKKVYLILRTTGMPTVSWNQLLYGHVARPKAIMNMWQACHGRLLTKARLHIIGMLNNVNVVFVQMMKPSITFSLAV >CAK8537948.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:449817152:449819967:-1 gene:gene-LATHSAT_LOCUS7196 transcript:rna-LATHSAT_LOCUS7196 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKRDNMEDFKEEKCYVETDPTGRYGRFGDVLGKGAMKTVYKAIDEVLGIEVAWNQVRLNEVLNTPEDLQRLYSEVHLLSTLKHRSIMRFYTSWIDIDNKAFNFITEMFTSGSLREYRKKYKRVSVQAIKSWARQILHGLVFLHEHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILQGSQSAHSVIGTPEFMAPEMYEEEYNELADVYSFGMCVLEMLTSDYPYSECTNPAQIYKKVTSGKLPMSFFCIEDTEARKFIGKCLEPAAKRPSAKELLLEPFLLADDLSSAKKLAIQKPFLNDSEMEKLRLSDEFPRTEMKVIGKLNPEDETIFLKVQISDKNCSVRNVYFPFDIHTDTPIDVAMEMVKELEISDWDPYDIANMINREISALLPFRWKNENSDSFQTFSYQNDDIDEHRLHFRSISSCSSLQESIPDFACKADEISRGYYLLHDDLHDDNSSRCSSQGTYSNWNYYSMDDHEHNVPSIRKDYNLPIIKSHKGTRFSPGEELSNCNQCKILGGSQNASPSKNKMMMENRKLTRNRSLIDTRSQLLHRSLVDELNKRRQFKTVGAVENIGFQSPYDDSI >CAK8564221.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:659166889:659168355:1 gene:gene-LATHSAT_LOCUS17544 transcript:rna-LATHSAT_LOCUS17544 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSCCGSEKVDEGPTSFGVANNSWRIFTYKELHTATNGFSDDYKLGEGGFGSVYWGRTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGDDQRLIVYDYMPNLSLLSHLHGQFAVEVQLNWQKRMSIAIGSAEGILYLHHEVTPHIIHRDIKASNVLLDSDFVPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVTGRKPIEKLPGGLKRTITEWAEPLITKGRFRDMVDPKLRGNFDENQVKQTVNVAALCVQSAPEKRPNMKQVVSLLKGQEPDQGKVVTKMRIDSVKYNAELLALDQPSDDDDDGYDGNSSYGVFSAIDAQKMNDPSKRGGDNRKFG >CAK8540249.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:546966546:546967800:-1 gene:gene-LATHSAT_LOCUS9273 transcript:rna-LATHSAT_LOCUS9273 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEEHAAKVKTLKESPSNENLLILYGLYKQATVGPVTTARPGIFNQKDRAKWDAWKAVEGKSKDEAMSDYITKVKQLLEEAGLSA >CAK8577971.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:599398693:599399040:1 gene:gene-LATHSAT_LOCUS30025 transcript:rna-LATHSAT_LOCUS30025 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFILALLIVATMSSMKVEARHLLQTTTLSNPTIPSLPKSYLLDSRDLYVIESLMKGSLPPFSSFPSIDLSFSIPPVSSPAPTPVSTTPESPPSFFSFFPFFSQTLSISKP >CAK8538107.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:463126580:463129702:1 gene:gene-LATHSAT_LOCUS7342 transcript:rna-LATHSAT_LOCUS7342 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSNASGEDLKPIRLLDVVLSWPLEDVINENLYKDKVHKIPETFKSPTDYKNSFIPLLLEETRADLSSSFTAVSRAPFCEIKKVVSSKQSRLPKAQKQFKQFHHKLQLKSTIHSGGDGGNYEPGSGDLIAFTNIRPKSLDDLNTLKSPYHIAYVRAKNQFSHTVSVLSSKCMKMDIENEFWNNNEQKLYVVYLINLTTNIRISNALNSRPEGEHLNIIKTVLGSHLISGENCQNCLSEENSQASFIKEDMIICSQKLNECQQDAVLSSIDMMNCNHADIKLIWGPPGTGKTKTVACLLFSLLKLKSRTLTCAPTNTAVLQVAIRLHGLVMDSLEHDRYGLGDILLFGNSKRMKLSSHPGLEDIFLDNRVENLMECFDPITGWKTNLRSMIRLLNSMEEFAKKKRKAVFGYRWRFGEQTKKLKFLMQSLYTHMPKSCISLETVKKMLQALDLLRSIGISMWQVKFKSNKDGSIPAYFQTLYVRRDECLSILSSLSHTVSIPEFDQRGNMRVEVGDFCLSNACLILCTASSSVKMYYSAMVKPVEFLVIDEAAQLKECESTIPLQLTGLRHCILIGDERQLPALVKSKIADKCEFGRSMFERLVILGYKRHMLNVQYRMHPSISLFPCKEFYDEKLFDAPVVREESYKKLFLRGEMFSSYSFINIAKGKEKLGQGQSLKNMVEVAVISEIIKSLNKVFMRTKKKVSIGIISPYNAQVYEIQEKVKQYTLASNSGFSVNVRSVDGFQGGEEDIIIISTVRSNGSGNVGFLSNRQRANVAITRARYCLWILGNASTLINSDSVWRNVVLDAKRRGCFHNVQDDKKLGQAIDYVLFEHKLLEEPESPFKKLSLGGNSETKTTYLTR >CAK8570974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:211380748:211381164:1 gene:gene-LATHSAT_LOCUS23678 transcript:rna-LATHSAT_LOCUS23678 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVQKGSAETFFRKVKFWKEDADGDAPPVFNVDGVNYFHVKVAGLLFVATTRVNVSPSVVLELLQRTARVIKDYLGVLNKDSFRKNFVLVYELLDEMIDFGYVQTTSTEVLKSYVFN >CAK8541545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:235376173:235376562:1 gene:gene-LATHSAT_LOCUS10460 transcript:rna-LATHSAT_LOCUS10460 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWITKPQSSIAYQQGIKEFIDFAFKGAKENDVVICPCKHCGFKKSKSRSDMFDHLMWSPFPQGYTMWIHHGESFVVPSTISPSTTQNMVEDTIIFEDPIHNMINDAFGVDMNHANEIPSASNLEIG >CAK8562555.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509128454:509129150:1 gene:gene-LATHSAT_LOCUS16043 transcript:rna-LATHSAT_LOCUS16043 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CAK8535218.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:826872177:826872542:-1 gene:gene-LATHSAT_LOCUS4691 transcript:rna-LATHSAT_LOCUS4691 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWTDRCRRTLINFLVYCPKGTVFIKSVDASGASKTADTLFKLFKEVVLYVGPENVVQIATDNAANYIVAGKLLEKEFPKLYWSPCATHCINLMLQDMGKLEEVSGGSVTCFKNYQIHI >CAK8568627.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625192138:625194812:-1 gene:gene-LATHSAT_LOCUS21568 transcript:rna-LATHSAT_LOCUS21568 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFGFTGSDDFCTGRSVYLNPKEAGLFLSLGCQKYAYKPRQKRSRVGVPFDFSGDKWFEPKPEPSIETLPDECLFEILRRLPAGQDRSLCASVSKRWLMLLSNICKDEICTDVGTGNRNNKDGGDQGFGDEGCLSRRLEGKKASDVRLAAVAVGTASRGGLGKLSINGCNSDSVLTNAGLKAVAHGCPSLKIFTLWDVDTINDEGLIEIANGCHELQKLDLCKLQNISDEALIAIAHNCPKLTELSIESCPNIGNEGLQAIGKLCPNLRSISIKNCPRVGDLGIVGMVSSASFGLTKMTLESLAVSDYSLAVIGQYGFAITDLTLNSLSYVTEKGFWVMGNGHALQKLTTLTIGSCSGVIDTGLVAIGKGCPNVKNFHLYKCAFLSDNGLVSFTKAAPSIVSLHLEECHRVTQFGLFGLLYNCGSKLKALTLVSCYGIKDLKLELTAVSRCESISSLSICNCPGVGNSTLAIIGKLCLQLQRLELIGLEGITDTGFLSLLERSKSSLVNVNLSRCINLTDAGVSSMVKLHRKTIKVLNLNGCKRVGDSSLMEIASNCPALSDLDVSRCAITDAGIAALARGVMPNLNVLSLAGCSLVSNKSMPALKKLGDSLEGLNIKNCKSIGSHTVDKLIENLWICDILF >CAK8562388.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:482596188:482597435:-1 gene:gene-LATHSAT_LOCUS15888 transcript:rna-LATHSAT_LOCUS15888-2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLIMNPNKCRIYFGGLDKEKRKVLKEMSGFQEGTLPFRYLGIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSYAGRIQLVKIITIVMVQHWLHYLPMPKTVIKKIDSICRSFIWTGKDTVSRKSARCLFFANNMCSPVAWKHMSCPTAQGGLNLINLQIWNNVLLLKCLWNLCKKSDNLWVKWIHIHYFKNKQIMNYETKTESFWIMRRILKQRDTMDLIRNEWDQLLISHRFKVSVFYKVLIDDGTRVPWRNLIRSNKSRLRAFFCLWQACHGKLATKDRLKRFGMIQDSQCSLCHTEEETMNHLFFCCQGTRHIWKKVLHWFNIVHTPQPWDAELIWITNMTKGKSWKVDIFKMLVAETIHCIWGYRNSTTFGKPIDIITVATNIIDNVTYRGWQNLKIRKHLVSYML >CAK8562387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:482596188:482597735:-1 gene:gene-LATHSAT_LOCUS15888 transcript:rna-LATHSAT_LOCUS15888 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITTVSYRFNIMGEYTDILQAKRGILQGDPLSPMLFVLIIEYMNRLLMKMQRDPNFNYHAKCEKLKITNLTFANDVLLFCRGDEISLHMILQTFRAFSMSTGLIMNPNKCRIYFGGLDKEKRKVLKEMSGFQEGTLPFRYLGIPLVGRKLNITHFMPLVDRIVARIHHWSSKLLSYAGRIQLVKIITIVMVQHWLHYLPMPKTVIKKIDSICRSFIWTGKDTVSRKSARCLFFANNMCSPVAWKHMSCPTAQGGLNLINLQIWNNVLLLKCLWNLCKKSDNLWVKWIHIHYFKNKQIMNYETKTESFWIMRRILKQRDTMDLIRNEWDQLLISHRFKVSVFYKVLIDDGTRVPWRNLIRSNKSRLRAFFCLWQACHGKLATKDRLKRFGMIQDSQCSLCHTEEETMNHLFFCCQGTRHIWKKVLHWFNIVHTPQPWDAELIWITNMTKGKSWKVDIFKMLVAETIHCIWGYRNSTTFGKPIDIITVATNIIDNVTYRGWQNLKIRKHLVSYML >CAK8569059.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:667386215:667393181:1 gene:gene-LATHSAT_LOCUS21952 transcript:rna-LATHSAT_LOCUS21952 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENTTNRQVTYSKRRNGLFKKANELTVLCDAKVSIIMFSSTGKLHEYISPSVSTKQFFDQYQMTVGIDLWNSHYENMQENLKKLKDVNRNLRKEIRQRMGDCLNDLNMEELRLLEEEMDKAAKAIRERKYKVITNQIDTQRKKFNNEREVHNRLLRDLDARAEDGRFEMMENGAADYESVIGFSNLGPRMFALTLQPTHPANNPHNTGQQPASDLTTYPLLF >CAK8575742.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:297285156:297285741:1 gene:gene-LATHSAT_LOCUS27978 transcript:rna-LATHSAT_LOCUS27978 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTDDVESEYASHSYIIENVDGTFANYGSALKDIIGVSRQQENDSCTTFEIPFVDVDMPAHFGTSTDSTFCQGSDAPSDFSGYYSSLNCYQGIDARPVVTDSSAYLPNGVCPEFWKNEETTRNVKVEKMEFLTDTANMIGGMHLNTGGSVPF >CAK8539831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:528042068:528042655:1 gene:gene-LATHSAT_LOCUS8891 transcript:rna-LATHSAT_LOCUS8891 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDVPPTHTSQHSYQNQTPNNPLHQQTYTYPYNQQYHPQPYLRPPRQFTPVQPPLFDHTDHPFQNQPSNTTYSQPASTINTTYTQTSQNFTPDNVYYPTFQHPPSETYPPPPQPPHSFQQFLLTDEQLMQMPDFNIDDLLDEQPGPSSRPTNPPTTLHHEDMSSDSSESTRNERLGRGHRQRRIPRCGTGGHIR >CAK8540396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:557698031:557698399:-1 gene:gene-LATHSAT_LOCUS9402 transcript:rna-LATHSAT_LOCUS9402 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERRLELLEVQVGNASANPSLFAT >CAK8574086.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:661400619:661402109:-1 gene:gene-LATHSAT_LOCUS26463 transcript:rna-LATHSAT_LOCUS26463 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILPDFSSSVKLKYVKLGYQYLVNHIITLTLIPIMIGVSLEIIRLGPNELLNLWNSLHFDLVQILCCSFLVIFVSTVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPSIHYIPPKPTMESARGEAELVIFSAMDSLFEKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGKERAMLLPNCLFRMGGAAILISNRSSEKRRAKYRLVHVVRTHKGADDKAFKCVFQEEDVEGKVGISLQKDLMAIAGEALKSNITTMGPLVLPASEQLLFLISLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSTEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRSIKTPVDGPWTECIDRYPVHIPEIVKL >CAK8576698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:507242095:507242358:-1 gene:gene-LATHSAT_LOCUS28871 transcript:rna-LATHSAT_LOCUS28871 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPSMIRRASSSKAMGMPKGYVAVYVGEKQKRFVIPISYLTQPSFQDLLSQAEEEFGYDHPMGGLTFPCTEDVFQNITCGLNGL >CAK8543850.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:643981781:643983590:1 gene:gene-LATHSAT_LOCUS12576 transcript:rna-LATHSAT_LOCUS12576 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSFKAGTNGCNRTPFNKEKDKESKKKLIDGNKDVDPQLWHAIAGGMVKIPQVNSKIFYFPQGHAEHAYQPVTFPADFIIPSEIPCRVAAIRYRADPDTDEVYAKLSLVPLQISEANFDDDDAAGIDNMSETNNRYRSYTKTLTQSDANNGSGFSCPKYCAESLFPPLDYSGMFPSQDIYPMDVHGETWRFIHAYRGMPKRHLLTTGWSHFVTDKLLVSGDSLVFVRDEHSDLHVGIRRSKKRNDGGFNFSSRRKLGIETGICLRPSYDRHLTSSFGELRISDKVMGIGKVKAEHVIEAVRLGVNMQPFDVVYYPRVGTPEFFVKTSLIKTARQIRWCCGMRFKMAVETEDSSKTHSFMGTISSVQAADPAWPDSLWRLLQVTWDKTDLLTNTKMLNPWEVELVSDMPLFPFPPFFPSSKKLRSTQHPSFSMDGQLSMPTFSNVPVTSTAGMQGARRDDFSFPKSLFPTIEQIPLEVFMSHFQPSFNHDASTSTTVNSTILQKESNSENVSSSILDKLDHAKPKELVLFGQTILHAKPKVLVFFGQKIQIESRNGNAEEKITNYPSGSPL >CAK8564539.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:680354881:680355570:1 gene:gene-LATHSAT_LOCUS17837 transcript:rna-LATHSAT_LOCUS17837 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKTRNENGDGTLKQSPLTLFVSNLPYSFTNPQLEETFSEVGPVRRCFMVTQKGSTQHRGFGYVQFAVEADANSAIELKNSSLVGGRKIAVKHAMPRPPRENRRLKPDQEGKDNDLTESKNDDKDSLLPVAEKPVPVPKEEEGTVLNKQKNSRKPVEIKKAALCNNVADEGGGSEKQRVARTVIFGVLMNFAMAEDVHRQAKDIGTVCSIKSLSLSSVKIINHTIG >CAK8569149.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:676270019:676271188:-1 gene:gene-LATHSAT_LOCUS22033 transcript:rna-LATHSAT_LOCUS22033 gene_biotype:protein_coding transcript_biotype:protein_coding MDASWTELPPEIIETISQKLTIYSDYLRFRSVCRTWFSSVPKTPLHLPPQLPWLIFSHQSFFDISTHKTHLINLSLPSHRTRICGSSHGCLIILDETPQIRLFNPLTRDTLFLPPLNTFPNVVSFDYSNIGREYLVRNPNGDLGYFNLRQMRNYFIRKIVLSSTRDDEFVAFAIVDGYSNLAFCKKGYDSWIFMSDEIFQFWEDVVYHNGLFYAVSKGGMIAVCDVDVRRVSIFQTILPVEFSGDIFYVVFSGEEMLLVTRILEQEFSDGGIESHDMFVYKTAGFEVFKMDWKVMVWKKIETLGDRALFVGGNSSLCYSAGDFVGCCADCIYFTDDYSESNHDDAYGKHDFGVFRLLDQIIDPLLPSYPRNSFSWLGWPLPIWVSPNPC >CAK8541711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:360419810:360420311:-1 gene:gene-LATHSAT_LOCUS10607 transcript:rna-LATHSAT_LOCUS10607 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFKESFQLSPHHPESHNLHGLVCKAEKDYKSVATFYTLARHAVSIGSESNQNACIMDISINLDRSLSKVGNVVDALQECKNLKKEGPLDEEGLQVYAFLLWKYGKYDLALSIAGSFASKLSSMKKHLCGFILLFHQ >CAK8562832.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:545309090:545310412:1 gene:gene-LATHSAT_LOCUS16297 transcript:rna-LATHSAT_LOCUS16297 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVKRESTELPDCIISHIFSKLSLKNLVKTSALSKQWYHEWGLRKDLNFDLHNMFDCIPELPKTPLFPLFQQLQSQFATRLDYFIQKYHGDIISSIRINFPLGRDNTHVIDRLIHKGVLKGANRIELLFAYPKPLPDFENPKPFRYKESDFEIEPYNFFLSESHNSLTYLHLQNCRIMEFSGLKNLTTLVLHLVSVEQNMLQDMCLKCIHLDNLTLNKCTFRSDHLKITSATLLHLNINCGDIIRNQINIDIIASNLSSIRYSSYFLPETLIHTLNIKSHKLSYFSYSGAKISNLVHFSALKNVTTIVLDGLMEGDVRIYGPMEGDVITHLFSKCLQLQHVTISKCWLTCECKIISAKLRHLSILGCFNTEVMDIVDSNGSLFEYRGPPEMRSILSIHALNLSSFEFRGGSLMRSIISIEAPKLLKDFWDAGLNKICI >CAK8538638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:490222352:490223542:1 gene:gene-LATHSAT_LOCUS7818 transcript:rna-LATHSAT_LOCUS7818 gene_biotype:protein_coding transcript_biotype:protein_coding MMDISFSNELCYMSASSCNQNQDLFFYSAPTSPSRLKFIEHVGSQTGPTTPRSYEDVNSNLDRFEFETSRRFNHSKLIGAKVNQKDDAKVFEGNQRLCEDSLPTMAFADELFCDGKVMPMMQPLKLPPRLVQSGDSAQSSRATSPKSPASLLRLPFSRLWKNDDFDPFKVAFEKVREDKRGKSKGREHGLRRTRSLSPLRDFNKSDKHVERSESRKHESHCCEKLPLMSFPEGQMLRELLEEPMQEVLGREKIVSEAKGLAFARQVREVKVANDTNFEFEPKKTLVSNAAKEPKKDENKKSGFWKSNKKIESIKKFFFGNSNKGKASDPQKLEGKKAEVGKQTLVKKLDMKSVSSTQSTSWSKDEISGEFTKMRLVCHRPLPKSFLCLGYEGWKVK >CAK8544554.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:692325328:692327716:-1 gene:gene-LATHSAT_LOCUS13220 transcript:rna-LATHSAT_LOCUS13220 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSMILLVIGSLILQFLISTASANDVVVLTDDSFETEVGNDRAALVEFYAPWCGHCQKLAPEYEKLAASFKKINTILIGKVDCDEQKLICTKYKVYGYPSIQWFPKGSLTPMKFEGARTAEALAGFINMKAGTNVKILSLPSSVVVLSPDNFDKIVLDETKDVLVEFYAPWCGHCKALAYTYEKVAAVFRLEEEVVIANVDADEYKDLAEKYGVTSYPTLKFFPKNNKTGEDYVGGHELDDFVAFFNEKCGTNRDEQGRLTAKAGIVASLDDLVKEFVVADGDEKKLLFSQLEEEVQILKGSAARHGNLYLKLMKSSMEKGEDYARNEIQRLEGMLQKSISAAKADEFTLKKNILSTFV >CAK8574262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:672808356:672809188:1 gene:gene-LATHSAT_LOCUS26622 transcript:rna-LATHSAT_LOCUS26622 gene_biotype:protein_coding transcript_biotype:protein_coding MQASFLHELVVGTPIIYDQFQKPNNRYLLDSASHQCQYPSKHQSICRASSNQNKRSRKADSNSEISGRLRTNITETIKRKLSLGARILQMGGVEKVFMKYFSLSESERLLKVCHCHLSTTSGPLAGLLFISTEKVSFCSERSIKVFNQKGQMCRIRYKVSIPVKKIKSVRQSENVEKAREKYINIVTVDNYDFWLMGVSKYHKTYKYLEQTISQA >CAK8568779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:640083022:640084663:-1 gene:gene-LATHSAT_LOCUS21704 transcript:rna-LATHSAT_LOCUS21704 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWVFGYGSLAWNPGFEYDEKIVGYIKDYRRVFDLACIDHRGTPENPARTCTLEEKEGALCWGVAYCVRGGPEKEKLVMQYLERRECEYDQKTLVNFYKEGDSLNPALTGVIVFMSTPDKVNNKYYLGPAPLEDMARQIATAHGPCGNNRDYLFLLEKAMHNLGHEEDYVIELANEVRKALGVDNVVPNDKKLVGPAQLQHQPHVPISTLPLNPLPEPIALDS >CAK8565660.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:300876866:300879256:-1 gene:gene-LATHSAT_LOCUS18851 transcript:rna-LATHSAT_LOCUS18851 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNVVVSNMELERLLSMKGGKGEASYANNSQAQAIHAKSMLHLLKEALDGVELHAPNIPFVVVDLGCSCGSNTINVVDVIVKHIIKRYEALGCNPPEFSAFFTDLPSNDFNTLFQLLPPMANYGTSMEECLAANNHRCYFAAGVPGSFYRRLFPARSVDVFHSAFSLHWLSQVPESVEDKRSNAYNKGRVFIHGASEITANAYKKQFQTDLAGFINSRSIEMKSKGTMFLVCLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVQEGLISNEKRDDFNIPVYAPSLQDFKEVVDADGSFSINKLEVFKGGSPLVVNQPDDASEVGRALANSCRSVSGVLVDAHIGDKLSEELFLRVEKRATNQGKELLEKLQFFHIVASLSFVQ >CAK8578101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:607240426:607241602:-1 gene:gene-LATHSAT_LOCUS30142 transcript:rna-LATHSAT_LOCUS30142 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILKTPALLQYILETSSYPKEHEQLKQLRETTIQKYGKMSVMNVPLDEAQFISMLLKIMNARKTLEIGVFTGYSLLTTALALPPNGKVIGIDMDREAYETGLPFIQKAEVEHKINFIHTDALSGLNALIDGKHEESFDYAFVDADKENFTKYHEVLLKLVRKGGIIAYDNTLWSGSVAMSEDDEMEDITKRKRKIAIEFNNYIANDTRVESTILSIGDGVTLCRVL >CAK8575275.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:67097506:67097819:-1 gene:gene-LATHSAT_LOCUS27549 transcript:rna-LATHSAT_LOCUS27549 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIGTILLFFLCGAILLGDNLKTVDAKICPQFCYDDRSYMTCPSSGNQHLTPVCNCCLATTGCTIYRDDGTPICTAD >CAK8571688.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:462010047:462010496:-1 gene:gene-LATHSAT_LOCUS24327 transcript:rna-LATHSAT_LOCUS24327 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGRGGRGSNNMLPQPESNIPLIGDWTTIYKGRKMQQLPASSAKRKDIASSSSNKTTSYKEVAVNNPPQEQMDYFENPVTEKIMYIDDEDIKINLNDGWSIKIRYLESRGYPGLHGKSRPNLEILLTVTGNNYSPLPKQ >CAK8579693.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:717016002:717020380:1 gene:gene-LATHSAT_LOCUS31619 transcript:rna-LATHSAT_LOCUS31619 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIKHRQKAKSKTKKRSESSSSAPQVPVKVWQPGVDKLEEDEELQCDPSAYNSLHAFHIGWPCLSFDILRDTLGLVRTEFPHTVYFMAGTQAEKPSWNSIGIFKVSNISGKRRELVPKRETDDSGMDDEDSDSEDDSDDEEEGGATGPTLQLRKVTHEGCINRIRAMAQNPHICATWAESGYVQIWDMSSHLNALAETETEGVQGVDVVQAPLQKFKHKNEGYAIDWSPLVPGRLISGDCNNSIYLWEPTSAATWNIETTPFTGHTGSVEDLQWSPTEPNVFASCSVDKTIAIWDTRGRSPAASFKAHNADVNVLSWNRLASCMLASGSDDGTISIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASSLAVTSSDNQLTIWDLSLEKDEEEEAEFKAKTQEHVKAPEDLPPQLLFIHQGQKDPKELHWHTQIPGMIVSTAADGFNVLMPSNIQSTLPSDGA >CAK8573414.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611047978:611048199:1 gene:gene-LATHSAT_LOCUS25861 transcript:rna-LATHSAT_LOCUS25861 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHTLFILHFFLFITFILPSLSLLHKTSHSLVMLLSQTPPLVSPNKNSPVFLLQLLEREEPSTSTLFVFLIP >CAK8562252.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:462180223:462184445:-1 gene:gene-LATHSAT_LOCUS15764 transcript:rna-LATHSAT_LOCUS15764 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLMHALMKMAGIRPYTVEIEPGTKMSFWVPSETITKPKKKEEKPKLIAKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYAVYVPDLLFFGGSVTDKTDRSPRFQAECLAAALRKLGVEKCILVGFSYGGMVAFKMAEMFPELVEAMVISGSILAMTDSISVSSLQELGFSSSSELLLPNSVKGLKTLLSIAAYKKLWFPNRLHKDFLEVMFTNRKERGELLEGLVISNKEIDIPNFSQRIHLLWGENDQIFKLELAQNMKE >CAK8567205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:494680008:494680541:-1 gene:gene-LATHSAT_LOCUS20276 transcript:rna-LATHSAT_LOCUS20276 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNLKSSNVLLGSDFESCLSDYGLNVFLNPKTIDEPSATSFFYRAPECRSFQRPQPQSADVYNFGVLLLKLLTGKAAYQDLVQAHGSYIPIWVRSVREEEIESRDDPASSGNEVNIAMACVLVRPENRSTIRKFLKMIRDARGETHVSYNNSSDHSPGRWSNTVQSLPRDEHLNI >CAK8540364.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:554691271:554691783:1 gene:gene-LATHSAT_LOCUS9374 transcript:rna-LATHSAT_LOCUS9374 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTETNVVGGVPKIIQEELLVQIPNEDVQPVVNLNNDQMSAYNIIMNTIHQKQGQIFVVDGLGGTGKTFLYQTIMVNLRRNNEIVLATTSSGIAATLLPGGRTAHSRFGIPIDIEPHSICKIAKNSDLAKLIRITNAIIWDEAPMINKYCVEALDRSLQDIMNNNASFD >CAK8578183.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:611334643:611338519:-1 gene:gene-LATHSAT_LOCUS30218 transcript:rna-LATHSAT_LOCUS30218 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKNNHDENKGKKKMKNKDKTNSKSDKSLHNNDNVIEDPRFSSVHTDPRFREAPRHQTKVSIDSRFDRMFTHKSFMPSSAPVDKRGKPKDKANSQQHSLRHYYKIDKDEKKKVEHSSDEDNDDDEEEEEEGLVKVDSAKPEIESGIESEETSESEPDDIDLDTDEDTDVDTDTDGDVDEKDYEEEAPEIQEEIAEIEKETHRLAVVNMDWRYVKAVDLYVLFSSLAPSNGLIKSVTIYPTEFGLQRMQEEEVKGPVGLFDDENETSDEDDNGDSDADNEKLRAYEKSRMRYYFALVECDSSATADHIYKENDGVEFEHSSNPLDLRFIPDNMEFKQPPKDVATETPANYENKDFYSRALQHSKVELTWDEDEPARANTLKRKFNDEQLAQLEMDELVASDVSESDDSEDNNETVGHADKKARKKEMYLDLLGNNSDKDDEEDGVQDMEVTFNTGLEDISKHIMEKKDKKSKSVWEEYLRKQREKKRARKNKSKYSSDDDDDSDNTDQQAAGEADDFFMEEEPDITKKKTKKVESKKDKDQKLKDMDGVSKEELELLVADDKGTDTGLKGYNLKFKKGKGKKTEGVIDEGKIPNSAYDDPRFASIYSPDFAIDPTDPQFKRSAVYARQLAQKQQKGHMELPPEREHPKFPKETKLSSGDSGMVQKVEEEGLDDLKSKKDKLELSSLVKSIKMKSKQVQLSSNGKTKKDGKSQFKGREKRKH >CAK8533040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:569215638:569216159:1 gene:gene-LATHSAT_LOCUS2692 transcript:rna-LATHSAT_LOCUS2692 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKSSSPDGFPIGFFQKTWEQTSENICTFIQKTWQCLKIGNKDCRIFHLMFDNDHILFGVATESQIQVVMNTLSKFCYSFGQMINRDKTCIMFFGNTPLSTGRLLIAKAMIKALPTYTMMIVEIAKAYIRKIHQRPCTFIWGALVRKSISTLLIGALHVSPRCKAGLACVI >CAK8560662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:34931188:34931712:-1 gene:gene-LATHSAT_LOCUS14320 transcript:rna-LATHSAT_LOCUS14320 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPLNFTQKGDVAVKTVTPENSAANSGNVRGGTRHPVYHGVRKRRWGKWVSEIREPRKKSRIWLGSFPAPEMAAKAYDVAAYCLKGRNAQLNFPDEVHRLPLLPPPSSCTARDIQTAAAKAARMMVTKAENDTIDSGGGDDFWREIELPELVNGTWWTYSVNVAAVPEIELP >CAK8535595.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:865288129:865288821:1 gene:gene-LATHSAT_LOCUS5038 transcript:rna-LATHSAT_LOCUS5038 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIQMSFRVVVRERKRIKSDEYRPHGKKDICITNILLVKSNSTICTCYEWFGTKPWAGILSLFLFTCFSLSLSHFTLYDFELPTIYHSLQEKRVQGISLHEPAHPNCTVAVVVLERTHGGFLQQLPPVGCFRHGSSSGVGENTWRVFAATTTCAWFRVYGW >CAK8565152.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:49175021:49175326:1 gene:gene-LATHSAT_LOCUS18387 transcript:rna-LATHSAT_LOCUS18387 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGHVHCHKDQMSGEKINVRVENDLKVDTVLILHCRSSNSDLGEKTLHSGQFVEWSFNANANNIILYSCEIKWNNKQQNFIVYESTKDKTTCTSKCHRSI >CAK8570474.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49064701:49065891:1 gene:gene-LATHSAT_LOCUS23220 transcript:rna-LATHSAT_LOCUS23220 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYDEFKEILKIQKLRRSVSFAGFYGFTTLIIYAYVNNTTRAGYSRADQYYASYPAGTELLTDTAKLYKAALGNCFESEEWGSFEFCVMQKHFERQGKSPYAYHAQYMAHLLSHGQVDGSE >CAK8575253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:61374127:61375626:1 gene:gene-LATHSAT_LOCUS27532 transcript:rna-LATHSAT_LOCUS27532 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGVVEILLAIILFTAIHYWKLNINAPITKWPVMGMLPGLLYNISNIHDYINSILKQNGGTFIFEGPWLTNMNIVFTCDPMNVQHITSTKFENYGKGNDFREIFEVLGDGIFRSDSHIWKYNRTLLHSIFKQESFQVFIQKTIEKKVYSHLLVFLDHACKKGVQVDLQDVFQRLTFDNICCIVLGFDPICLSIDLPEIAFERAFSQAEDTLFHRHFRPKFWWKLQKWLRVGEEKKFIEYQKILDEMLYSEIKSKRDIQNQYQQKPNLLNTIMNEVKDGENLIDDKFLRDTAINLLAAGRDTISSGLTWFFWLVATHPFVEAKILDEIKEKLPSKEDNNKKDLGVEGLSKLVYLHGALCEALRLYPPVPLEHKSPLKSDVLPSGLKVKENTMIMYSLYSVGRVEEIWGEDCLEFKPERWISNKGGIVHVPSYKFIAFNAGPRSCLGKSISFIEMKMVAVAILMNYHVQVVEDHPIIPSVSVVLHMKHGLKVNIKKRSI >CAK8576647.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:500686404:500689739:-1 gene:gene-LATHSAT_LOCUS28821 transcript:rna-LATHSAT_LOCUS28821 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYRVFTCQSCNFVSEAPESDGFFFCSNCGEQNREAIDTGAEEEAAGGVYLASHQRRASAHSEGIHVLPISQYDPLSQSTLLSSLRLVDPPVKVKQENVDPSQSLFDEASPSTPADFGGSNVPSSEDYHNEIRLRYVLGLQIMIELQCEALVKEFKVTPLVCGLVGPIWLRFVSKTGVFDEDWANKVIDDSEMQKEGEPEDYNSRGKYKSEPHNIFGQRAVFLWFRSLKNRIPLVSTIAVSYLACHIAREAIMPSDMIKWVQEGKLPYLSAFVEINRRMGNPSSACPISSSYMFRPHRALSVHKLESYASSIAQFIGLELPPVNFYALAYRYLEKLSLPVEKILPYACRIYEWSMPPDSWLSLSKDYFRLPTHVCVVSILVVAIRILYNINGYGEWEKSLSRNDSAKDSAKNPADHQKHNLDCAGLLRHLHAIYNEIADTHEYSKDLPAYLKYCKDVVFAGAEPSLGNHEEKNMIKNLWEHYQNEENTKSSHSNGGCVADDLPGSLSDTNSSKSLPDGEAVIRQLKLDMEENRFCYIPPNVKRTKLDYVHYVRKKDKGALSYVAHADYYILLRAFARVAYDNDIRILHIGVLSLERRLVWLEKKIGQCLHLKPPNSSCQYCTLRPTENGADDGSEHRT >CAK8577760.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:586698911:586699320:-1 gene:gene-LATHSAT_LOCUS29834 transcript:rna-LATHSAT_LOCUS29834 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEEEKNQLLVHLKHILNAGSVCFLESFVYIDQQWLTFLVLLYRATCFGGPVSFSWHLQQQNVVVFWWSCFFLVASAVSSCFVLVVLFFSAAAKSVSGSKSSCDTSAVLP >CAK8535394.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845917222:845918514:-1 gene:gene-LATHSAT_LOCUS4859 transcript:rna-LATHSAT_LOCUS4859 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVSGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDESSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGIEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8541497.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:205701746:205704964:1 gene:gene-LATHSAT_LOCUS10414 transcript:rna-LATHSAT_LOCUS10414 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVIFAEGVMAFLMLVKIGPLRDLVMKSLDQLKMGKAPATVKTIAGTMFVILLSSLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLLGFTLFLGFLIDRMHHYLQKLINLRSNMGASKEKVENLKKETVELKEKEERASKEIKQLKKELSTLSEGMKKVKTESEEKDKRVETAEAHVASLQKQAADLLLEYDRLLEDNQNLQAQAMGHKS >CAK8568176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:580051128:580054494:-1 gene:gene-LATHSAT_LOCUS21165 transcript:rna-LATHSAT_LOCUS21165 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAATNAYSWWWVSHIRTKQSKWLDQSLQDMEEIVAETLKIIDDSGDSFAQRAEMYYRKRPELINFVEEAFRAYRALAEKYDHLSKELQSANRTIATVFPEQVHYRIDEEEFEDAESGFYETISSSPNTNNQTEKQCNIPKPPSIPKKVFRSQSMLLSRKGTIKRSLSTAKSVSNLPVQSSGLSEVEALAEIDKLQKDILAMQTEKEFVRSSYEHSYEKYWEIEDKITGMQKRVYALQDEFSISTVIEDNEARALMAATALNSCKETLNKLKEVQSQSSEEAREAYQKVKEAHYKFENLRGNFISKQTNPQDEETDSKRKDEEEIVLSLEEDTLEHDIGMLQERIKEKLEEEDSGNSLTMKKVAKMIDELVSKVVTFETKVNSHNGLVKRLISEADELQTNVQTLEEDNEVLIEDSENSNKRMKELEDELKRVKTLNQSVKSQDSNLQTHFHEASFNLEHLSGRLKNVKLDEEEENSVLNKNTSFSDGKLSDDLEIVNDVMITNAEKEDHDANIDEVVNKDDKTEEMPELTGQDKDGLSETTSNVEVKPLDLEPEEEKDQTNLNQMLVNGSDDREKIMMEEYTSVLKNYNDVSDKLNNVENKNRNSIFELSLQVRELKNAVATKDEEIHILNKKLTSSEPNSDESPRTTLSEEAPLGNADQGDNTQGPETLSSDIASTSVEEQQQAVENTGDIEESSVGRTRLIIVREKHIDKPHSLSPLERKLRLAIDDLLEENLEFWLRFSTSVHQVQQFQKSLLDLKAELRKTKYNNFFSESKISSKVIQSEIKPIFMHLREIRTELSLWLEHNEVLQDDLQARHPSLCSLQDEIASAANPDSASSKRVELSEYQAAKFQGEVLNMKQESNKVSSELQEGLSYVSGLKYEVEKILEELSQIMGVNNPDHMKRSSSRAKLPLKSFLFGIKLRKQKQSMFACVNPTLQRQYSDITAANDAPI >CAK8571659.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:457169991:457188576:-1 gene:gene-LATHSAT_LOCUS24300 transcript:rna-LATHSAT_LOCUS24300 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDWYLKIGVASALVGASMEFFMVKTGFYDKVTVLESEKRAWENSPDAQAVRDALNPWRHVDPKETNKS >CAK8535782.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:881042284:881045650:-1 gene:gene-LATHSAT_LOCUS5205 transcript:rna-LATHSAT_LOCUS5205 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQSKIENEESIMRCKERKRFMKEAVSTRNAFAAAHSAYTTSLKNTGAALGDFAHGEVQNPGLIPTGAGGGGADNSYVTGPPQKPFEIPLPPPPLPDFSSSPLQRAASMPEIKISKPDPRPMSKTILEEEEEERELENEGSLRRRRSNRNTGGGGGGGGGGGVNSNRRLEDEDVAPPPMPPPPAKQQPIRDHVGNQGHHHHTMSQPQQNSAAWEYFFPSMENIAGTRLNEEAEEEHGHTLHKMEHIARPNRVHVMEEVVATQRGVGVGVGVDVDVEVPLPSHEPEHIPEPEVMMESPMESPMQSGLKVKQMPVTPPPPPTEAKRIVKHSSVNLLQIFADLDDHFLRASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFKGIPNLDDGKDDFDSDEQETHATILDKLLAWEKKLYDEVKAGELMKFEYQRKVATLNRLKKKGTNSEALEKSKAVVSHLHTRYIVDMQSLDSTVSEINRLRDEQLYPRLVQLVNEMANMWKKMLSHHEKQSETVTLLRSLDPSQSPKQTSEHHHERTYQLLVVVQQWHSHFEMLVNNQKGYIKALTNWLKLNLVPIESSLREKVSSPPRVQSPPIQGLLRAWNDCLEKLPEELARTAIGNFAAVMDTIFNQQEDEMICKRKCEDTRKELARKTKQFEDWYHKYMQRKMPEEFDPEKPEDANAPDEAVTERQLLVEQVKMRLEEEEAQYEKQCLQVRQKTLGSLKNRMPELFRSMCDFSLECSKMYMHLSSISQHLGQNSS >CAK8573409.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:610250691:610251569:-1 gene:gene-LATHSAT_LOCUS25856 transcript:rna-LATHSAT_LOCUS25856 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGVVRPKIQAEARRKGLERTIITQATVVQEDEIVNKEKQDSTSVIPEELLTPPPQKEGEGMLETTNFEPKQALEKENETQQKPWADVIKGNRSLNHGMMMEFVAPTIVNGEVEIEIEEADVADELEFWENSIILSALGETLSMNAVKKFMEMSWNCVALPELYYNEEGYFIMRFRSKEDNESVMAQGSYFIYGKPLFLGQWSTAFEMREDLLRVLPLWIIMPNLPLHLWGKEVSLRSQALSVDQSQRMNAQQRKFESCILGFWWNLTSHKNLVKWCTLRITKEKFWSRK >CAK8574525.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:1592251:1594751:1 gene:gene-LATHSAT_LOCUS26865 transcript:rna-LATHSAT_LOCUS26865 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQTRNFTAPCFTPKTPTPTTKISTHPSNSISFRSTKCSVSTQLELKTDNKITKPFPAEVSRTIMDLATAGTLSTLTQRGCPIGIGVRFAVDSENGNPFFYFNHNSIPTANDKNGTPSSLHVKFLQSGLRTPQCTLQGTLTKPQDPALIKRLVSLWKKRFGEEVDQDFMYIIDVDMVLHLDDFQEDGVWFSSLDYNDAQPDPLREFAESLVAEINTNNMEDITRFCNVYVDLDFQVSEAKMIWIDRLGLDMRLFSPNNGLFEVRIPFPREVTDEKGAKSTFNCMSQLAWEVERNFHPLDFEKVTQLKRIKS >CAK8543708.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633036006:633036638:1 gene:gene-LATHSAT_LOCUS12449 transcript:rna-LATHSAT_LOCUS12449 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDYIEKDRSRGIYFTQDWVSLPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPRGNAPGAVANRVALEACVQARNEGRDLAREGNAIIRQACKWSPELAAACEVWKEIKFEFPAMDTL >CAK8572898.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:570328252:570328980:1 gene:gene-LATHSAT_LOCUS25404 transcript:rna-LATHSAT_LOCUS25404 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNTERIQELHLSMAPQVQHQTLDTNTITKINNHPSSSKSVRRPCGRPLGSKNKVKTSITETRDNVLYSHKLEITSGSDVVSSLFGYVHHRGKGIFILSGKGAVEKVTLRQSTGKVVTLQGRFQIISISGTIHSQTMSGDAGGLMINLSGTTRQVVGGIVIPPLKALGSVVLLVASFENSESERVSLVTNEKDMPQLFNDRRSTNGMNNMMNIDLNFPSSSGQGCSTATATSPSKSPASS >CAK8579314.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691169142:691171452:1 gene:gene-LATHSAT_LOCUS31268 transcript:rna-LATHSAT_LOCUS31268 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDSSFNFNHKNYLIKLLLTVFFSVLAFRLLFFHSLPPPISPLVDEPLISSPSEISQQVFENEEDKVSPTDTGKCNYFDGDWVRNPLGPMYTNETCDLIESHQNCMKNGRPDREFLYWKWVPRDCELPMFDPQRFLDIMRGKVWALIGDSISRNHVQSLLCMLSKVEKAVLVYHDEEYKSKIWNFPSYNLTMSVIWSPFLAEASIFEDINGVSSSDVQLHVDKLDSKWTDQYLNFDYIIISTGKWFVKSAIYYENNTILGCHWCTINKNYTEVGFGIAYRKVLTNVLDFISSSDHKGQILFRTSTPDHFENGEWFSGGTCNRTEPIKEGQMEVKNLLKILRDVELDEFEKAASKASKNGVNLKLLDLAPLSLLRPDGHPGPYRQFQPFAKDKNAKVQTDCLHWCLPGPIDSWNDIIMEMVVSG >CAK8543712.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:633326004:633326664:1 gene:gene-LATHSAT_LOCUS12453 transcript:rna-LATHSAT_LOCUS12453 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISEDKGKQIMLPDGEDTEDDDLPPTFPRRIIRALVVHNDDRIRKIYEIMLERLGVETGVVKTSQEAIKTICVEEVYDLILLDRHFPVIDGVQMTKMLRDLEYPTTIFGVAHPLTELQREEFFRAGLDGCVDNETPLSDESLASIVESIPLCQTWKKRNLYSTIYKSFHHPSASFSPGSSCTSRDFSKKD >CAK8536403.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:938268185:938269431:1 gene:gene-LATHSAT_LOCUS5775 transcript:rna-LATHSAT_LOCUS5775 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMRSGFFLFMTMLFLVSSLCSGYKFNVGGKDGWAVKPSAGYAKWAHSLRFQINDTLYFKYDKAYDSVLVVNEKDYDLCNAKNPIKKLEGGESIVNLDKSGSFYFISGNVENCRKGEKLTVAVYGYKDHGSAISPWVAPVHSPAPSPKAPAPGWKAPAPGYKAPLPSAPGWKVPSPAPGWTVPAPGYKAPSPSAPGWKVPSPAPAWKAPSPGYKAPAPSAPGWKAPSPSWTAPAPGYKAPSPSAPGWKAPSPSWTAPAPASKAPAPGWTAPAPGYKAPSPSAPGYKAPSPSWTAPAPAWKAPAPGYKAPSPSAPGLNAPSPSWTAPAPGWTAPAPSPGWIAPTPSAPLRNAPAPSPLSSGSTNLSVSHGVIVTVALVLASFVF >CAK8571179.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:326164034:326167566:-1 gene:gene-LATHSAT_LOCUS23868 transcript:rna-LATHSAT_LOCUS23868 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHVGSHLHPHSHPASTFFFTITTPSTQSTTLSPCSFTFPSFSSSSSSSISISPSSPSSIPLRHEEGRSQDDDPVSYLHLQPVSSEDNFDRVVAEAQHQQHALLVVWMASWCRKCIYLKPKLEKLAVDYYPRLQFYSVDVNAVSHKLVARAGVTKMPTIQLWKDSKKQAEVIGANKTYLVINEVREMIENESTM >CAK8539167.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:505878632:505880400:-1 gene:gene-LATHSAT_LOCUS8287 transcript:rna-LATHSAT_LOCUS8287 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEELRAAAIKGETEKVTALIESGADVTYFDGDGLTPLMHAAKHGYAPILDILISAGAPWNALSPSNLSAGDFAMQEGHQEAYELLLNAGIRSELVLGTIARKEKKNTDSGYDYLEDRVSFSEDKVMDSESKAVMMAWEKPLMEAHAKAVCLGGGHVLNIGFGMGLVDTAIQQYSPVKHTIVEAHPEVYERMLRDGWGQKENVKIIFGRWQDVLSQLETYDGIFFDTYGEYYEDLREFHQHLPVLLKPDGVYSFFNGLCGGNAFFHVVYCHLVSLELENLGYSTQLIPLPVKDCLGEQVWEGVKHRYWQLDTYYLPVCQSAEDSQ >CAK8535822.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:883700937:883702113:1 gene:gene-LATHSAT_LOCUS5242 transcript:rna-LATHSAT_LOCUS5242 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLQHHDDTSSLNFKETELRLGLPGSQSPHRKSVGEVSHNFNKNINVCPSLNNLVSSVGAKRGFSYAIDESSPKNIKEVLHQPVHEKNKHVSGTNTSKTQVVGWPPIRSFRKNSMASNSTKNNDEDERKSGFGCFYVKVSMDGAPYLRKIDLKIYNNYKDLSSALENMFTCFTIGQCNSPGFPGKDGLSESSLRDLLHGSEYVLTYEDKDGDWMLVGDVPWRMFADSCRRLRIMKGSEAIGLAPRAMEKSRSQK >CAK8532382.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:253172614:253173270:1 gene:gene-LATHSAT_LOCUS2093 transcript:rna-LATHSAT_LOCUS2093 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSLILSFLLFTSITNLPSNNAAPSQVVDTNNNPLIHGNQYFIFPATDKPSTGGLTLNKISDSECPLTVLQNNAIAGIPVKFTIPESTTGNILTGTDLDIEFTKKPNCAESSKWLLFVDNTTQLNCVGIGGPENYHGVETVGGKFLIAKHGSGGVYRLGFCLDSTGDCGYLGLKKFGSEEGGARLVLTVTNAYSVVFVDAGFVKSVAGGEISNMS >CAK8544882.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:710294684:710294914:1 gene:gene-LATHSAT_LOCUS13527 transcript:rna-LATHSAT_LOCUS13527 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFGYTQTISRHPNVFVPLGLTRMQIKEIYADYQRHMVSDESRPTRAPRDWSCVDGYIMWFFTVSHPHIVPTAEG >CAK8571492.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:430240779:430242684:-1 gene:gene-LATHSAT_LOCUS24153 transcript:rna-LATHSAT_LOCUS24153 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLLTHQHNPFLTSNLFTRTHKKLHNKNSNRLLPCSCSHSSSNNASVEPVTVSSQIEGRRALLTCLLTTFAGVYACDVAEAVSTSRRALRGAKIPESDFKTLPNGLKYYDLKVGNGAEAVKGSRVAIHYVAKWRGITFMTSRQGMGVGGGTPYGFDVGESERGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNAIIEVDVELLAIKQSPFGTAVKIVEG >CAK8540007.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:535596086:535596472:1 gene:gene-LATHSAT_LOCUS9049 transcript:rna-LATHSAT_LOCUS9049 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAGSAAKAVAEYQYLWREKLAKYKDELAKGVWGYWELGAWKLLSISAQHRARLRKEVLLAGEDWTFDPERKEMKTRRKGHKVDRIAAEKRENTARLMEKMLDMLLDYKKKKWQKKMKEEDKGKL >CAK8536120.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:909054184:909054721:-1 gene:gene-LATHSAT_LOCUS5519 transcript:rna-LATHSAT_LOCUS5519 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKGGGPRKKAVTRSVRAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRISPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRTESATTAPKSPSKARKSPKKA >CAK8544840.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:708431947:708432477:1 gene:gene-LATHSAT_LOCUS13488 transcript:rna-LATHSAT_LOCUS13488 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTQSNPSTLEAIIELFDRYSLCFGQVCNPAKSIMFASSMVVVRYGFLASKIGFKMGFLRFLYLGAHIFKGRPKASYFQPVVDKIRIKLAAGKASLLSIAGRVQLVNSVIHNMLLYSFRIYSWPVSLINQIETRCRNFIWSGNIKKRKMATVAWKSCCQDLKDEGLGIRSLHITN >CAK8567631.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:532661491:532664611:1 gene:gene-LATHSAT_LOCUS20667 transcript:rna-LATHSAT_LOCUS20667 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFDIESKNSATEMNEDEECPVKQVELTVSKTDDPTLPVVTFRMWVLGILSCVVLSFVNQFFWYRTQPLSVTSISAQIAVVPLGHFMARVLPTCVFFKDTRFEFSMNRGPFNIKEHVLITIFANSGAGSVYATHILSAVKLMYKRKLGFLPALLLMLTTQVLGFGWAGLFRKFLVEPAEMWWPSNLVQVSLFSALHEKSKRPKGATTRTQFFLLVMISGMAYYVLPGYMFSMLTSFAWMCWLAPKSILVQQLGSGMKGLGIAAFGFDWSTISSYLGSPLASPWFATANIAVGFVIIMYVMTPIFYWFNVYNAKNFPIFSNKLFMGNGSIYDISTIVNSDFHLDRDAYAKNGPLHLSTFFAMTYGLGFATLSATIVHVLLFHGREIWAQSKSAFGAGRKIDIHSRLMRKYKSVPMWWFHIILVVNIALIVFICEYYNESLQLPWWGVLLACAISILFTLPIGIISATTNQQPGLNIITEYIIGYMYPERPVANMCFKVYGYISMSQALTFLADFKLGHYMKIPPRTMFMAQMVGTFISVLVYTVTAWWLMGTIPDLCDTSKLPSDSPWTCPMDNVFYDASVIWGLLGPRRIFGNLGEYSNVNWFFLGGAIAPFLVWLAHKAYPGQTWIRLIHMPVLLGSTSMMPPATAVNFTSWIAVGFISGYIIYRYKQDWWKRYNYVLSGGLDAGTAFMTILLFLTLGSNNINLNWWGNNVEGCPLAACPTAKGIKVQGCPVL >CAK8573783.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:636569359:636571859:1 gene:gene-LATHSAT_LOCUS26189 transcript:rna-LATHSAT_LOCUS26189 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFLFLWLTSITSTSSLSPTYNDIYCPNNTTFESNNNTILKRNLNVLLSSLVTNATLGGADFYSTFMGLGTTNVVNGVFLCRGDVNSTTCQNCVTTAATDITRLCPNKTESIIWFDECMLRYTNRYFSPTSIVPRANLKNERNITTSKLDTFNGMLLSFLDRLAREAANSQTAKQFATGEDNFNLSISSSSVYGLAQCVPGMTNVQCEECLVNASRTLLTCCEGKQGARALLAWCNIRYDFYKFYNATDDSSSLPPPSSGKNKSGSKTRTVLIVVLVTGSTILLCLGCYFLIRRSAWEKYKTLLKENFGDESAALQSLQYSLTTIEAATKKFSSENKIGRGGFGEVYKGILVDGRQIAVKKLSQSSGQGGVEFINEVLLIAKLQHRNLVTLIGFCLEDQEKMLIYEYVPNKSLDYFLFDRHKARLLHWFERYKIIEGIAHGIHYLHNHSRLKIIHRDLKPSNVLLDDNMNPKISDFGMARMVALDQDRGSTNRIVGTYGYMSQEYAMHGQFSEKSDVFSFGVIILEMISSKKNARSLLSDNTDDLLSYAWKQWRDQTPLELVDQDIKESCNHNEVVKCIGIGLLCVQEKPDDRPTMATVVSYLTSPSAELPFPREPIESVHSGILQNVVTGGLSSTSISTFNEITSSVSSAFSASA >CAK8577810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:590102035:590103438:1 gene:gene-LATHSAT_LOCUS29881 transcript:rna-LATHSAT_LOCUS29881 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVFGRLNQLFKRVSSVNKSAGPFDALLCVGQFFPDSPELLDDFMSYIEGASHIPLPTYFIGDYGVAAPKVLMAATKDSANRGFKMDGFKVCDNFYWLKGSGKFNLFGLSVTYLSGRKSSNVQQFGTFSEDDVDALRAIAEEPGVVDLFLTNEWPSGVTNGAAASDIPAEFSESSGSDSIISELVQEIKPRYHIAGSKGIYYAREPYSNVDAVHITRFIGLASVGNRDKQKFIHAISPTPASTMSSTEIAMKTTNTTLSPYTSTKEKASPNDTAKRPGDSDSQHWRYDVSQKRQKHDAGDKLCFKFVSSGSCLRGDTCNFRHDTDAREHCLRGVCFDFLNKGKCERGPDCRFRHSLQDEGDKHPSRKPGSENTRSSRSRECWFCLSSPNVESHLIISIGENYYLALAKGPLVEDHVLIVPVEHMPSTLSLSSESEAELIRFQNSLKRCFKNQEKEVIFF >CAK8575181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:47128632:47134093:1 gene:gene-LATHSAT_LOCUS27466 transcript:rna-LATHSAT_LOCUS27466 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGRIGGKTKLGYVETDPSGRYGRFKDVLGKGAMKVVYKAFDEIVGIEVAWNQIKLDDVFQSPDQLQRLYSEVHLLKNLDHDSIITFHGTWIDIDRRTFNFITELFTSGTLREYRKKYPRVDIRAIKNWARQILNGLMYLHSHDPPVIHRDLKCDNIFVNGHMGKVKIGDLGLAAILRGSQHAHSVIGTPEFMAPELYEEKYNELVDIYSFGMCMIELFTSEFPYSECSNPAQIYKKVTSGKLPEAFYKIQDIEAQEFVGKCLANVSKRLSATELLLDPFLATAQHDQSPLLSPTSPQKSNFNAIIAKEELSLNDQRKNTFMTITGSMNEEDDTVFLKVKISNNKGNTRNIYFPFDTIKDTAIEVANEMVKELGISDLEPLEIAEMIEEEISTIVPTWRDCDDNSKYEKQHSFNYGEEDDNHHPFFSPPSRSSSHGSLPMFCPSFNNHASLCGNHYPLAQDWSQDDHQYMNDDTSSQTSMNSIKCFNFHCNDSCNEDEHDSTLQVEEESFCCNPKSNNKWTRFCPPKEMVETGFTKQFCNMKMDSHTQTHNHRNHHGTKNKCKRLTRINSCVDVRRQQLQRSLMEDMQKRRMFNTVDAIENVGFQNLEGDGCFSC >CAK8564662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:5681811:5682014:-1 gene:gene-LATHSAT_LOCUS17944 transcript:rna-LATHSAT_LOCUS17944 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFSLGSVLILIAIAASMVVLPLMLPPLPPPPLVLLFFPVGIMAALVVLAFSPSENVKNVVVHSS >CAK8566988.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:478110884:478113454:-1 gene:gene-LATHSAT_LOCUS20081 transcript:rna-LATHSAT_LOCUS20081 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTSQQIPIIWLLLLLLLSTTIFERCNSTQFGNCNEKDRSALLNFKHGVDSPSSNRLSSWSINEKDCCSWKGVQCDNTTGSVTSLDLHQQYLEGEINLHSLFQIQSLNYLDLSLNGFTTLSSFNDSDDNNHNLSNIQYLDLSFNDDLHLDNLNWLSKFSSLKSLNLSQINLQNQSNWFHTMDMLHGSLLELRLSSCHLTNIFPSIEHVSFTKSLVTLDLSANNFDSELPAWLFDLASDSNISHIDLSFNVLQGQIPESLLSLRKLEFLRLSNNELNGSIPDWLGQHQNLKYLNLAENLFHGSIPPSLGNLSSLVDLSVGSNFLTGNIPPTIGKLFNLKSLFIGGNSLSGVLSEKHFSNLSNLETLVLSAPISFDIDSKWIPPFQLQGISLSNTILGPKFPAWIYTQKSLQYLEVPNSRLLSIDGDAFWRFVANITQLNLSNNNISADLSNVTLNSELIFMDHNNFRGGLPYISANVIYLDLSHNSFFGTISPLFCRKIGRENSLDYLDISSNLLTGKIPDCWEYWKGLSFLFMESNMVTGELPPSMDSFIDLIILDLHNNSLSGNFSLDLSNLTNLEFIHIGENNFSGTVPVKMPHGMEVMILRSNQFEGNVPPELCNISSLIQLDLSHNKLSGPIPKCINKITGMGGEKKTSHYPFEFNLYAKGQELQYLDYGLLRTLDLSGNKLSGEIPTQVFSLVQLQTLNLSRNHFTGKIAKEVGDMKNLESLDLSNNELIGEIPATISTLSFLSFLNLSNNNLVGQIPVGTQLLTFDVSCYDGNPGLCGAPLPLCSNEPNPPGEHNDIDKENSFTQSLYFGMGVGFAVGLWSIFGSLYLNRAWRHTYFRFINHVLGSPG >CAK8561131.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:100101168:100102834:-1 gene:gene-LATHSAT_LOCUS14747 transcript:rna-LATHSAT_LOCUS14747 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTVKDVSPHEFVKAYSAHLKRSGKMELPEWTDIVKTAKFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFQRIYGGSQRNGSRPPHFCKSSGSIARNILQQLQNMNLIEMDSKGGRKITSSGRRDLDQVAGRIVIAP >CAK8563974.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:642925859:642926395:1 gene:gene-LATHSAT_LOCUS17326 transcript:rna-LATHSAT_LOCUS17326 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWLEYSPTNDALYCLLCYLFSKKSSGHLGVDVFTKKRFKTWRKVNAGKKCAFLNHIGVSHCSPHNNSFKSSQDLFNQSIHIRNVLNVQCADQVSQNRLRLKSSTHSIRWLTFQACSFKGHDESLGSKNRGNFLEMVNLLASYNDELAKVVLENTPSGTNSCRLEWGQVTPLDFPF >CAK8577906.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:596802739:596804481:1 gene:gene-LATHSAT_LOCUS29969 transcript:rna-LATHSAT_LOCUS29969 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAAVWDFRAATELTKDWNGIDQVVLRTPQGASARVSLHGAQVTSWRNVHGEELLFTSSKTVSSKTPKAIRGGIPICFPQFGNCGSLERHGFARNRMWSIDENPPVLAPNDSRGKSFVDLLLKSSDEDVRYWPHSFEFRLRVSLTTNGDLSLISRIRNINGKPFSFSFAYHTYLLVSDISEIRIEGLETLDYLDNLSQKERFTEQGDAITFESEVDRLYLSSPNIIAVLDHERKRTFIIRKEGLPDVAVWNPWEKKSKSMVDFGDEEYKHMLCVDGAVIEKPVNLKPGEEWTGRIQLSVVGSSFCSDRLGFDRCGI >CAK8565831.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:341441138:341445246:1 gene:gene-LATHSAT_LOCUS19012 transcript:rna-LATHSAT_LOCUS19012 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYLSGCSTTPFLQSQFEAQYHVKRTGTVWTAVAHIVTGVIGSGVLSLAWSIAQIGWIAGPLAILLFASVTLLSAFLLSDTYRSPDPESGPHRSSSYLDAVNLHKGAGNGRLCSVFVNICLYGFGIAYIITASISIRSIQESACYHSNGNEGTCYVKDTYNMLVFGAIQVVLSQIPNFRNIEWLSIVAAIMSFGYAFIGMGLAIDKVKENGHFEGNIRGVPTSTGMEKVWLVAQALGDVAFSYPFSVILIEIQDTLKSPPAENVTMRRASTISVIITTMFYLCCGCAGYAAFGNDTPGNLLTGFATYKLYWLVDFANACIVIHLVGAYQVYSQPLYANVENWLRFRFPDSGFVNCTYDLKLPLLPNFQLSPLRLCFRTFYVATTTVIAMLFPYFNQILGVLAGIIYYPLTIYFPVEMYISQGNIEPWTNKWIWLRTFSIVGFVVGAFTLIGSIQGIISAKLG >CAK8533170.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:586825764:586844155:-1 gene:gene-LATHSAT_LOCUS2817 transcript:rna-LATHSAT_LOCUS2817 gene_biotype:protein_coding transcript_biotype:protein_coding METLWNSDILKVEYTVFGWIAFVAWSTSFYPQLFLNFSRKSVVGLNFNYLLMNNTKQTLYLIYNASLYFSSTVQFQYRKKYGFDQMIPVAANDVAFSAHAVLVTSILLFQVVIYERGNQSISKITMGIITVVWVTAGVCFFIAFPSNSWLWLVTIFNTMQVLLASIKYIPQAAMNFMLKSTDGFCIGNVFLDFIGGISNFAQMVTQSIDQNSLVNFSGNLGKVLLSLVTLFFDILFMFQRFVLYPSNKTSLIPLSKLNDKVKEPLIKSPNQPLATNMHATENDLV >CAK8541225.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:91953835:91954695:1 gene:gene-LATHSAT_LOCUS10158 transcript:rna-LATHSAT_LOCUS10158 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYTQPRKRLIIKLKYPPGSRKHDSDSCGRDENKRRKIEDSVKPIITCYWVDSNYHTKSSALSQPKNNDNVVEDKKMIKNQVSKTTALAQPEDNDNVVEDKKMIKNKVFKTRALSQPKDNDKKVIKNQLSNTIMPNNIVVENKNQVSKTEIAFNGRKESSRGEPMECVKRRQCWLILKIMMVDRDGWDLKDPPKIAMIDKSELKSKAIGLKDIERKLRLYATPDEFASDIRLVFSNAMLMYPPRNHIYQIAKKFSENFEHKWKSLKDTWKLEDRKRSKTHKSTRY >CAK8544876.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:709958787:709961356:1 gene:gene-LATHSAT_LOCUS13521 transcript:rna-LATHSAT_LOCUS13521 gene_biotype:protein_coding transcript_biotype:protein_coding MERREECVKKMEEGLMVEKKKLVAGKKRGIIGRSSTPSPTWRLQFPSSQHNVQQEFLNSPTSKTLSARNLCAKLWEFHSHHQPGSARDSRRCVQASFGQPRRSVDRNGSAVQSVSASPASYRRSLEVMPENDVNPRSSLGQSSCNSKSSRELVKVLDRMRCLEEQHASNIATAKALINELDFSQAQVKELVQEKKMNRKIVESLMKQITEDKRVNEVKERDMIKDVIQSVKEEIEDERRLRKSLENRYRSLTKELSEVKSLFRASLRDLGREKKTNILLENLCDDFAKGVRDYEHEVRSVTHNANKNHIKDDSSLDRLILHISEAWLDERTQMKLLHDSRDNDLPQTHSIVDKLHVDIETFRRAKQSIDSSKHSNSSTKKVKEIYPCLYSLDSFKLKETITSPQSFSKEASIGTGIFEDKTNAEKGLNKLNAVKNMSCEIGRYSSTLLNAPSSRVSKFWETKQGVPESDGATTKRINLSQSLVGNSSMSSEGDKVYPESICREDSCVHSAVTVKGSPVKQWKTTCARGVKDNTFMAKLIEARLEGHKSQSNTRKSSF >CAK8573856.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642667451:642672247:-1 gene:gene-LATHSAT_LOCUS26257 transcript:rna-LATHSAT_LOCUS26257 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISENPLTSSRSEKDNNGVVPKRGKGRQISSRYMSPSPSSSSTTTTTSSSTTTTTTTTTTTTSSSSSSRRFASPLLTRSTNSPTPLVPKRSQSVDRRRPRPTTPVPEATKLLVTSTRSLSVSFQGEAFSLPISKSKAKAATPERRRVAPVAGKGDQGENSRPSDQHRWPARSRQPNQLSRSVDCSGGGGGGGGDGEKKKVVGKVVRALQQSMVMESGRRRASFDGLSGLSLDLGKTSTTQLNQPCLNLNSNSDVNASDTDSVSSGSNSGAHDSSLGTLKVPRENRGIVVSSKFWQETNSRLRRLQDPGSPMSTSPASRVSVQSKNSQLKRYNSDGPVLSPRTMASPIRGNARPASPSKLWASAASSPARGFTSPSKVRSAVASSINSNSGNSPSILSFSADVRRGKIGEDRIFDAHTLRLLYNRYVQWRFVNARADATFMVQRLNAERHLWNAWVTISELRHSVILKRIKLVLLRQKLKLTSILKGQILYLEEWALLDRDHSSSLLGATEALKASTLRLPLVEKATANVPNLKDALGSAVDVMQAMASSVYSLSSKVEETNCLVAEILKVTSKERYLLQHCKDFLSSLAAMQVKDCSLRTHTLQLSRVPSAS >CAK8573857.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:642667451:642672247:-1 gene:gene-LATHSAT_LOCUS26257 transcript:rna-LATHSAT_LOCUS26257-2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISENPLTSSRSEKDNNGVVPKRGKGRQISSRYMSPSPSSSSTTTTTSSSTTTTTTTTTTTTSSSSSSRRFASPLLTRSTNSPTPLVPKRSQSVDRRRPRPTTPVPEATKLLVTSTRSLSVSFQGEAFSLPISKSKAKAATPERRRVAPVAGKGDQGENSRPSDQHRWPARSRQPNQLSRSVDCSGGGGGGGGDGEKKKVVGKVVRALQQSMVMESGRRRASFDGLSGLSLDLGKTSTTQLNQPCLNLNSNSDVNASDTDSVSSGSNSGAHDSSLGTLKVPRENRGIVVSSKFWQETNSRLRRLQDPGSPMSTSPASRVSVQSKNSQLKRYNSDGPVLSPRTMASPIRGNARPASPSKLWASAASSPARGFTSPSKVRSAVASSINSNSGNSPSILSFSADVRRGKIGEDRIFDAHTLRLLYNRYVQWRFVNARADATFMVQRLNAERHLWNAWVTISELRHSVILKRIKLVLLRQKLKLTSILKGQILYLEEWALLDRDHSSSLLGATEALKASTLRLPLVEKATANVPNLKDALGSAVDVMQAMASSVYSLSSKQVEETNCLVAEILKVTSKERYLLQHCKDFLSSLAAMQVKDCSLRTHTLQLSRVPSAS >CAK8573633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:626364698:626365759:1 gene:gene-LATHSAT_LOCUS26062 transcript:rna-LATHSAT_LOCUS26062 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGVFETRNPDAYWIEDADLNSRFASPSFEQSGALFNEGAPEFVDQSMYYPAATNYGYYGTGFEPPGEWEDQQRIFGIEGPDVQYMGGQNENFPYVYYSYGYAQSPYNPYNPYIPGAVIGVDDSFCGEQSYYTLPNYQDPASSPSYVPLVQPDNFPYNSANSLFGASASVNRPDGRGLKHKFNSAYGNFSRNSSQLLSNQTSSLARVSEGPRANDGRKHASVSGSRFLNMASSAIHQDRSSDASVQPVSAISNGNAISHRNQLKVAASSESSHFASNPNGQSAVGKLRPKVDIFKVSNYGNGSTDVLGEQNRGPRTSRSKLLQLIFLFLFIISGHHCFSLLPNCSSGCTRV >CAK8562851.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:547759690:547763350:1 gene:gene-LATHSAT_LOCUS16315 transcript:rna-LATHSAT_LOCUS16315 gene_biotype:protein_coding transcript_biotype:protein_coding MFTATKVAFTLTPPRPCSTPPPTPFVPISSSLSRPHLIHFNSRHLCLRRRLFLLSPKATADQQGKVEEFEGEAVDRNVLPYCSIDKKEKKSVGELEQEFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPILNDKEYDELKMRLKREGSEIVVEGPRCSLRSKKVYSDLSVDYLKMFLLNVPATVIALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIVYLALSLTRAIIKDFVILKGPCPNCGTENTSFFGTILSISSGGSNNKVKCSDCATEMVYDSTTRLITLPEGSK >CAK8543747.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:636018778:636025246:-1 gene:gene-LATHSAT_LOCUS12486 transcript:rna-LATHSAT_LOCUS12486 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLKPENALKRAEELINVGQKQDALQTLHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSVEDIHGLMCMVKKTPKPSLMTVYYVKLTEIFWISSSHLYHAYAWFKLFLLQKSFNKNLSQKDLQLIASSVVLSALSVPPHDRTHGASHLELEHEKERNLRMANLIGFNLETKPDSREVLSRSSLLAELASKGVMSCVSQEVKDIYYLLEHEFLPSDLALKVLPLLNKISKLGGKFTFASSVPEVHFSQYVPALEKLATLRLLQQVSNVYQSIKIENLAGMIPFFEFSVVEKISVDAVKQKFLSMKVDHMRNVVIFCKTSLEADGLRDHLASFAEQLNKARQMICPPDAQRSKHGGLLPTLPEVVAKEHKRLLARKSIIEKRKEEQERQLLEQEREEESKRLRLQKFTEEAERKRLETEVELRKKQRLKMEMEEKDKEEAQAILQEAEKRFKRKGKKPIIDGGVISKKTLVELALIEQHREKQEMEKKLQKLAKTMDYLERAKREEAAPLIEAAYQQRLVEERVLHEHEQQQEVELSEERHAGDLKEKERLSRMMGYKEIYQEKVVSHRQAEFNRLRREREERISRILQSRKQERDKMRKLKYYLIVEEERRQKLREEEEARKREEAERKKKEQAEHQAKLDEMAEKMRKRQQEIDEKIEREKREALLGRPTEPAMRPYEPPARPLESRPAVSVASASPAPGKYVPKFRRAGAEAAAAAPPEADRWANSGSRPDGDRWDRGTSFGGGSRSSSSWSSSRNSRDRP >CAK8534013.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:681754394:681754705:1 gene:gene-LATHSAT_LOCUS3589 transcript:rna-LATHSAT_LOCUS3589 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSQDLRERQREIRAQNARDRRQRMSVEQRQQELARRRSNYRQNKDKGKQVQTYNTSNVRTIMPFQDLTNVNLASRLFPMAHDSEAGPSNAHVSRIPSPS >CAK8562907.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:555279199:555279753:-1 gene:gene-LATHSAT_LOCUS16369 transcript:rna-LATHSAT_LOCUS16369 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFPSAHSLFTRFSKQRRKGGQQVPRALCPTHLSRSKCSSPVPPNAPIYRQRLCECAVMLRMLRHRIDRPSSCSFPVHSFYISDTQGRTRWEGSSPSLCPADHSAGILHSRLRLTIARGWSCRYISLSGSLAPPVISFYDMLLSSPYSICHLVISASLRVSTSERNGGLHSVTPRSPSKRSE >CAK8563710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626452119:626453429:-1 gene:gene-LATHSAT_LOCUS17090 transcript:rna-LATHSAT_LOCUS17090 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFSKLCNLFLSNKATQTLINILHHSPHSDSNMELEPILHRTVTANGINIHIAEKGQGPLILFIHGFPDLWYSWRHQITFLSSRGYRCVALDLRGYGDTGIPTSPTSYTSLHVVGDIIGLLDTIAADQEKVFVVAHDWGAVTAWYLSLYRPERIKALVNLSVAFTPRNPKRKPLDTLRAVYGNDYYINRFQEPGDIEQEFAEIGTERVLKEFFTYRNPGPLYLPKGKGFGHPNDSPIVLPPWLSEEECKYYASKFDKTGFTGALNYYRNIDLNWELTAPWTGAKVKVPVKFIVGDLDLTYNAPGAKDYIHNGGLKRDVPLLEDVVVIEGAGHFLHQERADEINKHIYDFFKKY >CAK8563711.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:626452119:626453327:-1 gene:gene-LATHSAT_LOCUS17090 transcript:rna-LATHSAT_LOCUS17090-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPILHRTVTANGINIHIAEKGQGPLILFIHGFPDLWYSWRHQITFLSSRGYRCVALDLRGYGDTGIPTSPTSYTSLHVVGDIIGLLDTIAADQEKVFVVAHDWGAVTAWYLSLYRPERIKALVNLSVAFTPRNPKRKPLDTLRAVYGNDYYINRFQEPGDIEQEFAEIGTERVLKEFFTYRNPGPLYLPKGKGFGHPNDSPIVLPPWLSEEECKYYASKFDKTGFTGALNYYRNIDLNWELTAPWTGAKVKVPVKFIVGDLDLTYNAPGAKDYIHNGGLKRDVPLLEDVVVIEGAGHFLHQERADEINKHIYDFFKKY >CAK8537802.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:436592542:436595214:1 gene:gene-LATHSAT_LOCUS7056 transcript:rna-LATHSAT_LOCUS7056 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPIIIPSKSTPPLTLTSYKATQFDCNVSTKVHANSNNISMTKTSNPKSMDAQLNQLCINGSLNEAVAILDSIAEQGSKVSPVTYMNLLQSCIDKNDILVGNELHARIGLVEIVNPFVETKLVSMYAKCGRLDKAREVFDEMRVRNLFTWSAMIGACSRNKRWVETVGLFHKMMEYGVLPDQFLLPKVLQACGKCRDLETGRLIHSLVIRLGMRYSVRVHNSIMAVYAKCGEMDCAKKVFECMDERNSIAWNAMISGFCMKGEIEQAWKYFDAMQKEGMDPGLVTWNILIACYNQLGYCDLAIRLMRKMECLGIAPDVYTWTSMLSGFTQKGRISYALDLLREMFLAGVEPNSITIASAASACASLKSLSMGLEIHSIAVKMNLVSNVLIGNSLIEMYCKCRNLEDAQSIFDMMLERDEYSWNSLIGAYFQAGFCGKAHELFTKMQESKSPPNVVTWNIMITGYMQSGAEDQALGFFKSVEKDGKLKRDVASWNSLMSGFIQSGQKDKALQIFRNMQFCQIAPNSVTILTILPACANLVASKKVKETHCVAVRRNLVSELPVSNSLIDSYAKSGNLRYSRNIFYGLSRKDAVSWNCMLSGYVLHGCSKSALDLFYQMRKQGIQPNRGTFASILLAYGHAGMVDEGKSVFSCITKEYLIIPGLEHYSAMVYLLGRSGKLAEALKFIQNMPVEPNSSVWDALLTACRIHKNFGMAVHAGKHLLELVPGNNTTRYLLSQAYSLCEKFESDEEKLVNKPVGQCWIERNNTVYTFVVGDHSNLYSDKLYSWLKRVAVNVKTQTYVFDSELCIEEEEKENTSGVHSEKLAFAFALIEFHNTPQILRIVKNLKMCRDCHDTAKYISLAYECEIYLSDSKCLHHFKGGHCSCRDYW >CAK8536500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:947215799:947219023:-1 gene:gene-LATHSAT_LOCUS5862 transcript:rna-LATHSAT_LOCUS5862 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFPIISLLLHFLVVVSLFCTVNCLETSSPLVNQTFQSGEEFHKLKKNIATRLNRINKFTLKTIQSPDGDIIDCVLTHKQPAFDHPLLKGQKPLDPPKLPRGHNQMGNLSENFQIWSLSGESCPDGTIPIRRITEQDILRTKRTSGFETKFSDGIEHLHATARYRGGDMYGAKASINVWIPHIENPNVFSLSQIWVMSGTSGKDLNTIEAGWQVYPYIYKDNRSRLFVYWTADDYQHTGCYNLDCPGFVHTNKKIALGAAISPTSTYNGNQFDITLSIWKDVKTGNWWLDYGSGNFIGYWPSSLFTNLKEVATEVHWGGEITNNHSPQASSTQMGSGNFSEEGFKKASFFRNIEVLDSDNTWIPLFAEPSYFTTNANCYNIKGGSNRDWGNHFYYGGPGKNNNCP >CAK8564965.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:19240660:19246820:-1 gene:gene-LATHSAT_LOCUS18213 transcript:rna-LATHSAT_LOCUS18213 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSRVRCPENEELASFMWNKWKDMAAQPKGLSENMEMTLSKAHFNVCNSKNPIRTIKDFSLVKGVGKMILRLMQGFFGTGSGGTEPGTGSGGTEPADLTKKGNKTKGTKRYMPQRNSVAYALLITLYRGTSNGNEFMRKQELIDAAEASGLSRAPIAPEKGKGKPGHFGNPPQEWYSGWSCMKTLVSKGLVVKSSCPAKYMLTQEGKEAACDCIKRSGMAESLDKSASVEISFHMDKQNSLDMEANAHDMESEVTSPLNQQKKPIHVPFDSLERFTNMGYSKEQVISAFENESISHPNKDVSSLWPAVLCHLREEQVYGSQPESQIMMSASNVVNGPKVPVRKENRTVSSSSGGHVANLGSPDIPTFPMRACSSVDHTTQKPNKDELESKMNILSMPPLSLGERFEDAYEVVLILDDREQFATQGSRSRKIIDNIRSHFKIKIEVRRLPVGDGIWIARHKNLGSEYVLDFIVERKKIDDLRSSIRDNRYKDQKLRLVRCGLRKLIYLVEGDPNSSEAAESIKTACFTTEVLEGFDVQRTTSLGDTLRKYGHLTQAIYQYYKSGFFEDNNKRSAICPPFDEFIRRCQDLEKMTVSDVFATQLMQVPQVTEEIAMAVLDLYPTLLSLARAYTLLDGDSRAQEQMLQRQSSNVINATASRNIFQLVWGS >CAK8562548.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:508578817:508579284:-1 gene:gene-LATHSAT_LOCUS16037 transcript:rna-LATHSAT_LOCUS16037 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEENKKNMKCHVKGQRGASSVELPLVKSSFELARNFSIKLEERKTKHEKICAPITEVEALRNKKDQPLTTPQFKLSMFATTSNKEPEPKKNVSDNTSRTKLPNTHAEKSIEREVSLKTNKGLKIQDPKDQSLISKRNQPLVSKIQNSKGQSLS >CAK8561987.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:422030047:422031180:-1 gene:gene-LATHSAT_LOCUS15526 transcript:rna-LATHSAT_LOCUS15526 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQKFQEKELEAPIHGDILEAILSHVPLIYLLPASHVSNHWNSAVSSSLRHVNPVKPWLTVHTQNHRTPHVIKSYAYDPRSLTWIEIHAPQINHTSALRSSHSTLLYTLSPKEFTSVDSLHLEWNHAPPPRVWRVDPVVAQVGNCIVVAGGACDFEDDPLAVETYDIDSRIWMRCESMPEMMKDTTASTWLSVAVAGEFMYVTEKNSGMMYSFDCKTMTWQGPYNLRREESVFFSVTGTVRDRLVVVGIVGDAENVKGVKIWEVKKEFGFETMELCAMPKEMVEKLRGESESELLNSIELVCIGDFVYVYNPSEPEEMVVCEVFNGGGCEWRSVRNKAVNDGTRIGRVVLCGGGDVRLEDLKSVVSGKCKFELVHV >CAK8573422.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:611410668:611429707:1 gene:gene-LATHSAT_LOCUS25869 transcript:rna-LATHSAT_LOCUS25869 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFWSVLCSESVCSKSCTIYHVKFLIDPSTCINHFLISCFDVLLLTVLLFVMMIHKSSLKPYQSLIHRERYSNLQLVSAITNGVLGLVHLFYGIWILEEKLRKSQTALPLDLWLLEFFQGLTWLLVGLTLSLKFKQFPRAWSRVFSILMFLVSGISFVISLFYVIRGIKLSVKVALDILSFPVATLLLLCTYKESKYRDTQREIDERLYAPLNGESNKNDSVRHAALFAKAGFFSRMSFWWLNSLMKRGKEKTLQDEDVPKLREEDRAESCYSLFLDILNKQKEKDPSSQPSVLKTIVLCHWREILISGFFALLKVLALSSGPMLLNSFILFAEGYESFKYEGFVLAIALFIIKVVESLSQRQWYFRSRLVGLKVRSLLTAAVYKKQLRLSNSARLMHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSFQLCISLVILFRAVGLATIASLVVIVITVLCNTPLAKLQHKFQSKLMVAQDARLKATSEALVNMKVLKLYAWETSFKNSIEVLRNKELKWLSAVQLRRAYNSFLFWSSPVLVSAATFGACYFLNVPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFTRILKFLEAPELQSESIRNRLSDGNIRESISIKSAEFSWEDSNVTKSTLRNINLEVSPGQKVAICGEVGSGKSSLLAAILREIPNTLGKIDVYGKFAYVSQTAWIQTGSVRDNILFGSPMDVQKYQETLQRSSLVKDLEMFPHGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATNLFNEYIMEGLAGKTVLLVTHQVDFLPAFDFVLLMSDGEILQAAPYHDLLTSSKDFQDLVNAHKETAGSERHMDVASSERHSSSPKEIRKTYVEKEKQFKAPKGDQLIKQEEREIGDHGLKPYLQYLSQNKGYTYFMISSLSHLIFLIGQILQNSWMAANIDNPKVSTLRLILVYLLIGVTSTVFLFMRSLLTVALGLQSSKSLFLQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGILVSVVATTICYASLTVLAVVTWQVLFVSIPMIYFALRLQRYYFATAKELMRINGTTKSFIANHLAESVAGAVTIRAFEEEDRFFVKNLDLIDINATPFFHSFAANEWLIQRLETVSAVVLASAALCIVLLPTGTFSSGVIGMALSYGLSLNTSLVFSIQHQCNIANNIISVERLNQYMHVPSEAPELIEGNRPPVNWPVVGRVEIKELQIRYRPDAPLVLRGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDGIDISSVGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHCDQEIWEVLGKCQLQEAVQEKEGGLDSSVVEDGANWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLSISDGKLVEYDDPMKLMKREGSLFGKLVKEYWSHFQSAESH >CAK8535970.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894649016:894653014:1 gene:gene-LATHSAT_LOCUS5383 transcript:rna-LATHSAT_LOCUS5383 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGTGNHQEQHTHVNPSSFNGNSVPESSSGLVTNMKHNPGISLDWTPEEQATLEDGLSKYSSESNIVRYAKIAQQLNNKTVRDVALRVRWMNKKENSKRRKDDHNLSRKSKDKKERVSDPAAKSSQFSARPNVPPYAPPMITMDNDDGIPYAAIGGPTAELLEQNAQALSRVSANISSLQIQDNINLLCQTRDNIIRIMNEMNDSPEVMKQMPPLPVKMNEELANSILPLPRTPLQPQS >CAK8538405.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:481595513:481596092:1 gene:gene-LATHSAT_LOCUS7614 transcript:rna-LATHSAT_LOCUS7614 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRAILIVGLLAIALLISSEVSARELTEEIAEKSNELNDAKFLGFHRHHHRHDHRHGHRHHHGRHGVDSDNGN >CAK8569016.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:662924430:662927666:-1 gene:gene-LATHSAT_LOCUS21914 transcript:rna-LATHSAT_LOCUS21914 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILSSLTRTRNTLFLGETSRFCTIQSPKTSQSRFIPRNFNPRTHVVLTTGINDFEESMTFQDGPYFDTPKADPKSVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQYNSFSLNRHLSRAYNFGNVSTYGEGFVEVLAATQTSGEAGKKWFQGTADAVRQFLWVFEDAKTKNVEHILILSGDHLYRMNYMDFVQKHIDTNADITVSCLPMDDSRASDYGLMKIDGTGRIIQFAEKPKGSDLKAMRVDTTVLGLSPEEAKEQPYIASMGVYVFRTETLLELLKLNGSTCNDFGSEIIPSAVNGHNVQAFLFNDYWEDIGTIKSFFDANLALTEPYPKFQFYDPKTPFFTSPRFLPPTKVEKCKIVDAIISHGCFLRECSVQHSIVGIRSRLESGVELQDTMMMGADYYQTEPEIASLLAEGNVPIGVGENTKIRNCIIDKNAKIGRNVTITNADGVEEADKTKEGFYIRSGITVILKNATIKDGTVI >CAK8542681.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:536994836:536995566:1 gene:gene-LATHSAT_LOCUS11500 transcript:rna-LATHSAT_LOCUS11500 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYFLISNFCTSPNTKTKRKPMQTVEIKVKMDCDGCERRVRNAVATMKGVKSVEINRKQSKVTVNGFVDPNKVLKRIKSTGKKRAEFWPYVPQHVVTFPHLTGIYDKRAPAGYVKNAQTFPASIDTEEKLMSYFSEDNVNACSIM >CAK8537372.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:312541831:312542070:-1 gene:gene-LATHSAT_LOCUS6667 transcript:rna-LATHSAT_LOCUS6667 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGASTLSLWDFEERETLLEFYERVSGARMHASFIRPGGVAQDLPLGLCRDIDSFTQQFGSCIDELENISTNNCIWKQ >CAK8563396.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:599719339:599720367:-1 gene:gene-LATHSAT_LOCUS16813 transcript:rna-LATHSAT_LOCUS16813 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGVVKKVILSYTYVAIWIFLSFTVIVYNKYILDKKMYNWSYPISLTMIHMAFCSSLAYLLVRVFKLVEPVSMSRDLYLKSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKNETMANMISISLGVAVAAYGEAKFDTWGVTLQLMAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSVPWLIVEYPSLRDNSSFHLDFAIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLIGYGLAFLGVAYYNHSKLQALKASETQKKAQQNDEEAGRLLEQRDGERKIDSQN >CAK8543022.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567498511:567501486:-1 gene:gene-LATHSAT_LOCUS11809 transcript:rna-LATHSAT_LOCUS11809 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSHQNEHSEFLLKQIGNEGLTKQILTKGVSWQTPFSGDEVQVHFRGQIENGPSLESSYDKGSSFHFKLGQGEVIKGWDEGVATMKKGERAIFKIPPALAYGEVGSPPLVPPNATLVFEIEMLCWSTIRDMTDDGGIMKKTIREGEGWATPKELDEVLVKYEAKLENGMLIKSDKGVDFHVSDGYLCPAMSIAVKTMRKGEVAELSMKFFYGQTQNSNRIIELNGLPDSNVISIKLELVSWKIVTDITGDKKILKKINKLGEGFDRPNEGSHVKVTYICKGEDGTIIDRKGSKEEPFEFIIQEEQMHEGLEKAIMTMTKEEQALVTVNVEKTLYYEVELIDFIKEKPFWKMDTQEKLEACEQKKHDGNLLFKAQNFRRASEKYEKAVKYIEFDHTFSDDEKRHANTLRLSCNLNNAACKLKLEEYIEAAKLCTKVLEQDPLNVKALYRRSQAYLKTSDLEKAEADIKRALIIDPNNRDIKLEYKELKLKQREYNKYEADIFSTMVSKMN >CAK8561144.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:104497502:104498101:1 gene:gene-LATHSAT_LOCUS14758 transcript:rna-LATHSAT_LOCUS14758 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSPTKLLSLLLSFVIICNIVKCEAKGSCNQNDKQILFCFKHGLTDPSGMLSTWSKKDDCCEWKGVRCDISGRVTDISLPCSPEDDIILDNQINKAHCLSGELHISIFELEFLSYLDLSGNYFKAIHLPLDCQNLSLVNSPHRSENFSNVVHLDLFGNENLVVADLRWLLRLSSLEYLNLEYTNLHKQTQWVQIIYG >CAK8561145.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:104497655:104498101:1 gene:gene-LATHSAT_LOCUS14758 transcript:rna-LATHSAT_LOCUS14758-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTWSKKDDCCEWKGVRCDISGRVTDISLPCSPEDDIILDNQINKAHCLSGELHISIFELEFLSYLDLSGNYFKAIHLPLDCQNLSLVNSPHRSENFSNVVHLDLFGNENLVVADLRWLLRLSSLEYLNLEYTNLHKQTQWVQIIYG >CAK8531361.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:104775547:104776713:-1 gene:gene-LATHSAT_LOCUS1158 transcript:rna-LATHSAT_LOCUS1158 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNGNGITLLLLLLCLTTLSLQTEALQMIIPSSGTKCVSEDIQTHVVVLGDYYSVVEEAYRVHRVSVKVTSPYGNNLHHNENVTHGQFAFTTTESGSYVVCFWLSGNQQEGATPSVNLDWKTGLAAKDWDSVAKKEKIEGVELEILKLQRLVAAIHLYLTYLKDKSAKLREVNEKTNAKVAWLSIMSLGLCISVSGLQLWYLKSYFRRKKLI >CAK8532184.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:216417119:216419532:-1 gene:gene-LATHSAT_LOCUS1915 transcript:rna-LATHSAT_LOCUS1915 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKPDDQLFQLLSNLLLEVESLTNQEEVELRSKIETLGLEVIKVPSKSTKQLDELEIAKELDRLSAKLDDVDEMISSTMASDPQVRSLLSCTADVWMPVITATSEERRGFTASPGDNNTQTDAENSK >CAK8565268.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:91931933:91932090:-1 gene:gene-LATHSAT_LOCUS18494 transcript:rna-LATHSAT_LOCUS18494 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSNEENWPLGICQLP >CAK8536810.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:22869172:22871041:-1 gene:gene-LATHSAT_LOCUS6142 transcript:rna-LATHSAT_LOCUS6142 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLYHLFLSTILVVIIPSLVHANVKEQESYMGNILPFINDTYWRGKASNAEKANNIAYTPDPYTVSENMTSSVSEMIIEGNTGRRNLVGKKLGRGRPCLATNPIDRCWKCDPNWEKNRKKLADCVQGFGRKTTGGKAGPIYVVTDPSDNDMQNPRPGTLRYGVTRNGPLWIIFSHSMVIRLNQELIMTSDKTIDGRGFNVIIAKGAGFTIQFIRNVIIHGIKIFDIQVGSGGLIRDSENHFGLRTRSDGDGISIFGSSNVWIDHVSMRNCKDGLIDVIMGSTAITISNCHFTDHNEAMLFGASNDYDGDKKMQITLAFNHFGKRLIQRMPRCRYGFIHVLNNDYTHWEMYAIGGSQNPTIISEGNRFIAPDNPNAKQITKRDYAPESEWKNWQWRSINDVYMNGAFFVQGGPELTNRPFSEKDMIKSKPGTYVGRLTRYSGSLNCRKGIPC >CAK8565180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:58626961:58627251:-1 gene:gene-LATHSAT_LOCUS18415 transcript:rna-LATHSAT_LOCUS18415 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLQPLLADVRDSGLLKEVENLTRSLTQASDDLRRAHSSIMTPENTELIQKSIYTLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSRLL >CAK8544968.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:715240145:715240471:1 gene:gene-LATHSAT_LOCUS13605 transcript:rna-LATHSAT_LOCUS13605 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFGKKRHNKGVVHPVVVHRRQAVTIKVRMTKGQLKGLMEKVDTGNDIDDTELGRLIVQECSKGKLRASVVGAANGENDHSSKFSRGQQGLRPIQEESEERYHDV >CAK8561166.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:108944831:108951012:1 gene:gene-LATHSAT_LOCUS14778 transcript:rna-LATHSAT_LOCUS14778 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNGNRRSKFSQPRRHQFPFNATHQSKVSNFSQRVTPPPTTGSNSNASTSDFHEDSQGSSNFRVLLGTCSYMCPERERIQREKLRDLAVFERLNGNPGKSSPVFAVKKFCRTISIKEVQASDMRPLPVLEDTLNYLLGLLDSKEHPFEVVHDFIFDRTRSIRQDLTMQSIVNKRAIFMYEGMVKFHVISHRKLWCFTGDPNIASIHHLNMEQLAKTLSSLFNLYEANRNSNDLHENEAEFHSFHVLLNLGSHSKPMGEPLSLWFSHVSTPILKSKEMRFARRIVRSFRMGNYIDFFRTVAAEASYLQYCIMEPYINEVRSLALSYINFGGYKLHPYPLFNLSKHLMIEESDLESFCHSCGLETCTDESGNKLLQTKQTTFSPPNARFQKYSFLGLQDYER >CAK8562295.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468196271:468196603:-1 gene:gene-LATHSAT_LOCUS15802 transcript:rna-LATHSAT_LOCUS15802 gene_biotype:protein_coding transcript_biotype:protein_coding MINDIENMLNSFWWERGNRNSGIQWMSWENLACIKKEGGLGFLDFKAFTMTMVAKQGLHLLTKPHALVSKIFKVRYCSITFFFDVNLSYNPSFVWRSIWKESEVLNLGCR >CAK8542771.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:544855501:544856403:1 gene:gene-LATHSAT_LOCUS11579 transcript:rna-LATHSAT_LOCUS11579 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLYQALSSSALLLLGLYHFIFTTLNYLKSPHTYTSKPYHPLPSSNHPRLRPIQLYFIIFSLSIAIVHHLILAADSDPLIKGATPVHRLTSLQSASLLFLFLILSISLLLPLPLSPEFSFSLLALLFLLHSSLQTSLSSLQNSALEAKCDSVSSNLSALSSFLCLLLACFPRLYPADAALAASICLRGLWALQTGLSLYAEAFIPDGCHRLLDVVKGVEGSTQCDLDESRFRALAILDLAFVVHVMLVMIIVLVAYAAVATTVGTVRRVGSYEALPTATSSPTDSNHIQMKALAGTQA >CAK8567656.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:533928348:533931674:-1 gene:gene-LATHSAT_LOCUS20688 transcript:rna-LATHSAT_LOCUS20688 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTYTHSTLRLNAPRTPSVSRSNTNSHPSSTRFSFKPLRFKGLSAKSSKRFICEAVKSSMAVQVEVFEKEALAVSLAKYVADLSNKFTRQRGAFTVCLSGGSLINYLQKLLEAPYVDSIEWAKWHVFWVDERVVPKDHEDSNYKLAFDGFLSKVPIPAGNVYAINDALSAEGAADDYETCVKHLVNSNVITSSTSGFPKFDLMLLGMGPDGHVASLFPGHPLVQENKKWVTSITDSPKPPPERITFTFPVINASAYIALVVTGTGKADAVRSVLSGSETADKLPAALVSPEGELKWFLDKGAASKL >CAK8543553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:619233688:619235196:1 gene:gene-LATHSAT_LOCUS12303 transcript:rna-LATHSAT_LOCUS12303 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFCKDEEDKAMLESVLGTDAVGFFSTAVSKHVFSDVIVPPNLDTGIHKRLCHIVKGSRWNYAILWQVAGLKSGGYVLKYGEGHCQDPVGGPRNEQERERDEVRRRVLGRIHASWGGSNSIENVYKKLDDVSDLYMLYLTSVYYVFGFNSQYGPGSSFKCSKPTWASDAGSCLKQYESRSFLAKLAGFQTVAFVPLKAGVVELGSMEMVPEEQGFLDMVRATFGESTSGQAKAAPKIFGRELSLGGDAKSQSITISFSPKVEDDSGFTSDSFEVQALGPNHAYGNSSNGGVGDINEAKMFPQLGQMAPGNFTSQARVSSIDLVNEESSSPLGDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITHITDLQKKIKLLETEKNMAKGSQLPLQDIDFQARQDDAVVRVSCPLDIHPVSGIVKVLREHQIIAQEANVSTAQDKVIHTFSIRTQGGEAAALQLKEKLEASLSKN >CAK8541458.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:181171403:181173499:1 gene:gene-LATHSAT_LOCUS10378 transcript:rna-LATHSAT_LOCUS10378 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRFPRSRIRPFSFPFTLIALCIFLTLSFLFTAHSYSSYQQHNLDSDGGSLHGFESIRRSVLALKTDPLKPRLDQIRKQADDHKSLALTYASYARKLKLESSKLVRIFAELSRNFSDLMSKPQYRTLFSNDAIPIDESVVRQLEKEVKERIKTTRQVIGEAKESFDNQLKIQKLKDTIFAVNEQLTKSKKQGAFSSLIAAKSIPKSLHCLTMRLMEERIAHPEKYTDEGKPTPPEVEDPNLYHYALFSDNVVAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHVEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHKVLFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKARFNPKTCAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEISNAAVVHFNGNMKPWLDIAMTQFKPLWSKYVDYELDFVQSCNFGI >CAK8570917.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:170178539:170181543:-1 gene:gene-LATHSAT_LOCUS23626 transcript:rna-LATHSAT_LOCUS23626 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTSTATETTNDDVWSKDTVPKVLKLVSSSTSSLTLTHTDLVSLLLVSPFLYRTLLSSQTLWQLLNFRELNNAGNRLIAALSLPRYSHVKEINLEFARDIEDTHLMLIKQKCFDSLQSLESLNLNVCQKISDTGIEAITSCCPRLKNFSIYWNVRVTDSGLKHIVRNCKHIVDLNISGCKNISDRGVQFVADNYPKLESLNLTRCVKLTDEGLKQLLQKCLSLQSLNLYAVSSFTDEAYRKIGLLTRLTFLDLCGAQNLSDEGLQCISKCKNLVSLNLTWCIRVTDEGVIGIAKSCISLEFLSLFGIVGVTDKCLEALSKSCSNSITTLDVNGCIGIKKRSRAELLQLFPYLKCFKVHS >CAK8564515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:679110376:679110573:-1 gene:gene-LATHSAT_LOCUS17814 transcript:rna-LATHSAT_LOCUS17814 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >CAK8569180.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680027693:680029651:1 gene:gene-LATHSAT_LOCUS22064 transcript:rna-LATHSAT_LOCUS22064 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPLDNTTHPSSYYYNSNSIFTIFFFLNNSTTRITLETMRRKPRTTLFIFLLAILVFAAYSLLLSRHAVSHWQPDFDLDDLPRKLNAKNHVSHSIVNNEFQHQSRRVSSINFKQSFSSVSILLPDWEILLLVSPNTRLSSSSEQFNCLFQNTHMSPARFSGVLPFTNRTTFKCFMPESARRRRIYPQPMLVTGQSDNESHDQHPTPELLRWNFLVYESFSTEDDVVLFAKGVTHRQGYDLPPKEFNCVFQIGNGIRTAVTSSVQEVFRCHHPDPASLGSNSRIGVSLEIIGKKIVVPSVAYYLPRPKPRPKIKPNQNDFRLQMFRSEAHLQAQLETQPKYFLCACTMVYNVAKFLREWVMYHSKIGVENFLLYDNGSDDDLHGTIKELRDEGYNISTLLWIWPKTQEAGFSHSILYSKSKGLCTWTMYVDVDEFVYSPSWGNNNDGDDGINGNGSHIEDENEVLPSLKSMLPRENDDYYNGRGGKIGQVSMMCLEYGPSGQQQHPKEGVTQGYTCRRKVEQRHKSIVLVEAVDKSLWNVIHHFEVNQREGFRSKQLGPEEGLVNHYKYQAWDEFKNKFRRRVSAYVVDWRQNVNLNSQDRTPGLGFEAVEPKDWTERFCEVKDERLKMLTREWFGSFTPNGYRMAWQTR >CAK8569181.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:680027816:680029651:1 gene:gene-LATHSAT_LOCUS22064 transcript:rna-LATHSAT_LOCUS22064-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKPRTTLFIFLLAILVFAAYSLLLSRHAVSHWQPDFDLDDLPRKLNAKNHVSHSIVNNEFQHQSRRVSSINFKQSFSSVSILLPDWEILLLVSPNTRLSSSSEQFNCLFQNTHMSPARFSGVLPFTNRTTFKCFMPESARRRRIYPQPMLVTGQSDNESHDQHPTPELLRWNFLVYESFSTEDDVVLFAKGVTHRQGYDLPPKEFNCVFQIGNGIRTAVTSSVQEVFRCHHPDPASLGSNSRIGVSLEIIGKKIVVPSVAYYLPRPKPRPKIKPNQNDFRLQMFRSEAHLQAQLETQPKYFLCACTMVYNVAKFLREWVMYHSKIGVENFLLYDNGSDDDLHGTIKELRDEGYNISTLLWIWPKTQEAGFSHSILYSKSKGLCTWTMYVDVDEFVYSPSWGNNNDGDDGINGNGSHIEDENEVLPSLKSMLPRENDDYYNGRGGKIGQVSMMCLEYGPSGQQQHPKEGVTQGYTCRRKVEQRHKSIVLVEAVDKSLWNVIHHFEVNQREGFRSKQLGPEEGLVNHYKYQAWDEFKNKFRRRVSAYVVDWRQNVNLNSQDRTPGLGFEAVEPKDWTERFCEVKDERLKMLTREWFGSFTPNGYRMAWQTR >CAK8560209.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:10533662:10535142:-1 gene:gene-LATHSAT_LOCUS13903 transcript:rna-LATHSAT_LOCUS13903 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRETGGKKKAWRKKRKYELGRQAANTKLSSNKTIRRIRVRGGNVKWRALRLDTGNFSWPSETVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQIDAAPFKQWYLQHYGVEIGRKKKTAGKEAEAVTEEVKKSSHVQRKLEQRQKDRQLDPHIDEQFGGGRLLACISSRPGQCGRADGYILEGRELEFYMKKLQKKKGKGAA >CAK8562076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:438067094:438072762:1 gene:gene-LATHSAT_LOCUS15604 transcript:rna-LATHSAT_LOCUS15604-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKNRKLHLSKIYSFTCFKASSVDGGDHSQIGSRGYSRVVLCNEQNSTNDEEAVIKNFADNSVRSTKYTAVTFLPKSLFEQFRRAANFYFLVAGVLAFTKLAPYRAVSSILPLVVVIGATIVKEGIEDWRRKKQDIEVNNRRVKVHKVDGIFEYTAWKNLRVGNIVKVEKDEFFPADLVLLSSSYEDAVCYVETMNLDGETNLKLKQGLEATSSLHDNLSFKNFKATVKCEDPNANLYSFVGSMEFGEQKYALSPQQLLLRDSKLRNTDYIYGAIIFTGHDTKVIQNSTDPPSKRSRVEKKMDRVIYFLFCILFLMASVGSIFFGFITKDDLQKGVVKRWYLRPDNSTVFFDPERPAAAAAFHCLTALMLYGFFIPISLYVSIEIVKVLQSIFINQDINMYYKEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVEKTMSRRKGSKLVREHDISENDISDSLDNKKVIKGFNFTDERIMNGNWVNEPCADIIQKFFRLLAVCHTALPEVDKETGNVWYEAESPDEAAFVIAARELGFEFYKRAQTSLSTYELDPVSGKKIERVYKILNVLEFNSSRKRMSVIVKDDEGKILLLCKGADSVMFERLAKNGREFEEKTMGHVREYADADRETLIEEVSDNMEKNLILLGATAVEDKLQNGVPDCIEKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIIHLDSPEIQALEKDGDKRAITKASRQSILFQIREGAAQLTAHKGNSQQAFALVIDGKSLAYALEDDMKNMFLELAIRCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISTMICYFFYKNITFGFTLFLYEVYASFSGEPAYNDWFLALYNVFFSSLPVIALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWRRVLSWMLNGFFSALLIFFFCTKAMELQAFDSEGRTAGRDILGITMYTCVVWVVNLQMAVAISYFTLIQHFFIWGSIFFWYIFLLIYGEVPQKISENAYKVFVEALAPSPSYWIVTFFVVISTLMPYFSYKAIQMRFFPMYHETVQWIRHEGKTDDPEYRDMVQLTTFGSTARLEAKANQSADKTITNRRKCLFHH >CAK8562077.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:438067094:438072762:1 gene:gene-LATHSAT_LOCUS15604 transcript:rna-LATHSAT_LOCUS15604 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKNRKLHLSKIYSFTCFKASSVDGGDHSQIGSRGYSRVVLCNEQNSTNDEEAVIKNFADNSVRSTKYTAVTFLPKSLFEQFRRAANFYFLVAGVLAFTKLAPYRAVSSILPLVVVIGATIVKEGIEDWRRKKQDIEVNNRRVKVHKVDGIFEYTAWKNLRVGNIVKVEKDEFFPADLVLLSSSYEDAVCYVETMNLDGETNLKLKQGLEATSSLHDNLSFKNFKATVKCEDPNANLYSFVGSMEFGEQKYALSPQQLLLRDSKLRNTDYIYGAIIFTGHDTKVIQNSTDPPSKRSRVEKKMDRVIYFLFCILFLMASVGSIFFGFITKDDLQKGVVKRWYLRPDNSTVFFDPERPAAAAAFHCLTALMLYGFFIPISLYVSIEIVKVLQSIFINQDINMYYKEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVEKTMSRRKGSKLVREHDISENDISDSLDNKKVIKGFNFTDERIMNGNWVNEPCADIIQKFFRLLAVCHTALPEVDKETGNVWYEAESPDEAAFVIAARELGFEFYKRAQTSLSTYELDPVSGKKIERVYKILNVLEFNSSRKRMSVIVKDDEGKILLLCKGADSVMFERLAKNGREFEEKTMGHVREYADAGLRTLILAYRELEAEEYKEFNNKFSEAKNLVCADRETLIEEVSDNMEKNLILLGATAVEDKLQNGVPDCIEKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIIHLDSPEIQALEKDGDKRAITKASRQSILFQIREGAAQLTAHKGNSQQAFALVIDGKSLAYALEDDMKNMFLELAIRCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISTMICYFFYKNITFGFTLFLYEVYASFSGEPAYNDWFLALYNVFFSSLPVIALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWRRVLSWMLNGFFSALLIFFFCTKAMELQAFDSEGRTAGRDILGITMYTCVVWVVNLQMAVAISYFTLIQHFFIWGSIFFWYIFLLIYGEVPQKISENAYKVFVEALAPSPSYWIVTFFVVISTLMPYFSYKAIQMRFFPMYHETVQWIRHEGKTDDPEYRDMVQLTTFGSTARLEAKANQSADKTITNRRKCLFHH >CAK8560600.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:27811877:27814550:-1 gene:gene-LATHSAT_LOCUS14261 transcript:rna-LATHSAT_LOCUS14261 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKALASAAAAAALEEANATECIIRNLRLEKLNWSAAEGKAKVIGTLIGTSGAMLLTFYKGAEINTGSSNINLLHAHHNQIGDAKPQHVDFSNKLLVVLCAIGSSCSFSLWFIIQAKMHKEYPSHHSSTALMSTMGAIQATVFALCVDRDWIQWKLGYNIRLLTAASSGIVTFGIATIVVAWCIKMRGPLFAAVFYPLQLIIVAVSAYLLLDEKLYLGSILGAVLIVCGLYVVLWSKNEEMKEKAQLMSRFTLLFQAPPTVVHPRAPPSHPQTTTDHFSNSLLFYILLFH >CAK8565003.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:24062647:24065654:1 gene:gene-LATHSAT_LOCUS18245 transcript:rna-LATHSAT_LOCUS18245 gene_biotype:protein_coding transcript_biotype:protein_coding METLSYGSAPCCQVVNTKTKSVKNSLNSSSQSKVSYDRKHGVCFTNNVFKIGSVRFLEKGHQQRGFRSQSGFGGSRRLKFKVNSGRYGDYVINEEEARDIVGIDEPASKVLIPGLPDELNGESGAIVSSCFKGWKPKLNVHYEKAGCENVDSPNVLFLPGFGVGSFHYEKQLMDLGRDFRVWALDFLGQGMSLPFEDPTLSKEDGITSSGNVSSWGFGDETEPWATELVYSVDLWQDQVRYFIEEVIGEPVYLVGNSLGGYVALYFAACYPHLVKGVTLLNATPFWGFLPNPIKSPGLAKIFPWAGTFPLPSNIKKLTELLWEKISDPKSIADVLNQVYADHSINVDNVFSRIIETTRHPAAAASFASIMFAPQGELSFSETLSRCRENKVPICLMYGKEDPWVTPLWGLQVKRKVPEAPYYQISPAGHCPHDEVPEVINFLLRGWIKNLESEGSASLPLLEDIETMNHSIERELEFPRKGSKKSVKVRYFVSSISLWERIRSYIKYYSKFRKLVSESQ >CAK8567488.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:517886420:517887128:1 gene:gene-LATHSAT_LOCUS20535 transcript:rna-LATHSAT_LOCUS20535 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQVVEKKNNVEAEKPNAPPSNLERTTSIDNEPKTLLQEELNLAREDALKVINTHPKEEALKIFLKGLKHVTISAQPSENDIKYDDEDEDEYNYEDEYELDDEVDDYDE >CAK8577553.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:573991415:573991621:1 gene:gene-LATHSAT_LOCUS29651 transcript:rna-LATHSAT_LOCUS29651 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWNVRGLNKAGKVREISSRLQNLDPAITVLIETRVKKEKAVGIRKKLKMRGSYMDNYAQHDNGRI >CAK8564779.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9472049:9473575:1 gene:gene-LATHSAT_LOCUS18047 transcript:rna-LATHSAT_LOCUS18047 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLKPTPLNRNYTPTHLFRLFSSTPPPPSTQNDAELISNILLNHHNPFHSSESSLQLNGITLTPNLLTLTLTLLKHNSKIALSLHNFSKSLPNPPLSHSSYNLLIDIVSKVHQFDLASQLIVEMDQNNLPPSPTTFFILIKRLISANLTRQAIRCFFEIEPFTDAKLTSEHFTFLLDTLCKYGHVKLACDLFNKNHNRFTPDVKMYTVLIYGWCKISRFKTALSFLNEMKVKGVEPNVVTYNVILNGICRKASLHPEERFERTVRDAEKVFDEMRESGIEPDVTSFSIVLHVYSRAHKPQLVLDKLGLMREKGICPNVVTYTSVIKCLCSCGRIEEAEDLIDEMVRNGVSPCAATYNCFFKEYRGRKDADKALKFFKKMKDDGLCEPTTHTYGVLIAMFLKADMIGVVKEMWSDMIESGVGPDLDSYTVLIHGLCERKKWREACQYFVEMIEKGFLPQKVTFEKLYRGLIQSDMLRTWRRLKKRLDQESITFGSEFQNYHLKPYRR >CAK8564780.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:9472424:9473575:1 gene:gene-LATHSAT_LOCUS18047 transcript:rna-LATHSAT_LOCUS18047-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNLPPSPTTFFILIKRLISANLTRQAIRCFFEIEPFTDAKLTSEHFTFLLDTLCKYGHVKLACDLFNKNHNRFTPDVKMYTVLIYGWCKISRFKTALSFLNEMKVKGVEPNVVTYNVILNGICRKASLHPEERFERTVRDAEKVFDEMRESGIEPDVTSFSIVLHVYSRAHKPQLVLDKLGLMREKGICPNVVTYTSVIKCLCSCGRIEEAEDLIDEMVRNGVSPCAATYNCFFKEYRGRKDADKALKFFKKMKDDGLCEPTTHTYGVLIAMFLKADMIGVVKEMWSDMIESGVGPDLDSYTVLIHGLCERKKWREACQYFVEMIEKGFLPQKVTFEKLYRGLIQSDMLRTWRRLKKRLDQESITFGSEFQNYHLKPYRR >CAK8569125.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:674281610:674281983:1 gene:gene-LATHSAT_LOCUS22009 transcript:rna-LATHSAT_LOCUS22009 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRSNLHKSRVVYIDIYAPLLDIIINPKKYGYKVADRGCCGTGKLEVSILCNPLTPTCADNSEYVFWDSYHPTENVYRKLLAEVLPKYVDRLI >CAK8566886.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:470235058:470235540:-1 gene:gene-LATHSAT_LOCUS19985 transcript:rna-LATHSAT_LOCUS19985 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWRKLFFRNISRPRVIFTLWMVFQNCLLTKDSMRNHGLITNGIFALCNLQESCHQLFFECAATKEVWKHILAGIGDLHNPGGWKTELAWIIQQTRGKGSRIKLLKMVIAETVYHIWTVRNKIIFQNCNKDIFHSKHIREMMLGRIDMDRELVAYCDRM >CAK8563607.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:620112313:620115761:1 gene:gene-LATHSAT_LOCUS17001 transcript:rna-LATHSAT_LOCUS17001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESGSSNFDLPEDVVQVLPSDPFEQLDVARKITSIALSTRVNALEFELSELRVKIAEKDSLIAELQSQVESLDASLSETADKLVRAEQDKENLLKENDSLSNTVRKLNRDVSKLEVFRKTLMQSLQEDDDNSGGAPDIVARIQSQSGLTFTSQFGDNDSSLPPSISSSMPRISNSGNSLAEDQDSDVIRPRVPYNLLMASQSSTPRITPTGSPPSFSASVSPTRTSRPMSPRRHSISFSSRGIHDDRSAAFSSIGHSSISSSDTVTGSQAGRARVDGKEFFRQVRNRLSYEQFGAFLANVKELNSHKQTREVTLQKADEIFGPENKDLFTTFEGLITRNVH >CAK8576565.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:492894143:492895836:-1 gene:gene-LATHSAT_LOCUS28741 transcript:rna-LATHSAT_LOCUS28741 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEPEVAAQGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >CAK8533259.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:597614523:597616052:-1 gene:gene-LATHSAT_LOCUS2902 transcript:rna-LATHSAT_LOCUS2902 gene_biotype:protein_coding transcript_biotype:protein_coding MVPICADEISQIIRERIEQYNTEVKIINTGTVLQVGDGIARIYGLDEVMAGELVEFKEGTIGIALNLESKNVGVVLMGDGLMIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKIAVATDTILNQKGQNVVCVYVAIGQKASSVAQVVTTLQERGVMEYTVIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAHAYRQMSLLLRRPPGREAYPEDVFYLHSRLLERTAKLSCQLGEGSMTALPIVETQAGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGLSVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFSTDLEKTTQNQLARGQRLRELLKQSQSSPITVEEQIITIYTGMNSYLDSLEIQQVRKFLVKLRSYLKTNKPKFNEIISSTKTFTGEAEAILKEAIQEQMELFLNSSGICN >CAK8534156.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:700145425:700146069:-1 gene:gene-LATHSAT_LOCUS3723 transcript:rna-LATHSAT_LOCUS3723 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNSDTYDQEFWELVEEEFMDDNDEEQQLQNERRSGSSSRPKRRTTVDRGREEGHNRLFNDYFSENPVYTDVQFRRRFRMHRHAFIRIVDALGNHYEYFQMRVDATGKMGLSPLQKCTSVIRMLAYGSPADLVDKYVRIGESTSIECLERFVKGVNVVFGVEYLRKPNNIDIEHLFTNGRVMWLSRTILSR >CAK8567863.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:551565526:551566833:-1 gene:gene-LATHSAT_LOCUS20880 transcript:rna-LATHSAT_LOCUS20880 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRKISVPPPPLSPVVSTPVSQSQSVLRSNTGGKNLNTAEGAGIIYFGETSETSDAREDESIGKKRNNIELEKPNSTPTPEEGRKLWADVLKDNRNPAKGRAMKFIPPQVVEGKIEVQIEEDDVSSEVKFWESSLILYAMGEDLSMNAVKNFMTRSWNFVQLPDMYFNDEGYFILRFKSFTDRDEVLLRGPYMLRNIPLLIREWRPDFKIKDELLRTLPIWVKLPQLPIVLWGDTSLNKIGSALGKPIMTDECTANRLRVSYARILVEMDITKELPQSITITDHAGEKMQQAIEYEWRPLFCNKCQKVGHCCDKPKLRKQWLPRNVTQPETNVLSKKVEDDNKGPNEIGTVNLDIVTSRKGNASSTAAEIPAIRNKEVEKVIEKWTEVIKSGRGRGKQIGKVGAAGMISHDNGFDALEILKDLLEAQNTGQ >CAK8577438.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:563970385:563971830:-1 gene:gene-LATHSAT_LOCUS29546 transcript:rna-LATHSAT_LOCUS29546 gene_biotype:protein_coding transcript_biotype:protein_coding MECTVSFPTSMHFVPLGTTLCRNQRITLAAHSSNSDRRRERPTKNLRYPRREKQPPEFGVNLFLKKPSFPNNDQTHVDKDFNVEESYEEEWNTDVVWESDEIDAISSLFQGRIPQKPGKLDRERPLPLPSPHKLRPLGLPTPKRLPAMVSSRISMDKKLYKSPSFLVGLAREISRLNPDEDVSIILGKWVHILRKGSLSMTIKELGHMGLPSRALQTFCWAQNQPHLFPDDWILASTIEVLAGNHELKSLLNLNKFTGLASRRVLEALIKGFLKGGNLRHAWKVLAIARRDKRMLDSSIYAKLILELGKNPDRYKHIEPLLEELGARDELNLTAQDCTAIMKVCAKMGKFEVVESLFSWFTQSGCQPSVVMYTTVIRSRHAENNHRDALDLVWEMEASNCLFDLPAYRAVIKLFVALDDLSRAARYFSKLKEAGFSPTYDLYKDMHEIYRASGRIAKCREICKEAEIAGFTLHKHLVSYDS >CAK8576401.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:470057466:470058089:1 gene:gene-LATHSAT_LOCUS28590 transcript:rna-LATHSAT_LOCUS28590 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTLKVVLSLFIYTFTLVTITRAGDPDILTDFISPITGPIDANFFTYTGFRVLVGPQNPSPPPFKVLKATMIEFPSLNGQSVSYASLQFQPKSTNPPHIHPRSAELLFLVQGSLQVGFVDTTNKLFTQTLSTGDLFVFPKGLVHFQYNADDKAPAVAISAFGSANAGTISLPNTLFNTSISDNVLALAFKTDVSTIQNLKKGFST >CAK8563076.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:571952734:571953954:-1 gene:gene-LATHSAT_LOCUS16521 transcript:rna-LATHSAT_LOCUS16521 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQRSFSNGSNNLQKELPNTCIVSSQHSLASVPSLNSNSNSQLQESSFTCITTLKLHTYISSLTLAGKFLYTGSSNKEITSWNSTHFHSQPQESINTIVSGNGAVKSIVIHSDKLFTAHQDNKIRIWKITITNDELQQQHKFTHLATLPTFTDRFTTILNPKNHVKIRRHKKCTWIHHVDTVSSLALSKDGTLLYSVSWDRTIKIWKTKDLTCLESVQTAHDDAINAITVSDDGYVYTGSTDKKIKVWKKEKGDKNHSLVDTLEKHGSGINALALNSDGSVLYSGACDRSILVSERGENGNLVVMGALRGHTKSILCLAVVCDLLCSGSEDKTIRIWRSNSNNVDSYREYCCLGVLEGHKGPIKCLTAVLDHFDEQSSDSDATFLIYSGSLDCDIKVWKMLLPLL >CAK8579778.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722282714:722283055:1 gene:gene-LATHSAT_LOCUS31695 transcript:rna-LATHSAT_LOCUS31695 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIKDKNLHKKVVNVGYALTQPTFQYYLNEIRMSNKDAGSWLDNIPLEKWTMDFDGGCCWGHMTTNIVESMNMVFKRIRSLPITALVRSTYYRLAPLFATRGERWSAVLTLG >CAK8539715.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522721560:522723836:1 gene:gene-LATHSAT_LOCUS8788 transcript:rna-LATHSAT_LOCUS8788 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAIPFFFSFFSSSSSLISLSLSLSLSLSLSLSLTPMLTPPTTDTMTDQLSNQTSIFGLRLWVVLGVSVGVAIVLLLFLISIWLAYKRNNNNKTSKTSKTLKTSIPNISKEIKEIKLEVLPSNNPQPDPFPEPDPLSSTEHHHLEEETPLSYKRIQLEIGKNHRISYPEQRASSRASNNSHENSGESRPLDQTPTVIPQVSHLGWGHWYTLRELEDATNAFAPDNVIGEGGYGIVYHGILKNNTQIAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEFVDNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLSKQWNSKVSDFGLAKLLSPDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEVITGRNPVEYSRPAEEVNLVEWLKKMVGNRNPEGVLDPKLPEKPTSRALKRALLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEDRRARREAAGQSPIARIDDRLKEEATVSVDDGVHENENSTSETSSNHEIQKQ >CAK8539716.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:522721671:522723836:1 gene:gene-LATHSAT_LOCUS8788 transcript:rna-LATHSAT_LOCUS8788-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPTTDTMTDQLSNQTSIFGLRLWVVLGVSVGVAIVLLLFLISIWLAYKRNNNNKTSKTSKTLKTSIPNISKEIKEIKLEVLPSNNPQPDPFPEPDPLSSTEHHHLEEETPLSYKRIQLEIGKNHRISYPEQRASSRASNNSHENSGESRPLDQTPTVIPQVSHLGWGHWYTLRELEDATNAFAPDNVIGEGGYGIVYHGILKNNTQIAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEFVDNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLSKQWNSKVSDFGLAKLLSPDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEVITGRNPVEYSRPAEEVNLVEWLKKMVGNRNPEGVLDPKLPEKPTSRALKRALLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEDRRARREAAGQSPIARIDDRLKEEATVSVDDGVHENENSTSETSSNHEIQKQ >CAK8576471.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:481340594:481341718:-1 gene:gene-LATHSAT_LOCUS28654 transcript:rna-LATHSAT_LOCUS28654 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSKKTPETSSAVNFTTTELQYKTELSSYEAVCKVDIDLQTFDSTLQTRTNQVINTLASGVEVRALSFDSLKQITECLLEMNQEVVKVILECKKDIWKSQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVAIQRFEEESESGDKCYVRTLQELKNFKTAGDPFTEEFFQIFQSVYRQQILMLEKLQVRKNKLDKKLNRIHSWRKVSSMIFVATFAAVLICSVVAAAIAAPAVAAALAAATAIPIGSMGKWIDSLWKNYENALKGQKEVIGAMQAGTYVAIKDLDNIRVLIDRLETEIESLLHNVDFAIEEEAVKIGIEEIKKKLGVFMKNVEDLGVQADVCSRDIRRARTVVLQRIIKHPNV >CAK8574633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:4525503:4526641:1 gene:gene-LATHSAT_LOCUS26961 transcript:rna-LATHSAT_LOCUS26961 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEELVIQDEYLYKEETRLTVLKTSRFFTGDGFVVYDCKGQLVFRFDSYGPNTRDKEELVLMNPHGRSLLTLRRKKPSLHQRWEGFKGERKDGDKPIFNVKRSSIIGRSRTSVTVEVHDNPGMEYLIEGCFPQRCCKIFNATKKLVAEIRRKVDPTTNVMLGKEVFMLCIQPDFDVSFAMGLVLVLDQINGENFFDNGIIETSVHPTAED >CAK8541936.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:436687265:436688053:-1 gene:gene-LATHSAT_LOCUS10823 transcript:rna-LATHSAT_LOCUS10823-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIPLLIREWRPGFKVKDELMRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILVEMDITKELPKTITIGDNEGEKIQQPIEYEWKPQFYSKCQKIGHRCDKPKVTQQWKPKPQPVGKPVMASSADMIIGSAGHNNIIGNSVNSPTVCAPDKNAVNSPAVTTNENRSSLAGTPPDKNPSQGIEGNIIEQVEAVMEKWIEVIRSGKDRGKPKVNPNCGTTIVCDNGFEALEISKDLLESQNIG >CAK8541935.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:436687265:436688323:-1 gene:gene-LATHSAT_LOCUS10823 transcript:rna-LATHSAT_LOCUS10823 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIAPQVVNGNLEVVIEDDDIIFEVKFWESSLILYTMGIDLSMNAVKNFMTKTCNFVQLSDMYYNDEGYFILRFKSFKDRDEVLLRGPYMLRNIPLLIREWRPGFKVKDELMRTLPIWVKLPQLPIILWGDTSLNKIGSALGNPIMTDECTANRLRVSYARILVEMDITKELPKTITIGDNEGEKIQQPIEYEWKPQFYSKCQKIGHRCDKPKVTQQWKPKPQPVGKPVMASSADMIIGSAGHNNIIGNSVNSPTVCAPDKNAVNSPAVTTNENRSSLAGTPPDKNPSQGIEGNIIEQVEAVMEKWIEVIRSGKDRGKPKVNPNCGTTIVCDNGFEALEISKDLLESQNIG >CAK8541527.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:222056438:222058198:1 gene:gene-LATHSAT_LOCUS10442 transcript:rna-LATHSAT_LOCUS10442 gene_biotype:protein_coding transcript_biotype:protein_coding MFANGFVVNFSSVTPFFPSRHQNRPAHHQFPSINSLLKLKNQTFLSKSQFNLSTSYKPHSNFVVFAAQSNFSKVLQNVWRVGKDGIDAGTNLVPNSVPRPIARIAVTFVALSALVFVFKSILSTVFFILATVGLAYFAYLAFNKDQGPSSGNGGTTTKPMNDPVEEAQRIMDKYK >CAK8562633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:517996885:518009310:-1 gene:gene-LATHSAT_LOCUS16117 transcript:rna-LATHSAT_LOCUS16117 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQKEEKGLIGILPVRDGLEIKSAGPTASQGAGANIPEQSSKLQDGSSDSLKPDTKKQNDVVHWHNRGVAARPLHLSRGVEKPSGRVTYTVVLEGLCRFSVLELSTRGIYQTAKISSLEMTMTEMEQIEQDPDYIMLSRQFKATATELISVLELKQKTGGRTKVLLDNVPVHKLADIFVASFEISFEEQLSMLDSVGPKLRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNEDDEDDLVALERKMQSAGMPPNVWKLAHRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEENELDLRAAQKRLDSDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKRVAVCNPVMLLDEVDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLQIAMRHLIPRVLDQHGLSSEFIQIPEAMVKLVIQRYTREAGVRNLERNLAALARAAAVRVVKQEQVVPLNKGIQGLSTPLLESRLSDGTEVEMDVIPMSVNSRDINTFRISSPLVVDETMLEKVLGPPRFDDKEAAERVASPGVSVGLVWTAFGGEVQFVEASAMLGKGELHLTGQLGDVIKESAQIALTWVRARATDFRLAAEEGFNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTSLVSLFTQKSVRSDTAMTGEMTLRGLVLPVGGVKDKILAAHRYGIKRVILPERNLKDLVEIPSTVLANLEILPANRMEDVLEHAFDGGCPWRQHSKL >CAK8579062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:671171659:671175492:-1 gene:gene-LATHSAT_LOCUS31035 transcript:rna-LATHSAT_LOCUS31035 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNAATNEKLKEIDWTKNIEISELVARDQRKAKDVVKAIKKRLGNKNPNTQLYAVMLLEMLMNNIGNHIHEQVVVAEIIPILVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYKAYYDLVSAGVQFPQRAQHVKSNCPSSEPHRTNNVPKREQVPFRDGGVPQKAEPNTVPESSIIQKASNALEVLKEVLDAIDAKHPQGARDEFTLDLVEQCSFQKQRVMHLVMTSRDDRIVSRAIEVNEQLQKALDKHDDLLSSKVTTTVNHFEEEEEEEEPEQLFRRLRKGKACVKPEDEETEPQFPQLSLLEERLNRPLIRPLEPSQAAHACPVPVVVPPPSAKLPAVTIPPPPSKHTERERYFQHNKDSGTLAGHIRGPSLHSRNGSSSQSGSFDFSD >CAK8530952.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:66127935:66129530:-1 gene:gene-LATHSAT_LOCUS777 transcript:rna-LATHSAT_LOCUS777 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLKLTRTHPDLAGLKGQSNRRLILMDEVRKHKLEGEMWTVLKGRVYNISPYMKFHPGGVDMLMKVIEDRTVIL >CAK8576683.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:505623731:505625088:1 gene:gene-LATHSAT_LOCUS28856 transcript:rna-LATHSAT_LOCUS28856 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVPDVVLNSGEKMPAIGYGTGTPPPPEALIDAIKIGYRHFDTAAFYNTEQLLGQAVSKALEEGLVKNRDELFITSKLWCTDAHHDLVLPALKTTLKNLGLEYVDLYLIHWPVRFKQDVVGLNFTGEDMIPIDIKGVWEAMEEVHRLGLAKSIGVSNFGAKKLSILLENAKITPAVNQVEMNPSWNQGKLREFCKQKGIHVGAWSPLGAYKDPWGSAAVMDNPILHKIAEAKNKSVPQIVLRWIYQHGVTAIVKSFNKERMKQNLEIFDWELSQEESDKINQISKMRTLKAEMFIFENGPYKSLEELWDGDV >CAK8540440.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:560607203:560608021:-1 gene:gene-LATHSAT_LOCUS9445 transcript:rna-LATHSAT_LOCUS9445 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGVNCCSEGRSSSESRPPNPNPSIASAYRQCKRNDPVVLPCRNSLVRHASLQTKMKLSDVSAEDVSEDFLPKLRSGGYADVGSRSSMEDVYVCVDHFMQDHGLNNHIVGPSAFYGVFDGHGGKHAADFACNHLPKFILEDTNFPVDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVVNAGDCRAVLCRSGKAIEMSRDHKPVCVKEQRRIEASGGYVYDGHLTNNFFTRTPHQKTVNSVVKSWKFSAAKLATAK >CAK8567151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:490929116:490929520:1 gene:gene-LATHSAT_LOCUS20227 transcript:rna-LATHSAT_LOCUS20227 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHAIFAQDQGTSLNLEYAWRLLKDEVKRRIIEESIGSSAKITKTYASGASSENPNTTSSYEFNSSSPMERPMGQKAAKRKGKASEIPNATQDAKNKRAITMDRLAQAKEDELELRVVQVMMKDTSTMNDSQ >CAK8563848.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:634746610:634819642:-1 gene:gene-LATHSAT_LOCUS17215 transcript:rna-LATHSAT_LOCUS17215 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSSEALRISVWKGDVVLKDLKLKSEALNALKLPLTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLANPAPDSRTVKEEDREKLFQDKLQQIEEAELATLDAISKSKLGSPSSGNSWLSSLISTIIGNLKISISNVHIRYEDSISNPGHPFSSGVTLAKLAAVTMDEQGNETFDTSGALDRLRKSVHLERLALYHDSDRLPWEIDKRWEDISPHEWIEIFEDGINEPIDHHKLVSKWAMNRTYLVYPINASLQYHRLGNQERSDPEIPFEKISLVLTDISLTLTEAQYYDWIKLLEAVSRYKTYLEVSHLRPTVSISKAPYLWWQYAAQATLQQLKMCYRLSWDQIRDLCQRRRRYVQLYVASLQQSSTVNLLEIREIEKDLDSKVILLWRLLAHAKVESVKSKVAAEERKLKNKSWFSFRWGADTEEASLSDASEEQQLKEESLTTEEWQAINKLLSFQPEDELMVRSAKDMQNMVQFLVTVSIGQAAARIISVNQVEIVCGRFEQLDVKTKFRHRSVYCDVLLKFYGLSAPEGSLTQSVYSEQKVNALVASFVHLPIGENIDWRLSATIAPCHVTILMDSIDRVFEFLKRSKAISPTVALETATALQMKFEKVTRRAQEQFQIALEEQSRFAFDIDLDAPKVRVPLRTSGSDRCDSHFLLDFGHFTLHTAESQSDEQRQNLYSRFWITGRDIAAFFTDCGSDFGNCSLVKPNYDGQIVNSPITDTVENVYSLIDRCGMAVLVNQIKVPHPRFPSTLISIQVPNLGIHFSSERYFRINELLNILYKTMENCSQPTSDSFQSKVTPWSSVDLATHGRILVWKGIGNSVATWHPCFLVLSGSYLYVFESAKSQSYQRYLSMAGRQVLDIPPMNVGGSPFCIAVSTRGMDIQKALESSSTWILDFREEEEKGLWFKGLIQATYQASTPLSVDVLGNSEGAAASYNALNTTNSKTADIVINGALMEAKLFIYGKAGNTTTDGNHDESLILEIVADGGKVHVILADGDLTVKMKLHSLKIKDELQGRLSVTPQYLAVSVLNRETLCSSSDSTDSHGKDVSLGILDDDDSFMDALSEFISQTDGGHCLHNIELDQHGLTGIASDFESLESLIHEKEIEKGKATPREIYYEAEGSDTSNFVSMSFSTRSSGSPDYDGIDTQMCVRMSKLEFFCNRPTIVALISFGLDISTGNEVTSDTDTLKTSPERPLVKERTDEKGRVRGLLGFGKERVVFRLNMNVDSVTIFLNKEDGSQLAKLVQESFLMDLKVHPSSLSIDGTLGNFRLCDTSLGTDQCWDWLCDIRNPGIDSLIKFKFNSYSVEDDDYEGYDYSLQGQLSAVRIVFLYRFVQEITVYFMELASPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLALDTPIIIVPRNSKSKDFIQLDLGKLQIKNEFSWHGSQEEDPSAVHIDVLHAQILAINMSVGIDGCLGKPMIREGQGLDIFVRRSLRDVFRKVPTFSLEVKVDLLHGIMSDKEYKVILDCTFMNLSEEPRLPASFRGGKSDSNDTIKLLVDKVNLNSQILLSQTVTIISVVVNHALLELCNGTDGESPLAHIALEGLWVSYRMTSSSETDLFVTIPKFSILDFRPDTKPEMRLMLGSSTDAFKQAGTIKVPVSFNLGSFRRTSSEAGIDDTPISTMFLMDYRWRMSSQSFVIRVQQPRVLVVPDFLLAVAEFFVPSLGALTGREETMDPKNDPISRNSSIVLMETVYRQEEDVVHLSPSKQLVADCVGIDEYTYDGCGKVICLSVETDTKEVRSTRSRPIIVIGCGKRLRFVNVKIENGSLLRKYTYLSNDSSYSTSIEDGVDIVVPGDLSSGDEQSLEILNQTSGSSLYSQSESNGTQSFTFETQVVSSEFTFYDGTKSFLDDSSYSEKLIRAKLDLSFMYASKENDTWIRALAKDFTVETGSGLIILDPVDVSGGYTSVKDKTNISLLSTDICIHLSLSAVSLILNLQSQASVALNLGSATPLVPCTNFDRIWVSEKETGPNNNITFWRPQAPANYVVLGDCVTSRPIPPSQAVMAVSNTYGRVRKPVDFRLIGSFQNIEGGGSDSHSTSASDCSLWMPVAPPGYTALGCVAHVGNQPPPNNVVHCLRSDLVTSAKYSDCIFNIPSNYQFTSGFSIWRLDNAIGSFFAHSSSGCPLKDGLYNLNHLLVWNSNRVPLVAPVSDLNSDQENNNQQSAKSMNTSGWDILKSISKATNCYMSTPNFERIWWDKGSDLRRPVSIWRPIARHGYAVLGDCITEGLEPPALGIIFKNDNPDISSKPLQFTKVSHIVGKGIEEVFFWYPVAPPGYVSLGCVVSRTDEAPRANLFCCPRMDLVSQANIHETPLSRSSNSRGPQSWSIWRVENQACTFLARSDLKKPSSRLAYIIGDSVKPKTRENINAELKLRYFSLTILDSLCGMMRPLFDTTITNIKLATHGGLHGMNAVLISSIVASTFNAQLEAWEPLVEPFDGIFKFETFDTNAQSPFGLGKRIRVSATSILNVNVSGANLESFVGSVHSWRRQLEFEQKASKLNAEAGGQHKGESTTFSALDEDDLQTVIVENKLGCDIFVKKVEHDVDTVDMLPHGDCVSVWIPPPRFSNRLNVADESREARYYVAVQILEAKGLSIIDDGNSHNFFCALRLVVDSQASEQQKLFPQSARTKCVKPIISIVNNRDEGNVKWNELFIFEVPRKAPAKLEIEVTNLAAKAGKGDVVGALSFSVGHGANTLKKVASVRMVHQPYDAQNIRSYPFTRMGQQSNVEFMHDSSLVVSTSYFERNTIANLQKELETENTSDRDIGFWVGLDPEGEWESVRSLLPLTVGPKFLQKEYIGMEVVMKNGKKHVIFRGLVGVVNDSDVILNISTCHACHEPSLGTSTSNTVVEEVFQNQYYQPSSGWGNSWPGVHPDNPRHWSTRDFLSSSKDFFEPPLPPGWKWASGWSIEKFQYVDKEGWAYGSDIKNLRWPPTSSKSSTKSASDVVRRRRWIRTRQPISEQGVESLQNGVSTVHPGASTNLSWRSTSKDSEQHLQIRPSFDNSQPSYSWSHAVAVGSTYIFSKDQQLDPGCRPNSVTSNCSLKLNEIEKKDILLCCKPSSGSKQFWFSVGTDASVLNTELNTPVYDWRISINSPMKLENRLPCPAEFSILEKTKEGNCVERHRGIVSSRQSVHIYSVDIQKPLYLTLSVQNGWVMEKEPILVLDPGLSNHVSSFWMVHRQSRRKLRLSIEHDMGGTSAAPKTLRLFVPYWIVNESSLPLSYRLVEVEPLENAEMDSPSLSRAVRSAKTALKNPISSLDRRHFSSRRNLQVLEVIEDSNPFPSMLSPQDYAGRSGGTMFQSQKDSYLSPRLGISVSMRYSEVYSPGVSLLELENKERIDVKALKSEGSYYKLSALLKMTSDRTKVVQFQPHTMFINRVGCSLCLQQCDTQSVLWIHPTDPPKPFEWQSSAKVELLKLRIDGYKWSTPFSVGYEGIMRIGLKKDVGDETMQLRVAVRSGAKRSRFEVVFRLNSLSSPYRVENRSMFLPIRFRQADGIGDSWQLLLPNSAASFLWEDLGRRRLLELLVDGADPMKSLKYDIDEIFDHRPVHVGEGPTRALRVTIVKEEKTNVVKISDWMPETEPIGVMSRRHSSSVNDSQKQQLMSDTDFEFHINVDLAELGVSIIDHTPEEILYLSVQNLVLAYSTGLGSGISRFKLRMCGLQVDNQLPLTPMPVLFRPQRAVSETDYILKFSITIQSNGSLDLCVYPYIGLHGPESSAAFLVNIHEPIIWRLHEMIQQVKLGRLSESQTTAASVDPIIQIGVLNISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINHRFNENVCMRQSSMISMAISNIRKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDFGDVIREGGGAFAKGLFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVISGDNLLQLYEEYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFMLPKGKIFMVTHRRAILLQQPSNIIGQRKFSPAKDPCSIVWEILWDDFGTMELTHGKKDNPKSPPSQLILYLQSRSLDVKENLHVVKCNRESHQALQVYSSIDRALSIYGPNASKGMLKNKVTKPYSPLVDGPSVDVTPKEGVCPWSPQQMPGSAPISSSFGSSSNH >CAK8562279.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:466017927:466020938:-1 gene:gene-LATHSAT_LOCUS15789 transcript:rna-LATHSAT_LOCUS15789 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKYSPLTFFSPKPHIITWVDVAVAIALALVFCALVLISCMTLYLRRFWIFLLVVCFIILLLTRLRASRQTLARKRERRLPLSI >CAK8565044.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:31460627:31463113:1 gene:gene-LATHSAT_LOCUS18285 transcript:rna-LATHSAT_LOCUS18285 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSIACLALLFVASAFASLQPRIPEVYLQNGNFEEKPNPKDLLKTKLIGKNSLPKWEINGLVEYVSGGPQPGGMFFPVTHGTHAVRLGNEASISQTIKVKPGQRYALILGASRTCAQDEVLRISVLPQTGDVPLQTLYSLNGDVIAWGFKATSNVAKVTFHNPGVQEDPACGPLLDAIAIREFYPPMPTRVNLVKNPSFEEGPFPIFNSTNGVLLPPQQQDLFSPLPGWIVESLKAVKFIDANHFNVPFGLGAVELVAGRESAIAQIIRTVTNKVYKITFSVGDAKNGCHGSMMVEAFAAKDTFKVPFKSEGKGKFITVSFKFKAVAPRTRLTFYSSFYHTRTDDFGSLCGPVLDQVIVSPLA >CAK8571643.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:454403921:454404451:-1 gene:gene-LATHSAT_LOCUS24285 transcript:rna-LATHSAT_LOCUS24285 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGFYHETQILSWVLSYGGSHAPDSLAITAAGIALALSEVPMSKAVAGVRVGLIGDKYIVNPTTEEMENSELDLMLAGTDSAILMIEGYGNFLPEEKLLKAVEVGQDVVRAICNEVEVLVKKCGKPNMIDAIKLPPPELHKHEEEALNPSTSDSHPTNPHSHDATHCHCLTTVLN >CAK8565905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:349314421:349314693:1 gene:gene-LATHSAT_LOCUS19080 transcript:rna-LATHSAT_LOCUS19080 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPYRGRGRGYGCGGSGSNNMLPQPESNIPLIGDWTTVYKGRKMQQLPASSAKKEDIASSSSNKTTSYKEVAVNNPPQEQMNYFLKSSN >CAK8535082.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:813082599:813082766:1 gene:gene-LATHSAT_LOCUS4563 transcript:rna-LATHSAT_LOCUS4563 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKPKAGKAKVKEVSKEAKATKEVKEVKETKKEEKIEEKKE >CAK8569757.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9822502:9824965:-1 gene:gene-LATHSAT_LOCUS22571 transcript:rna-LATHSAT_LOCUS22571 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGGNLNSRIDPRSGFCSSNSIFYSKRKPLPLPPKYSLDVTTFISSRAHHGNMAFIDASTGRQFTYQQLWRAVDSVTSSLSTMGIRKGDVILLLSPNSIYFPIVCLSVMSLGAIITTTNPLNTTREIAKQIADSKPVLAFTTPQLISKITGASPSLPIILMETDGNSSSSTNTLEKMMEKEPELRRVRERVNQDDTATLLYSSGTTGPSKGVVSSHKNLIAMVQIVMSRFSKEQEDHGETFICTVPMFHIYGLAVFATGLLAFGSTIVILSKFEMHDMLSSIEKFRASFLPLVPPIMVAMLNNADAIKSKYDLSSLHSVASGGAPLSKEVTEGFIEKYPNLQILQGYGLTESSGIGASTESLEESRRYGTAGLIASLTEAMIVDTETGKPLAVNRTGELWLRGPTIMKGYFSNEEATSSTINSEGWLRTGDVCYIDTDGFLFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDKDAGQCPMAYVVRKSGSNLSQTQVMDFVAEQVAPYKRIRKVAFISSVPKNPSGKILRKNLIALATSKI >CAK8570500.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:52587017:52587683:-1 gene:gene-LATHSAT_LOCUS23243 transcript:rna-LATHSAT_LOCUS23243 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLLDAYLHQQTLENKNGNSMTTSAMDSILKELKTHFPDKPISKEKIKDHMKHIKTKFNSCYDLFQNGLNGFGWDSTTNMWIVKDEAKPEAAEWKNKPILFYDKLANFFGKDRATGEHEGTTAEMRAKKAANVEKSHGTTIEEINHLVKTNEVILEGFDDAEHHSNNSSTRPSITNY >CAK8530789.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:50239418:50240269:-1 gene:gene-LATHSAT_LOCUS630 transcript:rna-LATHSAT_LOCUS630 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTKRKAPTKSIKDLNKRRWRRKSPIKNVVAASSAVLSSIHRRISKLLSKLGLTRLSSNRKRNSYKILKKTNHFHFPHENSLDTVCKTLLFDDNEPSLLPPTLSNRKTVFLDLDETLVHSTTTLPPGSFDFIVRPTIDGEPMDFYVSKRPGVDELLESLALKYEIVIFTAALKEYASLVLDRLDRNRFISHRLYRDSCRSIDGKLVKDLSVVGRDLKRVVIVDDNPVSFSNQPENAILIRPFVDDVCDRELWKLRSFLNGSDCFDDMREAIKHFVAERDRR >CAK8544667.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:698502552:698505220:-1 gene:gene-LATHSAT_LOCUS13324 transcript:rna-LATHSAT_LOCUS13324 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKFSSHSNSLSRLTLKQRNFYVFLVLISIPIFMFFFSINYQRINVHENTKLSWFEFIAQDFHSKTKIKIGLVNINPRSMDEKLDTIQSQVDIIPINFDHVDMNLKWNDFFPEWIDEEEKVHKPKCPNMPMPTLKNYKDLDVVVAKVPCGVGSTEEKGIRDVFRLQINLVVANLVVEAKWLEKFESNHGNMYVVFVGSCGPMVEMFRCDDLLMHQSDYWIYKPDLKRLKHKILMPVGSCQISPSYAEKGKEIWRSYFPQSLEHNTSNVQGPKLAYVTVLHSSEEYVCGAIALAQSILLAGKSIFEPIDLVLLADDSIGVESARGLRAAGWKIIRIQRIRSPFANEKAYNRWNYSKLRIWQLTMYEKIIFIDSDFLVLKSIDSFFAYPQLSAAPNEDVLFNSGLTVVEPSQCMFEYMMNKTSKVKPYNGGDQGFVNEVFTWWHRLPSKLNYMKSFKKIGDDKHQIHEGVYTIHYLGLKPWMCYRDYDCNWDLQDHYVYASDSANEVWWKVYDNMPTHLQSYCAMTRKSNENFVWNRKLARNASLPDEHWKIEVKDARKMTYLD >CAK8573592.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623455008:623455400:1 gene:gene-LATHSAT_LOCUS26024 transcript:rna-LATHSAT_LOCUS26024 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDWKPKLKMIFDSIEDAWKFWVDYGGKVGFGVRKQYYNKHKNGIITSYRFVCCKEGLQKPDKRDYKTINPRPETRTNCQARLGLKNMDGKFMVVDFVEEHNHNLHLQETTQCCHLKEKSLKFNVTKLI >CAK8573593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:623455346:623455624:1 gene:gene-LATHSAT_LOCUS26024 transcript:rna-LATHSAT_LOCUS26024-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQRKVSQIQCHQIDLADDAGLQQRKSFDLMSKKVGGRTNLGFIRLDQKNYLRKKKGKEYGTWRSWLSIGILPKKVYGKSKFLSCISNGR >CAK8562864.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:550396951:550397981:-1 gene:gene-LATHSAT_LOCUS16327 transcript:rna-LATHSAT_LOCUS16327 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRDKKSDINSHSYSNPNVAEWELRPGGMLVQKRNSDANKSSSSIIKVKVKYGSSSHQIHISSHASFGQLKKMLTESTGLHVEDQKIIFKKKVRDSKSYLDVERVKDGSKLVLIEDIESRERRVLEKLKIAKKEKTSKSLIKINLEVDKLAKKVSDLEEIASKGGVIQELDVESLTESLMRILITLDEIYGEGELKMQRKEQVKRVQKHIETLDMLKMVKTNEGNNVDCGKKQEQPLKHCESVVVTTKWETFD >CAK8560118.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8103341:8105898:1 gene:gene-LATHSAT_LOCUS13829 transcript:rna-LATHSAT_LOCUS13829 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGFDENDYLSCCGSTQFAKEMASASPFPSYNHALSLARHIWFDIVDVNGWLQAFSAHPSIGQARAPSHASQTSAQWSKGEQSTALATATTSSLQELAEWNARYMQKFGFVFLICASGRSTESILAELKKRYTNRPIVEFEIAAQEQMKITELRLSKLFTSKGNIISTTDKNSTLATKKAEEERVSIIGGHVTAASDTLTAKSTQNPSRTRPPITTHVLDVSRGSPASGIEVLLEKWKGSQPRPIFGYTDSEGWVVQGSSSTDSDGRSGQLINIVDDVDPGIYRISFNTGKYNPNGFFPSVSIVFEILESQTRQHFHVPLLLSPFSFSTYRGS >CAK8560119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:8103341:8105898:1 gene:gene-LATHSAT_LOCUS13829 transcript:rna-LATHSAT_LOCUS13829-2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGFDENDYLSCCGSTQFAKEMASASPFPSYNHALSLARHIWFDIVDVNGWLQAFSAHPSIGQARAPSHASQTSAQWSKGEQSTALATATTSSLQELAEWNARYMQKFGFVFLICASGRSTESILAELKKRYTNRPIVEFEIAAQEQMKITELRLSKLFTSKGNIISTTDKNSTLATKKAEERVSIIGGHVTAASDTLTAKSTQNPSRTRPPITTHVLDVSRGSPASGIEVLLEKWKGSQPRPIFGYTDSEGWVVQGSSSTDSDGRSGQLINIVDDVDPGIYRISFNTGKYNPNGFFPSVSIVFEILESQTRQHFHVPLLLSPFSFSTYRGS >CAK8560710.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:37465900:37466911:1 gene:gene-LATHSAT_LOCUS14365 transcript:rna-LATHSAT_LOCUS14365 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRKWSLLTGPAAILGGVVATVAVANFILVKNDPFTKPEERKFGNQPATK >CAK8536844.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:27879985:27881100:-1 gene:gene-LATHSAT_LOCUS6171 transcript:rna-LATHSAT_LOCUS6171 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWANLDSLPLDLILDKLKEPFHHIWFGSVCKSWHLIAKLNHHGNIQFRSNMLPMLMIPSEKTTEKRNLYSVVANRVYPFEFTTLNQKRCCGSSYGWLATVAAYNIITWVNPFKDVAPIILPWIDIYMKYKHCEFNIRKVTLSADPITSPDDYVVAAIYTNCGALAFIKAGQEFWTYIQENHSCGFVDLTFYKGLVYAVSRWKKIVSFELCYSSDPHDPYGRERRNSNVVLERSQDEIYSPLTYLVKSLEGVLWMVRRFITREEDNTNKGTKDFHVFKLKLDHKGENLIHLLKLESLGDNVLFVGDGDSTSISASYFSNSLQKDSIYYSDNYFDDEPDPYPQGPFDLGFYNVKHASLVFIVLTNLTSRI >CAK8538053.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:460639150:460642610:1 gene:gene-LATHSAT_LOCUS7293 transcript:rna-LATHSAT_LOCUS7293 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAKKRALFRAKLNAQKKDKRIESPLVRYNESDQPVCRVCDVVLKSESIWDAHQVSRKHREAINNLKANAAGLTKQNNVEPDIPAKRPKPEQPSDSPFKIPQSSQVPRPQSSSSVLPPDFFDNNDTKKMRSEKDSVHSVDSDFGRNTGASAQSLVSNSEKDHFHGNTITESKVNQVTMENRNTSVKAMDTENKQEKGALPEGFFDNKEADLRARGIKLVKPDVKDEYKEFEKLIQEDLREVDDRLEEEEIDAAEVIEEAESAEQRKLKEKVEMLKKKRLELKAAKSAKLSISSEVVTKKSVHEESSSDDESGEDFDVDWRAQHL >CAK8577672.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:582932740:582935382:-1 gene:gene-LATHSAT_LOCUS29758 transcript:rna-LATHSAT_LOCUS29758 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVFFLDLEGRAYRCVFCQTPFALADHVLSRSFSCSRGRAYLFSKVVNVTLGTQVERLMISGMHTVEDIFCCCCGQIVGWKYIIAHEKSEAYKEGKFVLERWRIVDDVEEELNLDANASSSESDENP >CAK8564137.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653708883:653712247:-1 gene:gene-LATHSAT_LOCUS17467 transcript:rna-LATHSAT_LOCUS17467-4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEGDLDLRQSSHSAFTTGENAFADVGNLEHCTKYLNQSLVTFGFPASLDLFANDPVSIARTCNCIYFLLQQRQRDVEFRESANEQRQRLLSDISRFEAKVERLEGQIQAKDREIATLTRKEAKNTSTLKATIDKLQQERDEFQRMVIGNQQVRTQQVHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIVDAYEAKNQELIAENADLRALLRSMQVDMRDFLNAPNGLPNQSPTPNERAESNPSQSPLVGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKEADVTSEATERELELEAQLVEARSIIQEQKNKTTFNGSKNWCFIEE >CAK8564136.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653708467:653712247:-1 gene:gene-LATHSAT_LOCUS17467 transcript:rna-LATHSAT_LOCUS17467-3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEGDLDLRQSSHSAFTTGENAFADVGNLEHCTKYLNQSLVTFGFPASLDLFANDPVSIARTCNCIYFLLQQRQRDVEFRESANEQRQRLLSDISRFEAKVERLEGQIQAKDREIATLTRKEAKNTSTLKATIDKLQQERDEFQRMVIGNQQVRTQQVHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIVDAYEAKNQELIAENADLRALLRSMQVDMRDFLNAPNGLPNQSPTPNERAESNPSQSPLVGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKEADVTSEATERELELEAQLVEARSIIQEQESIMAKHLPKSDRPRESAPAEVCIYVYVCLFQVLGGYFNL >CAK8564134.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653707177:653712247:-1 gene:gene-LATHSAT_LOCUS17467 transcript:rna-LATHSAT_LOCUS17467 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEGDLDLRQSSHSAFTTGENAFADVGNLEHCTKYLNQSLVTFGFPASLDLFANDPVSIARTCNCIYFLLQQRQRDVEFRESANEQRQRLLSDISRFEAKVERLEGQIQAKDREIATLTRKEAKNTSTLKATIDKLQQERDEFQRMVIGNQQVRTQQVHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIVDAYEAKNQELIAENADLRALLRSMQVDMRDFLNAPNGLPNQSPTPNERAESNPSQSPLVGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKEADVTSEATERELELEAQLVEARSIIQEQESIMAKHLPKSDRPRESAPAEGVCN >CAK8564135.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:653708348:653712247:-1 gene:gene-LATHSAT_LOCUS17467 transcript:rna-LATHSAT_LOCUS17467-2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEGDLDLRQSSHSAFTTGENAFADVGNLEHCTKYLNQSLVTFGFPASLDLFANDPVSIARTCNCIYFLLQQRQRDVEFRESANEQRQRLLSDISRFEAKVERLEGQIQAKDREIATLTRKEAKNTSTLKATIDKLQQERDEFQRMVIGNQQVRTQQVHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIVDAYEAKNQELIAENADLRALLRSMQVDMRDFLNAPNGLPNQSPTPNERAESNPSQSPLVGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKEADVTSEATERELELEAQLVEARSIIQEQESIMAKHLPKSDRPRESAPAEVFSVFVVVGGIMVFGNASLEVYFK >CAK8569801.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:11645163:11648096:-1 gene:gene-LATHSAT_LOCUS22610 transcript:rna-LATHSAT_LOCUS22610 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRPGSSSRRFGDGKPKSSPLLSIALIVVGALFIVGYFYKGSGGGSRLDSVSRVEGAGDYLCSGEVQRAIPVLQKAYGDSMHKVLHVGPDTCYVVSRLQKEDETEAWGIEPYDVEETDGSCKTLIRRGSVRVADIKFPLPYRPKSFSLVIVSDTLDYLSPKYLNKTLPDLVRVSTDGLVIFTGIPTNQKAKVADVSKFGRAAKMRSGSWWVKFFLQNNLEENEAANKKFEQASTKSSYVPRCQIFHLKSLH >CAK8543191.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586745328:586760325:1 gene:gene-LATHSAT_LOCUS11964 transcript:rna-LATHSAT_LOCUS11964 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTKPNNSFSYSTLFNLQSLINFELPEQDDDFEYYGNSSQDESRNSRGGAVASHSNGNVCGRDVNLLKKRSRSGNSDNEEKSGFHGTHTMEERYRSMLGDHIKKYQRRFKGTRNSPDPNQAVVPFAKANNGLKAHKPGNERRRGLLDEETMSEWMNGSNAQKPGNLLDTDFVRQHGPDRVMYEPASVNIGDGVTYKIPPIYDKLAAMVNLPSFSDIHVEDFYLKGTLDLGSLAEMMASDKKFGNRNRAGMGETVSQYESLQARLKDTPVSNSAHKFSLKISDADLNSSIPEGAAGSIKRSILSEGGILQVYYVKVLEKGDTYEIIERSLPKKQKVKKDPALIEKEEMDKFGKIWVNIVRRDLPRHHRNFTTFHRKQLVDAKRASDNCQREVKMKVSRSLKWTRTASMRSRKLARDMLLFWKRIDKEMLEVRKREEKEAAEALRREQEIREAKRQQQRLNFLIQQTELYSHFMQNKSIPSEALSMADENTNDENALINSSAAGRNEEEDPEEAELRKEALKAAQEAVSKQKMLTSAFDSECLRLRQAGESDSLQPEVSGASNIDLKTPSTMPVASTVRTPELFNGCLKDYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMVFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPELKILPYWGGLSERTVLRKSMNPKDLYRREAKFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSNSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSIIKPFMLRRVKKDVVSELTSKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKKILNLMNIVIQLRKVCNHPELFERSEGSTYLYFGKIPNSLPPPPFGELEDVYYSGGHNPISYEIPKLVYQEILRSSVSLSSDVGHGICRESFQKYFNIFRAENIYQSVFSEDMHVKSGTFGFTHLMGLSPQEVTFLVTGSFMERLLFSMMRWEQNFSNEVVDFLTETIIDDLGCSYLEKGKVRTVTRMLLVPSRSESKFLQNRLPTGLNHAPFEALVVPHQERILSNARLLHSAYSYIPKSRAPPIGAHCSDRNFYYKMIEELHDPWVKRLFVGFARTSDCNGPRKPDGSHHLIQEIDSEQHVCKPALQLTHSIFGSSPPMRNFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSTSIQDRRDMVRDFQHRADIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDVQLQQKFKTITVQGRDKQKKKPMKGILVNEDGDASLEDVSNPVALGTTDYDVAVDPEGSKSSNKKRKTASASDKKTLRPKNLQKTSEFDTTPRHNELDDVHLNTDPAVQRPKRPKRTKKNVNEMFEETLTGSATKIPEQTQFQPPRDGGSKIESGQDT >CAK8543190.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:586745328:586760325:1 gene:gene-LATHSAT_LOCUS11964 transcript:rna-LATHSAT_LOCUS11964-2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTKPNNSFSYSTLFNLQSLINFELPEQDDDFEYYGNSSQDESRNSRGGAVASHSNGNVCGRDVNLLKKRSRSGNSDNEEKSGFHGTHTMEERYRSMLGDHIKKYQRRFKGTRNSPDPNQAVVPFAKANNGLKAHKPGNERRRGLLDEETMSEWMNGSNAQKPGNLLDTDFVRQHGPDRVMYEPASVNIGDGVTYKIPPIYDKLAAMVNLPSFSDIHVEDFYLKGTLDLGSLAEMMASDKKFGNRNRAGMGETVSQYESLQARLKDTPVSNSAHKFSLKISDADLNSSIPEGAAGSIKRSILSEGGILQVYYVKVLEKGDTYEIIERSLPKKQKVKKDPALIEKEEMDKFGKIWVNIVRRDLPRHHRNFTTFHRKQLVDAKRASDNCQREVKMKVSRSLKWTRTASMRSRKLARDMLLFWKRIDKEMLEVRKREEKEAAEALRREQEIREAKRQQQRLNFLIQQTELYSHFMQNKSIPSEALSMADENTNDENALINSSAAGRNEEEDPEEAELRKEALKAAQEAVSKQKMLTSAFDSECLRLRQAGESDSLQPEVSGASNIDLKTPSTMPVASTVRTPELFNGCLKDYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMVFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPELKILPYWGGLSERTVLRKSMNPKDLYRREAKFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSNSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSIIKPFMLRRVKKDVVSELTSKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKKILNLMNIVIQLRKVCNHPELFERSEGSTYLYFGKIPNSLPPPPFGELEDVYYSGGHNPISYEIPKLVYQEILRSSVSLSSDVGHEDMHVKSGTFGFTHLMGLSPQEVTFLVTGSFMERLLFSMMRWEQNFSNEVVDFLTETIIDDLGCSYLEKGKVRTVTRMLLVPSRSESKFLQNRLPTGLNHAPFEALVVPHQERILSNARLLHSAYSYIPKSRAPPIGAHCSDRNFYYKMIEELHDPWVKRLFVGFARTSDCNGPRKPDGSHHLIQEIDSEQHVCKPALQLTHSIFGSSPPMRNFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSTSIQDRRDMVRDFQHRADIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDVQLQQKFKTITVQGRDKQKKKPMKGILVNEDGDASLEDVSNPVALGTTDYDVAVDPEGSKSSNKKRKTASASDKKTLRPKNLQKTSEFDTTPRHNELDDVHLNTDPAVQRPKRPKRTKKNVNEMFEETLTGSATKIPEQTQFQPPRDGGSKIESGQDT >CAK8532419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:259417437:259418645:1 gene:gene-LATHSAT_LOCUS2127 transcript:rna-LATHSAT_LOCUS2127 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKEWYHNLGAFKQDELHRLETIASVHGVLHREFIGDMEIFDRKIRQEFFEMKCCSLKRKHLDKHNHRMAHRYYVLNGYNDPILKNTYVSSLPQELKPEIHRMLAKTQKDIKTMSLGQIHQVNLEALEKLCSFYHQFSEIIEKNTKFTQACKKPYLEIKCKDKRCGCLTKNKHKKYTKSHRTFKGKKRKNMKFFRRKPFRGKGKNQRCFICGKKGHFSKECPNNTHKAAKLINSLQPLEGDLESLYSEQSSVDEETIFSLQDSSSDEASFSESEDDRYLPVYSIKEIGSFLPTTPLPCVEFHVLATKFSRPKKVISYMDTGAQITMMNPNILPAESWVTHVAYFVAADEKVFMTNIMTKEKIGIKFFPECIVWTKAIGSNLPNKDIIVGMDVYSEAIKL >CAK8573502.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:616761299:616764084:-1 gene:gene-LATHSAT_LOCUS25944 transcript:rna-LATHSAT_LOCUS25944 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIWLCGIFVAMLATLCLLLLLILTFSWWVFPIQIHKKLIRNGFQGPTPSFPLGNIEEMKRKNIIKSSLESSNLTHDIHSKVFPYFSSWQKSHGKVFVYWLGTEPFLYIADAEFLKKMSTEVMAKRWGKPNVFRNDRDPMFGSGLVMVEGNDWVRHRHIVAPAFNPLNLKVMANMMIDSTNQMINKWTNQINFGNLEIDVEKEIITIAGEIIAKTSFGVEDENAKEVFTKLRALQMTLFNTTRYVGVPFGKFFNVKKDMEAKKLGKEIDKLLLSIVEARKSSTKMNSQKDLLGLLLQENNHVDRLGKTLTSREVVDECKTFFFGGHETTALAITWTLLLLAMHEDWQNQLREEIKEVVGNNEIDITMLAGLKKMKWVMNEVLRLYPPAPNVQRQAREDIRVDDVTVPNGTNMWIDVVAMHHDLELWGEDVNEFKPERFMDDVNGGCKHKMGYLPFGFGGRMCVGRNLTFMEYKIVLTILLSSFTFKVSPSYQHSPAIMLSLRPTHGLPLIVEPLN >CAK8569549.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:4876560:4878133:1 gene:gene-LATHSAT_LOCUS22390 transcript:rna-LATHSAT_LOCUS22390 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQNEPAKLRWGELEEDDGEDLDFLLPPRQVIGPDENGIKRVIEYQFDDDGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGARLTMVSTEEIVLERPKPLGSSKEDAKASDPLAQFNKGAVLMVCRTCGKKGDHWTSRCPYKDLAPQSEGFVDKPPTADGSATATSGPAKGTYVPPSLRAGAERTTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRTT >CAK8574296.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:674463480:674464247:-1 gene:gene-LATHSAT_LOCUS26654 transcript:rna-LATHSAT_LOCUS26654 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTAELLKFPKIEDPDQEMEFQLFAETYQLVEPSIKEKDDVYELLTYSSKLYISSGLIWKNSLDIQEQIIFIGNIPLMNSLGTFIVNGIYRIVVNQILQSPGIYYRSKLDHNGISVYTATIISDWGGRLELKMDRKARIWARVSRKQKISILVLSSAMGSNLSEILANVCYPEIFLSCLTEEEEENIGSKENAILEFYRQFVCVGGDPIFSESLYTELQKKNLTKM >CAK8565176.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:57340252:57349188:-1 gene:gene-LATHSAT_LOCUS18411 transcript:rna-LATHSAT_LOCUS18411 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISPSLLYLFSLATLLAVVTGTGTSSPEVHPSHYATTFNRSLFPSDFLFGIGSSAYQVEGASNIDGRGPSIWDTFTKQHPEKIWDHSSGNIGAGFYHRYKSDIKVVKEIGLDSYRFSISWSRIFPKGKGEVNPLGVKFYNNLINEILANGLIPFVTLFHWDFPQSLEDEYKGFLSYKVVKDFENYADFCFKTFGDRVKHWVTLNEPFSYCINGYNGGTFAPGRCSKYVGNCEFGDSSTETYIVAHNLILSHAVAARLYKAKYQAHQKGNIGATLVTHFFEPYSKSTADRQAASRALDFFLGWFAHPLTYGHYPQSMITSLGNRLPKFTKEEVELIKGSYDFLGVNYYSTYYAQSIPPTSVNSTFYTDIQATVSPLKNGVSIGPATDLNWLYVYPKGIHDLVKHIKDVYKNPPVYITENGIAQSRNDSIPINEARKDGIRIKYHDEHLKFLLQGIKDGANVKGYYAWSFSDSYEWDAGYTVRFGILYVDFKDNLRRYPKYSAFWLQKFLLK >CAK8570083.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:23299892:23302147:-1 gene:gene-LATHSAT_LOCUS22866 transcript:rna-LATHSAT_LOCUS22866 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSKISSLLSLSKPKLIHHTNSFLFPASNLRTQSSSNVATRLTKKKTEAALAKEKRRTRSDKELDKDTIIELYNNNSHLHIPVMLSEVLDVFSNCTLTSFVDCTLGAAGHSTNVIRGHPELKYFVGIDVDPVASGVAKSRIGSVLDDSESSVKVFTMLRNFRHIKSVLRGTGEEHLGVASIDGILMDLGMSSMQVDDPQRGFSVLGDGPLDMRMDPQASLKAEDILNSWPDTEVGHILRDYGEESNWRTLQKKIVQARLEGGLHSTTDLLDLIKRVTPAMKGGRQGWIKTATRVFQALRIAVNDELKTLEDSLYSCFDCLAPGGRLAVISFHSLEDRIVKQTFLNIIKGNEDMGEGESGNGDLRKMIDGIKEKEAWIKQVLHGSNGIVLTKRPITPSEEEEELNRRSRSAKLRVIQKL >CAK8561253.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:129325335:129329099:1 gene:gene-LATHSAT_LOCUS14862 transcript:rna-LATHSAT_LOCUS14862 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFKSSSRRGNQSSSSSTSTPTTTTSTAASRAPPIRRSRSVSAFSRNTTFDFSNEFLNKRDNPLFDQTSKPPLLETSPPVRGRSVARNGEPNRKDTGRSVSRVDTGRRSTRSASQCPVSRRHFNYSTSESEADCKAKNGLKLGGSNRKGGLFGRPDKDVIDQAKDMRRWSNQHSTTDVSDRFTSTSFGLQSQNCDDAVSTASSGFGCDEKTIKAVCVQNSAQRDQPGDSDIYETVRSEVRRAISEIQIDLESAIQVSNATAINVTDLADIHPDLLSPGSVELALETRREYTKKLKEAEERARRLRADLAVEEHRVRELDRILKEVLPYPKTPNIPKSRPSRKSSIERKRMSRRLAEDAKAYFDECVSLSTFDSSDFSSQEDPPLSMVGPPTPSRLTEQSGTRELSHDIHYDTLQPPASIDSEEAIHEQVSSTADSKETDSKHCFSFAQKPSEGTTVQQDIQQYIKFFEKSVSKIPTMRSSYGEMRDYSFQSSAESLLIDRVMLRSRIESGRFLLCGGGNLWSS >CAK8563679.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:624757575:624758243:1 gene:gene-LATHSAT_LOCUS17064 transcript:rna-LATHSAT_LOCUS17064 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKTLQLNLASAKDLNNVNVFSKMYVYAVVSISGDPVNKQRTKTPLDREGGTNPAWNFSVNFTFNELLARQNRLTLEINLRCSGSLAIDKDVGSVQVPLGELLKQTGDGKTFQHVSYQVRKPSGKPKGSFSFSYKVTDPVNQKTEPVMVTGYPSPAVGSASSPYPVVYPPPQSEYPAGYTYPSPSPSYSEYQQTPVGCFYPPQNGYMYPPPDAVPVAKSHF >CAK8574916.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:15161872:15162345:1 gene:gene-LATHSAT_LOCUS27215 transcript:rna-LATHSAT_LOCUS27215 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKINLKSRDGDVFEIDEAVALESQTIKHMIEDNCADETGIPLPNVTSQILAKVIEYCKKHVAAANSEEESVDEKALKTWDAEFVKVDQVTLFELILAANYLDIKSLLDLTSQTIAESMVGKTVEEVRKMFNVENDFTKEEEEELRRENHWAFE >CAK8531367.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:105259291:105259515:-1 gene:gene-LATHSAT_LOCUS1164 transcript:rna-LATHSAT_LOCUS1164 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDERDTYASNFDYDHVDNNFSTTEVSTSPIPNLTTMFERITHVHQRQNHRQLQADLVEHIWERFGHENNES >CAK8541536.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:234090054:234091202:-1 gene:gene-LATHSAT_LOCUS10451 transcript:rna-LATHSAT_LOCUS10451 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITNLSLDLIESILSHLPIPSLIQASTVCKLWHTIISSSTFSSLHKHQHKPWFFLHGIHNISSKNNQSFAFDPSSNSWFLLPTPQQPLHYPNNTSFIGTSSFFFITAPNFVYTPILHPLVWFSTPPLHFPRINPLLGVFNDGSSVKFIVVGGVRFIGNLVDIEDRLDVEIYDPLLGSWDLAHPLPADFRSGNSSSSLSSALFKGRFYVFGIYSCFVSSFDLELRVWGDVRVVRPSGVVFSFLISCRESLVLAGVCNSPSGYSFTLWEVDEMSMEICEISVMPPDLLCSLFDGDEEDRFASLKCVGLGDLIYVFNEDYHRMYPACVCEIRGGRRGGENSECYWRRVPQLPSLMNRFHKVVSFCSNVSLHSILGERQHHGIH >CAK8569203.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:682193479:682196975:1 gene:gene-LATHSAT_LOCUS22083 transcript:rna-LATHSAT_LOCUS22083 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDQRQLDVTPTWAVAAVCAVIVIISILLEKLIHKFATVFEERKKHALLEALEKIKAELMVLGFISLLLTFGQNYISKVCIPMKYGTTMLPCLPMHERVADHPNEHALTDHEPTPTDHEPTPTDHEPTPTDHEPTPTKPAEEGESNGEHHRRLLSYERRVLSGGGGGPGCKPGYLPLISVGGLHQLHIFIFFLAVFHVIFSAITMTLGRAKIKGWKEWESDHMVDEDALNDPRRFRLTHETSFVRDHNSFWTKTPVTFYFVCFFRQFFRSVRRADYLTMRHGFVSVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPILWGSVVLFLLVNVHGWHAAFWVSFLPLAVILAVGTKLQAIITRMALDIKERHAVVQGIPLVQVSDKYFWFEWPQLSLYLLHYVLFQNAFELTFFWWTWYEFGWASCFYEDDSLMIIRVALGLGAQFVCSYVTLPLYALVTQMGSTMKRSIFDEQTSKALKNWRNKAKGRTTETRTLGGSSMETPGETPLQDVNIDTGAELRERVTATTVTKGEDDDFDNRNLLTGP >CAK8564826.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:11278581:11283406:-1 gene:gene-LATHSAT_LOCUS18088 transcript:rna-LATHSAT_LOCUS18088 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRPLLLQSPRTPNDQEFPTLPVFPELPKSKSSSSNTVTFSGVEYGIQVDNKNSSNSSTSSSSKSAMSVHSLSSSKRNNSLNISGSRKSVRYGSKSGGDSDGLSMSQRELRDEDARLVYINDPDKTNENFEFFGNSIRTAKYSILTFIPRNLFEQFHRVAYVYFLIIAILNQLPQLAVFGRYVSILPLAFVLFVTGVKDAFEDWRRHNSDKVENNRLASVLIHDGSFVEKKWKDIRVGEIVKIKTNETIPCDIVLLSTSDSTGVAYVQTINLDGESNLKTRYAKVETGLKIQPRFNGLIKCEKPNRNIYGFMANMEIDGKKLSLGSTNIVLRGCELKNTSWALGVAVYCGRDTKAMLNSSGAPSKRSRLETRMNYEIIMLSFFLVALCTITSVCAAVWLKRHKDELNLLPYYRKLDFSEAKVEDYKYYGWGLEIFFTFLMSVIVYQVMIPIALYISMELVRVGQAYFMIEDDRLFDEATKSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSSTKASMGGEQTEYSVQVDGKVLKPKMNVKVNPELLKLARNGFRNAEEKRIYEFFLALATCNTIVPLVVDTPDPDVKLLDYQGESPDEQALAYAAAAYGFMLIERTSGHIVIDIHGERLKFNVLGLHEFDSDRKRMSVILGYPDNSVKLFVKGADTTMFSIIDKSYNMDLIKSTETHLHSYSSLGLRTLVIGMRELNTSEFEQWHASYEAASTAVFGRAAMLRKISNHVENNVCILGASAIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAVSIGFSSKLLTRSMTQIIISSNNKASCRKSLKDALERSRKLDAVSTQIALIIDGGSLVHILDTDHEDELFQLASLCSVVLCCRVAPLQKAGIVSLVKKRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMSSDFAMGQFRFLVPLLLVHGHWNYQRLGYMILYNFYRNAVLVLVLFWYVLYTAFTLTTAINEWSSTLYSIVYSALPTIIVGILDKDLGRSTLLRYPQLYSAGQRNEAYNKKLFMLTMADTLWQSMVIFWPPLFAYWKSTIDVASIGDLWTLAVVILVNLHLAMDVVRWYWVTHAVIWGSIVATFIAVMIIDAIPKLPGFWAFFHVANTGLFWALLLGIIVAALLPRLVVKFIYQYYFPSDIQISREAEKVRQYQRVAENGQIEMLPVSDHQQTR >CAK8532387.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:255420729:255426469:1 gene:gene-LATHSAT_LOCUS2098 transcript:rna-LATHSAT_LOCUS2098 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIDKNYHPTVICRAYNKALEDAIAAIDKIAMPIDVQDRGQMLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDIGQGLRDVDIKNYIKVEKVPGAQLEDSRVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELLKEEDWSLLLKLEEEYIEGLCAQILKFNPDLVVTEKGLSDLACHYLSKNGVSAIRRLRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEYFAFIVDCKEPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATEMTVSAALKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGAIADMKEHKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKTQAPGAGPSKPKIETEGDADGEQILPD >CAK8535774.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:880630652:880634349:-1 gene:gene-LATHSAT_LOCUS5199 transcript:rna-LATHSAT_LOCUS5199 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQMKRLIGKKFADPEVQSDLKSLPFAVTEGPDGYPLIHARYLGEARAFTATQVFAMMLSNLKEIAQKNLNAAVVDCCIGIPIYFTDLQRRAVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKVLSHSYDRSLGGRDFDEALFHHFAAKFKEEYKIDVYQNARASLRLRTACEKLKKVLSANPEAPLNIECLMDEKDVRGYIKRDDFEQLSLPILERVKGPLEKALAEAGLTVENIHTVEVVGSGSRVPAIYKILTEFFKKEPRRTMNASECVARGAALQCAILSPTFKVREFQVNESFPFSISLSWKGSGSDAQDSAPENKQSTLVFPKGNSIPSVKVLTFFRTETFSVDVQCHDQSDVQAPTKISTYTIGPFKTENGEKGKIKVKVRLNLHGIVTVDSATLFEEEEIEVPVTKESAEENAKMETDEAPADAPPPSSNDSDVNMQDAKATADTNGAVNGVPETGDKPVQMDTDAKVEAPKKKVKKTSIPVAEVVYGAMSTGDVQKAVEKEFEMALQDRVMEDTKDKKNAVEAYVYDMRNKLNDKYHEFVQASESDEFLTKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEHTDRDAVIEQLVYCINSYREVAMSNDPKFDHIDINEKQKVLNECGEAENWLREKKQQQSLLPKYATPVLLSADIRKKAEAVDRSCKPIMTKPRPAKPASPATPETPATPPPQDGEQQQQQSEQQPQEDANVSSNGNAEDNGNQVPPASGEPMETDKPENTGPA >CAK8531695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:140436736:140441649:-1 gene:gene-LATHSAT_LOCUS1468 transcript:rna-LATHSAT_LOCUS1468 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTSNRKRSEECMSVNHNHTIAPPSSDYRRKRLKFSSESTLIVSTSYAAVSRISRYPDVKPPFVREVHAPCRPNKFNLARKLSSLGDDIMGNFLVRNYEKAKRAALGECRFVSQREKVVIDLDVESSGREVSDDSGVMEVIEVDDSEVKVGGLEAKVVEVGGQRRSTSSPDSALTNAIVNLEEHDLSNVHVYKKLLEGVQRRTDTIQSLNFQIELNEKRRETFQLLRPKKDLVEEVPFEPFVPLTDDEEHEVSCAFSTNRKKILVAHESSNIELSGEKIRCLLPGAWLNDEVINLYLELLKERERREPKMFLKCHFFNTFFYKKLISGRDGYDYKSVRRWTSQRKLGYNLFDCDKIFVPIHQEIHWCLAVINKKDAKFQYLDSLKGKDNRVLKVLARYFVDEVKDKTGKDIDVSTWEKEFVNDLPEQENGYDCGVFMIKYADFYSRGLGLCFNQEHMSYFRRRTAKEVLRLRAD >CAK8574905.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:14753459:14755109:-1 gene:gene-LATHSAT_LOCUS27204 transcript:rna-LATHSAT_LOCUS27204 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKPVAESKSIPLKILVNKQRNKVVFVETTKDFVDTLFSFLSLPLATIVRLLETNDNGQQQSESSPFLDNIKNLYQSVQNLDSDDIWNNPMCKQILLRPRNPCESLCMKLFMDIEQAVLPTKFFVCDSCYKFTTFPNTHCSCGKSPNKVPRNLDILTQKSPQDGVFVKEKGPMFLISDDLKIVSSSMLSSLEMLIKLGYSDLTQLEEITHNIGKHEILNLLKYTLISDEPLTKTIFASCSINKYIRPNQFASTVSITPFANDITRKMKVKVMQSKSQKNIIYAEANGDFVDFIFSFLTMPLGSVVNCLGVNSFGGCVGNLYESMKSFDPTSVLLNPGIVSCTNHLLNIPHVIPPPTTYYYGVQEWRYKYVIEGVISKSPESIYDRRSLISLDFNLLKKGVVGFVKKDALYGIGDDLKVKQDYATFLFSYLKELNLSLDDLEVKVISIGEVEALSILGACVTSNFTLTSALKHFLNVPKHESTLTLAT >CAK8577516.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570503335:570504279:1 gene:gene-LATHSAT_LOCUS29617 transcript:rna-LATHSAT_LOCUS29617-2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIAVDLTPILKVYKNGRIHRLVGEEVVPASLDPTTNVESKDVIISKEDNISARLYIPKTNYPPTQKLPVLVYVHGGAFCIETPFSPNYHNYLNSVTSLTNVIGVSVHYRRAPEHPVPIAHEDSWVALKWVASHVGGKGSDEWLNQYADFEKVLLGGDSAGANIVHYLGIRAGKENLGGVKLEGGVLVHPFFWGVDRIGSESNQGEWVEKVHNLWRFSCPTTTGSDDPLINPAKDPNLGSLGFKRVLVCVAEKDLMRDRGWYYKELLEKIGWGGDVEVFETKDENHVFHMFNPTCENATQLLNQVVSFIKRT >CAK8577515.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:570503197:570504279:1 gene:gene-LATHSAT_LOCUS29617 transcript:rna-LATHSAT_LOCUS29617 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSHSFSFPLTPPLNKSYPLLPSFKLTKPLHIHCTKQQQQPLSTMEDEIAVDLTPILKVYKNGRIHRLVGEEVVPASLDPTTNVESKDVIISKEDNISARLYIPKTNYPPTQKLPVLVYVHGGAFCIETPFSPNYHNYLNSVTSLTNVIGVSVHYRRAPEHPVPIAHEDSWVALKWVASHVGGKGSDEWLNQYADFEKVLLGGDSAGANIVHYLGIRAGKENLGGVKLEGGVLVHPFFWGVDRIGSESNQGEWVEKVHNLWRFSCPTTTGSDDPLINPAKDPNLGSLGFKRVLVCVAEKDLMRDRGWYYKELLEKIGWGGDVEVFETKDENHVFHMFNPTCENATQLLNQVVSFIKRT >CAK8538593.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:488174494:488175856:1 gene:gene-LATHSAT_LOCUS7774 transcript:rna-LATHSAT_LOCUS7774 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFQQEKCNHGDDTRNIVLKKGTWSNEEDQLLREYVTNYGVGKWDSVRKKTTLARDGKSCRLRWLNHLKPTLKKCSLSEEEGRKLIYLYNELGPKWTQMLSQFPGRTDNELKNFINSKKKSLKNSRKQLVRESIHHVDELKDNVGEISMMRGSGNQQNNASQEEEIALPRVEFDHQEDILHGNYLDQSYDEKNNEEIIMRGSSNHSNNACQEEELTLPRVEFNHQDIMHGNYLDQVNDEKNINNLEQLSTMVDQSYDGKNNEEIIMRSSSNHNNNACQEEELTLTRGEFSLQHIMHGNMFFDQYCPYNLDMDYQYLQNPILSSEEPQYEILEPMLLSQCVPFWEP >CAK8541286.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:104712174:104713514:-1 gene:gene-LATHSAT_LOCUS10216 transcript:rna-LATHSAT_LOCUS10216 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGTQPVGSDTSSAGTQLVFDRSGELYVLRENNEKFNMSGKNGEEDVSIKVSTTDFYLRATLNLEGVFSLFKHPKNSTSSEGWTTVWSKPDNICLYTVSSGSGVCGYNSFCTLGDDKRPTCQCPKNYSLVDPDDPHGGCKPDFIQGCAEDALSTKRNDLYYFENLTETYWPLSDAAFLRPFTEELCMKACMEDCLRSVAIFRLGDSCWKKKLPLSNGRVDTTLDGAKAFLKVRKYNISFALSPTPINRNKNNKETLVLIVSVLFGSSAILNVVLIVTICASASIFQYKKLRRVIKSDTCVETNLRKTNTGIRGRKGYVAFEWFKDMPITVKVDVYSYGVVLLEIISCKKCVQEMEQEDEEKAILTDWAYDCYKDGVVDALVEGDSEALDDREKLEKLVMIALWCVQEDPYLRPRSRNVVHMLEGTVEVQIPPYPSQISIQYSIN >CAK8534889.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:787533293:787534258:-1 gene:gene-LATHSAT_LOCUS4391 transcript:rna-LATHSAT_LOCUS4391 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLVLALSNGVRVNGERGGRQCGVNPSLKPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGAQLYVPPGTWLTQSFNLTSHLTLFLEKGAVIVGSQDSHHWEVVDPLPSYGRGLEVPGGRYQSLINGYKLQDMVITGNNGTIDGMGLTWWESFKSHSLNYGRPHLVELVASNDVVVSNLTFLNAPAYNIHPVYCSNVHIHNISIFAPPESPYTVGIVPDSSDHVCIEDCSIATGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASYGSALAFGSDMSGGISNVVVEHIHLHNSKGGIEFRTVKRS >CAK8579791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:722697078:722697482:-1 gene:gene-LATHSAT_LOCUS31707 transcript:rna-LATHSAT_LOCUS31707 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAFYAQDQGTTFNLKYAWRLLKDEPKWGIIGESIGNSSKMTQTSASGASSEIPDTPSSYEFNSSSPMERLMGQKAAKRKGKAKEIPNATQDARNKRAETMERLAQSKEDEIELKVMQIMMKDTSTMKESQ >CAK8565194.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:65683028:65683573:1 gene:gene-LATHSAT_LOCUS18428 transcript:rna-LATHSAT_LOCUS18428 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQFGKEEKRKLKRMMEKTIGDDDLELEVSLSLSLGGPSLETLKRQRLEDEKREHPCKFCDKKFSTSQALGGHQNAHRRERVLSRIDKEIQLGTFGYGGYNFPYPFITAGSSFYNGVGASSFVAPVGYGTGSPFGGYNSSSWPHSFVGAGVNNVRMENNNYNVPNGHHISSSFPHFSNH >CAK8570478.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49520752:49521171:-1 gene:gene-LATHSAT_LOCUS23223 transcript:rna-LATHSAT_LOCUS23223-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAVFTSGLNPSVSLLARVYLNLGSWQWTLSLGLADESIKDILDAFSKATQYANKWTKAWHKWALFNTAVMSHYTLRGFPNIAAQFVVAAVTGYFRSIACAANSKVVDGSL >CAK8570477.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:49519130:49521171:-1 gene:gene-LATHSAT_LOCUS23223 transcript:rna-LATHSAT_LOCUS23223 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAVFTSGLNPSVSLLARVYLNLGSWQWTLSLGLADESIKDILDAFSKATQYANKWTKAWHKWALFNTAVMSHYTLRGFPNIAAQFVVAAVTGYFRSIACAANSKVVDGSLYEEKVAPIMFFNRYPALSIHLSVESILL >CAK8576741.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:510547062:510547364:1 gene:gene-LATHSAT_LOCUS28913 transcript:rna-LATHSAT_LOCUS28913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MAKKSSIAREKKRQKLEKKYHLIRRSPKKEMSKAQSLSEKWEIKAKFEALPRNSAPTRLHRRCFATGRSRGNYRHFGLSRHALREMVHQCLLPGATRSSW >CAK8538730.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:494138799:494140004:-1 gene:gene-LATHSAT_LOCUS7900 transcript:rna-LATHSAT_LOCUS7900 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSKKVRNYIPDDITFCILSILPLKSLKRFECVSKSWSLLLQNSYFMNMFNNNNNLMCVSKDYDDTYFILQYSKDEYTTPSEFYLLPGGVFKNRVKIDWPHPFRENNDDIYILGSVSINGVFCLKQNYNGKPVLWNPTTGESKVIPCSRLKSAPSYQYVVCGFGYDSLTDDYKVVQLIDYRFLNNDSIEKKSSHQGSWELYSLKNNSWKTLDISIAKHHYYTLARSIGVYVKGVSHWWGRSYYQFEVEECLVSFDFSNEVLFTTPAPSYLDVSPRPCCVEHVEKYEHVEKHLVLFNESIALISTYIKTSTFHISILGELGVRESWTKLYVINNLSFIQYPIGVGIKNNIVFFKKTDGKLACIDLDTKMIEQDLGVNPWDFGCQIGKYKKSSLHIGGMRN >CAK8569101.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:671141702:671143675:-1 gene:gene-LATHSAT_LOCUS21990 transcript:rna-LATHSAT_LOCUS21990 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSKYRLITYSPEIINGKPIFVSSNCLPVKASKFEPAGHAFHSVALKLRGVKKEEENKEADVKKVVGDKDQAYLPSDSYSSKSKKKSGDGDKKQQDHYALLGLSHLRYLANDDQIKKSYRETALRHHPDKLASTVLSEETEAGKQAKKEEIETYFKAIQEAYEVLADPVKRRIYDSTDEFDDQIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPTLGDDKTSINEVDGFYNFWYSFKSWREFPQSDEFDLEQADSRDHRRWMERQNAKLSEKARKEEYARIRTLVDNAYKRDPRILRRKEEAKAEKKRKKESKYLAKKLEEEEAARIAEEERQRKAEEDKKAAEAASAQKKVKEKEKKLLRKERTRLRNLSRPISSSKHVLDISEDDVEKICLTFDIERLRGLCEKMEGKEVLEQAEALRDALSCKEDSCKKDVVDDKSTQQNGSVKANGNLTSLAGYTEKKEKPWTKEEIELLRKGIQKFPKGTSRRWEVVSEYIGTGRSVEEIMKATKGVLLQKPDTAKAFDTFLEKRKPAAQTIASPLTTREEVEGVSVPATTPENSTASKTPIPTPTPIPTATPAATTNNISSEDSQEVSESEVWSAVQERALVQALKTFPKEASQRWERVAAAVPGKTVIQCKKKFAMMKENFRNKKTAV >CAK8561217.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:118873151:118876989:-1 gene:gene-LATHSAT_LOCUS14826 transcript:rna-LATHSAT_LOCUS14826 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSQRTFRGRAPTFRLPLSVLRVYETWEPKSDLKETSEAYSLHVYLPGYTKNQPKITLQDASQKLRITGERPIPENKWKKFDQTYPVPENSDVGTLEAKFEQETLILKIQKKPISQSQVVPPNQEVEKSQQDPLSNEDLDETKLKKVKETIQPTQSSTKFEEPAQDMNSDSPQTQSIEKKSQETIHDDTLTQIAKETISNNESQKGLQELEPKPTFSDTTKSQETIHDDTLTQIAKETISNNEPQKGLQELEPKPTFSDTTKTQIYENVQQKFEAKPTFKDTTKIQFDEKAKKGQEEFEPKPTSIEKTKTQIDENVQKDQEEIEKNPTFNVKTKTQIDEKTQNKQQEFEKKPTLVERVKTQIIEKTQNGQEEFEAKPTVIERIKQQIDEKIQKGQEEFEPKPTSIEKGKTETNEKPQIGEEEFKKKPTLIERIITQIAERTQKGQKDFEVKPTATEKIKQPIDENVQKGQEEFEPKPTFIDKIKTQIDEKVQKGLEEFEKKPTFIERIKTRIIEEAQKVQEEFEAKPTFIERSNTQIDEKAQKDKEEFEPKPKSTEKFNIEQNEKIQKGQEDFEPKPIEKVVTKENLEKNIVKNSDKDDEVKRILDKEETEDKDEKPYESSKTLIGVKNQNIKENEIEKNELPTPKVTKTPKKSFTGISPVQEEKNEIRELVDASSQFEKPMAKEKWWSDERHLIENASVAVLVIVAFGAYISYRFSS >CAK8562568.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:510839733:510841847:1 gene:gene-LATHSAT_LOCUS16056 transcript:rna-LATHSAT_LOCUS16056 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLSPRRSQRSKGFKVKHALQICVLVGVCIWLVYQIGHSRQKKVSYVENTQIGNEVIKLGRKNLNPPVKETSVIDARHKEDEEEDEQDNKHEDPTKLDDVNVVEDDSEHKEDSGVDQESEESSQNARTVTEGEQHVEKEDEENDNADNKESEIKDEVEDKETGEASEEKSKLENEENKDEEKSNLENEEKKEEEKNNLENEESKGEEKSNLENEENKDEEKSHLENEENKNGGEDKKTGQNKEEKSQQDNEESSEIDTKDKENEESKQNGTDAKDQVEENHKQDSKEGTEESNGTEEEKEEHDKIQKETSSENQVQDGKKNEAREENYAGDNASSAVVDPKSEDISKENDNNKEEQVDNKEKNELELKSQKNSDETTESTDSPATGNSQGNQSGNDRAQTENDSQKSSVSESDEQKQEQNNNTKDDEQKEDSSSQNGNDSTDKQNETSEDSSNTQNTMAKTEDSKSGAAGDQADSTTKSSSETQDSNTNHSEYKDTTNENPEKNSGQEGTQESGSSSNTIDNKDATGNEAQLTTSDTSSEQKKDESSSNSESAQNDNANSVQSNTNNDESANDNKDSSQINSSEQSGEGNTNSENNSDSNQNDSNKNESTNNSGNASNDANENKNSAQSKTSADEGDAQNESVESKKENDESSHTEGDNNSNLNEQGTSDTSVAQDDKESHVDLDTLPERNGESSHHDVSSAE >CAK8530462.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:22923146:22924060:1 gene:gene-LATHSAT_LOCUS325 transcript:rna-LATHSAT_LOCUS325 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSFKKYTDLKEQVQMDDVEAGKESINLDKFFEDVENVKEDMRHVEKLYRKLQEANEESKIVHNAKTMKDLRARMDKDVEQVLKRVKIIKGKLEELERSNAANRKIAGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRARMQQEYKETIERRYFTITGEKADEDTIENLISSGESETFMQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVESQGQQLNNIESHVAHASSFVRRGTEQLHEAREHQKDSRKWTCYAILLGLVLVIVILFPFLMSILPHLIL >CAK8535021.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804250801:804255813:1 gene:gene-LATHSAT_LOCUS4506 transcript:rna-LATHSAT_LOCUS4506-2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDRWSPALRICTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >CAK8535020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:804246809:804255813:1 gene:gene-LATHSAT_LOCUS4506 transcript:rna-LATHSAT_LOCUS4506 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDRWSPALRICTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >CAK8536436.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:941420150:941422068:-1 gene:gene-LATHSAT_LOCUS5804 transcript:rna-LATHSAT_LOCUS5804 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLELGPTKNGTLDPREKEARIILSHIRAQGHPYIELRENGKKFIYFCVLCLAPCYSDSVVYDHLKGKLHRKRLDSARDTMLKPNPWPFSDGLIFFDASTENEKKTENINAVQDRLLKMIESENHNSFAIVPFGEEAQSDAQPIATDDTVSDGSVLVIPRLKIATEAIDVHVRKVGLGKISARFAEKYGSLNGSEIRRIWCEWLGKEDSQEDDVEVQEHDFAVVVFPYSYDLGRDKVLEDTKSLLPSASMAELENGRESGRKRKAPSSDPEDVSEFFRKHYASSAEESLPLRNAPSTSALDQSNSQLLRTKFVSNRATRKAMRRRERLAAEKVCNICQQNMIPGKDVATFFNLRTGRVACCSRNPTGAFHVFHTACVIHWILLCEYEIITNRLVNQNGCQEGKIKTVSGSGKEDRDIKHVFCPECQGAGVIISNELEHPKFCSLSQMFRLKLKIILQRKEWIKNSEDLQNCSIGFDFPMEYEEIAEEKVEPLKLLQFYRADDERVVN >CAK8572677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:554987857:554990366:1 gene:gene-LATHSAT_LOCUS25210 transcript:rna-LATHSAT_LOCUS25210 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSPILILLFSIYFLTLYLSVEARHHSHTKHKHYHNPPSEISIPPSPLPSPPYFSAPPEELPSPPPTSDDNNCQNVSGLFDVRTFGAVGDGITDDTESFKMAWDSACQSELPLNVIIVPSGFSFIVQSTIFTGPCNGGLVLKVDGTLMTPDGPESWLKNNSRRQWLVFYRINGMSLEGSGTIDGRGQKWWDLPCKPRKGPNGTTLPGPCDSPVAIRFFLSSNLTVQGLRIKNSPQFHFRFDGCQTVHVESIVITAPALSPNTDGIHIENTNDVKIYNSIVSNGDDCVSIGTGCFDVDIKNITCGPGHGISIGSLGNHNSRACVTNITVRESTIKMSDNGVRIKTWQGGSGSVSGVTFKNIHMDTVKNPIIIDQFYCLSKDCSNKTSAVFVSDIVYTSIKGTYDIKHPPMHFACSDSVPCTNLTLSDVELLPSQGDILSDAFCWNAYGNSETLTIPPVFCLLDGNPQSISDNDINQCR >CAK8562637.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:518768227:518769602:-1 gene:gene-LATHSAT_LOCUS16120 transcript:rna-LATHSAT_LOCUS16120 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLLLNNNNNNRNVQEEHEYSLPAQPPGALGLALLDQTHNHHHHPHILCNNNNNNTSTNNSSRKRGREPTGTSPNDLINHFSLQSQPSQIIHLSQLHHQQQQQQQNVVSTGLRLSFDDHQQQQRLQLQLHQFQSQQQQQQQQQGCHSSTFSSLLSQGLVSQIKQQRHELDQFIQAQGETLRRTLTEKRQRHYRELLTTAEEALSQRLREKEAEVAKATRKNAELEARAAQLTMEAQAWQAKARAQEAAAASLQAKLQQTIMCQTGDDAGGVSCAVEGQASDAESAYIDPDRVVVVAEARGKCRACLKRVATVVVLPCRHLCICRECDAHCSACPVCLTLKNSTVEVFLS >CAK8562638.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:518768227:518769602:-1 gene:gene-LATHSAT_LOCUS16120 transcript:rna-LATHSAT_LOCUS16120-2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLLLNNNNNNSRNVQEEHEYSLPAQPPGALGLALLDQTHNHHHHPHILCNNNNNNTSTNNSSRKRGREPTGTSPNDLINHFSLQSQPSQIIHLSQLHHQQQQQQQNVVSTGLRLSFDDHQQQQRLQLQLHQFQSQQQQQQQQQGCHSSTFSSLLSQGLVSQIKQQRHELDQFIQAQGETLRRTLTEKRQRHYRELLTTAEEALSQRLREKEAEVAKATRKNAELEARAAQLTMEAQAWQAKARAQEAAAASLQAKLQQTIMCQTGDDAGGVSCAVEGQASDAESAYIDPDRVVVVAEARGKCRACLKRVATVVVLPCRHLCICRECDAHCSACPVCLTLKNSTVEVFLS >CAK8576990.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:529949040:529953942:-1 gene:gene-LATHSAT_LOCUS29140 transcript:rna-LATHSAT_LOCUS29140 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQISRSKSRVILIALSILGFSLLIFLSSLSPLRNSSPSSSAHFHLPTSQTSFVASLEQFLAKTQSSHSLPDDTVPESITGQHVKNLDDAIFHSETYRLYSDPFYPISLPLRVYVYNMPSKFTYDLLFLFQNTYRDTSNLTSNGSPVHRLIEQHSIDYWLWADLIAPQSERLLKSVVRVQRQEDADLFYIPFFTTISFFLLEKQQCKALYREALKWITDQPAWKRSGGRDHIMPVHHPWSFKTVRRSVKKAIWLLPDMDSTGNWYKPGQVYLEKDLILPYVANVDFCDAKCLSEINPKRSTLLFFRGRLKRNAGGKIRSILVSQLSGADGVIIEEGTSGDGGKEAAQKGMRRSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSNDALKPGWLLKYLKDIQPAHIKELQQNLAKYSRHFLFSSPAQPMGPEDLVWKMMAGKVVNIKLHSRRSQRVVEGSRNVCTCECRPGNITNTGSTIS >CAK8536229.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:919537281:919541649:1 gene:gene-LATHSAT_LOCUS5619 transcript:rna-LATHSAT_LOCUS5619 gene_biotype:protein_coding transcript_biotype:protein_coding MREDIPNMKDSWFFDKNFNGLSDEIFDELHFFDFPLEDVETNPIEDDWSAQFQSIDEPCFDAFSVTPVGLCGKTKSENPQFGNGFSAPPPCNEMSSIKEVAETAGPTYGNTIPDQDPSFYEKKAVRQYSPVSVFEGSSGASSVENSAFELPVVVPVKRARSKRRRPSKSNPVFSFSFIPISPALQKYYKTPVKKQRKKDLYGISADAETVSQDSVIFRKCTHCEITKTPQWREGPNGPKTLCNACGVRYRSGRLYPEYRPANSPTFVESIHSNCHKKVLEMRGGGVIKGGARSCSTSLPSTLPGNSVGQRYHGTY >CAK8534062.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:690136877:690137314:-1 gene:gene-LATHSAT_LOCUS3635 transcript:rna-LATHSAT_LOCUS3635 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTTGAIAQDWEPVVLHKSKPKAQDLRNPKAVNQALRTGAEVLTLKKSTAGSNKKTAGPVLNARKLDEAAEPAALERVGGEVRHTIQKARLDKKMSQAELAKQINERVQVVQEYENGKAVPNQLVLGKMERVLGVKLRGKIGK >CAK8536419.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939853518:939856623:1 gene:gene-LATHSAT_LOCUS5788 transcript:rna-LATHSAT_LOCUS5788-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGNGLFYPILGFASFVVFIYMSFGGLRFSLEEEKELGFVMRNGTRFMLDGKEFYVNGWNSYWLMDHSVDFSSRFKVREMMKIGAKMGLTVCRTWAFNDGHYNALQISPGHFDEQVFQALDYVIAEARQNGVRLLLSLVNNLQPYGGKSQYVKWAWQEGVGLSASNDSFFYDPSIRSYFKNYIKTVLTRRNTFTGIEYRNDPTIFGWELINEPRCMTDRSGDTLQEWIEEMSAFVKLIDNKHLLTIGNEGFYGPNDPKDLTVNPNYWASKLGSDYIRNSKVSNIDFTSVHIYPDQWFHEDEKAIETQLKFVYKWMLSHIEDGDKVLNKPVLFSEYGLSKTNQNFTISAREKMYETILNIVYKSAKRNRSGAGALVWQFLVSGMEDYTDDYGIVPGESSSTQSLFIKHSCRLAKLKGWISQQDVNFKQLC >CAK8536418.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:939853497:939856623:1 gene:gene-LATHSAT_LOCUS5788 transcript:rna-LATHSAT_LOCUS5788 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRPFMMFAGNGLFYPILGFASFVVFIYMSFGGLRFSLEEEKELGFVMRNGTRFMLDGKEFYVNGWNSYWLMDHSVDFSSRFKVREMMKIGAKMGLTVCRTWAFNDGHYNALQISPGHFDEQVFQALDYVIAEARQNGVRLLLSLVNNLQPYGGKSQYVKWAWQEGVGLSASNDSFFYDPSIRSYFKNYIKTVLTRRNTFTGIEYRNDPTIFGWELINEPRCMTDRSGDTLQEWIEEMSAFVKLIDNKHLLTIGNEGFYGPNDPKDLTVNPNYWASKLGSDYIRNSKVSNIDFTSVHIYPDQWFHEDEKAIETQLKFVYKWMLSHIEDGDKVLNKPVLFSEYGLSKTNQNFTISAREKMYETILNIVYKSAKRNRSGAGALVWQFLVSGMEDYTDDYGIVPGESSSTQSLFIKHSCRLAKLKGWISQQDVNFKQLC >CAK8530989.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:68020299:68022085:-1 gene:gene-LATHSAT_LOCUS811 transcript:rna-LATHSAT_LOCUS811 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIRRKLRYIYSRVLFLLWKRPRSKVVIKRFRKLKCNNKTKSTKSNSNGTHHHLVECDSRKPIRICSFNVAMFSLAPAVSEHDDDFVMSNQKKKNSTSTTSVDFPKSILKPSPLHSSMNVSRSNLKQVSINLPDNEISLANIGSVSSRKNQVPARSPVCFPFMMNMDYCDEGNERFSSSRSILEILREIDADVVALQDVKAEEEKSMRPLSDLADALGMKYVFAESWAPEYGNAILSKWPIKKWKVQKIADDDDFRNVIKATIDVPWAGEINLHSTQLDHLDENWRMKQVNAIIHSNDPPHILAGGLNSLYRADYSSQRWTDIVKYYEKLGKPRPMTEVMNFMKSKNYDDAKDYAGECEPIVIIAKGQNVQGTCKYGTRVDYILGSSNSSYKFVPGSYSVISSKGTSDHHIVKVDVMKVNVAQNKVIRQCKKLKRKVVRIAPPCSTRGVWELTPPPKLLVP >CAK8567343.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:506892115:506892327:-1 gene:gene-LATHSAT_LOCUS20407 transcript:rna-LATHSAT_LOCUS20407 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVSDADYMTSLEARVKELEAENANLLARLAHCHNSPEDQISHSPDYKDAKVNEPKKIQRGITKEDKK >CAK8570205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:28613475:28623751:-1 gene:gene-LATHSAT_LOCUS22978 transcript:rna-LATHSAT_LOCUS22978 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFSNYLRHTPFNSVMARAVTCAYHPHFYSVFNTNDNAFPSCNPPRCVSFPLFDNSVKKQLSQPFRGVRANVGGQREYRKVRRRTPKGKPKELELSVSICIEEDLPDDPEILNIAEMLRLNVPMAMKLAFDGLKGSEYKTRDTAIDDVGGFQSAELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSQHVPGIDLPILMLGDIVISLETAARQAEERGHTLLDEIRILMIHGLLHLLGFDHEISEEAEVEMEKEEELLLNSLDWKGKGLIKSAYDTKTNSNSHQSSSDDRNKEGSLRFYKPKFSHIFCDMDGTLLNSKSQISTSTVKALREASARGVKIVIATGKARPAVIDIFKSVDLAGKDGIVSEFSPGVFVQGLLVYGRQGREIYRSNLDPDVCREACLYSLENKVPLIAFCEGRCLSLFHDPLVDSLHTMYREPKAEIIPSVEHLLASADIQKMIFLGTRQNVADTLRPYWSDATNGRANVVQAVPDMLEIVPLGTSKGKGVKVLLDHLGVTATEIMAIGDGENDVEMLELASLGIALSNGSEKSKAVANVIGLSNDEDGVADAIYRYAF >CAK8562491.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:500723049:500723477:1 gene:gene-LATHSAT_LOCUS15983 transcript:rna-LATHSAT_LOCUS15983 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHIGEWLSLGFKQEDSKSPKPLQNNKVFSCNFCMRKFYSSQALGGHQNAHKREREAASYQLSSSMELAYSKSLTPKSLGIKPHSLVRKPIRERASTTVARFNNDALALEQGVKFAWHGNFRMELPKEESHIQKLDLDLRL >CAK8560619.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:29585484:29589206:-1 gene:gene-LATHSAT_LOCUS14280 transcript:rna-LATHSAT_LOCUS14280 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLCLKGMSQKSFISILVLVFSYGVVVLSTPPEDPIKCSSSNNTTCTITNSYGMFPDRTTCQASQALYPTNEKELVSMVAFASKTKTKIKVATRYSHSIPKLVCPGDPSGILISTRYLNKVVKIDVDEKTITVESGVTLKDLIEEASKKGLVLPYTPYWYGLTIGGMMGTGAHGSTLWNNGSAVHDYVVEIRIVRASNDEDGYAKVEILNENNDEEFNAAKVSLGVLGVISQVTLKLEPMFKRSITYVAKNDSDLGSEVVSFGQEHEFADITWYPSQMKAIYRYDARVPLNTSGNGLYDFLPFRPTSSLLLAGIRTQEDRDESAGDANGKCGLAKLTTNALITSAYGLTNNGIIFTGYPVIGFQNRLQSSGSCLDSLHNAKITVCAWDSRIKGEFFHQTTFRVSLSLVKSFIEDIQKLVQLEPKGLCGIEQYNGILMRYVTSSSAYLGNQEDAIDFDFTYYRSKDPMMPRVYEDIIEEIEQIGIFKYGGLPHWGKNRNLAFEGVYKKYKNVGKFLKVKEKYDPQGLFSSTWTDQMVGIKEGVEILKNGCALEGLCVCSQDSHCNPSKGYFCRHGRVYKEARVCSHV >CAK8536151.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:912110326:912111237:1 gene:gene-LATHSAT_LOCUS5547 transcript:rna-LATHSAT_LOCUS5547 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYNCIAHPHMFSIDSDELCDNDPYINIEFDYTIISVPSDQMFPYSSETKFYGLYYVSKDKEIVNSSVIEWLSEIDVPEAAFAMVVIEISQCVCEMVNGAYKNSRNLSIRVDFSVTRNLKEEEIKVEEDEVNTLAHAATRTIEDDPNEIGYQDDWEYYWAEEMDIEVQEDDELRRTVEDYQNENVNGDTRSYNWEEDMEIEDNRFVSDVQIDEDEWEYGWEEGIETEEDIRFVPAAKSCIEELKTVTTKETEKCSICFEDFKVGVCMPCSHMFHMDCIQDWLNISNSCPLCRFQLPTNNTSE >CAK8561677.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:353267171:353267629:1 gene:gene-LATHSAT_LOCUS15245 transcript:rna-LATHSAT_LOCUS15245 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPPQQPVQDYPTASTNQPSSHHSDGNYGPVFIVLAILLVISVVGCFLGRLCNRRKDNNNNHNQNLPRNAPLRRPVKPNRQQQIHDLQSREEEDVELGGIDKRRPPPIITRPRVVAFEPEDRGFQPHGNDFEMKSDHEGDHHLRTGLRQ >CAK8572763.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561625625:561627484:1 gene:gene-LATHSAT_LOCUS25291 transcript:rna-LATHSAT_LOCUS25291 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYHKLIFATSIFVFMLLTIASAIDYGYGSTQNTEKSKPDIQVDNKPYSTKPNYEAYKSKIDYEPHFTKANYRVPQPKINYRYGSAPKIDKFKPEPYYKHITKLVYEAPKPETKYGYSSTAKIDKSKSEVVYTPNPTKPNDEVFKSKTDYGYAPTPNIGKPKFDVAYTPNPTKPYYEAPKSKTEYGYALTPKIEKPKFEEVYTPKPNYETFKSKTNYGYAPTPKFEKPKFKEVYTPKPTKPDYEVYKPKTNYNYAPTSKIEKPKTDYNYGQTLKIEKPKFEVVYTTNPLKSDYEVSKPKSKEVYTQNPPKPYNEISKSNTNYRYGPTPKVEKPKSTTTYTQNPTKPNYEVSKPKTDYGYSPTPKIEKSKSEETYKPNPTKPEYEIPKPKTNFEYVPTPKLEKPKLVTDYKPLPTKPFSEVPKPKESYQVQLPTVIGVQGTVLCKSGSNYYPIQGAVARVKCECVNELGYETGPITVISHVTDSKGYYFATLSLPGLGSKLKINECKAYLESSPLETCKVPTDVNHGISGASLSSYRLLDSNFKLYSVAPFFCTSQAKPAPNGY >CAK8572764.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:561625673:561627484:1 gene:gene-LATHSAT_LOCUS25291 transcript:rna-LATHSAT_LOCUS25291-2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTIASAIDYGYGSTQNTEKSKPDIQVDNKPYSTKPNYEAYKSKIDYEPHFTKANYRVPQPKINYRYGSAPKIDKFKPEPYYKHITKLVYEAPKPETKYGYSSTAKIDKSKSEVVYTPNPTKPNDEVFKSKTDYGYAPTPNIGKPKFDVAYTPNPTKPYYEAPKSKTEYGYALTPKIEKPKFEEVYTPKPNYETFKSKTNYGYAPTPKFEKPKFKEVYTPKPTKPDYEVYKPKTNYNYAPTSKIEKPKTDYNYGQTLKIEKPKFEVVYTTNPLKSDYEVSKPKSKEVYTQNPPKPYNEISKSNTNYRYGPTPKVEKPKSTTTYTQNPTKPNYEVSKPKTDYGYSPTPKIEKSKSEETYKPNPTKPEYEIPKPKTNFEYVPTPKLEKPKLVTDYKPLPTKPFSEVPKPKESYQVQLPTVIGVQGTVLCKSGSNYYPIQGAVARVKCECVNELGYETGPITVISHVTDSKGYYFATLSLPGLGSKLKINECKAYLESSPLETCKVPTDVNHGISGASLSSYRLLDSNFKLYSVAPFFCTSQAKPAPNGY >CAK8532808.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:520350805:520351035:-1 gene:gene-LATHSAT_LOCUS2476 transcript:rna-LATHSAT_LOCUS2476 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDRLECVIHHGGGFDEFNRYGYNGLEEIWQVDPDFWSYFEILGGLKDLGYPKVESLWYYDVMDDNELVMLQDDA >CAK8566384.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:417729675:417730364:-1 gene:gene-LATHSAT_LOCUS19517 transcript:rna-LATHSAT_LOCUS19517 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIKSKTQLVKNKDNEKKVVADRTNKEDDSVFASCTFSSLGLHPTLCDQLRERMGFEGPTLVQAQAVPVVLSGRHALVNAATGTGKTIAYLAPIIHHLQSYEKRIERSDGTFALVLVPTRELCLQVHEILQKLLHLFHWIVPGYIMGGESRSKEEARLRKADSWRVTTTTLKHLKDKHSSCSYQLNSSTYRSRATNPTHAFDFKSLNSRFGTDCVLAVMSIIYRQYK >CAK8544695.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:699958251:699959559:1 gene:gene-LATHSAT_LOCUS13351 transcript:rna-LATHSAT_LOCUS13351 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPTLLYPPPPPQQQDPFTYGFKELFNYNLLTDSEPNYDYSNFNLHNEQQHAFLNNHTENWSNNNSSPLMEDQVLNVVSNPSLDTSIPTRSRSKKRRVKTSKNKEEIENQRMTHIAVERNRRKQMNQYLAVLRSLMPQSYVQRVDQASIIGGAINFVKNLEQKLQFLGVEKEKEGEFETIDGNKNKPFSEFFTFPQYSTSVCETKMGDEVHQSSNTIADIEVTMVESHANLKIRSKKKPKQLLKMVSSLHGMCLTILHLNVTTSHEFVFYSISVKVEEDCKFGSVDEIASAVYKMLERIQQESILN >CAK8531243.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:92207187:92207821:-1 gene:gene-LATHSAT_LOCUS1049 transcript:rna-LATHSAT_LOCUS1049 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNRSGPTVLMNYGSERHNYTEVPPSPASVCSSVHEDFWRRTEYLSPISTPDVSSRDGTVMPQVFRDISSGLNELRRLLNQLDSDDVDVEDFTMKYEPSESELMQINDPAESYIRDLLLASGLYFGSWDKSLLRREISTK >CAK8568230.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:583775583:583778182:-1 gene:gene-LATHSAT_LOCUS21209 transcript:rna-LATHSAT_LOCUS21209 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMGICRDMCSQPRVQRRLGGKRAAFFVYAMEGLENMAFVANAVSLITYFLGDMNFSLTKSATTLTNFMGTAFLLPLVGGLICDTYLSRFKTCVLFACMELLGYGILTVQARFQQLRPIPCKDIAPIHMNQCKPAAGSQAAILYTGLYLVALGTSGIKAALPALGADQFDDKDPKEASQLSSFFNWFLFSLTTGAIVGVTVIVWISTNQGWYWSFTVCTIAVLFSILFICMGKSLYRNNTPKGSPLIRIIQVFVAAFRNRKLQIPENEGEMHEIHEKERGDNYEMLKRTDQFRFLDRAAIGKNSPGSWHLCTVTQVEETKILVRMLPIILSTIFMNTCLAQLQTFSIQQSTTMDTNILGFKMPGPSLPIIPLLFMFVLIPLYDRFFVPFIRRFTGIPTGIRHLQRIGIGLVLSAISMLIAGFIETRRKSVAVEHNMVDSNEPLPMTVFWLGFQYAIFGAADMFSLIGLLEFFYAESSAGMKSLSTAISWCSVAFGYFTSTVVVEVVNKVSGGWLESNNLNRDKLNYFYWLLSVISVVNFGFYLFCASWYRYKTVEDKQGDSKDDVVDIVKV >CAK8562558.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:509153408:509153763:-1 gene:gene-LATHSAT_LOCUS16046 transcript:rna-LATHSAT_LOCUS16046 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDHQQQPPVGVPPPQGYPQKDAYPPPGYPSQGYPPQGYPPQQGYGYPPPQQYAQQPPQRQEVGFLEGCLAALCCCCMLDACF >CAK8532335.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:247971689:247972035:1 gene:gene-LATHSAT_LOCUS2053 transcript:rna-LATHSAT_LOCUS2053 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHFDASASTSEPVGYPGGPYDTSLLVKYEQHVAYRIWFGEERGSKKELKVAGHGIKLTQRVPLQLPRDMESWISRSGLASL >CAK8568099.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:573546607:573547156:1 gene:gene-LATHSAT_LOCUS21094 transcript:rna-LATHSAT_LOCUS21094 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLGQDWERVAVGAAFAKFSSPKPSFLSKDLHRTSFEPRLWRRLSNDDGIFGQNMDARLGSRCMSQRDAYPSRISVLDENQDAALDLEEIKQKKLDAQIGEGGYGPVFKGVLDNTDVAM >CAK8567824.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:547527977:547530035:-1 gene:gene-LATHSAT_LOCUS20845 transcript:rna-LATHSAT_LOCUS20845 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAITTLFIFSEFRSKFPNSLLLPRIHTRNKPLSLFLQPSKSRATHFSLCSCSRTPIKPSSSFSPQISLTKFISQKIACFLIGSFIFIGYFSKRPAFAVAVPSLSSAETLEEKILEKDPRNVEALKVTVYGKIRRGKCNEAEKFVKRLIDKEPNEVEWRLLLALCYETMGYLSKATGLYLEILENRPLLVRALHGLAMVMHKNNEGPAVFETLNKALELAISENKVTEERNIRILTAQMLVVQGELEEGLKRCQDLIDQNPRDFRPYLCQGIIYSLLDKKEEAAKQFETYQALVPQEFPQKGFLDDITLAAKGTSQVQFQKEFRNQFSNQK >CAK8573996.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:653258710:653259211:-1 gene:gene-LATHSAT_LOCUS26389 transcript:rna-LATHSAT_LOCUS26389 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKFAPLAFLLLAAFLMFPMKKVESRYCSPVTCYTDRSGDCLFGCVCYPSFFGNVGSCQPYVSVAKMVEDHPNLCKSDDECTKKGSGSFCARYPDSNMEYGRCFSSKFQAEVFFVKMISNSQFSKDLLKTSVTA >CAK8542621.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:532076628:532076861:-1 gene:gene-LATHSAT_LOCUS11445 transcript:rna-LATHSAT_LOCUS11445 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHMLMLLLKKVKLGEPIRMDDVFSHWKRLSFDDDGCIDEGKLNISILTKWKAIQERFSKADDNMKLHINEQLRKI >CAK8566524.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:432837915:432838901:1 gene:gene-LATHSAT_LOCUS19648 transcript:rna-LATHSAT_LOCUS19648 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDVNLPPKRKNQPKFKFINALIGEPNFMGVVSSRWNVEIEGRPIQKLWSKLKRLQPIFRGMGRKVSRSAQKIQEYKDKLDHVQQKLNSDMFNPKLINEFKHWTEELLNSTNMEEKSLMQNYKVDWLRLGDGNNAYFHAIMKEKNKQNGLHRLENNQGKILDEFKDIEQEIIQFYQKMIGTNTQTLMHVDIKDLRRGAQLEENHREKLIQQVSEHEILQSLKFIGESKAPGMDGFTSKLFKATWSIIKKYLIKVVLDLFDDKYMYDAVNYAIVTLIPKSTEAKKMKDMRLIASCSTVYKIISKILTNRLSKVINSSINFLSWESDSS >CAK8569941.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:16864780:16866063:1 gene:gene-LATHSAT_LOCUS22738 transcript:rna-LATHSAT_LOCUS22738 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKQNMLFGKYEVGRVLGKGTFAKVFYAKEITTGEGVAIKVIEKEKVRKEGMMEQIKREISVMSLVKHPNIVNLKEVMATKTKILFVMEYARGGELFDKLAKGKFKEEIARKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENENLKVSDFGLSALPEQLRQDGLLHTQCGTPAYVAPEVVRRKGYNGFKADTWSCGVILYTLLAGFLPFQHENLISMYNKVFKEEYQFPPWFSSDAKRLISKILVANPERRINIPSIMNSPWFRKGLNFNTTSNDDLDLDLEIESKVNLIDSSSSPEFFNAFEFISTMSSGFDLSGLFEEKKKRGSVFTSKSSVLEIMTKIETAAKSLRFKVKKMKDFKLRLQGNVEGRKGKLAVTAEVYEVAPELAVVEFSKCSGDTLEYVKLFEEEVRPALKDIVWSWQGE >CAK8562294.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:468184093:468185190:1 gene:gene-LATHSAT_LOCUS15801 transcript:rna-LATHSAT_LOCUS15801 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNLNTWTHSNFMFNQVTATRFRSRSISTSFYFTKIPSTIKPQTHGHSPISVSALLTKQETIEAEEKPVFDFKSYMIEKATRVNKALDDAVSLREPLKVHDAMRYSLLAGGKRVRPVLCLAACDLVGGTESMSMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEDVAVLAGDALLAFAFEHIAVSTSGVTPARIVRAIGELAKSIGSEGLVAGQVVDINSEGLSDVGIERLEFIHLHKTAALLEGSVVLGAILGGGCDEDVEKLRKFARYIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLLGIEKSKEFAEKLNRDAQDQLSGFDSYKSAPLIALANYIAYRQN >CAK8541030.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:46499334:46500074:-1 gene:gene-LATHSAT_LOCUS9983 transcript:rna-LATHSAT_LOCUS9983 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHLLQQKPPGAPYTCSGCGQLGFGSSYHCQNNSHCNHVLHGECAYPDPYAFHPFFEKSYFEFHKKPPGDRERYCDACGKDILGFVYHCSTTGYDLHPCCLKLKTSISDESGTVTLELSKKVGSKCVKCKHRSVVGKVKGWCYYDRNSCYHVSCFKDLILENWRSGYFSQVERSIATTNSSNDRETQVALTSMEMDQRLRISRRARTISKYTKIAIVVFKLIFSAIFGNPVTAFVAILEALASN >CAK8535399.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:845933934:845935226:-1 gene:gene-LATHSAT_LOCUS4864 transcript:rna-LATHSAT_LOCUS4864 gene_biotype:protein_coding transcript_biotype:protein_coding MEECDFASRILEEFDSDDCLMIENLLGLRSESLANQFKSDASAVLHSNNRQYGPITETITINNHEVAAATSASDDVLHSKNRQCATASETIIENNHEVAAGTSVAKIDASDDVLHSKNQHYAFASETITRFNNSNVKNNETSNEEFKNPDVKNKKITAEDAVGGSVRKITFKIKRSDPSLKFVYGNSNTKNDETATEKFNNSGLKNNETSTKEFKNSYVKNNETTAEELNNPNTKNNETTAGESLFDECSNTKVKKRKANKKKSSEPSNKQKKKINTNGRISPHPEVDEPGLPLEFKEKIEQMGGVEVKLVIQKELTNSDVTQDQGRLSIPKGRVKESFLTASEESYLDYERNKDEKIPSMYVSMLDHNLNLWDEMCLKKWKMTKAEIYSITEGWNELVAENDWKKDEKVLVQLWSFRRNHKLYFALVKL >CAK8562662.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:523841929:523844049:-1 gene:gene-LATHSAT_LOCUS16140 transcript:rna-LATHSAT_LOCUS16140 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSNYFYSLSQTPHRLRKRMLATWTPDQEFNQVRHRSGADMKRKLNWYDLVALGVGGMLGVGVFVTTGPVALHQSGPSVFMSYIIAGISALLSSLCYTEFAVQVPVAGGAFSYLRLTFGEFLGYFGGANILMEYVFSNAAVARSFTEYLSFAFGENNPNVWRVEVHGLPKDYNMLDFPAVALILLLTLCLCHSTKESSILNLIMTVFHVIFFGFIIVAGYCNGSAKNMVNPKGIAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEVKDPYKSLPIGIVGSVLITTLLYCLMALSLCMMVPYNKISEKASYSMAFLRIGWSWTSNIVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHPSTGTPLNATIFLGFCTALIALFTELDIIIELISIGTLMVFYMVANALIYRRYVITGGTPPLHTLLFLFLLSLTSLCFSIVWKFKQQWWGLLLFGGFMITIIAFFQHMVPCDNNLVQQQYAHCWYVPFMPWPPSMSIFLNVFLMMTLKTLSFQRFALWSCFITMFYVLYGVHSTYQAEEIENLSGVNQVVMNLSVPNLQTKVEIQVH >CAK8579201.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:683232271:683233546:1 gene:gene-LATHSAT_LOCUS31166 transcript:rna-LATHSAT_LOCUS31166 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVEETNLSENSNPNPNPESETETLTLDGTSFNTNNQREILKAIEVVERDSFAIAQSFTSLFEALRLSLSQSTDTSLHHIQCFTDAAGHLQESVLDAATKGNRYINSCLKLNEEMKSVDSLASQLKILRRHVDVLDSAVNKLLHVS >CAK8568632.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:625550790:625551562:1 gene:gene-LATHSAT_LOCUS21572 transcript:rna-LATHSAT_LOCUS21572 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQTKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQSAKTNFPKNQGEDAVDTNCGGGGGGGSGGGNDSFLSPKALSELLSTKLRKYCKDPSPSLTCLRLDTDNSHIGVWQKRAGSHSDSNWVMRVELGGKKKTAESEMGTSQHTIDGNNANADIENRVVVEEEERVALQMIEELLNWNYPCGSTSSD >CAK8530293.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12145756:12149160:-1 gene:gene-LATHSAT_LOCUS169 transcript:rna-LATHSAT_LOCUS169 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDNVWDGFDEIENDDLIVPRSGSPQNNQFVIEGDGCKKSLHELRGIRSSDCVSSYGTLGKEELYLQNMNQNERMPEKDSWSDTPEGVFSSCDGDSYREEKRLTLDDTGMSDHFFKSSNIDSGGSELCADDTISENKCVVEDDSARQHPKNHTSQADNELSFLDNDGWLDIGNLEDVDRMLSCDLTFGMESLNNEEEFCWFSSSHGAEGSDDALMSDLKLHCADMSPLKNISGYNMDSSKENIDVLPINGSNKKSSPDDKKIRSQTGVDVNGVAASLSMFSELDTKSGHKDALVPEEKKKFPKSSSGKRKIGNSPEEYGDINQHFGASSSGVTSLDSNQRHKQNIDYDSLGCIPTQIPLTHPGFSHAPNHTSLSLSFYGPGAELDGHQPPFIQSSYASNTESYHGHSSEASALKTNEKREKYHSHDEHLLSRSFKNERRANEMPFYSPGSSQLVAHQFENENEGQSKVRGVSLGFSSEIDSSSVQESSPISSALDQNSFEANSFYHLQQVLDQLDIRTKLCIRDSLYRLAKSSEQRHDNSSPKGIAEDGTEAFKDTMAQDASRCTGFMDIETNTNPIDRSIAHLLFHRPTNQSTVLQNDIAPFKTSSVIHEPSINPVKAENQVFQEDSSTDVEKKLLGGNT >CAK8530292.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:12145756:12149160:-1 gene:gene-LATHSAT_LOCUS169 transcript:rna-LATHSAT_LOCUS169-2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDNVWDGFDEIENDDLIVPRSGSPQNNQFVIEGDGCKKSLHELRGIRSSDCVSSYGTLGKEELYLQNMNQNERMPEKDSWSDTPEGVFSSCDGDSYREEKRLTLDDTGMSDHFFKSSNIDSGGSELCADDTISENKCVVEDDSARQHPKNHTSQADNELSFLDNDGWLDIGNLEDVDRMLSCDLTFGMESLNNEEEFCWFSSSHGAEGSDDALMSDLKLHCADMSPLKNISGYNMDSSKENIDVLPINGSNKKSSPDDKKIRSQTGVDVNGVAASLSMFSELDTKSGHKDALVPEEKLQKKFPKSSSGKRKIGNSPEEYGDINQHFGASSSGVTSLDSNQRHKQNIDYDSLGCIPTQIPLTHPGFSHAPNHTSLSLSFYGPGAELDGHQPPFIQSSYASNTESYHGHSSEASALKTNEKREKYHSHDEHLLSRSFKNERRANEMPFYSPGSSQLVAHQFENENEGQSKVRGVSLGFSSEIDSSSVQESSPISSALDQNSFEANSFYHLQQVLDQLDIRTKLCIRDSLYRLAKSSEQRHDNSSPKGIAEDGTEAFKDTMAQDASRCTGFMDIETNTNPIDRSIAHLLFHRPTNQSTVLQNDIAPFKTSSVIHEPSINPVKAENQVFQEDSSTDVEKKLLGGNT >CAK8530903.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:61133476:61133775:1 gene:gene-LATHSAT_LOCUS732 transcript:rna-LATHSAT_LOCUS732 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLAEALGDQRSLGRQNDGVWKTLAYNTAADVLSTHFNVQLNEESVISHIKLWRSWYRIVSDILCQSGFDWDDTKCMITVEDENAWNEYVKVFTFYY >CAK8537792.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:434685046:434687085:1 gene:gene-LATHSAT_LOCUS7046 transcript:rna-LATHSAT_LOCUS7046 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGMGYENESKEGRRWDVNDLLEFSAADDVIGFKDAVENESCDVDEVGLWYGRRAGSNKLGYVERTPLMVAAMFGSVNVSAYILGTGRVDVNLGSGSDGATALHCAVVGGSAASADIVKLLLDASADAGAVDANGKRPVDLIVSVGNSIFDSRKRMLQVLLEGTRGADQTCFIFPETIDGVDEYQRQDLNSPRLSKDYPLDLSLPDIKNGIYSTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCLEFRKGSCSKGDSCDYAHGIFECYLHPRQYRTRLCKDESLCTRRVCFFAHKNEELRPLYASTASAIPSPRSYYSSASALETGSLSPTALGSPSGLMPPSSTPPLTPSGASSPVSAAAMWQTQCNVPIPTLQLSRSRLNSAITARDINSDIAKLTAENQRRSQQLMMDEKSSLSSPSNWKNSMPNSPSFPVSLNEHTTGELNWFSGMKTNNLEDIFGSFHPSTLHKFHGISLDAAGTQLQSPTGIQMRPNINQQQQNYSPSVIGSPTRYDLSGELSAAALYSRAAAFAMGSQSFNERSVANRHSEHLSPVNPYPFSNWGSPDGKLDWIIQGEELNKLRKSSSFGFRSSNTPLSTTATQESENDNEPDISWVNSLVKDATPQESSRFGDENQKRKLQNNLNNGMDAIPAWLEQLNKDQ >CAK8535963.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:894244207:894247238:-1 gene:gene-LATHSAT_LOCUS5376 transcript:rna-LATHSAT_LOCUS5376 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNDNNNINKDTTIGILDSRFNQTLRNVQGLLKGRSIPGKILLSQRDPIDNSSLYSPTYQRSSSYSDPGTSNRAIETVEEEVQSGSKPFGSTSDNKSKTSTLHVENPPEEVRKTSMGARATDSARVMKFTKALSGTMVILDKLRELAWSGVPDYMRPTVWRVLLGYAPTNSDRREGVLRRKRLEYLDCVSQYYDIPDTERSDDEISMLRQIGVDCPRTVPDVLFFQQPQVQKSLERILYAWAIRHPASGYVQGINDLVTPFFVVFLSEYLEGSIDNWTMSDLSSDKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDDPVSSHMENQGLEFLQFAFRWFNCLLIREIPFQLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSDEIQKLDFQELVMFLQHLPTQNWTEQELEMVLSRAFMWHSMFNNSPSHFAT >CAK8569758.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:9831316:9838957:1 gene:gene-LATHSAT_LOCUS22572 transcript:rna-LATHSAT_LOCUS22572 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDGLRASLALRSISNCKSKWHHHQYKPQIRFYRNHTTTTTCPRFIEPAAGSSMQLQIVKALRSDDRKKASHLLRDFGRRSHSLSVDDFVDIFKYCAQSPDPMFVMEIWRLMELKDININNTSSSLITRALCKGAYMGEAFSIMDFLGENRRFYPGLPLYNSILSSCTKIQNLVQARKCLDLMEKQMIGKSEVTYTALLKLAVLQKNLPAVHIIWREYIKLYSMSIIALRKFIWSFTRLGDLKSANRTLQQLVALATKGNISIGRTVYGKLYSTRLDIPVPANDGLGSTILDLRKSEQHDSCILSPSLFLPDTISASKEQKFICMDDKKRKNTEINGPNGQKHSLLMKVLRWSFNDIIHGCAKEKNYMLAWKLILQMQNLGLQPSSHTYDGIIRAVVSQRSFGDAMRMLKKMQQENVKLYDSTLATLSVSFSRELQLDLAESLLNQISDCLYPHPYNALLASCDALNQPERAVRVFAKMRKIKLLPDMRTYELLFSLFGIVNMLSQVDAAKRINAIERDMANNGFLHSHRSLKILLKSLGEEGMIRMLIQYLHVAENLFIYSNPSLGTDMYNIVLHYLVEAQESHMAIQIFKKMKLCGCHPDSTTYNIMIDCCSVIRSYRSASLLISMMIRKGFCPVACTYTALIKILLEDENFNEALNVLERIKLDGIQLDVLLFNTFLRQACYKGRIDVIEFIVEFMHQEKVQPDPTTCDYVFSAYVNSSFHNTAIEALQVLSLRMMSEDGNILKERKSFVDEFILDEDLASESHILKLFEDSEDEVAIGLLNLRWCAIIGFPICESADQSVWAKRLESRFLKRLASGSRRGEQL >CAK8576869.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:521395679:521395906:1 gene:gene-LATHSAT_LOCUS29032 transcript:rna-LATHSAT_LOCUS29032 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSFIGDFNTILGAHEYDGSFRPVRGPMEEFADWTDYNHLVHMPIVGVKFTWANGREGRRHTRKRLDRVICNQD >CAK8532119.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:208128353:208131082:1 gene:gene-LATHSAT_LOCUS1854 transcript:rna-LATHSAT_LOCUS1854 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTASTTTTSVVPPSTTEVIDVESFHLPPTTTRTSTPVVIDLSDGEDDDEVRILNFVPKINPLRKRQRINVEKGESSSANANKNVVPFSCEICTETKTASDAFFIGGCSHAYCSDCVALYVSSKLEDNVINVRCPVSGCSGLLEAEDCRSILPVEVFDRWGKAACEALFDVSEKFYCPYADCSALLINDGTETVMNSECPNCRKMFCAQCKVPWHDGIECSEFQKLNADERGKDDVMLMRLAKDMNWRRCPNCRFYVAKSQGCLFMRCRCGIAFCYNCGVRNNNVSSHFCNNCRH >CAK8568080.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:570858193:570858897:-1 gene:gene-LATHSAT_LOCUS21076 transcript:rna-LATHSAT_LOCUS21076 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPVPEAQINGIGTTATLNPSEPHGSKRQRRPSVRLGDIGDQPSDSHHRRTSKSWRLGIGNVSGKPSKTRPLTNLTSVSDFDETLENEERETNPDGVIIGSWKVKRGSKRPRSNWGSSRIEEGENIDDEDVHQDFEGDNSGRSIHSSENLGDYNARDRNEDGGRGSCGEDGVRIWLNGLGLGRYAPVFQIHEVDDEVLPLLTLEDLKDMGINAVGSRRKLHCAIQKLGKGFS >CAK8536762.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:14485735:14489917:-1 gene:gene-LATHSAT_LOCUS6095 transcript:rna-LATHSAT_LOCUS6095 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQRKRNFQIEAFKHRVIMDPRYAEHTWKILEHAIHEIYNKNASSLSFEELYRNAYNMVLHKFGEKLYSGLVATMTFHLKEIARSVEATQGTSFLEELNRKWIDHNKALEMVRDILMYMDRTYIACTRKARVYELGLNLWRENVIYSNQIRTRLLNVLLDLVYSERAGVVVNRGLIRNITMMLMNLGPVYRQEFETNFLQASADFYRVESQKFIECCDCGDYLKKAERGLDEEIDRVRHYLDPKTEKKITNVVEKEMIENHMLSLIHMENSGLVNMLCDDKYEDLGRMYNLFRRVNDGLSNIIEVMTSHVKESGKQFVTDPERLKDPVEFVQRLLDVKDKYDKIIVLAFSNDKLFQDALNLSFKFFINLNPRSPEFISLFVDDKFRKGLKGVSEGDIEVTLDKVMILFRYLDEKDMFEKYYKQHLAKRLLSGKTVSNDTERSFIVKLKTECGFQFTAKLEGMFTDMKTSLDTMLGFYARHPELGDGPTLTVQVLTTGSWPSISSVACNLPVEILELCEKFQSYYLGIRNGRRLTWQANMGTADLKATFGKGQRHELNVSTYQMCVLMLFNDADRLSYREIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMTKDVSEDDAFFFNDNFSSKLYKVKIGTVVATKESEPENLETRQKVQEDRKLQIDSAIVRIMKARKQLDHNNLIAEVTKLLQSRFLPNLTDVKKRIESLIEREFLERDNSDRKLYKYLA >CAK8579260.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:688802437:688804604:-1 gene:gene-LATHSAT_LOCUS31219 transcript:rna-LATHSAT_LOCUS31219 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTSSSHSVFSRQSFLPIKGFLRPPTLRPFPSTTFRISNSRFNSPNSVNKKLSILCFRHEEYHSSETPKPEIIDHCLHEELVQSESKDPIVIKRDRKSLFSKVANEVFNGIGKRWVVPWSAMTILKVMLLWTSAFWFIGSWMIPFAAHIIGFSKDSLTLRGQALFSLVTDVTEGLAGIAILVRCLSRFRPLPPDWFKFSPKGYWQFDVILGCLMFPLVNRLSQFNLDLLPILPSTQITLSSVEQSIKARDPVAMLLYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVSSVAFALAHFNIQRMLPLIFLGMVMGVIFTRSRNLLPSMLLHSLWNGFVFLDLMK >CAK8579320.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:691463069:691463641:1 gene:gene-LATHSAT_LOCUS31274 transcript:rna-LATHSAT_LOCUS31274 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQCYKTCEQSCQHKNHSQQQQQHSSIGQKLTGLFKGHHNDGTQTKTEYYSETDVIYQPGYVAKNHSNHNHAATGTTVVTSTTAAKCQGRNRKEKRNMFQRMKDGISGHSSDSGSSSDECDSDNEYRCHRKNCN >CAK8568040.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:566205938:566211983:-1 gene:gene-LATHSAT_LOCUS21039 transcript:rna-LATHSAT_LOCUS21039 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSSSINPWFGSLTSNRFRRIHRPTTTTAAAYSLPSTSRSLSFRLSQRNTSSSFTSLTPKRTRRRKKTLTITSLLERFTERSIKVLAYALKEAAAFKSNFVFAQHVMLGLIAEAAENPSEIGFLGFDVITLEKARDAVRVLNEPMNYVDGDGVYVYEDKPVPFSFGTKHVLTSAVEYSKSLNHNFVDPEHIYVALVKFDDGSSARILNRLGANPHQLAIVAYSRLEKELARDGRKPGVLSNGVHKTSISEKHSGAEKNALSQFCVDLTARARLGLIDPVIGREVEVQRMIQILCRKKKSNPILLGEAGVGKTAIAEGLANSISRGDVAPYLLKKRVMSLDVALLMAGAKERGELEDRVTKLIKDIIKSGDVILFIDEVHTLVQSGTSERGNKGSGFNIANLLKPSLVMGLFQCIASTTIDEYRLHFEKDKALARRFQPVWIDEPSEDDAINILMGVRDKYEEHHKCIYTEDAIKAAVHLSARYIADRYLPDKAIDLIDEAGSKASIEAFKMKKERDCWLLSKNPEVYWGEIRTVQSKLKMVQESKLKYYATSGIGDNNELILDSYLTSAVFDNGRIEVRPDHIAAVASLWSGIPVQQLTADESSVLLDLDNKLRERVIGQEEAISAISRAMKRCRVGLKDPGRPIATLLFCGPTGVGKTELAKALAACYFGSERNMIRLDMSEYMERHSVSKLIGSSPGYVGYGEGGILTEAIRRNPFTVLLFDEIEKAHSDIFNILLQLMEDGHLTDSQGRRVSFKNALVVMTSNVGSSAISKGRQNSIGFLFSDDKSTSFNGLKTMVMEELRAYFRPELLNRIDEVVVFHPLEKPQLLQILDSLLQDLKKQVKSKGIDLEVSESVKDLVCKEGYNPTYGARPLRKAIADLIVNPLTEALLAKKCKEGDTVFIDLDANGKTLVINQLGQTVNFSDTSLA >CAK8560551.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:24540610:24544339:1 gene:gene-LATHSAT_LOCUS14214 transcript:rna-LATHSAT_LOCUS14214 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGKRKRNQDSDGEEDSSKRKIKTETKEPFRPSMIKNKEKRSEVHAKLKHQKKLEKRAKSKARDAAVKRAIELGEELPEKKVPRTIENTREVDETVCKPDDEELFAGNDADEFSSILNRQHNPKILVTTCRFNSTRAPAFISELLQIIPNAHYFKRGTYDLKKIVEYANKKDFTSIVVVHTNRREPNALIIIGLPDGPTAHFKLTNLVLRKDIKNHGTPTSHRPELVLNNFTTRLGHRVGRMIQSLFPQDPEFKGRRVVTFHNQRDFVFFRHHRYIFEKKEIKKIESKGKKDEDGEAEKVPDHKTIARLQECGPRFTLKLVSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >CAK8531791.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:151595949:151600861:-1 gene:gene-LATHSAT_LOCUS1557 transcript:rna-LATHSAT_LOCUS1557 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRWVMDGGGFWDLDISTPKTVDGLASPVPGDPLPLGLSRGARLSRPRQLQFMQLFMKAPLLPTFSQPQGFSLQRVLSLPVSDNWVVFLLGQFNLQKFFSSVQNSEEKPARVSSWLKTFGSHLKKKSLYALGLCSEFQLTPDDTLLFGLDSYDYTDKPRGKAVLHHKFPQHDLTVEAVYPGLFVDKIGNYWDVPFSMAIDLASSTTSDSSAGYHLSAHYTSGSPKHFESIHNQNDRVPRTLLPGLAFKSVFSCRKNIDIWRSETPKLKWVQPYDIFLSDPRVSASGMIGAAATTYFGENSARAQTDDDGEHSAGLFLQASGIKSSFLADLFGSISFTAQHGNFQRPFLDLSRFQARLDFPSGSKFLSGATSLTQDLLNSQKPNMEALQAVCPNATVSLQQQIVGPISFRVDSGIAVDLKNLEFPIQAHEPVFAVEYALHVLGSAKAVAWCCPKRKEFMVELRFYET >CAK8566545.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:434036802:434038600:-1 gene:gene-LATHSAT_LOCUS19668 transcript:rna-LATHSAT_LOCUS19668 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKQRGKGHKLVLMPSPFQGHITPLLQLANILYSKGFSITIVHTVFNSPDPSSYPHFTFHPLHGALSETEASKVDAVHLTDLINIRCVQPLKEFLATLLSSQDDDDSVPVSCFIADAALYFTQGVCDEFGIPRLVLRTGGASSFLVFASFPLLREKGYFPVQESRLEEAVVDLPPLKVKDLPVFQSKEPEAFYKLVCRFIEECKKSSGIIWNTYEELESSALTKLRQDFSAPIYPIGPFHKYSLLGSTSTSLLTPDQSCITWLDKQEHKSVVYVSFGSIVAISEAEFLEIAWGLANSDQPFLWAIRPGTIRGSEWLEPLPSEFLENLGGRGYIVKWAPQEQVLKHPAVGAFWTHNGWNSTLESVCEGVPMICMPSFGDQKINAKYASDVWKVGVQLENKLERGEIEKIIRKVMLGDEAKEIRENVMNLKEKAIVCLKEGGSSYCFLDSLVSEILSLKFSTSKAQ >CAK8563743.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:628699051:628699527:-1 gene:gene-LATHSAT_LOCUS17122 transcript:rna-LATHSAT_LOCUS17122 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTYENDTTSTVPPAKLFKAVVHDADLIVPKVIDSIKTVEIVEGNGGPGTIKKLTFVEGGQTLYVLHKIEAIDDAKLEYKYSIVGGVGISDIVEKISFEAKLFEGPNGGSIGKMIVKYHTKGDAKPIEKEVVEGKAKGDALFKAIEGYVLANPNYN >CAK8566787.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:462821380:462823041:1 gene:gene-LATHSAT_LOCUS19894 transcript:rna-LATHSAT_LOCUS19894 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNDGFMRADQIDLKNIDEQLEKHLNKTLAIDHNDDVSNSVAPGNGAVFNKNQRQEWEIDPSQLIIKSVIARGTFGTVHRGVYDAQDVAVKMLDWGEEGHRTEAEIAALRSAFTQEVVVWHKLDHPNVTKFIGATMGSSELQIQTDNGVISMPNNICCVVVEYLPGGALKSFLIKNRRRKLAFKIVVQLALDMARGLNYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARIEALNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNVRPEIPRCCPSSIANVMKRCWDANPDKRPEMDEVVAMLEAIDTSKGGGMVPFDQQHGCLCFGKRRGP >CAK8560630.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:31059672:31061974:1 gene:gene-LATHSAT_LOCUS14291 transcript:rna-LATHSAT_LOCUS14291 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTECLDKNKEKNGVEEFDDEDTEENEMEEYMENDSIHNGKEVEGTNVNKAEGNTLGASSSGLTKKQGKTLCRKIHGRQFKDRQEITLNEEGQPIGPDEKIVSELSSFLGTLERSSDLCPLTFTSWIDLVKHWEEHNLDPVWDYVNEKYNIPKEGKKVVFAITNDAWRRYKCSLKRKHFSKYKTLREQLKNCPQEVPEEDFRKLLEYWRDDKTQEASHQNAENIAQLKWRHRMGNKGFAVIREKMRENNEDKESPTQADMFIATRQSRKGKELDQETNHAIIKLQDLIENHGKPSSEAFESVSGKQKPGKLRCYGRTTTPTLLKRNEEIAKIKREHVAEVRQFNDRIQEMEEKHRQDKEETDRKIQLILKTVLNQNTSELNIEDLVALLSTPATDANSVLRSSTSTHAPTNDQVRFVTFL >CAK8535014.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012735.1:803167390:803167704:1 gene:gene-LATHSAT_LOCUS4501 transcript:rna-LATHSAT_LOCUS4501 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKCEDRGKKQQWKPKLKPPEIPMNTMLVKPPETSTNITPVISPEREETIGTRRGSWTRARKSVRDKGKNIMTDTYNNINCNNGFDALEVLNDHEVTINSEPC >CAK8571159.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:319010873:319011299:1 gene:gene-LATHSAT_LOCUS23849 transcript:rna-LATHSAT_LOCUS23849 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKPKEKPAIACPALASLFENNTRQNSTIQKRKEKQGFSLIYGLNEGLKREGKWVSSCFMVQFVAVLLLWVMKVLRKILVNEDGEKGRSEGSLKV >CAK8538123.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:464765719:464766636:-1 gene:gene-LATHSAT_LOCUS7357 transcript:rna-LATHSAT_LOCUS7357 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKSKGMRKGAWTYEEDKLLKACIEKYGEGKWHLVPQRTGLNRCRKSCRLRWLNYLNPAVNRECFSVDEVDMILRLHKLLGNRWALIAGRLPGRTANDVKNYWHTHLHKKEKEKPNGTKKPHEIIKPQPWTFSSHSPWLNGKHIAKPIMEVSTEDASVAKDSDIDTMWWENLLNLSNDKTGSCSLLQEEENSILELPNSENFLIEGSNFNVNDFQWDSILCEFDSL >CAK8543020.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:567475171:567477293:1 gene:gene-LATHSAT_LOCUS11807 transcript:rna-LATHSAT_LOCUS11807 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKFHVIFVAIFLTLFGLYDLGFCTQQHDNNSIKINKMGAGEESPNDFAEIESLARFAVQQRNNKENALLEHVKVLKAKEQVVAGKVYSLTLEAVDAGKRRVYEAKIWVKPWRNFKQLQEFKLARVISPFTSSDRGVKQEGHKLGWHKVPNHNPNVKDAANYAVKSITWRSNSLYPYKLQDIVHAKTKVIEDHIKFDLLLKVSRGVKEERLRVEVDKKQGGRFYMNWMEQDHS >CAK8567262.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:498851252:498853062:1 gene:gene-LATHSAT_LOCUS20328 transcript:rna-LATHSAT_LOCUS20328 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLDFGKKFMFYARVLSGYEERRIRNFRLQLEQRVQQAQAKKAAINKVPEQIILSEVRRMVEEMQALNKKLEETEVAIEEYFKPLDKEAEFLMKMQLQGEEKTSEMMMKTLQEQAMRRQAEAEKNTSVPQTENVETNQKESETPVKACIGEEEKTLKDNMH >CAK8560449.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012738.1:19903905:19904144:-1 gene:gene-LATHSAT_LOCUS14118 transcript:rna-LATHSAT_LOCUS14118 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQDQTLASLLSQLALSFDDIVLGLANQCTPKYHTTKVPKHHIGKAPNQHMSIKHHNNNCFVFKMNQCLNSTNLHKHL >CAK8564633.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:3554150:3554794:1 gene:gene-LATHSAT_LOCUS17918 transcript:rna-LATHSAT_LOCUS17918 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGEMQGDGGSRDMQNTSSGHHTPIVSASGQQTTSGQQTTATTDLPPNGLKKRRHNANGNRKRSEVWDHFNLIPNSDPPTAACKYCHQMYMCDSEKHGTPTKRVT >CAK8564736.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7916082:7923384:1 gene:gene-LATHSAT_LOCUS18008 transcript:rna-LATHSAT_LOCUS18008 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSGTGRYMAFPPSPSHSLPHLSALRSPASTAIAEHDKYLSELLGERQKISPFMAVLPHCYRLLNQEILRVTTILGNASSVLGQSGLEHGSPLATGGMFSKGSADPNGWVSRFQSEMPSLIQSSPTPNWLSPQSSSSGLLVKKTIRVDIPVETFPNFNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDTAREEMMRGKPGYEHLNEPLHILVEAELPAEIIDARLMQAREILEDLLRPVEESHDFYKKQQLRELAMINGTLREEDSPMSGSVSPFNNSLGMKRAKTRG >CAK8537526.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:385660742:385664411:1 gene:gene-LATHSAT_LOCUS6815 transcript:rna-LATHSAT_LOCUS6815 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPADENVETKEMDSRSLSSNGQEPYVHKVGVPPKQSFFKEFIYTVKETFFSDDPLRPFKDQTKSRKFVLGIEAIFPILSWGRTYNLQKFRGDLIAGLTIASLCIPQDIGYSKLANLSPQYGLYSSFVPPLIYAVMGSSRDIAIGPVAVISLLLGTLLQNEIDPKTNPTEYRRLAFTATFFAGITQATLGVFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIEKFTRKTDVISVMHSVFSSAHHGWNWQTILIGATFLCFLLFAKHIGKKGPKYFWVPAIAPLISVVLSTFFVYITRADKHGVAIVNHIEKGINPSSVKEIYFTGDYLAKGVRIGIVAGMIALTEAIAIGRTFASMKDYQVDGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNYMSGCETAVSNIVMSIVVFLTLQFITPLFKYTPNAILASIIICAVINLVDYKAAILIWKIDKFDFVACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTTVYRNIQQYPEATSVPGVLIIRVDSAIYFSNSNYVKERILRWLMDEEERVKREYQTRIQFLIVEMSPVTDIDTSGIHALEELFRSLQKREVQLVLANPGPLVIDKLHTSNFANFLGEDKIFLTVAEAVAYCSPKLAEEP >CAK8564698.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7155592:7156173:1 gene:gene-LATHSAT_LOCUS17974 transcript:rna-LATHSAT_LOCUS17974-2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGPKLNMDQKESLNALVTDAEIWKSLKGMNDLSAPGIDGFNAKFLKSYWDIIKEDIIKTAKHFFEKNKLYKAANCTLVTLVPKFTATTLIKDYIPISCCTVFYKVISKIKASRLGKVLPSIVHSSQAAFVPGKKIHGHILMAYELIRGYSMRSGAPKCFIQIDLQKAYDTVERNTLESIMKELNFPQKFIG >CAK8564697.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:7155568:7156173:1 gene:gene-LATHSAT_LOCUS17974 transcript:rna-LATHSAT_LOCUS17974 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDIVAMRNGPKLNMDQKESLNALVTDAEIWKSLKGMNDLSAPGIDGFNAKFLKSYWDIIKEDIIKTAKHFFEKNKLYKAANCTLVTLVPKFTATTLIKDYIPISCCTVFYKVISKIKASRLGKVLPSIVHSSQAAFVPGKKIHGHILMAYELIRGYSMRSGAPKCFIQIDLQKAYDTVERNTLESIMKELNFPQKFIG >CAK8576947.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012741.1:527673287:527673577:-1 gene:gene-LATHSAT_LOCUS29101 transcript:rna-LATHSAT_LOCUS29101 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAFPLCPLAITEAERGIPSILKRIRDMFEKVGLKHNESVVVRITGCPNGCARPYMALYG >CAK8539205.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012736.1:506624677:506627976:-1 gene:gene-LATHSAT_LOCUS8323 transcript:rna-LATHSAT_LOCUS8323 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRELKYLLSRSLQYSTSSQHTLPGLLHPNDATFSTPTPTSDLSQDYAFLRNTLLSSTSTQTLPPGEFANDIISISNAIRTGFDIETNQFFRQFRGRLSESLVLQVMDNVNNPELCVKFFLWAGRQIGYTHTPLVFDKLLELLGCSGNGNDRVPLKFLNEIRDDDRDLLRRLLNFLVRKCCRNGWWNMALEEMGRLKDFGYKPSQTTYNALVQVFLRADKLDTAYLVMREMLNYGFVMDRYTISCFAYSLCKSGKCREALDLIEEIEPDTVIYNRMISGLCEASLFEEAMGILHRMRSTSCIPNVVTYRILLSGCLRKGQLGRCKRFLSMMITEGCYPNREIFNSLVHAYCKSRDYSYAYKLFKKMIKCGCQPGYLVYNIFIGSVCSNEEQPSSDILELVEKAYSEMLDSGVVLNKVNVSNFARCLCGAGKFDKAFKIICEMMGKGFVPDDSTYSKVIGFLCDASKVEKAFSLFEEMKMNGIVPSVYTYTILIDSFCKAGLIQQARKWFNEMLSEGCSPNVVTYTALIHAYLKARKVSDANELFDMMLLEGCKPNVVTYTALIDGHCKAGQIEKACQIYARMRGDIESSDMDKYFKLDDNNSEGPNVITYGALVDGLCKANKVKEARELLDTMSAHGCEPNHIVYDAVIDGFCKIGKLQDAQEVFAKMSERGYSPNLYTYSSLIDCLFKDNRLDLVLKVLSKMLENSCTPNVVIYTEMVDGLCKVGKTDEAYKLMLKMEEKGCNPNVVTYTAMIDGFGKLGKIEQCLELYRDMCSKGCAPNFITYRVLINHCCSKGLLDEAYKLLDEMKQTYWPKHILSHRKIIEGFNQEFITSIGLLDELSENESVPIDSFYRILIDNYIKAGRLELAVTLLEEISSSPSLAVANKYLYTSLIENLSRASNVDKALELYASMISKNVIPDLSTLVHLIKGLIKVDKWQEALQLSDSICQMDIRWLHEEVTDIS >CAK8540670.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012737.1:15633251:15637876:1 gene:gene-LATHSAT_LOCUS9654 transcript:rna-LATHSAT_LOCUS9654 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINVGLCIIFVTLYSILRKQPGNIVVYAPRLVSGGKLQDGGEFNLECLLPNAGWLRRAWEPSDDEFISAAGLDAFVFIRIFVFSLKVFTFAGIVGTIFLLPINYTGTQLLDVSDCPNKSLDYFSISNVNNGSHRLWIHFCAAYVFTGVVCILLYFEYEYIASKRIARFYSSKPEPHQFTVLVRGIPVPPGCTCNEAVERFFTEYHPSTYHSHSVVRRSSKLQILVTDAERLYKRVTQLKNKDNSPQRHRRDGFLGLFGHKVDLLDHYEKTLGDIADNVRIEQSFMAEGKEVPAAFVSFKSRFGAAIALHLLEGINPIHWITERAPEPHDVYWPFFSVTFIRRWISKMVAYVACNAITILFLVPVALVQGLTHLDQLESMFPALRSILRLAVVSQVITGYLSILILQVFLSFVPALMIMLSSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSALYRLNYLLEPKEFPRVLAEAVPAQASFFMAYVVAFGWTNIASELFQLIPLFYNYVNRFFGGDCGDDFEAPTIPYHKEVPRILFFGLLGVTYFILAPLILPFLLVYFCLGYIIYRNQLLYVYVPKFETRGEFWPTVHNYTIFSLILMHIIVIGIFGMKKLPIASGLILPLSIATLLFNEYCQKRFFPIFQAYPVECLIKKDRKDQNNPNMSEFYDKLTKAYNDPALMPIKYSGRFRSRRTPLLSSESQKSVRESPAQDMLV >CAK8568505.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012739.1:612019430:612020239:1 gene:gene-LATHSAT_LOCUS21455 transcript:rna-LATHSAT_LOCUS21455 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKGRGRGRLRLVPPSTPIPTVTDQHTMEEENDVEDKTQTEMVDCGSQVGEEKRATDTETLNPLFSEEANSGAEASQMKELWVDIINENRNPAKGLTMEFVAPKIVDGEMEIQIEEEDVEKEVKFWESALIMYVLGVDLSMNAVKQFMSKTWNFVKLPDMFCNEEGFFILRFHSFQYKDLVLMKGPYSIRNRPMMLREWKPDFSMNKDMLRTIPLWVKLPQLPLHLWGAQSLSKIGSAIGTPLVTDECTTNKLRVSYARILVEVDIT >CAK8571978.1 pep primary_assembly:JIC_Lsat_v2.1.1:OZ012740.1:499967557:499969801:1 gene:gene-LATHSAT_LOCUS24589 transcript:rna-LATHSAT_LOCUS24589 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYGAGKSVVLDDDDLQILETPPLQVNQSPIANTQDTTTTPREKKKRALTSDVWNHLIKIGIGIDGKEKCKCKGCGSILGCKATGGTTHLIRHVDSCKFLLEKHGNVGDMLLDMEGKFKRRKFDQKVNREIIAEMIITHGAPFNIVEWQAFRKYQKFMNEECRWISRNTIKADVMEIYKVEKERLRSQLAQISGRICLTSDCWTSCTNHGFISLTAHFVDVNWNLNNKILAFAHLKPPHSGPQLALKVMELLREWGIERKVFSLTLDNASANGNMQNYLKEHLGLSNSLLLNGEFFHIRCCAHVLNLIVQDGLKVASDALHKIRQSVHYVRASESRTIQFFHCVNNVDGIDTSIGLRTDTPTRWNSTYIMLESAINYQRTFYSLSLCDPNYKLCPSIVEWKRVEVICEVLKPFYNITNLISGSSYPTSNLYIREIWKIECLLKSNLTSEDCVIKSMTIKMREKFDKYWSEYSTVLAFGAILDPTKKLIFLSFAYKQVDPLEAEEKLKIVKNDLSRLYEEYVKNGSHSSNTRHSQQVNSSYGGNNAKMPKSLYVCEEFEEYESQTVSAAGTSKLDVYLAEQRLPPSIVFDILAFWKERSRRCPDLAKMACDVLSIPITTVASESAFSIGARVLNKYRSSLKYDTVQALMCTRSWLHGFVEYDIDSDEDEDKREVIRQENLEFSDGTNQ